diff --git a/sdf/caravan.sdf b/sdf/caravan.sdf new file mode 100644 index 00000000..aabbd2df --- /dev/null +++ b/sdf/caravan.sdf @@ -0,0 +1,2793 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "caravan") + (DATE "Sun Nov 28 13:28:29 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "caravan") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT clock padframe/clock (0.001::0.001) (0.001::0.001)) + (INTERCONNECT gpio padframe/gpio (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[0] padframe/mprj_io[0] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[10] padframe/mprj_io[10] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[11] padframe/mprj_io[11] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[12] padframe/mprj_io[12] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[13] padframe/mprj_io[13] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[14] padframe/mprj_io[14] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[15] padframe/mprj_io[15] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[16] padframe/mprj_io[16] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[17] padframe/mprj_io[17] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[18] padframe/mprj_io[18] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[19] padframe/mprj_io[19] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[1] padframe/mprj_io[1] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[20] padframe/mprj_io[20] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[21] padframe/mprj_io[21] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[22] padframe/mprj_io[22] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[23] padframe/mprj_io[23] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[24] padframe/mprj_io[24] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[25] padframe/mprj_io[25] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[26] padframe/mprj_io[26] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[27] padframe/mprj_io[27] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[28] padframe/mprj_io[28] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[29] padframe/mprj_io[29] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[2] padframe/mprj_io[2] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[30] padframe/mprj_io[30] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[31] padframe/mprj_io[31] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[32] padframe/mprj_io[32] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[33] padframe/mprj_io[33] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[34] padframe/mprj_io[34] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[35] padframe/mprj_io[35] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[36] padframe/mprj_io[36] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[37] padframe/mprj_io[37] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[3] padframe/mprj_io[3] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[4] padframe/mprj_io[4] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[5] padframe/mprj_io[5] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[6] padframe/mprj_io[6] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[7] padframe/mprj_io[7] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[8] padframe/mprj_io[8] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[9] padframe/mprj_io[9] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT resetb padframe/resetb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT vccd1 padframe/vccd1_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vccd2 padframe/vccd2_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vdda padframe/vdda_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vdda1 padframe/vdda1_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vdda1_2 padframe/vdda1_pad2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vdda2 padframe/vdda2_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vddio_2 padframe/vddio_pad2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssa1 padframe/vssa1_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssa1_2 padframe/vssa1_pad2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssa2 padframe/vssa2_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssd1 padframe/vssd1_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssd2 padframe/vssd2_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssio_2 padframe/vssio_pad2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vddio padframe/vddio_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssio padframe/vssio_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssa padframe/vssa_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vccd padframe/vccd_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssd padframe/vssd_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clocking/core_clk housekeeping/wb_clk_i (0.348::0.348)) + (INTERCONNECT clocking/core_clk mgmt_buffers/caravel_clk (0.376::0.376)) + (INTERCONNECT clocking/core_clk soc/core_clk (0.225::0.225)) + (INTERCONNECT clocking/resetb_sync housekeeping/wb_rstn_i (0.277::0.277)) + (INTERCONNECT clocking/resetb_sync mgmt_buffers/caravel_rstn (0.393::0.393)) + (INTERCONNECT clocking/resetb_sync soc/core_rstn (0.137::0.137)) + (INTERCONNECT clocking/user_clk mgmt_buffers/caravel_clk2 (0.429::0.429)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[0] gpio_control_bidir_1\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[10] gpio_control_bidir_1\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[11] gpio_control_bidir_1\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[12] gpio_control_bidir_1\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[1] gpio_control_bidir_1\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[2] gpio_control_bidir_1\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[3] gpio_control_bidir_1\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[4] gpio_control_bidir_1\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[5] gpio_control_bidir_1\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[6] gpio_control_bidir_1\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[7] gpio_control_bidir_1\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[8] gpio_control_bidir_1\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[9] gpio_control_bidir_1\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[0] gpio_control_bidir_1\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[10] gpio_control_bidir_1\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[11] gpio_control_bidir_1\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[12] gpio_control_bidir_1\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[1] gpio_control_bidir_1\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[2] gpio_control_bidir_1\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[3] gpio_control_bidir_1\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[4] gpio_control_bidir_1\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[5] gpio_control_bidir_1\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[6] gpio_control_bidir_1\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[7] gpio_control_bidir_1\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[8] gpio_control_bidir_1\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[9] gpio_control_bidir_1\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[0] gpio_control_in_1\[2\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[10] gpio_control_in_1\[2\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[11] gpio_control_in_1\[2\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[12] gpio_control_in_1\[2\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[1] gpio_control_in_1\[2\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[2] gpio_control_in_1\[2\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[3] gpio_control_in_1\[2\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[4] gpio_control_in_1\[2\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[5] gpio_control_in_1\[2\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[6] gpio_control_in_1\[2\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[7] gpio_control_in_1\[2\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[8] gpio_control_in_1\[2\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[9] gpio_control_in_1\[2\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[0] gpio_control_in_1\[3\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[10] gpio_control_in_1\[3\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[11] gpio_control_in_1\[3\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[12] gpio_control_in_1\[3\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[1] gpio_control_in_1\[3\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[2] gpio_control_in_1\[3\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[3] gpio_control_in_1\[3\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[4] gpio_control_in_1\[3\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[5] gpio_control_in_1\[3\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[6] gpio_control_in_1\[3\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[7] gpio_control_in_1\[3\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[8] gpio_control_in_1\[3\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[9] gpio_control_in_1\[3\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[0] gpio_control_in_1\[4\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[10] gpio_control_in_1\[4\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[11] gpio_control_in_1\[4\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[12] gpio_control_in_1\[4\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[1] gpio_control_in_1\[4\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[2] gpio_control_in_1\[4\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[3] gpio_control_in_1\[4\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[4] gpio_control_in_1\[4\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[5] gpio_control_in_1\[4\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[6] gpio_control_in_1\[4\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[7] gpio_control_in_1\[4\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[8] gpio_control_in_1\[4\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[9] gpio_control_in_1\[4\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[0] gpio_control_in_1\[5\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[10] gpio_control_in_1\[5\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[11] gpio_control_in_1\[5\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[12] gpio_control_in_1\[5\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[1] gpio_control_in_1\[5\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[2] gpio_control_in_1\[5\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[3] gpio_control_in_1\[5\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[4] gpio_control_in_1\[5\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[5] gpio_control_in_1\[5\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[6] gpio_control_in_1\[5\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[7] gpio_control_in_1\[5\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[8] gpio_control_in_1\[5\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[9] gpio_control_in_1\[5\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[0] gpio_control_in_2\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[10] gpio_control_in_2\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[11] gpio_control_in_2\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[12] gpio_control_in_2\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[1] gpio_control_in_2\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[2] gpio_control_in_2\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[3] gpio_control_in_2\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[4] gpio_control_in_2\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[5] gpio_control_in_2\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[6] gpio_control_in_2\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[7] gpio_control_in_2\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[8] gpio_control_in_2\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[9] gpio_control_in_2\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[0] gpio_control_in_1a\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[10] gpio_control_in_1a\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[11] gpio_control_in_1a\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[12] gpio_control_in_1a\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[1] gpio_control_in_1a\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[2] gpio_control_in_1a\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[3] gpio_control_in_1a\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[4] gpio_control_in_1a\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[5] gpio_control_in_1a\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[6] gpio_control_in_1a\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[7] gpio_control_in_1a\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[8] gpio_control_in_1a\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[9] gpio_control_in_1a\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[0] gpio_control_in_1a\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[10] gpio_control_in_1a\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[11] gpio_control_in_1a\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[12] gpio_control_in_1a\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[1] gpio_control_in_1a\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[2] gpio_control_in_1a\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[3] gpio_control_in_1a\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[4] gpio_control_in_1a\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[5] gpio_control_in_1a\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[6] gpio_control_in_1a\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[7] gpio_control_in_1a\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[8] gpio_control_in_1a\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[9] gpio_control_in_1a\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[0] gpio_control_in_1a\[2\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[10] gpio_control_in_1a\[2\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[11] gpio_control_in_1a\[2\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[12] gpio_control_in_1a\[2\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[1] gpio_control_in_1a\[2\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[2] gpio_control_in_1a\[2\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[3] gpio_control_in_1a\[2\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[4] gpio_control_in_1a\[2\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[5] gpio_control_in_1a\[2\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[6] gpio_control_in_1a\[2\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[7] gpio_control_in_1a\[2\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[8] gpio_control_in_1a\[2\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[9] gpio_control_in_1a\[2\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[0] gpio_control_in_2\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[10] gpio_control_in_2\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[11] gpio_control_in_2\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[12] gpio_control_in_2\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[1] gpio_control_in_2\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[2] gpio_control_in_2\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[3] gpio_control_in_2\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[4] gpio_control_in_2\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[5] gpio_control_in_2\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[6] gpio_control_in_2\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[7] gpio_control_in_2\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[8] gpio_control_in_2\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[9] gpio_control_in_2\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[0] gpio_control_in_2\[2\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[10] gpio_control_in_2\[2\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[11] gpio_control_in_2\[2\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[12] gpio_control_in_2\[2\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[1] gpio_control_in_2\[2\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[2] gpio_control_in_2\[2\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[3] gpio_control_in_2\[2\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[4] gpio_control_in_2\[2\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[5] gpio_control_in_2\[2\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[6] gpio_control_in_2\[2\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[7] gpio_control_in_2\[2\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[8] gpio_control_in_2\[2\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[9] gpio_control_in_2\[2\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[0] gpio_control_in_2\[3\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[10] gpio_control_in_2\[3\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[11] gpio_control_in_2\[3\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[12] gpio_control_in_2\[3\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[1] gpio_control_in_2\[3\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[2] gpio_control_in_2\[3\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[3] gpio_control_in_2\[3\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[4] gpio_control_in_2\[3\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[5] gpio_control_in_2\[3\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[6] gpio_control_in_2\[3\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[7] gpio_control_in_2\[3\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[8] gpio_control_in_2\[3\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[9] gpio_control_in_2\[3\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[0] gpio_control_in_2\[4\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[10] gpio_control_in_2\[4\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[11] gpio_control_in_2\[4\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[12] gpio_control_in_2\[4\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[1] gpio_control_in_2\[4\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[2] gpio_control_in_2\[4\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[3] gpio_control_in_2\[4\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[4] gpio_control_in_2\[4\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[5] gpio_control_in_2\[4\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[6] gpio_control_in_2\[4\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[7] gpio_control_in_2\[4\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[8] gpio_control_in_2\[4\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[9] gpio_control_in_2\[4\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[0] gpio_control_in_2\[5\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[10] gpio_control_in_2\[5\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[11] gpio_control_in_2\[5\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[12] gpio_control_in_2\[5\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[1] gpio_control_in_2\[5\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[2] gpio_control_in_2\[5\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[3] gpio_control_in_2\[5\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[4] gpio_control_in_2\[5\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[5] gpio_control_in_2\[5\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[6] gpio_control_in_2\[5\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[7] gpio_control_in_2\[5\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[8] gpio_control_in_2\[5\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[9] gpio_control_in_2\[5\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[0] gpio_control_in_2\[6\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[10] gpio_control_in_2\[6\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[11] gpio_control_in_2\[6\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[12] gpio_control_in_2\[6\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[1] gpio_control_in_2\[6\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[2] gpio_control_in_2\[6\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[3] gpio_control_in_2\[6\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[4] gpio_control_in_2\[6\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[5] gpio_control_in_2\[6\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[6] gpio_control_in_2\[6\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[7] gpio_control_in_2\[6\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[8] gpio_control_in_2\[6\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[9] gpio_control_in_2\[6\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[0] gpio_control_in_2\[7\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[10] gpio_control_in_2\[7\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[11] gpio_control_in_2\[7\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[12] gpio_control_in_2\[7\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[1] gpio_control_in_2\[7\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[2] gpio_control_in_2\[7\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[3] gpio_control_in_2\[7\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[4] gpio_control_in_2\[7\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[5] gpio_control_in_2\[7\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[6] gpio_control_in_2\[7\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[7] gpio_control_in_2\[7\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[8] gpio_control_in_2\[7\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[9] gpio_control_in_2\[7\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[0] gpio_control_in_2\[8\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[10] gpio_control_in_2\[8\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[11] gpio_control_in_2\[8\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[12] gpio_control_in_2\[8\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[1] gpio_control_in_2\[8\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[2] gpio_control_in_2\[8\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[3] gpio_control_in_2\[8\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[4] gpio_control_in_2\[8\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[5] gpio_control_in_2\[8\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[6] gpio_control_in_2\[8\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[7] gpio_control_in_2\[8\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[8] gpio_control_in_2\[8\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[9] gpio_control_in_2\[8\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[0] gpio_control_in_2\[9\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[10] gpio_control_in_2\[9\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[11] gpio_control_in_2\[9\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[12] gpio_control_in_2\[9\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[1] gpio_control_in_2\[9\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[2] gpio_control_in_2\[9\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[3] gpio_control_in_2\[9\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[4] gpio_control_in_2\[9\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[5] gpio_control_in_2\[9\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[6] gpio_control_in_2\[9\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[7] gpio_control_in_2\[9\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[8] gpio_control_in_2\[9\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[9] gpio_control_in_2\[9\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[0] gpio_control_bidir_2\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[10] gpio_control_bidir_2\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[11] gpio_control_bidir_2\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[12] gpio_control_bidir_2\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[1] gpio_control_bidir_2\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[2] gpio_control_bidir_2\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[3] gpio_control_bidir_2\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[4] gpio_control_bidir_2\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[5] gpio_control_bidir_2\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[6] gpio_control_bidir_2\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[7] gpio_control_bidir_2\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[8] gpio_control_bidir_2\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[9] gpio_control_bidir_2\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[0] gpio_control_bidir_2\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[10] gpio_control_bidir_2\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[11] gpio_control_bidir_2\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[12] gpio_control_bidir_2\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[1] gpio_control_bidir_2\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[2] gpio_control_bidir_2\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[3] gpio_control_bidir_2\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[4] gpio_control_bidir_2\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[5] gpio_control_bidir_2\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[6] gpio_control_bidir_2\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[7] gpio_control_bidir_2\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[8] gpio_control_bidir_2\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[9] gpio_control_bidir_2\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[0] gpio_control_bidir_2\[2\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[10] gpio_control_bidir_2\[2\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[11] gpio_control_bidir_2\[2\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[12] gpio_control_bidir_2\[2\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[1] gpio_control_bidir_2\[2\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[2] gpio_control_bidir_2\[2\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[3] gpio_control_bidir_2\[2\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[4] gpio_control_bidir_2\[2\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[5] gpio_control_bidir_2\[2\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[6] gpio_control_bidir_2\[2\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[7] gpio_control_bidir_2\[2\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[8] gpio_control_bidir_2\[2\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[9] gpio_control_bidir_2\[2\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[0] gpio_control_in_1a\[3\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[10] gpio_control_in_1a\[3\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[11] gpio_control_in_1a\[3\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[12] gpio_control_in_1a\[3\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[1] gpio_control_in_1a\[3\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[2] gpio_control_in_1a\[3\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[3] gpio_control_in_1a\[3\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[4] gpio_control_in_1a\[3\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[5] gpio_control_in_1a\[3\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[6] gpio_control_in_1a\[3\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[7] gpio_control_in_1a\[3\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[8] gpio_control_in_1a\[3\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[9] gpio_control_in_1a\[3\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[0] gpio_control_in_1a\[4\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[10] gpio_control_in_1a\[4\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[11] gpio_control_in_1a\[4\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[12] gpio_control_in_1a\[4\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[1] gpio_control_in_1a\[4\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[2] gpio_control_in_1a\[4\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[3] gpio_control_in_1a\[4\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[4] gpio_control_in_1a\[4\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[5] gpio_control_in_1a\[4\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[6] gpio_control_in_1a\[4\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[7] gpio_control_in_1a\[4\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[8] gpio_control_in_1a\[4\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[9] gpio_control_in_1a\[4\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[0] gpio_control_in_1a\[5\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[10] gpio_control_in_1a\[5\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[11] gpio_control_in_1a\[5\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[12] gpio_control_in_1a\[5\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[1] gpio_control_in_1a\[5\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[2] gpio_control_in_1a\[5\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[3] gpio_control_in_1a\[5\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[4] gpio_control_in_1a\[5\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[5] gpio_control_in_1a\[5\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[6] gpio_control_in_1a\[5\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[7] gpio_control_in_1a\[5\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[8] gpio_control_in_1a\[5\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[9] gpio_control_in_1a\[5\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[0] gpio_control_in_1\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[10] gpio_control_in_1\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[11] gpio_control_in_1\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[12] gpio_control_in_1\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[1] gpio_control_in_1\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[2] gpio_control_in_1\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[3] gpio_control_in_1\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[4] gpio_control_in_1\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[5] gpio_control_in_1\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[6] gpio_control_in_1\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[7] gpio_control_in_1\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[8] gpio_control_in_1\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[9] gpio_control_in_1\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[0] gpio_control_in_1\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[10] gpio_control_in_1\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[11] gpio_control_in_1\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[12] gpio_control_in_1\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[1] gpio_control_in_1\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[2] gpio_control_in_1\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[3] gpio_control_in_1\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[4] gpio_control_in_1\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[5] gpio_control_in_1\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[6] gpio_control_in_1\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[7] gpio_control_in_1\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[8] gpio_control_in_1\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[9] gpio_control_in_1\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[1] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[2] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_out padframe/mprj_io_out[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[0] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[0] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/resetn_out gpio_control_bidir_1\[1\]/resetn (0.296::0.296)) + (INTERCONNECT gpio_control_bidir_1\[0\]/resetn_out gpio_control_in_2\[1\]/resetn (0.833::0.833)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_clock_out gpio_control_bidir_1\[1\]/serial_clock (0.263::0.263)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_clock_out gpio_control_in_2\[1\]/serial_clock (2.515::2.515)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_data_out gpio_control_bidir_1\[1\]/serial_data_in (0.006::0.006)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_load_out gpio_control_bidir_1\[1\]/serial_load (0.296::0.296)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_load_out gpio_control_in_2\[1\]/serial_load (0.689::0.689)) + (INTERCONNECT gpio_control_bidir_1\[0\]/user_gpio_in mprj/io_in[0] (0.078::0.078)) + (INTERCONNECT gpio_control_bidir_1\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[1] (0.008::0.008)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[3] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[4] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[5] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[1] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_out padframe/mprj_io_out[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[1] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[1] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/resetn_out gpio_control_in_1a\[0\]/resetn (0.258::0.258)) + (INTERCONNECT gpio_control_bidir_1\[1\]/resetn_out gpio_control_in_2\[2\]/resetn (1.698::1.698)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_clock_out gpio_control_in_1a\[0\]/serial_clock (0.271::0.271)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_clock_out gpio_control_in_2\[2\]/serial_clock (1.671::1.671)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_data_out gpio_control_in_1a\[0\]/serial_data_in (0.006::0.006)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_load_out gpio_control_in_1a\[0\]/serial_load (0.182::0.182)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_load_out gpio_control_in_2\[2\]/serial_load (1.545::1.545)) + (INTERCONNECT gpio_control_bidir_1\[1\]/user_gpio_in mprj/io_in[1] (0.034::0.034)) + (INTERCONNECT gpio_control_bidir_2\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[35] (2.102::2.102)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[24] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[24] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[24] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[72] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[73] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[74] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[24] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[24] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[24] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_out padframe/mprj_io_out[24] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[24] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[24] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[24] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[0\]/resetn_out gpio_control_bidir_2\[1\]/resetn (0.153::0.153)) + (INTERCONNECT gpio_control_bidir_2\[0\]/resetn_out gpio_control_in_1\[3\]/resetn (1.345::1.345)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_clock_out gpio_control_bidir_2\[1\]/serial_clock (0.216::0.216)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_clock_out gpio_control_in_1\[3\]/serial_clock (1.402::1.402)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_data_out gpio_control_in_2\[9\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_load_out gpio_control_bidir_2\[1\]/serial_load (0.017::0.017)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_load_out gpio_control_in_1\[3\]/serial_load (0.286::0.286)) + (INTERCONNECT gpio_control_bidir_2\[0\]/user_gpio_in mprj/io_in[24] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[36] (1.972::1.972)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[25] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[25] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[25] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[75] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[76] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[77] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[25] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[25] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[25] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_out padframe/mprj_io_out[25] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[25] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[25] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[25] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[1\]/resetn_out gpio_control_bidir_2\[2\]/resetn (0.154::0.154)) + (INTERCONNECT gpio_control_bidir_2\[1\]/resetn_out gpio_control_in_1\[4\]/resetn (1.573::1.573)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_clock_out gpio_control_bidir_2\[2\]/serial_clock (0.170::0.170)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_clock_out gpio_control_in_1\[4\]/serial_clock (1.473::1.473)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_data_out gpio_control_bidir_2\[0\]/serial_data_in (0.003::0.003)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_load_out gpio_control_bidir_2\[2\]/serial_load (0.038::0.038)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_load_out gpio_control_in_1\[4\]/serial_load (0.380::0.380)) + (INTERCONNECT gpio_control_bidir_2\[1\]/user_gpio_in mprj/io_in[25] (0.006::0.006)) + (INTERCONNECT gpio_control_bidir_2\[2\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[37] (1.215::1.215)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_ana_en padframe/mprj_io_analog_en[26] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[26] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[26] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_dm[0] padframe/mprj_io_dm[78] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_dm[1] padframe/mprj_io_dm[79] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_dm[2] padframe/mprj_io_dm[80] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_holdover padframe/mprj_io_holdover[26] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[26] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_inenb padframe/mprj_io_inp_dis[26] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_out padframe/mprj_io_out[26] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_outenb padframe/mprj_io_oeb[26] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[26] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[26] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/resetn_out gpio_control_in_1\[5\]/resetn (1.900::1.900)) + (INTERCONNECT gpio_control_bidir_2\[2\]/serial_clock_out gpio_control_in_1\[5\]/serial_clock (2.098::2.098)) + (INTERCONNECT gpio_control_bidir_2\[2\]/serial_data_out gpio_control_bidir_2\[1\]/serial_data_in (0.003::0.003)) + (INTERCONNECT gpio_control_bidir_2\[2\]/serial_load_out gpio_control_in_1\[5\]/serial_load (1.948::1.948)) + (INTERCONNECT gpio_control_bidir_2\[2\]/user_gpio_in mprj/io_in[26] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1\[0\]/mgmt_gpio_in gpio_control_in_1\[0\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[8] (0.052::0.052)) + (INTERCONNECT gpio_control_in_1\[0\]/one gpio_control_in_1\[0\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[8] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[8] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[8] (0.008::0.008)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[24] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[25] (0.015::0.015)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[26] (0.018::0.018)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[8] (0.007::0.007)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[8] (0.007::0.007)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[8] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_out padframe/mprj_io_out[8] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[8] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[8] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[8] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[0\]/resetn_out gpio_control_in_1\[1\]/resetn (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[0\]/resetn_out gpio_control_in_2\[9\]/resetn (1.307::1.307)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_clock_out gpio_control_in_1\[1\]/serial_clock (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_clock_out gpio_control_in_2\[9\]/serial_clock (1.306::1.306)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_data_out gpio_control_in_1\[1\]/serial_data_in (0.006::0.006)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_load_out gpio_control_in_1\[1\]/serial_load (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_load_out gpio_control_in_2\[9\]/serial_load (0.233::0.233)) + (INTERCONNECT gpio_control_in_1\[0\]/user_gpio_in mprj/io_in[8] (0.022::0.022)) + (INTERCONNECT gpio_control_in_1\[1\]/mgmt_gpio_in gpio_control_in_1\[1\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[9] (0.066::0.066)) + (INTERCONNECT gpio_control_in_1\[1\]/one gpio_control_in_1\[1\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[9] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[9] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[9] (0.015::0.015)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[27] (0.017::0.017)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[28] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[29] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[9] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[9] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[9] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_out padframe/mprj_io_out[9] (0.017::0.017)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[9] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[9] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[9] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[1\]/resetn_out gpio_control_bidir_2\[0\]/resetn (1.323::1.323)) + (INTERCONNECT gpio_control_in_1\[1\]/resetn_out gpio_control_in_1\[2\]/resetn (0.039::0.039)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_clock_out gpio_control_bidir_2\[0\]/serial_clock (1.328::1.328)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_clock_out gpio_control_in_1\[2\]/serial_clock (0.043::0.043)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_data_out gpio_control_in_1\[2\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_load_out gpio_control_bidir_2\[0\]/serial_load (1.332::1.332)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_load_out gpio_control_in_1\[2\]/serial_load (0.041::0.041)) + (INTERCONNECT gpio_control_in_1\[1\]/user_gpio_in mprj/io_in[9] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[2\]/mgmt_gpio_in gpio_control_in_1\[2\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[2\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[10] (0.076::0.076)) + (INTERCONNECT gpio_control_in_1\[2\]/one gpio_control_in_1\[2\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_ana_en padframe/mprj_io_analog_en[10] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[10] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[10] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_dm[0] padframe/mprj_io_dm[30] (0.018::0.018)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_dm[1] padframe/mprj_io_dm[31] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_dm[2] padframe/mprj_io_dm[32] (0.017::0.017)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_holdover padframe/mprj_io_holdover[10] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[10] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_inenb padframe/mprj_io_inp_dis[10] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_out padframe/mprj_io_out[10] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_outenb padframe/mprj_io_oeb[10] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[10] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[10] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[2\]/resetn_out gpio_control_bidir_2\[1\]/resetn (2.898::2.898)) + (INTERCONNECT gpio_control_in_1\[2\]/resetn_out gpio_control_in_1\[3\]/resetn (0.040::0.040)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_clock_out gpio_control_bidir_2\[1\]/serial_clock (3.020::3.020)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_clock_out gpio_control_in_1\[3\]/serial_clock (0.007::0.007)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_data_out gpio_control_in_1\[3\]/serial_data_in (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_load_out gpio_control_bidir_2\[1\]/serial_load (1.314::1.314)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_load_out gpio_control_in_1\[3\]/serial_load (0.030::0.030)) + (INTERCONNECT gpio_control_in_1\[2\]/user_gpio_in mprj/io_in[10] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[3\]/mgmt_gpio_in gpio_control_in_1\[3\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[3\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[11] (0.087::0.087)) + (INTERCONNECT gpio_control_in_1\[3\]/one gpio_control_in_1\[3\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_ana_en padframe/mprj_io_analog_en[11] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[11] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[11] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_dm[0] padframe/mprj_io_dm[33] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_dm[1] padframe/mprj_io_dm[34] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_dm[2] padframe/mprj_io_dm[35] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_holdover padframe/mprj_io_holdover[11] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[11] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_inenb padframe/mprj_io_inp_dis[11] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_out padframe/mprj_io_out[11] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_outenb padframe/mprj_io_oeb[11] (0.009::0.009)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[11] (0.008::0.008)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[11] (0.006::0.006)) + (INTERCONNECT gpio_control_in_1\[3\]/resetn_out gpio_control_bidir_2\[2\]/resetn (3.027::3.027)) + (INTERCONNECT gpio_control_in_1\[3\]/resetn_out gpio_control_in_1\[4\]/resetn (0.056::0.056)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_clock_out gpio_control_bidir_2\[2\]/serial_clock (3.203::3.203)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_clock_out gpio_control_in_1\[4\]/serial_clock (0.038::0.038)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_data_out gpio_control_in_1\[4\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_load_out gpio_control_bidir_2\[2\]/serial_load (1.662::1.662)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_load_out gpio_control_in_1\[4\]/serial_load (0.052::0.052)) + (INTERCONNECT gpio_control_in_1\[3\]/user_gpio_in mprj/io_in[11] (0.019::0.019)) + (INTERCONNECT gpio_control_in_1\[4\]/mgmt_gpio_in gpio_control_in_1\[4\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[4\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[12] (0.090::0.090)) + (INTERCONNECT gpio_control_in_1\[4\]/one gpio_control_in_1\[4\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_ana_en padframe/mprj_io_analog_en[12] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[12] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[12] (0.017::0.017)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_dm[0] padframe/mprj_io_dm[36] (0.009::0.009)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_dm[1] padframe/mprj_io_dm[37] (0.015::0.015)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_dm[2] padframe/mprj_io_dm[38] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_holdover padframe/mprj_io_holdover[12] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[12] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_inenb padframe/mprj_io_inp_dis[12] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_out padframe/mprj_io_out[12] (0.019::0.019)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_outenb padframe/mprj_io_oeb[12] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[12] (0.009::0.009)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[12] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[4\]/resetn_out gpio_control_in_1\[5\]/resetn (0.114::0.114)) + (INTERCONNECT gpio_control_in_1\[4\]/serial_clock_out gpio_control_in_1\[5\]/serial_clock (0.108::0.108)) + (INTERCONNECT gpio_control_in_1\[4\]/serial_data_out gpio_control_in_1\[5\]/serial_data_in (0.036::0.036)) + (INTERCONNECT gpio_control_in_1\[4\]/serial_load_out gpio_control_in_1\[5\]/serial_load (0.144::0.144)) + (INTERCONNECT gpio_control_in_1\[4\]/user_gpio_in mprj/io_in[12] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[5\]/mgmt_gpio_in gpio_control_in_1\[5\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[5\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[13] (0.345::0.345)) + (INTERCONNECT gpio_control_in_1\[5\]/one gpio_control_in_1\[5\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_ana_en padframe/mprj_io_analog_en[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_dm[0] padframe/mprj_io_dm[39] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_dm[1] padframe/mprj_io_dm[40] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_dm[2] padframe/mprj_io_dm[41] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_holdover padframe/mprj_io_holdover[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_inenb padframe/mprj_io_inp_dis[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_out padframe/mprj_io_out[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_outenb padframe/mprj_io_oeb[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[13] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/user_gpio_in mprj/io_in[13] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1a\[0\]/mgmt_gpio_in gpio_control_in_1a\[0\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[2] (0.006::0.006)) + (INTERCONNECT gpio_control_in_1a\[0\]/one gpio_control_in_1a\[0\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[7] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[8] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_out padframe/mprj_io_out[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[2] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/resetn_out gpio_control_in_1a\[1\]/resetn (0.018::0.018)) + (INTERCONNECT gpio_control_in_1a\[0\]/resetn_out gpio_control_in_2\[3\]/resetn (0.311::0.311)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_clock_out gpio_control_in_1a\[1\]/serial_clock (0.204::0.204)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_clock_out gpio_control_in_2\[3\]/serial_clock (1.569::1.569)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_data_out gpio_control_in_1a\[1\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_load_out gpio_control_in_1a\[1\]/serial_load (0.243::0.243)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_load_out gpio_control_in_2\[3\]/serial_load (1.428::1.428)) + (INTERCONNECT gpio_control_in_1a\[0\]/user_gpio_in mprj/io_in[2] (0.018::0.018)) + (INTERCONNECT gpio_control_in_1a\[1\]/mgmt_gpio_in gpio_control_in_1a\[1\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[3] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1a\[1\]/one gpio_control_in_1a\[1\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[9] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[10] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[11] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_out padframe/mprj_io_out[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[3] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[3] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/resetn_out gpio_control_in_1a\[2\]/resetn (0.116::0.116)) + (INTERCONNECT gpio_control_in_1a\[1\]/resetn_out gpio_control_in_2\[4\]/resetn (1.370::1.370)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_clock_out gpio_control_in_1a\[2\]/serial_clock (0.110::0.110)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_clock_out gpio_control_in_2\[4\]/serial_clock (1.216::1.216)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_data_out gpio_control_in_1a\[2\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_load_out gpio_control_in_1a\[2\]/serial_load (0.101::0.101)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_load_out gpio_control_in_2\[4\]/serial_load (1.158::1.158)) + (INTERCONNECT gpio_control_in_1a\[1\]/user_gpio_in mprj/io_in[3] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1a\[2\]/mgmt_gpio_in gpio_control_in_1a\[2\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[2\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[4] (0.020::0.020)) + (INTERCONNECT gpio_control_in_1a\[2\]/one gpio_control_in_1a\[2\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_ana_en padframe/mprj_io_analog_en[4] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_dm[0] padframe/mprj_io_dm[12] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_dm[1] padframe/mprj_io_dm[13] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_dm[2] padframe/mprj_io_dm[14] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_holdover padframe/mprj_io_holdover[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_inenb padframe/mprj_io_inp_dis[4] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_out padframe/mprj_io_out[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_outenb padframe/mprj_io_oeb[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[4] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/resetn_out gpio_control_in_1a\[3\]/resetn (0.024::0.024)) + (INTERCONNECT gpio_control_in_1a\[2\]/resetn_out gpio_control_in_2\[5\]/resetn (1.101::1.101)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_clock_out gpio_control_in_1a\[3\]/serial_clock (0.035::0.035)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_clock_out gpio_control_in_2\[5\]/serial_clock (1.419::1.419)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_data_out gpio_control_in_1a\[3\]/serial_data_in (0.007::0.007)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_load_out gpio_control_in_1a\[3\]/serial_load (0.033::0.033)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_load_out gpio_control_in_2\[5\]/serial_load (0.357::0.357)) + (INTERCONNECT gpio_control_in_1a\[2\]/user_gpio_in mprj/io_in[4] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[3\]/mgmt_gpio_in gpio_control_in_1a\[3\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[3\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[5] (0.020::0.020)) + (INTERCONNECT gpio_control_in_1a\[3\]/one gpio_control_in_1a\[3\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_ana_en padframe/mprj_io_analog_en[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_dm[0] padframe/mprj_io_dm[15] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_dm[1] padframe/mprj_io_dm[16] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_dm[2] padframe/mprj_io_dm[17] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_holdover padframe/mprj_io_holdover[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_inenb padframe/mprj_io_inp_dis[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_out padframe/mprj_io_out[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_outenb padframe/mprj_io_oeb[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/resetn_out gpio_control_in_1a\[4\]/resetn (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[3\]/resetn_out gpio_control_in_2\[6\]/resetn (0.235::0.235)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_clock_out gpio_control_in_1a\[4\]/serial_clock (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_clock_out gpio_control_in_2\[6\]/serial_clock (0.254::0.254)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_data_out gpio_control_in_1a\[4\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_load_out gpio_control_in_1a\[4\]/serial_load (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_load_out gpio_control_in_2\[6\]/serial_load (0.291::0.291)) + (INTERCONNECT gpio_control_in_1a\[3\]/user_gpio_in mprj/io_in[5] (0.006::0.006)) + (INTERCONNECT gpio_control_in_1a\[4\]/mgmt_gpio_in gpio_control_in_1a\[4\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[6] (0.032::0.032)) + (INTERCONNECT gpio_control_in_1a\[4\]/one gpio_control_in_1a\[4\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_ana_en padframe/mprj_io_analog_en[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_dm[0] padframe/mprj_io_dm[18] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_dm[1] padframe/mprj_io_dm[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_dm[2] padframe/mprj_io_dm[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_holdover padframe/mprj_io_holdover[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_inenb padframe/mprj_io_inp_dis[6] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_out padframe/mprj_io_out[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_outenb padframe/mprj_io_oeb[6] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[6] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/resetn_out gpio_control_in_1a\[5\]/resetn (0.014::0.014)) + (INTERCONNECT gpio_control_in_1a\[4\]/resetn_out gpio_control_in_2\[7\]/resetn (0.174::0.174)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_clock_out gpio_control_in_1a\[5\]/serial_clock (0.021::0.021)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_clock_out gpio_control_in_2\[7\]/serial_clock (1.267::1.267)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_data_out gpio_control_in_1a\[5\]/serial_data_in (0.007::0.007)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_load_out gpio_control_in_1a\[5\]/serial_load (0.012::0.012)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_load_out gpio_control_in_2\[7\]/serial_load (0.185::0.185)) + (INTERCONNECT gpio_control_in_1a\[4\]/user_gpio_in mprj/io_in[6] (0.006::0.006)) + (INTERCONNECT gpio_control_in_1a\[5\]/mgmt_gpio_in gpio_control_in_1a\[5\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[5\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[7] (0.039::0.039)) + (INTERCONNECT gpio_control_in_1a\[5\]/one gpio_control_in_1a\[5\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_ana_en padframe/mprj_io_analog_en[7] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[7] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[7] (0.018::0.018)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_dm[0] padframe/mprj_io_dm[21] (0.015::0.015)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_dm[1] padframe/mprj_io_dm[22] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_dm[2] padframe/mprj_io_dm[23] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_holdover padframe/mprj_io_holdover[7] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[7] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_inenb padframe/mprj_io_inp_dis[7] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_out padframe/mprj_io_out[7] (0.015::0.015)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_outenb padframe/mprj_io_oeb[7] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[7] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[7] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1a\[5\]/resetn_out gpio_control_in_1\[0\]/resetn (0.009::0.009)) + (INTERCONNECT gpio_control_in_1a\[5\]/resetn_out gpio_control_in_2\[8\]/resetn (1.483::1.483)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_clock_out gpio_control_in_1\[0\]/serial_clock (0.007::0.007)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_clock_out gpio_control_in_2\[8\]/serial_clock (1.649::1.649)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_data_out gpio_control_in_1\[0\]/serial_data_in (0.003::0.003)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_load_out gpio_control_in_1\[0\]/serial_load (0.005::0.005)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_load_out gpio_control_in_2\[8\]/serial_load (0.165::0.165)) + (INTERCONNECT gpio_control_in_1a\[5\]/user_gpio_in mprj/io_in[7] (0.020::0.020)) + (INTERCONNECT gpio_control_in_2\[0\]/mgmt_gpio_in gpio_control_in_2\[0\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[25] (1.881::1.881)) + (INTERCONNECT gpio_control_in_2\[0\]/one gpio_control_in_2\[0\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[14] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[14] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[14] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[42] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[43] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[44] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[14] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[14] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[14] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_out padframe/mprj_io_out[14] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[14] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[14] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[14] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[0\]/resetn_out gpio_control_bidir_1\[1\]/resetn (4.109::4.109)) + (INTERCONNECT gpio_control_in_2\[0\]/resetn_out gpio_control_in_2\[1\]/resetn (0.572::0.572)) + (INTERCONNECT gpio_control_in_2\[0\]/serial_clock_out gpio_control_bidir_1\[1\]/serial_clock (4.787::4.787)) + (INTERCONNECT gpio_control_in_2\[0\]/serial_clock_out gpio_control_in_2\[1\]/serial_clock (0.336::0.336)) + (INTERCONNECT gpio_control_in_2\[0\]/serial_load_out gpio_control_bidir_1\[1\]/serial_load (3.828::3.828)) + (INTERCONNECT gpio_control_in_2\[0\]/serial_load_out gpio_control_in_2\[1\]/serial_load (0.037::0.037)) + (INTERCONNECT gpio_control_in_2\[0\]/user_gpio_in mprj/io_in[14] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[1\]/mgmt_gpio_in gpio_control_in_2\[1\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[26] (1.473::1.473)) + (INTERCONNECT gpio_control_in_2\[1\]/one gpio_control_in_2\[1\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[15] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[15] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[15] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[45] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[46] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[47] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[15] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[15] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[15] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_out padframe/mprj_io_out[15] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[15] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[15] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[15] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[1\]/resetn_out gpio_control_in_1a\[0\]/resetn (4.849::4.849)) + (INTERCONNECT gpio_control_in_2\[1\]/resetn_out gpio_control_in_2\[2\]/resetn (0.241::0.241)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_clock_out gpio_control_in_1a\[0\]/serial_clock (4.681::4.681)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_clock_out gpio_control_in_2\[2\]/serial_clock (0.029::0.029)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_data_out gpio_control_in_2\[0\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_load_out gpio_control_in_1a\[0\]/serial_load (3.319::3.319)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_load_out gpio_control_in_2\[2\]/serial_load (0.187::0.187)) + (INTERCONNECT gpio_control_in_2\[1\]/user_gpio_in mprj/io_in[15] (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[2\]/mgmt_gpio_in gpio_control_in_2\[2\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[2\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[27] (1.611::1.611)) + (INTERCONNECT gpio_control_in_2\[2\]/one gpio_control_in_2\[2\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_ana_en padframe/mprj_io_analog_en[16] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[16] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[16] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_dm[0] padframe/mprj_io_dm[48] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_dm[1] padframe/mprj_io_dm[49] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_dm[2] padframe/mprj_io_dm[50] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_holdover padframe/mprj_io_holdover[16] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[16] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_inenb padframe/mprj_io_inp_dis[16] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_out padframe/mprj_io_out[16] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_outenb padframe/mprj_io_oeb[16] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[16] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[16] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[2\]/resetn_out gpio_control_in_1a\[1\]/resetn (1.388::1.388)) + (INTERCONNECT gpio_control_in_2\[2\]/resetn_out gpio_control_in_2\[3\]/resetn (0.131::0.131)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_clock_out gpio_control_in_1a\[1\]/serial_clock (3.009::3.009)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_clock_out gpio_control_in_2\[3\]/serial_clock (0.180::0.180)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_data_out gpio_control_in_2\[1\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_load_out gpio_control_in_1a\[1\]/serial_load (4.166::4.166)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_load_out gpio_control_in_2\[3\]/serial_load (0.220::0.220)) + (INTERCONNECT gpio_control_in_2\[2\]/user_gpio_in mprj/io_in[16] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[3\]/mgmt_gpio_in gpio_control_in_2\[3\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[3\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[28] (0.404::0.404)) + (INTERCONNECT gpio_control_in_2\[3\]/one gpio_control_in_2\[3\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_ana_en padframe/mprj_io_analog_en[17] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[17] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[17] (0.013::0.013)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_dm[0] padframe/mprj_io_dm[51] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_dm[1] padframe/mprj_io_dm[52] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_dm[2] padframe/mprj_io_dm[53] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_holdover padframe/mprj_io_holdover[17] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[17] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_inenb padframe/mprj_io_inp_dis[17] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_out padframe/mprj_io_out[17] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_outenb padframe/mprj_io_oeb[17] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[17] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[17] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[3\]/resetn_out gpio_control_in_1a\[2\]/resetn (4.391::4.391)) + (INTERCONNECT gpio_control_in_2\[3\]/resetn_out gpio_control_in_2\[4\]/resetn (0.450::0.450)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_clock_out gpio_control_in_1a\[2\]/serial_clock (4.195::4.195)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_clock_out gpio_control_in_2\[4\]/serial_clock (0.224::0.224)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_data_out gpio_control_in_2\[2\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_load_out gpio_control_in_1a\[2\]/serial_load (4.184::4.184)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_load_out gpio_control_in_2\[4\]/serial_load (0.207::0.207)) + (INTERCONNECT gpio_control_in_2\[3\]/user_gpio_in mprj/io_in[17] (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[4\]/mgmt_gpio_in gpio_control_in_2\[4\]/mgmt_gpio_out (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[4\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[29] (1.413::1.413)) + (INTERCONNECT gpio_control_in_2\[4\]/one gpio_control_in_2\[4\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_ana_en padframe/mprj_io_analog_en[18] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[18] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[18] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_dm[0] padframe/mprj_io_dm[54] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_dm[1] padframe/mprj_io_dm[55] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_dm[2] padframe/mprj_io_dm[56] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_holdover padframe/mprj_io_holdover[18] (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[18] (0.007::0.007)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_inenb padframe/mprj_io_inp_dis[18] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_out padframe/mprj_io_out[18] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_outenb padframe/mprj_io_oeb[18] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[18] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[18] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[4\]/resetn_out gpio_control_in_1a\[3\]/resetn (2.786::2.786)) + (INTERCONNECT gpio_control_in_2\[4\]/resetn_out gpio_control_in_2\[5\]/resetn (0.169::0.169)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_clock_out gpio_control_in_1a\[3\]/serial_clock (4.327::4.327)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_clock_out gpio_control_in_2\[5\]/serial_clock (0.502::0.502)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_data_out gpio_control_in_2\[3\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_load_out gpio_control_in_1a\[3\]/serial_load (1.298::1.298)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_load_out gpio_control_in_2\[5\]/serial_load (0.253::0.253)) + (INTERCONNECT gpio_control_in_2\[4\]/user_gpio_in mprj/io_in[18] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[5\]/mgmt_gpio_in gpio_control_in_2\[5\]/mgmt_gpio_out (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[5\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[30] (1.364::1.364)) + (INTERCONNECT gpio_control_in_2\[5\]/one gpio_control_in_2\[5\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_ana_en padframe/mprj_io_analog_en[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_dm[0] padframe/mprj_io_dm[57] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_dm[1] padframe/mprj_io_dm[58] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_dm[2] padframe/mprj_io_dm[59] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_holdover padframe/mprj_io_holdover[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_inenb padframe/mprj_io_inp_dis[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_out padframe/mprj_io_out[19] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_outenb padframe/mprj_io_oeb[19] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[19] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/resetn_out gpio_control_in_1a\[4\]/resetn (2.158::2.158)) + (INTERCONNECT gpio_control_in_2\[5\]/resetn_out gpio_control_in_2\[6\]/resetn (0.252::0.252)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_clock_out gpio_control_in_1a\[4\]/serial_clock (2.076::2.076)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_clock_out gpio_control_in_2\[6\]/serial_clock (0.119::0.119)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_data_out gpio_control_in_2\[4\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_load_out gpio_control_in_1a\[4\]/serial_load (1.797::1.797)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_load_out gpio_control_in_2\[6\]/serial_load (0.213::0.213)) + (INTERCONNECT gpio_control_in_2\[5\]/user_gpio_in mprj/io_in[19] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[6\]/mgmt_gpio_in gpio_control_in_2\[6\]/mgmt_gpio_out (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[6\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[31] (1.173::1.173)) + (INTERCONNECT gpio_control_in_2\[6\]/one gpio_control_in_2\[6\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_ana_en padframe/mprj_io_analog_en[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_dm[0] padframe/mprj_io_dm[60] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_dm[1] padframe/mprj_io_dm[61] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_dm[2] padframe/mprj_io_dm[62] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_holdover padframe/mprj_io_holdover[20] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_inenb padframe/mprj_io_inp_dis[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_out padframe/mprj_io_out[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_outenb padframe/mprj_io_oeb[20] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[20] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[6\]/resetn_out gpio_control_in_1a\[5\]/resetn (1.258::1.258)) + (INTERCONNECT gpio_control_in_2\[6\]/resetn_out gpio_control_in_2\[7\]/resetn (0.720::0.720)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_clock_out gpio_control_in_1a\[5\]/serial_clock (2.498::2.498)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_clock_out gpio_control_in_2\[7\]/serial_clock (0.170::0.170)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_data_out gpio_control_in_2\[5\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_load_out gpio_control_in_1a\[5\]/serial_load (1.235::1.235)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_load_out gpio_control_in_2\[7\]/serial_load (0.684::0.684)) + (INTERCONNECT gpio_control_in_2\[6\]/user_gpio_in mprj/io_in[20] (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[7\]/mgmt_gpio_in gpio_control_in_2\[7\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[7\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[32] (0.689::0.689)) + (INTERCONNECT gpio_control_in_2\[7\]/one gpio_control_in_2\[7\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_ana_en padframe/mprj_io_analog_en[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_dm[0] padframe/mprj_io_dm[63] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_dm[1] padframe/mprj_io_dm[64] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_dm[2] padframe/mprj_io_dm[65] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_holdover padframe/mprj_io_holdover[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[21] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_inenb padframe/mprj_io_inp_dis[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_out padframe/mprj_io_out[21] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_outenb padframe/mprj_io_oeb[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[21] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[21] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[7\]/resetn_out gpio_control_in_1\[0\]/resetn (2.779::2.779)) + (INTERCONNECT gpio_control_in_2\[7\]/resetn_out gpio_control_in_2\[8\]/resetn (0.033::0.033)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_clock_out gpio_control_in_1\[0\]/serial_clock (3.013::3.013)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_clock_out gpio_control_in_2\[8\]/serial_clock (0.270::0.270)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_data_out gpio_control_in_2\[6\]/serial_data_in (0.007::0.007)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_load_out gpio_control_in_1\[0\]/serial_load (0.552::0.552)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_load_out gpio_control_in_2\[8\]/serial_load (0.189::0.189)) + (INTERCONNECT gpio_control_in_2\[7\]/user_gpio_in mprj/io_in[21] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[8\]/mgmt_gpio_in gpio_control_in_2\[8\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[8\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[33] (0.595::0.595)) + (INTERCONNECT gpio_control_in_2\[8\]/one gpio_control_in_2\[8\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_ana_en padframe/mprj_io_analog_en[22] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[22] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[22] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_dm[0] padframe/mprj_io_dm[66] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_dm[1] padframe/mprj_io_dm[67] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_dm[2] padframe/mprj_io_dm[68] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_holdover padframe/mprj_io_holdover[22] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[22] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_inenb padframe/mprj_io_inp_dis[22] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_out padframe/mprj_io_out[22] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_outenb padframe/mprj_io_oeb[22] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[22] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[22] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[8\]/resetn_out gpio_control_in_1\[1\]/resetn (3.222::3.222)) + (INTERCONNECT gpio_control_in_2\[8\]/resetn_out gpio_control_in_2\[9\]/resetn (0.045::0.045)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_clock_out gpio_control_in_1\[1\]/serial_clock (3.446::3.446)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_clock_out gpio_control_in_2\[9\]/serial_clock (0.208::0.208)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_data_out gpio_control_in_2\[7\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_load_out gpio_control_in_1\[1\]/serial_load (0.809::0.809)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_load_out gpio_control_in_2\[9\]/serial_load (0.032::0.032)) + (INTERCONNECT gpio_control_in_2\[8\]/user_gpio_in mprj/io_in[22] (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[9\]/mgmt_gpio_in gpio_control_in_2\[9\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[9\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[34] (0.457::0.457)) + (INTERCONNECT gpio_control_in_2\[9\]/one gpio_control_in_2\[9\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_ana_en padframe/mprj_io_analog_en[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[23] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_dm[0] padframe/mprj_io_dm[69] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_dm[1] padframe/mprj_io_dm[70] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_dm[2] padframe/mprj_io_dm[71] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_holdover padframe/mprj_io_holdover[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[23] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_inenb padframe/mprj_io_inp_dis[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_out padframe/mprj_io_out[23] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_outenb padframe/mprj_io_oeb[23] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/resetn_out gpio_control_bidir_2\[0\]/resetn (0.253::0.253)) + (INTERCONNECT gpio_control_in_2\[9\]/resetn_out gpio_control_in_1\[2\]/resetn (3.104::3.104)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_clock_out gpio_control_bidir_2\[0\]/serial_clock (0.029::0.029)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_clock_out gpio_control_in_1\[2\]/serial_clock (3.179::3.179)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_data_out gpio_control_in_2\[8\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_load_out gpio_control_bidir_2\[0\]/serial_load (0.229::0.229)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_load_out gpio_control_in_1\[2\]/serial_load (3.391::3.391)) + (INTERCONNECT gpio_control_in_2\[9\]/user_gpio_in mprj/io_in[23] (0.006::0.006)) + (INTERCONNECT housekeeping/debug_in soc/debug_in (0.006::0.006)) + (INTERCONNECT housekeeping/irq[0] soc/irq[3] (0.004::0.004)) + (INTERCONNECT housekeeping/irq[1] soc/irq[4] (0.005::0.005)) + (INTERCONNECT housekeeping/irq[2] soc/irq[5] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_oeb[35] gpio_control_bidir_2\[0\]/mgmt_gpio_oeb (1.986::1.986)) + (INTERCONNECT housekeeping/mgmt_gpio_oeb[36] gpio_control_bidir_2\[1\]/mgmt_gpio_oeb (1.945::1.945)) + (INTERCONNECT housekeeping/mgmt_gpio_oeb[37] gpio_control_bidir_2\[2\]/mgmt_gpio_oeb (0.640::0.640)) + (INTERCONNECT housekeeping/mgmt_gpio_out[10] gpio_control_in_1\[2\]/mgmt_gpio_out (0.682::0.682)) + (INTERCONNECT housekeeping/mgmt_gpio_out[10] housekeeping/mgmt_gpio_in[10] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[11] gpio_control_in_1\[3\]/mgmt_gpio_out (0.811::0.811)) + (INTERCONNECT housekeeping/mgmt_gpio_out[11] housekeeping/mgmt_gpio_in[11] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[12] gpio_control_in_1\[4\]/mgmt_gpio_out (0.948::0.948)) + (INTERCONNECT housekeeping/mgmt_gpio_out[12] housekeeping/mgmt_gpio_in[12] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[13] gpio_control_in_1\[5\]/mgmt_gpio_out (1.019::1.019)) + (INTERCONNECT housekeeping/mgmt_gpio_out[13] housekeeping/mgmt_gpio_in[13] (0.001::0.001)) + (INTERCONNECT housekeeping/mgmt_gpio_out[14] housekeeping/mgmt_gpio_in[14] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[15] housekeeping/mgmt_gpio_in[15] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[16] housekeeping/mgmt_gpio_in[16] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[17] housekeeping/mgmt_gpio_in[17] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[18] housekeeping/mgmt_gpio_in[18] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[19] housekeeping/mgmt_gpio_in[19] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[20] housekeeping/mgmt_gpio_in[20] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[21] housekeeping/mgmt_gpio_in[21] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[22] housekeeping/mgmt_gpio_in[22] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[23] housekeeping/mgmt_gpio_in[23] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[24] housekeeping/mgmt_gpio_in[24] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[25] gpio_control_in_2\[0\]/mgmt_gpio_out (3.915::3.915)) + (INTERCONNECT housekeeping/mgmt_gpio_out[25] housekeeping/mgmt_gpio_in[25] (0.007::0.007)) + (INTERCONNECT housekeeping/mgmt_gpio_out[26] gpio_control_in_2\[1\]/mgmt_gpio_out (3.222::3.222)) + (INTERCONNECT housekeeping/mgmt_gpio_out[26] housekeeping/mgmt_gpio_in[26] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[27] gpio_control_in_2\[2\]/mgmt_gpio_out (2.652::2.652)) + (INTERCONNECT housekeeping/mgmt_gpio_out[27] housekeeping/mgmt_gpio_in[27] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[28] gpio_control_in_2\[3\]/mgmt_gpio_out (0.366::0.366)) + (INTERCONNECT housekeeping/mgmt_gpio_out[28] housekeeping/mgmt_gpio_in[28] (0.005::0.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[29] gpio_control_in_2\[4\]/mgmt_gpio_out (2.987::2.987)) + (INTERCONNECT housekeeping/mgmt_gpio_out[29] housekeeping/mgmt_gpio_in[29] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[2] gpio_control_in_1a\[0\]/mgmt_gpio_out (0.027::0.027)) + (INTERCONNECT housekeeping/mgmt_gpio_out[2] housekeeping/mgmt_gpio_in[2] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[30] gpio_control_in_2\[5\]/mgmt_gpio_out (2.856::2.856)) + (INTERCONNECT housekeeping/mgmt_gpio_out[30] housekeeping/mgmt_gpio_in[30] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[31] gpio_control_in_2\[6\]/mgmt_gpio_out (2.911::2.911)) + (INTERCONNECT housekeeping/mgmt_gpio_out[31] housekeeping/mgmt_gpio_in[31] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[32] gpio_control_in_2\[7\]/mgmt_gpio_out (1.771::1.771)) + (INTERCONNECT housekeeping/mgmt_gpio_out[32] housekeeping/mgmt_gpio_in[32] (0.005::0.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[33] gpio_control_in_2\[8\]/mgmt_gpio_out (2.331::2.331)) + (INTERCONNECT housekeeping/mgmt_gpio_out[33] housekeeping/mgmt_gpio_in[33] (0.005::0.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[34] gpio_control_in_2\[9\]/mgmt_gpio_out (2.151::2.151)) + (INTERCONNECT housekeeping/mgmt_gpio_out[34] housekeeping/mgmt_gpio_in[34] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[35] gpio_control_bidir_2\[0\]/mgmt_gpio_out (2.065::2.065)) + (INTERCONNECT housekeeping/mgmt_gpio_out[36] gpio_control_bidir_2\[1\]/mgmt_gpio_out (2.005::2.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[37] gpio_control_bidir_2\[2\]/mgmt_gpio_out (1.349::1.349)) + (INTERCONNECT housekeeping/mgmt_gpio_out[3] gpio_control_in_1a\[1\]/mgmt_gpio_out (0.053::0.053)) + (INTERCONNECT housekeeping/mgmt_gpio_out[3] housekeeping/mgmt_gpio_in[3] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[4] gpio_control_in_1a\[2\]/mgmt_gpio_out (0.090::0.090)) + (INTERCONNECT housekeeping/mgmt_gpio_out[4] housekeeping/mgmt_gpio_in[4] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[5] gpio_control_in_1a\[3\]/mgmt_gpio_out (0.146::0.146)) + (INTERCONNECT housekeeping/mgmt_gpio_out[5] housekeeping/mgmt_gpio_in[5] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[6] gpio_control_in_1a\[4\]/mgmt_gpio_out (0.193::0.193)) + (INTERCONNECT housekeeping/mgmt_gpio_out[6] housekeeping/mgmt_gpio_in[6] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[7] gpio_control_in_1a\[5\]/mgmt_gpio_out (0.377::0.377)) + (INTERCONNECT housekeeping/mgmt_gpio_out[7] housekeeping/mgmt_gpio_in[7] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[8] gpio_control_in_1\[0\]/mgmt_gpio_out (0.468::0.468)) + (INTERCONNECT housekeeping/mgmt_gpio_out[8] housekeeping/mgmt_gpio_in[8] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[9] gpio_control_in_1\[1\]/mgmt_gpio_out (0.567::0.567)) + (INTERCONNECT housekeeping/mgmt_gpio_out[9] housekeeping/mgmt_gpio_in[9] (0.000::0.000)) + (INTERCONNECT housekeeping/pad_flash_clk padframe/flash_clk_core (0.140::0.140)) + (INTERCONNECT housekeeping/pad_flash_clk_oeb padframe/flash_clk_oeb_core (0.309::0.309)) + (INTERCONNECT housekeeping/pad_flash_csb padframe/flash_csb_core (0.179::0.179)) + (INTERCONNECT housekeeping/pad_flash_csb_oeb padframe/flash_csb_oeb_core (0.491::0.491)) + (INTERCONNECT housekeeping/pad_flash_io0_do padframe/flash_io0_do_core (0.110::0.110)) + (INTERCONNECT housekeeping/pad_flash_io0_ieb padframe/flash_io0_ieb_core (0.124::0.124)) + (INTERCONNECT housekeeping/pad_flash_io0_oeb padframe/flash_io0_oeb_core (0.132::0.132)) + (INTERCONNECT housekeeping/pad_flash_io1_do padframe/flash_io1_do_core (0.060::0.060)) + (INTERCONNECT housekeeping/pad_flash_io1_ieb padframe/flash_io1_ieb_core (0.061::0.061)) + (INTERCONNECT housekeeping/pad_flash_io1_oeb padframe/flash_io1_oeb_core (0.052::0.052)) + (INTERCONNECT housekeeping/pll90_sel[0] clocking/sel2[0] (0.986::0.986)) + (INTERCONNECT housekeeping/pll90_sel[1] clocking/sel2[1] (0.978::0.978)) + (INTERCONNECT housekeeping/pll90_sel[2] clocking/sel2[2] (0.988::0.988)) + (INTERCONNECT housekeeping/pll_bypass clocking/ext_clk_sel (0.983::0.983)) + (INTERCONNECT housekeeping/pll_dco_ena pll/dco (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[0] pll/div[0] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[1] pll/div[1] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[2] pll/div[2] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[3] pll/div[3] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[4] pll/div[4] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_ena pll/enable (0.001::0.001)) + (INTERCONNECT housekeeping/pll_sel[0] clocking/sel[0] (0.630::0.630)) + (INTERCONNECT housekeeping/pll_sel[1] clocking/sel[1] (0.981::0.981)) + (INTERCONNECT housekeeping/pll_sel[2] clocking/sel[2] (0.843::0.843)) + (INTERCONNECT housekeeping/pll_trim[0] pll/ext_trim[0] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[10] pll/ext_trim[10] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[11] pll/ext_trim[11] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[12] pll/ext_trim[12] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[13] pll/ext_trim[13] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[14] pll/ext_trim[14] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[15] pll/ext_trim[15] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[16] pll/ext_trim[16] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[17] pll/ext_trim[17] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[18] pll/ext_trim[18] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[19] pll/ext_trim[19] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[1] pll/ext_trim[1] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[20] pll/ext_trim[20] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[21] pll/ext_trim[21] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[22] pll/ext_trim[22] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_trim[23] pll/ext_trim[23] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_trim[24] pll/ext_trim[24] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_trim[25] pll/ext_trim[25] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_trim[2] pll/ext_trim[2] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[3] pll/ext_trim[3] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[4] pll/ext_trim[4] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[5] pll/ext_trim[5] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[6] pll/ext_trim[6] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[7] pll/ext_trim[7] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[8] pll/ext_trim[8] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[9] pll/ext_trim[9] (0.000::0.000)) + (INTERCONNECT housekeeping/reset clocking/ext_reset (0.733::0.733)) + (INTERCONNECT housekeeping/ser_rx soc/ser_rx (0.005::0.005)) + (INTERCONNECT housekeeping/serial_clock gpio_control_bidir_1\[0\]/serial_clock (0.174::0.174)) + (INTERCONNECT housekeeping/serial_clock gpio_control_in_2\[0\]/serial_clock (1.170::1.170)) + (INTERCONNECT housekeeping/serial_data_1 gpio_control_bidir_1\[0\]/serial_data_in (0.002::0.002)) + (INTERCONNECT housekeeping/serial_data_2 gpio_control_bidir_2\[2\]/serial_data_in (0.506::0.506)) + (INTERCONNECT housekeeping/serial_load gpio_control_bidir_1\[0\]/serial_load (0.169::0.169)) + (INTERCONNECT housekeeping/serial_load gpio_control_in_2\[0\]/serial_load (2.100::2.100)) + (INTERCONNECT housekeeping/serial_resetn gpio_control_bidir_1\[0\]/resetn (0.187::0.187)) + (INTERCONNECT housekeeping/serial_resetn gpio_control_in_2\[0\]/resetn (2.427::2.427)) + (INTERCONNECT housekeeping/spi_sdi soc/spi_sdi (0.004::0.004)) + (INTERCONNECT housekeeping/spimemio_flash_io0_di soc/flash_io0_di (0.001::0.001)) + (INTERCONNECT housekeeping/spimemio_flash_io1_di soc/flash_io1_di (0.001::0.001)) + (INTERCONNECT housekeeping/spimemio_flash_io2_di soc/flash_io2_di (0.002::0.002)) + (INTERCONNECT housekeeping/spimemio_flash_io3_di soc/flash_io3_di (0.002::0.002)) + (INTERCONNECT housekeeping/sram_ro_addr[0] soc/sram_ro_addr[0] (0.011::0.011)) + (INTERCONNECT housekeeping/sram_ro_addr[1] soc/sram_ro_addr[1] (0.010::0.010)) + (INTERCONNECT housekeeping/sram_ro_addr[2] soc/sram_ro_addr[2] (0.010::0.010)) + (INTERCONNECT housekeeping/sram_ro_addr[3] soc/sram_ro_addr[3] (0.011::0.011)) + (INTERCONNECT housekeeping/sram_ro_addr[4] soc/sram_ro_addr[4] (0.011::0.011)) + (INTERCONNECT housekeeping/sram_ro_addr[5] soc/sram_ro_addr[5] (0.010::0.010)) + (INTERCONNECT housekeeping/sram_ro_addr[6] soc/sram_ro_addr[6] (0.010::0.010)) + (INTERCONNECT housekeeping/sram_ro_addr[7] soc/sram_ro_addr[7] (0.008::0.008)) + (INTERCONNECT housekeeping/sram_ro_clk soc/sram_ro_clk (0.009::0.009)) + (INTERCONNECT housekeeping/sram_ro_csb soc/sram_ro_csb (0.010::0.010)) + (INTERCONNECT housekeeping/wb_ack_o soc/hk_ack_i (0.004::0.004)) + (INTERCONNECT housekeeping/wb_dat_o[0] soc/hk_dat_i[0] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[10] soc/hk_dat_i[10] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[11] soc/hk_dat_i[11] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[12] soc/hk_dat_i[12] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[13] soc/hk_dat_i[13] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[14] soc/hk_dat_i[14] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[15] soc/hk_dat_i[15] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[16] soc/hk_dat_i[16] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[17] soc/hk_dat_i[17] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[18] soc/hk_dat_i[18] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[19] soc/hk_dat_i[19] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[1] soc/hk_dat_i[1] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[20] soc/hk_dat_i[20] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[21] soc/hk_dat_i[21] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[22] soc/hk_dat_i[22] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[23] soc/hk_dat_i[23] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[24] soc/hk_dat_i[24] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[25] soc/hk_dat_i[25] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[26] soc/hk_dat_i[26] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[27] soc/hk_dat_i[27] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[28] soc/hk_dat_i[28] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[29] soc/hk_dat_i[29] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[2] soc/hk_dat_i[2] (0.004::0.004)) + (INTERCONNECT housekeeping/wb_dat_o[30] soc/hk_dat_i[30] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[31] soc/hk_dat_i[31] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[3] soc/hk_dat_i[3] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[4] soc/hk_dat_i[4] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[5] soc/hk_dat_i[5] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[6] soc/hk_dat_i[6] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[7] soc/hk_dat_i[7] (0.004::0.004)) + (INTERCONNECT housekeeping/wb_dat_o[8] soc/hk_dat_i[8] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[9] soc/hk_dat_i[9] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_core[0] mprj/la_data_in[0] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_data_in_core[100] mprj/la_data_in[100] (0.159::0.159)) + (INTERCONNECT mgmt_buffers/la_data_in_core[101] mprj/la_data_in[101] (0.165::0.165)) + (INTERCONNECT mgmt_buffers/la_data_in_core[102] mprj/la_data_in[102] (0.171::0.171)) + (INTERCONNECT mgmt_buffers/la_data_in_core[103] mprj/la_data_in[103] (0.175::0.175)) + (INTERCONNECT mgmt_buffers/la_data_in_core[104] mprj/la_data_in[104] (0.179::0.179)) + (INTERCONNECT mgmt_buffers/la_data_in_core[105] mprj/la_data_in[105] (0.183::0.183)) + (INTERCONNECT mgmt_buffers/la_data_in_core[106] mprj/la_data_in[106] (0.188::0.188)) + (INTERCONNECT mgmt_buffers/la_data_in_core[107] mprj/la_data_in[107] (0.193::0.193)) + (INTERCONNECT mgmt_buffers/la_data_in_core[108] mprj/la_data_in[108] (0.198::0.198)) + (INTERCONNECT mgmt_buffers/la_data_in_core[109] mprj/la_data_in[109] (0.201::0.201)) + (INTERCONNECT mgmt_buffers/la_data_in_core[10] mprj/la_data_in[10] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_data_in_core[110] mprj/la_data_in[110] (0.206::0.206)) + (INTERCONNECT mgmt_buffers/la_data_in_core[111] mprj/la_data_in[111] (0.210::0.210)) + (INTERCONNECT mgmt_buffers/la_data_in_core[112] mprj/la_data_in[112] (0.216::0.216)) + (INTERCONNECT mgmt_buffers/la_data_in_core[113] mprj/la_data_in[113] (0.039::0.039)) + (INTERCONNECT mgmt_buffers/la_data_in_core[114] mprj/la_data_in[114] (0.040::0.040)) + (INTERCONNECT mgmt_buffers/la_data_in_core[115] mprj/la_data_in[115] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_data_in_core[116] mprj/la_data_in[116] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_data_in_core[117] mprj/la_data_in[117] (0.048::0.048)) + (INTERCONNECT mgmt_buffers/la_data_in_core[118] mprj/la_data_in[118] (0.032::0.032)) + (INTERCONNECT mgmt_buffers/la_data_in_core[119] mprj/la_data_in[119] (0.053::0.053)) + (INTERCONNECT mgmt_buffers/la_data_in_core[11] mprj/la_data_in[11] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_core[120] mprj/la_data_in[120] (0.054::0.054)) + (INTERCONNECT mgmt_buffers/la_data_in_core[121] mprj/la_data_in[121] (0.054::0.054)) + (INTERCONNECT mgmt_buffers/la_data_in_core[122] mprj/la_data_in[122] (0.056::0.056)) + (INTERCONNECT mgmt_buffers/la_data_in_core[123] mprj/la_data_in[123] (0.236::0.236)) + (INTERCONNECT mgmt_buffers/la_data_in_core[124] mprj/la_data_in[124] (0.058::0.058)) + (INTERCONNECT mgmt_buffers/la_data_in_core[125] mprj/la_data_in[125] (0.251::0.251)) + (INTERCONNECT mgmt_buffers/la_data_in_core[126] mprj/la_data_in[126] (0.057::0.057)) + (INTERCONNECT mgmt_buffers/la_data_in_core[127] mprj/la_data_in[127] (0.192::0.192)) + (INTERCONNECT mgmt_buffers/la_data_in_core[12] mprj/la_data_in[12] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_core[13] mprj/la_data_in[13] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_core[14] mprj/la_data_in[14] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[15] mprj/la_data_in[15] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[16] mprj/la_data_in[16] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[17] mprj/la_data_in[17] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[18] mprj/la_data_in[18] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[19] mprj/la_data_in[19] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[1] mprj/la_data_in[1] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_core[20] mprj/la_data_in[20] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_core[21] mprj/la_data_in[21] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_core[22] mprj/la_data_in[22] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_core[23] mprj/la_data_in[23] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_core[24] mprj/la_data_in[24] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[25] mprj/la_data_in[25] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[26] mprj/la_data_in[26] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[27] mprj/la_data_in[27] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[28] mprj/la_data_in[28] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[29] mprj/la_data_in[29] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[2] mprj/la_data_in[2] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_core[30] mprj/la_data_in[30] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_core[31] mprj/la_data_in[31] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_core[32] mprj/la_data_in[32] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_core[33] mprj/la_data_in[33] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_core[34] mprj/la_data_in[34] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_data_in_core[35] mprj/la_data_in[35] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_core[36] mprj/la_data_in[36] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_core[37] mprj/la_data_in[37] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_core[38] mprj/la_data_in[38] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_core[39] mprj/la_data_in[39] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_core[3] mprj/la_data_in[3] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_core[40] mprj/la_data_in[40] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_core[41] mprj/la_data_in[41] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_data_in_core[42] mprj/la_data_in[42] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_data_in_core[43] mprj/la_data_in[43] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_data_in_core[44] mprj/la_data_in[44] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_core[45] mprj/la_data_in[45] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_data_in_core[46] mprj/la_data_in[46] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_data_in_core[47] mprj/la_data_in[47] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_core[48] mprj/la_data_in[48] (0.019::0.019)) + (INTERCONNECT mgmt_buffers/la_data_in_core[49] mprj/la_data_in[49] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_data_in_core[4] mprj/la_data_in[4] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_core[50] mprj/la_data_in[50] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/la_data_in_core[51] mprj/la_data_in[51] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_data_in_core[52] mprj/la_data_in[52] (0.025::0.025)) + (INTERCONNECT mgmt_buffers/la_data_in_core[53] mprj/la_data_in[53] (0.026::0.026)) + (INTERCONNECT mgmt_buffers/la_data_in_core[54] mprj/la_data_in[54] (0.028::0.028)) + (INTERCONNECT mgmt_buffers/la_data_in_core[55] mprj/la_data_in[55] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_data_in_core[56] mprj/la_data_in[56] (0.030::0.030)) + (INTERCONNECT mgmt_buffers/la_data_in_core[57] mprj/la_data_in[57] (0.019::0.019)) + (INTERCONNECT mgmt_buffers/la_data_in_core[58] mprj/la_data_in[58] (0.030::0.030)) + (INTERCONNECT mgmt_buffers/la_data_in_core[59] mprj/la_data_in[59] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/la_data_in_core[5] mprj/la_data_in[5] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_core[60] mprj/la_data_in[60] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_data_in_core[61] mprj/la_data_in[61] (0.039::0.039)) + (INTERCONNECT mgmt_buffers/la_data_in_core[62] mprj/la_data_in[62] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_data_in_core[63] mprj/la_data_in[63] (0.032::0.032)) + (INTERCONNECT mgmt_buffers/la_data_in_core[64] mprj/la_data_in[64] (0.048::0.048)) + (INTERCONNECT mgmt_buffers/la_data_in_core[65] mprj/la_data_in[65] (0.050::0.050)) + (INTERCONNECT mgmt_buffers/la_data_in_core[66] mprj/la_data_in[66] (0.052::0.052)) + (INTERCONNECT mgmt_buffers/la_data_in_core[67] mprj/la_data_in[67] (0.055::0.055)) + (INTERCONNECT mgmt_buffers/la_data_in_core[68] mprj/la_data_in[68] (0.057::0.057)) + (INTERCONNECT mgmt_buffers/la_data_in_core[69] mprj/la_data_in[69] (0.060::0.060)) + (INTERCONNECT mgmt_buffers/la_data_in_core[6] mprj/la_data_in[6] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_core[70] mprj/la_data_in[70] (0.062::0.062)) + (INTERCONNECT mgmt_buffers/la_data_in_core[71] mprj/la_data_in[71] (0.065::0.065)) + (INTERCONNECT mgmt_buffers/la_data_in_core[72] mprj/la_data_in[72] (0.067::0.067)) + (INTERCONNECT mgmt_buffers/la_data_in_core[73] mprj/la_data_in[73] (0.069::0.069)) + (INTERCONNECT mgmt_buffers/la_data_in_core[74] mprj/la_data_in[74] (0.070::0.070)) + (INTERCONNECT mgmt_buffers/la_data_in_core[75] mprj/la_data_in[75] (0.074::0.074)) + (INTERCONNECT mgmt_buffers/la_data_in_core[76] mprj/la_data_in[76] (0.074::0.074)) + (INTERCONNECT mgmt_buffers/la_data_in_core[77] mprj/la_data_in[77] (0.079::0.079)) + (INTERCONNECT mgmt_buffers/la_data_in_core[78] mprj/la_data_in[78] (0.083::0.083)) + (INTERCONNECT mgmt_buffers/la_data_in_core[79] mprj/la_data_in[79] (0.085::0.085)) + (INTERCONNECT mgmt_buffers/la_data_in_core[7] mprj/la_data_in[7] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_core[80] mprj/la_data_in[80] (0.084::0.084)) + (INTERCONNECT mgmt_buffers/la_data_in_core[81] mprj/la_data_in[81] (0.061::0.061)) + (INTERCONNECT mgmt_buffers/la_data_in_core[82] mprj/la_data_in[82] (0.091::0.091)) + (INTERCONNECT mgmt_buffers/la_data_in_core[83] mprj/la_data_in[83] (0.098::0.098)) + (INTERCONNECT mgmt_buffers/la_data_in_core[84] mprj/la_data_in[84] (0.097::0.097)) + (INTERCONNECT mgmt_buffers/la_data_in_core[85] mprj/la_data_in[85] (0.103::0.103)) + (INTERCONNECT mgmt_buffers/la_data_in_core[86] mprj/la_data_in[86] (0.106::0.106)) + (INTERCONNECT mgmt_buffers/la_data_in_core[87] mprj/la_data_in[87] (0.110::0.110)) + (INTERCONNECT mgmt_buffers/la_data_in_core[88] mprj/la_data_in[88] (0.113::0.113)) + (INTERCONNECT mgmt_buffers/la_data_in_core[89] mprj/la_data_in[89] (0.116::0.116)) + (INTERCONNECT mgmt_buffers/la_data_in_core[8] mprj/la_data_in[8] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_core[90] mprj/la_data_in[90] (0.120::0.120)) + (INTERCONNECT mgmt_buffers/la_data_in_core[91] mprj/la_data_in[91] (0.124::0.124)) + (INTERCONNECT mgmt_buffers/la_data_in_core[92] mprj/la_data_in[92] (0.128::0.128)) + (INTERCONNECT mgmt_buffers/la_data_in_core[93] mprj/la_data_in[93] (0.131::0.131)) + (INTERCONNECT mgmt_buffers/la_data_in_core[94] mprj/la_data_in[94] (0.137::0.137)) + (INTERCONNECT mgmt_buffers/la_data_in_core[95] mprj/la_data_in[95] (0.138::0.138)) + (INTERCONNECT mgmt_buffers/la_data_in_core[96] mprj/la_data_in[96] (0.091::0.091)) + (INTERCONNECT mgmt_buffers/la_data_in_core[97] mprj/la_data_in[97] (0.145::0.145)) + (INTERCONNECT mgmt_buffers/la_data_in_core[98] mprj/la_data_in[98] (0.150::0.150)) + (INTERCONNECT mgmt_buffers/la_data_in_core[99] mprj/la_data_in[99] (0.153::0.153)) + (INTERCONNECT mgmt_buffers/la_data_in_core[9] mprj/la_data_in[9] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[0] soc/la_input[0] (0.096::0.096)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[100] soc/la_input[100] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[101] soc/la_input[101] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[102] soc/la_input[102] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[103] soc/la_input[103] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[104] soc/la_input[104] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[105] soc/la_input[105] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[106] soc/la_input[106] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[107] soc/la_input[107] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[108] soc/la_input[108] (0.028::0.028)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[109] soc/la_input[109] (0.030::0.030)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[10] soc/la_input[10] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[110] soc/la_input[110] (0.031::0.031)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[111] soc/la_input[111] (0.033::0.033)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[112] soc/la_input[112] (0.035::0.035)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[113] soc/la_input[113] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[114] soc/la_input[114] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[115] soc/la_input[115] (0.033::0.033)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[116] soc/la_input[116] (0.039::0.039)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[117] soc/la_input[117] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[118] soc/la_input[118] (0.043::0.043)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[119] soc/la_input[119] (0.045::0.045)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[11] soc/la_input[11] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[120] soc/la_input[120] (0.047::0.047)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[121] soc/la_input[121] (0.047::0.047)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[122] soc/la_input[122] (0.050::0.050)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[123] soc/la_input[123] (0.051::0.051)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[124] soc/la_input[124] (0.055::0.055)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[125] soc/la_input[125] (0.057::0.057)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[126] soc/la_input[126] (0.058::0.058)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[127] soc/la_input[127] (0.062::0.062)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[12] soc/la_input[12] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[13] soc/la_input[13] (0.063::0.063)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[14] soc/la_input[14] (0.064::0.064)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[15] soc/la_input[15] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[16] soc/la_input[16] (0.065::0.065)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[17] soc/la_input[17] (0.063::0.063)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[18] soc/la_input[18] (0.060::0.060)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[19] soc/la_input[19] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[1] soc/la_input[1] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[20] soc/la_input[20] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[21] soc/la_input[21] (0.055::0.055)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[22] soc/la_input[22] (0.052::0.052)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[23] soc/la_input[23] (0.050::0.050)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[24] soc/la_input[24] (0.048::0.048)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[25] soc/la_input[25] (0.046::0.046)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[26] soc/la_input[26] (0.044::0.044)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[27] soc/la_input[27] (0.028::0.028)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[28] soc/la_input[28] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[29] soc/la_input[29] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[2] soc/la_input[2] (0.098::0.098)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[30] soc/la_input[30] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[31] soc/la_input[31] (0.034::0.034)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[32] soc/la_input[32] (0.034::0.034)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[33] soc/la_input[33] (0.031::0.031)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[34] soc/la_input[34] (0.030::0.030)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[35] soc/la_input[35] (0.029::0.029)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[36] soc/la_input[36] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[37] soc/la_input[37] (0.026::0.026)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[38] soc/la_input[38] (0.025::0.025)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[39] soc/la_input[39] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[3] soc/la_input[3] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[40] soc/la_input[40] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[41] soc/la_input[41] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[42] soc/la_input[42] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[43] soc/la_input[43] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[44] soc/la_input[44] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[45] soc/la_input[45] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[46] soc/la_input[46] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[47] soc/la_input[47] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[48] soc/la_input[48] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[49] soc/la_input[49] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[4] soc/la_input[4] (0.053::0.053)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[50] soc/la_input[50] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[51] soc/la_input[51] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[52] soc/la_input[52] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[53] soc/la_input[53] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[54] soc/la_input[54] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[55] soc/la_input[55] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[56] soc/la_input[56] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[57] soc/la_input[57] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[58] soc/la_input[58] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[59] soc/la_input[59] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[5] soc/la_input[5] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[60] soc/la_input[60] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[61] soc/la_input[61] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[62] soc/la_input[62] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[63] soc/la_input[63] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[64] soc/la_input[64] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[65] soc/la_input[65] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[66] soc/la_input[66] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[67] soc/la_input[67] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[68] soc/la_input[68] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[69] soc/la_input[69] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[6] soc/la_input[6] (0.086::0.086)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[70] soc/la_input[70] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[71] soc/la_input[71] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[72] soc/la_input[72] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[73] soc/la_input[73] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[74] soc/la_input[74] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[75] soc/la_input[75] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[76] soc/la_input[76] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[77] soc/la_input[77] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[78] soc/la_input[78] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[79] soc/la_input[79] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[7] soc/la_input[7] (0.019::0.019)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[80] soc/la_input[80] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[81] soc/la_input[81] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[82] soc/la_input[82] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[83] soc/la_input[83] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[84] soc/la_input[84] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[85] soc/la_input[85] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[86] soc/la_input[86] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[87] soc/la_input[87] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[88] soc/la_input[88] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[89] soc/la_input[89] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[8] soc/la_input[8] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[90] soc/la_input[90] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[91] soc/la_input[91] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[92] soc/la_input[92] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[93] soc/la_input[93] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[94] soc/la_input[94] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[95] soc/la_input[95] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[96] soc/la_input[96] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[97] soc/la_input[97] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[98] soc/la_input[98] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[99] soc/la_input[99] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[9] soc/la_input[9] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_oenb_core[0] mprj/la_oenb[0] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_oenb_core[100] mprj/la_oenb[100] (0.164::0.164)) + (INTERCONNECT mgmt_buffers/la_oenb_core[101] mprj/la_oenb[101] (0.169::0.169)) + (INTERCONNECT mgmt_buffers/la_oenb_core[102] mprj/la_oenb[102] (0.033::0.033)) + (INTERCONNECT mgmt_buffers/la_oenb_core[103] mprj/la_oenb[103] (0.173::0.173)) + (INTERCONNECT mgmt_buffers/la_oenb_core[104] mprj/la_oenb[104] (0.178::0.178)) + (INTERCONNECT mgmt_buffers/la_oenb_core[105] mprj/la_oenb[105] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/la_oenb_core[106] mprj/la_oenb[106] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/la_oenb_core[107] mprj/la_oenb[107] (0.185::0.185)) + (INTERCONNECT mgmt_buffers/la_oenb_core[108] mprj/la_oenb[108] (0.195::0.195)) + (INTERCONNECT mgmt_buffers/la_oenb_core[109] mprj/la_oenb[109] (0.201::0.201)) + (INTERCONNECT mgmt_buffers/la_oenb_core[10] mprj/la_oenb[10] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_oenb_core[110] mprj/la_oenb[110] (0.207::0.207)) + (INTERCONNECT mgmt_buffers/la_oenb_core[111] mprj/la_oenb[111] (0.210::0.210)) + (INTERCONNECT mgmt_buffers/la_oenb_core[112] mprj/la_oenb[112] (0.216::0.216)) + (INTERCONNECT mgmt_buffers/la_oenb_core[113] mprj/la_oenb[113] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_oenb_core[114] mprj/la_oenb[114] (0.222::0.222)) + (INTERCONNECT mgmt_buffers/la_oenb_core[115] mprj/la_oenb[115] (0.228::0.228)) + (INTERCONNECT mgmt_buffers/la_oenb_core[116] mprj/la_oenb[116] (0.046::0.046)) + (INTERCONNECT mgmt_buffers/la_oenb_core[117] mprj/la_oenb[117] (0.240::0.240)) + (INTERCONNECT mgmt_buffers/la_oenb_core[118] mprj/la_oenb[118] (0.245::0.245)) + (INTERCONNECT mgmt_buffers/la_oenb_core[119] mprj/la_oenb[119] (0.251::0.251)) + (INTERCONNECT mgmt_buffers/la_oenb_core[11] mprj/la_oenb[11] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[120] mprj/la_oenb[120] (0.257::0.257)) + (INTERCONNECT mgmt_buffers/la_oenb_core[121] mprj/la_oenb[121] (0.250::0.250)) + (INTERCONNECT mgmt_buffers/la_oenb_core[122] mprj/la_oenb[122] (0.048::0.048)) + (INTERCONNECT mgmt_buffers/la_oenb_core[123] mprj/la_oenb[123] (0.053::0.053)) + (INTERCONNECT mgmt_buffers/la_oenb_core[124] mprj/la_oenb[124] (0.052::0.052)) + (INTERCONNECT mgmt_buffers/la_oenb_core[125] mprj/la_oenb[125] (0.272::0.272)) + (INTERCONNECT mgmt_buffers/la_oenb_core[126] mprj/la_oenb[126] (0.281::0.281)) + (INTERCONNECT mgmt_buffers/la_oenb_core[127] mprj/la_oenb[127] (0.050::0.050)) + (INTERCONNECT mgmt_buffers/la_oenb_core[12] mprj/la_oenb[12] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[13] mprj/la_oenb[13] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[14] mprj/la_oenb[14] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_oenb_core[15] mprj/la_oenb[15] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_oenb_core[16] mprj/la_oenb[16] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[17] mprj/la_oenb[17] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[18] mprj/la_oenb[18] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[19] mprj/la_oenb[19] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[1] mprj/la_oenb[1] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_oenb_core[20] mprj/la_oenb[20] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_oenb_core[21] mprj/la_oenb[21] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_oenb_core[22] mprj/la_oenb[22] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_oenb_core[23] mprj/la_oenb[23] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_oenb_core[24] mprj/la_oenb[24] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[25] mprj/la_oenb[25] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[26] mprj/la_oenb[26] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[27] mprj/la_oenb[27] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[28] mprj/la_oenb[28] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_oenb_core[29] mprj/la_oenb[29] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_oenb_core[2] mprj/la_oenb[2] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_oenb_core[30] mprj/la_oenb[30] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_oenb_core[31] mprj/la_oenb[31] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[32] mprj/la_oenb[32] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_oenb_core[33] mprj/la_oenb[33] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_oenb_core[34] mprj/la_oenb[34] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_oenb_core[35] mprj/la_oenb[35] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_oenb_core[36] mprj/la_oenb[36] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_oenb_core[37] mprj/la_oenb[37] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_oenb_core[38] mprj/la_oenb[38] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_oenb_core[39] mprj/la_oenb[39] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_oenb_core[3] mprj/la_oenb[3] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_oenb_core[40] mprj/la_oenb[40] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_oenb_core[41] mprj/la_oenb[41] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_oenb_core[42] mprj/la_oenb[42] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_oenb_core[43] mprj/la_oenb[43] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_oenb_core[44] mprj/la_oenb[44] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_oenb_core[45] mprj/la_oenb[45] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_oenb_core[46] mprj/la_oenb[46] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_oenb_core[47] mprj/la_oenb[47] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_oenb_core[48] mprj/la_oenb[48] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/la_oenb_core[49] mprj/la_oenb[49] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/la_oenb_core[4] mprj/la_oenb[4] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_oenb_core[50] mprj/la_oenb[50] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/la_oenb_core[51] mprj/la_oenb[51] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/la_oenb_core[52] mprj/la_oenb[52] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_oenb_core[53] mprj/la_oenb[53] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_oenb_core[54] mprj/la_oenb[54] (0.028::0.028)) + (INTERCONNECT mgmt_buffers/la_oenb_core[55] mprj/la_oenb[55] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_oenb_core[56] mprj/la_oenb[56] (0.034::0.034)) + (INTERCONNECT mgmt_buffers/la_oenb_core[57] mprj/la_oenb[57] (0.032::0.032)) + (INTERCONNECT mgmt_buffers/la_oenb_core[58] mprj/la_oenb[58] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/la_oenb_core[59] mprj/la_oenb[59] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/la_oenb_core[5] mprj/la_oenb[5] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_oenb_core[60] mprj/la_oenb[60] (0.040::0.040)) + (INTERCONNECT mgmt_buffers/la_oenb_core[61] mprj/la_oenb[61] (0.037::0.037)) + (INTERCONNECT mgmt_buffers/la_oenb_core[62] mprj/la_oenb[62] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/la_oenb_core[63] mprj/la_oenb[63] (0.037::0.037)) + (INTERCONNECT mgmt_buffers/la_oenb_core[64] mprj/la_oenb[64] (0.049::0.049)) + (INTERCONNECT mgmt_buffers/la_oenb_core[65] mprj/la_oenb[65] (0.050::0.050)) + (INTERCONNECT mgmt_buffers/la_oenb_core[66] mprj/la_oenb[66] (0.053::0.053)) + (INTERCONNECT mgmt_buffers/la_oenb_core[67] mprj/la_oenb[67] (0.056::0.056)) + (INTERCONNECT mgmt_buffers/la_oenb_core[68] mprj/la_oenb[68] (0.061::0.061)) + (INTERCONNECT mgmt_buffers/la_oenb_core[69] mprj/la_oenb[69] (0.061::0.061)) + (INTERCONNECT mgmt_buffers/la_oenb_core[6] mprj/la_oenb[6] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_oenb_core[70] mprj/la_oenb[70] (0.050::0.050)) + (INTERCONNECT mgmt_buffers/la_oenb_core[71] mprj/la_oenb[71] (0.066::0.066)) + (INTERCONNECT mgmt_buffers/la_oenb_core[72] mprj/la_oenb[72] (0.070::0.070)) + (INTERCONNECT mgmt_buffers/la_oenb_core[73] mprj/la_oenb[73] (0.072::0.072)) + (INTERCONNECT mgmt_buffers/la_oenb_core[74] mprj/la_oenb[74] (0.072::0.072)) + (INTERCONNECT mgmt_buffers/la_oenb_core[75] mprj/la_oenb[75] (0.077::0.077)) + (INTERCONNECT mgmt_buffers/la_oenb_core[76] mprj/la_oenb[76] (0.081::0.081)) + (INTERCONNECT mgmt_buffers/la_oenb_core[77] mprj/la_oenb[77] (0.082::0.082)) + (INTERCONNECT mgmt_buffers/la_oenb_core[78] mprj/la_oenb[78] (0.085::0.085)) + (INTERCONNECT mgmt_buffers/la_oenb_core[79] mprj/la_oenb[79] (0.061::0.061)) + (INTERCONNECT mgmt_buffers/la_oenb_core[7] mprj/la_oenb[7] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_oenb_core[80] mprj/la_oenb[80] (0.092::0.092)) + (INTERCONNECT mgmt_buffers/la_oenb_core[81] mprj/la_oenb[81] (0.096::0.096)) + (INTERCONNECT mgmt_buffers/la_oenb_core[82] mprj/la_oenb[82] (0.094::0.094)) + (INTERCONNECT mgmt_buffers/la_oenb_core[83] mprj/la_oenb[83] (0.101::0.101)) + (INTERCONNECT mgmt_buffers/la_oenb_core[84] mprj/la_oenb[84] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_oenb_core[85] mprj/la_oenb[85] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/la_oenb_core[86] mprj/la_oenb[86] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/la_oenb_core[87] mprj/la_oenb[87] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_oenb_core[88] mprj/la_oenb[88] (0.025::0.025)) + (INTERCONNECT mgmt_buffers/la_oenb_core[89] mprj/la_oenb[89] (0.117::0.117)) + (INTERCONNECT mgmt_buffers/la_oenb_core[8] mprj/la_oenb[8] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_oenb_core[90] mprj/la_oenb[90] (0.026::0.026)) + (INTERCONNECT mgmt_buffers/la_oenb_core[91] mprj/la_oenb[91] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/la_oenb_core[92] mprj/la_oenb[92] (0.131::0.131)) + (INTERCONNECT mgmt_buffers/la_oenb_core[93] mprj/la_oenb[93] (0.134::0.134)) + (INTERCONNECT mgmt_buffers/la_oenb_core[94] mprj/la_oenb[94] (0.120::0.120)) + (INTERCONNECT mgmt_buffers/la_oenb_core[95] mprj/la_oenb[95] (0.145::0.145)) + (INTERCONNECT mgmt_buffers/la_oenb_core[96] mprj/la_oenb[96] (0.033::0.033)) + (INTERCONNECT mgmt_buffers/la_oenb_core[97] mprj/la_oenb[97] (0.030::0.030)) + (INTERCONNECT mgmt_buffers/la_oenb_core[98] mprj/la_oenb[98] (0.037::0.037)) + (INTERCONNECT mgmt_buffers/la_oenb_core[99] mprj/la_oenb[99] (0.159::0.159)) + (INTERCONNECT mgmt_buffers/la_oenb_core[9] mprj/la_oenb[9] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/mprj_ack_i_core soc/mprj_ack_i (0.064::0.064)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[0] mprj/wbs_adr_i[0] (0.064::0.064)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[10] mprj/wbs_adr_i[10] (0.054::0.054)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[11] mprj/wbs_adr_i[11] (0.029::0.029)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[12] mprj/wbs_adr_i[12] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[13] mprj/wbs_adr_i[13] (0.045::0.045)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[14] mprj/wbs_adr_i[14] (0.043::0.043)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[15] mprj/wbs_adr_i[15] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[16] mprj/wbs_adr_i[16] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[17] mprj/wbs_adr_i[17] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[18] mprj/wbs_adr_i[18] (0.031::0.031)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[19] mprj/wbs_adr_i[19] (0.034::0.034)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[1] mprj/wbs_adr_i[1] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[20] mprj/wbs_adr_i[20] (0.032::0.032)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[21] mprj/wbs_adr_i[21] (0.029::0.029)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[22] mprj/wbs_adr_i[22] (0.026::0.026)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[23] mprj/wbs_adr_i[23] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[24] mprj/wbs_adr_i[24] (0.025::0.025)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[25] mprj/wbs_adr_i[25] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[26] mprj/wbs_adr_i[26] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[27] mprj/wbs_adr_i[27] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[28] mprj/wbs_adr_i[28] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[29] mprj/wbs_adr_i[29] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[2] mprj/wbs_adr_i[2] (0.071::0.071)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[30] mprj/wbs_adr_i[30] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[31] mprj/wbs_adr_i[31] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[3] mprj/wbs_adr_i[3] (0.070::0.070)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[4] mprj/wbs_adr_i[4] (0.061::0.061)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[5] mprj/wbs_adr_i[5] (0.066::0.066)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[6] mprj/wbs_adr_i[6] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[7] mprj/wbs_adr_i[7] (0.059::0.059)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[8] mprj/wbs_adr_i[8] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[9] mprj/wbs_adr_i[9] (0.055::0.055)) + (INTERCONNECT mgmt_buffers/mprj_cyc_o_user mprj/wbs_cyc_i (0.018::0.018)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[0] soc/mprj_dat_i[0] (0.057::0.057)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[10] soc/mprj_dat_i[10] (0.090::0.090)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[11] soc/mprj_dat_i[11] (0.071::0.071)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[12] soc/mprj_dat_i[12] (0.087::0.087)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[13] soc/mprj_dat_i[13] (0.064::0.064)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[14] soc/mprj_dat_i[14] (0.096::0.096)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[15] soc/mprj_dat_i[15] (0.076::0.076)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[16] soc/mprj_dat_i[16] (0.069::0.069)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[17] soc/mprj_dat_i[17] (0.080::0.080)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[18] soc/mprj_dat_i[18] (0.102::0.102)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[19] soc/mprj_dat_i[19] (0.080::0.080)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[1] soc/mprj_dat_i[1] (0.060::0.060)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[20] soc/mprj_dat_i[20] (0.111::0.111)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[21] soc/mprj_dat_i[21] (0.083::0.083)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[22] soc/mprj_dat_i[22] (0.112::0.112)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[23] soc/mprj_dat_i[23] (0.114::0.114)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[24] soc/mprj_dat_i[24] (0.121::0.121)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[25] soc/mprj_dat_i[25] (0.121::0.121)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[26] soc/mprj_dat_i[26] (0.124::0.124)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[27] soc/mprj_dat_i[27] (0.126::0.126)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[28] soc/mprj_dat_i[28] (0.130::0.130)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[29] soc/mprj_dat_i[29] (0.134::0.134)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[2] soc/mprj_dat_i[2] (0.071::0.071)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[30] soc/mprj_dat_i[30] (0.135::0.135)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[31] soc/mprj_dat_i[31] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[3] soc/mprj_dat_i[3] (0.075::0.075)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[4] soc/mprj_dat_i[4] (0.077::0.077)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[5] soc/mprj_dat_i[5] (0.080::0.080)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[6] soc/mprj_dat_i[6] (0.082::0.082)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[7] soc/mprj_dat_i[7] (0.083::0.083)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[8] soc/mprj_dat_i[8] (0.084::0.084)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[9] soc/mprj_dat_i[9] (0.088::0.088)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[0] mprj/wbs_dat_i[0] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[10] mprj/wbs_dat_i[10] (0.052::0.052)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[11] mprj/wbs_dat_i[11] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[12] mprj/wbs_dat_i[12] (0.047::0.047)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[13] mprj/wbs_dat_i[13] (0.045::0.045)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[14] mprj/wbs_dat_i[14] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[15] mprj/wbs_dat_i[15] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[16] mprj/wbs_dat_i[16] (0.039::0.039)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[17] mprj/wbs_dat_i[17] (0.037::0.037)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[18] mprj/wbs_dat_i[18] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[19] mprj/wbs_dat_i[19] (0.034::0.034)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[1] mprj/wbs_dat_i[1] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[20] mprj/wbs_dat_i[20] (0.029::0.029)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[21] mprj/wbs_dat_i[21] (0.030::0.030)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[22] mprj/wbs_dat_i[22] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[23] mprj/wbs_dat_i[23] (0.026::0.026)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[24] mprj/wbs_dat_i[24] (0.025::0.025)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[25] mprj/wbs_dat_i[25] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[26] mprj/wbs_dat_i[26] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[27] mprj/wbs_dat_i[27] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[28] mprj/wbs_dat_i[28] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[29] mprj/wbs_dat_i[29] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[2] mprj/wbs_dat_i[2] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[30] mprj/wbs_dat_i[30] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[31] mprj/wbs_dat_i[31] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[3] mprj/wbs_dat_i[3] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[4] mprj/wbs_dat_i[4] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[5] mprj/wbs_dat_i[5] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[6] mprj/wbs_dat_i[6] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[7] mprj/wbs_dat_i[7] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[8] mprj/wbs_dat_i[8] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[9] mprj/wbs_dat_i[9] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/mprj_sel_o_user[0] mprj/wbs_sel_i[0] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/mprj_sel_o_user[1] mprj/wbs_sel_i[1] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/mprj_sel_o_user[2] mprj/wbs_sel_i[2] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_sel_o_user[3] mprj/wbs_sel_i[3] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/mprj_stb_o_user mprj/wbs_stb_i (0.019::0.019)) + (INTERCONNECT mgmt_buffers/mprj_we_o_user mprj/wbs_we_i (0.015::0.015)) + (INTERCONNECT mgmt_buffers/user1_vcc_powergood housekeeping/usr1_vcc_pwrgood (0.232::0.232)) + (INTERCONNECT mgmt_buffers/user1_vdd_powergood housekeeping/usr1_vdd_pwrgood (0.288::0.288)) + (INTERCONNECT mgmt_buffers/user2_vcc_powergood housekeeping/usr2_vcc_pwrgood (0.296::0.296)) + (INTERCONNECT mgmt_buffers/user2_vdd_powergood housekeeping/usr2_vdd_pwrgood (0.299::0.299)) + (INTERCONNECT mgmt_buffers/user_clock mprj/wb_clk_i (0.055::0.055)) + (INTERCONNECT mgmt_buffers/user_clock2 mprj/user_clock2 (0.058::0.058)) + (INTERCONNECT mgmt_buffers/user_irq[0] soc/irq[0] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/user_irq[1] soc/irq[1] (0.043::0.043)) + (INTERCONNECT mgmt_buffers/user_irq[2] soc/irq[2] (0.043::0.043)) + (INTERCONNECT mgmt_buffers/user_reset mprj/wb_rst_i (0.049::0.049)) + (INTERCONNECT mprj/gpio_analog[0] padframe/mprj_gpio_analog[0] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[10] padframe/mprj_gpio_analog[10] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[11] padframe/mprj_gpio_analog[11] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[12] padframe/mprj_gpio_analog[12] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[13] padframe/mprj_gpio_analog[13] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[14] padframe/mprj_gpio_analog[14] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[15] padframe/mprj_gpio_analog[15] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[16] padframe/mprj_gpio_analog[16] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[17] padframe/mprj_gpio_analog[17] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[1] padframe/mprj_gpio_analog[1] (0.002::0.002)) + (INTERCONNECT mprj/gpio_analog[2] padframe/mprj_gpio_analog[2] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[3] padframe/mprj_gpio_analog[3] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[4] padframe/mprj_gpio_analog[4] (0.002::0.002)) + (INTERCONNECT mprj/gpio_analog[5] padframe/mprj_gpio_analog[5] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[6] padframe/mprj_gpio_analog[6] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[7] padframe/mprj_gpio_analog[7] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[8] padframe/mprj_gpio_analog[8] (0.001::0.001)) + (INTERCONNECT mprj/gpio_analog[9] padframe/mprj_gpio_analog[9] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[0] padframe/mprj_gpio_noesd[0] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[10] padframe/mprj_gpio_noesd[10] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[11] padframe/mprj_gpio_noesd[11] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[12] padframe/mprj_gpio_noesd[12] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[13] padframe/mprj_gpio_noesd[13] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[14] padframe/mprj_gpio_noesd[14] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[15] padframe/mprj_gpio_noesd[15] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[16] padframe/mprj_gpio_noesd[16] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[17] padframe/mprj_gpio_noesd[17] (0.002::0.002)) + (INTERCONNECT mprj/gpio_noesd[1] padframe/mprj_gpio_noesd[1] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[2] padframe/mprj_gpio_noesd[2] (0.002::0.002)) + (INTERCONNECT mprj/gpio_noesd[3] padframe/mprj_gpio_noesd[3] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[4] padframe/mprj_gpio_noesd[4] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[5] padframe/mprj_gpio_noesd[5] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[6] padframe/mprj_gpio_noesd[6] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[7] padframe/mprj_gpio_noesd[7] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[8] padframe/mprj_gpio_noesd[8] (0.001::0.001)) + (INTERCONNECT mprj/gpio_noesd[9] padframe/mprj_gpio_noesd[9] (0.001::0.001)) + (INTERCONNECT mprj/io_analog[0] padframe/mprj_analog[0] (0.003::0.003)) + (INTERCONNECT mprj/io_analog[10] padframe/mprj_analog[10] (0.001::0.001)) + (INTERCONNECT mprj/io_analog[1] padframe/mprj_analog[1] (0.014::0.014)) + (INTERCONNECT mprj/io_analog[2] padframe/mprj_analog[2] (0.005::0.005)) + (INTERCONNECT mprj/io_analog[3] padframe/mprj_analog[3] (0.046::0.046)) + (INTERCONNECT mprj/io_analog[4] padframe/mprj_analog[4] (0.000::0.000)) + (INTERCONNECT mprj/io_analog[5] padframe/mprj_analog[5] (0.000::0.000)) + (INTERCONNECT mprj/io_analog[6] padframe/mprj_analog[6] (0.000::0.000)) + (INTERCONNECT mprj/io_analog[7] padframe/mprj_analog[7] (0.001::0.001)) + (INTERCONNECT mprj/io_analog[8] padframe/mprj_analog[8] (0.000::0.000)) + (INTERCONNECT mprj/io_analog[9] padframe/mprj_analog[9] (0.000::0.000)) + (INTERCONNECT mprj/io_clamp_high[0] padframe/mprj_clamp_high[0] (0.000::0.000)) + (INTERCONNECT mprj/io_clamp_high[1] padframe/mprj_clamp_high[1] (0.000::0.000)) + (INTERCONNECT mprj/io_clamp_high[2] padframe/mprj_clamp_high[2] (0.000::0.000)) + (INTERCONNECT mprj/io_clamp_low[0] padframe/mprj_clamp_low[0] (0.000::0.000)) + (INTERCONNECT mprj/io_clamp_low[1] padframe/mprj_clamp_low[1] (0.000::0.000)) + (INTERCONNECT mprj/io_clamp_low[2] padframe/mprj_clamp_low[2] (0.000::0.000)) + (INTERCONNECT mprj/io_oeb[0] gpio_control_bidir_1\[0\]/user_gpio_oeb (0.061::0.061)) + (INTERCONNECT mprj/io_oeb[10] gpio_control_in_1\[2\]/user_gpio_oeb (0.019::0.019)) + (INTERCONNECT mprj/io_oeb[11] gpio_control_in_1\[3\]/user_gpio_oeb (0.016::0.016)) + (INTERCONNECT mprj/io_oeb[12] gpio_control_in_1\[4\]/user_gpio_oeb (0.012::0.012)) + (INTERCONNECT mprj/io_oeb[13] gpio_control_in_1\[5\]/user_gpio_oeb (0.004::0.004)) + (INTERCONNECT mprj/io_oeb[14] gpio_control_in_2\[0\]/user_gpio_oeb (0.003::0.003)) + (INTERCONNECT mprj/io_oeb[15] gpio_control_in_2\[1\]/user_gpio_oeb (0.003::0.003)) + (INTERCONNECT mprj/io_oeb[16] gpio_control_in_2\[2\]/user_gpio_oeb (0.005::0.005)) + (INTERCONNECT mprj/io_oeb[17] gpio_control_in_2\[3\]/user_gpio_oeb (0.003::0.003)) + (INTERCONNECT mprj/io_oeb[18] gpio_control_in_2\[4\]/user_gpio_oeb (0.005::0.005)) + (INTERCONNECT mprj/io_oeb[19] gpio_control_in_2\[5\]/user_gpio_oeb (0.004::0.004)) + (INTERCONNECT mprj/io_oeb[1] gpio_control_bidir_1\[1\]/user_gpio_oeb (0.052::0.052)) + (INTERCONNECT mprj/io_oeb[20] gpio_control_in_2\[6\]/user_gpio_oeb (0.005::0.005)) + (INTERCONNECT mprj/io_oeb[21] gpio_control_in_2\[7\]/user_gpio_oeb (0.004::0.004)) + (INTERCONNECT mprj/io_oeb[22] gpio_control_in_2\[8\]/user_gpio_oeb (0.006::0.006)) + (INTERCONNECT mprj/io_oeb[23] gpio_control_in_2\[9\]/user_gpio_oeb (0.006::0.006)) + (INTERCONNECT mprj/io_oeb[24] gpio_control_bidir_2\[0\]/user_gpio_oeb (0.002::0.002)) + (INTERCONNECT mprj/io_oeb[25] gpio_control_bidir_2\[1\]/user_gpio_oeb (0.003::0.003)) + (INTERCONNECT mprj/io_oeb[26] gpio_control_bidir_2\[2\]/user_gpio_oeb (0.017::0.017)) + (INTERCONNECT mprj/io_oeb[2] gpio_control_in_1a\[0\]/user_gpio_oeb (0.016::0.016)) + (INTERCONNECT mprj/io_oeb[3] gpio_control_in_1a\[1\]/user_gpio_oeb (0.004::0.004)) + (INTERCONNECT mprj/io_oeb[4] gpio_control_in_1a\[2\]/user_gpio_oeb (0.003::0.003)) + (INTERCONNECT mprj/io_oeb[5] gpio_control_in_1a\[3\]/user_gpio_oeb (0.005::0.005)) + (INTERCONNECT mprj/io_oeb[6] gpio_control_in_1a\[4\]/user_gpio_oeb (0.005::0.005)) + (INTERCONNECT mprj/io_oeb[7] gpio_control_in_1a\[5\]/user_gpio_oeb (0.014::0.014)) + (INTERCONNECT mprj/io_oeb[8] gpio_control_in_1\[0\]/user_gpio_oeb (0.015::0.015)) + (INTERCONNECT mprj/io_oeb[9] gpio_control_in_1\[1\]/user_gpio_oeb (0.014::0.014)) + (INTERCONNECT mprj/io_out[0] gpio_control_bidir_1\[0\]/user_gpio_out (0.090::0.090)) + (INTERCONNECT mprj/io_out[10] gpio_control_in_1\[2\]/user_gpio_out (0.016::0.016)) + (INTERCONNECT mprj/io_out[11] gpio_control_in_1\[3\]/user_gpio_out (0.013::0.013)) + (INTERCONNECT mprj/io_out[12] gpio_control_in_1\[4\]/user_gpio_out (0.015::0.015)) + (INTERCONNECT mprj/io_out[13] gpio_control_in_1\[5\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[14] gpio_control_in_2\[0\]/user_gpio_out (0.003::0.003)) + (INTERCONNECT mprj/io_out[15] gpio_control_in_2\[1\]/user_gpio_out (0.003::0.003)) + (INTERCONNECT mprj/io_out[16] gpio_control_in_2\[2\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[17] gpio_control_in_2\[3\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[18] gpio_control_in_2\[4\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[19] gpio_control_in_2\[5\]/user_gpio_out (0.003::0.003)) + (INTERCONNECT mprj/io_out[1] gpio_control_bidir_1\[1\]/user_gpio_out (0.033::0.033)) + (INTERCONNECT mprj/io_out[20] gpio_control_in_2\[6\]/user_gpio_out (0.003::0.003)) + (INTERCONNECT mprj/io_out[21] gpio_control_in_2\[7\]/user_gpio_out (0.003::0.003)) + (INTERCONNECT mprj/io_out[22] gpio_control_in_2\[8\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[23] gpio_control_in_2\[9\]/user_gpio_out (0.005::0.005)) + (INTERCONNECT mprj/io_out[24] gpio_control_bidir_2\[0\]/user_gpio_out (0.001::0.001)) + (INTERCONNECT mprj/io_out[25] gpio_control_bidir_2\[1\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[26] gpio_control_bidir_2\[2\]/user_gpio_out (0.011::0.011)) + (INTERCONNECT mprj/io_out[2] gpio_control_in_1a\[0\]/user_gpio_out (0.022::0.022)) + (INTERCONNECT mprj/io_out[3] gpio_control_in_1a\[1\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[4] gpio_control_in_1a\[2\]/user_gpio_out (0.003::0.003)) + (INTERCONNECT mprj/io_out[5] gpio_control_in_1a\[3\]/user_gpio_out (0.005::0.005)) + (INTERCONNECT mprj/io_out[6] gpio_control_in_1a\[4\]/user_gpio_out (0.008::0.008)) + (INTERCONNECT mprj/io_out[7] gpio_control_in_1a\[5\]/user_gpio_out (0.012::0.012)) + (INTERCONNECT mprj/io_out[8] gpio_control_in_1\[0\]/user_gpio_out (0.014::0.014)) + (INTERCONNECT mprj/io_out[9] gpio_control_in_1\[1\]/user_gpio_out (0.013::0.013)) + (INTERCONNECT mprj/la_data_out[0] mgmt_buffers/la_data_out_core[0] (0.014::0.014)) + (INTERCONNECT mprj/la_data_out[100] mgmt_buffers/la_data_out_core[100] (0.167::0.167)) + (INTERCONNECT mprj/la_data_out[101] mgmt_buffers/la_data_out_core[101] (0.159::0.159)) + (INTERCONNECT mprj/la_data_out[102] mgmt_buffers/la_data_out_core[102] (0.175::0.175)) + (INTERCONNECT mprj/la_data_out[103] mgmt_buffers/la_data_out_core[103] (0.168::0.168)) + (INTERCONNECT mprj/la_data_out[104] mgmt_buffers/la_data_out_core[104] (0.187::0.187)) + (INTERCONNECT mprj/la_data_out[105] mgmt_buffers/la_data_out_core[105] (0.176::0.176)) + (INTERCONNECT mprj/la_data_out[106] mgmt_buffers/la_data_out_core[106] (0.194::0.194)) + (INTERCONNECT mprj/la_data_out[107] mgmt_buffers/la_data_out_core[107] (0.184::0.184)) + (INTERCONNECT mprj/la_data_out[108] mgmt_buffers/la_data_out_core[108] (0.203::0.203)) + (INTERCONNECT mprj/la_data_out[109] mgmt_buffers/la_data_out_core[109] (0.189::0.189)) + (INTERCONNECT mprj/la_data_out[10] mgmt_buffers/la_data_out_core[10] (0.004::0.004)) + (INTERCONNECT mprj/la_data_out[110] mgmt_buffers/la_data_out_core[110] (0.041::0.041)) + (INTERCONNECT mprj/la_data_out[111] mgmt_buffers/la_data_out_core[111] (0.187::0.187)) + (INTERCONNECT mprj/la_data_out[112] mgmt_buffers/la_data_out_core[112] (0.049::0.049)) + (INTERCONNECT mprj/la_data_out[113] mgmt_buffers/la_data_out_core[113] (0.202::0.202)) + (INTERCONNECT mprj/la_data_out[114] mgmt_buffers/la_data_out_core[114] (0.206::0.206)) + (INTERCONNECT mprj/la_data_out[115] mgmt_buffers/la_data_out_core[115] (0.026::0.026)) + (INTERCONNECT mprj/la_data_out[116] mgmt_buffers/la_data_out_core[116] (0.113::0.113)) + (INTERCONNECT mprj/la_data_out[117] mgmt_buffers/la_data_out_core[117] (0.040::0.040)) + (INTERCONNECT mprj/la_data_out[118] mgmt_buffers/la_data_out_core[118] (0.115::0.115)) + (INTERCONNECT mprj/la_data_out[119] mgmt_buffers/la_data_out_core[119] (0.042::0.042)) + (INTERCONNECT mprj/la_data_out[11] mgmt_buffers/la_data_out_core[11] (0.004::0.004)) + (INTERCONNECT mprj/la_data_out[120] mgmt_buffers/la_data_out_core[120] (0.120::0.120)) + (INTERCONNECT mprj/la_data_out[121] mgmt_buffers/la_data_out_core[121] (0.045::0.045)) + (INTERCONNECT mprj/la_data_out[122] mgmt_buffers/la_data_out_core[122] (0.162::0.162)) + (INTERCONNECT mprj/la_data_out[123] mgmt_buffers/la_data_out_core[123] (0.251::0.251)) + (INTERCONNECT mprj/la_data_out[124] mgmt_buffers/la_data_out_core[124] (0.187::0.187)) + (INTERCONNECT mprj/la_data_out[125] mgmt_buffers/la_data_out_core[125] (0.153::0.153)) + (INTERCONNECT mprj/la_data_out[126] mgmt_buffers/la_data_out_core[126] (0.051::0.051)) + (INTERCONNECT mprj/la_data_out[127] mgmt_buffers/la_data_out_core[127] (0.235::0.235)) + (INTERCONNECT mprj/la_data_out[12] mgmt_buffers/la_data_out_core[12] (0.003::0.003)) + (INTERCONNECT mprj/la_data_out[13] mgmt_buffers/la_data_out_core[13] (0.003::0.003)) + (INTERCONNECT mprj/la_data_out[14] mgmt_buffers/la_data_out_core[14] (0.002::0.002)) + (INTERCONNECT mprj/la_data_out[15] mgmt_buffers/la_data_out_core[15] (0.002::0.002)) + (INTERCONNECT mprj/la_data_out[16] mgmt_buffers/la_data_out_core[16] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[17] mgmt_buffers/la_data_out_core[17] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[18] mgmt_buffers/la_data_out_core[18] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[19] mgmt_buffers/la_data_out_core[19] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[1] mgmt_buffers/la_data_out_core[1] (0.013::0.013)) + (INTERCONNECT mprj/la_data_out[20] mgmt_buffers/la_data_out_core[20] (0.000::0.000)) + (INTERCONNECT mprj/la_data_out[21] mgmt_buffers/la_data_out_core[21] (0.000::0.000)) + (INTERCONNECT mprj/la_data_out[22] mgmt_buffers/la_data_out_core[22] (0.000::0.000)) + (INTERCONNECT mprj/la_data_out[23] mgmt_buffers/la_data_out_core[23] (0.000::0.000)) + (INTERCONNECT mprj/la_data_out[24] mgmt_buffers/la_data_out_core[24] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[25] mgmt_buffers/la_data_out_core[25] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[26] mgmt_buffers/la_data_out_core[26] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[27] mgmt_buffers/la_data_out_core[27] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[28] mgmt_buffers/la_data_out_core[28] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[29] mgmt_buffers/la_data_out_core[29] (0.002::0.002)) + (INTERCONNECT mprj/la_data_out[2] mgmt_buffers/la_data_out_core[2] (0.011::0.011)) + (INTERCONNECT mprj/la_data_out[30] mgmt_buffers/la_data_out_core[30] (0.003::0.003)) + (INTERCONNECT mprj/la_data_out[31] mgmt_buffers/la_data_out_core[31] (0.003::0.003)) + (INTERCONNECT mprj/la_data_out[32] mgmt_buffers/la_data_out_core[32] (0.004::0.004)) + (INTERCONNECT mprj/la_data_out[33] mgmt_buffers/la_data_out_core[33] (0.003::0.003)) + (INTERCONNECT mprj/la_data_out[34] mgmt_buffers/la_data_out_core[34] (0.005::0.005)) + (INTERCONNECT mprj/la_data_out[35] mgmt_buffers/la_data_out_core[35] (0.006::0.006)) + (INTERCONNECT mprj/la_data_out[36] mgmt_buffers/la_data_out_core[36] (0.006::0.006)) + (INTERCONNECT mprj/la_data_out[37] mgmt_buffers/la_data_out_core[37] (0.007::0.007)) + (INTERCONNECT mprj/la_data_out[38] mgmt_buffers/la_data_out_core[38] (0.007::0.007)) + (INTERCONNECT mprj/la_data_out[39] mgmt_buffers/la_data_out_core[39] (0.009::0.009)) + (INTERCONNECT mprj/la_data_out[3] mgmt_buffers/la_data_out_core[3] (0.011::0.011)) + (INTERCONNECT mprj/la_data_out[40] mgmt_buffers/la_data_out_core[40] (0.010::0.010)) + (INTERCONNECT mprj/la_data_out[41] mgmt_buffers/la_data_out_core[41] (0.011::0.011)) + (INTERCONNECT mprj/la_data_out[42] mgmt_buffers/la_data_out_core[42] (0.012::0.012)) + (INTERCONNECT mprj/la_data_out[43] mgmt_buffers/la_data_out_core[43] (0.008::0.008)) + (INTERCONNECT mprj/la_data_out[44] mgmt_buffers/la_data_out_core[44] (0.015::0.015)) + (INTERCONNECT mprj/la_data_out[45] mgmt_buffers/la_data_out_core[45] (0.016::0.016)) + (INTERCONNECT mprj/la_data_out[46] mgmt_buffers/la_data_out_core[46] (0.016::0.016)) + (INTERCONNECT mprj/la_data_out[47] mgmt_buffers/la_data_out_core[47] (0.019::0.019)) + (INTERCONNECT mprj/la_data_out[48] mgmt_buffers/la_data_out_core[48] (0.020::0.020)) + (INTERCONNECT mprj/la_data_out[49] mgmt_buffers/la_data_out_core[49] (0.021::0.021)) + (INTERCONNECT mprj/la_data_out[4] mgmt_buffers/la_data_out_core[4] (0.010::0.010)) + (INTERCONNECT mprj/la_data_out[50] mgmt_buffers/la_data_out_core[50] (0.023::0.023)) + (INTERCONNECT mprj/la_data_out[51] mgmt_buffers/la_data_out_core[51] (0.024::0.024)) + (INTERCONNECT mprj/la_data_out[52] mgmt_buffers/la_data_out_core[52] (0.017::0.017)) + (INTERCONNECT mprj/la_data_out[53] mgmt_buffers/la_data_out_core[53] (0.027::0.027)) + (INTERCONNECT mprj/la_data_out[54] mgmt_buffers/la_data_out_core[54] (0.025::0.025)) + (INTERCONNECT mprj/la_data_out[55] mgmt_buffers/la_data_out_core[55] (0.031::0.031)) + (INTERCONNECT mprj/la_data_out[56] mgmt_buffers/la_data_out_core[56] (0.029::0.029)) + (INTERCONNECT mprj/la_data_out[57] mgmt_buffers/la_data_out_core[57] (0.033::0.033)) + (INTERCONNECT mprj/la_data_out[58] mgmt_buffers/la_data_out_core[58] (0.035::0.035)) + (INTERCONNECT mprj/la_data_out[59] mgmt_buffers/la_data_out_core[59] (0.039::0.039)) + (INTERCONNECT mprj/la_data_out[5] mgmt_buffers/la_data_out_core[5] (0.009::0.009)) + (INTERCONNECT mprj/la_data_out[60] mgmt_buffers/la_data_out_core[60] (0.018::0.018)) + (INTERCONNECT mprj/la_data_out[61] mgmt_buffers/la_data_out_core[61] (0.037::0.037)) + (INTERCONNECT mprj/la_data_out[62] mgmt_buffers/la_data_out_core[62] (0.042::0.042)) + (INTERCONNECT mprj/la_data_out[63] mgmt_buffers/la_data_out_core[63] (0.044::0.044)) + (INTERCONNECT mprj/la_data_out[64] mgmt_buffers/la_data_out_core[64] (0.050::0.050)) + (INTERCONNECT mprj/la_data_out[65] mgmt_buffers/la_data_out_core[65] (0.052::0.052)) + (INTERCONNECT mprj/la_data_out[66] mgmt_buffers/la_data_out_core[66] (0.054::0.054)) + (INTERCONNECT mprj/la_data_out[67] mgmt_buffers/la_data_out_core[67] (0.055::0.055)) + (INTERCONNECT mprj/la_data_out[68] mgmt_buffers/la_data_out_core[68] (0.059::0.059)) + (INTERCONNECT mprj/la_data_out[69] mgmt_buffers/la_data_out_core[69] (0.060::0.060)) + (INTERCONNECT mprj/la_data_out[6] mgmt_buffers/la_data_out_core[6] (0.008::0.008)) + (INTERCONNECT mprj/la_data_out[70] mgmt_buffers/la_data_out_core[70] (0.064::0.064)) + (INTERCONNECT mprj/la_data_out[71] mgmt_buffers/la_data_out_core[71] (0.065::0.065)) + (INTERCONNECT mprj/la_data_out[72] mgmt_buffers/la_data_out_core[72] (0.069::0.069)) + (INTERCONNECT mprj/la_data_out[73] mgmt_buffers/la_data_out_core[73] (0.070::0.070)) + (INTERCONNECT mprj/la_data_out[74] mgmt_buffers/la_data_out_core[74] (0.074::0.074)) + (INTERCONNECT mprj/la_data_out[75] mgmt_buffers/la_data_out_core[75] (0.075::0.075)) + (INTERCONNECT mprj/la_data_out[76] mgmt_buffers/la_data_out_core[76] (0.080::0.080)) + (INTERCONNECT mprj/la_data_out[77] mgmt_buffers/la_data_out_core[77] (0.078::0.078)) + (INTERCONNECT mprj/la_data_out[78] mgmt_buffers/la_data_out_core[78] (0.085::0.085)) + (INTERCONNECT mprj/la_data_out[79] mgmt_buffers/la_data_out_core[79] (0.056::0.056)) + (INTERCONNECT mprj/la_data_out[7] mgmt_buffers/la_data_out_core[7] (0.007::0.007)) + (INTERCONNECT mprj/la_data_out[80] mgmt_buffers/la_data_out_core[80] (0.092::0.092)) + (INTERCONNECT mprj/la_data_out[81] mgmt_buffers/la_data_out_core[81] (0.094::0.094)) + (INTERCONNECT mprj/la_data_out[82] mgmt_buffers/la_data_out_core[82] (0.098::0.098)) + (INTERCONNECT mprj/la_data_out[83] mgmt_buffers/la_data_out_core[83] (0.099::0.099)) + (INTERCONNECT mprj/la_data_out[84] mgmt_buffers/la_data_out_core[84] (0.018::0.018)) + (INTERCONNECT mprj/la_data_out[85] mgmt_buffers/la_data_out_core[85] (0.106::0.106)) + (INTERCONNECT mprj/la_data_out[86] mgmt_buffers/la_data_out_core[86] (0.026::0.026)) + (INTERCONNECT mprj/la_data_out[87] mgmt_buffers/la_data_out_core[87] (0.113::0.113)) + (INTERCONNECT mprj/la_data_out[88] mgmt_buffers/la_data_out_core[88] (0.025::0.025)) + (INTERCONNECT mprj/la_data_out[89] mgmt_buffers/la_data_out_core[89] (0.026::0.026)) + (INTERCONNECT mprj/la_data_out[8] mgmt_buffers/la_data_out_core[8] (0.006::0.006)) + (INTERCONNECT mprj/la_data_out[90] mgmt_buffers/la_data_out_core[90] (0.126::0.126)) + (INTERCONNECT mprj/la_data_out[91] mgmt_buffers/la_data_out_core[91] (0.128::0.128)) + (INTERCONNECT mprj/la_data_out[92] mgmt_buffers/la_data_out_core[92] (0.018::0.018)) + (INTERCONNECT mprj/la_data_out[93] mgmt_buffers/la_data_out_core[93] (0.017::0.017)) + (INTERCONNECT mprj/la_data_out[94] mgmt_buffers/la_data_out_core[94] (0.030::0.030)) + (INTERCONNECT mprj/la_data_out[95] mgmt_buffers/la_data_out_core[95] (0.028::0.028)) + (INTERCONNECT mprj/la_data_out[96] mgmt_buffers/la_data_out_core[96] (0.149::0.149)) + (INTERCONNECT mprj/la_data_out[97] mgmt_buffers/la_data_out_core[97] (0.030::0.030)) + (INTERCONNECT mprj/la_data_out[98] mgmt_buffers/la_data_out_core[98] (0.034::0.034)) + (INTERCONNECT mprj/la_data_out[99] mgmt_buffers/la_data_out_core[99] (0.032::0.032)) + (INTERCONNECT mprj/la_data_out[9] mgmt_buffers/la_data_out_core[9] (0.005::0.005)) + (INTERCONNECT mprj/user_irq[0] mgmt_buffers/user_irq_core[0] (0.051::0.051)) + (INTERCONNECT mprj/user_irq[1] mgmt_buffers/user_irq_core[1] (0.275::0.275)) + (INTERCONNECT mprj/user_irq[2] mgmt_buffers/user_irq_core[2] (0.232::0.232)) + (INTERCONNECT mprj/wbs_ack_o mgmt_buffers/mprj_ack_i_user (0.051::0.051)) + (INTERCONNECT mprj/wbs_dat_o[0] mgmt_buffers/mprj_dat_i_user[0] (0.080::0.080)) + (INTERCONNECT mprj/wbs_dat_o[10] mgmt_buffers/mprj_dat_i_user[10] (0.012::0.012)) + (INTERCONNECT mprj/wbs_dat_o[11] mgmt_buffers/mprj_dat_i_user[11] (0.050::0.050)) + (INTERCONNECT mprj/wbs_dat_o[12] mgmt_buffers/mprj_dat_i_user[12] (0.008::0.008)) + (INTERCONNECT mprj/wbs_dat_o[13] mgmt_buffers/mprj_dat_i_user[13] (0.043::0.043)) + (INTERCONNECT mprj/wbs_dat_o[14] mgmt_buffers/mprj_dat_i_user[14] (0.042::0.042)) + (INTERCONNECT mprj/wbs_dat_o[15] mgmt_buffers/mprj_dat_i_user[15] (0.035::0.035)) + (INTERCONNECT mprj/wbs_dat_o[16] mgmt_buffers/mprj_dat_i_user[16] (0.038::0.038)) + (INTERCONNECT mprj/wbs_dat_o[17] mgmt_buffers/mprj_dat_i_user[17] (0.032::0.032)) + (INTERCONNECT mprj/wbs_dat_o[18] mgmt_buffers/mprj_dat_i_user[18] (0.032::0.032)) + (INTERCONNECT mprj/wbs_dat_o[19] mgmt_buffers/mprj_dat_i_user[19] (0.022::0.022)) + (INTERCONNECT mprj/wbs_dat_o[1] mgmt_buffers/mprj_dat_i_user[1] (0.016::0.016)) + (INTERCONNECT mprj/wbs_dat_o[20] mgmt_buffers/mprj_dat_i_user[20] (0.009::0.009)) + (INTERCONNECT mprj/wbs_dat_o[21] mgmt_buffers/mprj_dat_i_user[21] (0.016::0.016)) + (INTERCONNECT mprj/wbs_dat_o[22] mgmt_buffers/mprj_dat_i_user[22] (0.008::0.008)) + (INTERCONNECT mprj/wbs_dat_o[23] mgmt_buffers/mprj_dat_i_user[23] (0.025::0.025)) + (INTERCONNECT mprj/wbs_dat_o[24] mgmt_buffers/mprj_dat_i_user[24] (0.024::0.024)) + (INTERCONNECT mprj/wbs_dat_o[25] mgmt_buffers/mprj_dat_i_user[25] (0.022::0.022)) + (INTERCONNECT mprj/wbs_dat_o[26] mgmt_buffers/mprj_dat_i_user[26] (0.021::0.021)) + (INTERCONNECT mprj/wbs_dat_o[27] mgmt_buffers/mprj_dat_i_user[27] (0.016::0.016)) + (INTERCONNECT mprj/wbs_dat_o[28] mgmt_buffers/mprj_dat_i_user[28] (0.019::0.019)) + (INTERCONNECT mprj/wbs_dat_o[29] mgmt_buffers/mprj_dat_i_user[29] (0.016::0.016)) + (INTERCONNECT mprj/wbs_dat_o[2] mgmt_buffers/mprj_dat_i_user[2] (0.018::0.018)) + (INTERCONNECT mprj/wbs_dat_o[30] mgmt_buffers/mprj_dat_i_user[30] (0.016::0.016)) + (INTERCONNECT mprj/wbs_dat_o[31] mgmt_buffers/mprj_dat_i_user[31] (0.015::0.015)) + (INTERCONNECT mprj/wbs_dat_o[3] mgmt_buffers/mprj_dat_i_user[3] (0.068::0.068)) + (INTERCONNECT mprj/wbs_dat_o[4] mgmt_buffers/mprj_dat_i_user[4] (0.066::0.066)) + (INTERCONNECT mprj/wbs_dat_o[5] mgmt_buffers/mprj_dat_i_user[5] (0.060::0.060)) + (INTERCONNECT mprj/wbs_dat_o[6] mgmt_buffers/mprj_dat_i_user[6] (0.015::0.015)) + (INTERCONNECT mprj/wbs_dat_o[7] mgmt_buffers/mprj_dat_i_user[7] (0.054::0.054)) + (INTERCONNECT mprj/wbs_dat_o[8] mgmt_buffers/mprj_dat_i_user[8] (0.058::0.058)) + (INTERCONNECT mprj/wbs_dat_o[9] mgmt_buffers/mprj_dat_i_user[9] (0.012::0.012)) + (INTERCONNECT padframe/clock_core clocking/ext_clk (0.007::0.007)) + (INTERCONNECT padframe/clock_core pll/osc (0.564::0.564)) + (INTERCONNECT padframe/flash_clk flash_clk (0.001::0.001)) + (INTERCONNECT padframe/flash_csb flash_csb (0.001::0.001)) + (INTERCONNECT padframe/flash_io0 flash_io0 (0.001::0.001)) + (INTERCONNECT padframe/flash_io0_di_core housekeeping/pad_flash_io0_di (0.128::0.128)) + (INTERCONNECT padframe/flash_io1 flash_io1 (0.001::0.001)) + (INTERCONNECT padframe/flash_io1_di_core housekeeping/pad_flash_io1_di (0.096::0.096)) + (INTERCONNECT padframe/gpio gpio (0.001::0.001)) + (INTERCONNECT padframe/gpio_in_core soc/gpio_in_pad (0.620::0.620)) + (INTERCONNECT padframe/vccd_pad vccd (0.001::0.001)) + (INTERCONNECT padframe/vdda_pad vdda (0.001::0.001)) + (INTERCONNECT padframe/vddio_pad vddio (0.001::0.001)) + (INTERCONNECT padframe/vddio_pad2 vddio_2 (0.001::0.001)) + (INTERCONNECT padframe/vssa_pad vssa (0.001::0.001)) + (INTERCONNECT padframe/vssd_pad vssd (0.001::0.001)) + (INTERCONNECT padframe/vssio_pad vssio (0.001::0.001)) + (INTERCONNECT padframe/vssio_pad2 vssio_2 (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[0] mprj_io[0] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[0] gpio_control_bidir_1\[0\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in_3v3[0] mprj/io_in_3v3[0] (0.079::0.079)) + (INTERCONNECT padframe/mprj_gpio_analog[3] mprj/gpio_analog[3] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_noesd[3] mprj/gpio_noesd[3] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[10] mprj_io[10] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[10] gpio_control_in_1\[2\]/pad_gpio_in (0.009::0.009)) + (INTERCONNECT padframe/mprj_io_in_3v3[10] mprj/io_in_3v3[10] (0.003::0.003)) + (INTERCONNECT padframe/mprj_gpio_analog[4] mprj/gpio_analog[4] (0.003::0.003)) + (INTERCONNECT padframe/mprj_gpio_noesd[4] mprj/gpio_noesd[4] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[11] mprj_io[11] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[11] gpio_control_in_1\[3\]/pad_gpio_in (0.012::0.012)) + (INTERCONNECT padframe/mprj_io_in_3v3[11] mprj/io_in_3v3[11] (0.003::0.003)) + (INTERCONNECT padframe/mprj_gpio_analog[5] mprj/gpio_analog[5] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_noesd[5] mprj/gpio_noesd[5] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[12] mprj_io[12] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[12] gpio_control_in_1\[4\]/pad_gpio_in (0.007::0.007)) + (INTERCONNECT padframe/mprj_io_in_3v3[12] mprj/io_in_3v3[12] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_analog[6] mprj/gpio_analog[6] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_noesd[6] mprj/gpio_noesd[6] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[13] mprj_io[13] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[13] gpio_control_in_1\[5\]/pad_gpio_in (0.002::0.002)) + (INTERCONNECT padframe/mprj_io_in_3v3[13] mprj/io_in_3v3[13] (0.004::0.004)) + (INTERCONNECT padframe/mprj_io[1] mprj_io[1] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[1] gpio_control_bidir_1\[1\]/pad_gpio_in (0.002::0.002)) + (INTERCONNECT padframe/mprj_io_in_3v3[1] mprj/io_in_3v3[1] (0.056::0.056)) + (INTERCONNECT padframe/mprj_io[2] mprj_io[2] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[2] gpio_control_in_1a\[0\]/pad_gpio_in (0.002::0.002)) + (INTERCONNECT padframe/mprj_io_in_3v3[2] mprj/io_in_3v3[2] (0.013::0.013)) + (INTERCONNECT padframe/mprj_io[3] mprj_io[3] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[3] gpio_control_in_1a\[1\]/pad_gpio_in (0.002::0.002)) + (INTERCONNECT padframe/mprj_io_in_3v3[3] mprj/io_in_3v3[3] (0.014::0.014)) + (INTERCONNECT padframe/mprj_io[4] mprj_io[4] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[4] gpio_control_in_1a\[2\]/pad_gpio_in (0.002::0.002)) + (INTERCONNECT padframe/mprj_io_in_3v3[4] mprj/io_in_3v3[4] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[5] mprj_io[5] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[5] gpio_control_in_1a\[3\]/pad_gpio_in (0.003::0.003)) + (INTERCONNECT padframe/mprj_io_in_3v3[5] mprj/io_in_3v3[5] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[6] mprj_io[6] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[6] gpio_control_in_1a\[4\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in_3v3[6] mprj/io_in_3v3[6] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_analog[0] mprj/gpio_analog[0] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_noesd[0] mprj/gpio_noesd[0] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[7] mprj_io[7] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[7] gpio_control_in_1a\[5\]/pad_gpio_in (0.012::0.012)) + (INTERCONNECT padframe/mprj_io_in_3v3[7] mprj/io_in_3v3[7] (0.004::0.004)) + (INTERCONNECT padframe/mprj_gpio_analog[1] mprj/gpio_analog[1] (0.003::0.003)) + (INTERCONNECT padframe/mprj_gpio_noesd[1] mprj/gpio_noesd[1] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[8] mprj_io[8] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[8] gpio_control_in_1\[0\]/pad_gpio_in (0.009::0.009)) + (INTERCONNECT padframe/mprj_io_in_3v3[8] mprj/io_in_3v3[8] (0.004::0.004)) + (INTERCONNECT padframe/mprj_gpio_analog[2] mprj/gpio_analog[2] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_noesd[2] mprj/gpio_noesd[2] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[9] mprj_io[9] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[9] gpio_control_in_1\[1\]/pad_gpio_in (0.014::0.014)) + (INTERCONNECT padframe/mprj_io_in_3v3[9] mprj/io_in_3v3[9] (0.004::0.004)) + (INTERCONNECT padframe/mprj_gpio_analog[7] mprj/gpio_analog[7] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_noesd[7] mprj/gpio_noesd[7] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[25] mprj_io[25] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[14] gpio_control_in_2\[0\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[14] mprj/io_in_3v3[14] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_analog[17] mprj/gpio_analog[17] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_noesd[17] mprj/gpio_noesd[17] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[35] mprj_io[35] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[24] gpio_control_bidir_2\[0\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[24] mprj/io_in_3v3[24] (0.006::0.006)) + (INTERCONNECT padframe/mprj_io[36] mprj_io[36] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[25] gpio_control_bidir_2\[1\]/pad_gpio_in (0.002::0.002)) + (INTERCONNECT padframe/mprj_io_in_3v3[25] mprj/io_in_3v3[25] (0.012::0.012)) + (INTERCONNECT padframe/mprj_io[37] mprj_io[37] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[26] gpio_control_bidir_2\[2\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in_3v3[26] mprj/io_in_3v3[26] (0.024::0.024)) + (INTERCONNECT padframe/mprj_gpio_analog[8] mprj/gpio_analog[8] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_noesd[8] mprj/gpio_noesd[8] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[26] mprj_io[26] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[15] gpio_control_in_2\[1\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[15] mprj/io_in_3v3[15] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_analog[9] mprj/gpio_analog[9] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_noesd[9] mprj/gpio_noesd[9] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[27] mprj_io[27] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[16] gpio_control_in_2\[2\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[16] mprj/io_in_3v3[16] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_analog[10] mprj/gpio_analog[10] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_noesd[10] mprj/gpio_noesd[10] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[28] mprj_io[28] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[17] gpio_control_in_2\[3\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[17] mprj/io_in_3v3[17] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_analog[11] mprj/gpio_analog[11] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_noesd[11] mprj/gpio_noesd[11] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[29] mprj_io[29] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[18] gpio_control_in_2\[4\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[18] mprj/io_in_3v3[18] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_analog[12] mprj/gpio_analog[12] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_noesd[12] mprj/gpio_noesd[12] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[30] mprj_io[30] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[19] gpio_control_in_2\[5\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[19] mprj/io_in_3v3[19] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_analog[13] mprj/gpio_analog[13] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_noesd[13] mprj/gpio_noesd[13] (0.003::0.003)) + (INTERCONNECT padframe/mprj_io[31] mprj_io[31] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[20] gpio_control_in_2\[6\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[20] mprj/io_in_3v3[20] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_analog[14] mprj/gpio_analog[14] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_noesd[14] mprj/gpio_noesd[14] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[32] mprj_io[32] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[21] gpio_control_in_2\[7\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[21] mprj/io_in_3v3[21] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_analog[15] mprj/gpio_analog[15] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_noesd[15] mprj/gpio_noesd[15] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[33] mprj_io[33] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[22] gpio_control_in_2\[8\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[22] mprj/io_in_3v3[22] (0.002::0.002)) + (INTERCONNECT padframe/mprj_gpio_analog[16] mprj/gpio_analog[16] (0.001::0.001)) + (INTERCONNECT padframe/mprj_gpio_noesd[16] mprj/gpio_noesd[16] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[34] mprj_io[34] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[23] gpio_control_in_2\[9\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io_in_3v3[23] mprj/io_in_3v3[23] (0.001::0.001)) + (INTERCONNECT padframe/resetb_core_h rstb_level/A (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog[0] mprj/io_analog[0] (0.003::0.003)) + (INTERCONNECT padframe/mprj_io[15] mprj_io[15] (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[1] mprj/io_analog[1] (0.015::0.015)) + (INTERCONNECT padframe/mprj_io[16] mprj_io[16] (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[2] mprj/io_analog[2] (0.005::0.005)) + (INTERCONNECT padframe/mprj_io[17] mprj_io[17] (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[3] mprj/io_analog[3] (0.047::0.047)) + (INTERCONNECT padframe/mprj_io[14] mprj_io[14] (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[4] mprj/io_analog[4] (0.000::0.000)) + (INTERCONNECT padframe/mprj_io[18] mprj_io[18] (0.001::0.001)) + (INTERCONNECT padframe/vccd1_pad vccd1 (0.001::0.001)) + (INTERCONNECT padframe/vdda1_pad vdda1 (0.001::0.001)) + (INTERCONNECT padframe/vdda1_pad2 vdda1_2 (0.001::0.001)) + (INTERCONNECT padframe/vssa1_pad vssa1 (0.001::0.001)) + (INTERCONNECT padframe/vssa1_pad2 vssa1_2 (0.001::0.001)) + (INTERCONNECT padframe/vssd1_pad vssd1 (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[7] mprj/io_analog[7] (0.000::0.000)) + (INTERCONNECT padframe/mprj_io[21] mprj_io[21] (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[8] mprj/io_analog[8] (0.000::0.000)) + (INTERCONNECT padframe/mprj_io[22] mprj_io[22] (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[9] mprj/io_analog[9] (0.000::0.000)) + (INTERCONNECT padframe/mprj_io[23] mprj_io[23] (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[10] mprj/io_analog[10] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[24] mprj_io[24] (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[5] mprj/io_analog[5] (0.000::0.000)) + (INTERCONNECT padframe/mprj_io[19] mprj_io[19] (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog[6] mprj/io_analog[6] (0.000::0.000)) + (INTERCONNECT padframe/mprj_io[20] mprj_io[20] (0.001::0.001)) + (INTERCONNECT padframe/vccd2_pad vccd2 (0.001::0.001)) + (INTERCONNECT padframe/vdda2_pad vdda2 (0.001::0.001)) + (INTERCONNECT padframe/vssa2_pad vssa2 (0.001::0.001)) + (INTERCONNECT padframe/vssd2_pad vssd2 (0.001::0.001)) + (INTERCONNECT pll/clockp[0] clocking/pll_clk90 (0.925::0.925)) + (INTERCONNECT pll/clockp[1] clocking/pll_clk (0.625::0.625)) + (INTERCONNECT rstb_level/X clocking/resetb (0.081::0.081)) + (INTERCONNECT rstb_level/X pll/resetb (0.387::0.387)) + (INTERCONNECT soc/debug_mode housekeeping/debug_mode (0.007::0.007)) + (INTERCONNECT soc/debug_oeb housekeeping/debug_oeb (0.005::0.005)) + (INTERCONNECT soc/debug_out housekeeping/debug_out (0.005::0.005)) + (INTERCONNECT soc/flash_clk housekeeping/spimemio_flash_clk (0.001::0.001)) + (INTERCONNECT soc/flash_csb housekeeping/spimemio_flash_csb (0.001::0.001)) + (INTERCONNECT soc/flash_io0_do housekeeping/spimemio_flash_io0_do (0.001::0.001)) + (INTERCONNECT soc/flash_io0_oeb housekeeping/spimemio_flash_io0_oeb (0.002::0.002)) + (INTERCONNECT soc/flash_io1_do housekeeping/spimemio_flash_io1_do (0.001::0.001)) + (INTERCONNECT soc/flash_io1_oeb housekeeping/spimemio_flash_io1_oeb (0.001::0.001)) + (INTERCONNECT soc/flash_io2_do housekeeping/spimemio_flash_io2_do (0.002::0.002)) + (INTERCONNECT soc/flash_io2_oeb housekeeping/spimemio_flash_io2_oeb (0.002::0.002)) + (INTERCONNECT soc/flash_io3_do housekeeping/spimemio_flash_io3_do (0.002::0.002)) + (INTERCONNECT soc/flash_io3_oeb housekeeping/spimemio_flash_io3_oeb (0.002::0.002)) + (INTERCONNECT soc/gpio_inenb_pad padframe/gpio_inenb_core (0.024::0.024)) + (INTERCONNECT soc/gpio_mode0_pad padframe/gpio_mode0_core (0.141::0.141)) + (INTERCONNECT soc/gpio_mode1_pad padframe/gpio_mode1_core (0.042::0.042)) + (INTERCONNECT soc/gpio_out_pad padframe/gpio_out_core (0.007::0.007)) + (INTERCONNECT soc/gpio_outenb_pad padframe/gpio_outenb_core (0.001::0.001)) + (INTERCONNECT soc/hk_stb_o housekeeping/wb_stb_i (0.003::0.003)) + (INTERCONNECT soc/la_iena[0] mgmt_buffers/la_iena_mprj[0] (0.083::0.083)) + (INTERCONNECT soc/la_iena[100] mgmt_buffers/la_iena_mprj[100] (0.005::0.005)) + (INTERCONNECT soc/la_iena[101] mgmt_buffers/la_iena_mprj[101] (0.018::0.018)) + (INTERCONNECT soc/la_iena[102] mgmt_buffers/la_iena_mprj[102] (0.019::0.019)) + (INTERCONNECT soc/la_iena[103] mgmt_buffers/la_iena_mprj[103] (0.012::0.012)) + (INTERCONNECT soc/la_iena[104] mgmt_buffers/la_iena_mprj[104] (0.021::0.021)) + (INTERCONNECT soc/la_iena[105] mgmt_buffers/la_iena_mprj[105] (0.022::0.022)) + (INTERCONNECT soc/la_iena[106] mgmt_buffers/la_iena_mprj[106] (0.024::0.024)) + (INTERCONNECT soc/la_iena[107] mgmt_buffers/la_iena_mprj[107] (0.026::0.026)) + (INTERCONNECT soc/la_iena[108] mgmt_buffers/la_iena_mprj[108] (0.027::0.027)) + (INTERCONNECT soc/la_iena[109] mgmt_buffers/la_iena_mprj[109] (0.029::0.029)) + (INTERCONNECT soc/la_iena[10] mgmt_buffers/la_iena_mprj[10] (0.021::0.021)) + (INTERCONNECT soc/la_iena[110] mgmt_buffers/la_iena_mprj[110] (0.025::0.025)) + (INTERCONNECT soc/la_iena[111] mgmt_buffers/la_iena_mprj[111] (0.030::0.030)) + (INTERCONNECT soc/la_iena[112] mgmt_buffers/la_iena_mprj[112] (0.033::0.033)) + (INTERCONNECT soc/la_iena[113] mgmt_buffers/la_iena_mprj[113] (0.034::0.034)) + (INTERCONNECT soc/la_iena[114] mgmt_buffers/la_iena_mprj[114] (0.037::0.037)) + (INTERCONNECT soc/la_iena[115] mgmt_buffers/la_iena_mprj[115] (0.039::0.039)) + (INTERCONNECT soc/la_iena[116] mgmt_buffers/la_iena_mprj[116] (0.038::0.038)) + (INTERCONNECT soc/la_iena[117] mgmt_buffers/la_iena_mprj[117] (0.030::0.030)) + (INTERCONNECT soc/la_iena[118] mgmt_buffers/la_iena_mprj[118] (0.040::0.040)) + (INTERCONNECT soc/la_iena[119] mgmt_buffers/la_iena_mprj[119] (0.042::0.042)) + (INTERCONNECT soc/la_iena[11] mgmt_buffers/la_iena_mprj[11] (0.073::0.073)) + (INTERCONNECT soc/la_iena[120] mgmt_buffers/la_iena_mprj[120] (0.048::0.048)) + (INTERCONNECT soc/la_iena[121] mgmt_buffers/la_iena_mprj[121] (0.033::0.033)) + (INTERCONNECT soc/la_iena[122] mgmt_buffers/la_iena_mprj[122] (0.051::0.051)) + (INTERCONNECT soc/la_iena[123] mgmt_buffers/la_iena_mprj[123] (0.038::0.038)) + (INTERCONNECT soc/la_iena[124] mgmt_buffers/la_iena_mprj[124] (0.056::0.056)) + (INTERCONNECT soc/la_iena[125] mgmt_buffers/la_iena_mprj[125] (0.059::0.059)) + (INTERCONNECT soc/la_iena[126] mgmt_buffers/la_iena_mprj[126] (0.057::0.057)) + (INTERCONNECT soc/la_iena[127] mgmt_buffers/la_iena_mprj[127] (0.031::0.031)) + (INTERCONNECT soc/la_iena[12] mgmt_buffers/la_iena_mprj[12] (0.019::0.019)) + (INTERCONNECT soc/la_iena[13] mgmt_buffers/la_iena_mprj[13] (0.016::0.016)) + (INTERCONNECT soc/la_iena[14] mgmt_buffers/la_iena_mprj[14] (0.017::0.017)) + (INTERCONNECT soc/la_iena[15] mgmt_buffers/la_iena_mprj[15] (0.010::0.010)) + (INTERCONNECT soc/la_iena[16] mgmt_buffers/la_iena_mprj[16] (0.016::0.016)) + (INTERCONNECT soc/la_iena[17] mgmt_buffers/la_iena_mprj[17] (0.014::0.014)) + (INTERCONNECT soc/la_iena[18] mgmt_buffers/la_iena_mprj[18] (0.011::0.011)) + (INTERCONNECT soc/la_iena[19] mgmt_buffers/la_iena_mprj[19] (0.056::0.056)) + (INTERCONNECT soc/la_iena[1] mgmt_buffers/la_iena_mprj[1] (0.024::0.024)) + (INTERCONNECT soc/la_iena[20] mgmt_buffers/la_iena_mprj[20] (0.012::0.012)) + (INTERCONNECT soc/la_iena[21] mgmt_buffers/la_iena_mprj[21] (0.057::0.057)) + (INTERCONNECT soc/la_iena[22] mgmt_buffers/la_iena_mprj[22] (0.054::0.054)) + (INTERCONNECT soc/la_iena[23] mgmt_buffers/la_iena_mprj[23] (0.054::0.054)) + (INTERCONNECT soc/la_iena[24] mgmt_buffers/la_iena_mprj[24] (0.049::0.049)) + (INTERCONNECT soc/la_iena[25] mgmt_buffers/la_iena_mprj[25] (0.048::0.048)) + (INTERCONNECT soc/la_iena[26] mgmt_buffers/la_iena_mprj[26] (0.046::0.046)) + (INTERCONNECT soc/la_iena[27] mgmt_buffers/la_iena_mprj[27] (0.046::0.046)) + (INTERCONNECT soc/la_iena[28] mgmt_buffers/la_iena_mprj[28] (0.041::0.041)) + (INTERCONNECT soc/la_iena[29] mgmt_buffers/la_iena_mprj[29] (0.041::0.041)) + (INTERCONNECT soc/la_iena[2] mgmt_buffers/la_iena_mprj[2] (0.021::0.021)) + (INTERCONNECT soc/la_iena[30] mgmt_buffers/la_iena_mprj[30] (0.038::0.038)) + (INTERCONNECT soc/la_iena[31] mgmt_buffers/la_iena_mprj[31] (0.038::0.038)) + (INTERCONNECT soc/la_iena[32] mgmt_buffers/la_iena_mprj[32] (0.034::0.034)) + (INTERCONNECT soc/la_iena[33] mgmt_buffers/la_iena_mprj[33] (0.034::0.034)) + (INTERCONNECT soc/la_iena[34] mgmt_buffers/la_iena_mprj[34] (0.032::0.032)) + (INTERCONNECT soc/la_iena[35] mgmt_buffers/la_iena_mprj[35] (0.028::0.028)) + (INTERCONNECT soc/la_iena[36] mgmt_buffers/la_iena_mprj[36] (0.029::0.029)) + (INTERCONNECT soc/la_iena[37] mgmt_buffers/la_iena_mprj[37] (0.023::0.023)) + (INTERCONNECT soc/la_iena[38] mgmt_buffers/la_iena_mprj[38] (0.025::0.025)) + (INTERCONNECT soc/la_iena[39] mgmt_buffers/la_iena_mprj[39] (0.020::0.020)) + (INTERCONNECT soc/la_iena[3] mgmt_buffers/la_iena_mprj[3] (0.026::0.026)) + (INTERCONNECT soc/la_iena[40] mgmt_buffers/la_iena_mprj[40] (0.020::0.020)) + (INTERCONNECT soc/la_iena[41] mgmt_buffers/la_iena_mprj[41] (0.021::0.021)) + (INTERCONNECT soc/la_iena[42] mgmt_buffers/la_iena_mprj[42] (0.016::0.016)) + (INTERCONNECT soc/la_iena[43] mgmt_buffers/la_iena_mprj[43] (0.018::0.018)) + (INTERCONNECT soc/la_iena[44] mgmt_buffers/la_iena_mprj[44] (0.016::0.016)) + (INTERCONNECT soc/la_iena[45] mgmt_buffers/la_iena_mprj[45] (0.016::0.016)) + (INTERCONNECT soc/la_iena[46] mgmt_buffers/la_iena_mprj[46] (0.011::0.011)) + (INTERCONNECT soc/la_iena[47] mgmt_buffers/la_iena_mprj[47] (0.005::0.005)) + (INTERCONNECT soc/la_iena[48] mgmt_buffers/la_iena_mprj[48] (0.010::0.010)) + (INTERCONNECT soc/la_iena[49] mgmt_buffers/la_iena_mprj[49] (0.005::0.005)) + (INTERCONNECT soc/la_iena[4] mgmt_buffers/la_iena_mprj[4] (0.020::0.020)) + (INTERCONNECT soc/la_iena[50] mgmt_buffers/la_iena_mprj[50] (0.005::0.005)) + (INTERCONNECT soc/la_iena[51] mgmt_buffers/la_iena_mprj[51] (0.009::0.009)) + (INTERCONNECT soc/la_iena[52] mgmt_buffers/la_iena_mprj[52] (0.010::0.010)) + (INTERCONNECT soc/la_iena[53] mgmt_buffers/la_iena_mprj[53] (0.007::0.007)) + (INTERCONNECT soc/la_iena[54] mgmt_buffers/la_iena_mprj[54] (0.006::0.006)) + (INTERCONNECT soc/la_iena[55] mgmt_buffers/la_iena_mprj[55] (0.006::0.006)) + (INTERCONNECT soc/la_iena[56] mgmt_buffers/la_iena_mprj[56] (0.005::0.005)) + (INTERCONNECT soc/la_iena[57] mgmt_buffers/la_iena_mprj[57] (0.005::0.005)) + (INTERCONNECT soc/la_iena[58] mgmt_buffers/la_iena_mprj[58] (0.004::0.004)) + (INTERCONNECT soc/la_iena[59] mgmt_buffers/la_iena_mprj[59] (0.005::0.005)) + (INTERCONNECT soc/la_iena[5] mgmt_buffers/la_iena_mprj[5] (0.093::0.093)) + (INTERCONNECT soc/la_iena[60] mgmt_buffers/la_iena_mprj[60] (0.003::0.003)) + (INTERCONNECT soc/la_iena[61] mgmt_buffers/la_iena_mprj[61] (0.003::0.003)) + (INTERCONNECT soc/la_iena[62] mgmt_buffers/la_iena_mprj[62] (0.003::0.003)) + (INTERCONNECT soc/la_iena[63] mgmt_buffers/la_iena_mprj[63] (0.003::0.003)) + (INTERCONNECT soc/la_iena[64] mgmt_buffers/la_iena_mprj[64] (0.002::0.002)) + (INTERCONNECT soc/la_iena[65] mgmt_buffers/la_iena_mprj[65] (0.001::0.001)) + (INTERCONNECT soc/la_iena[66] mgmt_buffers/la_iena_mprj[66] (0.001::0.001)) + (INTERCONNECT soc/la_iena[67] mgmt_buffers/la_iena_mprj[67] (0.001::0.001)) + (INTERCONNECT soc/la_iena[68] mgmt_buffers/la_iena_mprj[68] (0.001::0.001)) + (INTERCONNECT soc/la_iena[69] mgmt_buffers/la_iena_mprj[69] (0.001::0.001)) + (INTERCONNECT soc/la_iena[6] mgmt_buffers/la_iena_mprj[6] (0.019::0.019)) + (INTERCONNECT soc/la_iena[70] mgmt_buffers/la_iena_mprj[70] (0.001::0.001)) + (INTERCONNECT soc/la_iena[71] mgmt_buffers/la_iena_mprj[71] (0.000::0.000)) + (INTERCONNECT soc/la_iena[72] mgmt_buffers/la_iena_mprj[72] (0.000::0.000)) + (INTERCONNECT soc/la_iena[73] mgmt_buffers/la_iena_mprj[73] (0.000::0.000)) + (INTERCONNECT soc/la_iena[74] mgmt_buffers/la_iena_mprj[74] (0.000::0.000)) + (INTERCONNECT soc/la_iena[75] mgmt_buffers/la_iena_mprj[75] (0.000::0.000)) + (INTERCONNECT soc/la_iena[76] mgmt_buffers/la_iena_mprj[76] (0.001::0.001)) + (INTERCONNECT soc/la_iena[77] mgmt_buffers/la_iena_mprj[77] (0.001::0.001)) + (INTERCONNECT soc/la_iena[78] mgmt_buffers/la_iena_mprj[78] (0.001::0.001)) + (INTERCONNECT soc/la_iena[79] mgmt_buffers/la_iena_mprj[79] (0.002::0.002)) + (INTERCONNECT soc/la_iena[7] mgmt_buffers/la_iena_mprj[7] (0.023::0.023)) + (INTERCONNECT soc/la_iena[80] mgmt_buffers/la_iena_mprj[80] (0.002::0.002)) + (INTERCONNECT soc/la_iena[81] mgmt_buffers/la_iena_mprj[81] (0.002::0.002)) + (INTERCONNECT soc/la_iena[82] mgmt_buffers/la_iena_mprj[82] (0.001::0.001)) + (INTERCONNECT soc/la_iena[83] mgmt_buffers/la_iena_mprj[83] (0.004::0.004)) + (INTERCONNECT soc/la_iena[84] mgmt_buffers/la_iena_mprj[84] (0.004::0.004)) + (INTERCONNECT soc/la_iena[85] mgmt_buffers/la_iena_mprj[85] (0.004::0.004)) + (INTERCONNECT soc/la_iena[86] mgmt_buffers/la_iena_mprj[86] (0.005::0.005)) + (INTERCONNECT soc/la_iena[87] mgmt_buffers/la_iena_mprj[87] (0.005::0.005)) + (INTERCONNECT soc/la_iena[88] mgmt_buffers/la_iena_mprj[88] (0.006::0.006)) + (INTERCONNECT soc/la_iena[89] mgmt_buffers/la_iena_mprj[89] (0.007::0.007)) + (INTERCONNECT soc/la_iena[8] mgmt_buffers/la_iena_mprj[8] (0.017::0.017)) + (INTERCONNECT soc/la_iena[90] mgmt_buffers/la_iena_mprj[90] (0.008::0.008)) + (INTERCONNECT soc/la_iena[91] mgmt_buffers/la_iena_mprj[91] (0.008::0.008)) + (INTERCONNECT soc/la_iena[92] mgmt_buffers/la_iena_mprj[92] (0.009::0.009)) + (INTERCONNECT soc/la_iena[93] mgmt_buffers/la_iena_mprj[93] (0.010::0.010)) + (INTERCONNECT soc/la_iena[94] mgmt_buffers/la_iena_mprj[94] (0.011::0.011)) + (INTERCONNECT soc/la_iena[95] mgmt_buffers/la_iena_mprj[95] (0.011::0.011)) + (INTERCONNECT soc/la_iena[96] mgmt_buffers/la_iena_mprj[96] (0.013::0.013)) + (INTERCONNECT soc/la_iena[97] mgmt_buffers/la_iena_mprj[97] (0.013::0.013)) + (INTERCONNECT soc/la_iena[98] mgmt_buffers/la_iena_mprj[98] (0.015::0.015)) + (INTERCONNECT soc/la_iena[99] mgmt_buffers/la_iena_mprj[99] (0.012::0.012)) + (INTERCONNECT soc/la_iena[9] mgmt_buffers/la_iena_mprj[9] (0.021::0.021)) + (INTERCONNECT soc/la_oenb[0] mgmt_buffers/la_oenb_mprj[0] (0.019::0.019)) + (INTERCONNECT soc/la_oenb[100] mgmt_buffers/la_oenb_mprj[100] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[101] mgmt_buffers/la_oenb_mprj[101] (0.013::0.013)) + (INTERCONNECT soc/la_oenb[102] mgmt_buffers/la_oenb_mprj[102] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[103] mgmt_buffers/la_oenb_mprj[103] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[104] mgmt_buffers/la_oenb_mprj[104] (0.022::0.022)) + (INTERCONNECT soc/la_oenb[105] mgmt_buffers/la_oenb_mprj[105] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[106] mgmt_buffers/la_oenb_mprj[106] (0.023::0.023)) + (INTERCONNECT soc/la_oenb[107] mgmt_buffers/la_oenb_mprj[107] (0.017::0.017)) + (INTERCONNECT soc/la_oenb[108] mgmt_buffers/la_oenb_mprj[108] (0.028::0.028)) + (INTERCONNECT soc/la_oenb[109] mgmt_buffers/la_oenb_mprj[109] (0.030::0.030)) + (INTERCONNECT soc/la_oenb[10] mgmt_buffers/la_oenb_mprj[10] (0.021::0.021)) + (INTERCONNECT soc/la_oenb[110] mgmt_buffers/la_oenb_mprj[110] (0.023::0.023)) + (INTERCONNECT soc/la_oenb[111] mgmt_buffers/la_oenb_mprj[111] (0.033::0.033)) + (INTERCONNECT soc/la_oenb[112] mgmt_buffers/la_oenb_mprj[112] (0.028::0.028)) + (INTERCONNECT soc/la_oenb[113] mgmt_buffers/la_oenb_mprj[113] (0.036::0.036)) + (INTERCONNECT soc/la_oenb[114] mgmt_buffers/la_oenb_mprj[114] (0.032::0.032)) + (INTERCONNECT soc/la_oenb[115] mgmt_buffers/la_oenb_mprj[115] (0.037::0.037)) + (INTERCONNECT soc/la_oenb[116] mgmt_buffers/la_oenb_mprj[116] (0.033::0.033)) + (INTERCONNECT soc/la_oenb[117] mgmt_buffers/la_oenb_mprj[117] (0.039::0.039)) + (INTERCONNECT soc/la_oenb[118] mgmt_buffers/la_oenb_mprj[118] (0.033::0.033)) + (INTERCONNECT soc/la_oenb[119] mgmt_buffers/la_oenb_mprj[119] (0.046::0.046)) + (INTERCONNECT soc/la_oenb[11] mgmt_buffers/la_oenb_mprj[11] (0.071::0.071)) + (INTERCONNECT soc/la_oenb[120] mgmt_buffers/la_oenb_mprj[120] (0.040::0.040)) + (INTERCONNECT soc/la_oenb[121] mgmt_buffers/la_oenb_mprj[121] (0.038::0.038)) + (INTERCONNECT soc/la_oenb[122] mgmt_buffers/la_oenb_mprj[122] (0.043::0.043)) + (INTERCONNECT soc/la_oenb[123] mgmt_buffers/la_oenb_mprj[123] (0.037::0.037)) + (INTERCONNECT soc/la_oenb[124] mgmt_buffers/la_oenb_mprj[124] (0.055::0.055)) + (INTERCONNECT soc/la_oenb[125] mgmt_buffers/la_oenb_mprj[125] (0.044::0.044)) + (INTERCONNECT soc/la_oenb[126] mgmt_buffers/la_oenb_mprj[126] (0.041::0.041)) + (INTERCONNECT soc/la_oenb[127] mgmt_buffers/la_oenb_mprj[127] (0.045::0.045)) + (INTERCONNECT soc/la_oenb[12] mgmt_buffers/la_oenb_mprj[12] (0.019::0.019)) + (INTERCONNECT soc/la_oenb[13] mgmt_buffers/la_oenb_mprj[13] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[14] mgmt_buffers/la_oenb_mprj[14] (0.018::0.018)) + (INTERCONNECT soc/la_oenb[15] mgmt_buffers/la_oenb_mprj[15] (0.013::0.013)) + (INTERCONNECT soc/la_oenb[16] mgmt_buffers/la_oenb_mprj[16] (0.066::0.066)) + (INTERCONNECT soc/la_oenb[17] mgmt_buffers/la_oenb_mprj[17] (0.013::0.013)) + (INTERCONNECT soc/la_oenb[18] mgmt_buffers/la_oenb_mprj[18] (0.061::0.061)) + (INTERCONNECT soc/la_oenb[19] mgmt_buffers/la_oenb_mprj[19] (0.008::0.008)) + (INTERCONNECT soc/la_oenb[1] mgmt_buffers/la_oenb_mprj[1] (0.026::0.026)) + (INTERCONNECT soc/la_oenb[20] mgmt_buffers/la_oenb_mprj[20] (0.012::0.012)) + (INTERCONNECT soc/la_oenb[21] mgmt_buffers/la_oenb_mprj[21] (0.055::0.055)) + (INTERCONNECT soc/la_oenb[22] mgmt_buffers/la_oenb_mprj[22] (0.052::0.052)) + (INTERCONNECT soc/la_oenb[23] mgmt_buffers/la_oenb_mprj[23] (0.051::0.051)) + (INTERCONNECT soc/la_oenb[24] mgmt_buffers/la_oenb_mprj[24] (0.048::0.048)) + (INTERCONNECT soc/la_oenb[25] mgmt_buffers/la_oenb_mprj[25] (0.046::0.046)) + (INTERCONNECT soc/la_oenb[26] mgmt_buffers/la_oenb_mprj[26] (0.044::0.044)) + (INTERCONNECT soc/la_oenb[27] mgmt_buffers/la_oenb_mprj[27] (0.044::0.044)) + (INTERCONNECT soc/la_oenb[28] mgmt_buffers/la_oenb_mprj[28] (0.041::0.041)) + (INTERCONNECT soc/la_oenb[29] mgmt_buffers/la_oenb_mprj[29] (0.041::0.041)) + (INTERCONNECT soc/la_oenb[2] mgmt_buffers/la_oenb_mprj[2] (0.021::0.021)) + (INTERCONNECT soc/la_oenb[30] mgmt_buffers/la_oenb_mprj[30] (0.033::0.033)) + (INTERCONNECT soc/la_oenb[31] mgmt_buffers/la_oenb_mprj[31] (0.023::0.023)) + (INTERCONNECT soc/la_oenb[32] mgmt_buffers/la_oenb_mprj[32] (0.034::0.034)) + (INTERCONNECT soc/la_oenb[33] mgmt_buffers/la_oenb_mprj[33] (0.034::0.034)) + (INTERCONNECT soc/la_oenb[34] mgmt_buffers/la_oenb_mprj[34] (0.027::0.027)) + (INTERCONNECT soc/la_oenb[35] mgmt_buffers/la_oenb_mprj[35] (0.029::0.029)) + (INTERCONNECT soc/la_oenb[36] mgmt_buffers/la_oenb_mprj[36] (0.027::0.027)) + (INTERCONNECT soc/la_oenb[37] mgmt_buffers/la_oenb_mprj[37] (0.026::0.026)) + (INTERCONNECT soc/la_oenb[38] mgmt_buffers/la_oenb_mprj[38] (0.019::0.019)) + (INTERCONNECT soc/la_oenb[39] mgmt_buffers/la_oenb_mprj[39] (0.024::0.024)) + (INTERCONNECT soc/la_oenb[3] mgmt_buffers/la_oenb_mprj[3] (0.024::0.024)) + (INTERCONNECT soc/la_oenb[40] mgmt_buffers/la_oenb_mprj[40] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[41] mgmt_buffers/la_oenb_mprj[41] (0.018::0.018)) + (INTERCONNECT soc/la_oenb[42] mgmt_buffers/la_oenb_mprj[42] (0.014::0.014)) + (INTERCONNECT soc/la_oenb[43] mgmt_buffers/la_oenb_mprj[43] (0.018::0.018)) + (INTERCONNECT soc/la_oenb[44] mgmt_buffers/la_oenb_mprj[44] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[45] mgmt_buffers/la_oenb_mprj[45] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[46] mgmt_buffers/la_oenb_mprj[46] (0.015::0.015)) + (INTERCONNECT soc/la_oenb[47] mgmt_buffers/la_oenb_mprj[47] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[48] mgmt_buffers/la_oenb_mprj[48] (0.012::0.012)) + (INTERCONNECT soc/la_oenb[49] mgmt_buffers/la_oenb_mprj[49] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[4] mgmt_buffers/la_oenb_mprj[4] (0.020::0.020)) + (INTERCONNECT soc/la_oenb[50] mgmt_buffers/la_oenb_mprj[50] (0.009::0.009)) + (INTERCONNECT soc/la_oenb[51] mgmt_buffers/la_oenb_mprj[51] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[52] mgmt_buffers/la_oenb_mprj[52] (0.010::0.010)) + (INTERCONNECT soc/la_oenb[53] mgmt_buffers/la_oenb_mprj[53] (0.007::0.007)) + (INTERCONNECT soc/la_oenb[54] mgmt_buffers/la_oenb_mprj[54] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[55] mgmt_buffers/la_oenb_mprj[55] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[56] mgmt_buffers/la_oenb_mprj[56] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[57] mgmt_buffers/la_oenb_mprj[57] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[58] mgmt_buffers/la_oenb_mprj[58] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[59] mgmt_buffers/la_oenb_mprj[59] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[5] mgmt_buffers/la_oenb_mprj[5] (0.023::0.023)) + (INTERCONNECT soc/la_oenb[60] mgmt_buffers/la_oenb_mprj[60] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[61] mgmt_buffers/la_oenb_mprj[61] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[62] mgmt_buffers/la_oenb_mprj[62] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[63] mgmt_buffers/la_oenb_mprj[63] (0.002::0.002)) + (INTERCONNECT soc/la_oenb[64] mgmt_buffers/la_oenb_mprj[64] (0.002::0.002)) + (INTERCONNECT soc/la_oenb[65] mgmt_buffers/la_oenb_mprj[65] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[66] mgmt_buffers/la_oenb_mprj[66] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[67] mgmt_buffers/la_oenb_mprj[67] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[68] mgmt_buffers/la_oenb_mprj[68] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[69] mgmt_buffers/la_oenb_mprj[69] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[6] mgmt_buffers/la_oenb_mprj[6] (0.019::0.019)) + (INTERCONNECT soc/la_oenb[70] mgmt_buffers/la_oenb_mprj[70] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[71] mgmt_buffers/la_oenb_mprj[71] (0.000::0.000)) + (INTERCONNECT soc/la_oenb[72] mgmt_buffers/la_oenb_mprj[72] (0.000::0.000)) + (INTERCONNECT soc/la_oenb[73] mgmt_buffers/la_oenb_mprj[73] (0.000::0.000)) + (INTERCONNECT soc/la_oenb[74] mgmt_buffers/la_oenb_mprj[74] (0.000::0.000)) + (INTERCONNECT soc/la_oenb[75] mgmt_buffers/la_oenb_mprj[75] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[76] mgmt_buffers/la_oenb_mprj[76] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[77] mgmt_buffers/la_oenb_mprj[77] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[78] mgmt_buffers/la_oenb_mprj[78] (0.002::0.002)) + (INTERCONNECT soc/la_oenb[79] mgmt_buffers/la_oenb_mprj[79] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[7] mgmt_buffers/la_oenb_mprj[7] (0.022::0.022)) + (INTERCONNECT soc/la_oenb[80] mgmt_buffers/la_oenb_mprj[80] (0.002::0.002)) + (INTERCONNECT soc/la_oenb[81] mgmt_buffers/la_oenb_mprj[81] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[82] mgmt_buffers/la_oenb_mprj[82] (0.002::0.002)) + (INTERCONNECT soc/la_oenb[83] mgmt_buffers/la_oenb_mprj[83] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[84] mgmt_buffers/la_oenb_mprj[84] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[85] mgmt_buffers/la_oenb_mprj[85] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[86] mgmt_buffers/la_oenb_mprj[86] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[87] mgmt_buffers/la_oenb_mprj[87] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[88] mgmt_buffers/la_oenb_mprj[88] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[89] mgmt_buffers/la_oenb_mprj[89] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[8] mgmt_buffers/la_oenb_mprj[8] (0.083::0.083)) + (INTERCONNECT soc/la_oenb[90] mgmt_buffers/la_oenb_mprj[90] (0.007::0.007)) + (INTERCONNECT soc/la_oenb[91] mgmt_buffers/la_oenb_mprj[91] (0.007::0.007)) + (INTERCONNECT soc/la_oenb[92] mgmt_buffers/la_oenb_mprj[92] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[93] mgmt_buffers/la_oenb_mprj[93] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[94] mgmt_buffers/la_oenb_mprj[94] (0.011::0.011)) + (INTERCONNECT soc/la_oenb[95] mgmt_buffers/la_oenb_mprj[95] (0.007::0.007)) + (INTERCONNECT soc/la_oenb[96] mgmt_buffers/la_oenb_mprj[96] (0.011::0.011)) + (INTERCONNECT soc/la_oenb[97] mgmt_buffers/la_oenb_mprj[97] (0.008::0.008)) + (INTERCONNECT soc/la_oenb[98] mgmt_buffers/la_oenb_mprj[98] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[99] mgmt_buffers/la_oenb_mprj[99] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[9] mgmt_buffers/la_oenb_mprj[9] (0.014::0.014)) + (INTERCONNECT soc/la_output[0] mgmt_buffers/la_data_out_mprj[0] (0.091::0.091)) + (INTERCONNECT soc/la_output[100] mgmt_buffers/la_data_out_mprj[100] (0.016::0.016)) + (INTERCONNECT soc/la_output[101] mgmt_buffers/la_data_out_mprj[101] (0.016::0.016)) + (INTERCONNECT soc/la_output[102] mgmt_buffers/la_data_out_mprj[102] (0.010::0.010)) + (INTERCONNECT soc/la_output[103] mgmt_buffers/la_data_out_mprj[103] (0.020::0.020)) + (INTERCONNECT soc/la_output[104] mgmt_buffers/la_data_out_mprj[104] (0.020::0.020)) + (INTERCONNECT soc/la_output[105] mgmt_buffers/la_data_out_mprj[105] (0.018::0.018)) + (INTERCONNECT soc/la_output[106] mgmt_buffers/la_data_out_mprj[106] (0.016::0.016)) + (INTERCONNECT soc/la_output[107] mgmt_buffers/la_data_out_mprj[107] (0.028::0.028)) + (INTERCONNECT soc/la_output[108] mgmt_buffers/la_data_out_mprj[108] (0.028::0.028)) + (INTERCONNECT soc/la_output[109] mgmt_buffers/la_data_out_mprj[109] (0.031::0.031)) + (INTERCONNECT soc/la_output[10] mgmt_buffers/la_data_out_mprj[10] (0.071::0.071)) + (INTERCONNECT soc/la_output[110] mgmt_buffers/la_data_out_mprj[110] (0.022::0.022)) + (INTERCONNECT soc/la_output[111] mgmt_buffers/la_data_out_mprj[111] (0.034::0.034)) + (INTERCONNECT soc/la_output[112] mgmt_buffers/la_data_out_mprj[112] (0.036::0.036)) + (INTERCONNECT soc/la_output[113] mgmt_buffers/la_data_out_mprj[113] (0.038::0.038)) + (INTERCONNECT soc/la_output[114] mgmt_buffers/la_data_out_mprj[114] (0.038::0.038)) + (INTERCONNECT soc/la_output[115] mgmt_buffers/la_data_out_mprj[115] (0.037::0.037)) + (INTERCONNECT soc/la_output[116] mgmt_buffers/la_data_out_mprj[116] (0.040::0.040)) + (INTERCONNECT soc/la_output[117] mgmt_buffers/la_data_out_mprj[117] (0.039::0.039)) + (INTERCONNECT soc/la_output[118] mgmt_buffers/la_data_out_mprj[118] (0.043::0.043)) + (INTERCONNECT soc/la_output[119] mgmt_buffers/la_data_out_mprj[119] (0.043::0.043)) + (INTERCONNECT soc/la_output[11] mgmt_buffers/la_data_out_mprj[11] (0.075::0.075)) + (INTERCONNECT soc/la_output[120] mgmt_buffers/la_data_out_mprj[120] (0.046::0.046)) + (INTERCONNECT soc/la_output[121] mgmt_buffers/la_data_out_mprj[121] (0.045::0.045)) + (INTERCONNECT soc/la_output[122] mgmt_buffers/la_data_out_mprj[122] (0.055::0.055)) + (INTERCONNECT soc/la_output[123] mgmt_buffers/la_data_out_mprj[123] (0.057::0.057)) + (INTERCONNECT soc/la_output[124] mgmt_buffers/la_data_out_mprj[124] (0.011::0.011)) + (INTERCONNECT soc/la_output[125] mgmt_buffers/la_data_out_mprj[125] (0.015::0.015)) + (INTERCONNECT soc/la_output[126] mgmt_buffers/la_data_out_mprj[126] (0.014::0.014)) + (INTERCONNECT soc/la_output[127] mgmt_buffers/la_data_out_mprj[127] (0.015::0.015)) + (INTERCONNECT soc/la_output[12] mgmt_buffers/la_data_out_mprj[12] (0.065::0.065)) + (INTERCONNECT soc/la_output[13] mgmt_buffers/la_data_out_mprj[13] (0.017::0.017)) + (INTERCONNECT soc/la_output[14] mgmt_buffers/la_data_out_mprj[14] (0.017::0.017)) + (INTERCONNECT soc/la_output[15] mgmt_buffers/la_data_out_mprj[15] (0.066::0.066)) + (INTERCONNECT soc/la_output[16] mgmt_buffers/la_data_out_mprj[16] (0.011::0.011)) + (INTERCONNECT soc/la_output[17] mgmt_buffers/la_data_out_mprj[17] (0.014::0.014)) + (INTERCONNECT soc/la_output[18] mgmt_buffers/la_data_out_mprj[18] (0.014::0.014)) + (INTERCONNECT soc/la_output[19] mgmt_buffers/la_data_out_mprj[19] (0.056::0.056)) + (INTERCONNECT soc/la_output[1] mgmt_buffers/la_data_out_mprj[1] (0.023::0.023)) + (INTERCONNECT soc/la_output[20] mgmt_buffers/la_data_out_mprj[20] (0.052::0.052)) + (INTERCONNECT soc/la_output[21] mgmt_buffers/la_data_out_mprj[21] (0.051::0.051)) + (INTERCONNECT soc/la_output[22] mgmt_buffers/la_data_out_mprj[22] (0.049::0.049)) + (INTERCONNECT soc/la_output[23] mgmt_buffers/la_data_out_mprj[23] (0.047::0.047)) + (INTERCONNECT soc/la_output[24] mgmt_buffers/la_data_out_mprj[24] (0.045::0.045)) + (INTERCONNECT soc/la_output[25] mgmt_buffers/la_data_out_mprj[25] (0.043::0.043)) + (INTERCONNECT soc/la_output[26] mgmt_buffers/la_data_out_mprj[26] (0.026::0.026)) + (INTERCONNECT soc/la_output[27] mgmt_buffers/la_data_out_mprj[27] (0.042::0.042)) + (INTERCONNECT soc/la_output[28] mgmt_buffers/la_data_out_mprj[28] (0.025::0.025)) + (INTERCONNECT soc/la_output[29] mgmt_buffers/la_data_out_mprj[29] (0.038::0.038)) + (INTERCONNECT soc/la_output[2] mgmt_buffers/la_data_out_mprj[2] (0.074::0.074)) + (INTERCONNECT soc/la_output[30] mgmt_buffers/la_data_out_mprj[30] (0.036::0.036)) + (INTERCONNECT soc/la_output[31] mgmt_buffers/la_data_out_mprj[31] (0.024::0.024)) + (INTERCONNECT soc/la_output[32] mgmt_buffers/la_data_out_mprj[32] (0.034::0.034)) + (INTERCONNECT soc/la_output[33] mgmt_buffers/la_data_out_mprj[33] (0.022::0.022)) + (INTERCONNECT soc/la_output[34] mgmt_buffers/la_data_out_mprj[34] (0.030::0.030)) + (INTERCONNECT soc/la_output[35] mgmt_buffers/la_data_out_mprj[35] (0.020::0.020)) + (INTERCONNECT soc/la_output[36] mgmt_buffers/la_data_out_mprj[36] (0.026::0.026)) + (INTERCONNECT soc/la_output[37] mgmt_buffers/la_data_out_mprj[37] (0.022::0.022)) + (INTERCONNECT soc/la_output[38] mgmt_buffers/la_data_out_mprj[38] (0.018::0.018)) + (INTERCONNECT soc/la_output[39] mgmt_buffers/la_data_out_mprj[39] (0.022::0.022)) + (INTERCONNECT soc/la_output[3] mgmt_buffers/la_data_out_mprj[3] (0.099::0.099)) + (INTERCONNECT soc/la_output[40] mgmt_buffers/la_data_out_mprj[40] (0.015::0.015)) + (INTERCONNECT soc/la_output[41] mgmt_buffers/la_data_out_mprj[41] (0.016::0.016)) + (INTERCONNECT soc/la_output[42] mgmt_buffers/la_data_out_mprj[42] (0.012::0.012)) + (INTERCONNECT soc/la_output[43] mgmt_buffers/la_data_out_mprj[43] (0.013::0.013)) + (INTERCONNECT soc/la_output[44] mgmt_buffers/la_data_out_mprj[44] (0.017::0.017)) + (INTERCONNECT soc/la_output[45] mgmt_buffers/la_data_out_mprj[45] (0.009::0.009)) + (INTERCONNECT soc/la_output[46] mgmt_buffers/la_data_out_mprj[46] (0.015::0.015)) + (INTERCONNECT soc/la_output[47] mgmt_buffers/la_data_out_mprj[47] (0.008::0.008)) + (INTERCONNECT soc/la_output[48] mgmt_buffers/la_data_out_mprj[48] (0.013::0.013)) + (INTERCONNECT soc/la_output[49] mgmt_buffers/la_data_out_mprj[49] (0.008::0.008)) + (INTERCONNECT soc/la_output[4] mgmt_buffers/la_data_out_mprj[4] (0.091::0.091)) + (INTERCONNECT soc/la_output[50] mgmt_buffers/la_data_out_mprj[50] (0.010::0.010)) + (INTERCONNECT soc/la_output[51] mgmt_buffers/la_data_out_mprj[51] (0.009::0.009)) + (INTERCONNECT soc/la_output[52] mgmt_buffers/la_data_out_mprj[52] (0.008::0.008)) + (INTERCONNECT soc/la_output[53] mgmt_buffers/la_data_out_mprj[53] (0.008::0.008)) + (INTERCONNECT soc/la_output[54] mgmt_buffers/la_data_out_mprj[54] (0.007::0.007)) + (INTERCONNECT soc/la_output[55] mgmt_buffers/la_data_out_mprj[55] (0.007::0.007)) + (INTERCONNECT soc/la_output[56] mgmt_buffers/la_data_out_mprj[56] (0.005::0.005)) + (INTERCONNECT soc/la_output[57] mgmt_buffers/la_data_out_mprj[57] (0.004::0.004)) + (INTERCONNECT soc/la_output[58] mgmt_buffers/la_data_out_mprj[58] (0.005::0.005)) + (INTERCONNECT soc/la_output[59] mgmt_buffers/la_data_out_mprj[59] (0.004::0.004)) + (INTERCONNECT soc/la_output[5] mgmt_buffers/la_data_out_mprj[5] (0.084::0.084)) + (INTERCONNECT soc/la_output[60] mgmt_buffers/la_data_out_mprj[60] (0.003::0.003)) + (INTERCONNECT soc/la_output[61] mgmt_buffers/la_data_out_mprj[61] (0.003::0.003)) + (INTERCONNECT soc/la_output[62] mgmt_buffers/la_data_out_mprj[62] (0.002::0.002)) + (INTERCONNECT soc/la_output[63] mgmt_buffers/la_data_out_mprj[63] (0.002::0.002)) + (INTERCONNECT soc/la_output[64] mgmt_buffers/la_data_out_mprj[64] (0.002::0.002)) + (INTERCONNECT soc/la_output[65] mgmt_buffers/la_data_out_mprj[65] (0.001::0.001)) + (INTERCONNECT soc/la_output[66] mgmt_buffers/la_data_out_mprj[66] (0.001::0.001)) + (INTERCONNECT soc/la_output[67] mgmt_buffers/la_data_out_mprj[67] (0.001::0.001)) + (INTERCONNECT soc/la_output[68] mgmt_buffers/la_data_out_mprj[68] (0.001::0.001)) + (INTERCONNECT soc/la_output[69] mgmt_buffers/la_data_out_mprj[69] (0.000::0.000)) + (INTERCONNECT soc/la_output[6] mgmt_buffers/la_data_out_mprj[6] (0.086::0.086)) + (INTERCONNECT soc/la_output[70] mgmt_buffers/la_data_out_mprj[70] (0.000::0.000)) + (INTERCONNECT soc/la_output[71] mgmt_buffers/la_data_out_mprj[71] (0.000::0.000)) + (INTERCONNECT soc/la_output[72] mgmt_buffers/la_data_out_mprj[72] (0.000::0.000)) + (INTERCONNECT soc/la_output[73] mgmt_buffers/la_data_out_mprj[73] (0.000::0.000)) + (INTERCONNECT soc/la_output[74] mgmt_buffers/la_data_out_mprj[74] (0.001::0.001)) + (INTERCONNECT soc/la_output[75] mgmt_buffers/la_data_out_mprj[75] (0.001::0.001)) + (INTERCONNECT soc/la_output[76] mgmt_buffers/la_data_out_mprj[76] (0.001::0.001)) + (INTERCONNECT soc/la_output[77] mgmt_buffers/la_data_out_mprj[77] (0.002::0.002)) + (INTERCONNECT soc/la_output[78] mgmt_buffers/la_data_out_mprj[78] (0.002::0.002)) + (INTERCONNECT soc/la_output[79] mgmt_buffers/la_data_out_mprj[79] (0.002::0.002)) + (INTERCONNECT soc/la_output[7] mgmt_buffers/la_data_out_mprj[7] (0.085::0.085)) + (INTERCONNECT soc/la_output[80] mgmt_buffers/la_data_out_mprj[80] (0.003::0.003)) + (INTERCONNECT soc/la_output[81] mgmt_buffers/la_data_out_mprj[81] (0.002::0.002)) + (INTERCONNECT soc/la_output[82] mgmt_buffers/la_data_out_mprj[82] (0.003::0.003)) + (INTERCONNECT soc/la_output[83] mgmt_buffers/la_data_out_mprj[83] (0.003::0.003)) + (INTERCONNECT soc/la_output[84] mgmt_buffers/la_data_out_mprj[84] (0.004::0.004)) + (INTERCONNECT soc/la_output[85] mgmt_buffers/la_data_out_mprj[85] (0.005::0.005)) + (INTERCONNECT soc/la_output[86] mgmt_buffers/la_data_out_mprj[86] (0.006::0.006)) + (INTERCONNECT soc/la_output[87] mgmt_buffers/la_data_out_mprj[87] (0.006::0.006)) + (INTERCONNECT soc/la_output[88] mgmt_buffers/la_data_out_mprj[88] (0.007::0.007)) + (INTERCONNECT soc/la_output[89] mgmt_buffers/la_data_out_mprj[89] (0.008::0.008)) + (INTERCONNECT soc/la_output[8] mgmt_buffers/la_data_out_mprj[8] (0.078::0.078)) + (INTERCONNECT soc/la_output[90] mgmt_buffers/la_data_out_mprj[90] (0.008::0.008)) + (INTERCONNECT soc/la_output[91] mgmt_buffers/la_data_out_mprj[91] (0.009::0.009)) + (INTERCONNECT soc/la_output[92] mgmt_buffers/la_data_out_mprj[92] (0.010::0.010)) + (INTERCONNECT soc/la_output[93] mgmt_buffers/la_data_out_mprj[93] (0.011::0.011)) + (INTERCONNECT soc/la_output[94] mgmt_buffers/la_data_out_mprj[94] (0.012::0.012)) + (INTERCONNECT soc/la_output[95] mgmt_buffers/la_data_out_mprj[95] (0.011::0.011)) + (INTERCONNECT soc/la_output[96] mgmt_buffers/la_data_out_mprj[96] (0.014::0.014)) + (INTERCONNECT soc/la_output[97] mgmt_buffers/la_data_out_mprj[97] (0.004::0.004)) + (INTERCONNECT soc/la_output[98] mgmt_buffers/la_data_out_mprj[98] (0.005::0.005)) + (INTERCONNECT soc/la_output[99] mgmt_buffers/la_data_out_mprj[99] (0.005::0.005)) + (INTERCONNECT soc/la_output[9] mgmt_buffers/la_data_out_mprj[9] (0.079::0.079)) + (INTERCONNECT soc/mprj_adr_o[0] housekeeping/wb_adr_i[0] (0.073::0.073)) + (INTERCONNECT soc/mprj_adr_o[0] mgmt_buffers/mprj_adr_o_core[0] (0.067::0.067)) + (INTERCONNECT soc/mprj_adr_o[10] housekeeping/wb_adr_i[10] (0.047::0.047)) + (INTERCONNECT soc/mprj_adr_o[10] mgmt_buffers/mprj_adr_o_core[10] (0.016::0.016)) + (INTERCONNECT soc/mprj_adr_o[11] housekeeping/wb_adr_i[11] (0.046::0.046)) + (INTERCONNECT soc/mprj_adr_o[11] mgmt_buffers/mprj_adr_o_core[11] (0.022::0.022)) + (INTERCONNECT soc/mprj_adr_o[12] housekeeping/wb_adr_i[12] (0.044::0.044)) + (INTERCONNECT soc/mprj_adr_o[12] mgmt_buffers/mprj_adr_o_core[12] (0.022::0.022)) + (INTERCONNECT soc/mprj_adr_o[13] housekeeping/wb_adr_i[13] (0.042::0.042)) + (INTERCONNECT soc/mprj_adr_o[13] mgmt_buffers/mprj_adr_o_core[13] (0.022::0.022)) + (INTERCONNECT soc/mprj_adr_o[14] housekeeping/wb_adr_i[14] (0.026::0.026)) + (INTERCONNECT soc/mprj_adr_o[14] mgmt_buffers/mprj_adr_o_core[14] (0.023::0.023)) + (INTERCONNECT soc/mprj_adr_o[15] housekeeping/wb_adr_i[15] (0.040::0.040)) + (INTERCONNECT soc/mprj_adr_o[15] mgmt_buffers/mprj_adr_o_core[15] (0.093::0.093)) + (INTERCONNECT soc/mprj_adr_o[16] housekeeping/wb_adr_i[16] (0.042::0.042)) + (INTERCONNECT soc/mprj_adr_o[16] mgmt_buffers/mprj_adr_o_core[16] (0.026::0.026)) + (INTERCONNECT soc/mprj_adr_o[17] housekeeping/wb_adr_i[17] (0.039::0.039)) + (INTERCONNECT soc/mprj_adr_o[17] mgmt_buffers/mprj_adr_o_core[17] (0.082::0.082)) + (INTERCONNECT soc/mprj_adr_o[18] housekeeping/wb_adr_i[18] (0.022::0.022)) + (INTERCONNECT soc/mprj_adr_o[18] mgmt_buffers/mprj_adr_o_core[18] (0.089::0.089)) + (INTERCONNECT soc/mprj_adr_o[19] housekeeping/wb_adr_i[19] (0.031::0.031)) + (INTERCONNECT soc/mprj_adr_o[19] mgmt_buffers/mprj_adr_o_core[19] (0.026::0.026)) + (INTERCONNECT soc/mprj_adr_o[1] housekeeping/wb_adr_i[1] (0.066::0.066)) + (INTERCONNECT soc/mprj_adr_o[1] mgmt_buffers/mprj_adr_o_core[1] (0.067::0.067)) + (INTERCONNECT soc/mprj_adr_o[20] housekeeping/wb_adr_i[20] (0.035::0.035)) + (INTERCONNECT soc/mprj_adr_o[20] mgmt_buffers/mprj_adr_o_core[20] (0.110::0.110)) + (INTERCONNECT soc/mprj_adr_o[21] housekeeping/wb_adr_i[21] (0.023::0.023)) + (INTERCONNECT soc/mprj_adr_o[21] mgmt_buffers/mprj_adr_o_core[21] (0.109::0.109)) + (INTERCONNECT soc/mprj_adr_o[22] housekeeping/wb_adr_i[22] (0.028::0.028)) + (INTERCONNECT soc/mprj_adr_o[22] mgmt_buffers/mprj_adr_o_core[22] (0.028::0.028)) + (INTERCONNECT soc/mprj_adr_o[23] housekeeping/wb_adr_i[23] (0.032::0.032)) + (INTERCONNECT soc/mprj_adr_o[23] mgmt_buffers/mprj_adr_o_core[23] (0.118::0.118)) + (INTERCONNECT soc/mprj_adr_o[24] housekeeping/wb_adr_i[24] (0.023::0.023)) + (INTERCONNECT soc/mprj_adr_o[24] mgmt_buffers/mprj_adr_o_core[24] (0.082::0.082)) + (INTERCONNECT soc/mprj_adr_o[25] housekeeping/wb_adr_i[25] (0.023::0.023)) + (INTERCONNECT soc/mprj_adr_o[25] mgmt_buffers/mprj_adr_o_core[25] (0.092::0.092)) + (INTERCONNECT soc/mprj_adr_o[26] housekeeping/wb_adr_i[26] (0.028::0.028)) + (INTERCONNECT soc/mprj_adr_o[26] mgmt_buffers/mprj_adr_o_core[26] (0.029::0.029)) + (INTERCONNECT soc/mprj_adr_o[27] housekeeping/wb_adr_i[27] (0.027::0.027)) + (INTERCONNECT soc/mprj_adr_o[27] mgmt_buffers/mprj_adr_o_core[27] (0.031::0.031)) + (INTERCONNECT soc/mprj_adr_o[28] housekeeping/wb_adr_i[28] (0.025::0.025)) + (INTERCONNECT soc/mprj_adr_o[28] mgmt_buffers/mprj_adr_o_core[28] (0.131::0.131)) + (INTERCONNECT soc/mprj_adr_o[29] housekeeping/wb_adr_i[29] (0.023::0.023)) + (INTERCONNECT soc/mprj_adr_o[29] mgmt_buffers/mprj_adr_o_core[29] (0.104::0.104)) + (INTERCONNECT soc/mprj_adr_o[2] housekeeping/wb_adr_i[2] (0.071::0.071)) + (INTERCONNECT soc/mprj_adr_o[2] mgmt_buffers/mprj_adr_o_core[2] (0.073::0.073)) + (INTERCONNECT soc/mprj_adr_o[30] housekeeping/wb_adr_i[30] (0.022::0.022)) + (INTERCONNECT soc/mprj_adr_o[30] mgmt_buffers/mprj_adr_o_core[30] (0.097::0.097)) + (INTERCONNECT soc/mprj_adr_o[31] housekeeping/wb_adr_i[31] (0.022::0.022)) + (INTERCONNECT soc/mprj_adr_o[31] mgmt_buffers/mprj_adr_o_core[31] (0.026::0.026)) + (INTERCONNECT soc/mprj_adr_o[3] housekeeping/wb_adr_i[3] (0.058::0.058)) + (INTERCONNECT soc/mprj_adr_o[3] mgmt_buffers/mprj_adr_o_core[3] (0.074::0.074)) + (INTERCONNECT soc/mprj_adr_o[4] housekeeping/wb_adr_i[4] (0.053::0.053)) + (INTERCONNECT soc/mprj_adr_o[4] mgmt_buffers/mprj_adr_o_core[4] (0.065::0.065)) + (INTERCONNECT soc/mprj_adr_o[5] housekeeping/wb_adr_i[5] (0.059::0.059)) + (INTERCONNECT soc/mprj_adr_o[5] mgmt_buffers/mprj_adr_o_core[5] (0.059::0.059)) + (INTERCONNECT soc/mprj_adr_o[6] housekeeping/wb_adr_i[6] (0.050::0.050)) + (INTERCONNECT soc/mprj_adr_o[6] mgmt_buffers/mprj_adr_o_core[6] (0.078::0.078)) + (INTERCONNECT soc/mprj_adr_o[7] housekeeping/wb_adr_i[7] (0.056::0.056)) + (INTERCONNECT soc/mprj_adr_o[7] mgmt_buffers/mprj_adr_o_core[7] (0.070::0.070)) + (INTERCONNECT soc/mprj_adr_o[8] housekeeping/wb_adr_i[8] (0.047::0.047)) + (INTERCONNECT soc/mprj_adr_o[8] mgmt_buffers/mprj_adr_o_core[8] (0.084::0.084)) + (INTERCONNECT soc/mprj_adr_o[9] housekeeping/wb_adr_i[9] (0.048::0.048)) + (INTERCONNECT soc/mprj_adr_o[9] mgmt_buffers/mprj_adr_o_core[9] (0.069::0.069)) + (INTERCONNECT soc/mprj_cyc_o housekeeping/wb_cyc_i (0.121::0.121)) + (INTERCONNECT soc/mprj_cyc_o mgmt_buffers/mprj_cyc_o_core (0.009::0.009)) + (INTERCONNECT soc/mprj_dat_o[0] housekeeping/wb_dat_i[0] (0.085::0.085)) + (INTERCONNECT soc/mprj_dat_o[0] mgmt_buffers/mprj_dat_o_core[0] (0.013::0.013)) + (INTERCONNECT soc/mprj_dat_o[10] housekeeping/wb_dat_i[10] (0.066::0.066)) + (INTERCONNECT soc/mprj_dat_o[10] mgmt_buffers/mprj_dat_o_core[10] (0.023::0.023)) + (INTERCONNECT soc/mprj_dat_o[11] housekeeping/wb_dat_i[11] (0.064::0.064)) + (INTERCONNECT soc/mprj_dat_o[11] mgmt_buffers/mprj_dat_o_core[11] (0.027::0.027)) + (INTERCONNECT soc/mprj_dat_o[12] housekeeping/wb_dat_i[12] (0.053::0.053)) + (INTERCONNECT soc/mprj_dat_o[12] mgmt_buffers/mprj_dat_o_core[12] (0.021::0.021)) + (INTERCONNECT soc/mprj_dat_o[13] housekeeping/wb_dat_i[13] (0.057::0.057)) + (INTERCONNECT soc/mprj_dat_o[13] mgmt_buffers/mprj_dat_o_core[13] (0.027::0.027)) + (INTERCONNECT soc/mprj_dat_o[14] housekeeping/wb_dat_i[14] (0.050::0.050)) + (INTERCONNECT soc/mprj_dat_o[14] mgmt_buffers/mprj_dat_o_core[14] (0.019::0.019)) + (INTERCONNECT soc/mprj_dat_o[15] housekeeping/wb_dat_i[15] (0.055::0.055)) + (INTERCONNECT soc/mprj_dat_o[15] mgmt_buffers/mprj_dat_o_core[15] (0.028::0.028)) + (INTERCONNECT soc/mprj_dat_o[16] housekeeping/wb_dat_i[16] (0.048::0.048)) + (INTERCONNECT soc/mprj_dat_o[16] mgmt_buffers/mprj_dat_o_core[16] (0.080::0.080)) + (INTERCONNECT soc/mprj_dat_o[17] housekeeping/wb_dat_i[17] (0.051::0.051)) + (INTERCONNECT soc/mprj_dat_o[17] mgmt_buffers/mprj_dat_o_core[17] (0.029::0.029)) + (INTERCONNECT soc/mprj_dat_o[18] housekeeping/wb_dat_i[18] (0.046::0.046)) + (INTERCONNECT soc/mprj_dat_o[18] mgmt_buffers/mprj_dat_o_core[18] (0.061::0.061)) + (INTERCONNECT soc/mprj_dat_o[19] housekeeping/wb_dat_i[19] (0.047::0.047)) + (INTERCONNECT soc/mprj_dat_o[19] mgmt_buffers/mprj_dat_o_core[19] (0.033::0.033)) + (INTERCONNECT soc/mprj_dat_o[1] housekeeping/wb_dat_i[1] (0.079::0.079)) + (INTERCONNECT soc/mprj_dat_o[1] mgmt_buffers/mprj_dat_o_core[1] (0.016::0.016)) + (INTERCONNECT soc/mprj_dat_o[20] housekeeping/wb_dat_i[20] (0.046::0.046)) + (INTERCONNECT soc/mprj_dat_o[20] mgmt_buffers/mprj_dat_o_core[20] (0.030::0.030)) + (INTERCONNECT soc/mprj_dat_o[21] housekeeping/wb_dat_i[21] (0.039::0.039)) + (INTERCONNECT soc/mprj_dat_o[21] mgmt_buffers/mprj_dat_o_core[21] (0.112::0.112)) + (INTERCONNECT soc/mprj_dat_o[22] housekeeping/wb_dat_i[22] (0.040::0.040)) + (INTERCONNECT soc/mprj_dat_o[22] mgmt_buffers/mprj_dat_o_core[22] (0.118::0.118)) + (INTERCONNECT soc/mprj_dat_o[23] housekeeping/wb_dat_i[23] (0.035::0.035)) + (INTERCONNECT soc/mprj_dat_o[23] mgmt_buffers/mprj_dat_o_core[23] (0.103::0.103)) + (INTERCONNECT soc/mprj_dat_o[24] housekeeping/wb_dat_i[24] (0.037::0.037)) + (INTERCONNECT soc/mprj_dat_o[24] mgmt_buffers/mprj_dat_o_core[24] (0.034::0.034)) + (INTERCONNECT soc/mprj_dat_o[25] housekeeping/wb_dat_i[25] (0.031::0.031)) + (INTERCONNECT soc/mprj_dat_o[25] mgmt_buffers/mprj_dat_o_core[25] (0.122::0.122)) + (INTERCONNECT soc/mprj_dat_o[26] housekeeping/wb_dat_i[26] (0.034::0.034)) + (INTERCONNECT soc/mprj_dat_o[26] mgmt_buffers/mprj_dat_o_core[26] (0.127::0.127)) + (INTERCONNECT soc/mprj_dat_o[27] housekeeping/wb_dat_i[27] (0.028::0.028)) + (INTERCONNECT soc/mprj_dat_o[27] mgmt_buffers/mprj_dat_o_core[27] (0.134::0.134)) + (INTERCONNECT soc/mprj_dat_o[28] housekeeping/wb_dat_i[28] (0.032::0.032)) + (INTERCONNECT soc/mprj_dat_o[28] mgmt_buffers/mprj_dat_o_core[28] (0.132::0.132)) + (INTERCONNECT soc/mprj_dat_o[29] housekeeping/wb_dat_i[29] (0.026::0.026)) + (INTERCONNECT soc/mprj_dat_o[29] mgmt_buffers/mprj_dat_o_core[29] (0.138::0.138)) + (INTERCONNECT soc/mprj_dat_o[2] housekeeping/wb_dat_i[2] (0.020::0.020)) + (INTERCONNECT soc/mprj_dat_o[2] mgmt_buffers/mprj_dat_o_core[2] (0.022::0.022)) + (INTERCONNECT soc/mprj_dat_o[30] housekeeping/wb_dat_i[30] (0.024::0.024)) + (INTERCONNECT soc/mprj_dat_o[30] mgmt_buffers/mprj_dat_o_core[30] (0.131::0.131)) + (INTERCONNECT soc/mprj_dat_o[31] housekeeping/wb_dat_i[31] (0.023::0.023)) + (INTERCONNECT soc/mprj_dat_o[31] mgmt_buffers/mprj_dat_o_core[31] (0.111::0.111)) + (INTERCONNECT soc/mprj_dat_o[3] housekeeping/wb_dat_i[3] (0.073::0.073)) + (INTERCONNECT soc/mprj_dat_o[3] mgmt_buffers/mprj_dat_o_core[3] (0.017::0.017)) + (INTERCONNECT soc/mprj_dat_o[4] housekeeping/wb_dat_i[4] (0.053::0.053)) + (INTERCONNECT soc/mprj_dat_o[4] mgmt_buffers/mprj_dat_o_core[4] (0.028::0.028)) + (INTERCONNECT soc/mprj_dat_o[5] housekeeping/wb_dat_i[5] (0.070::0.070)) + (INTERCONNECT soc/mprj_dat_o[5] mgmt_buffers/mprj_dat_o_core[5] (0.018::0.018)) + (INTERCONNECT soc/mprj_dat_o[6] housekeeping/wb_dat_i[6] (0.014::0.014)) + (INTERCONNECT soc/mprj_dat_o[6] mgmt_buffers/mprj_dat_o_core[6] (0.021::0.021)) + (INTERCONNECT soc/mprj_dat_o[7] housekeeping/wb_dat_i[7] (0.066::0.066)) + (INTERCONNECT soc/mprj_dat_o[7] mgmt_buffers/mprj_dat_o_core[7] (0.019::0.019)) + (INTERCONNECT soc/mprj_dat_o[8] housekeeping/wb_dat_i[8] (0.067::0.067)) + (INTERCONNECT soc/mprj_dat_o[8] mgmt_buffers/mprj_dat_o_core[8] (0.025::0.025)) + (INTERCONNECT soc/mprj_dat_o[9] housekeeping/wb_dat_i[9] (0.061::0.061)) + (INTERCONNECT soc/mprj_dat_o[9] mgmt_buffers/mprj_dat_o_core[9] (0.019::0.019)) + (INTERCONNECT soc/mprj_sel_o[0] housekeeping/wb_sel_i[0] (0.105::0.105)) + (INTERCONNECT soc/mprj_sel_o[0] mgmt_buffers/mprj_sel_o_core[0] (0.018::0.018)) + (INTERCONNECT soc/mprj_sel_o[1] housekeeping/wb_sel_i[1] (0.019::0.019)) + (INTERCONNECT soc/mprj_sel_o[1] mgmt_buffers/mprj_sel_o_core[1] (0.019::0.019)) + (INTERCONNECT soc/mprj_sel_o[2] housekeeping/wb_sel_i[2] (0.096::0.096)) + (INTERCONNECT soc/mprj_sel_o[2] mgmt_buffers/mprj_sel_o_core[2] (0.019::0.019)) + (INTERCONNECT soc/mprj_sel_o[3] housekeeping/wb_sel_i[3] (0.023::0.023)) + (INTERCONNECT soc/mprj_sel_o[3] mgmt_buffers/mprj_sel_o_core[3] (0.021::0.021)) + (INTERCONNECT soc/mprj_stb_o mgmt_buffers/mprj_stb_o_core (0.065::0.065)) + (INTERCONNECT soc/mprj_we_o housekeeping/wb_we_i (0.115::0.115)) + (INTERCONNECT soc/mprj_we_o mgmt_buffers/mprj_we_o_core (0.013::0.013)) + (INTERCONNECT soc/qspi_enabled housekeeping/qspi_enabled (0.003::0.003)) + (INTERCONNECT soc/ser_tx housekeeping/ser_tx (0.004::0.004)) + (INTERCONNECT soc/spi_csb housekeeping/spi_csb (0.004::0.004)) + (INTERCONNECT soc/spi_enabled housekeeping/spi_enabled (0.004::0.004)) + (INTERCONNECT soc/spi_sck housekeeping/spi_sck (0.004::0.004)) + (INTERCONNECT soc/spi_sdo housekeeping/spi_sdo (0.006::0.006)) + (INTERCONNECT soc/spi_sdoenb housekeeping/spi_sdoenb (0.004::0.004)) + (INTERCONNECT soc/sram_ro_data[0] housekeeping/sram_ro_data[0] (0.009::0.009)) + (INTERCONNECT soc/sram_ro_data[10] housekeeping/sram_ro_data[10] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[11] housekeeping/sram_ro_data[11] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[12] housekeeping/sram_ro_data[12] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[13] housekeeping/sram_ro_data[13] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[14] housekeeping/sram_ro_data[14] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[15] housekeeping/sram_ro_data[15] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[16] housekeeping/sram_ro_data[16] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[17] housekeeping/sram_ro_data[17] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[18] housekeeping/sram_ro_data[18] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[19] housekeeping/sram_ro_data[19] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[1] housekeeping/sram_ro_data[1] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[20] housekeeping/sram_ro_data[20] (0.009::0.009)) + (INTERCONNECT soc/sram_ro_data[21] housekeeping/sram_ro_data[21] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[22] housekeeping/sram_ro_data[22] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[23] housekeeping/sram_ro_data[23] (0.009::0.009)) + (INTERCONNECT soc/sram_ro_data[24] housekeeping/sram_ro_data[24] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[25] housekeeping/sram_ro_data[25] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[26] housekeeping/sram_ro_data[26] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[27] housekeeping/sram_ro_data[27] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[28] housekeeping/sram_ro_data[28] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[29] housekeeping/sram_ro_data[29] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[2] housekeeping/sram_ro_data[2] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[30] housekeeping/sram_ro_data[30] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[31] housekeeping/sram_ro_data[31] (0.005::0.005)) + (INTERCONNECT soc/sram_ro_data[3] housekeeping/sram_ro_data[3] (0.001::0.001)) + (INTERCONNECT soc/sram_ro_data[4] housekeeping/sram_ro_data[4] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[5] housekeeping/sram_ro_data[5] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[6] housekeeping/sram_ro_data[6] (0.009::0.009)) + (INTERCONNECT soc/sram_ro_data[7] housekeeping/sram_ro_data[7] (0.009::0.009)) + (INTERCONNECT soc/sram_ro_data[8] housekeeping/sram_ro_data[8] (0.009::0.009)) + (INTERCONNECT soc/sram_ro_data[9] housekeeping/sram_ro_data[9] (0.006::0.006)) + (INTERCONNECT soc/trap housekeeping/trap (0.005::0.005)) + (INTERCONNECT soc/uart_enabled housekeeping/uart_enabled (0.003::0.003)) + (INTERCONNECT user_id_value/mask_rev[0] housekeeping/mask_rev_in[0] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[10] housekeeping/mask_rev_in[10] (0.002::0.002)) + (INTERCONNECT user_id_value/mask_rev[11] housekeeping/mask_rev_in[11] (0.002::0.002)) + (INTERCONNECT user_id_value/mask_rev[12] housekeeping/mask_rev_in[12] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[13] housekeeping/mask_rev_in[13] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[14] housekeeping/mask_rev_in[14] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[15] housekeeping/mask_rev_in[15] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[16] housekeeping/mask_rev_in[16] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[17] housekeeping/mask_rev_in[17] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[18] housekeeping/mask_rev_in[18] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[19] housekeeping/mask_rev_in[19] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[1] housekeeping/mask_rev_in[1] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[20] housekeeping/mask_rev_in[20] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[21] housekeeping/mask_rev_in[21] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[22] housekeeping/mask_rev_in[22] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[23] housekeeping/mask_rev_in[23] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[24] housekeeping/mask_rev_in[24] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[25] housekeeping/mask_rev_in[25] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[26] housekeeping/mask_rev_in[26] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[27] housekeeping/mask_rev_in[27] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[28] housekeeping/mask_rev_in[28] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[29] housekeeping/mask_rev_in[29] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[2] housekeeping/mask_rev_in[2] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[30] housekeeping/mask_rev_in[30] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[31] housekeeping/mask_rev_in[31] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[3] housekeeping/mask_rev_in[3] (0.003::0.003)) + (INTERCONNECT user_id_value/mask_rev[4] housekeeping/mask_rev_in[4] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[5] housekeeping/mask_rev_in[5] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[6] housekeeping/mask_rev_in[6] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[7] housekeeping/mask_rev_in[7] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[8] housekeeping/mask_rev_in[8] (0.002::0.002)) + (INTERCONNECT user_id_value/mask_rev[9] housekeeping/mask_rev_in[9] (0.001::0.001)) + ) + ) + ) +) diff --git a/sdf/caravel.sdf b/sdf/caravel.sdf new file mode 100644 index 00000000..d036361d --- /dev/null +++ b/sdf/caravel.sdf @@ -0,0 +1,3183 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "caravel") + (DATE "Sun Nov 28 13:27:51 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "caravel") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT clock padframe/clock (0.001::0.001) (0.001::0.001)) + (INTERCONNECT gpio padframe/gpio (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[0] padframe/mprj_io[0] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[10] padframe/mprj_io[10] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[11] padframe/mprj_io[11] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[12] padframe/mprj_io[12] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[13] padframe/mprj_io[13] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[14] padframe/mprj_io[14] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[15] padframe/mprj_io[15] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[16] padframe/mprj_io[16] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[17] padframe/mprj_io[17] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[18] padframe/mprj_io[18] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[19] padframe/mprj_io[19] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[1] padframe/mprj_io[1] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[20] padframe/mprj_io[20] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[21] padframe/mprj_io[21] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[22] padframe/mprj_io[22] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[23] padframe/mprj_io[23] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[24] padframe/mprj_io[24] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[25] padframe/mprj_io[25] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[26] padframe/mprj_io[26] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[27] padframe/mprj_io[27] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[28] padframe/mprj_io[28] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[29] padframe/mprj_io[29] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[2] padframe/mprj_io[2] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[30] padframe/mprj_io[30] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[31] padframe/mprj_io[31] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[32] padframe/mprj_io[32] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[33] padframe/mprj_io[33] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[34] padframe/mprj_io[34] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[35] padframe/mprj_io[35] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[36] padframe/mprj_io[36] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[37] padframe/mprj_io[37] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[3] padframe/mprj_io[3] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[4] padframe/mprj_io[4] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[5] padframe/mprj_io[5] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[6] padframe/mprj_io[6] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[7] padframe/mprj_io[7] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[8] padframe/mprj_io[8] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT mprj_io[9] padframe/mprj_io[9] (0.003::0.003) (0.002::0.002)) + (INTERCONNECT resetb padframe/resetb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT vccd padframe/vccd_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vccd1 padframe/vccd1_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vccd2 padframe/vccd2_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vdda padframe/vdda_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vdda1 padframe/vdda1_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vdda1_2 padframe/vdda1_pad2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vdda2 padframe/vdda2_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vddio padframe/vddio_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vddio_2 padframe/vddio_pad2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssa padframe/vssa_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssa1 padframe/vssa1_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssa1_2 padframe/vssa1_pad2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssa2 padframe/vssa2_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssd padframe/vssd_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssd1 padframe/vssd1_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssd2 padframe/vssd2_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssio padframe/vssio_pad (0.003::0.003) (0.002::0.002)) + (INTERCONNECT vssio_2 padframe/vssio_pad2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clocking/core_clk housekeeping/wb_clk_i (0.303::0.303)) + (INTERCONNECT clocking/core_clk mgmt_buffers/caravel_clk (0.362::0.362)) + (INTERCONNECT clocking/core_clk soc/core_clk (0.180::0.180)) + (INTERCONNECT clocking/resetb_sync housekeeping/wb_rstn_i (0.276::0.276)) + (INTERCONNECT clocking/resetb_sync mgmt_buffers/caravel_rstn (0.393::0.393)) + (INTERCONNECT clocking/resetb_sync soc/core_rstn (0.136::0.136)) + (INTERCONNECT clocking/user_clk mgmt_buffers/caravel_clk2 (0.424::0.424)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[0] gpio_control_bidir_1\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[10] gpio_control_bidir_1\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[11] gpio_control_bidir_1\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[12] gpio_control_bidir_1\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[1] gpio_control_bidir_1\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[2] gpio_control_bidir_1\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[3] gpio_control_bidir_1\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[4] gpio_control_bidir_1\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[5] gpio_control_bidir_1\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[6] gpio_control_bidir_1\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[7] gpio_control_bidir_1\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[8] gpio_control_bidir_1\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[0\]/gpio_defaults[9] gpio_control_bidir_1\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[0] gpio_control_bidir_1\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[10] gpio_control_bidir_1\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[11] gpio_control_bidir_1\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[12] gpio_control_bidir_1\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[1] gpio_control_bidir_1\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[2] gpio_control_bidir_1\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[3] gpio_control_bidir_1\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[4] gpio_control_bidir_1\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[5] gpio_control_bidir_1\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[6] gpio_control_bidir_1\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[7] gpio_control_bidir_1\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[8] gpio_control_bidir_1\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_01_defaults\[1\]/gpio_defaults[9] gpio_control_bidir_1\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[0] gpio_control_in_1\[2\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[10] gpio_control_in_1\[2\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[11] gpio_control_in_1\[2\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[12] gpio_control_in_1\[2\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[1] gpio_control_in_1\[2\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[2] gpio_control_in_1\[2\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[3] gpio_control_in_1\[2\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[4] gpio_control_in_1\[2\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[5] gpio_control_in_1\[2\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[6] gpio_control_in_1\[2\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[7] gpio_control_in_1\[2\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[8] gpio_control_in_1\[2\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_10_defaults/gpio_defaults[9] gpio_control_in_1\[2\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[0] gpio_control_in_1\[3\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[10] gpio_control_in_1\[3\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[11] gpio_control_in_1\[3\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[12] gpio_control_in_1\[3\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[1] gpio_control_in_1\[3\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[2] gpio_control_in_1\[3\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[3] gpio_control_in_1\[3\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[4] gpio_control_in_1\[3\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[5] gpio_control_in_1\[3\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[6] gpio_control_in_1\[3\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[7] gpio_control_in_1\[3\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[8] gpio_control_in_1\[3\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_11_defaults/gpio_defaults[9] gpio_control_in_1\[3\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[0] gpio_control_in_1\[4\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[10] gpio_control_in_1\[4\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[11] gpio_control_in_1\[4\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[12] gpio_control_in_1\[4\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[1] gpio_control_in_1\[4\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[2] gpio_control_in_1\[4\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[3] gpio_control_in_1\[4\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[4] gpio_control_in_1\[4\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[5] gpio_control_in_1\[4\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[6] gpio_control_in_1\[4\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[7] gpio_control_in_1\[4\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[8] gpio_control_in_1\[4\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_12_defaults/gpio_defaults[9] gpio_control_in_1\[4\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[0] gpio_control_in_1\[5\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[10] gpio_control_in_1\[5\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[11] gpio_control_in_1\[5\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[12] gpio_control_in_1\[5\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[1] gpio_control_in_1\[5\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[2] gpio_control_in_1\[5\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[3] gpio_control_in_1\[5\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[4] gpio_control_in_1\[5\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[5] gpio_control_in_1\[5\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[6] gpio_control_in_1\[5\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[7] gpio_control_in_1\[5\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[8] gpio_control_in_1\[5\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_13_defaults/gpio_defaults[9] gpio_control_in_1\[5\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[0] gpio_control_in_1\[6\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[10] gpio_control_in_1\[6\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[11] gpio_control_in_1\[6\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[12] gpio_control_in_1\[6\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[1] gpio_control_in_1\[6\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[2] gpio_control_in_1\[6\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[3] gpio_control_in_1\[6\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[4] gpio_control_in_1\[6\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[5] gpio_control_in_1\[6\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[6] gpio_control_in_1\[6\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[7] gpio_control_in_1\[6\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[8] gpio_control_in_1\[6\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_14_defaults/gpio_defaults[9] gpio_control_in_1\[6\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[0] gpio_control_in_1\[7\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[10] gpio_control_in_1\[7\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[11] gpio_control_in_1\[7\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[12] gpio_control_in_1\[7\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[1] gpio_control_in_1\[7\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[2] gpio_control_in_1\[7\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[3] gpio_control_in_1\[7\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[4] gpio_control_in_1\[7\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[5] gpio_control_in_1\[7\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[6] gpio_control_in_1\[7\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[7] gpio_control_in_1\[7\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[8] gpio_control_in_1\[7\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_15_defaults/gpio_defaults[9] gpio_control_in_1\[7\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[0] gpio_control_in_1\[8\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[10] gpio_control_in_1\[8\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[11] gpio_control_in_1\[8\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[12] gpio_control_in_1\[8\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[1] gpio_control_in_1\[8\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[2] gpio_control_in_1\[8\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[3] gpio_control_in_1\[8\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[4] gpio_control_in_1\[8\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[5] gpio_control_in_1\[8\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[6] gpio_control_in_1\[8\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[7] gpio_control_in_1\[8\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[8] gpio_control_in_1\[8\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_16_defaults/gpio_defaults[9] gpio_control_in_1\[8\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[0] gpio_control_in_1\[9\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[10] gpio_control_in_1\[9\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[11] gpio_control_in_1\[9\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[12] gpio_control_in_1\[9\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[1] gpio_control_in_1\[9\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[2] gpio_control_in_1\[9\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[3] gpio_control_in_1\[9\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[4] gpio_control_in_1\[9\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[5] gpio_control_in_1\[9\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[6] gpio_control_in_1\[9\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[7] gpio_control_in_1\[9\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[8] gpio_control_in_1\[9\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_17_defaults/gpio_defaults[9] gpio_control_in_1\[9\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[0] gpio_control_in_1\[10\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[10] gpio_control_in_1\[10\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[11] gpio_control_in_1\[10\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[12] gpio_control_in_1\[10\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[1] gpio_control_in_1\[10\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[2] gpio_control_in_1\[10\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[3] gpio_control_in_1\[10\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[4] gpio_control_in_1\[10\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[5] gpio_control_in_1\[10\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[6] gpio_control_in_1\[10\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[7] gpio_control_in_1\[10\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[8] gpio_control_in_1\[10\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_18_defaults/gpio_defaults[9] gpio_control_in_1\[10\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[0] gpio_control_in_2\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[10] gpio_control_in_2\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[11] gpio_control_in_2\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[12] gpio_control_in_2\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[1] gpio_control_in_2\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[2] gpio_control_in_2\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[3] gpio_control_in_2\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[4] gpio_control_in_2\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[5] gpio_control_in_2\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[6] gpio_control_in_2\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[7] gpio_control_in_2\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[8] gpio_control_in_2\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_19_defaults/gpio_defaults[9] gpio_control_in_2\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[0] gpio_control_in_2\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[10] gpio_control_in_2\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[11] gpio_control_in_2\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[12] gpio_control_in_2\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[1] gpio_control_in_2\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[2] gpio_control_in_2\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[3] gpio_control_in_2\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[4] gpio_control_in_2\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[5] gpio_control_in_2\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[6] gpio_control_in_2\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[7] gpio_control_in_2\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[8] gpio_control_in_2\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_20_defaults/gpio_defaults[9] gpio_control_in_2\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[0] gpio_control_in_2\[2\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[10] gpio_control_in_2\[2\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[11] gpio_control_in_2\[2\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[12] gpio_control_in_2\[2\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[1] gpio_control_in_2\[2\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[2] gpio_control_in_2\[2\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[3] gpio_control_in_2\[2\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[4] gpio_control_in_2\[2\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[5] gpio_control_in_2\[2\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[6] gpio_control_in_2\[2\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[7] gpio_control_in_2\[2\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[8] gpio_control_in_2\[2\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_21_defaults/gpio_defaults[9] gpio_control_in_2\[2\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[0] gpio_control_in_2\[3\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[10] gpio_control_in_2\[3\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[11] gpio_control_in_2\[3\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[12] gpio_control_in_2\[3\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[1] gpio_control_in_2\[3\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[2] gpio_control_in_2\[3\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[3] gpio_control_in_2\[3\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[4] gpio_control_in_2\[3\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[5] gpio_control_in_2\[3\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[6] gpio_control_in_2\[3\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[7] gpio_control_in_2\[3\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[8] gpio_control_in_2\[3\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_22_defaults/gpio_defaults[9] gpio_control_in_2\[3\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[0] gpio_control_in_1a\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[10] gpio_control_in_1a\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[11] gpio_control_in_1a\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[12] gpio_control_in_1a\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[1] gpio_control_in_1a\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[2] gpio_control_in_1a\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[3] gpio_control_in_1a\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[4] gpio_control_in_1a\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[5] gpio_control_in_1a\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[6] gpio_control_in_1a\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[7] gpio_control_in_1a\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[8] gpio_control_in_1a\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[0\]/gpio_defaults[9] gpio_control_in_1a\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[0] gpio_control_in_1a\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[10] gpio_control_in_1a\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[11] gpio_control_in_1a\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[12] gpio_control_in_1a\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[1] gpio_control_in_1a\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[2] gpio_control_in_1a\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[3] gpio_control_in_1a\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[4] gpio_control_in_1a\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[5] gpio_control_in_1a\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[6] gpio_control_in_1a\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[7] gpio_control_in_1a\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[8] gpio_control_in_1a\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[1\]/gpio_defaults[9] gpio_control_in_1a\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[0] gpio_control_in_1a\[2\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[10] gpio_control_in_1a\[2\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[11] gpio_control_in_1a\[2\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[12] gpio_control_in_1a\[2\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[1] gpio_control_in_1a\[2\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[2] gpio_control_in_1a\[2\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[3] gpio_control_in_1a\[2\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[4] gpio_control_in_1a\[2\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[5] gpio_control_in_1a\[2\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[6] gpio_control_in_1a\[2\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[7] gpio_control_in_1a\[2\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[8] gpio_control_in_1a\[2\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_234_defaults\[2\]/gpio_defaults[9] gpio_control_in_1a\[2\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[0] gpio_control_in_2\[4\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[10] gpio_control_in_2\[4\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[11] gpio_control_in_2\[4\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[12] gpio_control_in_2\[4\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[1] gpio_control_in_2\[4\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[2] gpio_control_in_2\[4\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[3] gpio_control_in_2\[4\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[4] gpio_control_in_2\[4\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[5] gpio_control_in_2\[4\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[6] gpio_control_in_2\[4\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[7] gpio_control_in_2\[4\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[8] gpio_control_in_2\[4\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_23_defaults/gpio_defaults[9] gpio_control_in_2\[4\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[0] gpio_control_in_2\[5\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[10] gpio_control_in_2\[5\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[11] gpio_control_in_2\[5\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[12] gpio_control_in_2\[5\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[1] gpio_control_in_2\[5\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[2] gpio_control_in_2\[5\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[3] gpio_control_in_2\[5\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[4] gpio_control_in_2\[5\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[5] gpio_control_in_2\[5\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[6] gpio_control_in_2\[5\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[7] gpio_control_in_2\[5\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[8] gpio_control_in_2\[5\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_24_defaults/gpio_defaults[9] gpio_control_in_2\[5\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[0] gpio_control_in_2\[6\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[10] gpio_control_in_2\[6\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[11] gpio_control_in_2\[6\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[12] gpio_control_in_2\[6\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[1] gpio_control_in_2\[6\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[2] gpio_control_in_2\[6\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[3] gpio_control_in_2\[6\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[4] gpio_control_in_2\[6\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[5] gpio_control_in_2\[6\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[6] gpio_control_in_2\[6\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[7] gpio_control_in_2\[6\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[8] gpio_control_in_2\[6\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_25_defaults/gpio_defaults[9] gpio_control_in_2\[6\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[0] gpio_control_in_2\[7\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[10] gpio_control_in_2\[7\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[11] gpio_control_in_2\[7\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[12] gpio_control_in_2\[7\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[1] gpio_control_in_2\[7\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[2] gpio_control_in_2\[7\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[3] gpio_control_in_2\[7\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[4] gpio_control_in_2\[7\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[5] gpio_control_in_2\[7\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[6] gpio_control_in_2\[7\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[7] gpio_control_in_2\[7\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[8] gpio_control_in_2\[7\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_26_defaults/gpio_defaults[9] gpio_control_in_2\[7\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[0] gpio_control_in_2\[8\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[10] gpio_control_in_2\[8\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[11] gpio_control_in_2\[8\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[12] gpio_control_in_2\[8\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[1] gpio_control_in_2\[8\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[2] gpio_control_in_2\[8\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[3] gpio_control_in_2\[8\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[4] gpio_control_in_2\[8\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[5] gpio_control_in_2\[8\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[6] gpio_control_in_2\[8\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[7] gpio_control_in_2\[8\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[8] gpio_control_in_2\[8\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_27_defaults/gpio_defaults[9] gpio_control_in_2\[8\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[0] gpio_control_in_2\[9\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[10] gpio_control_in_2\[9\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[11] gpio_control_in_2\[9\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[12] gpio_control_in_2\[9\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[1] gpio_control_in_2\[9\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[2] gpio_control_in_2\[9\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[3] gpio_control_in_2\[9\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[4] gpio_control_in_2\[9\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[5] gpio_control_in_2\[9\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[6] gpio_control_in_2\[9\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[7] gpio_control_in_2\[9\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[8] gpio_control_in_2\[9\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_28_defaults/gpio_defaults[9] gpio_control_in_2\[9\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[0] gpio_control_in_2\[10\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[10] gpio_control_in_2\[10\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[11] gpio_control_in_2\[10\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[12] gpio_control_in_2\[10\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[1] gpio_control_in_2\[10\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[2] gpio_control_in_2\[10\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[3] gpio_control_in_2\[10\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[4] gpio_control_in_2\[10\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[5] gpio_control_in_2\[10\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[6] gpio_control_in_2\[10\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[7] gpio_control_in_2\[10\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[8] gpio_control_in_2\[10\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_29_defaults/gpio_defaults[9] gpio_control_in_2\[10\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[0] gpio_control_in_2\[11\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[10] gpio_control_in_2\[11\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[11] gpio_control_in_2\[11\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[12] gpio_control_in_2\[11\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[1] gpio_control_in_2\[11\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[2] gpio_control_in_2\[11\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[3] gpio_control_in_2\[11\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[4] gpio_control_in_2\[11\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[5] gpio_control_in_2\[11\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[6] gpio_control_in_2\[11\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[7] gpio_control_in_2\[11\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[8] gpio_control_in_2\[11\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_30_defaults/gpio_defaults[9] gpio_control_in_2\[11\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[0] gpio_control_in_2\[12\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[10] gpio_control_in_2\[12\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[11] gpio_control_in_2\[12\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[12] gpio_control_in_2\[12\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[1] gpio_control_in_2\[12\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[2] gpio_control_in_2\[12\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[3] gpio_control_in_2\[12\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[4] gpio_control_in_2\[12\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[5] gpio_control_in_2\[12\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[6] gpio_control_in_2\[12\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[7] gpio_control_in_2\[12\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[8] gpio_control_in_2\[12\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_31_defaults/gpio_defaults[9] gpio_control_in_2\[12\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[0] gpio_control_in_2\[13\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[10] gpio_control_in_2\[13\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[11] gpio_control_in_2\[13\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[12] gpio_control_in_2\[13\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[1] gpio_control_in_2\[13\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[2] gpio_control_in_2\[13\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[3] gpio_control_in_2\[13\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[4] gpio_control_in_2\[13\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[5] gpio_control_in_2\[13\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[6] gpio_control_in_2\[13\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[7] gpio_control_in_2\[13\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[8] gpio_control_in_2\[13\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_32_defaults/gpio_defaults[9] gpio_control_in_2\[13\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[0] gpio_control_in_2\[14\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[10] gpio_control_in_2\[14\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[11] gpio_control_in_2\[14\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[12] gpio_control_in_2\[14\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[1] gpio_control_in_2\[14\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[2] gpio_control_in_2\[14\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[3] gpio_control_in_2\[14\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[4] gpio_control_in_2\[14\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[5] gpio_control_in_2\[14\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[6] gpio_control_in_2\[14\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[7] gpio_control_in_2\[14\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[8] gpio_control_in_2\[14\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_33_defaults/gpio_defaults[9] gpio_control_in_2\[14\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[0] gpio_control_in_2\[15\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[10] gpio_control_in_2\[15\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[11] gpio_control_in_2\[15\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[12] gpio_control_in_2\[15\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[1] gpio_control_in_2\[15\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[2] gpio_control_in_2\[15\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[3] gpio_control_in_2\[15\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[4] gpio_control_in_2\[15\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[5] gpio_control_in_2\[15\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[6] gpio_control_in_2\[15\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[7] gpio_control_in_2\[15\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[8] gpio_control_in_2\[15\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_34_defaults/gpio_defaults[9] gpio_control_in_2\[15\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[0] gpio_control_bidir_2\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[10] gpio_control_bidir_2\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[11] gpio_control_bidir_2\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[12] gpio_control_bidir_2\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[1] gpio_control_bidir_2\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[2] gpio_control_bidir_2\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[3] gpio_control_bidir_2\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[4] gpio_control_bidir_2\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[5] gpio_control_bidir_2\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[6] gpio_control_bidir_2\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[7] gpio_control_bidir_2\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[8] gpio_control_bidir_2\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_35_defaults/gpio_defaults[9] gpio_control_bidir_2\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[0] gpio_control_bidir_2\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[10] gpio_control_bidir_2\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[11] gpio_control_bidir_2\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[12] gpio_control_bidir_2\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[1] gpio_control_bidir_2\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[2] gpio_control_bidir_2\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[3] gpio_control_bidir_2\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[4] gpio_control_bidir_2\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[5] gpio_control_bidir_2\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[6] gpio_control_bidir_2\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[7] gpio_control_bidir_2\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[8] gpio_control_bidir_2\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_36_defaults/gpio_defaults[9] gpio_control_bidir_2\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[0] gpio_control_bidir_2\[2\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[10] gpio_control_bidir_2\[2\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[11] gpio_control_bidir_2\[2\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[12] gpio_control_bidir_2\[2\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[1] gpio_control_bidir_2\[2\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[2] gpio_control_bidir_2\[2\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[3] gpio_control_bidir_2\[2\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[4] gpio_control_bidir_2\[2\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[5] gpio_control_bidir_2\[2\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[6] gpio_control_bidir_2\[2\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[7] gpio_control_bidir_2\[2\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[8] gpio_control_bidir_2\[2\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_37_defaults/gpio_defaults[9] gpio_control_bidir_2\[2\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[0] gpio_control_in_1a\[3\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[10] gpio_control_in_1a\[3\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[11] gpio_control_in_1a\[3\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[12] gpio_control_in_1a\[3\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[1] gpio_control_in_1a\[3\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[2] gpio_control_in_1a\[3\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[3] gpio_control_in_1a\[3\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[4] gpio_control_in_1a\[3\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[5] gpio_control_in_1a\[3\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[6] gpio_control_in_1a\[3\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[7] gpio_control_in_1a\[3\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[8] gpio_control_in_1a\[3\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_5_defaults/gpio_defaults[9] gpio_control_in_1a\[3\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[0] gpio_control_in_1a\[4\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[10] gpio_control_in_1a\[4\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[11] gpio_control_in_1a\[4\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[12] gpio_control_in_1a\[4\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[1] gpio_control_in_1a\[4\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[2] gpio_control_in_1a\[4\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[3] gpio_control_in_1a\[4\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[4] gpio_control_in_1a\[4\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[5] gpio_control_in_1a\[4\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[6] gpio_control_in_1a\[4\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[7] gpio_control_in_1a\[4\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[8] gpio_control_in_1a\[4\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_6_defaults/gpio_defaults[9] gpio_control_in_1a\[4\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[0] gpio_control_in_1a\[5\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[10] gpio_control_in_1a\[5\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[11] gpio_control_in_1a\[5\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[12] gpio_control_in_1a\[5\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[1] gpio_control_in_1a\[5\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[2] gpio_control_in_1a\[5\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[3] gpio_control_in_1a\[5\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[4] gpio_control_in_1a\[5\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[5] gpio_control_in_1a\[5\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[6] gpio_control_in_1a\[5\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[7] gpio_control_in_1a\[5\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[8] gpio_control_in_1a\[5\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_7_defaults/gpio_defaults[9] gpio_control_in_1a\[5\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[0] gpio_control_in_1\[0\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[10] gpio_control_in_1\[0\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[11] gpio_control_in_1\[0\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[12] gpio_control_in_1\[0\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[1] gpio_control_in_1\[0\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[2] gpio_control_in_1\[0\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[3] gpio_control_in_1\[0\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[4] gpio_control_in_1\[0\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[5] gpio_control_in_1\[0\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[6] gpio_control_in_1\[0\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[7] gpio_control_in_1\[0\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[8] gpio_control_in_1\[0\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_8_defaults/gpio_defaults[9] gpio_control_in_1\[0\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[0] gpio_control_in_1\[1\]/gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[10] gpio_control_in_1\[1\]/gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[11] gpio_control_in_1\[1\]/gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[12] gpio_control_in_1\[1\]/gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[1] gpio_control_in_1\[1\]/gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[2] gpio_control_in_1\[1\]/gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[3] gpio_control_in_1\[1\]/gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[4] gpio_control_in_1\[1\]/gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[5] gpio_control_in_1\[1\]/gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[6] gpio_control_in_1\[1\]/gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[7] gpio_control_in_1\[1\]/gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[8] gpio_control_in_1\[1\]/gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_9_defaults/gpio_defaults[9] gpio_control_in_1\[1\]/gpio_defaults[9] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[0] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[2] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[0] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[0] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_out padframe/mprj_io_out[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[0] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[0] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[0] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[0\]/resetn_out gpio_control_bidir_1\[1\]/resetn (0.267::0.267)) + (INTERCONNECT gpio_control_bidir_1\[0\]/resetn_out gpio_control_in_2\[1\]/resetn (2.335::2.335)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_clock_out gpio_control_bidir_1\[1\]/serial_clock (0.262::0.262)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_clock_out gpio_control_in_2\[1\]/serial_clock (2.291::2.291)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_data_out gpio_control_bidir_1\[1\]/serial_data_in (0.006::0.006)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_load_out gpio_control_bidir_1\[1\]/serial_load (0.216::0.216)) + (INTERCONNECT gpio_control_bidir_1\[0\]/serial_load_out gpio_control_in_2\[1\]/serial_load (2.063::2.063)) + (INTERCONNECT gpio_control_bidir_1\[0\]/user_gpio_in mprj/io_in[0] (0.065::0.065)) + (INTERCONNECT gpio_control_bidir_1\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[1] (0.011::0.011)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[3] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[4] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[5] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[1] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_out padframe/mprj_io_out[1] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[1] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[1] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[1] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_1\[1\]/resetn_out gpio_control_in_1a\[0\]/resetn (0.225::0.225)) + (INTERCONNECT gpio_control_bidir_1\[1\]/resetn_out gpio_control_in_2\[2\]/resetn (2.681::2.681)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_clock_out gpio_control_in_1a\[0\]/serial_clock (0.241::0.241)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_clock_out gpio_control_in_2\[2\]/serial_clock (2.084::2.084)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_data_out gpio_control_in_1a\[0\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_load_out gpio_control_in_1a\[0\]/serial_load (0.273::0.273)) + (INTERCONNECT gpio_control_bidir_1\[1\]/serial_load_out gpio_control_in_2\[2\]/serial_load (2.399::2.399)) + (INTERCONNECT gpio_control_bidir_1\[1\]/user_gpio_in mprj/io_in[1] (0.080::0.080)) + (INTERCONNECT gpio_control_bidir_2\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[35] (0.253::0.253)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[35] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[35] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[35] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[105] (0.008::0.008)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[106] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[107] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[35] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[35] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[35] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_out padframe/mprj_io_out[35] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[35] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[35] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[35] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/resetn_out gpio_control_bidir_2\[1\]/resetn (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/resetn_out gpio_control_in_1\[9\]/resetn (1.924::1.924)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_clock_out gpio_control_bidir_2\[1\]/serial_clock (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_clock_out gpio_control_in_1\[9\]/serial_clock (1.696::1.696)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_data_out gpio_control_in_2\[15\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_load_out gpio_control_bidir_2\[1\]/serial_load (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[0\]/serial_load_out gpio_control_in_1\[9\]/serial_load (1.907::1.907)) + (INTERCONNECT gpio_control_bidir_2\[0\]/user_gpio_in mprj/io_in[35] (0.016::0.016)) + (INTERCONNECT gpio_control_bidir_2\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[36] (1.221::1.221)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[36] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[36] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[36] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[108] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[109] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[110] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[36] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[36] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[36] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_out padframe/mprj_io_out[36] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[36] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[36] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[36] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[1\]/resetn_out gpio_control_bidir_2\[2\]/resetn (0.252::0.252)) + (INTERCONNECT gpio_control_bidir_2\[1\]/resetn_out gpio_control_in_1\[10\]/resetn (1.860::1.860)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_clock_out gpio_control_bidir_2\[2\]/serial_clock (0.264::0.264)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_clock_out gpio_control_in_1\[10\]/serial_clock (1.734::1.734)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_data_out gpio_control_bidir_2\[0\]/serial_data_in (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_load_out gpio_control_bidir_2\[2\]/serial_load (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[1\]/serial_load_out gpio_control_in_1\[10\]/serial_load (1.496::1.496)) + (INTERCONNECT gpio_control_bidir_2\[1\]/user_gpio_in mprj/io_in[36] (0.019::0.019)) + (INTERCONNECT gpio_control_bidir_2\[2\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[37] (1.412::1.412)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_ana_en padframe/mprj_io_analog_en[37] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[37] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[37] (0.000::0.000)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_dm[0] padframe/mprj_io_dm[111] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_dm[1] padframe/mprj_io_dm[112] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_dm[2] padframe/mprj_io_dm[113] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_holdover padframe/mprj_io_holdover[37] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[37] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_inenb padframe/mprj_io_inp_dis[37] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_out padframe/mprj_io_out[37] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_outenb padframe/mprj_io_oeb[37] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[37] (0.001::0.001)) + (INTERCONNECT gpio_control_bidir_2\[2\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[37] (0.002::0.002)) + (INTERCONNECT gpio_control_bidir_2\[2\]/serial_data_out gpio_control_bidir_2\[1\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_bidir_2\[2\]/user_gpio_in mprj/io_in[37] (0.026::0.026)) + (INTERCONNECT gpio_control_in_1\[0\]/mgmt_gpio_in gpio_control_in_1\[0\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[8] (0.050::0.050)) + (INTERCONNECT gpio_control_in_1\[0\]/one gpio_control_in_1\[0\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[8] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[8] (0.006::0.006)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[8] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[24] (0.017::0.017)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[25] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[26] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[8] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[8] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[8] (0.019::0.019)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_out padframe/mprj_io_out[8] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[8] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[8] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[8] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[0\]/resetn_out gpio_control_in_1\[1\]/resetn (0.086::0.086)) + (INTERCONNECT gpio_control_in_1\[0\]/resetn_out gpio_control_in_2\[9\]/resetn (2.544::2.544)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_clock_out gpio_control_in_1\[1\]/serial_clock (0.284::0.284)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_clock_out gpio_control_in_2\[9\]/serial_clock (2.795::2.795)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_data_out gpio_control_in_1\[1\]/serial_data_in (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_load_out gpio_control_in_1\[1\]/serial_load (0.298::0.298)) + (INTERCONNECT gpio_control_in_1\[0\]/serial_load_out gpio_control_in_2\[9\]/serial_load (2.282::2.282)) + (INTERCONNECT gpio_control_in_1\[0\]/user_gpio_in mprj/io_in[8] (0.026::0.026)) + (INTERCONNECT gpio_control_in_1\[10\]/mgmt_gpio_in gpio_control_in_1\[10\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[10\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[18] (1.190::1.190)) + (INTERCONNECT gpio_control_in_1\[10\]/one gpio_control_in_1\[10\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_ana_en padframe/mprj_io_analog_en[18] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[18] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[18] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_dm[0] padframe/mprj_io_dm[54] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_dm[1] padframe/mprj_io_dm[55] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_dm[2] padframe/mprj_io_dm[56] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_holdover padframe/mprj_io_holdover[18] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[18] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_inenb padframe/mprj_io_inp_dis[18] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_out padframe/mprj_io_out[18] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_outenb padframe/mprj_io_oeb[18] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[18] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[10\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[18] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[10\]/user_gpio_in mprj/io_in[18] (0.022::0.022)) + (INTERCONNECT gpio_control_in_1\[1\]/mgmt_gpio_in gpio_control_in_1\[1\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[9] (0.061::0.061)) + (INTERCONNECT gpio_control_in_1\[1\]/one gpio_control_in_1\[1\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[9] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[9] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[9] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[27] (0.015::0.015)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[28] (0.017::0.017)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[29] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[9] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[9] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[9] (0.015::0.015)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_out padframe/mprj_io_out[9] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[9] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[9] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[9] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[1\]/resetn_out gpio_control_in_1\[2\]/resetn (0.035::0.035)) + (INTERCONNECT gpio_control_in_1\[1\]/resetn_out gpio_control_in_2\[10\]/resetn (2.856::2.856)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_clock_out gpio_control_in_1\[2\]/serial_clock (0.036::0.036)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_clock_out gpio_control_in_2\[10\]/serial_clock (2.429::2.429)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_data_out gpio_control_in_1\[2\]/serial_data_in (0.008::0.008)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_load_out gpio_control_in_1\[2\]/serial_load (0.305::0.305)) + (INTERCONNECT gpio_control_in_1\[1\]/serial_load_out gpio_control_in_2\[10\]/serial_load (2.949::2.949)) + (INTERCONNECT gpio_control_in_1\[1\]/user_gpio_in mprj/io_in[9] (0.042::0.042)) + (INTERCONNECT gpio_control_in_1\[2\]/mgmt_gpio_in gpio_control_in_1\[2\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[2\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[10] (0.072::0.072)) + (INTERCONNECT gpio_control_in_1\[2\]/one gpio_control_in_1\[2\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_ana_en padframe/mprj_io_analog_en[10] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[10] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[10] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_dm[0] padframe/mprj_io_dm[30] (0.019::0.019)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_dm[1] padframe/mprj_io_dm[31] (0.019::0.019)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_dm[2] padframe/mprj_io_dm[32] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_holdover padframe/mprj_io_holdover[10] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[10] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_inenb padframe/mprj_io_inp_dis[10] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_out padframe/mprj_io_out[10] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_outenb padframe/mprj_io_oeb[10] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[10] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[2\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[10] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[2\]/resetn_out gpio_control_in_1\[3\]/resetn (0.214::0.214)) + (INTERCONNECT gpio_control_in_1\[2\]/resetn_out gpio_control_in_2\[11\]/resetn (2.502::2.502)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_clock_out gpio_control_in_1\[3\]/serial_clock (0.106::0.106)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_clock_out gpio_control_in_2\[11\]/serial_clock (3.003::3.003)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_data_out gpio_control_in_1\[3\]/serial_data_in (0.009::0.009)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_load_out gpio_control_in_1\[3\]/serial_load (0.260::0.260)) + (INTERCONNECT gpio_control_in_1\[2\]/serial_load_out gpio_control_in_2\[11\]/serial_load (2.751::2.751)) + (INTERCONNECT gpio_control_in_1\[2\]/user_gpio_in mprj/io_in[10] (0.027::0.027)) + (INTERCONNECT gpio_control_in_1\[3\]/mgmt_gpio_in gpio_control_in_1\[3\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[3\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[11] (0.102::0.102)) + (INTERCONNECT gpio_control_in_1\[3\]/one gpio_control_in_1\[3\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_ana_en padframe/mprj_io_analog_en[11] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[11] (0.006::0.006)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[11] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_dm[0] padframe/mprj_io_dm[33] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_dm[1] padframe/mprj_io_dm[34] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_dm[2] padframe/mprj_io_dm[35] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_holdover padframe/mprj_io_holdover[11] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[11] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_inenb padframe/mprj_io_inp_dis[11] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_out padframe/mprj_io_out[11] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_outenb padframe/mprj_io_oeb[11] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[11] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1\[3\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[11] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[3\]/resetn_out gpio_control_in_1\[4\]/resetn (0.309::0.309)) + (INTERCONNECT gpio_control_in_1\[3\]/resetn_out gpio_control_in_2\[12\]/resetn (1.727::1.727)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_clock_out gpio_control_in_1\[4\]/serial_clock (0.300::0.300)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_clock_out gpio_control_in_2\[12\]/serial_clock (1.772::1.772)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_data_out gpio_control_in_1\[4\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_load_out gpio_control_in_1\[4\]/serial_load (0.289::0.289)) + (INTERCONNECT gpio_control_in_1\[3\]/serial_load_out gpio_control_in_2\[12\]/serial_load (1.644::1.644)) + (INTERCONNECT gpio_control_in_1\[3\]/user_gpio_in mprj/io_in[11] (0.034::0.034)) + (INTERCONNECT gpio_control_in_1\[4\]/mgmt_gpio_in gpio_control_in_1\[4\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[4\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[12] (0.190::0.190)) + (INTERCONNECT gpio_control_in_1\[4\]/one gpio_control_in_1\[4\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_ana_en padframe/mprj_io_analog_en[12] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[12] (0.006::0.006)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[12] (0.019::0.019)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_dm[0] padframe/mprj_io_dm[36] (0.018::0.018)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_dm[1] padframe/mprj_io_dm[37] (0.017::0.017)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_dm[2] padframe/mprj_io_dm[38] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_holdover padframe/mprj_io_holdover[12] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[12] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_inenb padframe/mprj_io_inp_dis[12] (0.017::0.017)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_out padframe/mprj_io_out[12] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_outenb padframe/mprj_io_oeb[12] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[12] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1\[4\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[12] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[4\]/resetn_out gpio_control_in_1\[5\]/resetn (0.047::0.047)) + (INTERCONNECT gpio_control_in_1\[4\]/resetn_out gpio_control_in_2\[13\]/resetn (2.642::2.642)) + (INTERCONNECT gpio_control_in_1\[4\]/serial_clock_out gpio_control_in_1\[5\]/serial_clock (0.044::0.044)) + (INTERCONNECT gpio_control_in_1\[4\]/serial_clock_out gpio_control_in_2\[13\]/serial_clock (2.565::2.565)) + (INTERCONNECT gpio_control_in_1\[4\]/serial_data_out gpio_control_in_1\[5\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[4\]/serial_load_out gpio_control_in_1\[5\]/serial_load (0.047::0.047)) + (INTERCONNECT gpio_control_in_1\[4\]/serial_load_out gpio_control_in_2\[13\]/serial_load (1.794::1.794)) + (INTERCONNECT gpio_control_in_1\[4\]/user_gpio_in mprj/io_in[12] (0.057::0.057)) + (INTERCONNECT gpio_control_in_1\[5\]/mgmt_gpio_in gpio_control_in_1\[5\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[5\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[13] (0.127::0.127)) + (INTERCONNECT gpio_control_in_1\[5\]/one gpio_control_in_1\[5\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_ana_en padframe/mprj_io_analog_en[13] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[13] (0.018::0.018)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[13] (0.006::0.006)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_dm[0] padframe/mprj_io_dm[39] (0.047::0.047)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_dm[1] padframe/mprj_io_dm[40] (0.034::0.034)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_dm[2] padframe/mprj_io_dm[41] (0.024::0.024)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_holdover padframe/mprj_io_holdover[13] (0.024::0.024)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[13] (0.008::0.008)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_inenb padframe/mprj_io_inp_dis[13] (0.032::0.032)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_out padframe/mprj_io_out[13] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_outenb padframe/mprj_io_oeb[13] (0.015::0.015)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[13] (0.041::0.041)) + (INTERCONNECT gpio_control_in_1\[5\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[13] (0.008::0.008)) + (INTERCONNECT gpio_control_in_1\[5\]/resetn_out gpio_control_in_1\[6\]/resetn (0.171::0.171)) + (INTERCONNECT gpio_control_in_1\[5\]/resetn_out gpio_control_in_2\[14\]/resetn (2.476::2.476)) + (INTERCONNECT gpio_control_in_1\[5\]/serial_clock_out gpio_control_in_1\[6\]/serial_clock (0.199::0.199)) + (INTERCONNECT gpio_control_in_1\[5\]/serial_clock_out gpio_control_in_2\[14\]/serial_clock (2.661::2.661)) + (INTERCONNECT gpio_control_in_1\[5\]/serial_data_out gpio_control_in_1\[6\]/serial_data_in (0.096::0.096)) + (INTERCONNECT gpio_control_in_1\[5\]/serial_load_out gpio_control_in_1\[6\]/serial_load (0.169::0.169)) + (INTERCONNECT gpio_control_in_1\[5\]/serial_load_out gpio_control_in_2\[14\]/serial_load (2.518::2.518)) + (INTERCONNECT gpio_control_in_1\[5\]/user_gpio_in mprj/io_in[13] (0.044::0.044)) + (INTERCONNECT gpio_control_in_1\[6\]/mgmt_gpio_in gpio_control_in_1\[6\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[6\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[14] (0.175::0.175)) + (INTERCONNECT gpio_control_in_1\[6\]/one gpio_control_in_1\[6\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_ana_en padframe/mprj_io_analog_en[14] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[14] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[14] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_dm[0] padframe/mprj_io_dm[42] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_dm[1] padframe/mprj_io_dm[43] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_dm[2] padframe/mprj_io_dm[44] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_holdover padframe/mprj_io_holdover[14] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[14] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_inenb padframe/mprj_io_inp_dis[14] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_out padframe/mprj_io_out[14] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_outenb padframe/mprj_io_oeb[14] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[14] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[6\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[14] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[6\]/resetn_out gpio_control_in_1\[7\]/resetn (0.121::0.121)) + (INTERCONNECT gpio_control_in_1\[6\]/resetn_out gpio_control_in_2\[15\]/resetn (1.602::1.602)) + (INTERCONNECT gpio_control_in_1\[6\]/serial_clock_out gpio_control_in_1\[7\]/serial_clock (0.122::0.122)) + (INTERCONNECT gpio_control_in_1\[6\]/serial_clock_out gpio_control_in_2\[15\]/serial_clock (1.568::1.568)) + (INTERCONNECT gpio_control_in_1\[6\]/serial_data_out gpio_control_in_1\[7\]/serial_data_in (0.062::0.062)) + (INTERCONNECT gpio_control_in_1\[6\]/serial_load_out gpio_control_in_1\[7\]/serial_load (0.778::0.778)) + (INTERCONNECT gpio_control_in_1\[6\]/serial_load_out gpio_control_in_2\[15\]/serial_load (2.334::2.334)) + (INTERCONNECT gpio_control_in_1\[6\]/user_gpio_in mprj/io_in[14] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[7\]/mgmt_gpio_in gpio_control_in_1\[7\]/mgmt_gpio_out (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[7\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[15] (0.600::0.600)) + (INTERCONNECT gpio_control_in_1\[7\]/one gpio_control_in_1\[7\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_ana_en padframe/mprj_io_analog_en[15] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[15] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[15] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_dm[0] padframe/mprj_io_dm[45] (0.008::0.008)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_dm[1] padframe/mprj_io_dm[46] (0.017::0.017)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_dm[2] padframe/mprj_io_dm[47] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_holdover padframe/mprj_io_holdover[15] (0.007::0.007)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[15] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_inenb padframe/mprj_io_inp_dis[15] (0.018::0.018)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_out padframe/mprj_io_out[15] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_outenb padframe/mprj_io_oeb[15] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[15] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1\[7\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[15] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[7\]/resetn_out gpio_control_bidir_2\[0\]/resetn (1.678::1.678)) + (INTERCONNECT gpio_control_in_1\[7\]/resetn_out gpio_control_in_1\[8\]/resetn (0.307::0.307)) + (INTERCONNECT gpio_control_in_1\[7\]/serial_clock_out gpio_control_bidir_2\[0\]/serial_clock (0.780::0.780)) + (INTERCONNECT gpio_control_in_1\[7\]/serial_clock_out gpio_control_in_1\[8\]/serial_clock (0.265::0.265)) + (INTERCONNECT gpio_control_in_1\[7\]/serial_data_out gpio_control_in_1\[8\]/serial_data_in (0.006::0.006)) + (INTERCONNECT gpio_control_in_1\[7\]/serial_load_out gpio_control_bidir_2\[0\]/serial_load (1.598::1.598)) + (INTERCONNECT gpio_control_in_1\[7\]/serial_load_out gpio_control_in_1\[8\]/serial_load (0.281::0.281)) + (INTERCONNECT gpio_control_in_1\[7\]/user_gpio_in mprj/io_in[15] (0.021::0.021)) + (INTERCONNECT gpio_control_in_1\[8\]/mgmt_gpio_in gpio_control_in_1\[8\]/mgmt_gpio_out (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[8\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[16] (0.754::0.754)) + (INTERCONNECT gpio_control_in_1\[8\]/one gpio_control_in_1\[8\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_ana_en padframe/mprj_io_analog_en[16] (0.005::0.005)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[16] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[16] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_dm[0] padframe/mprj_io_dm[48] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_dm[1] padframe/mprj_io_dm[49] (0.004::0.004)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_dm[2] padframe/mprj_io_dm[50] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_holdover padframe/mprj_io_holdover[16] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[16] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_inenb padframe/mprj_io_inp_dis[16] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_out padframe/mprj_io_out[16] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_outenb padframe/mprj_io_oeb[16] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[16] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1\[8\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[16] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1\[8\]/resetn_out gpio_control_bidir_2\[1\]/resetn (3.999::3.999)) + (INTERCONNECT gpio_control_in_1\[8\]/resetn_out gpio_control_in_1\[9\]/resetn (0.440::0.440)) + (INTERCONNECT gpio_control_in_1\[8\]/serial_clock_out gpio_control_bidir_2\[1\]/serial_clock (3.787::3.787)) + (INTERCONNECT gpio_control_in_1\[8\]/serial_clock_out gpio_control_in_1\[9\]/serial_clock (0.404::0.404)) + (INTERCONNECT gpio_control_in_1\[8\]/serial_data_out gpio_control_in_1\[9\]/serial_data_in (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[8\]/serial_load_out gpio_control_bidir_2\[1\]/serial_load (3.880::3.880)) + (INTERCONNECT gpio_control_in_1\[8\]/serial_load_out gpio_control_in_1\[9\]/serial_load (0.429::0.429)) + (INTERCONNECT gpio_control_in_1\[8\]/user_gpio_in mprj/io_in[16] (0.014::0.014)) + (INTERCONNECT gpio_control_in_1\[9\]/mgmt_gpio_in gpio_control_in_1\[9\]/mgmt_gpio_out (0.006::0.006)) + (INTERCONNECT gpio_control_in_1\[9\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[17] (0.987::0.987)) + (INTERCONNECT gpio_control_in_1\[9\]/one gpio_control_in_1\[9\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_ana_en padframe/mprj_io_analog_en[17] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[17] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[17] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_dm[0] padframe/mprj_io_dm[51] (0.010::0.010)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_dm[1] padframe/mprj_io_dm[52] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_dm[2] padframe/mprj_io_dm[53] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_holdover padframe/mprj_io_holdover[17] (0.009::0.009)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[17] (0.008::0.008)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_inenb padframe/mprj_io_inp_dis[17] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_out padframe/mprj_io_out[17] (0.011::0.011)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_outenb padframe/mprj_io_oeb[17] (0.007::0.007)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[17] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1\[9\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[17] (0.007::0.007)) + (INTERCONNECT gpio_control_in_1\[9\]/resetn_out gpio_control_bidir_2\[2\]/resetn (3.315::3.315)) + (INTERCONNECT gpio_control_in_1\[9\]/resetn_out gpio_control_in_1\[10\]/resetn (0.335::0.335)) + (INTERCONNECT gpio_control_in_1\[9\]/serial_clock_out gpio_control_bidir_2\[2\]/serial_clock (3.794::3.794)) + (INTERCONNECT gpio_control_in_1\[9\]/serial_clock_out gpio_control_in_1\[10\]/serial_clock (0.352::0.352)) + (INTERCONNECT gpio_control_in_1\[9\]/serial_data_out gpio_control_in_1\[10\]/serial_data_in (0.008::0.008)) + (INTERCONNECT gpio_control_in_1\[9\]/serial_load_out gpio_control_bidir_2\[2\]/serial_load (3.515::3.515)) + (INTERCONNECT gpio_control_in_1\[9\]/serial_load_out gpio_control_in_1\[10\]/serial_load (0.348::0.348)) + (INTERCONNECT gpio_control_in_1\[9\]/user_gpio_in mprj/io_in[17] (0.021::0.021)) + (INTERCONNECT gpio_control_in_1a\[0\]/mgmt_gpio_in gpio_control_in_1a\[0\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[2] (0.006::0.006)) + (INTERCONNECT gpio_control_in_1a\[0\]/one gpio_control_in_1a\[0\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[7] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[8] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[2] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_out padframe/mprj_io_out[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[2] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[2] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[0\]/resetn_out gpio_control_in_1a\[1\]/resetn (0.029::0.029)) + (INTERCONNECT gpio_control_in_1a\[0\]/resetn_out gpio_control_in_2\[3\]/resetn (2.433::2.433)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_clock_out gpio_control_in_1a\[1\]/serial_clock (0.252::0.252)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_clock_out gpio_control_in_2\[3\]/serial_clock (2.304::2.304)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_data_out gpio_control_in_1a\[1\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_load_out gpio_control_in_1a\[1\]/serial_load (0.208::0.208)) + (INTERCONNECT gpio_control_in_1a\[0\]/serial_load_out gpio_control_in_2\[3\]/serial_load (2.712::2.712)) + (INTERCONNECT gpio_control_in_1a\[0\]/user_gpio_in mprj/io_in[2] (0.056::0.056)) + (INTERCONNECT gpio_control_in_1a\[1\]/mgmt_gpio_in gpio_control_in_1a\[1\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[3] (0.012::0.012)) + (INTERCONNECT gpio_control_in_1a\[1\]/one gpio_control_in_1a\[1\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[3] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[9] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[10] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[11] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[3] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_out padframe/mprj_io_out[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[3] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[3] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[3] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[1\]/resetn_out gpio_control_in_1a\[2\]/resetn (0.275::0.275)) + (INTERCONNECT gpio_control_in_1a\[1\]/resetn_out gpio_control_in_2\[4\]/resetn (2.531::2.531)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_clock_out gpio_control_in_1a\[2\]/serial_clock (0.247::0.247)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_clock_out gpio_control_in_2\[4\]/serial_clock (2.721::2.721)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_data_out gpio_control_in_1a\[2\]/serial_data_in (0.003::0.003)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_load_out gpio_control_in_1a\[2\]/serial_load (0.251::0.251)) + (INTERCONNECT gpio_control_in_1a\[1\]/serial_load_out gpio_control_in_2\[4\]/serial_load (2.815::2.815)) + (INTERCONNECT gpio_control_in_1a\[1\]/user_gpio_in mprj/io_in[3] (0.051::0.051)) + (INTERCONNECT gpio_control_in_1a\[2\]/mgmt_gpio_in gpio_control_in_1a\[2\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[2\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[4] (0.021::0.021)) + (INTERCONNECT gpio_control_in_1a\[2\]/one gpio_control_in_1a\[2\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_ana_en padframe/mprj_io_analog_en[4] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_dm[0] padframe/mprj_io_dm[12] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_dm[1] padframe/mprj_io_dm[13] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_dm[2] padframe/mprj_io_dm[14] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_holdover padframe/mprj_io_holdover[4] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[4] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_inenb padframe/mprj_io_inp_dis[4] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_out padframe/mprj_io_out[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_outenb padframe/mprj_io_oeb[4] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[4] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1a\[2\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[4] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[2\]/resetn_out gpio_control_in_1a\[3\]/resetn (0.231::0.231)) + (INTERCONNECT gpio_control_in_1a\[2\]/resetn_out gpio_control_in_2\[5\]/resetn (2.332::2.332)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_clock_out gpio_control_in_1a\[3\]/serial_clock (0.246::0.246)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_clock_out gpio_control_in_2\[5\]/serial_clock (2.564::2.564)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_data_out gpio_control_in_1a\[3\]/serial_data_in (0.007::0.007)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_load_out gpio_control_in_1a\[3\]/serial_load (0.282::0.282)) + (INTERCONNECT gpio_control_in_1a\[2\]/serial_load_out gpio_control_in_2\[5\]/serial_load (2.724::2.724)) + (INTERCONNECT gpio_control_in_1a\[2\]/user_gpio_in mprj/io_in[4] (0.041::0.041)) + (INTERCONNECT gpio_control_in_1a\[3\]/mgmt_gpio_in gpio_control_in_1a\[3\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[3\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[5] (0.021::0.021)) + (INTERCONNECT gpio_control_in_1a\[3\]/one gpio_control_in_1a\[3\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_ana_en padframe/mprj_io_analog_en[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_dm[0] padframe/mprj_io_dm[15] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_dm[1] padframe/mprj_io_dm[16] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_dm[2] padframe/mprj_io_dm[17] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_holdover padframe/mprj_io_holdover[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[5] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_inenb padframe/mprj_io_inp_dis[5] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_out padframe/mprj_io_out[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_outenb padframe/mprj_io_oeb[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[5] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[3\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[5] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[3\]/resetn_out gpio_control_in_1a\[4\]/resetn (0.005::0.005)) + (INTERCONNECT gpio_control_in_1a\[3\]/resetn_out gpio_control_in_2\[6\]/resetn (0.492::0.492)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_clock_out gpio_control_in_1a\[4\]/serial_clock (0.005::0.005)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_clock_out gpio_control_in_2\[6\]/serial_clock (0.560::0.560)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_data_out gpio_control_in_1a\[4\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_load_out gpio_control_in_1a\[4\]/serial_load (0.005::0.005)) + (INTERCONNECT gpio_control_in_1a\[3\]/serial_load_out gpio_control_in_2\[6\]/serial_load (0.580::0.580)) + (INTERCONNECT gpio_control_in_1a\[3\]/user_gpio_in mprj/io_in[5] (0.066::0.066)) + (INTERCONNECT gpio_control_in_1a\[4\]/mgmt_gpio_in gpio_control_in_1a\[4\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[6] (0.031::0.031)) + (INTERCONNECT gpio_control_in_1a\[4\]/one gpio_control_in_1a\[4\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_ana_en padframe/mprj_io_analog_en[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_dm[0] padframe/mprj_io_dm[18] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_dm[1] padframe/mprj_io_dm[19] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_dm[2] padframe/mprj_io_dm[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_holdover padframe/mprj_io_holdover[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[6] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_inenb padframe/mprj_io_inp_dis[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_out padframe/mprj_io_out[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_outenb padframe/mprj_io_oeb[6] (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[6] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[4\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[6] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[4\]/resetn_out gpio_control_in_1a\[5\]/resetn (0.022::0.022)) + (INTERCONNECT gpio_control_in_1a\[4\]/resetn_out gpio_control_in_2\[7\]/resetn (0.458::0.458)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_clock_out gpio_control_in_1a\[5\]/serial_clock (0.025::0.025)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_clock_out gpio_control_in_2\[7\]/serial_clock (0.509::0.509)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_data_out gpio_control_in_1a\[5\]/serial_data_in (0.012::0.012)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_load_out gpio_control_in_1a\[5\]/serial_load (0.405::0.405)) + (INTERCONNECT gpio_control_in_1a\[4\]/serial_load_out gpio_control_in_2\[7\]/serial_load (2.515::2.515)) + (INTERCONNECT gpio_control_in_1a\[4\]/user_gpio_in mprj/io_in[6] (0.026::0.026)) + (INTERCONNECT gpio_control_in_1a\[5\]/mgmt_gpio_in gpio_control_in_1a\[5\]/mgmt_gpio_out (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[5\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[7] (0.040::0.040)) + (INTERCONNECT gpio_control_in_1a\[5\]/one gpio_control_in_1a\[5\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_ana_en padframe/mprj_io_analog_en[7] (0.016::0.016)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[7] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[7] (0.001::0.001)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_dm[0] padframe/mprj_io_dm[21] (0.018::0.018)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_dm[1] padframe/mprj_io_dm[22] (0.015::0.015)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_dm[2] padframe/mprj_io_dm[23] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_holdover padframe/mprj_io_holdover[7] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[7] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_inenb padframe/mprj_io_inp_dis[7] (0.013::0.013)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_out padframe/mprj_io_out[7] (0.020::0.020)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_outenb padframe/mprj_io_oeb[7] (0.003::0.003)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[7] (0.008::0.008)) + (INTERCONNECT gpio_control_in_1a\[5\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[7] (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[5\]/resetn_out gpio_control_in_1\[0\]/resetn (0.007::0.007)) + (INTERCONNECT gpio_control_in_1a\[5\]/resetn_out gpio_control_in_2\[8\]/resetn (2.435::2.435)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_clock_out gpio_control_in_1\[0\]/serial_clock (0.005::0.005)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_clock_out gpio_control_in_2\[8\]/serial_clock (0.469::0.469)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_data_out gpio_control_in_1\[0\]/serial_data_in (0.002::0.002)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_load_out gpio_control_in_1\[0\]/serial_load (0.271::0.271)) + (INTERCONNECT gpio_control_in_1a\[5\]/serial_load_out gpio_control_in_2\[8\]/serial_load (2.606::2.606)) + (INTERCONNECT gpio_control_in_1a\[5\]/user_gpio_in mprj/io_in[7] (0.021::0.021)) + (INTERCONNECT gpio_control_in_2\[0\]/mgmt_gpio_in gpio_control_in_2\[0\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[0\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[19] (1.223::1.223)) + (INTERCONNECT gpio_control_in_2\[0\]/one gpio_control_in_2\[0\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_ana_en padframe/mprj_io_analog_en[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_dm[0] padframe/mprj_io_dm[57] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_dm[1] padframe/mprj_io_dm[58] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_dm[2] padframe/mprj_io_dm[59] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_holdover padframe/mprj_io_holdover[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[19] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_inenb padframe/mprj_io_inp_dis[19] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_out padframe/mprj_io_out[19] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_outenb padframe/mprj_io_oeb[19] (0.007::0.007)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[19] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[0\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[19] (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[0\]/resetn_out gpio_control_bidir_1\[1\]/resetn (4.151::4.151)) + (INTERCONNECT gpio_control_in_2\[0\]/resetn_out gpio_control_in_2\[1\]/resetn (0.055::0.055)) + (INTERCONNECT gpio_control_in_2\[0\]/serial_clock_out gpio_control_bidir_1\[1\]/serial_clock (4.198::4.198)) + (INTERCONNECT gpio_control_in_2\[0\]/serial_clock_out gpio_control_in_2\[1\]/serial_clock (0.058::0.058)) + (INTERCONNECT gpio_control_in_2\[0\]/serial_load_out gpio_control_bidir_1\[1\]/serial_load (3.409::3.409)) + (INTERCONNECT gpio_control_in_2\[0\]/serial_load_out gpio_control_in_2\[1\]/serial_load (0.038::0.038)) + (INTERCONNECT gpio_control_in_2\[0\]/user_gpio_in mprj/io_in[19] (0.014::0.014)) + (INTERCONNECT gpio_control_in_2\[10\]/mgmt_gpio_in gpio_control_in_2\[10\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[10\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[29] (1.250::1.250)) + (INTERCONNECT gpio_control_in_2\[10\]/one gpio_control_in_2\[10\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_ana_en padframe/mprj_io_analog_en[29] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[29] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[29] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_dm[0] padframe/mprj_io_dm[87] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_dm[1] padframe/mprj_io_dm[88] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_dm[2] padframe/mprj_io_dm[89] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_holdover padframe/mprj_io_holdover[29] (0.017::0.017)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[29] (0.017::0.017)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_inenb padframe/mprj_io_inp_dis[29] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_out padframe/mprj_io_out[29] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_outenb padframe/mprj_io_oeb[29] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[29] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[10\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[29] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[10\]/resetn_out gpio_control_in_1\[3\]/resetn (3.880::3.880)) + (INTERCONNECT gpio_control_in_2\[10\]/resetn_out gpio_control_in_2\[11\]/resetn (0.027::0.027)) + (INTERCONNECT gpio_control_in_2\[10\]/serial_clock_out gpio_control_in_1\[3\]/serial_clock (4.428::4.428)) + (INTERCONNECT gpio_control_in_2\[10\]/serial_clock_out gpio_control_in_2\[11\]/serial_clock (0.443::0.443)) + (INTERCONNECT gpio_control_in_2\[10\]/serial_data_out gpio_control_in_2\[9\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[10\]/serial_load_out gpio_control_in_1\[3\]/serial_load (3.859::3.859)) + (INTERCONNECT gpio_control_in_2\[10\]/serial_load_out gpio_control_in_2\[11\]/serial_load (0.018::0.018)) + (INTERCONNECT gpio_control_in_2\[10\]/user_gpio_in mprj/io_in[29] (0.008::0.008)) + (INTERCONNECT gpio_control_in_2\[11\]/mgmt_gpio_in gpio_control_in_2\[11\]/mgmt_gpio_out (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[11\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[30] (1.348::1.348)) + (INTERCONNECT gpio_control_in_2\[11\]/one gpio_control_in_2\[11\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_ana_en padframe/mprj_io_analog_en[30] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[30] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[30] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_dm[0] padframe/mprj_io_dm[90] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_dm[1] padframe/mprj_io_dm[91] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_dm[2] padframe/mprj_io_dm[92] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_holdover padframe/mprj_io_holdover[30] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[30] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_inenb padframe/mprj_io_inp_dis[30] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_out padframe/mprj_io_out[30] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_outenb padframe/mprj_io_oeb[30] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[30] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[11\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[30] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[11\]/resetn_out gpio_control_in_1\[4\]/resetn (0.768::0.768)) + (INTERCONNECT gpio_control_in_2\[11\]/resetn_out gpio_control_in_2\[12\]/resetn (0.014::0.014)) + (INTERCONNECT gpio_control_in_2\[11\]/serial_clock_out gpio_control_in_1\[4\]/serial_clock (0.922::0.922)) + (INTERCONNECT gpio_control_in_2\[11\]/serial_clock_out gpio_control_in_2\[12\]/serial_clock (0.012::0.012)) + (INTERCONNECT gpio_control_in_2\[11\]/serial_data_out gpio_control_in_2\[10\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[11\]/serial_load_out gpio_control_in_1\[4\]/serial_load (0.825::0.825)) + (INTERCONNECT gpio_control_in_2\[11\]/serial_load_out gpio_control_in_2\[12\]/serial_load (0.010::0.010)) + (INTERCONNECT gpio_control_in_2\[11\]/user_gpio_in mprj/io_in[30] (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[12\]/mgmt_gpio_in gpio_control_in_2\[12\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[12\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[31] (1.226::1.226)) + (INTERCONNECT gpio_control_in_2\[12\]/one gpio_control_in_2\[12\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_ana_en padframe/mprj_io_analog_en[31] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[31] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[31] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_dm[0] padframe/mprj_io_dm[93] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_dm[1] padframe/mprj_io_dm[94] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_dm[2] padframe/mprj_io_dm[95] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_holdover padframe/mprj_io_holdover[31] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[31] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_inenb padframe/mprj_io_inp_dis[31] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_out padframe/mprj_io_out[31] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_outenb padframe/mprj_io_oeb[31] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[31] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[12\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[31] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[12\]/resetn_out gpio_control_in_1\[5\]/resetn (4.995::4.995)) + (INTERCONNECT gpio_control_in_2\[12\]/resetn_out gpio_control_in_2\[13\]/resetn (0.656::0.656)) + (INTERCONNECT gpio_control_in_2\[12\]/serial_clock_out gpio_control_in_1\[5\]/serial_clock (3.407::3.407)) + (INTERCONNECT gpio_control_in_2\[12\]/serial_clock_out gpio_control_in_2\[13\]/serial_clock (0.092::0.092)) + (INTERCONNECT gpio_control_in_2\[12\]/serial_data_out gpio_control_in_2\[11\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[12\]/serial_load_out gpio_control_in_1\[5\]/serial_load (1.200::1.200)) + (INTERCONNECT gpio_control_in_2\[12\]/serial_load_out gpio_control_in_2\[13\]/serial_load (0.094::0.094)) + (INTERCONNECT gpio_control_in_2\[12\]/user_gpio_in mprj/io_in[31] (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[13\]/mgmt_gpio_in gpio_control_in_2\[13\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[13\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[32] (0.684::0.684)) + (INTERCONNECT gpio_control_in_2\[13\]/one gpio_control_in_2\[13\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_ana_en padframe/mprj_io_analog_en[32] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[32] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[32] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_dm[0] padframe/mprj_io_dm[96] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_dm[1] padframe/mprj_io_dm[97] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_dm[2] padframe/mprj_io_dm[98] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_holdover padframe/mprj_io_holdover[32] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[32] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_inenb padframe/mprj_io_inp_dis[32] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_out padframe/mprj_io_out[32] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_outenb padframe/mprj_io_oeb[32] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[32] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[13\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[32] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[13\]/resetn_out gpio_control_in_1\[6\]/resetn (5.062::5.062)) + (INTERCONNECT gpio_control_in_2\[13\]/resetn_out gpio_control_in_2\[14\]/resetn (0.080::0.080)) + (INTERCONNECT gpio_control_in_2\[13\]/serial_clock_out gpio_control_in_1\[6\]/serial_clock (6.091::6.091)) + (INTERCONNECT gpio_control_in_2\[13\]/serial_clock_out gpio_control_in_2\[14\]/serial_clock (0.592::0.592)) + (INTERCONNECT gpio_control_in_2\[13\]/serial_data_out gpio_control_in_2\[12\]/serial_data_in (0.007::0.007)) + (INTERCONNECT gpio_control_in_2\[13\]/serial_load_out gpio_control_in_1\[6\]/serial_load (6.050::6.050)) + (INTERCONNECT gpio_control_in_2\[13\]/serial_load_out gpio_control_in_2\[14\]/serial_load (0.563::0.563)) + (INTERCONNECT gpio_control_in_2\[13\]/user_gpio_in mprj/io_in[32] (0.023::0.023)) + (INTERCONNECT gpio_control_in_2\[14\]/mgmt_gpio_in gpio_control_in_2\[14\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[14\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[33] (0.604::0.604)) + (INTERCONNECT gpio_control_in_2\[14\]/one gpio_control_in_2\[14\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_ana_en padframe/mprj_io_analog_en[33] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[33] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[33] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_dm[0] padframe/mprj_io_dm[99] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_dm[1] padframe/mprj_io_dm[100] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_dm[2] padframe/mprj_io_dm[101] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_holdover padframe/mprj_io_holdover[33] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[33] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_inenb padframe/mprj_io_inp_dis[33] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_out padframe/mprj_io_out[33] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_outenb padframe/mprj_io_oeb[33] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[33] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[14\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[33] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[14\]/resetn_out gpio_control_in_1\[7\]/resetn (5.015::5.015)) + (INTERCONNECT gpio_control_in_2\[14\]/resetn_out gpio_control_in_2\[15\]/resetn (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[14\]/serial_clock_out gpio_control_in_1\[7\]/serial_clock (4.905::4.905)) + (INTERCONNECT gpio_control_in_2\[14\]/serial_clock_out gpio_control_in_2\[15\]/serial_clock (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[14\]/serial_data_out gpio_control_in_2\[13\]/serial_data_in (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[14\]/serial_load_out gpio_control_in_1\[7\]/serial_load (4.049::4.049)) + (INTERCONNECT gpio_control_in_2\[14\]/serial_load_out gpio_control_in_2\[15\]/serial_load (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[14\]/user_gpio_in mprj/io_in[33] (0.030::0.030)) + (INTERCONNECT gpio_control_in_2\[15\]/mgmt_gpio_in gpio_control_in_2\[15\]/mgmt_gpio_out (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[15\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[34] (0.461::0.461)) + (INTERCONNECT gpio_control_in_2\[15\]/one gpio_control_in_2\[15\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_ana_en padframe/mprj_io_analog_en[34] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[34] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[34] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_dm[0] padframe/mprj_io_dm[102] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_dm[1] padframe/mprj_io_dm[103] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_dm[2] padframe/mprj_io_dm[104] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_holdover padframe/mprj_io_holdover[34] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[34] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_inenb padframe/mprj_io_inp_dis[34] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_out padframe/mprj_io_out[34] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_outenb padframe/mprj_io_oeb[34] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[34] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[15\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[34] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[15\]/resetn_out gpio_control_bidir_2\[0\]/resetn (0.016::0.016)) + (INTERCONNECT gpio_control_in_2\[15\]/resetn_out gpio_control_in_1\[8\]/resetn (4.225::4.225)) + (INTERCONNECT gpio_control_in_2\[15\]/serial_clock_out gpio_control_bidir_2\[0\]/serial_clock (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[15\]/serial_clock_out gpio_control_in_1\[8\]/serial_clock (0.997::0.997)) + (INTERCONNECT gpio_control_in_2\[15\]/serial_data_out gpio_control_in_2\[14\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[15\]/serial_load_out gpio_control_bidir_2\[0\]/serial_load (0.028::0.028)) + (INTERCONNECT gpio_control_in_2\[15\]/serial_load_out gpio_control_in_1\[8\]/serial_load (3.773::3.773)) + (INTERCONNECT gpio_control_in_2\[15\]/user_gpio_in mprj/io_in[34] (0.024::0.024)) + (INTERCONNECT gpio_control_in_2\[1\]/mgmt_gpio_in gpio_control_in_2\[1\]/mgmt_gpio_out (0.015::0.015)) + (INTERCONNECT gpio_control_in_2\[1\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[20] (1.450::1.450)) + (INTERCONNECT gpio_control_in_2\[1\]/one gpio_control_in_2\[1\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_ana_en padframe/mprj_io_analog_en[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_dm[0] padframe/mprj_io_dm[60] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_dm[1] padframe/mprj_io_dm[61] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_dm[2] padframe/mprj_io_dm[62] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_holdover padframe/mprj_io_holdover[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[20] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_inenb padframe/mprj_io_inp_dis[20] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_out padframe/mprj_io_out[20] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_outenb padframe/mprj_io_oeb[20] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[20] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[1\]/resetn_out gpio_control_in_1a\[0\]/resetn (3.224::3.224)) + (INTERCONNECT gpio_control_in_2\[1\]/resetn_out gpio_control_in_2\[2\]/resetn (0.093::0.093)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_clock_out gpio_control_in_1a\[0\]/serial_clock (4.034::4.034)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_clock_out gpio_control_in_2\[2\]/serial_clock (0.085::0.085)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_data_out gpio_control_in_2\[0\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_load_out gpio_control_in_1a\[0\]/serial_load (4.077::4.077)) + (INTERCONNECT gpio_control_in_2\[1\]/serial_load_out gpio_control_in_2\[2\]/serial_load (0.086::0.086)) + (INTERCONNECT gpio_control_in_2\[1\]/user_gpio_in mprj/io_in[20] (0.006::0.006)) + (INTERCONNECT gpio_control_in_2\[2\]/mgmt_gpio_in gpio_control_in_2\[2\]/mgmt_gpio_out (0.013::0.013)) + (INTERCONNECT gpio_control_in_2\[2\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[21] (1.508::1.508)) + (INTERCONNECT gpio_control_in_2\[2\]/one gpio_control_in_2\[2\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_ana_en padframe/mprj_io_analog_en[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[21] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_dm[0] padframe/mprj_io_dm[63] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_dm[1] padframe/mprj_io_dm[64] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_dm[2] padframe/mprj_io_dm[65] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_holdover padframe/mprj_io_holdover[21] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[21] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_inenb padframe/mprj_io_inp_dis[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_out padframe/mprj_io_out[21] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_outenb padframe/mprj_io_oeb[21] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[21] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[2\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[21] (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[2\]/resetn_out gpio_control_in_1a\[1\]/resetn (3.184::3.184)) + (INTERCONNECT gpio_control_in_2\[2\]/resetn_out gpio_control_in_2\[3\]/resetn (0.055::0.055)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_clock_out gpio_control_in_1a\[1\]/serial_clock (4.052::4.052)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_clock_out gpio_control_in_2\[3\]/serial_clock (0.054::0.054)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_data_out gpio_control_in_2\[1\]/serial_data_in (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_load_out gpio_control_in_1a\[1\]/serial_load (2.588::2.588)) + (INTERCONNECT gpio_control_in_2\[2\]/serial_load_out gpio_control_in_2\[3\]/serial_load (0.050::0.050)) + (INTERCONNECT gpio_control_in_2\[2\]/user_gpio_in mprj/io_in[21] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[3\]/mgmt_gpio_in gpio_control_in_2\[3\]/mgmt_gpio_out (0.010::0.010)) + (INTERCONNECT gpio_control_in_2\[3\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[22] (1.635::1.635)) + (INTERCONNECT gpio_control_in_2\[3\]/one gpio_control_in_2\[3\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_ana_en padframe/mprj_io_analog_en[22] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[22] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[22] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_dm[0] padframe/mprj_io_dm[66] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_dm[1] padframe/mprj_io_dm[67] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_dm[2] padframe/mprj_io_dm[68] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_holdover padframe/mprj_io_holdover[22] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[22] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_inenb padframe/mprj_io_inp_dis[22] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_out padframe/mprj_io_out[22] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_outenb padframe/mprj_io_oeb[22] (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[22] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[3\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[22] (0.006::0.006)) + (INTERCONNECT gpio_control_in_2\[3\]/resetn_out gpio_control_in_1a\[2\]/resetn (4.278::4.278)) + (INTERCONNECT gpio_control_in_2\[3\]/resetn_out gpio_control_in_2\[4\]/resetn (0.061::0.061)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_clock_out gpio_control_in_1a\[2\]/serial_clock (3.464::3.464)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_clock_out gpio_control_in_2\[4\]/serial_clock (0.051::0.051)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_data_out gpio_control_in_2\[2\]/serial_data_in (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_load_out gpio_control_in_1a\[2\]/serial_load (3.504::3.504)) + (INTERCONNECT gpio_control_in_2\[3\]/serial_load_out gpio_control_in_2\[4\]/serial_load (0.044::0.044)) + (INTERCONNECT gpio_control_in_2\[3\]/user_gpio_in mprj/io_in[22] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[4\]/mgmt_gpio_in gpio_control_in_2\[4\]/mgmt_gpio_out (0.009::0.009)) + (INTERCONNECT gpio_control_in_2\[4\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[23] (1.546::1.546)) + (INTERCONNECT gpio_control_in_2\[4\]/one gpio_control_in_2\[4\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_ana_en padframe/mprj_io_analog_en[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_dm[0] padframe/mprj_io_dm[69] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_dm[1] padframe/mprj_io_dm[70] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_dm[2] padframe/mprj_io_dm[71] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_holdover padframe/mprj_io_holdover[23] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[23] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_inenb padframe/mprj_io_inp_dis[23] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_out padframe/mprj_io_out[23] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_outenb padframe/mprj_io_oeb[23] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[4\]/resetn_out gpio_control_in_1a\[3\]/resetn (3.928::3.928)) + (INTERCONNECT gpio_control_in_2\[4\]/resetn_out gpio_control_in_2\[5\]/resetn (0.155::0.155)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_clock_out gpio_control_in_1a\[3\]/serial_clock (4.153::4.153)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_clock_out gpio_control_in_2\[5\]/serial_clock (0.169::0.169)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_data_out gpio_control_in_2\[3\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_load_out gpio_control_in_1a\[3\]/serial_load (4.489::4.489)) + (INTERCONNECT gpio_control_in_2\[4\]/serial_load_out gpio_control_in_2\[5\]/serial_load (0.161::0.161)) + (INTERCONNECT gpio_control_in_2\[4\]/user_gpio_in mprj/io_in[23] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[5\]/mgmt_gpio_in gpio_control_in_2\[5\]/mgmt_gpio_out (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[5\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[24] (2.135::2.135)) + (INTERCONNECT gpio_control_in_2\[5\]/one gpio_control_in_2\[5\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_ana_en padframe/mprj_io_analog_en[24] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[24] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[24] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_dm[0] padframe/mprj_io_dm[72] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_dm[1] padframe/mprj_io_dm[73] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_dm[2] padframe/mprj_io_dm[74] (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_holdover padframe/mprj_io_holdover[24] (0.006::0.006)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[24] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_inenb padframe/mprj_io_inp_dis[24] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_out padframe/mprj_io_out[24] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_outenb padframe/mprj_io_oeb[24] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[24] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[5\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[24] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[5\]/resetn_out gpio_control_in_1a\[4\]/resetn (4.040::4.040)) + (INTERCONNECT gpio_control_in_2\[5\]/resetn_out gpio_control_in_2\[6\]/resetn (0.239::0.239)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_clock_out gpio_control_in_1a\[4\]/serial_clock (4.775::4.775)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_clock_out gpio_control_in_2\[6\]/serial_clock (0.885::0.885)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_data_out gpio_control_in_2\[4\]/serial_data_in (0.038::0.038)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_load_out gpio_control_in_1a\[4\]/serial_load (4.676::4.676)) + (INTERCONNECT gpio_control_in_2\[5\]/serial_load_out gpio_control_in_2\[6\]/serial_load (0.841::0.841)) + (INTERCONNECT gpio_control_in_2\[5\]/user_gpio_in mprj/io_in[24] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[6\]/mgmt_gpio_in gpio_control_in_2\[6\]/mgmt_gpio_out (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[6\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[25] (1.774::1.774)) + (INTERCONNECT gpio_control_in_2\[6\]/one gpio_control_in_2\[6\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_ana_en padframe/mprj_io_analog_en[25] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[25] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[25] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_dm[0] padframe/mprj_io_dm[75] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_dm[1] padframe/mprj_io_dm[76] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_dm[2] padframe/mprj_io_dm[77] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_holdover padframe/mprj_io_holdover[25] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[25] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_inenb padframe/mprj_io_inp_dis[25] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_out padframe/mprj_io_out[25] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_outenb padframe/mprj_io_oeb[25] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[25] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[6\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[25] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[6\]/resetn_out gpio_control_in_1a\[5\]/resetn (4.025::4.025)) + (INTERCONNECT gpio_control_in_2\[6\]/resetn_out gpio_control_in_2\[7\]/resetn (0.305::0.305)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_clock_out gpio_control_in_1a\[5\]/serial_clock (4.067::4.067)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_clock_out gpio_control_in_2\[7\]/serial_clock (0.602::0.602)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_data_out gpio_control_in_2\[5\]/serial_data_in (0.033::0.033)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_load_out gpio_control_in_1a\[5\]/serial_load (4.013::4.013)) + (INTERCONNECT gpio_control_in_2\[6\]/serial_load_out gpio_control_in_2\[7\]/serial_load (0.017::0.017)) + (INTERCONNECT gpio_control_in_2\[6\]/user_gpio_in mprj/io_in[25] (0.012::0.012)) + (INTERCONNECT gpio_control_in_2\[7\]/mgmt_gpio_in gpio_control_in_2\[7\]/mgmt_gpio_out (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[7\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[26] (1.908::1.908)) + (INTERCONNECT gpio_control_in_2\[7\]/one gpio_control_in_2\[7\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_ana_en padframe/mprj_io_analog_en[26] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[26] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[26] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_dm[0] padframe/mprj_io_dm[78] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_dm[1] padframe/mprj_io_dm[79] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_dm[2] padframe/mprj_io_dm[80] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_holdover padframe/mprj_io_holdover[26] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[26] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_inenb padframe/mprj_io_inp_dis[26] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_out padframe/mprj_io_out[26] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_outenb padframe/mprj_io_oeb[26] (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[26] (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[7\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[26] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[7\]/resetn_out gpio_control_in_1\[0\]/resetn (4.312::4.312)) + (INTERCONNECT gpio_control_in_2\[7\]/resetn_out gpio_control_in_2\[8\]/resetn (0.044::0.044)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_clock_out gpio_control_in_1\[0\]/serial_clock (2.381::2.381)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_clock_out gpio_control_in_2\[8\]/serial_clock (0.239::0.239)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_data_out gpio_control_in_2\[6\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_load_out gpio_control_in_1\[0\]/serial_load (2.236::2.236)) + (INTERCONNECT gpio_control_in_2\[7\]/serial_load_out gpio_control_in_2\[8\]/serial_load (0.029::0.029)) + (INTERCONNECT gpio_control_in_2\[7\]/user_gpio_in mprj/io_in[26] (0.027::0.027)) + (INTERCONNECT gpio_control_in_2\[8\]/mgmt_gpio_in gpio_control_in_2\[8\]/mgmt_gpio_out (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[8\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[27] (1.768::1.768)) + (INTERCONNECT gpio_control_in_2\[8\]/one gpio_control_in_2\[8\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_ana_en padframe/mprj_io_analog_en[27] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[27] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[27] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_dm[0] padframe/mprj_io_dm[81] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_dm[1] padframe/mprj_io_dm[82] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_dm[2] padframe/mprj_io_dm[83] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_holdover padframe/mprj_io_holdover[27] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[27] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_inenb padframe/mprj_io_inp_dis[27] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_out padframe/mprj_io_out[27] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_outenb padframe/mprj_io_oeb[27] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[27] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[8\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[27] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[8\]/resetn_out gpio_control_in_1\[1\]/resetn (5.742::5.742)) + (INTERCONNECT gpio_control_in_2\[8\]/resetn_out gpio_control_in_2\[9\]/resetn (0.261::0.261)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_clock_out gpio_control_in_1\[1\]/serial_clock (4.778::4.778)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_clock_out gpio_control_in_2\[9\]/serial_clock (0.081::0.081)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_data_out gpio_control_in_2\[7\]/serial_data_in (0.004::0.004)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_load_out gpio_control_in_1\[1\]/serial_load (2.002::2.002)) + (INTERCONNECT gpio_control_in_2\[8\]/serial_load_out gpio_control_in_2\[9\]/serial_load (0.018::0.018)) + (INTERCONNECT gpio_control_in_2\[8\]/user_gpio_in mprj/io_in[27] (0.010::0.010)) + (INTERCONNECT gpio_control_in_2\[9\]/mgmt_gpio_in gpio_control_in_2\[9\]/mgmt_gpio_out (0.003::0.003)) + (INTERCONNECT gpio_control_in_2\[9\]/mgmt_gpio_in housekeeping/mgmt_gpio_in[28] (1.618::1.618)) + (INTERCONNECT gpio_control_in_2\[9\]/one gpio_control_in_2\[9\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_ana_en padframe/mprj_io_analog_en[28] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_ana_pol padframe/mprj_io_analog_pol[28] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_ana_sel padframe/mprj_io_analog_sel[28] (0.013::0.013)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_dm[0] padframe/mprj_io_dm[84] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_dm[1] padframe/mprj_io_dm[85] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_dm[2] padframe/mprj_io_dm[86] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_holdover padframe/mprj_io_holdover[28] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_ib_mode_sel padframe/mprj_io_ib_mode_sel[28] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_inenb padframe/mprj_io_inp_dis[28] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_out padframe/mprj_io_out[28] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_outenb padframe/mprj_io_oeb[28] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_slow_sel padframe/mprj_io_slow_sel[28] (0.001::0.001)) + (INTERCONNECT gpio_control_in_2\[9\]/pad_gpio_vtrip_sel padframe/mprj_io_vtrip_sel[28] (0.002::0.002)) + (INTERCONNECT gpio_control_in_2\[9\]/resetn_out gpio_control_in_1\[2\]/resetn (5.545::5.545)) + (INTERCONNECT gpio_control_in_2\[9\]/resetn_out gpio_control_in_2\[10\]/resetn (0.551::0.551)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_clock_out gpio_control_in_1\[2\]/serial_clock (4.998::4.998)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_clock_out gpio_control_in_2\[10\]/serial_clock (0.014::0.014)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_data_out gpio_control_in_2\[8\]/serial_data_in (0.005::0.005)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_load_out gpio_control_in_1\[2\]/serial_load (5.028::5.028)) + (INTERCONNECT gpio_control_in_2\[9\]/serial_load_out gpio_control_in_2\[10\]/serial_load (0.007::0.007)) + (INTERCONNECT gpio_control_in_2\[9\]/user_gpio_in mprj/io_in[28] (0.013::0.013)) + (INTERCONNECT housekeeping/debug_in soc/debug_in (0.006::0.006)) + (INTERCONNECT housekeeping/irq[0] soc/irq[3] (0.004::0.004)) + (INTERCONNECT housekeeping/irq[1] soc/irq[4] (0.005::0.005)) + (INTERCONNECT housekeeping/irq[2] soc/irq[5] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_oeb[0] gpio_control_bidir_1\[0\]/mgmt_gpio_oeb (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_oeb[1] gpio_control_bidir_1\[1\]/mgmt_gpio_oeb (0.010::0.010)) + (INTERCONNECT housekeeping/mgmt_gpio_oeb[35] gpio_control_bidir_2\[0\]/mgmt_gpio_oeb (0.270::0.270)) + (INTERCONNECT housekeeping/mgmt_gpio_oeb[36] gpio_control_bidir_2\[1\]/mgmt_gpio_oeb (2.005::2.005)) + (INTERCONNECT housekeeping/mgmt_gpio_oeb[37] gpio_control_bidir_2\[2\]/mgmt_gpio_oeb (1.360::1.360)) + (INTERCONNECT housekeeping/mgmt_gpio_out[0] gpio_control_bidir_1\[0\]/mgmt_gpio_out (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[10] gpio_control_in_1\[2\]/mgmt_gpio_out (0.688::0.688)) + (INTERCONNECT housekeeping/mgmt_gpio_out[10] housekeeping/mgmt_gpio_in[10] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[11] gpio_control_in_1\[3\]/mgmt_gpio_out (0.652::0.652)) + (INTERCONNECT housekeeping/mgmt_gpio_out[11] housekeeping/mgmt_gpio_in[11] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[12] gpio_control_in_1\[4\]/mgmt_gpio_out (0.480::0.480)) + (INTERCONNECT housekeeping/mgmt_gpio_out[12] housekeeping/mgmt_gpio_in[12] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[13] gpio_control_in_1\[5\]/mgmt_gpio_out (0.908::0.908)) + (INTERCONNECT housekeeping/mgmt_gpio_out[13] housekeeping/mgmt_gpio_in[13] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[14] gpio_control_in_1\[6\]/mgmt_gpio_out (1.796::1.796)) + (INTERCONNECT housekeeping/mgmt_gpio_out[14] housekeeping/mgmt_gpio_in[14] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[15] gpio_control_in_1\[7\]/mgmt_gpio_out (2.353::2.353)) + (INTERCONNECT housekeeping/mgmt_gpio_out[15] housekeeping/mgmt_gpio_in[15] (0.004::0.004)) + (INTERCONNECT housekeeping/mgmt_gpio_out[16] gpio_control_in_1\[8\]/mgmt_gpio_out (2.783::2.783)) + (INTERCONNECT housekeeping/mgmt_gpio_out[16] housekeeping/mgmt_gpio_in[16] (0.004::0.004)) + (INTERCONNECT housekeeping/mgmt_gpio_out[17] gpio_control_in_1\[9\]/mgmt_gpio_out (3.569::3.569)) + (INTERCONNECT housekeeping/mgmt_gpio_out[17] housekeeping/mgmt_gpio_in[17] (0.005::0.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[18] gpio_control_in_1\[10\]/mgmt_gpio_out (3.432::3.432)) + (INTERCONNECT housekeeping/mgmt_gpio_out[18] housekeeping/mgmt_gpio_in[18] (0.005::0.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[19] gpio_control_in_2\[0\]/mgmt_gpio_out (3.480::3.480)) + (INTERCONNECT housekeeping/mgmt_gpio_out[19] housekeeping/mgmt_gpio_in[19] (0.005::0.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[1] gpio_control_bidir_1\[1\]/mgmt_gpio_out (0.007::0.007)) + (INTERCONNECT housekeeping/mgmt_gpio_out[20] gpio_control_in_2\[1\]/mgmt_gpio_out (4.358::4.358)) + (INTERCONNECT housekeeping/mgmt_gpio_out[20] housekeeping/mgmt_gpio_in[20] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[21] gpio_control_in_2\[2\]/mgmt_gpio_out (4.029::4.029)) + (INTERCONNECT housekeeping/mgmt_gpio_out[21] housekeeping/mgmt_gpio_in[21] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[22] gpio_control_in_2\[3\]/mgmt_gpio_out (4.419::4.419)) + (INTERCONNECT housekeeping/mgmt_gpio_out[22] housekeeping/mgmt_gpio_in[22] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[23] gpio_control_in_2\[4\]/mgmt_gpio_out (4.093::4.093)) + (INTERCONNECT housekeeping/mgmt_gpio_out[23] housekeeping/mgmt_gpio_in[23] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[24] gpio_control_in_2\[5\]/mgmt_gpio_out (4.652::4.652)) + (INTERCONNECT housekeeping/mgmt_gpio_out[24] housekeeping/mgmt_gpio_in[24] (0.007::0.007)) + (INTERCONNECT housekeeping/mgmt_gpio_out[25] gpio_control_in_2\[6\]/mgmt_gpio_out (3.670::3.670)) + (INTERCONNECT housekeeping/mgmt_gpio_out[25] housekeeping/mgmt_gpio_in[25] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[26] gpio_control_in_2\[7\]/mgmt_gpio_out (4.023::4.023)) + (INTERCONNECT housekeeping/mgmt_gpio_out[26] housekeeping/mgmt_gpio_in[26] (0.007::0.007)) + (INTERCONNECT housekeeping/mgmt_gpio_out[27] gpio_control_in_2\[8\]/mgmt_gpio_out (3.808::3.808)) + (INTERCONNECT housekeeping/mgmt_gpio_out[27] housekeeping/mgmt_gpio_in[27] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[28] gpio_control_in_2\[9\]/mgmt_gpio_out (3.571::3.571)) + (INTERCONNECT housekeeping/mgmt_gpio_out[28] housekeeping/mgmt_gpio_in[28] (0.007::0.007)) + (INTERCONNECT housekeeping/mgmt_gpio_out[29] gpio_control_in_2\[10\]/mgmt_gpio_out (2.697::2.697)) + (INTERCONNECT housekeeping/mgmt_gpio_out[29] housekeeping/mgmt_gpio_in[29] (0.005::0.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[2] gpio_control_in_1a\[0\]/mgmt_gpio_out (0.025::0.025)) + (INTERCONNECT housekeeping/mgmt_gpio_out[2] housekeeping/mgmt_gpio_in[2] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[30] gpio_control_in_2\[11\]/mgmt_gpio_out (3.023::3.023)) + (INTERCONNECT housekeeping/mgmt_gpio_out[30] housekeeping/mgmt_gpio_in[30] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[31] gpio_control_in_2\[12\]/mgmt_gpio_out (2.672::2.672)) + (INTERCONNECT housekeeping/mgmt_gpio_out[31] housekeeping/mgmt_gpio_in[31] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[32] gpio_control_in_2\[13\]/mgmt_gpio_out (1.669::1.669)) + (INTERCONNECT housekeeping/mgmt_gpio_out[32] housekeeping/mgmt_gpio_in[32] (0.005::0.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[33] gpio_control_in_2\[14\]/mgmt_gpio_out (2.325::2.325)) + (INTERCONNECT housekeeping/mgmt_gpio_out[33] housekeeping/mgmt_gpio_in[33] (0.005::0.005)) + (INTERCONNECT housekeeping/mgmt_gpio_out[34] gpio_control_in_2\[15\]/mgmt_gpio_out (2.227::2.227)) + (INTERCONNECT housekeeping/mgmt_gpio_out[34] housekeeping/mgmt_gpio_in[34] (0.006::0.006)) + (INTERCONNECT housekeeping/mgmt_gpio_out[35] gpio_control_bidir_2\[0\]/mgmt_gpio_out (1.333::1.333)) + (INTERCONNECT housekeeping/mgmt_gpio_out[36] gpio_control_bidir_2\[1\]/mgmt_gpio_out (2.003::2.003)) + (INTERCONNECT housekeeping/mgmt_gpio_out[37] gpio_control_bidir_2\[2\]/mgmt_gpio_out (0.580::0.580)) + (INTERCONNECT housekeeping/mgmt_gpio_out[3] gpio_control_in_1a\[1\]/mgmt_gpio_out (0.055::0.055)) + (INTERCONNECT housekeeping/mgmt_gpio_out[3] housekeeping/mgmt_gpio_in[3] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[4] gpio_control_in_1a\[2\]/mgmt_gpio_out (0.078::0.078)) + (INTERCONNECT housekeeping/mgmt_gpio_out[4] housekeeping/mgmt_gpio_in[4] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[5] gpio_control_in_1a\[3\]/mgmt_gpio_out (0.154::0.154)) + (INTERCONNECT housekeeping/mgmt_gpio_out[5] housekeeping/mgmt_gpio_in[5] (0.001::0.001)) + (INTERCONNECT housekeeping/mgmt_gpio_out[6] gpio_control_in_1a\[4\]/mgmt_gpio_out (0.200::0.200)) + (INTERCONNECT housekeeping/mgmt_gpio_out[6] housekeeping/mgmt_gpio_in[6] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[7] gpio_control_in_1a\[5\]/mgmt_gpio_out (0.365::0.365)) + (INTERCONNECT housekeeping/mgmt_gpio_out[7] housekeeping/mgmt_gpio_in[7] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[8] gpio_control_in_1\[0\]/mgmt_gpio_out (0.475::0.475)) + (INTERCONNECT housekeeping/mgmt_gpio_out[8] housekeeping/mgmt_gpio_in[8] (0.000::0.000)) + (INTERCONNECT housekeeping/mgmt_gpio_out[9] gpio_control_in_1\[1\]/mgmt_gpio_out (0.574::0.574)) + (INTERCONNECT housekeeping/mgmt_gpio_out[9] housekeeping/mgmt_gpio_in[9] (0.000::0.000)) + (INTERCONNECT housekeeping/pad_flash_clk padframe/flash_clk_core (0.149::0.149)) + (INTERCONNECT housekeeping/pad_flash_clk_oeb padframe/flash_clk_oeb_core (0.310::0.310)) + (INTERCONNECT housekeeping/pad_flash_csb padframe/flash_csb_core (0.182::0.182)) + (INTERCONNECT housekeeping/pad_flash_csb_oeb padframe/flash_csb_oeb_core (0.433::0.433)) + (INTERCONNECT housekeeping/pad_flash_io0_do padframe/flash_io0_do_core (0.091::0.091)) + (INTERCONNECT housekeeping/pad_flash_io0_ieb padframe/flash_io0_ieb_core (0.082::0.082)) + (INTERCONNECT housekeeping/pad_flash_io0_oeb padframe/flash_io0_oeb_core (0.168::0.168)) + (INTERCONNECT housekeeping/pad_flash_io1_do padframe/flash_io1_do_core (0.080::0.080)) + (INTERCONNECT housekeeping/pad_flash_io1_ieb padframe/flash_io1_ieb_core (0.051::0.051)) + (INTERCONNECT housekeeping/pad_flash_io1_oeb padframe/flash_io1_oeb_core (0.070::0.070)) + (INTERCONNECT housekeeping/pll90_sel[0] clocking/sel2[0] (0.948::0.948)) + (INTERCONNECT housekeeping/pll90_sel[1] clocking/sel2[1] (0.945::0.945)) + (INTERCONNECT housekeeping/pll90_sel[2] clocking/sel2[2] (0.941::0.941)) + (INTERCONNECT housekeeping/pll_bypass clocking/ext_clk_sel (0.893::0.893)) + (INTERCONNECT housekeeping/pll_dco_ena pll/dco (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[0] pll/div[0] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[1] pll/div[1] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[2] pll/div[2] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[3] pll/div[3] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_div[4] pll/div[4] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_ena pll/enable (0.001::0.001)) + (INTERCONNECT housekeeping/pll_sel[0] clocking/sel[0] (0.917::0.917)) + (INTERCONNECT housekeeping/pll_sel[1] clocking/sel[1] (0.943::0.943)) + (INTERCONNECT housekeeping/pll_sel[2] clocking/sel[2] (0.940::0.940)) + (INTERCONNECT housekeeping/pll_trim[0] pll/ext_trim[0] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[10] pll/ext_trim[10] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[11] pll/ext_trim[11] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[12] pll/ext_trim[12] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[13] pll/ext_trim[13] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[14] pll/ext_trim[14] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[15] pll/ext_trim[15] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[16] pll/ext_trim[16] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[17] pll/ext_trim[17] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[18] pll/ext_trim[18] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[19] pll/ext_trim[19] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[1] pll/ext_trim[1] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[20] pll/ext_trim[20] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[21] pll/ext_trim[21] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[22] pll/ext_trim[22] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_trim[23] pll/ext_trim[23] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_trim[24] pll/ext_trim[24] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_trim[25] pll/ext_trim[25] (0.001::0.001)) + (INTERCONNECT housekeeping/pll_trim[2] pll/ext_trim[2] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[3] pll/ext_trim[3] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[4] pll/ext_trim[4] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[5] pll/ext_trim[5] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[6] pll/ext_trim[6] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[7] pll/ext_trim[7] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[8] pll/ext_trim[8] (0.000::0.000)) + (INTERCONNECT housekeeping/pll_trim[9] pll/ext_trim[9] (0.000::0.000)) + (INTERCONNECT housekeeping/reset clocking/ext_reset (0.729::0.729)) + (INTERCONNECT housekeeping/ser_rx soc/ser_rx (0.005::0.005)) + (INTERCONNECT housekeeping/serial_clock gpio_control_bidir_1\[0\]/serial_clock (0.145::0.145)) + (INTERCONNECT housekeeping/serial_clock gpio_control_in_2\[0\]/serial_clock (4.601::4.601)) + (INTERCONNECT housekeeping/serial_data_1 gpio_control_bidir_1\[0\]/serial_data_in (0.001::0.001)) + (INTERCONNECT housekeeping/serial_data_2 gpio_control_bidir_2\[2\]/serial_data_in (0.512::0.512)) + (INTERCONNECT housekeeping/serial_load gpio_control_bidir_1\[0\]/serial_load (0.136::0.136)) + (INTERCONNECT housekeeping/serial_load gpio_control_in_2\[0\]/serial_load (4.870::4.870)) + (INTERCONNECT housekeeping/serial_resetn gpio_control_bidir_1\[0\]/resetn (0.126::0.126)) + (INTERCONNECT housekeeping/serial_resetn gpio_control_in_2\[0\]/resetn (4.238::4.238)) + (INTERCONNECT housekeeping/spi_sdi soc/spi_sdi (0.004::0.004)) + (INTERCONNECT housekeeping/spimemio_flash_io0_di soc/flash_io0_di (0.001::0.001)) + (INTERCONNECT housekeeping/spimemio_flash_io1_di soc/flash_io1_di (0.001::0.001)) + (INTERCONNECT housekeeping/spimemio_flash_io2_di soc/flash_io2_di (0.002::0.002)) + (INTERCONNECT housekeeping/spimemio_flash_io3_di soc/flash_io3_di (0.002::0.002)) + (INTERCONNECT housekeeping/sram_ro_addr[0] soc/sram_ro_addr[0] (0.009::0.009)) + (INTERCONNECT housekeeping/sram_ro_addr[1] soc/sram_ro_addr[1] (0.011::0.011)) + (INTERCONNECT housekeeping/sram_ro_addr[2] soc/sram_ro_addr[2] (0.010::0.010)) + (INTERCONNECT housekeeping/sram_ro_addr[3] soc/sram_ro_addr[3] (0.011::0.011)) + (INTERCONNECT housekeeping/sram_ro_addr[4] soc/sram_ro_addr[4] (0.010::0.010)) + (INTERCONNECT housekeeping/sram_ro_addr[5] soc/sram_ro_addr[5] (0.011::0.011)) + (INTERCONNECT housekeeping/sram_ro_addr[6] soc/sram_ro_addr[6] (0.010::0.010)) + (INTERCONNECT housekeeping/sram_ro_addr[7] soc/sram_ro_addr[7] (0.008::0.008)) + (INTERCONNECT housekeeping/sram_ro_clk soc/sram_ro_clk (0.010::0.010)) + (INTERCONNECT housekeeping/sram_ro_csb soc/sram_ro_csb (0.008::0.008)) + (INTERCONNECT housekeeping/wb_ack_o soc/hk_ack_i (0.004::0.004)) + (INTERCONNECT housekeeping/wb_dat_o[0] soc/hk_dat_i[0] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[10] soc/hk_dat_i[10] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[11] soc/hk_dat_i[11] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[12] soc/hk_dat_i[12] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[13] soc/hk_dat_i[13] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[14] soc/hk_dat_i[14] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[15] soc/hk_dat_i[15] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[16] soc/hk_dat_i[16] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[17] soc/hk_dat_i[17] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[18] soc/hk_dat_i[18] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[19] soc/hk_dat_i[19] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[1] soc/hk_dat_i[1] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[20] soc/hk_dat_i[20] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[21] soc/hk_dat_i[21] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[22] soc/hk_dat_i[22] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[23] soc/hk_dat_i[23] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[24] soc/hk_dat_i[24] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[25] soc/hk_dat_i[25] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[26] soc/hk_dat_i[26] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[27] soc/hk_dat_i[27] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[28] soc/hk_dat_i[28] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[29] soc/hk_dat_i[29] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[2] soc/hk_dat_i[2] (0.004::0.004)) + (INTERCONNECT housekeeping/wb_dat_o[30] soc/hk_dat_i[30] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[31] soc/hk_dat_i[31] (0.001::0.001)) + (INTERCONNECT housekeeping/wb_dat_o[3] soc/hk_dat_i[3] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[4] soc/hk_dat_i[4] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[5] soc/hk_dat_i[5] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[6] soc/hk_dat_i[6] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[7] soc/hk_dat_i[7] (0.002::0.002)) + (INTERCONNECT housekeeping/wb_dat_o[8] soc/hk_dat_i[8] (0.003::0.003)) + (INTERCONNECT housekeeping/wb_dat_o[9] soc/hk_dat_i[9] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[0] mprj/la_data_in[0] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_data_in_core[100] mprj/la_data_in[100] (0.161::0.161)) + (INTERCONNECT mgmt_buffers/la_data_in_core[101] mprj/la_data_in[101] (0.166::0.166)) + (INTERCONNECT mgmt_buffers/la_data_in_core[102] mprj/la_data_in[102] (0.171::0.171)) + (INTERCONNECT mgmt_buffers/la_data_in_core[103] mprj/la_data_in[103] (0.175::0.175)) + (INTERCONNECT mgmt_buffers/la_data_in_core[104] mprj/la_data_in[104] (0.178::0.178)) + (INTERCONNECT mgmt_buffers/la_data_in_core[105] mprj/la_data_in[105] (0.183::0.183)) + (INTERCONNECT mgmt_buffers/la_data_in_core[106] mprj/la_data_in[106] (0.188::0.188)) + (INTERCONNECT mgmt_buffers/la_data_in_core[107] mprj/la_data_in[107] (0.192::0.192)) + (INTERCONNECT mgmt_buffers/la_data_in_core[108] mprj/la_data_in[108] (0.198::0.198)) + (INTERCONNECT mgmt_buffers/la_data_in_core[109] mprj/la_data_in[109] (0.026::0.026)) + (INTERCONNECT mgmt_buffers/la_data_in_core[10] mprj/la_data_in[10] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_core[110] mprj/la_data_in[110] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/la_data_in_core[111] mprj/la_data_in[111] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_data_in_core[112] mprj/la_data_in[112] (0.210::0.210)) + (INTERCONNECT mgmt_buffers/la_data_in_core[113] mprj/la_data_in[113] (0.039::0.039)) + (INTERCONNECT mgmt_buffers/la_data_in_core[114] mprj/la_data_in[114] (0.040::0.040)) + (INTERCONNECT mgmt_buffers/la_data_in_core[115] mprj/la_data_in[115] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_data_in_core[116] mprj/la_data_in[116] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_data_in_core[117] mprj/la_data_in[117] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/la_data_in_core[118] mprj/la_data_in[118] (0.043::0.043)) + (INTERCONNECT mgmt_buffers/la_data_in_core[119] mprj/la_data_in[119] (0.044::0.044)) + (INTERCONNECT mgmt_buffers/la_data_in_core[11] mprj/la_data_in[11] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[120] mprj/la_data_in[120] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/la_data_in_core[121] mprj/la_data_in[121] (0.219::0.219)) + (INTERCONNECT mgmt_buffers/la_data_in_core[122] mprj/la_data_in[122] (0.056::0.056)) + (INTERCONNECT mgmt_buffers/la_data_in_core[123] mprj/la_data_in[123] (0.246::0.246)) + (INTERCONNECT mgmt_buffers/la_data_in_core[124] mprj/la_data_in[124] (0.058::0.058)) + (INTERCONNECT mgmt_buffers/la_data_in_core[125] mprj/la_data_in[125] (0.260::0.260)) + (INTERCONNECT mgmt_buffers/la_data_in_core[126] mprj/la_data_in[126] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_data_in_core[127] mprj/la_data_in[127] (0.193::0.193)) + (INTERCONNECT mgmt_buffers/la_data_in_core[12] mprj/la_data_in[12] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_core[13] mprj/la_data_in[13] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_core[14] mprj/la_data_in[14] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[15] mprj/la_data_in[15] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[16] mprj/la_data_in[16] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[17] mprj/la_data_in[17] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[18] mprj/la_data_in[18] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[19] mprj/la_data_in[19] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[1] mprj/la_data_in[1] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_data_in_core[20] mprj/la_data_in[20] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_core[21] mprj/la_data_in[21] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_core[22] mprj/la_data_in[22] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_core[23] mprj/la_data_in[23] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_core[24] mprj/la_data_in[24] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_core[25] mprj/la_data_in[25] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[26] mprj/la_data_in[26] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[27] mprj/la_data_in[27] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_core[28] mprj/la_data_in[28] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[29] mprj/la_data_in[29] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[2] mprj/la_data_in[2] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_data_in_core[30] mprj/la_data_in[30] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_core[31] mprj/la_data_in[31] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_core[32] mprj/la_data_in[32] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_core[33] mprj/la_data_in[33] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_core[34] mprj/la_data_in[34] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_data_in_core[35] mprj/la_data_in[35] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_core[36] mprj/la_data_in[36] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_core[37] mprj/la_data_in[37] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_core[38] mprj/la_data_in[38] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_core[39] mprj/la_data_in[39] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_core[3] mprj/la_data_in[3] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_core[40] mprj/la_data_in[40] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_core[41] mprj/la_data_in[41] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_data_in_core[42] mprj/la_data_in[42] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_core[43] mprj/la_data_in[43] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_data_in_core[44] mprj/la_data_in[44] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_core[45] mprj/la_data_in[45] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_data_in_core[46] mprj/la_data_in[46] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_data_in_core[47] mprj/la_data_in[47] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_data_in_core[48] mprj/la_data_in[48] (0.019::0.019)) + (INTERCONNECT mgmt_buffers/la_data_in_core[49] mprj/la_data_in[49] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_data_in_core[4] mprj/la_data_in[4] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_core[50] mprj/la_data_in[50] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/la_data_in_core[51] mprj/la_data_in[51] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_data_in_core[52] mprj/la_data_in[52] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/la_data_in_core[53] mprj/la_data_in[53] (0.026::0.026)) + (INTERCONNECT mgmt_buffers/la_data_in_core[54] mprj/la_data_in[54] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/la_data_in_core[55] mprj/la_data_in[55] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_data_in_core[56] mprj/la_data_in[56] (0.028::0.028)) + (INTERCONNECT mgmt_buffers/la_data_in_core[57] mprj/la_data_in[57] (0.031::0.031)) + (INTERCONNECT mgmt_buffers/la_data_in_core[58] mprj/la_data_in[58] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/la_data_in_core[59] mprj/la_data_in[59] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/la_data_in_core[5] mprj/la_data_in[5] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_core[60] mprj/la_data_in[60] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/la_data_in_core[61] mprj/la_data_in[61] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/la_data_in_core[62] mprj/la_data_in[62] (0.039::0.039)) + (INTERCONNECT mgmt_buffers/la_data_in_core[63] mprj/la_data_in[63] (0.033::0.033)) + (INTERCONNECT mgmt_buffers/la_data_in_core[64] mprj/la_data_in[64] (0.048::0.048)) + (INTERCONNECT mgmt_buffers/la_data_in_core[65] mprj/la_data_in[65] (0.050::0.050)) + (INTERCONNECT mgmt_buffers/la_data_in_core[66] mprj/la_data_in[66] (0.046::0.046)) + (INTERCONNECT mgmt_buffers/la_data_in_core[67] mprj/la_data_in[67] (0.046::0.046)) + (INTERCONNECT mgmt_buffers/la_data_in_core[68] mprj/la_data_in[68] (0.051::0.051)) + (INTERCONNECT mgmt_buffers/la_data_in_core[69] mprj/la_data_in[69] (0.055::0.055)) + (INTERCONNECT mgmt_buffers/la_data_in_core[6] mprj/la_data_in[6] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_core[70] mprj/la_data_in[70] (0.062::0.062)) + (INTERCONNECT mgmt_buffers/la_data_in_core[71] mprj/la_data_in[71] (0.064::0.064)) + (INTERCONNECT mgmt_buffers/la_data_in_core[72] mprj/la_data_in[72] (0.066::0.066)) + (INTERCONNECT mgmt_buffers/la_data_in_core[73] mprj/la_data_in[73] (0.069::0.069)) + (INTERCONNECT mgmt_buffers/la_data_in_core[74] mprj/la_data_in[74] (0.072::0.072)) + (INTERCONNECT mgmt_buffers/la_data_in_core[75] mprj/la_data_in[75] (0.073::0.073)) + (INTERCONNECT mgmt_buffers/la_data_in_core[76] mprj/la_data_in[76] (0.076::0.076)) + (INTERCONNECT mgmt_buffers/la_data_in_core[77] mprj/la_data_in[77] (0.080::0.080)) + (INTERCONNECT mgmt_buffers/la_data_in_core[78] mprj/la_data_in[78] (0.051::0.051)) + (INTERCONNECT mgmt_buffers/la_data_in_core[79] mprj/la_data_in[79] (0.086::0.086)) + (INTERCONNECT mgmt_buffers/la_data_in_core[7] mprj/la_data_in[7] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_core[80] mprj/la_data_in[80] (0.086::0.086)) + (INTERCONNECT mgmt_buffers/la_data_in_core[81] mprj/la_data_in[81] (0.091::0.091)) + (INTERCONNECT mgmt_buffers/la_data_in_core[82] mprj/la_data_in[82] (0.093::0.093)) + (INTERCONNECT mgmt_buffers/la_data_in_core[83] mprj/la_data_in[83] (0.098::0.098)) + (INTERCONNECT mgmt_buffers/la_data_in_core[84] mprj/la_data_in[84] (0.100::0.100)) + (INTERCONNECT mgmt_buffers/la_data_in_core[85] mprj/la_data_in[85] (0.105::0.105)) + (INTERCONNECT mgmt_buffers/la_data_in_core[86] mprj/la_data_in[86] (0.106::0.106)) + (INTERCONNECT mgmt_buffers/la_data_in_core[87] mprj/la_data_in[87] (0.111::0.111)) + (INTERCONNECT mgmt_buffers/la_data_in_core[88] mprj/la_data_in[88] (0.114::0.114)) + (INTERCONNECT mgmt_buffers/la_data_in_core[89] mprj/la_data_in[89] (0.117::0.117)) + (INTERCONNECT mgmt_buffers/la_data_in_core[8] mprj/la_data_in[8] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_core[90] mprj/la_data_in[90] (0.079::0.079)) + (INTERCONNECT mgmt_buffers/la_data_in_core[91] mprj/la_data_in[91] (0.123::0.123)) + (INTERCONNECT mgmt_buffers/la_data_in_core[92] mprj/la_data_in[92] (0.129::0.129)) + (INTERCONNECT mgmt_buffers/la_data_in_core[93] mprj/la_data_in[93] (0.131::0.131)) + (INTERCONNECT mgmt_buffers/la_data_in_core[94] mprj/la_data_in[94] (0.136::0.136)) + (INTERCONNECT mgmt_buffers/la_data_in_core[95] mprj/la_data_in[95] (0.138::0.138)) + (INTERCONNECT mgmt_buffers/la_data_in_core[96] mprj/la_data_in[96] (0.144::0.144)) + (INTERCONNECT mgmt_buffers/la_data_in_core[97] mprj/la_data_in[97] (0.145::0.145)) + (INTERCONNECT mgmt_buffers/la_data_in_core[98] mprj/la_data_in[98] (0.152::0.152)) + (INTERCONNECT mgmt_buffers/la_data_in_core[99] mprj/la_data_in[99] (0.153::0.153)) + (INTERCONNECT mgmt_buffers/la_data_in_core[9] mprj/la_data_in[9] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[0] soc/la_input[0] (0.094::0.094)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[100] soc/la_input[100] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[101] soc/la_input[101] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[102] soc/la_input[102] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[103] soc/la_input[103] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[104] soc/la_input[104] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[105] soc/la_input[105] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[106] soc/la_input[106] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[107] soc/la_input[107] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[108] soc/la_input[108] (0.029::0.029)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[109] soc/la_input[109] (0.031::0.031)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[10] soc/la_input[10] (0.031::0.031)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[110] soc/la_input[110] (0.031::0.031)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[111] soc/la_input[111] (0.033::0.033)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[112] soc/la_input[112] (0.035::0.035)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[113] soc/la_input[113] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[114] soc/la_input[114] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[115] soc/la_input[115] (0.039::0.039)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[116] soc/la_input[116] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[117] soc/la_input[117] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[118] soc/la_input[118] (0.045::0.045)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[119] soc/la_input[119] (0.046::0.046)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[11] soc/la_input[11] (0.065::0.065)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[120] soc/la_input[120] (0.048::0.048)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[121] soc/la_input[121] (0.051::0.051)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[122] soc/la_input[122] (0.053::0.053)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[123] soc/la_input[123] (0.054::0.054)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[124] soc/la_input[124] (0.058::0.058)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[125] soc/la_input[125] (0.058::0.058)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[126] soc/la_input[126] (0.052::0.052)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[127] soc/la_input[127] (0.047::0.047)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[12] soc/la_input[12] (0.028::0.028)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[13] soc/la_input[13] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[14] soc/la_input[14] (0.064::0.064)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[15] soc/la_input[15] (0.068::0.068)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[16] soc/la_input[16] (0.064::0.064)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[17] soc/la_input[17] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[18] soc/la_input[18] (0.059::0.059)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[19] soc/la_input[19] (0.059::0.059)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[1] soc/la_input[1] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[20] soc/la_input[20] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[21] soc/la_input[21] (0.055::0.055)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[22] soc/la_input[22] (0.052::0.052)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[23] soc/la_input[23] (0.049::0.049)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[24] soc/la_input[24] (0.049::0.049)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[25] soc/la_input[25] (0.046::0.046)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[26] soc/la_input[26] (0.044::0.044)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[27] soc/la_input[27] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[28] soc/la_input[28] (0.040::0.040)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[29] soc/la_input[29] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[2] soc/la_input[2] (0.075::0.075)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[30] soc/la_input[30] (0.037::0.037)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[31] soc/la_input[31] (0.035::0.035)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[32] soc/la_input[32] (0.035::0.035)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[33] soc/la_input[33] (0.032::0.032)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[34] soc/la_input[34] (0.031::0.031)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[35] soc/la_input[35] (0.029::0.029)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[36] soc/la_input[36] (0.028::0.028)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[37] soc/la_input[37] (0.026::0.026)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[38] soc/la_input[38] (0.025::0.025)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[39] soc/la_input[39] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[3] soc/la_input[3] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[40] soc/la_input[40] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[41] soc/la_input[41] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[42] soc/la_input[42] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[43] soc/la_input[43] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[44] soc/la_input[44] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[45] soc/la_input[45] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[46] soc/la_input[46] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[47] soc/la_input[47] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[48] soc/la_input[48] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[49] soc/la_input[49] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[4] soc/la_input[4] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[50] soc/la_input[50] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[51] soc/la_input[51] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[52] soc/la_input[52] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[53] soc/la_input[53] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[54] soc/la_input[54] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[55] soc/la_input[55] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[56] soc/la_input[56] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[57] soc/la_input[57] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[58] soc/la_input[58] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[59] soc/la_input[59] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[5] soc/la_input[5] (0.019::0.019)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[60] soc/la_input[60] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[61] soc/la_input[61] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[62] soc/la_input[62] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[63] soc/la_input[63] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[64] soc/la_input[64] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[65] soc/la_input[65] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[66] soc/la_input[66] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[67] soc/la_input[67] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[68] soc/la_input[68] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[69] soc/la_input[69] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[6] soc/la_input[6] (0.087::0.087)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[70] soc/la_input[70] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[71] soc/la_input[71] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[72] soc/la_input[72] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[73] soc/la_input[73] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[74] soc/la_input[74] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[75] soc/la_input[75] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[76] soc/la_input[76] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[77] soc/la_input[77] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[78] soc/la_input[78] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[79] soc/la_input[79] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[7] soc/la_input[7] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[80] soc/la_input[80] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[81] soc/la_input[81] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[82] soc/la_input[82] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[83] soc/la_input[83] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[84] soc/la_input[84] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[85] soc/la_input[85] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[86] soc/la_input[86] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[87] soc/la_input[87] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[88] soc/la_input[88] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[89] soc/la_input[89] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[8] soc/la_input[8] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[90] soc/la_input[90] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[91] soc/la_input[91] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[92] soc/la_input[92] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[93] soc/la_input[93] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[94] soc/la_input[94] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[95] soc/la_input[95] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[96] soc/la_input[96] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[97] soc/la_input[97] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[98] soc/la_input[98] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[99] soc/la_input[99] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/la_data_in_mprj[9] soc/la_input[9] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_oenb_core[0] mprj/la_oenb[0] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_oenb_core[100] mprj/la_oenb[100] (0.165::0.165)) + (INTERCONNECT mgmt_buffers/la_oenb_core[101] mprj/la_oenb[101] (0.061::0.061)) + (INTERCONNECT mgmt_buffers/la_oenb_core[102] mprj/la_oenb[102] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/la_oenb_core[103] mprj/la_oenb[103] (0.034::0.034)) + (INTERCONNECT mgmt_buffers/la_oenb_core[104] mprj/la_oenb[104] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/la_oenb_core[105] mprj/la_oenb[105] (0.140::0.140)) + (INTERCONNECT mgmt_buffers/la_oenb_core[106] mprj/la_oenb[106] (0.190::0.190)) + (INTERCONNECT mgmt_buffers/la_oenb_core[107] mprj/la_oenb[107] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/la_oenb_core[108] mprj/la_oenb[108] (0.197::0.197)) + (INTERCONNECT mgmt_buffers/la_oenb_core[109] mprj/la_oenb[109] (0.201::0.201)) + (INTERCONNECT mgmt_buffers/la_oenb_core[10] mprj/la_oenb[10] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[110] mprj/la_oenb[110] (0.206::0.206)) + (INTERCONNECT mgmt_buffers/la_oenb_core[111] mprj/la_oenb[111] (0.212::0.212)) + (INTERCONNECT mgmt_buffers/la_oenb_core[112] mprj/la_oenb[112] (0.043::0.043)) + (INTERCONNECT mgmt_buffers/la_oenb_core[113] mprj/la_oenb[113] (0.222::0.222)) + (INTERCONNECT mgmt_buffers/la_oenb_core[114] mprj/la_oenb[114] (0.222::0.222)) + (INTERCONNECT mgmt_buffers/la_oenb_core[115] mprj/la_oenb[115] (0.231::0.231)) + (INTERCONNECT mgmt_buffers/la_oenb_core[116] mprj/la_oenb[116] (0.234::0.234)) + (INTERCONNECT mgmt_buffers/la_oenb_core[117] mprj/la_oenb[117] (0.043::0.043)) + (INTERCONNECT mgmt_buffers/la_oenb_core[118] mprj/la_oenb[118] (0.236::0.236)) + (INTERCONNECT mgmt_buffers/la_oenb_core[119] mprj/la_oenb[119] (0.045::0.045)) + (INTERCONNECT mgmt_buffers/la_oenb_core[11] mprj/la_oenb[11] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[120] mprj/la_oenb[120] (0.050::0.050)) + (INTERCONNECT mgmt_buffers/la_oenb_core[121] mprj/la_oenb[121] (0.256::0.256)) + (INTERCONNECT mgmt_buffers/la_oenb_core[122] mprj/la_oenb[122] (0.054::0.054)) + (INTERCONNECT mgmt_buffers/la_oenb_core[123] mprj/la_oenb[123] (0.050::0.050)) + (INTERCONNECT mgmt_buffers/la_oenb_core[124] mprj/la_oenb[124] (0.055::0.055)) + (INTERCONNECT mgmt_buffers/la_oenb_core[125] mprj/la_oenb[125] (0.280::0.280)) + (INTERCONNECT mgmt_buffers/la_oenb_core[126] mprj/la_oenb[126] (0.212::0.212)) + (INTERCONNECT mgmt_buffers/la_oenb_core[127] mprj/la_oenb[127] (0.055::0.055)) + (INTERCONNECT mgmt_buffers/la_oenb_core[12] mprj/la_oenb[12] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[13] mprj/la_oenb[13] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[14] mprj/la_oenb[14] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_oenb_core[15] mprj/la_oenb[15] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_oenb_core[16] mprj/la_oenb[16] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[17] mprj/la_oenb[17] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[18] mprj/la_oenb[18] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[19] mprj/la_oenb[19] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_oenb_core[1] mprj/la_oenb[1] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_oenb_core[20] mprj/la_oenb[20] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_oenb_core[21] mprj/la_oenb[21] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_oenb_core[22] mprj/la_oenb[22] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_oenb_core[23] mprj/la_oenb[23] (0.000::0.000)) + (INTERCONNECT mgmt_buffers/la_oenb_core[24] mprj/la_oenb[24] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[25] mprj/la_oenb[25] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[26] mprj/la_oenb[26] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[27] mprj/la_oenb[27] (0.001::0.001)) + (INTERCONNECT mgmt_buffers/la_oenb_core[28] mprj/la_oenb[28] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_oenb_core[29] mprj/la_oenb[29] (0.002::0.002)) + (INTERCONNECT mgmt_buffers/la_oenb_core[2] mprj/la_oenb[2] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_oenb_core[30] mprj/la_oenb[30] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[31] mprj/la_oenb[31] (0.003::0.003)) + (INTERCONNECT mgmt_buffers/la_oenb_core[32] mprj/la_oenb[32] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_oenb_core[33] mprj/la_oenb[33] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_oenb_core[34] mprj/la_oenb[34] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/la_oenb_core[35] mprj/la_oenb[35] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_oenb_core[36] mprj/la_oenb[36] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_oenb_core[37] mprj/la_oenb[37] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_oenb_core[38] mprj/la_oenb[38] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_oenb_core[39] mprj/la_oenb[39] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/la_oenb_core[3] mprj/la_oenb[3] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_oenb_core[40] mprj/la_oenb[40] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_oenb_core[41] mprj/la_oenb[41] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_oenb_core[42] mprj/la_oenb[42] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/la_oenb_core[43] mprj/la_oenb[43] (0.012::0.012)) + (INTERCONNECT mgmt_buffers/la_oenb_core[44] mprj/la_oenb[44] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/la_oenb_core[45] mprj/la_oenb[45] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/la_oenb_core[46] mprj/la_oenb[46] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/la_oenb_core[47] mprj/la_oenb[47] (0.019::0.019)) + (INTERCONNECT mgmt_buffers/la_oenb_core[48] mprj/la_oenb[48] (0.010::0.010)) + (INTERCONNECT mgmt_buffers/la_oenb_core[49] mprj/la_oenb[49] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/la_oenb_core[4] mprj/la_oenb[4] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/la_oenb_core[50] mprj/la_oenb[50] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_oenb_core[51] mprj/la_oenb[51] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/la_oenb_core[52] mprj/la_oenb[52] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_oenb_core[53] mprj/la_oenb[53] (0.028::0.028)) + (INTERCONNECT mgmt_buffers/la_oenb_core[54] mprj/la_oenb[54] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/la_oenb_core[55] mprj/la_oenb[55] (0.031::0.031)) + (INTERCONNECT mgmt_buffers/la_oenb_core[56] mprj/la_oenb[56] (0.033::0.033)) + (INTERCONNECT mgmt_buffers/la_oenb_core[57] mprj/la_oenb[57] (0.030::0.030)) + (INTERCONNECT mgmt_buffers/la_oenb_core[58] mprj/la_oenb[58] (0.037::0.037)) + (INTERCONNECT mgmt_buffers/la_oenb_core[59] mprj/la_oenb[59] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/la_oenb_core[5] mprj/la_oenb[5] (0.008::0.008)) + (INTERCONNECT mgmt_buffers/la_oenb_core[60] mprj/la_oenb[60] (0.040::0.040)) + (INTERCONNECT mgmt_buffers/la_oenb_core[61] mprj/la_oenb[61] (0.041::0.041)) + (INTERCONNECT mgmt_buffers/la_oenb_core[62] mprj/la_oenb[62] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/la_oenb_core[63] mprj/la_oenb[63] (0.037::0.037)) + (INTERCONNECT mgmt_buffers/la_oenb_core[64] mprj/la_oenb[64] (0.045::0.045)) + (INTERCONNECT mgmt_buffers/la_oenb_core[65] mprj/la_oenb[65] (0.040::0.040)) + (INTERCONNECT mgmt_buffers/la_oenb_core[66] mprj/la_oenb[66] (0.048::0.048)) + (INTERCONNECT mgmt_buffers/la_oenb_core[67] mprj/la_oenb[67] (0.043::0.043)) + (INTERCONNECT mgmt_buffers/la_oenb_core[68] mprj/la_oenb[68] (0.047::0.047)) + (INTERCONNECT mgmt_buffers/la_oenb_core[69] mprj/la_oenb[69] (0.061::0.061)) + (INTERCONNECT mgmt_buffers/la_oenb_core[6] mprj/la_oenb[6] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/la_oenb_core[70] mprj/la_oenb[70] (0.054::0.054)) + (INTERCONNECT mgmt_buffers/la_oenb_core[71] mprj/la_oenb[71] (0.066::0.066)) + (INTERCONNECT mgmt_buffers/la_oenb_core[72] mprj/la_oenb[72] (0.070::0.070)) + (INTERCONNECT mgmt_buffers/la_oenb_core[73] mprj/la_oenb[73] (0.071::0.071)) + (INTERCONNECT mgmt_buffers/la_oenb_core[74] mprj/la_oenb[74] (0.074::0.074)) + (INTERCONNECT mgmt_buffers/la_oenb_core[75] mprj/la_oenb[75] (0.077::0.077)) + (INTERCONNECT mgmt_buffers/la_oenb_core[76] mprj/la_oenb[76] (0.078::0.078)) + (INTERCONNECT mgmt_buffers/la_oenb_core[77] mprj/la_oenb[77] (0.084::0.084)) + (INTERCONNECT mgmt_buffers/la_oenb_core[78] mprj/la_oenb[78] (0.078::0.078)) + (INTERCONNECT mgmt_buffers/la_oenb_core[79] mprj/la_oenb[79] (0.089::0.089)) + (INTERCONNECT mgmt_buffers/la_oenb_core[7] mprj/la_oenb[7] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_oenb_core[80] mprj/la_oenb[80] (0.092::0.092)) + (INTERCONNECT mgmt_buffers/la_oenb_core[81] mprj/la_oenb[81] (0.095::0.095)) + (INTERCONNECT mgmt_buffers/la_oenb_core[82] mprj/la_oenb[82] (0.098::0.098)) + (INTERCONNECT mgmt_buffers/la_oenb_core[83] mprj/la_oenb[83] (0.102::0.102)) + (INTERCONNECT mgmt_buffers/la_oenb_core[84] mprj/la_oenb[84] (0.064::0.064)) + (INTERCONNECT mgmt_buffers/la_oenb_core[85] mprj/la_oenb[85] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/la_oenb_core[86] mprj/la_oenb[86] (0.107::0.107)) + (INTERCONNECT mgmt_buffers/la_oenb_core[87] mprj/la_oenb[87] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/la_oenb_core[88] mprj/la_oenb[88] (0.118::0.118)) + (INTERCONNECT mgmt_buffers/la_oenb_core[89] mprj/la_oenb[89] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/la_oenb_core[8] mprj/la_oenb[8] (0.005::0.005)) + (INTERCONNECT mgmt_buffers/la_oenb_core[90] mprj/la_oenb[90] (0.123::0.123)) + (INTERCONNECT mgmt_buffers/la_oenb_core[91] mprj/la_oenb[91] (0.025::0.025)) + (INTERCONNECT mgmt_buffers/la_oenb_core[92] mprj/la_oenb[92] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/la_oenb_core[93] mprj/la_oenb[93] (0.130::0.130)) + (INTERCONNECT mgmt_buffers/la_oenb_core[94] mprj/la_oenb[94] (0.029::0.029)) + (INTERCONNECT mgmt_buffers/la_oenb_core[95] mprj/la_oenb[95] (0.139::0.139)) + (INTERCONNECT mgmt_buffers/la_oenb_core[96] mprj/la_oenb[96] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/la_oenb_core[97] mprj/la_oenb[97] (0.150::0.150)) + (INTERCONNECT mgmt_buffers/la_oenb_core[98] mprj/la_oenb[98] (0.034::0.034)) + (INTERCONNECT mgmt_buffers/la_oenb_core[99] mprj/la_oenb[99] (0.122::0.122)) + (INTERCONNECT mgmt_buffers/la_oenb_core[9] mprj/la_oenb[9] (0.004::0.004)) + (INTERCONNECT mgmt_buffers/mprj_ack_i_core soc/mprj_ack_i (0.064::0.064)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[0] mprj/wbs_adr_i[0] (0.061::0.061)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[10] mprj/wbs_adr_i[10] (0.046::0.046)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[11] mprj/wbs_adr_i[11] (0.045::0.045)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[12] mprj/wbs_adr_i[12] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[13] mprj/wbs_adr_i[13] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[14] mprj/wbs_adr_i[14] (0.009::0.009)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[15] mprj/wbs_adr_i[15] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[16] mprj/wbs_adr_i[16] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[17] mprj/wbs_adr_i[17] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[18] mprj/wbs_adr_i[18] (0.032::0.032)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[19] mprj/wbs_adr_i[19] (0.033::0.033)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[1] mprj/wbs_adr_i[1] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[20] mprj/wbs_adr_i[20] (0.030::0.030)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[21] mprj/wbs_adr_i[21] (0.025::0.025)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[22] mprj/wbs_adr_i[22] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[23] mprj/wbs_adr_i[23] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[24] mprj/wbs_adr_i[24] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[25] mprj/wbs_adr_i[25] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[26] mprj/wbs_adr_i[26] (0.019::0.019)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[27] mprj/wbs_adr_i[27] (0.021::0.021)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[28] mprj/wbs_adr_i[28] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[29] mprj/wbs_adr_i[29] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[2] mprj/wbs_adr_i[2] (0.076::0.076)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[30] mprj/wbs_adr_i[30] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[31] mprj/wbs_adr_i[31] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[3] mprj/wbs_adr_i[3] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[4] mprj/wbs_adr_i[4] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[5] mprj/wbs_adr_i[5] (0.066::0.066)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[6] mprj/wbs_adr_i[6] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[7] mprj/wbs_adr_i[7] (0.060::0.060)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[8] mprj/wbs_adr_i[8] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/mprj_adr_o_user[9] mprj/wbs_adr_i[9] (0.055::0.055)) + (INTERCONNECT mgmt_buffers/mprj_cyc_o_user mprj/wbs_cyc_i (0.014::0.014)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[0] soc/mprj_dat_i[0] (0.057::0.057)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[10] soc/mprj_dat_i[10] (0.061::0.061)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[11] soc/mprj_dat_i[11] (0.071::0.071)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[12] soc/mprj_dat_i[12] (0.084::0.084)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[13] soc/mprj_dat_i[13] (0.074::0.074)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[14] soc/mprj_dat_i[14] (0.086::0.086)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[15] soc/mprj_dat_i[15] (0.079::0.079)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[16] soc/mprj_dat_i[16] (0.101::0.101)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[17] soc/mprj_dat_i[17] (0.080::0.080)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[18] soc/mprj_dat_i[18] (0.106::0.106)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[19] soc/mprj_dat_i[19] (0.086::0.086)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[1] soc/mprj_dat_i[1] (0.072::0.072)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[20] soc/mprj_dat_i[20] (0.103::0.103)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[21] soc/mprj_dat_i[21] (0.112::0.112)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[22] soc/mprj_dat_i[22] (0.088::0.088)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[23] soc/mprj_dat_i[23] (0.116::0.116)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[24] soc/mprj_dat_i[24] (0.121::0.121)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[25] soc/mprj_dat_i[25] (0.118::0.118)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[26] soc/mprj_dat_i[26] (0.121::0.121)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[27] soc/mprj_dat_i[27] (0.127::0.127)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[28] soc/mprj_dat_i[28] (0.130::0.130)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[29] soc/mprj_dat_i[29] (0.133::0.133)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[2] soc/mprj_dat_i[2] (0.073::0.073)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[30] soc/mprj_dat_i[30] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[31] soc/mprj_dat_i[31] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[3] soc/mprj_dat_i[3] (0.074::0.074)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[4] soc/mprj_dat_i[4] (0.070::0.070)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[5] soc/mprj_dat_i[5] (0.080::0.080)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[6] soc/mprj_dat_i[6] (0.082::0.082)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[7] soc/mprj_dat_i[7] (0.084::0.084)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[8] soc/mprj_dat_i[8] (0.074::0.074)) + (INTERCONNECT mgmt_buffers/mprj_dat_i_core[9] soc/mprj_dat_i[9] (0.088::0.088)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[0] mprj/wbs_dat_i[0] (0.077::0.077)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[10] mprj/wbs_dat_i[10] (0.054::0.054)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[11] mprj/wbs_dat_i[11] (0.045::0.045)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[12] mprj/wbs_dat_i[12] (0.011::0.011)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[13] mprj/wbs_dat_i[13] (0.045::0.045)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[14] mprj/wbs_dat_i[14] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[15] mprj/wbs_dat_i[15] (0.040::0.040)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[16] mprj/wbs_dat_i[16] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[17] mprj/wbs_dat_i[17] (0.036::0.036)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[18] mprj/wbs_dat_i[18] (0.007::0.007)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[19] mprj/wbs_dat_i[19] (0.033::0.033)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[1] mprj/wbs_dat_i[1] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[20] mprj/wbs_dat_i[20] (0.032::0.032)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[21] mprj/wbs_dat_i[21] (0.006::0.006)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[22] mprj/wbs_dat_i[22] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[23] mprj/wbs_dat_i[23] (0.027::0.027)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[24] mprj/wbs_dat_i[24] (0.024::0.024)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[25] mprj/wbs_dat_i[25] (0.023::0.023)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[26] mprj/wbs_dat_i[26] (0.022::0.022)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[27] mprj/wbs_dat_i[27] (0.020::0.020)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[28] mprj/wbs_dat_i[28] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[29] mprj/wbs_dat_i[29] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[2] mprj/wbs_dat_i[2] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[30] mprj/wbs_dat_i[30] (0.016::0.016)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[31] mprj/wbs_dat_i[31] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[3] mprj/wbs_dat_i[3] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[4] mprj/wbs_dat_i[4] (0.044::0.044)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[5] mprj/wbs_dat_i[5] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[6] mprj/wbs_dat_i[6] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[7] mprj/wbs_dat_i[7] (0.013::0.013)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[8] mprj/wbs_dat_i[8] (0.014::0.014)) + (INTERCONNECT mgmt_buffers/mprj_dat_o_user[9] mprj/wbs_dat_i[9] (0.053::0.053)) + (INTERCONNECT mgmt_buffers/mprj_sel_o_user[0] mprj/wbs_sel_i[0] (0.018::0.018)) + (INTERCONNECT mgmt_buffers/mprj_sel_o_user[1] mprj/wbs_sel_i[1] (0.077::0.077)) + (INTERCONNECT mgmt_buffers/mprj_sel_o_user[2] mprj/wbs_sel_i[2] (0.017::0.017)) + (INTERCONNECT mgmt_buffers/mprj_sel_o_user[3] mprj/wbs_sel_i[3] (0.015::0.015)) + (INTERCONNECT mgmt_buffers/mprj_stb_o_user mprj/wbs_stb_i (0.017::0.017)) + (INTERCONNECT mgmt_buffers/mprj_we_o_user mprj/wbs_we_i (0.059::0.059)) + (INTERCONNECT mgmt_buffers/user1_vcc_powergood housekeeping/usr1_vcc_pwrgood (0.205::0.205)) + (INTERCONNECT mgmt_buffers/user1_vdd_powergood housekeeping/usr1_vdd_pwrgood (0.101::0.101)) + (INTERCONNECT mgmt_buffers/user2_vcc_powergood housekeeping/usr2_vcc_pwrgood (0.210::0.210)) + (INTERCONNECT mgmt_buffers/user2_vdd_powergood housekeeping/usr2_vdd_pwrgood (0.214::0.214)) + (INTERCONNECT mgmt_buffers/user_clock mprj/wb_clk_i (0.068::0.068)) + (INTERCONNECT mgmt_buffers/user_clock2 mprj/user_clock2 (0.058::0.058)) + (INTERCONNECT mgmt_buffers/user_irq[0] soc/irq[0] (0.042::0.042)) + (INTERCONNECT mgmt_buffers/user_irq[1] soc/irq[1] (0.038::0.038)) + (INTERCONNECT mgmt_buffers/user_irq[2] soc/irq[2] (0.040::0.040)) + (INTERCONNECT mgmt_buffers/user_reset mprj/wb_rst_i (0.086::0.086)) + (INTERCONNECT mprj/analog_io[0] padframe/mprj_analog_io[0] (0.001::0.001)) + (INTERCONNECT mprj/analog_io[10] padframe/mprj_analog_io[10] (0.002::0.002)) + (INTERCONNECT mprj/analog_io[11] padframe/mprj_analog_io[11] (0.005::0.005)) + (INTERCONNECT mprj/analog_io[12] padframe/mprj_analog_io[12] (0.004::0.004)) + (INTERCONNECT mprj/analog_io[13] padframe/mprj_analog_io[13] (0.005::0.005)) + (INTERCONNECT mprj/analog_io[14] padframe/mprj_analog_io[14] (0.004::0.004)) + (INTERCONNECT mprj/analog_io[15] padframe/mprj_analog_io[15] (0.002::0.002)) + (INTERCONNECT mprj/analog_io[16] padframe/mprj_analog_io[16] (0.005::0.005)) + (INTERCONNECT mprj/analog_io[17] padframe/mprj_analog_io[17] (0.001::0.001)) + (INTERCONNECT mprj/analog_io[18] padframe/mprj_analog_io[18] (0.015::0.015)) + (INTERCONNECT mprj/analog_io[19] padframe/mprj_analog_io[19] (0.017::0.017)) + (INTERCONNECT mprj/analog_io[1] padframe/mprj_analog_io[1] (0.002::0.002)) + (INTERCONNECT mprj/analog_io[20] padframe/mprj_analog_io[20] (0.014::0.014)) + (INTERCONNECT mprj/analog_io[21] padframe/mprj_analog_io[21] (0.011::0.011)) + (INTERCONNECT mprj/analog_io[22] padframe/mprj_analog_io[22] (0.011::0.011)) + (INTERCONNECT mprj/analog_io[23] padframe/mprj_analog_io[23] (0.008::0.008)) + (INTERCONNECT mprj/analog_io[24] padframe/mprj_analog_io[24] (0.009::0.009)) + (INTERCONNECT mprj/analog_io[25] padframe/mprj_analog_io[25] (0.017::0.017)) + (INTERCONNECT mprj/analog_io[26] padframe/mprj_analog_io[26] (0.020::0.020)) + (INTERCONNECT mprj/analog_io[27] padframe/mprj_analog_io[27] (0.021::0.021)) + (INTERCONNECT mprj/analog_io[28] padframe/mprj_analog_io[28] (0.013::0.013)) + (INTERCONNECT mprj/analog_io[2] padframe/mprj_analog_io[2] (0.003::0.003)) + (INTERCONNECT mprj/analog_io[3] padframe/mprj_analog_io[3] (0.003::0.003)) + (INTERCONNECT mprj/analog_io[4] padframe/mprj_analog_io[4] (0.004::0.004)) + (INTERCONNECT mprj/analog_io[5] padframe/mprj_analog_io[5] (0.005::0.005)) + (INTERCONNECT mprj/analog_io[6] padframe/mprj_analog_io[6] (0.002::0.002)) + (INTERCONNECT mprj/analog_io[7] padframe/mprj_analog_io[7] (0.002::0.002)) + (INTERCONNECT mprj/analog_io[8] padframe/mprj_analog_io[8] (0.000::0.000)) + (INTERCONNECT mprj/analog_io[9] padframe/mprj_analog_io[9] (0.004::0.004)) + (INTERCONNECT mprj/io_oeb[0] gpio_control_bidir_1\[0\]/user_gpio_oeb (0.120::0.120)) + (INTERCONNECT mprj/io_oeb[10] gpio_control_in_1\[2\]/user_gpio_oeb (0.069::0.069)) + (INTERCONNECT mprj/io_oeb[11] gpio_control_in_1\[3\]/user_gpio_oeb (0.051::0.051)) + (INTERCONNECT mprj/io_oeb[12] gpio_control_in_1\[4\]/user_gpio_oeb (0.055::0.055)) + (INTERCONNECT mprj/io_oeb[13] gpio_control_in_1\[5\]/user_gpio_oeb (0.107::0.107)) + (INTERCONNECT mprj/io_oeb[14] gpio_control_in_1\[6\]/user_gpio_oeb (0.007::0.007)) + (INTERCONNECT mprj/io_oeb[15] gpio_control_in_1\[7\]/user_gpio_oeb (0.004::0.004)) + (INTERCONNECT mprj/io_oeb[16] gpio_control_in_1\[8\]/user_gpio_oeb (0.002::0.002)) + (INTERCONNECT mprj/io_oeb[17] gpio_control_in_1\[9\]/user_gpio_oeb (0.005::0.005)) + (INTERCONNECT mprj/io_oeb[18] gpio_control_in_1\[10\]/user_gpio_oeb (0.005::0.005)) + (INTERCONNECT mprj/io_oeb[19] gpio_control_in_2\[0\]/user_gpio_oeb (0.002::0.002)) + (INTERCONNECT mprj/io_oeb[1] gpio_control_bidir_1\[1\]/user_gpio_oeb (0.108::0.108)) + (INTERCONNECT mprj/io_oeb[20] gpio_control_in_2\[1\]/user_gpio_oeb (0.001::0.001)) + (INTERCONNECT mprj/io_oeb[21] gpio_control_in_2\[2\]/user_gpio_oeb (0.001::0.001)) + (INTERCONNECT mprj/io_oeb[22] gpio_control_in_2\[3\]/user_gpio_oeb (0.003::0.003)) + (INTERCONNECT mprj/io_oeb[23] gpio_control_in_2\[4\]/user_gpio_oeb (0.005::0.005)) + (INTERCONNECT mprj/io_oeb[24] gpio_control_in_2\[5\]/user_gpio_oeb (0.001::0.001)) + (INTERCONNECT mprj/io_oeb[25] gpio_control_in_2\[6\]/user_gpio_oeb (0.012::0.012)) + (INTERCONNECT mprj/io_oeb[26] gpio_control_in_2\[7\]/user_gpio_oeb (0.008::0.008)) + (INTERCONNECT mprj/io_oeb[27] gpio_control_in_2\[8\]/user_gpio_oeb (0.006::0.006)) + (INTERCONNECT mprj/io_oeb[28] gpio_control_in_2\[9\]/user_gpio_oeb (0.004::0.004)) + (INTERCONNECT mprj/io_oeb[29] gpio_control_in_2\[10\]/user_gpio_oeb (0.004::0.004)) + (INTERCONNECT mprj/io_oeb[2] gpio_control_in_1a\[0\]/user_gpio_oeb (0.078::0.078)) + (INTERCONNECT mprj/io_oeb[30] gpio_control_in_2\[11\]/user_gpio_oeb (0.002::0.002)) + (INTERCONNECT mprj/io_oeb[31] gpio_control_in_2\[12\]/user_gpio_oeb (0.002::0.002)) + (INTERCONNECT mprj/io_oeb[32] gpio_control_in_2\[13\]/user_gpio_oeb (0.021::0.021)) + (INTERCONNECT mprj/io_oeb[33] gpio_control_in_2\[14\]/user_gpio_oeb (0.018::0.018)) + (INTERCONNECT mprj/io_oeb[34] gpio_control_in_2\[15\]/user_gpio_oeb (0.016::0.016)) + (INTERCONNECT mprj/io_oeb[35] gpio_control_bidir_2\[0\]/user_gpio_oeb (0.011::0.011)) + (INTERCONNECT mprj/io_oeb[36] gpio_control_bidir_2\[1\]/user_gpio_oeb (0.007::0.007)) + (INTERCONNECT mprj/io_oeb[37] gpio_control_bidir_2\[2\]/user_gpio_oeb (0.016::0.016)) + (INTERCONNECT mprj/io_oeb[3] gpio_control_in_1a\[1\]/user_gpio_oeb (0.046::0.046)) + (INTERCONNECT mprj/io_oeb[4] gpio_control_in_1a\[2\]/user_gpio_oeb (0.058::0.058)) + (INTERCONNECT mprj/io_oeb[5] gpio_control_in_1a\[3\]/user_gpio_oeb (0.076::0.076)) + (INTERCONNECT mprj/io_oeb[6] gpio_control_in_1a\[4\]/user_gpio_oeb (0.067::0.067)) + (INTERCONNECT mprj/io_oeb[7] gpio_control_in_1a\[5\]/user_gpio_oeb (0.031::0.031)) + (INTERCONNECT mprj/io_oeb[8] gpio_control_in_1\[0\]/user_gpio_oeb (0.033::0.033)) + (INTERCONNECT mprj/io_oeb[9] gpio_control_in_1\[1\]/user_gpio_oeb (0.046::0.046)) + (INTERCONNECT mprj/io_out[0] gpio_control_bidir_1\[0\]/user_gpio_out (0.085::0.085)) + (INTERCONNECT mprj/io_out[10] gpio_control_in_1\[2\]/user_gpio_out (0.048::0.048)) + (INTERCONNECT mprj/io_out[11] gpio_control_in_1\[3\]/user_gpio_out (0.047::0.047)) + (INTERCONNECT mprj/io_out[12] gpio_control_in_1\[4\]/user_gpio_out (0.068::0.068)) + (INTERCONNECT mprj/io_out[13] gpio_control_in_1\[5\]/user_gpio_out (0.061::0.061)) + (INTERCONNECT mprj/io_out[14] gpio_control_in_1\[6\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[15] gpio_control_in_1\[7\]/user_gpio_out (0.012::0.012)) + (INTERCONNECT mprj/io_out[16] gpio_control_in_1\[8\]/user_gpio_out (0.006::0.006)) + (INTERCONNECT mprj/io_out[17] gpio_control_in_1\[9\]/user_gpio_out (0.011::0.011)) + (INTERCONNECT mprj/io_out[18] gpio_control_in_1\[10\]/user_gpio_out (0.011::0.011)) + (INTERCONNECT mprj/io_out[19] gpio_control_in_2\[0\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[1] gpio_control_bidir_1\[1\]/user_gpio_out (0.076::0.076)) + (INTERCONNECT mprj/io_out[20] gpio_control_in_2\[1\]/user_gpio_out (0.004::0.004)) + (INTERCONNECT mprj/io_out[21] gpio_control_in_2\[2\]/user_gpio_out (0.001::0.001)) + (INTERCONNECT mprj/io_out[22] gpio_control_in_2\[3\]/user_gpio_out (0.001::0.001)) + (INTERCONNECT mprj/io_out[23] gpio_control_in_2\[4\]/user_gpio_out (0.003::0.003)) + (INTERCONNECT mprj/io_out[24] gpio_control_in_2\[5\]/user_gpio_out (0.001::0.001)) + (INTERCONNECT mprj/io_out[25] gpio_control_in_2\[6\]/user_gpio_out (0.011::0.011)) + (INTERCONNECT mprj/io_out[26] gpio_control_in_2\[7\]/user_gpio_out (0.015::0.015)) + (INTERCONNECT mprj/io_out[27] gpio_control_in_2\[8\]/user_gpio_out (0.014::0.014)) + (INTERCONNECT mprj/io_out[28] gpio_control_in_2\[9\]/user_gpio_out (0.009::0.009)) + (INTERCONNECT mprj/io_out[29] gpio_control_in_2\[10\]/user_gpio_out (0.007::0.007)) + (INTERCONNECT mprj/io_out[2] gpio_control_in_1a\[0\]/user_gpio_out (0.076::0.076)) + (INTERCONNECT mprj/io_out[30] gpio_control_in_2\[11\]/user_gpio_out (0.007::0.007)) + (INTERCONNECT mprj/io_out[31] gpio_control_in_2\[12\]/user_gpio_out (0.002::0.002)) + (INTERCONNECT mprj/io_out[32] gpio_control_in_2\[13\]/user_gpio_out (0.023::0.023)) + (INTERCONNECT mprj/io_out[33] gpio_control_in_2\[14\]/user_gpio_out (0.024::0.024)) + (INTERCONNECT mprj/io_out[34] gpio_control_in_2\[15\]/user_gpio_out (0.017::0.017)) + (INTERCONNECT mprj/io_out[35] gpio_control_bidir_2\[0\]/user_gpio_out (0.016::0.016)) + (INTERCONNECT mprj/io_out[36] gpio_control_bidir_2\[1\]/user_gpio_out (0.014::0.014)) + (INTERCONNECT mprj/io_out[37] gpio_control_bidir_2\[2\]/user_gpio_out (0.023::0.023)) + (INTERCONNECT mprj/io_out[3] gpio_control_in_1a\[1\]/user_gpio_out (0.078::0.078)) + (INTERCONNECT mprj/io_out[4] gpio_control_in_1a\[2\]/user_gpio_out (0.041::0.041)) + (INTERCONNECT mprj/io_out[5] gpio_control_in_1a\[3\]/user_gpio_out (0.066::0.066)) + (INTERCONNECT mprj/io_out[6] gpio_control_in_1a\[4\]/user_gpio_out (0.049::0.049)) + (INTERCONNECT mprj/io_out[7] gpio_control_in_1a\[5\]/user_gpio_out (0.042::0.042)) + (INTERCONNECT mprj/io_out[8] gpio_control_in_1\[0\]/user_gpio_out (0.030::0.030)) + (INTERCONNECT mprj/io_out[9] gpio_control_in_1\[1\]/user_gpio_out (0.037::0.037)) + (INTERCONNECT mprj/la_data_out[0] mgmt_buffers/la_data_out_core[0] (0.008::0.008)) + (INTERCONNECT mprj/la_data_out[100] mgmt_buffers/la_data_out_core[100] (0.166::0.166)) + (INTERCONNECT mprj/la_data_out[101] mgmt_buffers/la_data_out_core[101] (0.158::0.158)) + (INTERCONNECT mprj/la_data_out[102] mgmt_buffers/la_data_out_core[102] (0.176::0.176)) + (INTERCONNECT mprj/la_data_out[103] mgmt_buffers/la_data_out_core[103] (0.167::0.167)) + (INTERCONNECT mprj/la_data_out[104] mgmt_buffers/la_data_out_core[104] (0.186::0.186)) + (INTERCONNECT mprj/la_data_out[105] mgmt_buffers/la_data_out_core[105] (0.176::0.176)) + (INTERCONNECT mprj/la_data_out[106] mgmt_buffers/la_data_out_core[106] (0.194::0.194)) + (INTERCONNECT mprj/la_data_out[107] mgmt_buffers/la_data_out_core[107] (0.185::0.185)) + (INTERCONNECT mprj/la_data_out[108] mgmt_buffers/la_data_out_core[108] (0.202::0.202)) + (INTERCONNECT mprj/la_data_out[109] mgmt_buffers/la_data_out_core[109] (0.193::0.193)) + (INTERCONNECT mprj/la_data_out[10] mgmt_buffers/la_data_out_core[10] (0.004::0.004)) + (INTERCONNECT mprj/la_data_out[110] mgmt_buffers/la_data_out_core[110] (0.045::0.045)) + (INTERCONNECT mprj/la_data_out[111] mgmt_buffers/la_data_out_core[111] (0.022::0.022)) + (INTERCONNECT mprj/la_data_out[112] mgmt_buffers/la_data_out_core[112] (0.047::0.047)) + (INTERCONNECT mprj/la_data_out[113] mgmt_buffers/la_data_out_core[113] (0.037::0.037)) + (INTERCONNECT mprj/la_data_out[114] mgmt_buffers/la_data_out_core[114] (0.231::0.231)) + (INTERCONNECT mprj/la_data_out[115] mgmt_buffers/la_data_out_core[115] (0.038::0.038)) + (INTERCONNECT mprj/la_data_out[116] mgmt_buffers/la_data_out_core[116] (0.203::0.203)) + (INTERCONNECT mprj/la_data_out[117] mgmt_buffers/la_data_out_core[117] (0.040::0.040)) + (INTERCONNECT mprj/la_data_out[118] mgmt_buffers/la_data_out_core[118] (0.128::0.128)) + (INTERCONNECT mprj/la_data_out[119] mgmt_buffers/la_data_out_core[119] (0.042::0.042)) + (INTERCONNECT mprj/la_data_out[11] mgmt_buffers/la_data_out_core[11] (0.002::0.002)) + (INTERCONNECT mprj/la_data_out[120] mgmt_buffers/la_data_out_core[120] (0.090::0.090)) + (INTERCONNECT mprj/la_data_out[121] mgmt_buffers/la_data_out_core[121] (0.044::0.044)) + (INTERCONNECT mprj/la_data_out[122] mgmt_buffers/la_data_out_core[122] (0.126::0.126)) + (INTERCONNECT mprj/la_data_out[123] mgmt_buffers/la_data_out_core[123] (0.046::0.046)) + (INTERCONNECT mprj/la_data_out[124] mgmt_buffers/la_data_out_core[124] (0.170::0.170)) + (INTERCONNECT mprj/la_data_out[125] mgmt_buffers/la_data_out_core[125] (0.050::0.050)) + (INTERCONNECT mprj/la_data_out[126] mgmt_buffers/la_data_out_core[126] (0.179::0.179)) + (INTERCONNECT mprj/la_data_out[127] mgmt_buffers/la_data_out_core[127] (0.059::0.059)) + (INTERCONNECT mprj/la_data_out[12] mgmt_buffers/la_data_out_core[12] (0.003::0.003)) + (INTERCONNECT mprj/la_data_out[13] mgmt_buffers/la_data_out_core[13] (0.002::0.002)) + (INTERCONNECT mprj/la_data_out[14] mgmt_buffers/la_data_out_core[14] (0.002::0.002)) + (INTERCONNECT mprj/la_data_out[15] mgmt_buffers/la_data_out_core[15] (0.002::0.002)) + (INTERCONNECT mprj/la_data_out[16] mgmt_buffers/la_data_out_core[16] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[17] mgmt_buffers/la_data_out_core[17] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[18] mgmt_buffers/la_data_out_core[18] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[19] mgmt_buffers/la_data_out_core[19] (0.000::0.000)) + (INTERCONNECT mprj/la_data_out[1] mgmt_buffers/la_data_out_core[1] (0.011::0.011)) + (INTERCONNECT mprj/la_data_out[20] mgmt_buffers/la_data_out_core[20] (0.000::0.000)) + (INTERCONNECT mprj/la_data_out[21] mgmt_buffers/la_data_out_core[21] (0.000::0.000)) + (INTERCONNECT mprj/la_data_out[22] mgmt_buffers/la_data_out_core[22] (0.000::0.000)) + (INTERCONNECT mprj/la_data_out[23] mgmt_buffers/la_data_out_core[23] (0.000::0.000)) + (INTERCONNECT mprj/la_data_out[24] mgmt_buffers/la_data_out_core[24] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[25] mgmt_buffers/la_data_out_core[25] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[26] mgmt_buffers/la_data_out_core[26] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[27] mgmt_buffers/la_data_out_core[27] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[28] mgmt_buffers/la_data_out_core[28] (0.001::0.001)) + (INTERCONNECT mprj/la_data_out[29] mgmt_buffers/la_data_out_core[29] (0.002::0.002)) + (INTERCONNECT mprj/la_data_out[2] mgmt_buffers/la_data_out_core[2] (0.011::0.011)) + (INTERCONNECT mprj/la_data_out[30] mgmt_buffers/la_data_out_core[30] (0.003::0.003)) + (INTERCONNECT mprj/la_data_out[31] mgmt_buffers/la_data_out_core[31] (0.003::0.003)) + (INTERCONNECT mprj/la_data_out[32] mgmt_buffers/la_data_out_core[32] (0.004::0.004)) + (INTERCONNECT mprj/la_data_out[33] mgmt_buffers/la_data_out_core[33] (0.003::0.003)) + (INTERCONNECT mprj/la_data_out[34] mgmt_buffers/la_data_out_core[34] (0.005::0.005)) + (INTERCONNECT mprj/la_data_out[35] mgmt_buffers/la_data_out_core[35] (0.006::0.006)) + (INTERCONNECT mprj/la_data_out[36] mgmt_buffers/la_data_out_core[36] (0.007::0.007)) + (INTERCONNECT mprj/la_data_out[37] mgmt_buffers/la_data_out_core[37] (0.008::0.008)) + (INTERCONNECT mprj/la_data_out[38] mgmt_buffers/la_data_out_core[38] (0.008::0.008)) + (INTERCONNECT mprj/la_data_out[39] mgmt_buffers/la_data_out_core[39] (0.009::0.009)) + (INTERCONNECT mprj/la_data_out[3] mgmt_buffers/la_data_out_core[3] (0.010::0.010)) + (INTERCONNECT mprj/la_data_out[40] mgmt_buffers/la_data_out_core[40] (0.010::0.010)) + (INTERCONNECT mprj/la_data_out[41] mgmt_buffers/la_data_out_core[41] (0.010::0.010)) + (INTERCONNECT mprj/la_data_out[42] mgmt_buffers/la_data_out_core[42] (0.012::0.012)) + (INTERCONNECT mprj/la_data_out[43] mgmt_buffers/la_data_out_core[43] (0.013::0.013)) + (INTERCONNECT mprj/la_data_out[44] mgmt_buffers/la_data_out_core[44] (0.012::0.012)) + (INTERCONNECT mprj/la_data_out[45] mgmt_buffers/la_data_out_core[45] (0.016::0.016)) + (INTERCONNECT mprj/la_data_out[46] mgmt_buffers/la_data_out_core[46] (0.016::0.016)) + (INTERCONNECT mprj/la_data_out[47] mgmt_buffers/la_data_out_core[47] (0.018::0.018)) + (INTERCONNECT mprj/la_data_out[48] mgmt_buffers/la_data_out_core[48] (0.011::0.011)) + (INTERCONNECT mprj/la_data_out[49] mgmt_buffers/la_data_out_core[49] (0.016::0.016)) + (INTERCONNECT mprj/la_data_out[4] mgmt_buffers/la_data_out_core[4] (0.010::0.010)) + (INTERCONNECT mprj/la_data_out[50] mgmt_buffers/la_data_out_core[50] (0.023::0.023)) + (INTERCONNECT mprj/la_data_out[51] mgmt_buffers/la_data_out_core[51] (0.024::0.024)) + (INTERCONNECT mprj/la_data_out[52] mgmt_buffers/la_data_out_core[52] (0.026::0.026)) + (INTERCONNECT mprj/la_data_out[53] mgmt_buffers/la_data_out_core[53] (0.028::0.028)) + (INTERCONNECT mprj/la_data_out[54] mgmt_buffers/la_data_out_core[54] (0.029::0.029)) + (INTERCONNECT mprj/la_data_out[55] mgmt_buffers/la_data_out_core[55] (0.031::0.031)) + (INTERCONNECT mprj/la_data_out[56] mgmt_buffers/la_data_out_core[56] (0.033::0.033)) + (INTERCONNECT mprj/la_data_out[57] mgmt_buffers/la_data_out_core[57] (0.024::0.024)) + (INTERCONNECT mprj/la_data_out[58] mgmt_buffers/la_data_out_core[58] (0.030::0.030)) + (INTERCONNECT mprj/la_data_out[59] mgmt_buffers/la_data_out_core[59] (0.027::0.027)) + (INTERCONNECT mprj/la_data_out[5] mgmt_buffers/la_data_out_core[5] (0.008::0.008)) + (INTERCONNECT mprj/la_data_out[60] mgmt_buffers/la_data_out_core[60] (0.039::0.039)) + (INTERCONNECT mprj/la_data_out[61] mgmt_buffers/la_data_out_core[61] (0.043::0.043)) + (INTERCONNECT mprj/la_data_out[62] mgmt_buffers/la_data_out_core[62] (0.045::0.045)) + (INTERCONNECT mprj/la_data_out[63] mgmt_buffers/la_data_out_core[63] (0.047::0.047)) + (INTERCONNECT mprj/la_data_out[64] mgmt_buffers/la_data_out_core[64] (0.048::0.048)) + (INTERCONNECT mprj/la_data_out[65] mgmt_buffers/la_data_out_core[65] (0.047::0.047)) + (INTERCONNECT mprj/la_data_out[66] mgmt_buffers/la_data_out_core[66] (0.052::0.052)) + (INTERCONNECT mprj/la_data_out[67] mgmt_buffers/la_data_out_core[67] (0.056::0.056)) + (INTERCONNECT mprj/la_data_out[68] mgmt_buffers/la_data_out_core[68] (0.057::0.057)) + (INTERCONNECT mprj/la_data_out[69] mgmt_buffers/la_data_out_core[69] (0.057::0.057)) + (INTERCONNECT mprj/la_data_out[6] mgmt_buffers/la_data_out_core[6] (0.008::0.008)) + (INTERCONNECT mprj/la_data_out[70] mgmt_buffers/la_data_out_core[70] (0.064::0.064)) + (INTERCONNECT mprj/la_data_out[71] mgmt_buffers/la_data_out_core[71] (0.052::0.052)) + (INTERCONNECT mprj/la_data_out[72] mgmt_buffers/la_data_out_core[72] (0.069::0.069)) + (INTERCONNECT mprj/la_data_out[73] mgmt_buffers/la_data_out_core[73] (0.068::0.068)) + (INTERCONNECT mprj/la_data_out[74] mgmt_buffers/la_data_out_core[74] (0.075::0.075)) + (INTERCONNECT mprj/la_data_out[75] mgmt_buffers/la_data_out_core[75] (0.070::0.070)) + (INTERCONNECT mprj/la_data_out[76] mgmt_buffers/la_data_out_core[76] (0.081::0.081)) + (INTERCONNECT mprj/la_data_out[77] mgmt_buffers/la_data_out_core[77] (0.081::0.081)) + (INTERCONNECT mprj/la_data_out[78] mgmt_buffers/la_data_out_core[78] (0.085::0.085)) + (INTERCONNECT mprj/la_data_out[79] mgmt_buffers/la_data_out_core[79] (0.083::0.083)) + (INTERCONNECT mprj/la_data_out[7] mgmt_buffers/la_data_out_core[7] (0.007::0.007)) + (INTERCONNECT mprj/la_data_out[80] mgmt_buffers/la_data_out_core[80] (0.068::0.068)) + (INTERCONNECT mprj/la_data_out[81] mgmt_buffers/la_data_out_core[81] (0.094::0.094)) + (INTERCONNECT mprj/la_data_out[82] mgmt_buffers/la_data_out_core[82] (0.099::0.099)) + (INTERCONNECT mprj/la_data_out[83] mgmt_buffers/la_data_out_core[83] (0.101::0.101)) + (INTERCONNECT mprj/la_data_out[84] mgmt_buffers/la_data_out_core[84] (0.105::0.105)) + (INTERCONNECT mprj/la_data_out[85] mgmt_buffers/la_data_out_core[85] (0.108::0.108)) + (INTERCONNECT mprj/la_data_out[86] mgmt_buffers/la_data_out_core[86] (0.105::0.105)) + (INTERCONNECT mprj/la_data_out[87] mgmt_buffers/la_data_out_core[87] (0.113::0.113)) + (INTERCONNECT mprj/la_data_out[88] mgmt_buffers/la_data_out_core[88] (0.113::0.113)) + (INTERCONNECT mprj/la_data_out[89] mgmt_buffers/la_data_out_core[89] (0.028::0.028)) + (INTERCONNECT mprj/la_data_out[8] mgmt_buffers/la_data_out_core[8] (0.006::0.006)) + (INTERCONNECT mprj/la_data_out[90] mgmt_buffers/la_data_out_core[90] (0.122::0.122)) + (INTERCONNECT mprj/la_data_out[91] mgmt_buffers/la_data_out_core[91] (0.115::0.115)) + (INTERCONNECT mprj/la_data_out[92] mgmt_buffers/la_data_out_core[92] (0.130::0.130)) + (INTERCONNECT mprj/la_data_out[93] mgmt_buffers/la_data_out_core[93] (0.028::0.028)) + (INTERCONNECT mprj/la_data_out[94] mgmt_buffers/la_data_out_core[94] (0.027::0.027)) + (INTERCONNECT mprj/la_data_out[95] mgmt_buffers/la_data_out_core[95] (0.020::0.020)) + (INTERCONNECT mprj/la_data_out[96] mgmt_buffers/la_data_out_core[96] (0.143::0.143)) + (INTERCONNECT mprj/la_data_out[97] mgmt_buffers/la_data_out_core[97] (0.031::0.031)) + (INTERCONNECT mprj/la_data_out[98] mgmt_buffers/la_data_out_core[98] (0.030::0.030)) + (INTERCONNECT mprj/la_data_out[99] mgmt_buffers/la_data_out_core[99] (0.033::0.033)) + (INTERCONNECT mprj/la_data_out[9] mgmt_buffers/la_data_out_core[9] (0.005::0.005)) + (INTERCONNECT mprj/user_irq[0] mgmt_buffers/user_irq_core[0] (0.049::0.049)) + (INTERCONNECT mprj/user_irq[1] mgmt_buffers/user_irq_core[1] (0.232::0.232)) + (INTERCONNECT mprj/user_irq[2] mgmt_buffers/user_irq_core[2] (0.053::0.053)) + (INTERCONNECT mprj/wbs_ack_o mgmt_buffers/mprj_ack_i_user (0.044::0.044)) + (INTERCONNECT mprj/wbs_dat_o[0] mgmt_buffers/mprj_dat_i_user[0] (0.074::0.074)) + (INTERCONNECT mprj/wbs_dat_o[10] mgmt_buffers/mprj_dat_i_user[10] (0.011::0.011)) + (INTERCONNECT mprj/wbs_dat_o[11] mgmt_buffers/mprj_dat_i_user[11] (0.048::0.048)) + (INTERCONNECT mprj/wbs_dat_o[12] mgmt_buffers/mprj_dat_i_user[12] (0.008::0.008)) + (INTERCONNECT mprj/wbs_dat_o[13] mgmt_buffers/mprj_dat_i_user[13] (0.044::0.044)) + (INTERCONNECT mprj/wbs_dat_o[14] mgmt_buffers/mprj_dat_i_user[14] (0.041::0.041)) + (INTERCONNECT mprj/wbs_dat_o[15] mgmt_buffers/mprj_dat_i_user[15] (0.037::0.037)) + (INTERCONNECT mprj/wbs_dat_o[16] mgmt_buffers/mprj_dat_i_user[16] (0.037::0.037)) + (INTERCONNECT mprj/wbs_dat_o[17] mgmt_buffers/mprj_dat_i_user[17] (0.033::0.033)) + (INTERCONNECT mprj/wbs_dat_o[18] mgmt_buffers/mprj_dat_i_user[18] (0.032::0.032)) + (INTERCONNECT mprj/wbs_dat_o[19] mgmt_buffers/mprj_dat_i_user[19] (0.026::0.026)) + (INTERCONNECT mprj/wbs_dat_o[1] mgmt_buffers/mprj_dat_i_user[1] (0.079::0.079)) + (INTERCONNECT mprj/wbs_dat_o[20] mgmt_buffers/mprj_dat_i_user[20] (0.030::0.030)) + (INTERCONNECT mprj/wbs_dat_o[21] mgmt_buffers/mprj_dat_i_user[21] (0.020::0.020)) + (INTERCONNECT mprj/wbs_dat_o[22] mgmt_buffers/mprj_dat_i_user[22] (0.015::0.015)) + (INTERCONNECT mprj/wbs_dat_o[23] mgmt_buffers/mprj_dat_i_user[23] (0.026::0.026)) + (INTERCONNECT mprj/wbs_dat_o[24] mgmt_buffers/mprj_dat_i_user[24] (0.022::0.022)) + (INTERCONNECT mprj/wbs_dat_o[25] mgmt_buffers/mprj_dat_i_user[25] (0.023::0.023)) + (INTERCONNECT mprj/wbs_dat_o[26] mgmt_buffers/mprj_dat_i_user[26] (0.019::0.019)) + (INTERCONNECT mprj/wbs_dat_o[27] mgmt_buffers/mprj_dat_i_user[27] (0.020::0.020)) + (INTERCONNECT mprj/wbs_dat_o[28] mgmt_buffers/mprj_dat_i_user[28] (0.015::0.015)) + (INTERCONNECT mprj/wbs_dat_o[29] mgmt_buffers/mprj_dat_i_user[29] (0.014::0.014)) + (INTERCONNECT mprj/wbs_dat_o[2] mgmt_buffers/mprj_dat_i_user[2] (0.018::0.018)) + (INTERCONNECT mprj/wbs_dat_o[30] mgmt_buffers/mprj_dat_i_user[30] (0.015::0.015)) + (INTERCONNECT mprj/wbs_dat_o[31] mgmt_buffers/mprj_dat_i_user[31] (0.014::0.014)) + (INTERCONNECT mprj/wbs_dat_o[3] mgmt_buffers/mprj_dat_i_user[3] (0.051::0.051)) + (INTERCONNECT mprj/wbs_dat_o[4] mgmt_buffers/mprj_dat_i_user[4] (0.066::0.066)) + (INTERCONNECT mprj/wbs_dat_o[5] mgmt_buffers/mprj_dat_i_user[5] (0.013::0.013)) + (INTERCONNECT mprj/wbs_dat_o[6] mgmt_buffers/mprj_dat_i_user[6] (0.015::0.015)) + (INTERCONNECT mprj/wbs_dat_o[7] mgmt_buffers/mprj_dat_i_user[7] (0.043::0.043)) + (INTERCONNECT mprj/wbs_dat_o[8] mgmt_buffers/mprj_dat_i_user[8] (0.013::0.013)) + (INTERCONNECT mprj/wbs_dat_o[9] mgmt_buffers/mprj_dat_i_user[9] (0.007::0.007)) + (INTERCONNECT padframe/clock_core clocking/ext_clk (0.007::0.007)) + (INTERCONNECT padframe/clock_core pll/osc (0.567::0.567)) + (INTERCONNECT padframe/flash_clk flash_clk (0.001::0.001)) + (INTERCONNECT padframe/flash_csb flash_csb (0.001::0.001)) + (INTERCONNECT padframe/flash_io0 flash_io0 (0.001::0.001)) + (INTERCONNECT padframe/flash_io0_di_core housekeeping/pad_flash_io0_di (0.236::0.236)) + (INTERCONNECT padframe/flash_io1 flash_io1 (0.001::0.001)) + (INTERCONNECT padframe/flash_io1_di_core housekeeping/pad_flash_io1_di (0.088::0.088)) + (INTERCONNECT padframe/gpio gpio (0.001::0.001)) + (INTERCONNECT padframe/gpio_in_core soc/gpio_in_pad (0.552::0.552)) + (INTERCONNECT padframe/vccd_pad vccd (0.001::0.001)) + (INTERCONNECT padframe/vdda_pad vdda (0.001::0.001)) + (INTERCONNECT padframe/vddio_pad vddio (0.001::0.001)) + (INTERCONNECT padframe/vddio_pad2 vddio_2 (0.001::0.001)) + (INTERCONNECT padframe/vssa_pad vssa (0.001::0.001)) + (INTERCONNECT padframe/vssd_pad vssd (0.001::0.001)) + (INTERCONNECT padframe/vssio_pad vssio (0.001::0.001)) + (INTERCONNECT padframe/vssio_pad2 vssio_2 (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[0] mprj_io[0] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[0] gpio_control_bidir_1\[0\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[3] mprj/analog_io[3] (0.006::0.006)) + (INTERCONNECT padframe/mprj_io[10] mprj_io[10] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[10] gpio_control_in_1\[2\]/pad_gpio_in (0.013::0.013)) + (INTERCONNECT padframe/mprj_analog_io[4] mprj/analog_io[4] (0.008::0.008)) + (INTERCONNECT padframe/mprj_io[11] mprj_io[11] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[11] gpio_control_in_1\[3\]/pad_gpio_in (0.014::0.014)) + (INTERCONNECT padframe/mprj_analog_io[5] mprj/analog_io[5] (0.010::0.010)) + (INTERCONNECT padframe/mprj_io[12] mprj_io[12] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[12] gpio_control_in_1\[4\]/pad_gpio_in (0.008::0.008)) + (INTERCONNECT padframe/mprj_analog_io[6] mprj/analog_io[6] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[13] mprj_io[13] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[13] gpio_control_in_1\[5\]/pad_gpio_in (0.040::0.040)) + (INTERCONNECT padframe/mprj_analog_io[7] mprj/analog_io[7] (0.006::0.006)) + (INTERCONNECT padframe/mprj_io[14] mprj_io[14] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[14] gpio_control_in_1\[6\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog_io[8] mprj/analog_io[8] (0.000::0.000)) + (INTERCONNECT padframe/mprj_io[15] mprj_io[15] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[15] gpio_control_in_1\[7\]/pad_gpio_in (0.019::0.019)) + (INTERCONNECT padframe/mprj_analog_io[9] mprj/analog_io[9] (0.005::0.005)) + (INTERCONNECT padframe/mprj_io[16] mprj_io[16] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[16] gpio_control_in_1\[8\]/pad_gpio_in (0.006::0.006)) + (INTERCONNECT padframe/mprj_analog_io[10] mprj/analog_io[10] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[17] mprj_io[17] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[17] gpio_control_in_1\[9\]/pad_gpio_in (0.018::0.018)) + (INTERCONNECT padframe/mprj_analog_io[11] mprj/analog_io[11] (0.006::0.006)) + (INTERCONNECT padframe/mprj_io[18] mprj_io[18] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[18] gpio_control_in_1\[10\]/pad_gpio_in (0.007::0.007)) + (INTERCONNECT padframe/mprj_io[1] mprj_io[1] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[1] gpio_control_bidir_1\[1\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io[2] mprj_io[2] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[2] gpio_control_in_1a\[0\]/pad_gpio_in (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[3] mprj_io[3] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[3] gpio_control_in_1a\[1\]/pad_gpio_in (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[4] mprj_io[4] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[4] gpio_control_in_1a\[2\]/pad_gpio_in (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[5] mprj_io[5] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[5] gpio_control_in_1a\[3\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_io[6] mprj_io[6] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[6] gpio_control_in_1a\[4\]/pad_gpio_in (0.003::0.003)) + (INTERCONNECT padframe/mprj_analog_io[0] mprj/analog_io[0] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[7] mprj_io[7] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[7] gpio_control_in_1a\[5\]/pad_gpio_in (0.010::0.010)) + (INTERCONNECT padframe/mprj_analog_io[1] mprj/analog_io[1] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[8] mprj_io[8] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[8] gpio_control_in_1\[0\]/pad_gpio_in (0.015::0.015)) + (INTERCONNECT padframe/mprj_analog_io[2] mprj/analog_io[2] (0.004::0.004)) + (INTERCONNECT padframe/mprj_io[9] mprj_io[9] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[9] gpio_control_in_1\[1\]/pad_gpio_in (0.012::0.012)) + (INTERCONNECT padframe/mprj_analog_io[12] mprj/analog_io[12] (0.003::0.003)) + (INTERCONNECT padframe/mprj_io[19] mprj_io[19] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[19] gpio_control_in_2\[0\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[22] mprj/analog_io[22] (0.021::0.021)) + (INTERCONNECT padframe/mprj_io[29] mprj_io[29] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[29] gpio_control_in_2\[10\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[23] mprj/analog_io[23] (0.019::0.019)) + (INTERCONNECT padframe/mprj_io[30] mprj_io[30] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[30] gpio_control_in_2\[11\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[24] mprj/analog_io[24] (0.009::0.009)) + (INTERCONNECT padframe/mprj_io[31] mprj_io[31] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[31] gpio_control_in_2\[12\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[25] mprj/analog_io[25] (0.071::0.071)) + (INTERCONNECT padframe/mprj_io[32] mprj_io[32] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[32] gpio_control_in_2\[13\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[26] mprj/analog_io[26] (0.042::0.042)) + (INTERCONNECT padframe/mprj_io[33] mprj_io[33] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[33] gpio_control_in_2\[14\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[27] mprj/analog_io[27] (0.038::0.038)) + (INTERCONNECT padframe/mprj_io[34] mprj_io[34] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[34] gpio_control_in_2\[15\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[28] mprj/analog_io[28] (0.052::0.052)) + (INTERCONNECT padframe/mprj_io[35] mprj_io[35] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[35] gpio_control_bidir_2\[0\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[36] mprj_io[36] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[36] gpio_control_bidir_2\[1\]/pad_gpio_in (0.014::0.014)) + (INTERCONNECT padframe/mprj_io[37] mprj_io[37] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[37] gpio_control_bidir_2\[2\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[13] mprj/analog_io[13] (0.003::0.003)) + (INTERCONNECT padframe/mprj_io[20] mprj_io[20] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[20] gpio_control_in_2\[1\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog_io[14] mprj/analog_io[14] (0.002::0.002)) + (INTERCONNECT padframe/mprj_io[21] mprj_io[21] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[21] gpio_control_in_2\[2\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog_io[15] mprj/analog_io[15] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[22] mprj_io[22] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[22] gpio_control_in_2\[3\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog_io[16] mprj/analog_io[16] (0.004::0.004)) + (INTERCONNECT padframe/mprj_io[23] mprj_io[23] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[23] gpio_control_in_2\[4\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog_io[17] mprj/analog_io[17] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io[24] mprj_io[24] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[24] gpio_control_in_2\[5\]/pad_gpio_in (0.001::0.001)) + (INTERCONNECT padframe/mprj_analog_io[18] mprj/analog_io[18] (0.051::0.051)) + (INTERCONNECT padframe/mprj_io[25] mprj_io[25] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[25] gpio_control_in_2\[6\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[19] mprj/analog_io[19] (0.026::0.026)) + (INTERCONNECT padframe/mprj_io[26] mprj_io[26] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[26] gpio_control_in_2\[7\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[20] mprj/analog_io[20] (0.026::0.026)) + (INTERCONNECT padframe/mprj_io[27] mprj_io[27] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[27] gpio_control_in_2\[8\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/mprj_analog_io[21] mprj/analog_io[21] (0.035::0.035)) + (INTERCONNECT padframe/mprj_io[28] mprj_io[28] (0.001::0.001)) + (INTERCONNECT padframe/mprj_io_in[28] gpio_control_in_2\[9\]/pad_gpio_in (0.000::0.000)) + (INTERCONNECT padframe/resetb_core_h clocking/resetb (0.110::0.110)) + (INTERCONNECT padframe/resetb_core_h pll/resetb (0.657::0.657)) + (INTERCONNECT padframe/resetb_core_h rstb_level/A (0.003::0.003)) + (INTERCONNECT padframe/vccd1_pad vccd1 (0.001::0.001)) + (INTERCONNECT padframe/vdda1_pad vdda1 (0.001::0.001)) + (INTERCONNECT padframe/vdda1_pad2 vdda1_2 (0.001::0.001)) + (INTERCONNECT padframe/vssa1_pad vssa1 (0.001::0.001)) + (INTERCONNECT padframe/vssa1_pad2 vssa1_2 (0.001::0.001)) + (INTERCONNECT padframe/vssd1_pad vssd1 (0.001::0.001)) + (INTERCONNECT padframe/vccd2_pad vccd2 (0.001::0.001)) + (INTERCONNECT padframe/vdda2_pad vdda2 (0.001::0.001)) + (INTERCONNECT padframe/vssa2_pad vssa2 (0.001::0.001)) + (INTERCONNECT padframe/vssd2_pad vssd2 (0.001::0.001)) + (INTERCONNECT pll/clockp[0] clocking/pll_clk90 (0.881::0.881)) + (INTERCONNECT pll/clockp[1] clocking/pll_clk (0.897::0.897)) + (INTERCONNECT soc/debug_mode housekeeping/debug_mode (0.005::0.005)) + (INTERCONNECT soc/debug_oeb housekeeping/debug_oeb (0.007::0.007)) + (INTERCONNECT soc/debug_out housekeeping/debug_out (0.005::0.005)) + (INTERCONNECT soc/flash_clk housekeeping/spimemio_flash_clk (0.001::0.001)) + (INTERCONNECT soc/flash_csb housekeeping/spimemio_flash_csb (0.001::0.001)) + (INTERCONNECT soc/flash_io0_do housekeeping/spimemio_flash_io0_do (0.001::0.001)) + (INTERCONNECT soc/flash_io0_oeb housekeeping/spimemio_flash_io0_oeb (0.002::0.002)) + (INTERCONNECT soc/flash_io1_do housekeeping/spimemio_flash_io1_do (0.001::0.001)) + (INTERCONNECT soc/flash_io1_oeb housekeeping/spimemio_flash_io1_oeb (0.001::0.001)) + (INTERCONNECT soc/flash_io2_do housekeeping/spimemio_flash_io2_do (0.002::0.002)) + (INTERCONNECT soc/flash_io2_oeb housekeeping/spimemio_flash_io2_oeb (0.002::0.002)) + (INTERCONNECT soc/flash_io3_do housekeeping/spimemio_flash_io3_do (0.002::0.002)) + (INTERCONNECT soc/flash_io3_oeb housekeeping/spimemio_flash_io3_oeb (0.002::0.002)) + (INTERCONNECT soc/gpio_inenb_pad padframe/gpio_inenb_core (0.243::0.243)) + (INTERCONNECT soc/gpio_mode0_pad padframe/gpio_mode0_core (0.216::0.216)) + (INTERCONNECT soc/gpio_mode1_pad padframe/gpio_mode1_core (0.029::0.029)) + (INTERCONNECT soc/gpio_out_pad padframe/gpio_out_core (0.005::0.005)) + (INTERCONNECT soc/gpio_outenb_pad padframe/gpio_outenb_core (0.001::0.001)) + (INTERCONNECT soc/hk_stb_o housekeeping/wb_stb_i (0.003::0.003)) + (INTERCONNECT soc/la_iena[0] mgmt_buffers/la_iena_mprj[0] (0.083::0.083)) + (INTERCONNECT soc/la_iena[100] mgmt_buffers/la_iena_mprj[100] (0.005::0.005)) + (INTERCONNECT soc/la_iena[101] mgmt_buffers/la_iena_mprj[101] (0.018::0.018)) + (INTERCONNECT soc/la_iena[102] mgmt_buffers/la_iena_mprj[102] (0.019::0.019)) + (INTERCONNECT soc/la_iena[103] mgmt_buffers/la_iena_mprj[103] (0.019::0.019)) + (INTERCONNECT soc/la_iena[104] mgmt_buffers/la_iena_mprj[104] (0.020::0.020)) + (INTERCONNECT soc/la_iena[105] mgmt_buffers/la_iena_mprj[105] (0.022::0.022)) + (INTERCONNECT soc/la_iena[106] mgmt_buffers/la_iena_mprj[106] (0.024::0.024)) + (INTERCONNECT soc/la_iena[107] mgmt_buffers/la_iena_mprj[107] (0.016::0.016)) + (INTERCONNECT soc/la_iena[108] mgmt_buffers/la_iena_mprj[108] (0.026::0.026)) + (INTERCONNECT soc/la_iena[109] mgmt_buffers/la_iena_mprj[109] (0.025::0.025)) + (INTERCONNECT soc/la_iena[10] mgmt_buffers/la_iena_mprj[10] (0.021::0.021)) + (INTERCONNECT soc/la_iena[110] mgmt_buffers/la_iena_mprj[110] (0.025::0.025)) + (INTERCONNECT soc/la_iena[111] mgmt_buffers/la_iena_mprj[111] (0.024::0.024)) + (INTERCONNECT soc/la_iena[112] mgmt_buffers/la_iena_mprj[112] (0.033::0.033)) + (INTERCONNECT soc/la_iena[113] mgmt_buffers/la_iena_mprj[113] (0.032::0.032)) + (INTERCONNECT soc/la_iena[114] mgmt_buffers/la_iena_mprj[114] (0.035::0.035)) + (INTERCONNECT soc/la_iena[115] mgmt_buffers/la_iena_mprj[115] (0.039::0.039)) + (INTERCONNECT soc/la_iena[116] mgmt_buffers/la_iena_mprj[116] (0.036::0.036)) + (INTERCONNECT soc/la_iena[117] mgmt_buffers/la_iena_mprj[117] (0.043::0.043)) + (INTERCONNECT soc/la_iena[118] mgmt_buffers/la_iena_mprj[118] (0.030::0.030)) + (INTERCONNECT soc/la_iena[119] mgmt_buffers/la_iena_mprj[119] (0.047::0.047)) + (INTERCONNECT soc/la_iena[11] mgmt_buffers/la_iena_mprj[11] (0.017::0.017)) + (INTERCONNECT soc/la_iena[120] mgmt_buffers/la_iena_mprj[120] (0.048::0.048)) + (INTERCONNECT soc/la_iena[121] mgmt_buffers/la_iena_mprj[121] (0.049::0.049)) + (INTERCONNECT soc/la_iena[122] mgmt_buffers/la_iena_mprj[122] (0.035::0.035)) + (INTERCONNECT soc/la_iena[123] mgmt_buffers/la_iena_mprj[123] (0.054::0.054)) + (INTERCONNECT soc/la_iena[124] mgmt_buffers/la_iena_mprj[124] (0.057::0.057)) + (INTERCONNECT soc/la_iena[125] mgmt_buffers/la_iena_mprj[125] (0.055::0.055)) + (INTERCONNECT soc/la_iena[126] mgmt_buffers/la_iena_mprj[126] (0.036::0.036)) + (INTERCONNECT soc/la_iena[127] mgmt_buffers/la_iena_mprj[127] (0.062::0.062)) + (INTERCONNECT soc/la_iena[12] mgmt_buffers/la_iena_mprj[12] (0.013::0.013)) + (INTERCONNECT soc/la_iena[13] mgmt_buffers/la_iena_mprj[13] (0.016::0.016)) + (INTERCONNECT soc/la_iena[14] mgmt_buffers/la_iena_mprj[14] (0.018::0.018)) + (INTERCONNECT soc/la_iena[15] mgmt_buffers/la_iena_mprj[15] (0.010::0.010)) + (INTERCONNECT soc/la_iena[16] mgmt_buffers/la_iena_mprj[16] (0.017::0.017)) + (INTERCONNECT soc/la_iena[17] mgmt_buffers/la_iena_mprj[17] (0.014::0.014)) + (INTERCONNECT soc/la_iena[18] mgmt_buffers/la_iena_mprj[18] (0.016::0.016)) + (INTERCONNECT soc/la_iena[19] mgmt_buffers/la_iena_mprj[19] (0.053::0.053)) + (INTERCONNECT soc/la_iena[1] mgmt_buffers/la_iena_mprj[1] (0.026::0.026)) + (INTERCONNECT soc/la_iena[20] mgmt_buffers/la_iena_mprj[20] (0.057::0.057)) + (INTERCONNECT soc/la_iena[21] mgmt_buffers/la_iena_mprj[21] (0.057::0.057)) + (INTERCONNECT soc/la_iena[22] mgmt_buffers/la_iena_mprj[22] (0.054::0.054)) + (INTERCONNECT soc/la_iena[23] mgmt_buffers/la_iena_mprj[23] (0.053::0.053)) + (INTERCONNECT soc/la_iena[24] mgmt_buffers/la_iena_mprj[24] (0.049::0.049)) + (INTERCONNECT soc/la_iena[25] mgmt_buffers/la_iena_mprj[25] (0.048::0.048)) + (INTERCONNECT soc/la_iena[26] mgmt_buffers/la_iena_mprj[26] (0.046::0.046)) + (INTERCONNECT soc/la_iena[27] mgmt_buffers/la_iena_mprj[27] (0.046::0.046)) + (INTERCONNECT soc/la_iena[28] mgmt_buffers/la_iena_mprj[28] (0.042::0.042)) + (INTERCONNECT soc/la_iena[29] mgmt_buffers/la_iena_mprj[29] (0.041::0.041)) + (INTERCONNECT soc/la_iena[2] mgmt_buffers/la_iena_mprj[2] (0.078::0.078)) + (INTERCONNECT soc/la_iena[30] mgmt_buffers/la_iena_mprj[30] (0.025::0.025)) + (INTERCONNECT soc/la_iena[31] mgmt_buffers/la_iena_mprj[31] (0.038::0.038)) + (INTERCONNECT soc/la_iena[32] mgmt_buffers/la_iena_mprj[32] (0.035::0.035)) + (INTERCONNECT soc/la_iena[33] mgmt_buffers/la_iena_mprj[33] (0.035::0.035)) + (INTERCONNECT soc/la_iena[34] mgmt_buffers/la_iena_mprj[34] (0.032::0.032)) + (INTERCONNECT soc/la_iena[35] mgmt_buffers/la_iena_mprj[35] (0.030::0.030)) + (INTERCONNECT soc/la_iena[36] mgmt_buffers/la_iena_mprj[36] (0.025::0.025)) + (INTERCONNECT soc/la_iena[37] mgmt_buffers/la_iena_mprj[37] (0.014::0.014)) + (INTERCONNECT soc/la_iena[38] mgmt_buffers/la_iena_mprj[38] (0.026::0.026)) + (INTERCONNECT soc/la_iena[39] mgmt_buffers/la_iena_mprj[39] (0.020::0.020)) + (INTERCONNECT soc/la_iena[3] mgmt_buffers/la_iena_mprj[3] (0.025::0.025)) + (INTERCONNECT soc/la_iena[40] mgmt_buffers/la_iena_mprj[40] (0.023::0.023)) + (INTERCONNECT soc/la_iena[41] mgmt_buffers/la_iena_mprj[41] (0.023::0.023)) + (INTERCONNECT soc/la_iena[42] mgmt_buffers/la_iena_mprj[42] (0.020::0.020)) + (INTERCONNECT soc/la_iena[43] mgmt_buffers/la_iena_mprj[43] (0.020::0.020)) + (INTERCONNECT soc/la_iena[44] mgmt_buffers/la_iena_mprj[44] (0.018::0.018)) + (INTERCONNECT soc/la_iena[45] mgmt_buffers/la_iena_mprj[45] (0.017::0.017)) + (INTERCONNECT soc/la_iena[46] mgmt_buffers/la_iena_mprj[46] (0.011::0.011)) + (INTERCONNECT soc/la_iena[47] mgmt_buffers/la_iena_mprj[47] (0.005::0.005)) + (INTERCONNECT soc/la_iena[48] mgmt_buffers/la_iena_mprj[48] (0.008::0.008)) + (INTERCONNECT soc/la_iena[49] mgmt_buffers/la_iena_mprj[49] (0.005::0.005)) + (INTERCONNECT soc/la_iena[4] mgmt_buffers/la_iena_mprj[4] (0.091::0.091)) + (INTERCONNECT soc/la_iena[50] mgmt_buffers/la_iena_mprj[50] (0.005::0.005)) + (INTERCONNECT soc/la_iena[51] mgmt_buffers/la_iena_mprj[51] (0.008::0.008)) + (INTERCONNECT soc/la_iena[52] mgmt_buffers/la_iena_mprj[52] (0.010::0.010)) + (INTERCONNECT soc/la_iena[53] mgmt_buffers/la_iena_mprj[53] (0.007::0.007)) + (INTERCONNECT soc/la_iena[54] mgmt_buffers/la_iena_mprj[54] (0.006::0.006)) + (INTERCONNECT soc/la_iena[55] mgmt_buffers/la_iena_mprj[55] (0.006::0.006)) + (INTERCONNECT soc/la_iena[56] mgmt_buffers/la_iena_mprj[56] (0.005::0.005)) + (INTERCONNECT soc/la_iena[57] mgmt_buffers/la_iena_mprj[57] (0.005::0.005)) + (INTERCONNECT soc/la_iena[58] mgmt_buffers/la_iena_mprj[58] (0.004::0.004)) + (INTERCONNECT soc/la_iena[59] mgmt_buffers/la_iena_mprj[59] (0.004::0.004)) + (INTERCONNECT soc/la_iena[5] mgmt_buffers/la_iena_mprj[5] (0.023::0.023)) + (INTERCONNECT soc/la_iena[60] mgmt_buffers/la_iena_mprj[60] (0.002::0.002)) + (INTERCONNECT soc/la_iena[61] mgmt_buffers/la_iena_mprj[61] (0.003::0.003)) + (INTERCONNECT soc/la_iena[62] mgmt_buffers/la_iena_mprj[62] (0.003::0.003)) + (INTERCONNECT soc/la_iena[63] mgmt_buffers/la_iena_mprj[63] (0.003::0.003)) + (INTERCONNECT soc/la_iena[64] mgmt_buffers/la_iena_mprj[64] (0.001::0.001)) + (INTERCONNECT soc/la_iena[65] mgmt_buffers/la_iena_mprj[65] (0.001::0.001)) + (INTERCONNECT soc/la_iena[66] mgmt_buffers/la_iena_mprj[66] (0.001::0.001)) + (INTERCONNECT soc/la_iena[67] mgmt_buffers/la_iena_mprj[67] (0.001::0.001)) + (INTERCONNECT soc/la_iena[68] mgmt_buffers/la_iena_mprj[68] (0.001::0.001)) + (INTERCONNECT soc/la_iena[69] mgmt_buffers/la_iena_mprj[69] (0.001::0.001)) + (INTERCONNECT soc/la_iena[6] mgmt_buffers/la_iena_mprj[6] (0.019::0.019)) + (INTERCONNECT soc/la_iena[70] mgmt_buffers/la_iena_mprj[70] (0.000::0.000)) + (INTERCONNECT soc/la_iena[71] mgmt_buffers/la_iena_mprj[71] (0.000::0.000)) + (INTERCONNECT soc/la_iena[72] mgmt_buffers/la_iena_mprj[72] (0.000::0.000)) + (INTERCONNECT soc/la_iena[73] mgmt_buffers/la_iena_mprj[73] (0.000::0.000)) + (INTERCONNECT soc/la_iena[74] mgmt_buffers/la_iena_mprj[74] (0.000::0.000)) + (INTERCONNECT soc/la_iena[75] mgmt_buffers/la_iena_mprj[75] (0.000::0.000)) + (INTERCONNECT soc/la_iena[76] mgmt_buffers/la_iena_mprj[76] (0.001::0.001)) + (INTERCONNECT soc/la_iena[77] mgmt_buffers/la_iena_mprj[77] (0.001::0.001)) + (INTERCONNECT soc/la_iena[78] mgmt_buffers/la_iena_mprj[78] (0.002::0.002)) + (INTERCONNECT soc/la_iena[79] mgmt_buffers/la_iena_mprj[79] (0.002::0.002)) + (INTERCONNECT soc/la_iena[7] mgmt_buffers/la_iena_mprj[7] (0.022::0.022)) + (INTERCONNECT soc/la_iena[80] mgmt_buffers/la_iena_mprj[80] (0.002::0.002)) + (INTERCONNECT soc/la_iena[81] mgmt_buffers/la_iena_mprj[81] (0.002::0.002)) + (INTERCONNECT soc/la_iena[82] mgmt_buffers/la_iena_mprj[82] (0.002::0.002)) + (INTERCONNECT soc/la_iena[83] mgmt_buffers/la_iena_mprj[83] (0.004::0.004)) + (INTERCONNECT soc/la_iena[84] mgmt_buffers/la_iena_mprj[84] (0.004::0.004)) + (INTERCONNECT soc/la_iena[85] mgmt_buffers/la_iena_mprj[85] (0.003::0.003)) + (INTERCONNECT soc/la_iena[86] mgmt_buffers/la_iena_mprj[86] (0.005::0.005)) + (INTERCONNECT soc/la_iena[87] mgmt_buffers/la_iena_mprj[87] (0.005::0.005)) + (INTERCONNECT soc/la_iena[88] mgmt_buffers/la_iena_mprj[88] (0.006::0.006)) + (INTERCONNECT soc/la_iena[89] mgmt_buffers/la_iena_mprj[89] (0.007::0.007)) + (INTERCONNECT soc/la_iena[8] mgmt_buffers/la_iena_mprj[8] (0.018::0.018)) + (INTERCONNECT soc/la_iena[90] mgmt_buffers/la_iena_mprj[90] (0.007::0.007)) + (INTERCONNECT soc/la_iena[91] mgmt_buffers/la_iena_mprj[91] (0.008::0.008)) + (INTERCONNECT soc/la_iena[92] mgmt_buffers/la_iena_mprj[92] (0.010::0.010)) + (INTERCONNECT soc/la_iena[93] mgmt_buffers/la_iena_mprj[93] (0.010::0.010)) + (INTERCONNECT soc/la_iena[94] mgmt_buffers/la_iena_mprj[94] (0.011::0.011)) + (INTERCONNECT soc/la_iena[95] mgmt_buffers/la_iena_mprj[95] (0.011::0.011)) + (INTERCONNECT soc/la_iena[96] mgmt_buffers/la_iena_mprj[96] (0.013::0.013)) + (INTERCONNECT soc/la_iena[97] mgmt_buffers/la_iena_mprj[97] (0.013::0.013)) + (INTERCONNECT soc/la_iena[98] mgmt_buffers/la_iena_mprj[98] (0.004::0.004)) + (INTERCONNECT soc/la_iena[99] mgmt_buffers/la_iena_mprj[99] (0.016::0.016)) + (INTERCONNECT soc/la_iena[9] mgmt_buffers/la_iena_mprj[9] (0.021::0.021)) + (INTERCONNECT soc/la_oenb[0] mgmt_buffers/la_oenb_mprj[0] (0.019::0.019)) + (INTERCONNECT soc/la_oenb[100] mgmt_buffers/la_oenb_mprj[100] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[101] mgmt_buffers/la_oenb_mprj[101] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[102] mgmt_buffers/la_oenb_mprj[102] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[103] mgmt_buffers/la_oenb_mprj[103] (0.019::0.019)) + (INTERCONNECT soc/la_oenb[104] mgmt_buffers/la_oenb_mprj[104] (0.023::0.023)) + (INTERCONNECT soc/la_oenb[105] mgmt_buffers/la_oenb_mprj[105] (0.024::0.024)) + (INTERCONNECT soc/la_oenb[106] mgmt_buffers/la_oenb_mprj[106] (0.015::0.015)) + (INTERCONNECT soc/la_oenb[107] mgmt_buffers/la_oenb_mprj[107] (0.026::0.026)) + (INTERCONNECT soc/la_oenb[108] mgmt_buffers/la_oenb_mprj[108] (0.028::0.028)) + (INTERCONNECT soc/la_oenb[109] mgmt_buffers/la_oenb_mprj[109] (0.024::0.024)) + (INTERCONNECT soc/la_oenb[10] mgmt_buffers/la_oenb_mprj[10] (0.021::0.021)) + (INTERCONNECT soc/la_oenb[110] mgmt_buffers/la_oenb_mprj[110] (0.022::0.022)) + (INTERCONNECT soc/la_oenb[111] mgmt_buffers/la_oenb_mprj[111] (0.032::0.032)) + (INTERCONNECT soc/la_oenb[112] mgmt_buffers/la_oenb_mprj[112] (0.035::0.035)) + (INTERCONNECT soc/la_oenb[113] mgmt_buffers/la_oenb_mprj[113] (0.036::0.036)) + (INTERCONNECT soc/la_oenb[114] mgmt_buffers/la_oenb_mprj[114] (0.032::0.032)) + (INTERCONNECT soc/la_oenb[115] mgmt_buffers/la_oenb_mprj[115] (0.039::0.039)) + (INTERCONNECT soc/la_oenb[116] mgmt_buffers/la_oenb_mprj[116] (0.033::0.033)) + (INTERCONNECT soc/la_oenb[117] mgmt_buffers/la_oenb_mprj[117] (0.042::0.042)) + (INTERCONNECT soc/la_oenb[118] mgmt_buffers/la_oenb_mprj[118] (0.036::0.036)) + (INTERCONNECT soc/la_oenb[119] mgmt_buffers/la_oenb_mprj[119] (0.046::0.046)) + (INTERCONNECT soc/la_oenb[11] mgmt_buffers/la_oenb_mprj[11] (0.028::0.028)) + (INTERCONNECT soc/la_oenb[120] mgmt_buffers/la_oenb_mprj[120] (0.037::0.037)) + (INTERCONNECT soc/la_oenb[121] mgmt_buffers/la_oenb_mprj[121] (0.046::0.046)) + (INTERCONNECT soc/la_oenb[122] mgmt_buffers/la_oenb_mprj[122] (0.041::0.041)) + (INTERCONNECT soc/la_oenb[123] mgmt_buffers/la_oenb_mprj[123] (0.052::0.052)) + (INTERCONNECT soc/la_oenb[124] mgmt_buffers/la_oenb_mprj[124] (0.043::0.043)) + (INTERCONNECT soc/la_oenb[125] mgmt_buffers/la_oenb_mprj[125] (0.038::0.038)) + (INTERCONNECT soc/la_oenb[126] mgmt_buffers/la_oenb_mprj[126] (0.063::0.063)) + (INTERCONNECT soc/la_oenb[127] mgmt_buffers/la_oenb_mprj[127] (0.059::0.059)) + (INTERCONNECT soc/la_oenb[12] mgmt_buffers/la_oenb_mprj[12] (0.018::0.018)) + (INTERCONNECT soc/la_oenb[13] mgmt_buffers/la_oenb_mprj[13] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[14] mgmt_buffers/la_oenb_mprj[14] (0.017::0.017)) + (INTERCONNECT soc/la_oenb[15] mgmt_buffers/la_oenb_mprj[15] (0.014::0.014)) + (INTERCONNECT soc/la_oenb[16] mgmt_buffers/la_oenb_mprj[16] (0.063::0.063)) + (INTERCONNECT soc/la_oenb[17] mgmt_buffers/la_oenb_mprj[17] (0.013::0.013)) + (INTERCONNECT soc/la_oenb[18] mgmt_buffers/la_oenb_mprj[18] (0.011::0.011)) + (INTERCONNECT soc/la_oenb[19] mgmt_buffers/la_oenb_mprj[19] (0.012::0.012)) + (INTERCONNECT soc/la_oenb[1] mgmt_buffers/la_oenb_mprj[1] (0.024::0.024)) + (INTERCONNECT soc/la_oenb[20] mgmt_buffers/la_oenb_mprj[20] (0.008::0.008)) + (INTERCONNECT soc/la_oenb[21] mgmt_buffers/la_oenb_mprj[21] (0.055::0.055)) + (INTERCONNECT soc/la_oenb[22] mgmt_buffers/la_oenb_mprj[22] (0.051::0.051)) + (INTERCONNECT soc/la_oenb[23] mgmt_buffers/la_oenb_mprj[23] (0.051::0.051)) + (INTERCONNECT soc/la_oenb[24] mgmt_buffers/la_oenb_mprj[24] (0.048::0.048)) + (INTERCONNECT soc/la_oenb[25] mgmt_buffers/la_oenb_mprj[25] (0.046::0.046)) + (INTERCONNECT soc/la_oenb[26] mgmt_buffers/la_oenb_mprj[26] (0.044::0.044)) + (INTERCONNECT soc/la_oenb[27] mgmt_buffers/la_oenb_mprj[27] (0.044::0.044)) + (INTERCONNECT soc/la_oenb[28] mgmt_buffers/la_oenb_mprj[28] (0.037::0.037)) + (INTERCONNECT soc/la_oenb[29] mgmt_buffers/la_oenb_mprj[29] (0.041::0.041)) + (INTERCONNECT soc/la_oenb[2] mgmt_buffers/la_oenb_mprj[2] (0.021::0.021)) + (INTERCONNECT soc/la_oenb[30] mgmt_buffers/la_oenb_mprj[30] (0.031::0.031)) + (INTERCONNECT soc/la_oenb[31] mgmt_buffers/la_oenb_mprj[31] (0.036::0.036)) + (INTERCONNECT soc/la_oenb[32] mgmt_buffers/la_oenb_mprj[32] (0.033::0.033)) + (INTERCONNECT soc/la_oenb[33] mgmt_buffers/la_oenb_mprj[33] (0.034::0.034)) + (INTERCONNECT soc/la_oenb[34] mgmt_buffers/la_oenb_mprj[34] (0.029::0.029)) + (INTERCONNECT soc/la_oenb[35] mgmt_buffers/la_oenb_mprj[35] (0.026::0.026)) + (INTERCONNECT soc/la_oenb[36] mgmt_buffers/la_oenb_mprj[36] (0.021::0.021)) + (INTERCONNECT soc/la_oenb[37] mgmt_buffers/la_oenb_mprj[37] (0.023::0.023)) + (INTERCONNECT soc/la_oenb[38] mgmt_buffers/la_oenb_mprj[38] (0.018::0.018)) + (INTERCONNECT soc/la_oenb[39] mgmt_buffers/la_oenb_mprj[39] (0.024::0.024)) + (INTERCONNECT soc/la_oenb[3] mgmt_buffers/la_oenb_mprj[3] (0.101::0.101)) + (INTERCONNECT soc/la_oenb[40] mgmt_buffers/la_oenb_mprj[40] (0.017::0.017)) + (INTERCONNECT soc/la_oenb[41] mgmt_buffers/la_oenb_mprj[41] (0.021::0.021)) + (INTERCONNECT soc/la_oenb[42] mgmt_buffers/la_oenb_mprj[42] (0.019::0.019)) + (INTERCONNECT soc/la_oenb[43] mgmt_buffers/la_oenb_mprj[43] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[44] mgmt_buffers/la_oenb_mprj[44] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[45] mgmt_buffers/la_oenb_mprj[45] (0.016::0.016)) + (INTERCONNECT soc/la_oenb[46] mgmt_buffers/la_oenb_mprj[46] (0.015::0.015)) + (INTERCONNECT soc/la_oenb[47] mgmt_buffers/la_oenb_mprj[47] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[48] mgmt_buffers/la_oenb_mprj[48] (0.012::0.012)) + (INTERCONNECT soc/la_oenb[49] mgmt_buffers/la_oenb_mprj[49] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[4] mgmt_buffers/la_oenb_mprj[4] (0.020::0.020)) + (INTERCONNECT soc/la_oenb[50] mgmt_buffers/la_oenb_mprj[50] (0.009::0.009)) + (INTERCONNECT soc/la_oenb[51] mgmt_buffers/la_oenb_mprj[51] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[52] mgmt_buffers/la_oenb_mprj[52] (0.009::0.009)) + (INTERCONNECT soc/la_oenb[53] mgmt_buffers/la_oenb_mprj[53] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[54] mgmt_buffers/la_oenb_mprj[54] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[55] mgmt_buffers/la_oenb_mprj[55] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[56] mgmt_buffers/la_oenb_mprj[56] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[57] mgmt_buffers/la_oenb_mprj[57] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[58] mgmt_buffers/la_oenb_mprj[58] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[59] mgmt_buffers/la_oenb_mprj[59] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[5] mgmt_buffers/la_oenb_mprj[5] (0.024::0.024)) + (INTERCONNECT soc/la_oenb[60] mgmt_buffers/la_oenb_mprj[60] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[61] mgmt_buffers/la_oenb_mprj[61] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[62] mgmt_buffers/la_oenb_mprj[62] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[63] mgmt_buffers/la_oenb_mprj[63] (0.002::0.002)) + (INTERCONNECT soc/la_oenb[64] mgmt_buffers/la_oenb_mprj[64] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[65] mgmt_buffers/la_oenb_mprj[65] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[66] mgmt_buffers/la_oenb_mprj[66] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[67] mgmt_buffers/la_oenb_mprj[67] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[68] mgmt_buffers/la_oenb_mprj[68] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[69] mgmt_buffers/la_oenb_mprj[69] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[6] mgmt_buffers/la_oenb_mprj[6] (0.019::0.019)) + (INTERCONNECT soc/la_oenb[70] mgmt_buffers/la_oenb_mprj[70] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[71] mgmt_buffers/la_oenb_mprj[71] (0.000::0.000)) + (INTERCONNECT soc/la_oenb[72] mgmt_buffers/la_oenb_mprj[72] (0.000::0.000)) + (INTERCONNECT soc/la_oenb[73] mgmt_buffers/la_oenb_mprj[73] (0.000::0.000)) + (INTERCONNECT soc/la_oenb[74] mgmt_buffers/la_oenb_mprj[74] (0.000::0.000)) + (INTERCONNECT soc/la_oenb[75] mgmt_buffers/la_oenb_mprj[75] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[76] mgmt_buffers/la_oenb_mprj[76] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[77] mgmt_buffers/la_oenb_mprj[77] (0.001::0.001)) + (INTERCONNECT soc/la_oenb[78] mgmt_buffers/la_oenb_mprj[78] (0.002::0.002)) + (INTERCONNECT soc/la_oenb[79] mgmt_buffers/la_oenb_mprj[79] (0.002::0.002)) + (INTERCONNECT soc/la_oenb[7] mgmt_buffers/la_oenb_mprj[7] (0.083::0.083)) + (INTERCONNECT soc/la_oenb[80] mgmt_buffers/la_oenb_mprj[80] (0.002::0.002)) + (INTERCONNECT soc/la_oenb[81] mgmt_buffers/la_oenb_mprj[81] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[82] mgmt_buffers/la_oenb_mprj[82] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[83] mgmt_buffers/la_oenb_mprj[83] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[84] mgmt_buffers/la_oenb_mprj[84] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[85] mgmt_buffers/la_oenb_mprj[85] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[86] mgmt_buffers/la_oenb_mprj[86] (0.005::0.005)) + (INTERCONNECT soc/la_oenb[87] mgmt_buffers/la_oenb_mprj[87] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[88] mgmt_buffers/la_oenb_mprj[88] (0.003::0.003)) + (INTERCONNECT soc/la_oenb[89] mgmt_buffers/la_oenb_mprj[89] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[8] mgmt_buffers/la_oenb_mprj[8] (0.018::0.018)) + (INTERCONNECT soc/la_oenb[90] mgmt_buffers/la_oenb_mprj[90] (0.008::0.008)) + (INTERCONNECT soc/la_oenb[91] mgmt_buffers/la_oenb_mprj[91] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[92] mgmt_buffers/la_oenb_mprj[92] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[93] mgmt_buffers/la_oenb_mprj[93] (0.006::0.006)) + (INTERCONNECT soc/la_oenb[94] mgmt_buffers/la_oenb_mprj[94] (0.008::0.008)) + (INTERCONNECT soc/la_oenb[95] mgmt_buffers/la_oenb_mprj[95] (0.010::0.010)) + (INTERCONNECT soc/la_oenb[96] mgmt_buffers/la_oenb_mprj[96] (0.007::0.007)) + (INTERCONNECT soc/la_oenb[97] mgmt_buffers/la_oenb_mprj[97] (0.004::0.004)) + (INTERCONNECT soc/la_oenb[98] mgmt_buffers/la_oenb_mprj[98] (0.011::0.011)) + (INTERCONNECT soc/la_oenb[99] mgmt_buffers/la_oenb_mprj[99] (0.010::0.010)) + (INTERCONNECT soc/la_oenb[9] mgmt_buffers/la_oenb_mprj[9] (0.021::0.021)) + (INTERCONNECT soc/la_output[0] mgmt_buffers/la_data_out_mprj[0] (0.021::0.021)) + (INTERCONNECT soc/la_output[100] mgmt_buffers/la_data_out_mprj[100] (0.018::0.018)) + (INTERCONNECT soc/la_output[101] mgmt_buffers/la_data_out_mprj[101] (0.017::0.017)) + (INTERCONNECT soc/la_output[102] mgmt_buffers/la_data_out_mprj[102] (0.018::0.018)) + (INTERCONNECT soc/la_output[103] mgmt_buffers/la_data_out_mprj[103] (0.017::0.017)) + (INTERCONNECT soc/la_output[104] mgmt_buffers/la_data_out_mprj[104] (0.017::0.017)) + (INTERCONNECT soc/la_output[105] mgmt_buffers/la_data_out_mprj[105] (0.018::0.018)) + (INTERCONNECT soc/la_output[106] mgmt_buffers/la_data_out_mprj[106] (0.016::0.016)) + (INTERCONNECT soc/la_output[107] mgmt_buffers/la_data_out_mprj[107] (0.019::0.019)) + (INTERCONNECT soc/la_output[108] mgmt_buffers/la_data_out_mprj[108] (0.018::0.018)) + (INTERCONNECT soc/la_output[109] mgmt_buffers/la_data_out_mprj[109] (0.026::0.026)) + (INTERCONNECT soc/la_output[10] mgmt_buffers/la_data_out_mprj[10] (0.069::0.069)) + (INTERCONNECT soc/la_output[110] mgmt_buffers/la_data_out_mprj[110] (0.033::0.033)) + (INTERCONNECT soc/la_output[111] mgmt_buffers/la_data_out_mprj[111] (0.032::0.032)) + (INTERCONNECT soc/la_output[112] mgmt_buffers/la_data_out_mprj[112] (0.036::0.036)) + (INTERCONNECT soc/la_output[113] mgmt_buffers/la_data_out_mprj[113] (0.030::0.030)) + (INTERCONNECT soc/la_output[114] mgmt_buffers/la_data_out_mprj[114] (0.038::0.038)) + (INTERCONNECT soc/la_output[115] mgmt_buffers/la_data_out_mprj[115] (0.036::0.036)) + (INTERCONNECT soc/la_output[116] mgmt_buffers/la_data_out_mprj[116] (0.040::0.040)) + (INTERCONNECT soc/la_output[117] mgmt_buffers/la_data_out_mprj[117] (0.046::0.046)) + (INTERCONNECT soc/la_output[118] mgmt_buffers/la_data_out_mprj[118] (0.047::0.047)) + (INTERCONNECT soc/la_output[119] mgmt_buffers/la_data_out_mprj[119] (0.049::0.049)) + (INTERCONNECT soc/la_output[11] mgmt_buffers/la_data_out_mprj[11] (0.018::0.018)) + (INTERCONNECT soc/la_output[120] mgmt_buffers/la_data_out_mprj[120] (0.051::0.051)) + (INTERCONNECT soc/la_output[121] mgmt_buffers/la_data_out_mprj[121] (0.053::0.053)) + (INTERCONNECT soc/la_output[122] mgmt_buffers/la_data_out_mprj[122] (0.056::0.056)) + (INTERCONNECT soc/la_output[123] mgmt_buffers/la_data_out_mprj[123] (0.057::0.057)) + (INTERCONNECT soc/la_output[124] mgmt_buffers/la_data_out_mprj[124] (0.059::0.059)) + (INTERCONNECT soc/la_output[125] mgmt_buffers/la_data_out_mprj[125] (0.010::0.010)) + (INTERCONNECT soc/la_output[126] mgmt_buffers/la_data_out_mprj[126] (0.014::0.014)) + (INTERCONNECT soc/la_output[127] mgmt_buffers/la_data_out_mprj[127] (0.015::0.015)) + (INTERCONNECT soc/la_output[12] mgmt_buffers/la_data_out_mprj[12] (0.063::0.063)) + (INTERCONNECT soc/la_output[13] mgmt_buffers/la_data_out_mprj[13] (0.017::0.017)) + (INTERCONNECT soc/la_output[14] mgmt_buffers/la_data_out_mprj[14] (0.016::0.016)) + (INTERCONNECT soc/la_output[15] mgmt_buffers/la_data_out_mprj[15] (0.067::0.067)) + (INTERCONNECT soc/la_output[16] mgmt_buffers/la_data_out_mprj[16] (0.010::0.010)) + (INTERCONNECT soc/la_output[17] mgmt_buffers/la_data_out_mprj[17] (0.014::0.014)) + (INTERCONNECT soc/la_output[18] mgmt_buffers/la_data_out_mprj[18] (0.014::0.014)) + (INTERCONNECT soc/la_output[19] mgmt_buffers/la_data_out_mprj[19] (0.056::0.056)) + (INTERCONNECT soc/la_output[1] mgmt_buffers/la_data_out_mprj[1] (0.081::0.081)) + (INTERCONNECT soc/la_output[20] mgmt_buffers/la_data_out_mprj[20] (0.049::0.049)) + (INTERCONNECT soc/la_output[21] mgmt_buffers/la_data_out_mprj[21] (0.051::0.051)) + (INTERCONNECT soc/la_output[22] mgmt_buffers/la_data_out_mprj[22] (0.049::0.049)) + (INTERCONNECT soc/la_output[23] mgmt_buffers/la_data_out_mprj[23] (0.046::0.046)) + (INTERCONNECT soc/la_output[24] mgmt_buffers/la_data_out_mprj[24] (0.044::0.044)) + (INTERCONNECT soc/la_output[25] mgmt_buffers/la_data_out_mprj[25] (0.027::0.027)) + (INTERCONNECT soc/la_output[26] mgmt_buffers/la_data_out_mprj[26] (0.041::0.041)) + (INTERCONNECT soc/la_output[27] mgmt_buffers/la_data_out_mprj[27] (0.042::0.042)) + (INTERCONNECT soc/la_output[28] mgmt_buffers/la_data_out_mprj[28] (0.023::0.023)) + (INTERCONNECT soc/la_output[29] mgmt_buffers/la_data_out_mprj[29] (0.025::0.025)) + (INTERCONNECT soc/la_output[2] mgmt_buffers/la_data_out_mprj[2] (0.097::0.097)) + (INTERCONNECT soc/la_output[30] mgmt_buffers/la_data_out_mprj[30] (0.038::0.038)) + (INTERCONNECT soc/la_output[31] mgmt_buffers/la_data_out_mprj[31] (0.025::0.025)) + (INTERCONNECT soc/la_output[32] mgmt_buffers/la_data_out_mprj[32] (0.034::0.034)) + (INTERCONNECT soc/la_output[33] mgmt_buffers/la_data_out_mprj[33] (0.023::0.023)) + (INTERCONNECT soc/la_output[34] mgmt_buffers/la_data_out_mprj[34] (0.024::0.024)) + (INTERCONNECT soc/la_output[35] mgmt_buffers/la_data_out_mprj[35] (0.021::0.021)) + (INTERCONNECT soc/la_output[36] mgmt_buffers/la_data_out_mprj[36] (0.020::0.020)) + (INTERCONNECT soc/la_output[37] mgmt_buffers/la_data_out_mprj[37] (0.018::0.018)) + (INTERCONNECT soc/la_output[38] mgmt_buffers/la_data_out_mprj[38] (0.018::0.018)) + (INTERCONNECT soc/la_output[39] mgmt_buffers/la_data_out_mprj[39] (0.022::0.022)) + (INTERCONNECT soc/la_output[3] mgmt_buffers/la_data_out_mprj[3] (0.095::0.095)) + (INTERCONNECT soc/la_output[40] mgmt_buffers/la_data_out_mprj[40] (0.013::0.013)) + (INTERCONNECT soc/la_output[41] mgmt_buffers/la_data_out_mprj[41] (0.019::0.019)) + (INTERCONNECT soc/la_output[42] mgmt_buffers/la_data_out_mprj[42] (0.016::0.016)) + (INTERCONNECT soc/la_output[43] mgmt_buffers/la_data_out_mprj[43] (0.013::0.013)) + (INTERCONNECT soc/la_output[44] mgmt_buffers/la_data_out_mprj[44] (0.014::0.014)) + (INTERCONNECT soc/la_output[45] mgmt_buffers/la_data_out_mprj[45] (0.012::0.012)) + (INTERCONNECT soc/la_output[46] mgmt_buffers/la_data_out_mprj[46] (0.014::0.014)) + (INTERCONNECT soc/la_output[47] mgmt_buffers/la_data_out_mprj[47] (0.009::0.009)) + (INTERCONNECT soc/la_output[48] mgmt_buffers/la_data_out_mprj[48] (0.013::0.013)) + (INTERCONNECT soc/la_output[49] mgmt_buffers/la_data_out_mprj[49] (0.008::0.008)) + (INTERCONNECT soc/la_output[4] mgmt_buffers/la_data_out_mprj[4] (0.091::0.091)) + (INTERCONNECT soc/la_output[50] mgmt_buffers/la_data_out_mprj[50] (0.011::0.011)) + (INTERCONNECT soc/la_output[51] mgmt_buffers/la_data_out_mprj[51] (0.008::0.008)) + (INTERCONNECT soc/la_output[52] mgmt_buffers/la_data_out_mprj[52] (0.008::0.008)) + (INTERCONNECT soc/la_output[53] mgmt_buffers/la_data_out_mprj[53] (0.008::0.008)) + (INTERCONNECT soc/la_output[54] mgmt_buffers/la_data_out_mprj[54] (0.007::0.007)) + (INTERCONNECT soc/la_output[55] mgmt_buffers/la_data_out_mprj[55] (0.007::0.007)) + (INTERCONNECT soc/la_output[56] mgmt_buffers/la_data_out_mprj[56] (0.006::0.006)) + (INTERCONNECT soc/la_output[57] mgmt_buffers/la_data_out_mprj[57] (0.003::0.003)) + (INTERCONNECT soc/la_output[58] mgmt_buffers/la_data_out_mprj[58] (0.005::0.005)) + (INTERCONNECT soc/la_output[59] mgmt_buffers/la_data_out_mprj[59] (0.004::0.004)) + (INTERCONNECT soc/la_output[5] mgmt_buffers/la_data_out_mprj[5] (0.094::0.094)) + (INTERCONNECT soc/la_output[60] mgmt_buffers/la_data_out_mprj[60] (0.003::0.003)) + (INTERCONNECT soc/la_output[61] mgmt_buffers/la_data_out_mprj[61] (0.003::0.003)) + (INTERCONNECT soc/la_output[62] mgmt_buffers/la_data_out_mprj[62] (0.002::0.002)) + (INTERCONNECT soc/la_output[63] mgmt_buffers/la_data_out_mprj[63] (0.002::0.002)) + (INTERCONNECT soc/la_output[64] mgmt_buffers/la_data_out_mprj[64] (0.002::0.002)) + (INTERCONNECT soc/la_output[65] mgmt_buffers/la_data_out_mprj[65] (0.001::0.001)) + (INTERCONNECT soc/la_output[66] mgmt_buffers/la_data_out_mprj[66] (0.001::0.001)) + (INTERCONNECT soc/la_output[67] mgmt_buffers/la_data_out_mprj[67] (0.001::0.001)) + (INTERCONNECT soc/la_output[68] mgmt_buffers/la_data_out_mprj[68] (0.001::0.001)) + (INTERCONNECT soc/la_output[69] mgmt_buffers/la_data_out_mprj[69] (0.000::0.000)) + (INTERCONNECT soc/la_output[6] mgmt_buffers/la_data_out_mprj[6] (0.085::0.085)) + (INTERCONNECT soc/la_output[70] mgmt_buffers/la_data_out_mprj[70] (0.000::0.000)) + (INTERCONNECT soc/la_output[71] mgmt_buffers/la_data_out_mprj[71] (0.000::0.000)) + (INTERCONNECT soc/la_output[72] mgmt_buffers/la_data_out_mprj[72] (0.000::0.000)) + (INTERCONNECT soc/la_output[73] mgmt_buffers/la_data_out_mprj[73] (0.000::0.000)) + (INTERCONNECT soc/la_output[74] mgmt_buffers/la_data_out_mprj[74] (0.001::0.001)) + (INTERCONNECT soc/la_output[75] mgmt_buffers/la_data_out_mprj[75] (0.001::0.001)) + (INTERCONNECT soc/la_output[76] mgmt_buffers/la_data_out_mprj[76] (0.001::0.001)) + (INTERCONNECT soc/la_output[77] mgmt_buffers/la_data_out_mprj[77] (0.001::0.001)) + (INTERCONNECT soc/la_output[78] mgmt_buffers/la_data_out_mprj[78] (0.002::0.002)) + (INTERCONNECT soc/la_output[79] mgmt_buffers/la_data_out_mprj[79] (0.002::0.002)) + (INTERCONNECT soc/la_output[7] mgmt_buffers/la_data_out_mprj[7] (0.088::0.088)) + (INTERCONNECT soc/la_output[80] mgmt_buffers/la_data_out_mprj[80] (0.003::0.003)) + (INTERCONNECT soc/la_output[81] mgmt_buffers/la_data_out_mprj[81] (0.003::0.003)) + (INTERCONNECT soc/la_output[82] mgmt_buffers/la_data_out_mprj[82] (0.004::0.004)) + (INTERCONNECT soc/la_output[83] mgmt_buffers/la_data_out_mprj[83] (0.003::0.003)) + (INTERCONNECT soc/la_output[84] mgmt_buffers/la_data_out_mprj[84] (0.004::0.004)) + (INTERCONNECT soc/la_output[85] mgmt_buffers/la_data_out_mprj[85] (0.005::0.005)) + (INTERCONNECT soc/la_output[86] mgmt_buffers/la_data_out_mprj[86] (0.006::0.006)) + (INTERCONNECT soc/la_output[87] mgmt_buffers/la_data_out_mprj[87] (0.006::0.006)) + (INTERCONNECT soc/la_output[88] mgmt_buffers/la_data_out_mprj[88] (0.007::0.007)) + (INTERCONNECT soc/la_output[89] mgmt_buffers/la_data_out_mprj[89] (0.008::0.008)) + (INTERCONNECT soc/la_output[8] mgmt_buffers/la_data_out_mprj[8] (0.053::0.053)) + (INTERCONNECT soc/la_output[90] mgmt_buffers/la_data_out_mprj[90] (0.008::0.008)) + (INTERCONNECT soc/la_output[91] mgmt_buffers/la_data_out_mprj[91] (0.009::0.009)) + (INTERCONNECT soc/la_output[92] mgmt_buffers/la_data_out_mprj[92] (0.011::0.011)) + (INTERCONNECT soc/la_output[93] mgmt_buffers/la_data_out_mprj[93] (0.011::0.011)) + (INTERCONNECT soc/la_output[94] mgmt_buffers/la_data_out_mprj[94] (0.012::0.012)) + (INTERCONNECT soc/la_output[95] mgmt_buffers/la_data_out_mprj[95] (0.013::0.013)) + (INTERCONNECT soc/la_output[96] mgmt_buffers/la_data_out_mprj[96] (0.014::0.014)) + (INTERCONNECT soc/la_output[97] mgmt_buffers/la_data_out_mprj[97] (0.004::0.004)) + (INTERCONNECT soc/la_output[98] mgmt_buffers/la_data_out_mprj[98] (0.005::0.005)) + (INTERCONNECT soc/la_output[99] mgmt_buffers/la_data_out_mprj[99] (0.005::0.005)) + (INTERCONNECT soc/la_output[9] mgmt_buffers/la_data_out_mprj[9] (0.068::0.068)) + (INTERCONNECT soc/mprj_adr_o[0] housekeeping/wb_adr_i[0] (0.055::0.055)) + (INTERCONNECT soc/mprj_adr_o[0] mgmt_buffers/mprj_adr_o_core[0] (0.068::0.068)) + (INTERCONNECT soc/mprj_adr_o[10] housekeeping/wb_adr_i[10] (0.047::0.047)) + (INTERCONNECT soc/mprj_adr_o[10] mgmt_buffers/mprj_adr_o_core[10] (0.019::0.019)) + (INTERCONNECT soc/mprj_adr_o[11] housekeeping/wb_adr_i[11] (0.046::0.046)) + (INTERCONNECT soc/mprj_adr_o[11] mgmt_buffers/mprj_adr_o_core[11] (0.015::0.015)) + (INTERCONNECT soc/mprj_adr_o[12] housekeeping/wb_adr_i[12] (0.044::0.044)) + (INTERCONNECT soc/mprj_adr_o[12] mgmt_buffers/mprj_adr_o_core[12] (0.022::0.022)) + (INTERCONNECT soc/mprj_adr_o[13] housekeeping/wb_adr_i[13] (0.042::0.042)) + (INTERCONNECT soc/mprj_adr_o[13] mgmt_buffers/mprj_adr_o_core[13] (0.023::0.023)) + (INTERCONNECT soc/mprj_adr_o[14] housekeeping/wb_adr_i[14] (0.026::0.026)) + (INTERCONNECT soc/mprj_adr_o[14] mgmt_buffers/mprj_adr_o_core[14] (0.023::0.023)) + (INTERCONNECT soc/mprj_adr_o[15] housekeeping/wb_adr_i[15] (0.040::0.040)) + (INTERCONNECT soc/mprj_adr_o[15] mgmt_buffers/mprj_adr_o_core[15] (0.075::0.075)) + (INTERCONNECT soc/mprj_adr_o[16] housekeeping/wb_adr_i[16] (0.041::0.041)) + (INTERCONNECT soc/mprj_adr_o[16] mgmt_buffers/mprj_adr_o_core[16] (0.026::0.026)) + (INTERCONNECT soc/mprj_adr_o[17] housekeeping/wb_adr_i[17] (0.040::0.040)) + (INTERCONNECT soc/mprj_adr_o[17] mgmt_buffers/mprj_adr_o_core[17] (0.087::0.087)) + (INTERCONNECT soc/mprj_adr_o[18] housekeeping/wb_adr_i[18] (0.041::0.041)) + (INTERCONNECT soc/mprj_adr_o[18] mgmt_buffers/mprj_adr_o_core[18] (0.027::0.027)) + (INTERCONNECT soc/mprj_adr_o[19] housekeeping/wb_adr_i[19] (0.039::0.039)) + (INTERCONNECT soc/mprj_adr_o[19] mgmt_buffers/mprj_adr_o_core[19] (0.028::0.028)) + (INTERCONNECT soc/mprj_adr_o[1] housekeeping/wb_adr_i[1] (0.066::0.066)) + (INTERCONNECT soc/mprj_adr_o[1] mgmt_buffers/mprj_adr_o_core[1] (0.069::0.069)) + (INTERCONNECT soc/mprj_adr_o[20] housekeeping/wb_adr_i[20] (0.029::0.029)) + (INTERCONNECT soc/mprj_adr_o[20] mgmt_buffers/mprj_adr_o_core[20] (0.088::0.088)) + (INTERCONNECT soc/mprj_adr_o[21] housekeeping/wb_adr_i[21] (0.022::0.022)) + (INTERCONNECT soc/mprj_adr_o[21] mgmt_buffers/mprj_adr_o_core[21] (0.114::0.114)) + (INTERCONNECT soc/mprj_adr_o[22] housekeeping/wb_adr_i[22] (0.034::0.034)) + (INTERCONNECT soc/mprj_adr_o[22] mgmt_buffers/mprj_adr_o_core[22] (0.088::0.088)) + (INTERCONNECT soc/mprj_adr_o[23] housekeeping/wb_adr_i[23] (0.032::0.032)) + (INTERCONNECT soc/mprj_adr_o[23] mgmt_buffers/mprj_adr_o_core[23] (0.029::0.029)) + (INTERCONNECT soc/mprj_adr_o[24] housekeeping/wb_adr_i[24] (0.025::0.025)) + (INTERCONNECT soc/mprj_adr_o[24] mgmt_buffers/mprj_adr_o_core[24] (0.031::0.031)) + (INTERCONNECT soc/mprj_adr_o[25] housekeeping/wb_adr_i[25] (0.026::0.026)) + (INTERCONNECT soc/mprj_adr_o[25] mgmt_buffers/mprj_adr_o_core[25] (0.123::0.123)) + (INTERCONNECT soc/mprj_adr_o[26] housekeeping/wb_adr_i[26] (0.022::0.022)) + (INTERCONNECT soc/mprj_adr_o[26] mgmt_buffers/mprj_adr_o_core[26] (0.099::0.099)) + (INTERCONNECT soc/mprj_adr_o[27] housekeeping/wb_adr_i[27] (0.027::0.027)) + (INTERCONNECT soc/mprj_adr_o[27] mgmt_buffers/mprj_adr_o_core[27] (0.030::0.030)) + (INTERCONNECT soc/mprj_adr_o[28] housekeeping/wb_adr_i[28] (0.025::0.025)) + (INTERCONNECT soc/mprj_adr_o[28] mgmt_buffers/mprj_adr_o_core[28] (0.096::0.096)) + (INTERCONNECT soc/mprj_adr_o[29] housekeeping/wb_adr_i[29] (0.023::0.023)) + (INTERCONNECT soc/mprj_adr_o[29] mgmt_buffers/mprj_adr_o_core[29] (0.132::0.132)) + (INTERCONNECT soc/mprj_adr_o[2] housekeeping/wb_adr_i[2] (0.047::0.047)) + (INTERCONNECT soc/mprj_adr_o[2] mgmt_buffers/mprj_adr_o_core[2] (0.061::0.061)) + (INTERCONNECT soc/mprj_adr_o[30] housekeeping/wb_adr_i[30] (0.023::0.023)) + (INTERCONNECT soc/mprj_adr_o[30] mgmt_buffers/mprj_adr_o_core[30] (0.127::0.127)) + (INTERCONNECT soc/mprj_adr_o[31] housekeeping/wb_adr_i[31] (0.018::0.018)) + (INTERCONNECT soc/mprj_adr_o[31] mgmt_buffers/mprj_adr_o_core[31] (0.026::0.026)) + (INTERCONNECT soc/mprj_adr_o[3] housekeeping/wb_adr_i[3] (0.071::0.071)) + (INTERCONNECT soc/mprj_adr_o[3] mgmt_buffers/mprj_adr_o_core[3] (0.078::0.078)) + (INTERCONNECT soc/mprj_adr_o[4] housekeeping/wb_adr_i[4] (0.068::0.068)) + (INTERCONNECT soc/mprj_adr_o[4] mgmt_buffers/mprj_adr_o_core[4] (0.065::0.065)) + (INTERCONNECT soc/mprj_adr_o[5] housekeeping/wb_adr_i[5] (0.053::0.053)) + (INTERCONNECT soc/mprj_adr_o[5] mgmt_buffers/mprj_adr_o_core[5] (0.082::0.082)) + (INTERCONNECT soc/mprj_adr_o[6] housekeeping/wb_adr_i[6] (0.059::0.059)) + (INTERCONNECT soc/mprj_adr_o[6] mgmt_buffers/mprj_adr_o_core[6] (0.058::0.058)) + (INTERCONNECT soc/mprj_adr_o[7] housekeeping/wb_adr_i[7] (0.061::0.061)) + (INTERCONNECT soc/mprj_adr_o[7] mgmt_buffers/mprj_adr_o_core[7] (0.084::0.084)) + (INTERCONNECT soc/mprj_adr_o[8] housekeeping/wb_adr_i[8] (0.055::0.055)) + (INTERCONNECT soc/mprj_adr_o[8] mgmt_buffers/mprj_adr_o_core[8] (0.070::0.070)) + (INTERCONNECT soc/mprj_adr_o[9] housekeeping/wb_adr_i[9] (0.049::0.049)) + (INTERCONNECT soc/mprj_adr_o[9] mgmt_buffers/mprj_adr_o_core[9] (0.058::0.058)) + (INTERCONNECT soc/mprj_cyc_o housekeeping/wb_cyc_i (0.120::0.120)) + (INTERCONNECT soc/mprj_cyc_o mgmt_buffers/mprj_cyc_o_core (0.015::0.015)) + (INTERCONNECT soc/mprj_dat_o[0] housekeeping/wb_dat_i[0] (0.087::0.087)) + (INTERCONNECT soc/mprj_dat_o[0] mgmt_buffers/mprj_dat_o_core[0] (0.010::0.010)) + (INTERCONNECT soc/mprj_dat_o[10] housekeeping/wb_dat_i[10] (0.050::0.050)) + (INTERCONNECT soc/mprj_dat_o[10] mgmt_buffers/mprj_dat_o_core[10] (0.028::0.028)) + (INTERCONNECT soc/mprj_dat_o[11] housekeeping/wb_dat_i[11] (0.017::0.017)) + (INTERCONNECT soc/mprj_dat_o[11] mgmt_buffers/mprj_dat_o_core[11] (0.023::0.023)) + (INTERCONNECT soc/mprj_dat_o[12] housekeeping/wb_dat_i[12] (0.014::0.014)) + (INTERCONNECT soc/mprj_dat_o[12] mgmt_buffers/mprj_dat_o_core[12] (0.027::0.027)) + (INTERCONNECT soc/mprj_dat_o[13] housekeeping/wb_dat_i[13] (0.050::0.050)) + (INTERCONNECT soc/mprj_dat_o[13] mgmt_buffers/mprj_dat_o_core[13] (0.069::0.069)) + (INTERCONNECT soc/mprj_dat_o[14] housekeeping/wb_dat_i[14] (0.050::0.050)) + (INTERCONNECT soc/mprj_dat_o[14] mgmt_buffers/mprj_dat_o_core[14] (0.025::0.025)) + (INTERCONNECT soc/mprj_dat_o[15] housekeeping/wb_dat_i[15] (0.055::0.055)) + (INTERCONNECT soc/mprj_dat_o[15] mgmt_buffers/mprj_dat_o_core[15] (0.023::0.023)) + (INTERCONNECT soc/mprj_dat_o[16] housekeeping/wb_dat_i[16] (0.046::0.046)) + (INTERCONNECT soc/mprj_dat_o[16] mgmt_buffers/mprj_dat_o_core[16] (0.026::0.026)) + (INTERCONNECT soc/mprj_dat_o[17] housekeeping/wb_dat_i[17] (0.053::0.053)) + (INTERCONNECT soc/mprj_dat_o[17] mgmt_buffers/mprj_dat_o_core[17] (0.027::0.027)) + (INTERCONNECT soc/mprj_dat_o[18] housekeeping/wb_dat_i[18] (0.042::0.042)) + (INTERCONNECT soc/mprj_dat_o[18] mgmt_buffers/mprj_dat_o_core[18] (0.107::0.107)) + (INTERCONNECT soc/mprj_dat_o[19] housekeeping/wb_dat_i[19] (0.049::0.049)) + (INTERCONNECT soc/mprj_dat_o[19] mgmt_buffers/mprj_dat_o_core[19] (0.032::0.032)) + (INTERCONNECT soc/mprj_dat_o[1] housekeeping/wb_dat_i[1] (0.083::0.083)) + (INTERCONNECT soc/mprj_dat_o[1] mgmt_buffers/mprj_dat_o_core[1] (0.016::0.016)) + (INTERCONNECT soc/mprj_dat_o[20] housekeeping/wb_dat_i[20] (0.046::0.046)) + (INTERCONNECT soc/mprj_dat_o[20] mgmt_buffers/mprj_dat_o_core[20] (0.115::0.115)) + (INTERCONNECT soc/mprj_dat_o[21] housekeeping/wb_dat_i[21] (0.038::0.038)) + (INTERCONNECT soc/mprj_dat_o[21] mgmt_buffers/mprj_dat_o_core[21] (0.105::0.105)) + (INTERCONNECT soc/mprj_dat_o[22] housekeeping/wb_dat_i[22] (0.041::0.041)) + (INTERCONNECT soc/mprj_dat_o[22] mgmt_buffers/mprj_dat_o_core[22] (0.030::0.030)) + (INTERCONNECT soc/mprj_dat_o[23] housekeeping/wb_dat_i[23] (0.034::0.034)) + (INTERCONNECT soc/mprj_dat_o[23] mgmt_buffers/mprj_dat_o_core[23] (0.112::0.112)) + (INTERCONNECT soc/mprj_dat_o[24] housekeeping/wb_dat_i[24] (0.037::0.037)) + (INTERCONNECT soc/mprj_dat_o[24] mgmt_buffers/mprj_dat_o_core[24] (0.050::0.050)) + (INTERCONNECT soc/mprj_dat_o[25] housekeeping/wb_dat_i[25] (0.031::0.031)) + (INTERCONNECT soc/mprj_dat_o[25] mgmt_buffers/mprj_dat_o_core[25] (0.123::0.123)) + (INTERCONNECT soc/mprj_dat_o[26] housekeeping/wb_dat_i[26] (0.033::0.033)) + (INTERCONNECT soc/mprj_dat_o[26] mgmt_buffers/mprj_dat_o_core[26] (0.104::0.104)) + (INTERCONNECT soc/mprj_dat_o[27] housekeeping/wb_dat_i[27] (0.027::0.027)) + (INTERCONNECT soc/mprj_dat_o[27] mgmt_buffers/mprj_dat_o_core[27] (0.129::0.129)) + (INTERCONNECT soc/mprj_dat_o[28] housekeeping/wb_dat_i[28] (0.029::0.029)) + (INTERCONNECT soc/mprj_dat_o[28] mgmt_buffers/mprj_dat_o_core[28] (0.092::0.092)) + (INTERCONNECT soc/mprj_dat_o[29] housekeeping/wb_dat_i[29] (0.025::0.025)) + (INTERCONNECT soc/mprj_dat_o[29] mgmt_buffers/mprj_dat_o_core[29] (0.139::0.139)) + (INTERCONNECT soc/mprj_dat_o[2] housekeeping/wb_dat_i[2] (0.020::0.020)) + (INTERCONNECT soc/mprj_dat_o[2] mgmt_buffers/mprj_dat_o_core[2] (0.020::0.020)) + (INTERCONNECT soc/mprj_dat_o[30] housekeeping/wb_dat_i[30] (0.024::0.024)) + (INTERCONNECT soc/mprj_dat_o[30] mgmt_buffers/mprj_dat_o_core[30] (0.112::0.112)) + (INTERCONNECT soc/mprj_dat_o[31] housekeeping/wb_dat_i[31] (0.019::0.019)) + (INTERCONNECT soc/mprj_dat_o[31] mgmt_buffers/mprj_dat_o_core[31] (0.113::0.113)) + (INTERCONNECT soc/mprj_dat_o[3] housekeeping/wb_dat_i[3] (0.074::0.074)) + (INTERCONNECT soc/mprj_dat_o[3] mgmt_buffers/mprj_dat_o_core[3] (0.019::0.019)) + (INTERCONNECT soc/mprj_dat_o[4] housekeeping/wb_dat_i[4] (0.020::0.020)) + (INTERCONNECT soc/mprj_dat_o[4] mgmt_buffers/mprj_dat_o_core[4] (0.021::0.021)) + (INTERCONNECT soc/mprj_dat_o[5] housekeeping/wb_dat_i[5] (0.070::0.070)) + (INTERCONNECT soc/mprj_dat_o[5] mgmt_buffers/mprj_dat_o_core[5] (0.022::0.022)) + (INTERCONNECT soc/mprj_dat_o[6] housekeeping/wb_dat_i[6] (0.019::0.019)) + (INTERCONNECT soc/mprj_dat_o[6] mgmt_buffers/mprj_dat_o_core[6] (0.021::0.021)) + (INTERCONNECT soc/mprj_dat_o[7] housekeeping/wb_dat_i[7] (0.067::0.067)) + (INTERCONNECT soc/mprj_dat_o[7] mgmt_buffers/mprj_dat_o_core[7] (0.022::0.022)) + (INTERCONNECT soc/mprj_dat_o[8] housekeeping/wb_dat_i[8] (0.018::0.018)) + (INTERCONNECT soc/mprj_dat_o[8] mgmt_buffers/mprj_dat_o_core[8] (0.023::0.023)) + (INTERCONNECT soc/mprj_dat_o[9] housekeeping/wb_dat_i[9] (0.060::0.060)) + (INTERCONNECT soc/mprj_dat_o[9] mgmt_buffers/mprj_dat_o_core[9] (0.023::0.023)) + (INTERCONNECT soc/mprj_sel_o[0] housekeeping/wb_sel_i[0] (0.109::0.109)) + (INTERCONNECT soc/mprj_sel_o[0] mgmt_buffers/mprj_sel_o_core[0] (0.017::0.017)) + (INTERCONNECT soc/mprj_sel_o[1] housekeeping/wb_sel_i[1] (0.101::0.101)) + (INTERCONNECT soc/mprj_sel_o[1] mgmt_buffers/mprj_sel_o_core[1] (0.015::0.015)) + (INTERCONNECT soc/mprj_sel_o[2] housekeeping/wb_sel_i[2] (0.018::0.018)) + (INTERCONNECT soc/mprj_sel_o[2] mgmt_buffers/mprj_sel_o_core[2] (0.020::0.020)) + (INTERCONNECT soc/mprj_sel_o[3] housekeeping/wb_sel_i[3] (0.022::0.022)) + (INTERCONNECT soc/mprj_sel_o[3] mgmt_buffers/mprj_sel_o_core[3] (0.021::0.021)) + (INTERCONNECT soc/mprj_stb_o mgmt_buffers/mprj_stb_o_core (0.064::0.064)) + (INTERCONNECT soc/mprj_wb_iena mgmt_buffers/mprj_iena_wb (0.018::0.018)) + (INTERCONNECT soc/mprj_we_o housekeeping/wb_we_i (0.116::0.116)) + (INTERCONNECT soc/mprj_we_o mgmt_buffers/mprj_we_o_core (0.012::0.012)) + (INTERCONNECT soc/qspi_enabled housekeeping/qspi_enabled (0.003::0.003)) + (INTERCONNECT soc/ser_tx housekeeping/ser_tx (0.004::0.004)) + (INTERCONNECT soc/spi_csb housekeeping/spi_csb (0.004::0.004)) + (INTERCONNECT soc/spi_enabled housekeeping/spi_enabled (0.004::0.004)) + (INTERCONNECT soc/spi_sck housekeeping/spi_sck (0.004::0.004)) + (INTERCONNECT soc/spi_sdo housekeeping/spi_sdo (0.006::0.006)) + (INTERCONNECT soc/spi_sdoenb housekeeping/spi_sdoenb (0.004::0.004)) + (INTERCONNECT soc/sram_ro_data[0] housekeeping/sram_ro_data[0] (0.009::0.009)) + (INTERCONNECT soc/sram_ro_data[10] housekeeping/sram_ro_data[10] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[11] housekeeping/sram_ro_data[11] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[12] housekeeping/sram_ro_data[12] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[13] housekeeping/sram_ro_data[13] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[14] housekeeping/sram_ro_data[14] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[15] housekeeping/sram_ro_data[15] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[16] housekeeping/sram_ro_data[16] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[17] housekeeping/sram_ro_data[17] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[18] housekeeping/sram_ro_data[18] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[19] housekeeping/sram_ro_data[19] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[1] housekeeping/sram_ro_data[1] (0.011::0.011)) + (INTERCONNECT soc/sram_ro_data[20] housekeeping/sram_ro_data[20] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[21] housekeeping/sram_ro_data[21] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[22] housekeeping/sram_ro_data[22] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[23] housekeeping/sram_ro_data[23] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[24] housekeeping/sram_ro_data[24] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[25] housekeeping/sram_ro_data[25] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[26] housekeeping/sram_ro_data[26] (0.007::0.007)) + (INTERCONNECT soc/sram_ro_data[27] housekeeping/sram_ro_data[27] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[28] housekeeping/sram_ro_data[28] (0.008::0.008)) + (INTERCONNECT soc/sram_ro_data[29] housekeeping/sram_ro_data[29] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[2] housekeeping/sram_ro_data[2] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[30] housekeeping/sram_ro_data[30] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[31] housekeeping/sram_ro_data[31] (0.006::0.006)) + (INTERCONNECT soc/sram_ro_data[3] housekeeping/sram_ro_data[3] (0.001::0.001)) + (INTERCONNECT soc/sram_ro_data[4] housekeeping/sram_ro_data[4] (0.011::0.011)) + (INTERCONNECT soc/sram_ro_data[5] housekeeping/sram_ro_data[5] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[6] housekeeping/sram_ro_data[6] (0.010::0.010)) + (INTERCONNECT soc/sram_ro_data[7] housekeeping/sram_ro_data[7] (0.009::0.009)) + (INTERCONNECT soc/sram_ro_data[8] housekeeping/sram_ro_data[8] (0.011::0.011)) + (INTERCONNECT soc/sram_ro_data[9] housekeeping/sram_ro_data[9] (0.006::0.006)) + (INTERCONNECT soc/trap housekeeping/trap (0.005::0.005)) + (INTERCONNECT soc/uart_enabled housekeeping/uart_enabled (0.004::0.004)) + (INTERCONNECT soc/user_irq_ena[0] mgmt_buffers/user_irq_ena[0] (0.037::0.037)) + (INTERCONNECT soc/user_irq_ena[1] mgmt_buffers/user_irq_ena[1] (0.039::0.039)) + (INTERCONNECT soc/user_irq_ena[2] mgmt_buffers/user_irq_ena[2] (0.043::0.043)) + (INTERCONNECT user_id_value/mask_rev[0] housekeeping/mask_rev_in[0] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[10] housekeeping/mask_rev_in[10] (0.002::0.002)) + (INTERCONNECT user_id_value/mask_rev[11] housekeeping/mask_rev_in[11] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[12] housekeeping/mask_rev_in[12] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[13] housekeeping/mask_rev_in[13] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[14] housekeeping/mask_rev_in[14] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[15] housekeeping/mask_rev_in[15] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[16] housekeeping/mask_rev_in[16] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[17] housekeeping/mask_rev_in[17] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[18] housekeeping/mask_rev_in[18] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[19] housekeeping/mask_rev_in[19] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[1] housekeeping/mask_rev_in[1] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[20] housekeeping/mask_rev_in[20] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[21] housekeeping/mask_rev_in[21] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[22] housekeeping/mask_rev_in[22] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[23] housekeeping/mask_rev_in[23] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[24] housekeeping/mask_rev_in[24] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[25] housekeeping/mask_rev_in[25] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[26] housekeeping/mask_rev_in[26] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[27] housekeeping/mask_rev_in[27] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[28] housekeeping/mask_rev_in[28] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[29] housekeeping/mask_rev_in[29] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[2] housekeeping/mask_rev_in[2] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[30] housekeeping/mask_rev_in[30] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[31] housekeeping/mask_rev_in[31] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[3] housekeeping/mask_rev_in[3] (0.002::0.002)) + (INTERCONNECT user_id_value/mask_rev[4] housekeeping/mask_rev_in[4] (0.002::0.002)) + (INTERCONNECT user_id_value/mask_rev[5] housekeeping/mask_rev_in[5] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[6] housekeeping/mask_rev_in[6] (0.000::0.000)) + (INTERCONNECT user_id_value/mask_rev[7] housekeeping/mask_rev_in[7] (0.001::0.001)) + (INTERCONNECT user_id_value/mask_rev[8] housekeeping/mask_rev_in[8] (0.002::0.002)) + (INTERCONNECT user_id_value/mask_rev[9] housekeeping/mask_rev_in[9] (0.001::0.001)) + ) + ) + ) +) diff --git a/sdf/caravel_clocking.sdf b/sdf/caravel_clocking.sdf new file mode 100644 index 00000000..675f5dbd --- /dev/null +++ b/sdf/caravel_clocking.sdf @@ -0,0 +1,4245 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "caravel_clocking") + (DATE "Sun Nov 28 13:27:01 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "caravel_clocking") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT ext_clk clkbuf_0_ext_clk/A (0.077::0.077) (0.042::0.042)) + (INTERCONNECT ext_clk_sel input1/A (0.018::0.018) (0.010::0.010)) + (INTERCONNECT ext_reset input2/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT pll_clk clkbuf_0_pll_clk/A (0.085::0.085) (0.047::0.047)) + (INTERCONNECT pll_clk90 clkbuf_0_pll_clk90/A (0.106::0.106) (0.059::0.059)) + (INTERCONNECT resetb input3/A (0.058::0.058) (0.031::0.031)) + (INTERCONNECT sel2[0] input4/A (0.016::0.016) (0.009::0.009)) + (INTERCONNECT sel2[1] input5/A (0.017::0.017) (0.009::0.009)) + (INTERCONNECT sel2[2] input6/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT sel[0] input7/A (0.017::0.017) (0.009::0.009)) + (INTERCONNECT sel[1] input8/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT sel[2] input9/A (0.018::0.018) (0.010::0.010)) + (INTERCONNECT _204_/X _205_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _205_/X _469_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _206_/X _207_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _207_/X _468_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _208_/X _210_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _209_/X _210_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _210_/Y _218_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _211_/Y _214_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _212_/X _214_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _213_/X _214_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _213_/X _223_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _214_/Y _218_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _215_/Y _217_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _215_/Y _225_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _215_/Y _247_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _216_/Y _217_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _216_/Y _224_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _216_/Y _237_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _216_/Y _258_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _217_/Y _218_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _217_/Y _229_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _217_/Y _231_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _217_/Y _233_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _217_/Y _390_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _218_/X _467_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _219_/Y _221_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _220_/Y _221_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _221_/Y _223_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _221_/Y _226_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _221_/Y _347_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _222_/Y _223_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _222_/Y _226_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _223_/Y _227_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _224_/X _227_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _224_/X _228_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _224_/X _230_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _224_/X _232_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _224_/X _257_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _225_/X _226_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _225_/X _228_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _225_/X _230_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _225_/X _232_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _225_/X _354_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _226_/Y _227_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _227_/Y _466_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _228_/Y _229_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _229_/X _465_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _230_/Y _231_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _231_/X _464_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _232_/Y _233_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _233_/X _463_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _234_/Y _236_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _235_/Y _236_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _235_/Y _245_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _236_/Y _241_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _236_/Y _244_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _237_/Y _241_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _237_/Y _245_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _238_/Y _240_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _239_/Y _240_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _239_/Y _244_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _240_/Y _241_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _240_/Y _247_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _241_/Y _462_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _242__1/Y _461_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _243_/X _244_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _244_/Y _461_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _245_/X _460_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _246__2/Y _459_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _247_/Y _390_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _247_/Y rebuffer2/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _248_/Y _250_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _248_/Y _256_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _249_/Y _250_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _249_/Y _383_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _249_/Y _395_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _249_/Y _408_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _250_/Y _257_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _251_/Y _253_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _251_/Y _261_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _252_/Y _253_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _252_/Y _264_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _253_/Y _256_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _254_/Y _255_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _255_/Y _256_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _255_/Y _258_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _256_/Y _257_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _257_/Y _459_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _258_/Y _259_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _258_/Y _266_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _259_/X _260_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _259_/X _261_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _259_/X _263_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _259_/X _264_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _259_/X _267_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _260_/Y _261_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _261_/Y _458_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _262__3/Y _457_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _263_/Y _264_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _264_/Y _457_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _265_/Y _267_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _265_/Y _383_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _266_/Y _267_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _267_/Y _456_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _268_/Y _271_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _268_/Y _272_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _268_/Y _346_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _269_/Y _271_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _269_/Y _272_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _269_/Y _354_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _270_/Y _271_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _270_/Y _272_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _271_/X _273_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _272_/Y _273_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _273_/Y _455_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _274_/Y _276_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _275_/Y _276_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _276_/Y _279_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _276_/Y _284_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _276_/Y _345_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _277_/Y _279_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _277_/Y _284_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _278_/X _279_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _278_/X _328_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _279_/Y _285_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _280_/Y _281_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/Y _286_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/Y _296_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _280_/Y _330_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _281_/X _285_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _281_/X _287_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _281_/X _289_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _281_/X _291_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _281_/X _316_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _282_/Y _283_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _282_/Y _286_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _282_/Y _306_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _283_/X _284_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _283_/X _287_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _283_/X _289_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _283_/X _291_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _283_/X _351_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _284_/Y _285_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _285_/Y _454_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _286_/Y _288_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _286_/Y _290_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _286_/Y _292_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _286_/Y _329_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _286_/Y _397_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _287_/Y _288_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _288_/X _453_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _289_/Y _290_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _290_/X _452_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _291_/Y _292_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _292_/X _451_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _293_/Y _295_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _294_/Y _295_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _294_/Y _304_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _295_/Y _300_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _295_/Y _303_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _296_/Y _300_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _296_/Y _304_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _297_/Y _299_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _298_/Y _299_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _298_/Y _303_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _299_/Y _300_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _299_/Y _306_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _300_/Y _450_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _301__4/Y _449_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _302_/X _303_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _303_/Y _449_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _304_/X _448_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _305__5/Y _447_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _306_/Y _397_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _306_/Y rebuffer11/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _307_/Y _309_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _307_/Y _315_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _308_/Y _309_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _308_/Y _381_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _308_/Y _385_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _308_/Y _406_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _309_/Y _316_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _310_/Y _312_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _310_/Y _333_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _311_/Y _312_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _311_/Y _336_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _312_/Y _315_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _313_/Y _314_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _314_/Y _315_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _314_/Y _330_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _315_/Y _316_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _316_/Y _447_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _317_/Y _318_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _318_/X _446_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _319_/X _320_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _320_/X _445_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _321_/X _322_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _322_/X _444_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _323_/X _325_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _324_/X _325_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _325_/Y _329_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _326_/Y _328_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _327_/X _328_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _328_/Y _329_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _329_/X _443_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _330_/Y _331_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _330_/Y _338_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _331_/X _332_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _331_/X _333_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _331_/X _335_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _331_/X _336_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _331_/X _339_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _332_/Y _333_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _333_/Y _442_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _334__6/Y _441_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _335_/Y _336_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _336_/Y _441_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _337_/Y _339_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _337_/Y _385_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _338_/Y _339_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _339_/Y _440_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _340_/Y _341_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _340_/Y _364_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _341_/Y _342_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _342_/Y _439_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _343_/X _344_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _344_/X _420_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _345_/Y _398_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _345_/Y _401_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _345_/Y _404_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _346_/Y _387_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _346_/Y _410_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _347_/Y _379_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _347_/Y _388_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _347_/Y _391_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _348_/Y _411_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _349_/Y _351_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _350_/X _351_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _351_/Y _393_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _351_/Y _427_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _351_/Y _428_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _351_/Y _429_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _351_/Y _430_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _351_/Y _431_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _351_/Y _432_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _352_/Y _413_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _353_/Y _354_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _354_/Y _394_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _354_/Y _433_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _354_/Y _434_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _354_/Y _435_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _354_/Y _436_/CLK (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _354_/Y _437_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _354_/Y _438_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _355_/Y _381_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _356_/Y _357_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _357_/X _406_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _358_/Y _404_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _359_/Y _401_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _360_/Y _361_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _361_/X _398_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _362_/Y _400_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _363_/Y _403_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _364_/Y _400_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _364_/Y _403_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _365_/Y _408_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _366_/Y _367_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _367_/X _395_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _368_/Y _379_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _369_/Y _391_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _370_/Y _371_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _371_/X _388_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _372_/Y _387_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _373_/Y _410_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _374_/Y _424_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _375_/Y output11/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _376_/Y _377_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _377_/X _470_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _378__13/LO _423_/D (0.000::0.000)) + (INTERCONNECT _379_/X _380_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _380_/X _233_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _381_/X _382_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _382_/X _335_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _383_/X _384_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _384_/X _266_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _385_/X _386_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _386_/X _338_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _387_/X _206_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _388_/X _389_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _389_/X _229_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _390_/X _237_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _390_/X rebuffer10/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _391_/X _392_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _392_/X _231_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _393_/X _423_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _393_/X _422_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _393_/X _421_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _393_/X output10/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _394_/X output12/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _395_/X _396_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _396_/X _260_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _397_/X _296_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _397_/X rebuffer3/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _398_/X _399_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _399_/X _288_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _400_/X _321_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _401_/X _402_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _402_/X _290_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _403_/X _319_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _404_/X _405_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _405_/X _292_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _406_/X _407_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _407_/X _332_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _408_/X _409_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _409_/X _263_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _410_/X _204_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _411_/X _350_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _412_/X _393_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _412_/X _394_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _413_/X _354_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _414_/Q _325_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _415_/Q _323_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _415_/Q _324_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _416_/Q _326_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _416_/Q _327_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _417_/Q _210_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _418_/Q _208_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _418_/Q _209_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _419_/Q _211_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _419_/Q _212_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _420_/Q _343_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _420_/Q hold1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _421_/Q _375_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _422_/Q _421_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _423_/Q _422_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _424_/Q _412_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _424_/Q _425_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _425_/Q _393_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _425_/Q _394_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _426_/Q _412_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _427_/Q _430_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _428_/Q _431_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _429_/Q _432_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _430_/Q _278_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _430_/Q _282_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _430_/Q _314_/B1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _430_/Q _317_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _430_/Q _319_/S (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _430_/Q _321_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _430_/Q _341_/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _430_/Q _350_/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _430_/Q _385_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _430_/Q _386_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _430_/Q _404_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _430_/Q _405_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _430_/Q _414_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _431_/Q _282_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _431_/Q rebuffer4/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _431_/Q rebuffer6/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _431_/Q rebuffer7/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _432_/Q _282_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _432_/Q _314_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _432_/Q _398_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _432_/Q _403_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _432_/Q _406_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _432_/Q _407_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _432_/Q split12/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _433_/Q _436_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _434_/Q _437_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _435_/Q _438_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _436_/Q _204_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _436_/Q _206_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _436_/Q _213_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _436_/Q _215_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _436_/Q _255_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _436_/Q _269_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _436_/Q _376_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _436_/Q _379_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _436_/Q _380_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _436_/Q _383_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _436_/Q _384_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _436_/Q _417_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _437_/Q _208_/B_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _437_/Q _213_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _437_/Q _215_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _437_/Q _255_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _437_/Q _408_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _437_/Q _409_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _437_/Q split1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _438_/Q _211_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _438_/Q _212_/B (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _438_/Q _213_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _438_/Q _215_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _438_/Q _255_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _438_/Q _352_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _438_/Q _388_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _438_/Q _389_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _438_/Q _395_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _438_/Q _396_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _438_/Q _410_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _438_/Q _419_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _439_/Q _342_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _439_/Q _411_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _440_/Q _308_/C_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _440_/Q _312_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _440_/Q _337_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _440_/Q _355_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _440_/Q _356_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _441_/Q _308_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _441_/Q _311_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _441_/Q _355_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _441_/Q _356_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _442_/Q _308_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _442_/Q _310_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _442_/Q _357_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _443_/Q _280_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _443_/Q _295_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _443_/Q _382_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _443_/Q _386_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _443_/Q _397_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _443_/Q _399_/S (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _443_/Q _402_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _443_/Q _405_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _443_/Q _407_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _444_/Q _317_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _444_/Q _321_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _444_/Q _341_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _444_/Q _362_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _444_/Q _363_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _444_/Q _364_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _445_/Q _317_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _445_/Q _319_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _445_/Q _340_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _445_/Q _363_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _446_/Q _318_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _446_/Q _340_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _447_/Q _307_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _447_/Q _349_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _448_/Q _293_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _448_/Q _296_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _448_/Q _302_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _448_/Q _304_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _449_/Q _293_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _449_/Q _298_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _449_/Q _313_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _450_/Q _297_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _450_/Q _300_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _450_/Q _313_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _451_/Q _276_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _451_/Q _291_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _451_/Q _358_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _451_/Q _359_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _451_/Q _360_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _452_/Q _275_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _452_/Q _289_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _452_/Q _359_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _452_/Q _360_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _453_/Q _274_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _453_/Q _287_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _453_/Q _361_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _454_/Q _277_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _454_/Q _349_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _455_/Q _270_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _455_/Q _413_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _456_/Q _249_/C_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _456_/Q _253_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _456_/Q _265_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _456_/Q _365_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _456_/Q _366_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _457_/Q _249_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _457_/Q _252_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _457_/Q _365_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _457_/Q _366_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _458_/Q _249_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _458_/Q _251_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _458_/Q _367_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _459_/Q _248_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _459_/Q _353_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _460_/Q _234_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _460_/Q _237_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _460_/Q _243_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _460_/Q _245_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _461_/Q _234_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _461_/Q _239_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _461_/Q _254_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _462_/Q _238_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _462_/Q _241_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _462_/Q _254_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _463_/Q _221_/C (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _463_/Q _232_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _463_/Q _368_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _463_/Q _369_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _463_/Q _370_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _464_/Q _220_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _464_/Q _230_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _464_/Q _369_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _464_/Q _370_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _465_/Q _219_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _465_/Q _228_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _465_/Q _371_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _466_/Q _222_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _466_/Q _353_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _467_/Q _216_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _467_/Q _236_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _467_/Q _380_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _467_/Q _384_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _467_/Q _389_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _467_/Q _390_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _467_/Q _392_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _467_/Q _396_/S (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _467_/Q _409_/S (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _468_/Q _206_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _468_/Q _268_/C_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _468_/Q _372_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _468_/Q _373_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _468_/Q _376_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _469_/Q _204_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _469_/Q _268_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _469_/Q _373_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _469_/Q _376_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _470_/Q _268_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _470_/Q _377_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_0_ext_clk/X clkbuf_1_0_0_ext_clk/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_0_ext_clk/X clkbuf_1_1_0_ext_clk/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_0_pll_clk/X clkbuf_1_0_0_pll_clk/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_0_pll_clk/X clkbuf_1_1_0_pll_clk/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_0_pll_clk90/X clkbuf_1_0_0_pll_clk90/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_0_pll_clk90/X clkbuf_1_1_0_pll_clk90/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_ext_clk/X _343_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _334__6/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _305__5/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _301__4/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _453_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _452_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _450_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _448_/CLK_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _444_/CLK (0.001::0.001) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _442_/CLK_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _440_/CLK_N (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk/X _420_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _262__3/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _246__2/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _242__1/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _465_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _464_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _462_/CLK_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _460_/CLK_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _458_/CLK_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _456_/CLK_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_0_0_pll_clk90/X _418_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_ext_clk/X _412_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _454_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _451_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _446_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _445_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _443_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _439_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _426_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _425_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _424_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _416_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _415_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _414_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk/X _411_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _470_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _469_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _468_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _467_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _466_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _463_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _455_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _419_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _417_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_pll_clk90/X _413_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT hold1/X _426_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input1/X _374_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input2/X _375_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input3/X _470_/RESET_B (0.024::0.024) (0.023::0.023)) + (INTERCONNECT input3/X _469_/RESET_B (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input3/X _468_/SET_B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input3/X _467_/RESET_B (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input3/X _466_/SET_B (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input3/X _465_/RESET_B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input3/X _464_/SET_B (0.017::0.017) (0.016::0.016)) + (INTERCONNECT input3/X _463_/RESET_B (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input3/X _462_/RESET_B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input3/X _461_/SET_B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input3/X _460_/RESET_B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT input3/X _459_/SET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input3/X _458_/RESET_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input3/X _457_/SET_B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input3/X _456_/RESET_B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input3/X _455_/SET_B (0.021::0.021) (0.021::0.021)) + (INTERCONNECT input3/X _454_/SET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input3/X _453_/RESET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input3/X _452_/SET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input3/X _451_/RESET_B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input3/X _450_/RESET_B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input3/X _449_/SET_B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input3/X _448_/RESET_B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input3/X _447_/SET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input3/X _446_/RESET_B (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input3/X _445_/RESET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input3/X _444_/SET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input3/X _443_/RESET_B (0.027::0.027) (0.025::0.025)) + (INTERCONNECT input3/X _442_/RESET_B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input3/X _441_/SET_B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input3/X _440_/RESET_B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input3/X _439_/SET_B (0.026::0.026) (0.024::0.024)) + (INTERCONNECT input3/X _438_/RESET_B (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input3/X _437_/SET_B (0.028::0.028) (0.026::0.026)) + (INTERCONNECT input3/X _436_/RESET_B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input3/X _435_/RESET_B (0.028::0.028) (0.026::0.026)) + (INTERCONNECT input3/X _434_/SET_B (0.028::0.028) (0.026::0.026)) + (INTERCONNECT input3/X _433_/RESET_B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input3/X _432_/RESET_B (0.027::0.027) (0.025::0.025)) + (INTERCONNECT input3/X _431_/SET_B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT input3/X _430_/RESET_B (0.027::0.027) (0.025::0.025)) + (INTERCONNECT input3/X _429_/RESET_B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input3/X _428_/SET_B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT input3/X _427_/RESET_B (0.027::0.027) (0.025::0.025)) + (INTERCONNECT input3/X _426_/RESET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input3/X _425_/RESET_B (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input3/X _424_/RESET_B (0.025::0.025) (0.024::0.024)) + (INTERCONNECT input3/X _423_/SET_B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT input3/X _422_/SET_B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT input3/X _421_/SET_B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT input3/X _343_/S (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input4/X _433_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input5/X _434_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input6/X _435_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input7/X _427_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input8/X _428_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input9/X _429_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT output10/X core_clk (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output11/X resetb_sync (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output12/X user_clk (0.001::0.001) (0.001::0.001)) + (INTERCONNECT rebuffer10/X _235_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer10/X _243_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer11/X _309_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer2/X _250_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer3/X _294_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer3/X _302_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer4/X rebuffer5/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer4/X split2/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer5/X _324_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer6/X _278_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer7/X _314_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer7/X rebuffer8/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer8/X _381_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer8/X rebuffer9/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT rebuffer9/X _382_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT split1/X _352_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT split1/X _418_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT split1/X _392_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT split1/X _387_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT split1/X _391_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT split1/X _209_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT split12/X _348_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT split12/X _416_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT split12/X _327_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT split12/X _326_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT split12/X _278_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT split12/X _399_/A1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT split2/X _348_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT split2/X _415_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT split2/X _402_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT split2/X _400_/A0 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT split2/X _401_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT split2/X _323_/A (0.001::0.001) (0.001::0.001)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _204_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.112::0.114) (0.277::0.277)) + (IOPATH A1 X (0.146::0.146) (0.299::0.299)) + (IOPATH S X (0.226::0.226) (0.335::0.335)) + (IOPATH S X (0.157::0.157) (0.331::0.331)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _205_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.078) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _206_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.113::0.114) (0.278::0.278)) + (IOPATH A1 X (0.161::0.161) (0.304::0.304)) + (IOPATH S X (0.227::0.227) (0.336::0.336)) + (IOPATH S X (0.158::0.158) (0.332::0.332)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _207_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.082) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _208_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.221::0.221)) + (IOPATH B_N X (0.165::0.165) (0.241::0.241)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _209_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.234::0.234)) + (IOPATH B_N X (0.152::0.152) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _210_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.064) (0.057::0.057)) + (IOPATH B Y (0.077::0.077) (0.066::0.066)) + (IOPATH C Y (0.067::0.067) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _211_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.060::0.060)) + (IOPATH B Y (0.149::0.149) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _212_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.140::0.140)) + (IOPATH B X (0.162::0.162) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _213_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.208::0.208)) + (IOPATH A2 X (0.154::0.154) (0.209::0.209)) + (IOPATH B1 X (0.170::0.170) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _214_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.127::0.132) (0.086::0.086)) + (IOPATH A2 Y (0.115::0.115) (0.058::0.059)) + (IOPATH B1 Y (0.073::0.075) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _215_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.200::0.200) (0.093::0.093)) + (IOPATH A2 Y (0.196::0.196) (0.094::0.094)) + (IOPATH B1 Y (0.119::0.119) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _216_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _217_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.125) (0.101::0.124)) + (IOPATH B Y (0.126::0.126) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _218_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.129::0.132) (0.191::0.191)) + (IOPATH A2 X (0.123::0.131) (0.169::0.175)) + (IOPATH B1 X (0.145::0.147) (0.128::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _219_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.056) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _220_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.059) (0.041::0.041)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _221_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.117::0.117)) + (IOPATH B Y (0.139::0.139) (0.126::0.126)) + (IOPATH C Y (0.155::0.155) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _222_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.058) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3b_1") + (INSTANCE _223_) + (DELAY + (ABSOLUTE + (IOPATH A_N Y (0.136::0.139) (0.201::0.202)) + (IOPATH B Y (0.083::0.083) (0.080::0.080)) + (IOPATH C Y (0.092::0.094) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _224_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _225_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.211) (0.163::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _226_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.176::0.176) (0.100::0.101)) + (IOPATH A2 Y (0.176::0.177) (0.087::0.090)) + (IOPATH B1_N Y (0.108::0.108) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _227_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.081::0.083) (0.073::0.078)) + (IOPATH B Y (0.103::0.103) (0.097::0.097)) + (IOPATH C Y (0.088::0.091) (0.086::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _228_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.085::0.085)) + (IOPATH B Y (0.095::0.095) (0.089::0.089)) + (IOPATH C Y (0.099::0.099) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _229_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.092::0.093) (0.161::0.162)) + (IOPATH A2 X (0.117::0.119) (0.196::0.202)) + (IOPATH B1_N X (0.171::0.174) (0.189::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _230_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.077::0.077) (0.076::0.076)) + (IOPATH B Y (0.088::0.088) (0.080::0.080)) + (IOPATH C Y (0.092::0.092) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _231_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.099::0.100) (0.167::0.167)) + (IOPATH A2 X (0.124::0.126) (0.202::0.207)) + (IOPATH B1_N X (0.175::0.178) (0.192::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _232_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.077::0.077) (0.076::0.076)) + (IOPATH B Y (0.088::0.088) (0.081::0.081)) + (IOPATH C Y (0.088::0.088) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _233_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.095::0.097) (0.164::0.165)) + (IOPATH A2 X (0.121::0.122) (0.200::0.205)) + (IOPATH B1_N X (0.171::0.174) (0.190::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _234_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.060::0.060)) + (IOPATH B Y (0.091::0.091) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _235_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.054) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _236_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.209::0.209) (0.117::0.117)) + (IOPATH A2 Y (0.164::0.166) (0.084::0.085)) + (IOPATH B1_N Y (0.119::0.119) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3b_1") + (INSTANCE _237_) + (DELAY + (ABSOLUTE + (IOPATH A_N Y (0.140::0.140) (0.185::0.185)) + (IOPATH B Y (0.107::0.107) (0.101::0.101)) + (IOPATH C Y (0.106::0.107) (0.095::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _238_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.051::0.051) (0.035::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _239_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.061) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _240_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.083::0.083) (0.066::0.066)) + (IOPATH B Y (0.093::0.093) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1") + (INSTANCE _241_) + (DELAY + (ABSOLUTE + (IOPATH A1_N Y (0.124::0.124) (0.132::0.132)) + (IOPATH A2_N Y (0.117::0.134) (0.122::0.129)) + (IOPATH B1 Y (0.152::0.154) (0.075::0.078)) + (IOPATH B2 Y (0.131::0.131) (0.065::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _242__1) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE _243_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.195::0.195) (0.186::0.186)) + (IOPATH B X (0.131::0.131) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _244_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.128::0.128) (0.063::0.063)) + (IOPATH A2 Y (0.115::0.115) (0.054::0.054)) + (IOPATH B1 Y (0.081::0.088) (0.074::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _245_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.093::0.093) (0.154::0.154)) + (IOPATH A2 X (0.118::0.118) (0.193::0.193)) + (IOPATH B1_N X (0.185::0.187) (0.199::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _246__2) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.067) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _247_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.179) (0.075::0.097)) + (IOPATH B Y (0.160::0.160) (0.068::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _248_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3b_2") + (INSTANCE _249_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.336::0.336) (0.099::0.099)) + (IOPATH B Y (0.311::0.311) (0.100::0.100)) + (IOPATH C_N Y (0.342::0.342) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _250_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.055) (0.056::0.056)) + (IOPATH B Y (0.073::0.073) (0.066::0.066)) + (IOPATH C Y (0.082::0.086) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _251_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073::0.073) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _252_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.068) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _253_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.073::0.073)) + (IOPATH B Y (0.090::0.090) (0.081::0.081)) + (IOPATH C Y (0.125::0.125) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _254_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.059::0.059)) + (IOPATH B Y (0.093::0.093) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_1") + (INSTANCE _255_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.216::0.216) (0.122::0.122)) + (IOPATH A2 Y (0.218::0.218) (0.117::0.117)) + (IOPATH B1 Y (0.144::0.144) (0.133::0.133)) + (IOPATH C1 Y (0.094::0.094) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _256_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.152::0.156) (0.077::0.081)) + (IOPATH A2 Y (0.141::0.148) (0.075::0.090)) + (IOPATH B1_N Y (0.103::0.103) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _257_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.076) (0.059::0.063)) + (IOPATH B Y (0.076::0.081) (0.073::0.088)) + (IOPATH C Y (0.096::0.096) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _258_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.093) (0.077::0.095)) + (IOPATH B Y (0.085::0.085) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE _259_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.186::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _260_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.070) (0.069::0.069)) + (IOPATH B Y (0.068::0.068) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _261_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.121::0.121) (0.060::0.060)) + (IOPATH A2 Y (0.128::0.128) (0.077::0.077)) + (IOPATH B1 Y (0.061::0.071) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _262__3) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.072::0.072) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _263_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.077::0.077) (0.077::0.077)) + (IOPATH B Y (0.073::0.073) (0.054::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _264_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.126::0.126) (0.061::0.061)) + (IOPATH A2 Y (0.131::0.131) (0.080::0.080)) + (IOPATH B1 Y (0.066::0.077) (0.056::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _265_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.080::0.080) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _266_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.064) (0.049::0.049)) + (IOPATH B Y (0.064::0.065) (0.046::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _267_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.128::0.128) (0.064::0.064)) + (IOPATH A2 Y (0.133::0.133) (0.080::0.080)) + (IOPATH B1 Y (0.065::0.067) (0.053::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3b_1") + (INSTANCE _268_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.366::0.366) (0.098::0.098)) + (IOPATH B Y (0.343::0.343) (0.081::0.081)) + (IOPATH C_N Y (0.369::0.369) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _269_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _270_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.050::0.050) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (INSTANCE _271_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.163) (0.154::0.158)) + (IOPATH A2 X (0.109::0.109) (0.172::0.172)) + (IOPATH B1 X (0.071::0.071) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _272_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.075) (0.120::0.120)) + (IOPATH B Y (0.081::0.081) (0.074::0.074)) + (IOPATH C Y (0.069::0.069) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _273_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.054) (0.044::0.046)) + (IOPATH B Y (0.070::0.094) (0.053::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _274_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.054) (0.036::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _275_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.058) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _276_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.109::0.109)) + (IOPATH B Y (0.131::0.131) (0.118::0.118)) + (IOPATH C Y (0.148::0.148) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _277_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.054) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _278_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.172::0.172) (0.220::0.220)) + (IOPATH A2 X (0.142::0.142) (0.188::0.188)) + (IOPATH B1 X (0.178::0.178) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3b_1") + (INSTANCE _279_) + (DELAY + (ABSOLUTE + (IOPATH A_N Y (0.119::0.121) (0.180::0.181)) + (IOPATH B Y (0.066::0.066) (0.062::0.062)) + (IOPATH C Y (0.080::0.082) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _280_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _281_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _282_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.219::0.219) (0.100::0.100)) + (IOPATH A2 Y (0.185::0.185) (0.080::0.080)) + (IOPATH B1 Y (0.121::0.121) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _283_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.211) (0.160::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _284_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.154::0.154) (0.090::0.090)) + (IOPATH A2 Y (0.152::0.153) (0.076::0.078)) + (IOPATH B1_N Y (0.094::0.094) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _285_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.071) (0.063::0.067)) + (IOPATH B Y (0.095::0.095) (0.089::0.089)) + (IOPATH C Y (0.079::0.083) (0.078::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _286_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.130) (0.107::0.131)) + (IOPATH B Y (0.130::0.130) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _287_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.086::0.086)) + (IOPATH B Y (0.098::0.098) (0.093::0.093)) + (IOPATH C Y (0.093::0.093) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _288_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.098::0.099) (0.167::0.168)) + (IOPATH A2 X (0.123::0.124) (0.203::0.209)) + (IOPATH B1_N X (0.175::0.179) (0.194::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _289_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.074::0.074)) + (IOPATH B Y (0.090::0.090) (0.088::0.088)) + (IOPATH C Y (0.084::0.084) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _290_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.098::0.100) (0.166::0.167)) + (IOPATH A2 X (0.123::0.125) (0.201::0.208)) + (IOPATH B1_N X (0.171::0.176) (0.189::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _291_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.074::0.074)) + (IOPATH B Y (0.086::0.086) (0.079::0.079)) + (IOPATH C Y (0.084::0.084) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _292_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.093::0.094) (0.162::0.162)) + (IOPATH A2 X (0.119::0.120) (0.199::0.205)) + (IOPATH B1_N X (0.167::0.171) (0.186::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _293_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.072::0.072)) + (IOPATH B Y (0.121::0.121) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _294_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.043::0.043) (0.032::0.032)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _295_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.214::0.214) (0.119::0.119)) + (IOPATH A2 Y (0.173::0.174) (0.096::0.096)) + (IOPATH B1_N Y (0.120::0.120) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3b_1") + (INSTANCE _296_) + (DELAY + (ABSOLUTE + (IOPATH A_N Y (0.150::0.150) (0.194::0.194)) + (IOPATH B Y (0.113::0.113) (0.107::0.107)) + (IOPATH C Y (0.119::0.120) (0.107::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _297_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.055) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _298_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _299_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.067::0.067)) + (IOPATH B Y (0.097::0.097) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_1") + (INSTANCE _300_) + (DELAY + (ABSOLUTE + (IOPATH A1_N Y (0.126::0.126) (0.134::0.134)) + (IOPATH A2_N Y (0.120::0.137) (0.125::0.132)) + (IOPATH B1 Y (0.159::0.160) (0.078::0.082)) + (IOPATH B2 Y (0.134::0.134) (0.067::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _301__4) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE _302_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.200::0.200) (0.191::0.191)) + (IOPATH B X (0.119::0.119) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _303_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.122::0.122) (0.062::0.062)) + (IOPATH A2 Y (0.108::0.108) (0.052::0.052)) + (IOPATH B1 Y (0.077::0.084) (0.072::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _304_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.097::0.097) (0.154::0.154)) + (IOPATH A2 X (0.126::0.126) (0.199::0.199)) + (IOPATH B1_N X (0.193::0.195) (0.206::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _305__5) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _306_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.127) (0.053::0.068)) + (IOPATH B Y (0.099::0.099) (0.044::0.045)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _307_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.061) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3b_2") + (INSTANCE _308_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.349::0.349) (0.102::0.102)) + (IOPATH B Y (0.327::0.327) (0.106::0.106)) + (IOPATH C_N Y (0.355::0.355) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _309_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.064) (0.065::0.065)) + (IOPATH B Y (0.077::0.077) (0.072::0.072)) + (IOPATH C Y (0.089::0.094) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _310_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.071) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _311_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.070) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _312_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.073::0.073)) + (IOPATH B Y (0.091::0.091) (0.081::0.081)) + (IOPATH C Y (0.124::0.124) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _313_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.064::0.064)) + (IOPATH B Y (0.104::0.104) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_1") + (INSTANCE _314_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.228::0.228) (0.128::0.128)) + (IOPATH A2 Y (0.197::0.197) (0.103::0.103)) + (IOPATH B1 Y (0.144::0.144) (0.134::0.134)) + (IOPATH C1 Y (0.098::0.098) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _315_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.164::0.168) (0.082::0.086)) + (IOPATH A2 Y (0.151::0.160) (0.081::0.097)) + (IOPATH B1_N Y (0.107::0.107) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _316_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.082) (0.063::0.067)) + (IOPATH B Y (0.080::0.085) (0.077::0.092)) + (IOPATH C Y (0.093::0.093) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _317_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.240::0.240) (0.087::0.087)) + (IOPATH B Y (0.214::0.214) (0.076::0.076)) + (IOPATH C Y (0.171::0.171) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _318_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.070::0.070)) + (IOPATH A X (0.139::0.139) (0.160::0.160)) + (IOPATH B X (0.125::0.129) (0.092::0.092)) + (IOPATH B X (0.162::0.162) (0.137::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _319_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.117::0.118) (0.283::0.283)) + (IOPATH A1 X (0.153::0.153) (0.306::0.306)) + (IOPATH S X (0.228::0.228) (0.341::0.341)) + (IOPATH S X (0.161::0.161) (0.335::0.335)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _320_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.078::0.079) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _321_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.110::0.111) (0.274::0.275)) + (IOPATH A1 X (0.144::0.144) (0.289::0.289)) + (IOPATH S X (0.221::0.221) (0.332::0.332)) + (IOPATH S X (0.154::0.154) (0.326::0.326)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _322_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.080) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _323_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.241::0.241)) + (IOPATH B_N X (0.139::0.139) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _324_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.211::0.211)) + (IOPATH B_N X (0.143::0.143) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_1") + (INSTANCE _325_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.059::0.060)) + (IOPATH B Y (0.080::0.080) (0.069::0.069)) + (IOPATH C Y (0.072::0.072) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _326_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.065::0.065)) + (IOPATH B Y (0.088::0.088) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _327_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.153::0.153)) + (IOPATH B X (0.126::0.126) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _328_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.130::0.136) (0.079::0.079)) + (IOPATH A2 Y (0.121::0.122) (0.059::0.059)) + (IOPATH B1 Y (0.082::0.084) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _329_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.112::0.116) (0.179::0.179)) + (IOPATH A2 X (0.107::0.115) (0.155::0.161)) + (IOPATH B1 X (0.129::0.130) (0.116::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _330_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.103) (0.085::0.106)) + (IOPATH B Y (0.093::0.093) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE _331_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.188::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _332_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.075::0.075)) + (IOPATH B Y (0.070::0.071) (0.051::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _333_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.127::0.127) (0.062::0.062)) + (IOPATH A2 Y (0.133::0.133) (0.080::0.080)) + (IOPATH B1 Y (0.067::0.077) (0.057::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _334__6) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _335_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.074::0.074) (0.074::0.074)) + (IOPATH B Y (0.070::0.071) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _336_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.124::0.124) (0.061::0.061)) + (IOPATH A2 Y (0.129::0.129) (0.078::0.078)) + (IOPATH B1 Y (0.063::0.074) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _337_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _338_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.060::0.069) (0.053::0.054)) + (IOPATH B Y (0.065::0.065) (0.047::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _339_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.131::0.131) (0.065::0.065)) + (IOPATH A2 Y (0.135::0.135) (0.082::0.082)) + (IOPATH B1 Y (0.068::0.070) (0.055::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _340_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.061::0.061)) + (IOPATH B Y (0.130::0.130) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3b_1") + (INSTANCE _341_) + (DELAY + (ABSOLUTE + (IOPATH A_N Y (0.144::0.144) (0.198::0.198)) + (IOPATH B Y (0.088::0.092) (0.102::0.102)) + (IOPATH C Y (0.101::0.101) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _342_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.126::0.126)) + (IOPATH A Y (0.158::0.158) (0.081::0.081)) + (IOPATH B Y (0.117::0.121) (0.132::0.132)) + (IOPATH B Y (0.147::0.147) (0.064::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _343_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.144::0.144) (0.254::0.254)) + (IOPATH A1 X (0.132::0.132) (0.253::0.253)) + (IOPATH S X (0.254::0.254) (0.374::0.374)) + (IOPATH S X (0.210::0.210) (0.299::0.299)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _344_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.077) (0.087::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _345_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.109) (0.069::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _346_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.073) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _347_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.071::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _348_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.133::0.133) (0.070::0.070)) + (IOPATH B Y (0.132::0.132) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _349_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.144) (0.137::0.137)) + (IOPATH A Y (0.192::0.192) (0.094::0.094)) + (IOPATH B Y (0.145::0.145) (0.136::0.136)) + (IOPATH B Y (0.174::0.174) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_2") + (INSTANCE _350_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.225::0.225) (0.227::0.227)) + (IOPATH B X (0.120::0.122) (0.180::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_2") + (INSTANCE _351_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.000::0.000)) + (IOPATH A2 Y (0.264::0.267) (0.120::0.142)) + (IOPATH B1_N Y (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _352_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.068::0.068)) + (IOPATH B Y (0.120::0.120) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _353_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.138::0.138) (0.132::0.132)) + (IOPATH A Y (0.181::0.181) (0.088::0.088)) + (IOPATH B Y (0.148::0.148) (0.139::0.139)) + (IOPATH B Y (0.171::0.171) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_2") + (INSTANCE _354_) + (DELAY + (ABSOLUTE + (IOPATH A1_N Y (0.000::0.000)) + (IOPATH A2_N Y (0.000::0.000)) + (IOPATH B1 Y (0.000::0.000)) + (IOPATH B2 Y (0.253::0.257) (0.106::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _355_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.137::0.137)) + (IOPATH A Y (0.154::0.154) (0.091::0.091)) + (IOPATH B Y (0.135::0.135) (0.144::0.144)) + (IOPATH B Y (0.144::0.144) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _356_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.082::0.082)) + (IOPATH B Y (0.133::0.133) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _357_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.083::0.083)) + (IOPATH A X (0.145::0.145) (0.171::0.171)) + (IOPATH B X (0.118::0.118) (0.070::0.070)) + (IOPATH B X (0.140::0.141) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _358_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.048::0.048) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _359_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.135::0.135)) + (IOPATH A Y (0.151::0.151) (0.088::0.088)) + (IOPATH B Y (0.121::0.121) (0.128::0.128)) + (IOPATH B Y (0.128::0.128) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _360_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.138::0.138) (0.080::0.080)) + (IOPATH B Y (0.120::0.120) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _361_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.079::0.079)) + (IOPATH A X (0.141::0.141) (0.167::0.167)) + (IOPATH B X (0.112::0.117) (0.069::0.070)) + (IOPATH B X (0.140::0.140) (0.130::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _362_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.042::0.042) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _363_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.133::0.133) (0.140::0.140)) + (IOPATH A Y (0.169::0.169) (0.090::0.090)) + (IOPATH B Y (0.133::0.133) (0.127::0.127)) + (IOPATH B Y (0.141::0.141) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _364_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.091::0.094) (0.099::0.100)) + (IOPATH B Y (0.108::0.108) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _365_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.133::0.133) (0.136::0.136)) + (IOPATH A Y (0.156::0.156) (0.091::0.091)) + (IOPATH B Y (0.138::0.138) (0.147::0.147)) + (IOPATH B Y (0.150::0.150) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _366_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.140::0.140) (0.081::0.081)) + (IOPATH B Y (0.137::0.137) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _367_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.083::0.083)) + (IOPATH A X (0.146::0.146) (0.172::0.172)) + (IOPATH B X (0.119::0.120) (0.071::0.072)) + (IOPATH B X (0.142::0.142) (0.135::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _368_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.047::0.047) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _369_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.136::0.136)) + (IOPATH A Y (0.154::0.154) (0.090::0.090)) + (IOPATH B Y (0.123::0.123) (0.130::0.130)) + (IOPATH B Y (0.131::0.131) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _370_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.081::0.081)) + (IOPATH B Y (0.121::0.121) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _371_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.084::0.084)) + (IOPATH A X (0.151::0.151) (0.172::0.172)) + (IOPATH B X (0.121::0.125) (0.073::0.073)) + (IOPATH B X (0.147::0.148) (0.134::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _372_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.051::0.051) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xnor2_1") + (INSTANCE _373_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.133::0.133)) + (IOPATH A Y (0.154::0.154) (0.083::0.083)) + (IOPATH B Y (0.136::0.136) (0.135::0.135)) + (IOPATH B Y (0.139::0.139) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _374_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.050::0.050) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _375_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.049::0.049)) + (IOPATH B Y (0.112::0.112) (0.045::0.045)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _376_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.230::0.230) (0.081::0.081)) + (IOPATH B Y (0.231::0.231) (0.083::0.083)) + (IOPATH C Y (0.184::0.184) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__xor2_1") + (INSTANCE _377_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.074::0.074)) + (IOPATH A X (0.148::0.148) (0.163::0.163)) + (IOPATH B X (0.135::0.137) (0.096::0.096)) + (IOPATH B X (0.170::0.170) (0.141::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _379_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.103::0.103) (0.266::0.266)) + (IOPATH A1 X (0.150::0.150) (0.308::0.308)) + (IOPATH S X (0.186::0.188) (0.310::0.310)) + (IOPATH S X (0.127::0.127) (0.299::0.300)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _380_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.111::0.113) (0.276::0.276)) + (IOPATH A1 X (0.155::0.155) (0.313::0.313)) + (IOPATH S X (0.220::0.220) (0.332::0.332)) + (IOPATH S X (0.153::0.153) (0.326::0.326)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _381_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.106::0.127) (0.263::0.268)) + (IOPATH A1 X (0.124::0.124) (0.279::0.279)) + (IOPATH S X (0.190::0.195) (0.346::0.346)) + (IOPATH S X (0.183::0.183) (0.300::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _382_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.122::0.123) (0.288::0.288)) + (IOPATH A1 X (0.127::0.127) (0.295::0.295)) + (IOPATH S X (0.231::0.231) (0.345::0.345)) + (IOPATH S X (0.164::0.164) (0.338::0.338)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _383_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.113::0.113) (0.272::0.272)) + (IOPATH A1 X (0.153::0.153) (0.311::0.311)) + (IOPATH S X (0.194::0.198) (0.351::0.351)) + (IOPATH S X (0.185::0.185) (0.305::0.308)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _384_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.114::0.116) (0.279::0.279)) + (IOPATH A1 X (0.157::0.157) (0.316::0.316)) + (IOPATH S X (0.222::0.222) (0.335::0.335)) + (IOPATH S X (0.155::0.155) (0.328::0.328)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _385_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.109::0.109) (0.268::0.268)) + (IOPATH A1 X (0.148::0.148) (0.305::0.305)) + (IOPATH S X (0.192::0.197) (0.348::0.348)) + (IOPATH S X (0.184::0.184) (0.302::0.306)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _386_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.110::0.111) (0.274::0.275)) + (IOPATH A1 X (0.152::0.152) (0.309::0.309)) + (IOPATH S X (0.219::0.219) (0.331::0.331)) + (IOPATH S X (0.152::0.152) (0.324::0.324)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _387_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.139::0.139) (0.279::0.279)) + (IOPATH A1 X (0.107::0.107) (0.280::0.280)) + (IOPATH S X (0.200::0.200) (0.304::0.305)) + (IOPATH S X (0.121::0.121) (0.309::0.309)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _388_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.139::0.145) (0.282::0.286)) + (IOPATH A1 X (0.160::0.160) (0.319::0.319)) + (IOPATH S X (0.199::0.200) (0.326::0.326)) + (IOPATH S X (0.139::0.139) (0.314::0.315)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _389_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.117::0.118) (0.281::0.281)) + (IOPATH A1 X (0.153::0.153) (0.310::0.310)) + (IOPATH S X (0.222::0.222) (0.334::0.334)) + (IOPATH S X (0.155::0.155) (0.328::0.328)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _390_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.166::0.168) (0.288::0.293)) + (IOPATH A1 X (0.177::0.177) (0.287::0.287)) + (IOPATH S X (0.201::0.209) (0.324::0.324)) + (IOPATH S X (0.188::0.188) (0.302::0.308)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _391_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.112::0.132) (0.269::0.274)) + (IOPATH A1 X (0.144::0.144) (0.292::0.292)) + (IOPATH S X (0.189::0.190) (0.314::0.314)) + (IOPATH S X (0.130::0.130) (0.302::0.303)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _392_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.115::0.116) (0.279::0.279)) + (IOPATH A1 X (0.148::0.148) (0.297::0.297)) + (IOPATH S X (0.223::0.223) (0.335::0.335)) + (IOPATH S X (0.156::0.156) (0.329::0.329)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _393_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.219::0.219) (0.366::0.367)) + (IOPATH A1 X (0.282::0.282) (0.389::0.391)) + (IOPATH S X (0.292::0.292) (0.403::0.403)) + (IOPATH S X (0.230::0.230) (0.392::0.392)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _394_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.125::0.125) (0.286::0.286)) + (IOPATH A1 X (0.184::0.184) (0.303::0.305)) + (IOPATH S X (0.200::0.200) (0.322::0.322)) + (IOPATH S X (0.139::0.139) (0.311::0.311)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _395_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.127::0.132) (0.270::0.275)) + (IOPATH A1 X (0.151::0.151) (0.308::0.308)) + (IOPATH S X (0.195::0.199) (0.352::0.352)) + (IOPATH S X (0.186::0.186) (0.307::0.310)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _396_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.128::0.129) (0.293::0.294)) + (IOPATH A1 X (0.167::0.167) (0.326::0.326)) + (IOPATH S X (0.235::0.235) (0.349::0.349)) + (IOPATH S X (0.168::0.168) (0.343::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _397_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.165::0.166) (0.315::0.322)) + (IOPATH A1 X (0.175::0.175) (0.333::0.333)) + (IOPATH S X (0.205::0.213) (0.343::0.343)) + (IOPATH S X (0.159::0.159) (0.320::0.327)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _398_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.125::0.130) (0.268::0.273)) + (IOPATH A1 X (0.139::0.139) (0.300::0.300)) + (IOPATH S X (0.188::0.189) (0.312::0.312)) + (IOPATH S X (0.128::0.128) (0.300::0.301)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _399_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.118::0.119) (0.284::0.284)) + (IOPATH A1 X (0.149::0.149) (0.306::0.306)) + (IOPATH S X (0.226::0.226) (0.339::0.339)) + (IOPATH S X (0.159::0.159) (0.333::0.333)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _400_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.148::0.148) (0.297::0.297)) + (IOPATH A1 X (0.105::0.105) (0.278::0.278)) + (IOPATH S X (0.197::0.198) (0.314::0.316)) + (IOPATH S X (0.132::0.133) (0.307::0.307)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _401_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.112::0.133) (0.270::0.275)) + (IOPATH A1 X (0.155::0.155) (0.311::0.311)) + (IOPATH S X (0.189::0.190) (0.314::0.314)) + (IOPATH S X (0.130::0.130) (0.303::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _402_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.119::0.121) (0.284::0.285)) + (IOPATH A1 X (0.162::0.162) (0.319::0.319)) + (IOPATH S X (0.226::0.226) (0.340::0.340)) + (IOPATH S X (0.159::0.159) (0.333::0.333)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _403_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.134::0.134) (0.286::0.286)) + (IOPATH A1 X (0.115::0.138) (0.278::0.281)) + (IOPATH S X (0.196::0.197) (0.314::0.315)) + (IOPATH S X (0.131::0.133) (0.306::0.307)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _404_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.101::0.101) (0.263::0.263)) + (IOPATH A1 X (0.146::0.146) (0.303::0.303)) + (IOPATH S X (0.183::0.184) (0.307::0.307)) + (IOPATH S X (0.124::0.124) (0.295::0.296)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _405_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.112::0.113) (0.277::0.277)) + (IOPATH A1 X (0.155::0.155) (0.312::0.312)) + (IOPATH S X (0.221::0.221) (0.334::0.334)) + (IOPATH S X (0.154::0.154) (0.327::0.327)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _406_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.121::0.126) (0.264::0.269)) + (IOPATH A1 X (0.135::0.135) (0.295::0.295)) + (IOPATH S X (0.191::0.196) (0.347::0.347)) + (IOPATH S X (0.183::0.183) (0.301::0.305)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _407_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.120::0.121) (0.286::0.287)) + (IOPATH A1 X (0.151::0.151) (0.313::0.313)) + (IOPATH S X (0.229::0.229) (0.343::0.343)) + (IOPATH S X (0.162::0.162) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _408_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.112::0.134) (0.269::0.274)) + (IOPATH A1 X (0.135::0.135) (0.292::0.292)) + (IOPATH S X (0.194::0.198) (0.351::0.351)) + (IOPATH S X (0.185::0.185) (0.305::0.308)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _409_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.127::0.128) (0.293::0.293)) + (IOPATH A1 X (0.152::0.152) (0.311::0.311)) + (IOPATH S X (0.235::0.235) (0.349::0.349)) + (IOPATH S X (0.168::0.168) (0.343::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _410_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.146::0.146) (0.297::0.297)) + (IOPATH A1 X (0.114::0.135) (0.280::0.283)) + (IOPATH S X (0.201::0.201) (0.306::0.307)) + (IOPATH S X (0.122::0.122) (0.311::0.311)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _411_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.127::0.127) (0.271::0.271)) + (IOPATH A1 X (0.163::0.163) (0.318::0.318)) + (IOPATH S X (0.182::0.185) (0.316::0.316)) + (IOPATH S X (0.134::0.135) (0.294::0.296)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _412_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.137::0.137) (0.297::0.297)) + (IOPATH A1 X (0.147::0.147) (0.314::0.314)) + (IOPATH S X (0.233::0.233) (0.357::0.357)) + (IOPATH S X (0.173::0.173) (0.344::0.344)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _413_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.143::0.143) (0.291::0.291)) + (IOPATH A1 X (0.173::0.173) (0.327::0.327)) + (IOPATH S X (0.204::0.204) (0.337::0.338)) + (IOPATH S X (0.153::0.154) (0.318::0.318)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _414_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.364::0.364) (0.353::0.353)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.031::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.042::-0.042)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.099::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _415_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.370::0.370) (0.357::0.357)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.033::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.042::-0.042)) + (SETUP (posedge D) (posedge CLK) (0.056::0.056)) + (SETUP (negedge D) (posedge CLK) (0.099::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _416_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.376::0.376) (0.360::0.360)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.022::-0.022)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.045::0.045)) + (SETUP (negedge D) (posedge CLK) (0.084::0.084)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _417_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.350::0.350) (0.338::0.338)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.040::-0.040)) + (HOLD (negedge D) (posedge CLK) (-0.057::-0.057)) + (SETUP (posedge D) (posedge CLK) (0.064::0.064)) + (SETUP (negedge D) (posedge CLK) (0.115::0.115)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _418_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.375::0.375) (0.358::0.358)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.025::-0.025)) + (SETUP (posedge D) (posedge CLK) (0.049::0.049)) + (SETUP (negedge D) (posedge CLK) (0.081::0.081)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _419_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.367::0.367) (0.348::0.348)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.037::-0.037)) + (HOLD (negedge D) (posedge CLK) (-0.053::-0.053)) + (SETUP (posedge D) (posedge CLK) (0.061::0.061)) + (SETUP (negedge D) (posedge CLK) (0.110::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _420_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.383::0.383) (0.366::0.366)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.004::-0.004)) + (HOLD (negedge D) (posedge CLK) (-0.000::-0.000)) + (SETUP (posedge D) (posedge CLK) (0.025::0.025)) + (SETUP (negedge D) (posedge CLK) (0.055::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _421_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.531::0.531) (0.385::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.167::0.166)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.148::-0.147)) + (HOLD (posedge D) (posedge CLK) (-0.020::-0.020)) + (HOLD (negedge D) (posedge CLK) (0.018::0.018)) + (SETUP (posedge D) (posedge CLK) (0.038::0.038)) + (SETUP (negedge D) (posedge CLK) (0.036::0.036)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _422_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.532::0.533) (0.386::0.387)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.167::0.166)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.148::-0.147)) + (HOLD (posedge D) (posedge CLK) (-0.020::-0.021)) + (HOLD (negedge D) (posedge CLK) (0.018::0.018)) + (SETUP (posedge D) (posedge CLK) (0.038::0.039)) + (SETUP (negedge D) (posedge CLK) (0.036::0.036)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _423_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.533::0.533) (0.386::0.387)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.167::0.166)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.148::-0.147)) + (HOLD (posedge D) (posedge CLK) (-0.011::-0.011)) + (HOLD (negedge D) (posedge CLK) (0.027::0.026)) + (SETUP (posedge D) (posedge CLK) (0.029::0.029)) + (SETUP (negedge D) (posedge CLK) (0.026::0.027)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _424_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.446::0.446) (0.465::0.465)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228::-0.228)) + (HOLD (posedge D) (posedge CLK) (-0.008::-0.008)) + (HOLD (negedge D) (posedge CLK) (0.004::0.004)) + (SETUP (posedge D) (posedge CLK) (0.030::0.030)) + (SETUP (negedge D) (posedge CLK) (0.064::0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _425_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.422::0.422) (0.448::0.448)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228::-0.228)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.019::-0.019)) + (SETUP (posedge D) (posedge CLK) (0.050::0.050)) + (SETUP (negedge D) (posedge CLK) (0.089::0.089)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _426_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.385::0.385) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228::-0.228)) + (HOLD (posedge D) (posedge CLK) (-0.009::-0.009)) + (HOLD (negedge D) (posedge CLK) (-0.000::-0.000)) + (SETUP (posedge D) (posedge CLK) (0.031::0.031)) + (SETUP (negedge D) (posedge CLK) (0.068::0.068)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _427_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.429::0.429)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.455::0.455)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.249::-0.249)) + (HOLD (posedge D) (posedge CLK) (-0.004::-0.004)) + (HOLD (negedge D) (posedge CLK) (0.016::0.016)) + (SETUP (posedge D) (posedge CLK) (0.026::0.026)) + (SETUP (negedge D) (posedge CLK) (0.052::0.052)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _428_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.572::0.572) (0.426::0.426)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.203::0.203)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.185::-0.185)) + (HOLD (posedge D) (posedge CLK) (-0.007::-0.007)) + (HOLD (negedge D) (posedge CLK) (0.045::0.045)) + (SETUP (posedge D) (posedge CLK) (0.023::0.023)) + (SETUP (negedge D) (posedge CLK) (0.008::0.008)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _429_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.397::0.397) (0.433::0.433)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.455::0.455)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.249::-0.249)) + (HOLD (posedge D) (posedge CLK) (0.001::0.001)) + (HOLD (negedge D) (posedge CLK) (0.021::0.021)) + (SETUP (posedge D) (posedge CLK) (0.020::0.020)) + (SETUP (negedge D) (posedge CLK) (0.047::0.047)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _430_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.581::0.581) (0.624::0.624)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.455::0.455)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.222::-0.222)) + (HOLD (posedge D) (posedge CLK) (0.001::0.001)) + (HOLD (negedge D) (posedge CLK) (0.019::0.019)) + (SETUP (posedge D) (posedge CLK) (0.024::0.024)) + (SETUP (negedge D) (posedge CLK) (0.053::0.053)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_4") + (INSTANCE _431_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.713::0.713) (0.560::0.560)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.203::0.203)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.185::-0.185)) + (HOLD (posedge D) (posedge CLK) (-0.004::-0.004)) + (HOLD (negedge D) (posedge CLK) (0.052::0.052)) + (SETUP (posedge D) (posedge CLK) (0.020::0.020)) + (SETUP (negedge D) (posedge CLK) (0.006::0.006)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _432_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.542::0.542) (0.595::0.595)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.455::0.455)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.222::-0.222)) + (HOLD (posedge D) (posedge CLK) (-0.000::-0.000)) + (HOLD (negedge D) (posedge CLK) (0.018::0.018)) + (SETUP (posedge D) (posedge CLK) (0.025::0.025)) + (SETUP (negedge D) (posedge CLK) (0.054::0.054)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _433_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.429::0.429)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.245::-0.245)) + (HOLD (posedge D) (posedge CLK) (-0.003::-0.003)) + (HOLD (negedge D) (posedge CLK) (0.016::0.016)) + (SETUP (posedge D) (posedge CLK) (0.025::0.025)) + (SETUP (negedge D) (posedge CLK) (0.052::0.052)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _434_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.571::0.571) (0.425::0.425)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.199::0.199)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.181::-0.181)) + (HOLD (posedge D) (posedge CLK) (-0.006::-0.006)) + (HOLD (negedge D) (posedge CLK) (0.044::0.044)) + (SETUP (posedge D) (posedge CLK) (0.022::0.022)) + (SETUP (negedge D) (posedge CLK) (0.009::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _435_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.394::0.394) (0.431::0.431)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.245::-0.245)) + (HOLD (posedge D) (posedge CLK) (-0.001::-0.001)) + (HOLD (negedge D) (posedge CLK) (0.018::0.018)) + (SETUP (posedge D) (posedge CLK) (0.022::0.022)) + (SETUP (negedge D) (posedge CLK) (0.050::0.050)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _436_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.587::0.587) (0.627::0.627)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.217::-0.217)) + (HOLD (posedge D) (posedge CLK) (-0.002::-0.002)) + (HOLD (negedge D) (posedge CLK) (0.015::0.015)) + (SETUP (posedge D) (posedge CLK) (0.027::0.027)) + (SETUP (negedge D) (posedge CLK) (0.057::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_4") + (INSTANCE _437_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.734::0.734) (0.572::0.572)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.199::0.199)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.181::-0.181)) + (HOLD (posedge D) (posedge CLK) (-0.006::-0.006)) + (HOLD (negedge D) (posedge CLK) (0.048::0.048)) + (SETUP (posedge D) (posedge CLK) (0.023::0.023)) + (SETUP (negedge D) (posedge CLK) (0.009::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _438_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.572::0.572) (0.616::0.616)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.218::-0.218)) + (HOLD (posedge D) (posedge CLK) (-0.002::-0.002)) + (HOLD (negedge D) (posedge CLK) (0.015::0.015)) + (SETUP (posedge D) (posedge CLK) (0.027::0.027)) + (SETUP (negedge D) (posedge CLK) (0.057::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _439_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.600::0.600) (0.436::0.436)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.185::0.185)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.166::-0.166)) + (HOLD (posedge D) (posedge CLK) (-0.014::-0.028)) + (HOLD (negedge D) (posedge CLK) (0.029::0.026)) + (SETUP (posedge D) (posedge CLK) (0.031::0.046)) + (SETUP (negedge D) (posedge CLK) (0.025::0.028)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _440_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.554::0.554) (0.457::0.457)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.546::0.546)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.307::-0.307)) + (HOLD (posedge D) (negedge CLK_N) (0.122::0.117)) + (HOLD (negedge D) (negedge CLK_N) (-0.039::-0.041)) + (SETUP (posedge D) (negedge CLK_N) (-0.077::-0.070)) + (SETUP (negedge D) (negedge CLK_N) (0.118::0.120)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _441_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.601::0.601) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.041::-0.046)) + (HOLD (negedge D) (posedge CLK) (-0.013::-0.015)) + (SETUP (posedge D) (posedge CLK) (0.061::0.067)) + (SETUP (negedge D) (posedge CLK) (0.068::0.070)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _442_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.529::0.529) (0.440::0.440)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.546::0.546)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.307::-0.307)) + (HOLD (posedge D) (negedge CLK_N) (0.123::0.117)) + (HOLD (negedge D) (negedge CLK_N) (-0.038::-0.040)) + (SETUP (posedge D) (negedge CLK_N) (-0.078::-0.071)) + (SETUP (negedge D) (negedge CLK_N) (0.118::0.120)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _443_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.553::0.553) (0.598::0.598)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.200::-0.200)) + (HOLD (posedge D) (posedge CLK) (-0.008::-0.008)) + (HOLD (negedge D) (posedge CLK) (0.008::0.005)) + (SETUP (posedge D) (posedge CLK) (0.033::0.034)) + (SETUP (negedge D) (posedge CLK) (0.064::0.066)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_2") + (INSTANCE _444_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.653::0.653) (0.462::0.462)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.190::0.190)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.169::-0.169)) + (HOLD (posedge D) (posedge CLK) (-0.007::-0.007)) + (HOLD (negedge D) (posedge CLK) (0.042::0.042)) + (SETUP (posedge D) (posedge CLK) (0.027::0.027)) + (SETUP (negedge D) (posedge CLK) (0.019::0.019)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _445_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.454::0.454) (0.468::0.468)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.435::0.435)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228::-0.228)) + (HOLD (posedge D) (posedge CLK) (-0.008::-0.008)) + (HOLD (negedge D) (posedge CLK) (0.005::0.005)) + (SETUP (posedge D) (posedge CLK) (0.031::0.031)) + (SETUP (negedge D) (posedge CLK) (0.063::0.063)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _446_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.411::0.411) (0.439::0.439)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228::-0.228)) + (HOLD (posedge D) (posedge CLK) (-0.020::-0.023)) + (HOLD (negedge D) (posedge CLK) (0.000::-0.012)) + (SETUP (posedge D) (posedge CLK) (0.044::0.047)) + (SETUP (negedge D) (posedge CLK) (0.068::0.081)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _447_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.545::0.545) (0.378::0.378)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.036::-0.039)) + (HOLD (negedge D) (posedge CLK) (-0.016::-0.019)) + (SETUP (posedge D) (posedge CLK) (0.056::0.059)) + (SETUP (negedge D) (posedge CLK) (0.072::0.075)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _448_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.495::0.495) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.546::0.546)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.307::-0.307)) + (HOLD (posedge D) (negedge CLK_N) (0.129::0.129)) + (HOLD (negedge D) (negedge CLK_N) (-0.036::-0.036)) + (SETUP (posedge D) (negedge CLK_N) (-0.086::-0.086)) + (SETUP (negedge D) (negedge CLK_N) (0.115::0.116)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _449_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.554::0.554) (0.381::0.381)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.041::-0.046)) + (HOLD (negedge D) (posedge CLK) (-0.009::-0.020)) + (SETUP (posedge D) (posedge CLK) (0.062::0.067)) + (SETUP (negedge D) (posedge CLK) (0.064::0.076)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _450_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.490::0.490) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.546::0.546)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.307::-0.307)) + (HOLD (posedge D) (negedge CLK_N) (0.125::0.115)) + (HOLD (negedge D) (negedge CLK_N) (-0.037::-0.041)) + (SETUP (posedge D) (negedge CLK_N) (-0.081::-0.068)) + (SETUP (negedge D) (negedge CLK_N) (0.116::0.120)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _451_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.472::0.472) (0.499::0.499)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.208::-0.208)) + (HOLD (posedge D) (posedge CLK) (-0.007::-0.007)) + (HOLD (negedge D) (posedge CLK) (0.006::0.005)) + (SETUP (posedge D) (posedge CLK) (0.032::0.033)) + (SETUP (negedge D) (posedge CLK) (0.066::0.067)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _452_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.660::0.660) (0.470::0.470)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.189::0.189)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.170::-0.170)) + (HOLD (posedge D) (posedge CLK) (-0.008::-0.009)) + (HOLD (negedge D) (posedge CLK) (0.034::0.033)) + (SETUP (posedge D) (posedge CLK) (0.025::0.026)) + (SETUP (negedge D) (posedge CLK) (0.020::0.020)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _453_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.461::0.461) (0.475::0.475)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233::-0.233)) + (HOLD (posedge D) (posedge CLK) (-0.006::-0.006)) + (HOLD (negedge D) (posedge CLK) (0.005::0.004)) + (SETUP (posedge D) (posedge CLK) (0.028::0.028)) + (SETUP (negedge D) (posedge CLK) (0.064::0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _454_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.604::0.604) (0.438::0.438)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.185::0.185)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.166::-0.166)) + (HOLD (posedge D) (posedge CLK) (-0.014::-0.016)) + (HOLD (negedge D) (posedge CLK) (0.024::0.022)) + (SETUP (posedge D) (posedge CLK) (0.031::0.033)) + (SETUP (negedge D) (posedge CLK) (0.030::0.032)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _455_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.567::0.567) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.169::0.169)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.019::-0.021)) + (HOLD (negedge D) (posedge CLK) (0.018::0.018)) + (SETUP (posedge D) (posedge CLK) (0.037::0.039)) + (SETUP (negedge D) (posedge CLK) (0.036::0.037)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _456_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.549::0.549) (0.451::0.451)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.539::0.539)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.299::-0.299)) + (HOLD (posedge D) (negedge CLK_N) (0.116::0.111)) + (HOLD (negedge D) (negedge CLK_N) (-0.044::-0.046)) + (SETUP (posedge D) (negedge CLK_N) (-0.071::-0.064)) + (SETUP (negedge D) (negedge CLK_N) (0.123::0.125)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _457_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.593::0.593) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.041::-0.047)) + (HOLD (negedge D) (posedge CLK) (-0.013::-0.015)) + (SETUP (posedge D) (posedge CLK) (0.062::0.067)) + (SETUP (negedge D) (posedge CLK) (0.068::0.070)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _458_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.522::0.522) (0.433::0.433)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.539::0.539)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.299::-0.299)) + (HOLD (posedge D) (negedge CLK_N) (0.117::0.112)) + (HOLD (negedge D) (negedge CLK_N) (-0.043::-0.045)) + (SETUP (posedge D) (negedge CLK_N) (-0.072::-0.066)) + (SETUP (negedge D) (negedge CLK_N) (0.121::0.124)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _459_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.564::0.564) (0.387::0.387)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.037::-0.039)) + (HOLD (negedge D) (posedge CLK) (-0.016::-0.019)) + (SETUP (posedge D) (posedge CLK) (0.057::0.060)) + (SETUP (negedge D) (posedge CLK) (0.072::0.075)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _460_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.479::0.479) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.539::0.539)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.299::-0.299)) + (HOLD (posedge D) (negedge CLK_N) (0.123::0.123)) + (HOLD (negedge D) (negedge CLK_N) (-0.040::-0.040)) + (SETUP (posedge D) (negedge CLK_N) (-0.081::-0.080)) + (SETUP (negedge D) (negedge CLK_N) (0.118::0.119)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _461_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.552::0.552) (0.380::0.380)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.043::-0.048)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.021)) + (SETUP (posedge D) (posedge CLK) (0.063::0.069)) + (SETUP (negedge D) (posedge CLK) (0.066::0.077)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _462_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.480::0.480) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.539::0.539)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.299::-0.299)) + (HOLD (posedge D) (negedge CLK_N) (0.119::0.109)) + (HOLD (negedge D) (negedge CLK_N) (-0.042::-0.045)) + (SETUP (posedge D) (negedge CLK_N) (-0.074::-0.062)) + (SETUP (negedge D) (negedge CLK_N) (0.120::0.124)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _463_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.459::0.459) (0.485::0.485)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.420::0.420)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.190::-0.190)) + (HOLD (posedge D) (posedge CLK) (-0.015::-0.015)) + (HOLD (negedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.042::0.042)) + (SETUP (negedge D) (posedge CLK) (0.080::0.081)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _464_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.655::0.655) (0.464::0.464)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.182::0.182)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.163::-0.163)) + (HOLD (posedge D) (posedge CLK) (-0.012::-0.013)) + (HOLD (negedge D) (posedge CLK) (0.028::0.027)) + (SETUP (posedge D) (posedge CLK) (0.029::0.030)) + (SETUP (negedge D) (posedge CLK) (0.026::0.027)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _465_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.460::0.460) (0.471::0.471)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.432::0.432)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225::-0.225)) + (HOLD (posedge D) (posedge CLK) (-0.008::-0.009)) + (HOLD (negedge D) (posedge CLK) (-0.000::-0.001)) + (SETUP (posedge D) (posedge CLK) (0.031::0.031)) + (SETUP (negedge D) (posedge CLK) (0.068::0.069)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _466_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.581::0.581) (0.417::0.417)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.169::0.169)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.022::-0.024)) + (HOLD (negedge D) (posedge CLK) (0.010::0.008)) + (SETUP (posedge D) (posedge CLK) (0.040::0.042)) + (SETUP (negedge D) (posedge CLK) (0.045::0.047)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _467_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.536::0.536) (0.582::0.582)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.420::0.420)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.182::-0.182)) + (HOLD (posedge D) (posedge CLK) (-0.020::-0.020)) + (HOLD (negedge D) (posedge CLK) (-0.010::-0.012)) + (SETUP (posedge D) (posedge CLK) (0.047::0.047)) + (SETUP (negedge D) (posedge CLK) (0.082::0.085)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _468_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.645::0.645) (0.451::0.451)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.169::0.169)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.019::-0.019)) + (HOLD (negedge D) (posedge CLK) (0.021::0.021)) + (SETUP (posedge D) (posedge CLK) (0.037::0.037)) + (SETUP (negedge D) (posedge CLK) (0.033::0.033)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _469_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.432::0.432) (0.448::0.448)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.420::0.420)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.210::-0.210)) + (HOLD (posedge D) (posedge CLK) (-0.016::-0.016)) + (HOLD (negedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.040::0.040)) + (SETUP (negedge D) (posedge CLK) (0.076::0.076)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _470_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.397::0.397) (0.424::0.424)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.420::0.420)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.210::-0.210)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.014::-0.026)) + (SETUP (posedge D) (posedge CLK) (0.055::0.058)) + (SETUP (negedge D) (posedge CLK) (0.082::0.095)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_0_ext_clk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_0_pll_clk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_0_pll_clk90) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_0_ext_clk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.085::0.085) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_0_pll_clk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.240::0.240) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_0_pll_clk90) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_0_ext_clk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_0_pll_clk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_0_pll_clk90) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1") + (INSTANCE hold1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.247::0.247) (0.235::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.095::0.095) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input2) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.077) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input3) + (DELAY + (ABSOLUTE + (IOPATH A X (0.272::0.272) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input4) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.079) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input5) + (DELAY + (ABSOLUTE + (IOPATH A X (0.081::0.081) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input6) + (DELAY + (ABSOLUTE + (IOPATH A X (0.073::0.073) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input7) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input8) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input9) + (DELAY + (ABSOLUTE + (IOPATH A X (0.073::0.073) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE output10) + (DELAY + (ABSOLUTE + (IOPATH A X (0.354::0.354) (0.252::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output11) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.174::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE output12) + (DELAY + (ABSOLUTE + (IOPATH A X (0.331::0.333) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE rebuffer10) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.125) (0.114::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer11) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.224::0.232)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer2) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.226::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE rebuffer3) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.120) (0.138::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer4) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer5) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer6) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.246::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer7) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE rebuffer8) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlygate4sd1_1") + (INSTANCE rebuffer9) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE split1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE split12) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.187) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE split2) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.173::0.173)) + ) + ) + ) +) diff --git a/sdf/digital_pll.sdf b/sdf/digital_pll.sdf new file mode 100644 index 00000000..42e6dd68 --- /dev/null +++ b/sdf/digital_pll.sdf @@ -0,0 +1,4224 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "digital_pll") + (DATE "Sun Nov 28 13:23:05 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "digital_pll") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT dco _182_/A (0.748::0.748) (0.419::0.419)) + (INTERCONNECT dco _330_/S (0.750::0.750) (0.421::0.421)) + (INTERCONNECT dco _331_/S (0.756::0.756) (0.427::0.427)) + (INTERCONNECT dco _332_/S (0.751::0.751) (0.422::0.422)) + (INTERCONNECT dco _333_/S (0.756::0.756) (0.427::0.427)) + (INTERCONNECT dco _334_/S (0.751::0.751) (0.422::0.422)) + (INTERCONNECT dco _335_/S (0.756::0.756) (0.427::0.427)) + (INTERCONNECT dco _336_/S (0.753::0.753) (0.425::0.425)) + (INTERCONNECT dco _337_/S (0.756::0.756) (0.427::0.427)) + (INTERCONNECT dco _338_/S (0.753::0.753) (0.425::0.425)) + (INTERCONNECT dco _339_/S (0.754::0.754) (0.425::0.425)) + (INTERCONNECT dco _340_/S (0.753::0.753) (0.424::0.424)) + (INTERCONNECT dco _341_/S (0.755::0.755) (0.426::0.426)) + (INTERCONNECT dco _342_/S (0.751::0.751) (0.423::0.423)) + (INTERCONNECT dco _343_/S (0.754::0.754) (0.425::0.425)) + (INTERCONNECT dco _344_/S (0.752::0.752) (0.423::0.423)) + (INTERCONNECT dco _345_/S (0.755::0.755) (0.426::0.426)) + (INTERCONNECT dco _346_/S (0.750::0.750) (0.422::0.422)) + (INTERCONNECT dco _347_/S (0.755::0.755) (0.426::0.426)) + (INTERCONNECT dco _348_/S (0.749::0.749) (0.421::0.421)) + (INTERCONNECT dco _349_/S (0.754::0.754) (0.425::0.425)) + (INTERCONNECT dco _350_/S (0.748::0.748) (0.420::0.420)) + (INTERCONNECT dco _351_/S (0.751::0.751) (0.422::0.422)) + (INTERCONNECT dco _352_/S (0.747::0.747) (0.419::0.419)) + (INTERCONNECT dco _353_/S (0.751::0.751) (0.423::0.423)) + (INTERCONNECT dco _354_/S (0.750::0.750) (0.422::0.422)) + (INTERCONNECT dco _355_/S (0.751::0.751) (0.423::0.423)) + (INTERCONNECT div[0] _177_/A (0.055::0.055) (0.031::0.031)) + (INTERCONNECT div[0] _210_/B1 (0.055::0.055) (0.031::0.031)) + (INTERCONNECT div[1] _207_/A (0.084::0.084) (0.047::0.047)) + (INTERCONNECT div[1] _210_/A1 (0.084::0.084) (0.047::0.047)) + (INTERCONNECT div[1] _211_/A1 (0.084::0.084) (0.047::0.047)) + (INTERCONNECT div[2] _201_/B1 (0.051::0.051) (0.028::0.028)) + (INTERCONNECT div[2] _204_/A1 (0.051::0.051) (0.028::0.028)) + (INTERCONNECT div[3] _201_/A1 (0.042::0.042) (0.023::0.023)) + (INTERCONNECT div[3] _202_/A (0.042::0.042) (0.023::0.023)) + (INTERCONNECT div[4] _216_/A (0.063::0.063) (0.035::0.035)) + (INTERCONNECT div[4] _218_/B1 (0.063::0.063) (0.035::0.035)) + (INTERCONNECT enable _181_/A (0.040::0.040) (0.022::0.022)) + (INTERCONNECT ext_trim[0] _352_/A1 (0.019::0.019) (0.010::0.010)) + (INTERCONNECT ext_trim[10] _332_/A1 (0.046::0.046) (0.027::0.027)) + (INTERCONNECT ext_trim[11] _330_/A1 (0.031::0.031) (0.017::0.017)) + (INTERCONNECT ext_trim[12] _354_/A1 (0.033::0.033) (0.019::0.019)) + (INTERCONNECT ext_trim[13] _353_/A1 (0.040::0.040) (0.023::0.023)) + (INTERCONNECT ext_trim[14] _351_/A1 (0.030::0.030) (0.017::0.017)) + (INTERCONNECT ext_trim[15] _349_/A1 (0.023::0.023) (0.013::0.013)) + (INTERCONNECT ext_trim[16] _347_/A1 (0.023::0.023) (0.013::0.013)) + (INTERCONNECT ext_trim[17] _345_/A1 (0.023::0.023) (0.013::0.013)) + (INTERCONNECT ext_trim[18] _343_/A1 (0.043::0.043) (0.025::0.025)) + (INTERCONNECT ext_trim[19] _341_/A1 (0.032::0.032) (0.018::0.018)) + (INTERCONNECT ext_trim[1] _350_/A1 (0.019::0.019) (0.010::0.010)) + (INTERCONNECT ext_trim[20] _339_/A1 (0.037::0.037) (0.021::0.021)) + (INTERCONNECT ext_trim[21] _337_/A1 (0.023::0.023) (0.013::0.013)) + (INTERCONNECT ext_trim[22] _335_/A1 (0.021::0.021) (0.011::0.011)) + (INTERCONNECT ext_trim[23] _333_/A1 (0.017::0.017) (0.009::0.009)) + (INTERCONNECT ext_trim[24] _331_/A1 (0.029::0.029) (0.017::0.017)) + (INTERCONNECT ext_trim[25] _355_/A1 (0.059::0.059) (0.034::0.034)) + (INTERCONNECT ext_trim[2] _348_/A1 (0.016::0.016) (0.008::0.008)) + (INTERCONNECT ext_trim[3] _346_/A1 (0.017::0.017) (0.009::0.009)) + (INTERCONNECT ext_trim[4] _344_/A1 (0.028::0.028) (0.016::0.016)) + (INTERCONNECT ext_trim[5] _342_/A1 (0.033::0.033) (0.019::0.019)) + (INTERCONNECT ext_trim[6] _340_/A1 (0.040::0.040) (0.023::0.023)) + (INTERCONNECT ext_trim[7] _338_/A1 (0.053::0.053) (0.031::0.031)) + (INTERCONNECT ext_trim[8] _336_/A1 (0.044::0.044) (0.026::0.026)) + (INTERCONNECT ext_trim[9] _334_/A1 (0.048::0.048) (0.028::0.028)) + (INTERCONNECT osc _356_/D (0.020::0.020) (0.011::0.011)) + (INTERCONNECT resetb _181_/B (0.053::0.053) (0.030::0.030)) + (INTERCONNECT _164_/Y _212_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _164_/Y _218_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _164_/Y _270_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _165_/Y _212_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _165_/Y _218_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _166_/Y _267_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _166_/Y _273_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _167_/Y _267_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _167_/Y _273_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _167_/Y _275_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _168_/Y _193_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _168_/Y _208_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _168_/Y _267_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _168_/Y _273_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _168_/Y _275_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _168_/Y _277_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _169_/Y _193_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _169_/Y _208_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _235_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _247_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _250_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _292_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _170_/Y _294_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _295_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _296_/A4 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _297_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _298_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _300_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/Y _301_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _171_/Y _223_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _171_/Y _243_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _171_/Y _255_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _171_/Y _285_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _171_/Y _286_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _171_/Y _287_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _171_/Y _289_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _171_/Y _297_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _171_/Y _303_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _172_/Y _223_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _172_/Y _242_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _172_/Y _252_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _172_/Y _280_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _173_/Y _221_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _173_/Y _295_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _173_/Y _298_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _173_/Y _300_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _174_/Y _221_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _174_/Y _240_/B1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _174_/Y _260_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _174_/Y _293_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _174_/Y _296_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _175_/Y _224_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _175_/Y _237_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _175_/Y _238_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _175_/Y _265_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _176_/Y _224_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _176_/Y _238_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _176_/Y _264_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _176_/Y _264_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _176_/Y _266_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _177_/Y _225_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _178_/X _179_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _178_/X _180_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _178_/X _183_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _178_/X _184_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _178_/X _185_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _178_/X _186_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _178_/X _187_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _178_/X _188_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _178_/X _271_/C1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _178_/X _272_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _178_/X _274_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _178_/X _275_/C1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _179_/Y _180_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _179_/Y _183_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _179_/Y _184_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _179_/Y _185_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _179_/Y _186_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _179_/Y _187_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _179_/Y _188_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _179_/Y _189_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _179_/Y _226_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _179_/Y _270_/B1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _179_/Y _277_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _180_/X _378_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _181_/Y _182_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _181_/Y ringosc\.iss\.ctrlen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _181_/Y ringosc\.iss\.reseten0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _182_/Y _305_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _182_/Y _306_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _182_/Y _307_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _182_/Y _308_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _182_/Y _309_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _182_/Y _310_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _182_/Y _311_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _182_/Y _312_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _182_/Y _313_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _182_/Y _314_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _182_/Y _315_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _182_/Y _316_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _182_/Y _317_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _182_/Y _318_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _182_/Y _319_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _182_/Y _320_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _182_/Y _321_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _182_/Y _322_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _182_/Y _323_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _182_/Y _324_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _182_/Y _325_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _182_/Y _327_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _182_/Y _377_/RESET_B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _183_/X _377_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _184_/X _376_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _185_/X _375_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _186_/X _374_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _187_/X _373_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _188_/X _372_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _189_/X _371_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _190_/Y _191_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _190_/Y _213_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _191_/X _198_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _191_/X _198_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _192_/Y _197_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _192_/Y _199_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _193_/Y _195_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _193_/Y _206_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _193_/Y _206_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _193_/Y _208_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _194_/X _195_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _194_/X _206_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _194_/X _206_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _195_/X _196_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _195_/X _200_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _195_/X _200_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _196_/Y _197_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _197_/X _198_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _197_/X _198_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _197_/X _213_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _198_/X _201_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _198_/X _202_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _199_/Y _200_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _199_/Y _200_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _200_/X _201_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _200_/X _204_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _201_/Y _204_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _201_/Y _217_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _202_/X _203_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _202_/X _204_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _203_/Y _217_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _204_/X _205_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _204_/X _225_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _205_/Y _217_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _206_/Y _207_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _206_/Y _210_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _206_/Y _211_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _207_/Y _210_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _208_/Y _209_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _208_/Y _225_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _209_/Y _210_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _210_/X _211_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _210_/X _225_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _211_/Y _217_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _212_/X _214_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _212_/X _215_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _213_/X _214_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _213_/X _215_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _214_/X _215_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _214_/X _218_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _215_/X _216_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _215_/X _218_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _216_/Y _217_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _216_/Y _225_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _217_/X _219_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _218_/Y _219_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _218_/Y _234_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _219_/X _220_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _219_/X _234_/B1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _219_/X _237_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _219_/X _238_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _219_/X _240_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _219_/X _241_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _219_/X _242_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _219_/X _243_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _219_/X _245_/B1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _219_/X _247_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _219_/X _252_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _219_/X _260_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _220_/Y _235_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _220_/Y _237_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _220_/Y _240_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _220_/Y _242_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _220_/Y _243_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _220_/Y _247_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _220_/Y _259_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _221_/X _222_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _221_/X _223_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _222_/Y _239_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _222_/Y _283_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _222_/Y _287_/A4 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _222_/Y _288_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _222_/Y _304_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _223_/X _224_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _223_/X _292_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _223_/X _329_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _224_/X _235_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _225_/Y _234_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _226_/X _234_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _227_/X _228_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _227_/X _231_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _227_/X _281_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _227_/X _285_/A4 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _227_/X _290_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _227_/X _294_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _227_/X _297_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _228_/Y _239_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _228_/Y _241_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _228_/Y _304_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _229_/X _230_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _229_/X _231_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _229_/X _278_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _229_/X _293_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _229_/X _295_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _229_/X _302_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _229_/X _304_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _230_/Y _245_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _230_/Y _301_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _231_/X _232_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _231_/X _293_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _231_/X _304_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _231_/X _329_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _232_/X _233_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _232_/X _352_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _233_/X _234_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _234_/X _235_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _235_/X _236_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _235_/X _250_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _235_/X _255_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _235_/X _257_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _235_/X _260_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _235_/X _263_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _235_/X _265_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _235_/X _266_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _236_/Y _249_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _236_/Y _254_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _236_/Y _257_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _236_/Y _263_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _236_/Y _265_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _236_/Y _266_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _237_/X _238_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _237_/X _264_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _237_/X _264_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _238_/X _241_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _238_/X _258_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _238_/X _259_/S (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _238_/X _262_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _239_/Y _241_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _240_/X _241_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _240_/X _258_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _240_/X _262_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _241_/X _245_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _241_/X _251_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _241_/X _256_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _242_/X _245_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _242_/X _251_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _242_/X _256_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _243_/X _244_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _243_/X _245_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _243_/X _254_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _244_/Y _254_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _245_/X _246_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _245_/X _249_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _246_/Y _249_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _247_/X _248_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _247_/X _249_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _248_/Y _249_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _249_/X _250_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _250_/Y _370_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _251_/X _252_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _251_/X _257_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _252_/Y _253_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _252_/Y _254_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _253_/Y _254_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _254_/X _255_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _255_/Y _369_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _256_/Y _257_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _257_/X _368_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _258_/X _263_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _259_/X _260_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _260_/X _261_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _260_/X _261_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _261_/X _367_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _262_/Y _263_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _263_/X _366_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _264_/X _265_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _265_/Y _365_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _266_/X _364_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _267_/X _268_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _267_/X _274_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _268_/Y _269_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _268_/Y _271_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _268_/Y _272_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _268_/Y _277_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _269_/Y _270_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _269_/Y _271_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _270_/Y _363_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _271_/X _362_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _272_/X _274_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _272_/X _276_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _273_/Y _274_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _274_/X _361_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _275_/X _276_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _276_/X _360_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _277_/X _359_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _278_/X _279_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _278_/X _281_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _278_/X _282_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _278_/X _283_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _278_/X _284_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _278_/X _288_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _278_/X _346_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _279_/X _340_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _280_/X _281_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/X _282_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/X _283_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/X _284_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/X _294_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/X _296_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/X _298_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/X _300_/A4 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _280_/X _302_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _281_/X _336_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _282_/X _354_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _283_/X _342_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _284_/X _285_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _284_/X _286_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _284_/X _287_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _284_/X _289_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _284_/X _348_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _285_/X _334_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _286_/X _344_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _287_/X _330_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _288_/X _332_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _289_/X _350_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _290_/X _338_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _291_/X _353_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _292_/Y _299_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _292_/Y _341_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _293_/X _295_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _293_/X _337_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _294_/X _295_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _295_/X _296_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _295_/X _349_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _296_/X _298_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _296_/X _300_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _296_/X _335_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _297_/X _298_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _298_/X _351_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _299_/X _347_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _300_/X _345_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _301_/Y _343_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _302_/X _303_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _302_/X _331_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _303_/X _339_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _304_/X _355_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _305_/X _376_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _306_/X _375_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _307_/X _374_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _308_/X _373_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _309_/X _372_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _310_/X _371_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _311_/X _370_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _312_/X _369_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _313_/X _368_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _314_/X _367_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _315_/X _366_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _316_/X _365_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _317_/X _364_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _318_/X _363_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _319_/X _362_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _320_/X _361_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _321_/X _360_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _322_/X _359_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _323_/X _358_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _324_/X _357_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _325_/X _356_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _326_/X _333_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _327_/X _378_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _328_/X clockp[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _329_/X _299_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _330_/X ringosc\.dstage\[11\]\.id\.delayen0/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _330_/X ringosc\.dstage\[11\]\.id\.delayenb0/TE_B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _331_/X ringosc\.dstage\[11\]\.id\.delayen1/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _331_/X ringosc\.dstage\[11\]\.id\.delayenb1/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _332_/X ringosc\.dstage\[10\]\.id\.delayen0/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _332_/X ringosc\.dstage\[10\]\.id\.delayenb0/TE_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _333_/X ringosc\.dstage\[10\]\.id\.delayen1/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _333_/X ringosc\.dstage\[10\]\.id\.delayenb1/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _334_/X ringosc\.dstage\[9\]\.id\.delayen0/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _334_/X ringosc\.dstage\[9\]\.id\.delayenb0/TE_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _335_/X ringosc\.dstage\[9\]\.id\.delayen1/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _335_/X ringosc\.dstage\[9\]\.id\.delayenb1/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _336_/X ringosc\.dstage\[8\]\.id\.delayen0/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _336_/X ringosc\.dstage\[8\]\.id\.delayenb0/TE_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _337_/X ringosc\.dstage\[8\]\.id\.delayen1/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _337_/X ringosc\.dstage\[8\]\.id\.delayenb1/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _338_/X ringosc\.dstage\[7\]\.id\.delayen0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _338_/X ringosc\.dstage\[7\]\.id\.delayenb0/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _339_/X ringosc\.dstage\[7\]\.id\.delayen1/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _339_/X ringosc\.dstage\[7\]\.id\.delayenb1/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _340_/X ringosc\.dstage\[6\]\.id\.delayen0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _340_/X ringosc\.dstage\[6\]\.id\.delayenb0/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _341_/X ringosc\.dstage\[6\]\.id\.delayen1/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _341_/X ringosc\.dstage\[6\]\.id\.delayenb1/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _342_/X ringosc\.dstage\[5\]\.id\.delayen0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _342_/X ringosc\.dstage\[5\]\.id\.delayenb0/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _343_/X ringosc\.dstage\[5\]\.id\.delayen1/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _343_/X ringosc\.dstage\[5\]\.id\.delayenb1/TE_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _344_/X ringosc\.dstage\[4\]\.id\.delayen0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _344_/X ringosc\.dstage\[4\]\.id\.delayenb0/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _345_/X ringosc\.dstage\[4\]\.id\.delayen1/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _345_/X ringosc\.dstage\[4\]\.id\.delayenb1/TE_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _346_/X ringosc\.dstage\[3\]\.id\.delayen0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _346_/X ringosc\.dstage\[3\]\.id\.delayenb0/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _347_/X ringosc\.dstage\[3\]\.id\.delayen1/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _347_/X ringosc\.dstage\[3\]\.id\.delayenb1/TE_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _348_/X ringosc\.dstage\[2\]\.id\.delayen0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _348_/X ringosc\.dstage\[2\]\.id\.delayenb0/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _349_/X ringosc\.dstage\[2\]\.id\.delayen1/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _349_/X ringosc\.dstage\[2\]\.id\.delayenb1/TE_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _350_/X ringosc\.dstage\[1\]\.id\.delayen0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _350_/X ringosc\.dstage\[1\]\.id\.delayenb0/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _351_/X ringosc\.dstage\[1\]\.id\.delayen1/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _351_/X ringosc\.dstage\[1\]\.id\.delayenb1/TE_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _352_/X ringosc\.dstage\[0\]\.id\.delayen0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _352_/X ringosc\.dstage\[0\]\.id\.delayenb0/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _353_/X ringosc\.dstage\[0\]\.id\.delayen1/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _353_/X ringosc\.dstage\[0\]\.id\.delayenb1/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _354_/X ringosc\.iss\.ctrlen0/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _354_/X ringosc\.iss\.delayen0/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _355_/X ringosc\.iss\.delayen1/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _355_/X ringosc\.iss\.delayenb1/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _356_/Q _357_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _357_/Q _178_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _357_/Q _178_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _357_/Q _358_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _358_/Q _178_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _358_/Q _178_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _359_/Q _168_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _359_/Q _186_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _359_/Q _275_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _360_/Q _167_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _360_/Q _185_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _360_/Q _194_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _360_/Q _194_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _360_/Q _195_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _360_/Q _275_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _361_/Q _166_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _361_/Q _184_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _361_/Q _192_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _361_/Q _197_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _361_/Q _199_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _362_/Q _183_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _362_/Q _190_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _362_/Q _191_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _362_/Q _213_/A1_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _362_/Q _269_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _362_/Q _271_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _362_/Q _272_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _362_/Q _277_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _363_/Q _164_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _363_/Q _180_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _363_/Q _212_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _363_/Q _271_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _363_/Q _272_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _363_/Q _277_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _364_/Q _176_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _364_/Q _233_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _364_/Q _266_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _365_/Q _175_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _365_/Q _233_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _365_/Q _237_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _366_/Q _174_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _366_/Q _227_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _366_/Q _240_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _366_/Q _259_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _366_/Q _263_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _366_/Q _300_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _367_/Q _173_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _367_/Q _227_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _367_/Q _261_/A1_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _367_/Q _261_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _367_/Q _279_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _367_/Q _282_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _367_/Q _286_/A4 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _367_/Q _291_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _367_/Q _293_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _367_/Q _296_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _367_/Q _303_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _368_/Q _172_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _368_/Q _229_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _368_/Q _242_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _368_/Q _257_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _368_/Q _285_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _368_/Q _286_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _368_/Q _287_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _368_/Q _289_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _368_/Q _290_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _368_/Q _291_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _368_/Q _297_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _368_/Q _303_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _369_/Q _171_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _369_/Q _229_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _369_/Q _243_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _369_/Q _280_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _369_/Q _290_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _369_/Q _291_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _370_/Q _170_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _370_/Q _232_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _370_/Q _247_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _370_/Q _278_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _370_/Q _281_/A3 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _370_/Q _282_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _370_/Q _283_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _370_/Q _284_/A1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _370_/Q _285_/A3 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _370_/Q _286_/A3 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _370_/Q _287_/A3 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _370_/Q _289_/A3 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _370_/Q _290_/B1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _370_/Q _291_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _370_/Q _293_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _370_/Q _302_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _370_/Q _304_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _370_/Q _326_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _370_/Q _329_/S (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _371_/Q _188_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _371_/Q _189_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _371_/Q _226_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _372_/Q _187_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _372_/Q _188_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _372_/Q _226_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _373_/Q _187_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _373_/Q _226_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _374_/Q _169_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _374_/Q _186_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _375_/Q _185_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _375_/Q _194_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _375_/Q _194_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _375_/Q _195_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _376_/Q _184_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _376_/Q _192_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _376_/Q _197_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _376_/Q _199_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _377_/Q _183_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _377_/Q _190_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _377_/Q _191_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _377_/Q _213_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _378_/Q _165_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _378_/Q _180_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _378_/Q _212_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0/X ringosc\.dstage\[0\]\.id\.delaybuf1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0/X ringosc\.dstage\[0\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0/X ringosc\.dstage\[0\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1/X ringosc\.dstage\[0\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0/Z ringosc\.dstage\[1\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1/Z ringosc\.dstage\[0\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0/Z ringosc\.dstage\[1\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1/Z ringosc\.dstage\[0\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0/Y ringosc\.dstage\[0\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0/X ringosc\.dstage\[10\]\.id\.delaybuf1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0/X ringosc\.dstage\[10\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0/X ringosc\.dstage\[10\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1/X ringosc\.dstage\[10\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0/Z ringosc\.dstage\[11\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1/Z ringosc\.dstage\[10\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0/Z ringosc\.dstage\[11\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1/Z ringosc\.dstage\[10\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0/Y ringosc\.dstage\[10\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0/X ringosc\.dstage\[11\]\.id\.delaybuf1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0/X ringosc\.dstage\[11\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0/X ringosc\.dstage\[11\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1/X ringosc\.dstage\[11\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0/Z ringosc\.iss\.delaybuf0/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0/Z ringosc\.iss\.delayenb0/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0/Z ringosc\.iss\.delayenb1/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1/Z ringosc\.dstage\[11\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0/Z ringosc\.iss\.delaybuf0/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0/Z ringosc\.iss\.delayenb0/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0/Z ringosc\.iss\.delayenb1/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1/Z ringosc\.dstage\[11\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0/Y ringosc\.dstage\[11\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0/X ringosc\.dstage\[1\]\.id\.delaybuf1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0/X ringosc\.dstage\[1\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0/X ringosc\.dstage\[1\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1/X ringosc\.dstage\[1\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0/Z ringosc\.dstage\[2\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1/Z ringosc\.dstage\[1\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0/Z ringosc\.dstage\[2\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1/Z ringosc\.dstage\[1\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0/Y ringosc\.dstage\[1\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0/X ringosc\.dstage\[2\]\.id\.delaybuf1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0/X ringosc\.dstage\[2\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0/X ringosc\.dstage\[2\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1/X ringosc\.dstage\[2\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0/Z ringosc\.dstage\[3\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1/Z ringosc\.dstage\[2\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0/Z ringosc\.dstage\[3\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1/Z ringosc\.dstage\[2\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0/Y ringosc\.dstage\[2\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0/X ringosc\.dstage\[3\]\.id\.delaybuf1/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0/X ringosc\.dstage\[3\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0/X ringosc\.dstage\[3\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1/X ringosc\.dstage\[3\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0/Z ringosc\.dstage\[4\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1/Z ringosc\.dstage\[3\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0/Z ringosc\.dstage\[4\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1/Z ringosc\.dstage\[3\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0/Y ringosc\.dstage\[3\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0/X ringosc\.dstage\[4\]\.id\.delaybuf1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0/X ringosc\.dstage\[4\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0/X ringosc\.dstage\[4\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1/X ringosc\.dstage\[4\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0/Z ringosc\.dstage\[5\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1/Z ringosc\.dstage\[4\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0/Z ringosc\.dstage\[5\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1/Z ringosc\.dstage\[4\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0/Y ringosc\.dstage\[4\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0/X ringosc\.dstage\[5\]\.id\.delaybuf1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0/X ringosc\.dstage\[5\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0/X ringosc\.dstage\[5\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1/X ringosc\.dstage\[5\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0/Z ringosc\.dstage\[6\]\.id\.delaybuf0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0/Z ringosc\.ibufp10/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1/Z ringosc\.dstage\[5\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0/Z ringosc\.dstage\[6\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0/Z ringosc\.ibufp10/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1/Z ringosc\.dstage\[5\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0/Y ringosc\.dstage\[5\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0/X ringosc\.dstage\[6\]\.id\.delaybuf1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0/X ringosc\.dstage\[6\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0/X ringosc\.dstage\[6\]\.id\.delayenb1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1/X ringosc\.dstage\[6\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0/Z ringosc\.dstage\[7\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1/Z ringosc\.dstage\[6\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0/Z ringosc\.dstage\[7\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1/Z ringosc\.dstage\[6\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0/Y ringosc\.dstage\[6\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0/X ringosc\.dstage\[7\]\.id\.delaybuf1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0/X ringosc\.dstage\[7\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0/X ringosc\.dstage\[7\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1/X ringosc\.dstage\[7\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0/Z ringosc\.dstage\[8\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1/Z ringosc\.dstage\[7\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0/Z ringosc\.dstage\[8\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1/Z ringosc\.dstage\[7\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0/Y ringosc\.dstage\[7\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0/X ringosc\.dstage\[8\]\.id\.delaybuf1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0/X ringosc\.dstage\[8\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0/X ringosc\.dstage\[8\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1/X ringosc\.dstage\[8\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0/Z ringosc\.dstage\[9\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1/Z ringosc\.dstage\[8\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0/Z ringosc\.dstage\[9\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1/Z ringosc\.dstage\[8\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0/Y ringosc\.dstage\[8\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0/X ringosc\.dstage\[9\]\.id\.delaybuf1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0/X ringosc\.dstage\[9\]\.id\.delayenb0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0/X ringosc\.dstage\[9\]\.id\.delayenb1/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1/X ringosc\.dstage\[9\]\.id\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0/Z ringosc\.dstage\[10\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1/Z ringosc\.dstage\[9\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0/Z ringosc\.dstage\[10\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1/Z ringosc\.dstage\[9\]\.id\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0/Y ringosc\.dstage\[9\]\.id\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.ibufp00/Y ringosc\.ibufp01/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.ibufp01/Y _328_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT ringosc\.ibufp01/Y _356_/CLK (0.006::0.006) (0.005::0.005)) + (INTERCONNECT ringosc\.ibufp01/Y _357_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT ringosc\.ibufp01/Y _358_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT ringosc\.ibufp01/Y _359_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT ringosc\.ibufp01/Y _360_/CLK (0.005::0.005) (0.004::0.004)) + (INTERCONNECT ringosc\.ibufp01/Y _361_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT ringosc\.ibufp01/Y _362_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT ringosc\.ibufp01/Y _363_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.ibufp01/Y _364_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT ringosc\.ibufp01/Y _365_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT ringosc\.ibufp01/Y _366_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT ringosc\.ibufp01/Y _367_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT ringosc\.ibufp01/Y _368_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.ibufp01/Y _369_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.ibufp01/Y _370_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT ringosc\.ibufp01/Y _371_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT ringosc\.ibufp01/Y _372_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT ringosc\.ibufp01/Y _373_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT ringosc\.ibufp01/Y _374_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT ringosc\.ibufp01/Y _375_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT ringosc\.ibufp01/Y _376_/CLK (0.005::0.005) (0.004::0.004)) + (INTERCONNECT ringosc\.ibufp01/Y _377_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT ringosc\.ibufp01/Y _378_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.ibufp10/Y ringosc\.ibufp11/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.ibufp11/Y clockp[1] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT ringosc\.iss\.const1/HI ringosc\.iss\.reseten0/A (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.ctrlen0/X ringosc\.iss\.delayenb0/TE_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.delaybuf0/X ringosc\.iss\.delayen1/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.delayen0/Z ringosc\.dstage\[0\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.delayen0/Z ringosc\.ibufp00/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.delayen1/Z ringosc\.iss\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.delayenb0/Z ringosc\.dstage\[0\]\.id\.delaybuf0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.delayenb0/Z ringosc\.ibufp00/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.delayenb1/Z ringosc\.iss\.delayint0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.delayint0/Y ringosc\.iss\.delayen0/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT ringosc\.iss\.reseten0/Z ringosc\.dstage\[0\]\.id\.delaybuf0/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT ringosc\.iss\.reseten0/Z ringosc\.ibufp00/A (0.000::0.000) (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _164_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _165_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.070) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _166_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _167_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _168_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _169_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _170_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.237::0.237) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _171_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _172_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _173_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _174_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _175_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.082::0.082) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _176_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.057::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _177_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.047::0.047) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2bb2o_2") + (INSTANCE _178_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.403::0.403) (0.385::0.385)) + (IOPATH A2_N X (0.385::0.385) (0.374::0.374)) + (IOPATH B1 X (0.324::0.324) (0.372::0.372)) + (IOPATH B2 X (0.316::0.316) (0.341::0.341)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _179_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.210::0.211) (0.163::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _180_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.239::0.239)) + (IOPATH A2 X (0.196::0.197) (0.293::0.294)) + (IOPATH B1 X (0.149::0.149) (0.221::0.221)) + (IOPATH B2 X (0.169::0.169) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _181_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.068) (0.061::0.061)) + (IOPATH B Y (0.081::0.081) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _182_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.845::0.845) (0.401::0.401)) + (IOPATH B Y (0.626::0.626) (0.150::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _183_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.237::0.237)) + (IOPATH A2 X (0.192::0.193) (0.289::0.290)) + (IOPATH B1 X (0.151::0.151) (0.221::0.221)) + (IOPATH B2 X (0.164::0.164) (0.248::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _184_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.241::0.241)) + (IOPATH A2 X (0.195::0.196) (0.291::0.293)) + (IOPATH B1 X (0.145::0.145) (0.217::0.217)) + (IOPATH B2 X (0.167::0.167) (0.251::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _185_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.232::0.232)) + (IOPATH A2 X (0.189::0.190) (0.285::0.287)) + (IOPATH B1 X (0.139::0.139) (0.212::0.212)) + (IOPATH B2 X (0.161::0.161) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _186_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.129::0.129) (0.228::0.228)) + (IOPATH A2 X (0.188::0.189) (0.284::0.285)) + (IOPATH B1 X (0.124::0.124) (0.204::0.204)) + (IOPATH B2 X (0.160::0.160) (0.243::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _187_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.130::0.130) (0.229::0.229)) + (IOPATH A2 X (0.173::0.173) (0.278::0.278)) + (IOPATH B1 X (0.116::0.116) (0.199::0.199)) + (IOPATH B2 X (0.174::0.175) (0.251::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _188_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.129::0.129) (0.228::0.228)) + (IOPATH A2 X (0.188::0.188) (0.284::0.285)) + (IOPATH B1 X (0.119::0.119) (0.200::0.200)) + (IOPATH B2 X (0.159::0.159) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _189_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.287::0.287)) + (IOPATH B X (0.142::0.142) (0.293::0.293)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _190_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.061::0.061)) + (IOPATH B Y (0.095::0.095) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_2") + (INSTANCE _191_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.206::0.206)) + (IOPATH A2 X (0.130::0.130) (0.216::0.216)) + (IOPATH B1 X (0.099::0.099) (0.180::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _192_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.064::0.064)) + (IOPATH B Y (0.119::0.119) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _193_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.223::0.223) (0.087::0.087)) + (IOPATH B Y (0.191::0.191) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") + (INSTANCE _194_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.299::0.299) (0.251::0.251)) + (IOPATH A2_N X (0.278::0.278) (0.238::0.238)) + (IOPATH B1 X (0.227::0.227) (0.301::0.301)) + (IOPATH B2 X (0.197::0.197) (0.281::0.281)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _195_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.193) (0.276::0.276)) + (IOPATH A2 X (0.181::0.181) (0.291::0.291)) + (IOPATH B1 X (0.214::0.214) (0.237::0.241)) + (IOPATH B2 X (0.173::0.174) (0.257::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _196_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.045::0.045) (0.029::0.029)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") + (INSTANCE _197_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.282::0.282) (0.241::0.241)) + (IOPATH A2_N X (0.267::0.267) (0.235::0.235)) + (IOPATH B1 X (0.210::0.210) (0.272::0.275)) + (IOPATH B2 X (0.166::0.166) (0.255::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2bb2o_2") + (INSTANCE _198_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.245::0.246) (0.275::0.276)) + (IOPATH A2_N X (0.234::0.239) (0.278::0.279)) + (IOPATH B1 X (0.159::0.161) (0.264::0.265)) + (IOPATH B2 X (0.167::0.168) (0.245::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_2") + (INSTANCE _199_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.131::0.131) (0.066::0.066)) + (IOPATH A2 Y (0.143::0.143) (0.064::0.064)) + (IOPATH B1 Y (0.100::0.103) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2bb2o_2") + (INSTANCE _200_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.267::0.267) (0.298::0.299)) + (IOPATH A2_N X (0.242::0.244) (0.292::0.295)) + (IOPATH B1 X (0.185::0.186) (0.284::0.285)) + (IOPATH B2 X (0.185::0.189) (0.249::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22oi_2") + (INSTANCE _201_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.161::0.161) (0.076::0.076)) + (IOPATH A2 Y (0.192::0.193) (0.077::0.078)) + (IOPATH B1 Y (0.130::0.130) (0.059::0.059)) + (IOPATH B2 Y (0.161::0.163) (0.060::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _202_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.312::0.312)) + (IOPATH B X (0.122::0.124) (0.297::0.299)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _203_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.051::0.051) (0.029::0.029)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_2") + (INSTANCE _204_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.280::0.280)) + (IOPATH A2 X (0.202::0.204) (0.266::0.268)) + (IOPATH B1 X (0.207::0.207) (0.164::0.164)) + (IOPATH C1 X (0.222::0.228) (0.136::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _205_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.042::0.048) (0.033::0.033)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_2") + (INSTANCE _206_) + (DELAY + (ABSOLUTE + (IOPATH A1_N Y (0.198::0.198) (0.152::0.158)) + (IOPATH A2_N Y (0.165::0.165) (0.157::0.163)) + (IOPATH B1 Y (0.245::0.250) (0.141::0.141)) + (IOPATH B2 Y (0.227::0.232) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _207_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.055) (0.052::0.052)) + (IOPATH B Y (0.071::0.078) (0.060::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_2") + (INSTANCE _208_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.204::0.204) (0.094::0.094)) + (IOPATH A2 Y (0.202::0.202) (0.078::0.078)) + (IOPATH B1 Y (0.179::0.183) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _209_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.046::0.051) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _210_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.236::0.236) (0.313::0.313)) + (IOPATH A2 X (0.233::0.259) (0.299::0.305)) + (IOPATH B1 X (0.220::0.220) (0.265::0.265)) + (IOPATH B2 X (0.198::0.199) (0.244::0.244)) + (IOPATH C1 X (0.192::0.195) (0.132::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_2") + (INSTANCE _211_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.117::0.117) (0.064::0.064)) + (IOPATH A2 Y (0.138::0.145) (0.069::0.086)) + (IOPATH B1 Y (0.095::0.101) (0.035::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _212_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.253::0.253)) + (IOPATH A2 X (0.153::0.153) (0.266::0.266)) + (IOPATH B1 X (0.138::0.138) (0.213::0.213)) + (IOPATH B2 X (0.134::0.134) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") + (INSTANCE _213_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.280::0.280) (0.240::0.240)) + (IOPATH A2_N X (0.254::0.254) (0.225::0.225)) + (IOPATH B1 X (0.191::0.191) (0.261::0.266)) + (IOPATH B2 X (0.169::0.170) (0.257::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _214_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.113) (0.306::0.306)) + (IOPATH B X (0.114::0.115) (0.285::0.289)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_2") + (INSTANCE _215_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.142) (0.206::0.207)) + (IOPATH A2 X (0.149::0.149) (0.226::0.231)) + (IOPATH B1_N X (0.217::0.217) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _216_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.071) (0.069::0.069)) + (IOPATH B Y (0.080::0.081) (0.065::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _217_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.218::0.222) (0.293::0.295)) + (IOPATH A2 X (0.186::0.186) (0.264::0.264)) + (IOPATH B1 X (0.183::0.183) (0.243::0.243)) + (IOPATH B2 X (0.194::0.197) (0.228::0.237)) + (IOPATH C1 X (0.180::0.183) (0.127::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_2") + (INSTANCE _218_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.227::0.227) (0.129::0.129)) + (IOPATH A2 Y (0.200::0.200) (0.114::0.114)) + (IOPATH B1 Y (0.201::0.201) (0.128::0.128)) + (IOPATH B2 Y (0.178::0.179) (0.110::0.111)) + (IOPATH C1 Y (0.087::0.087) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _219_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.253::0.253) (0.405::0.410)) + (IOPATH B X (0.266::0.300) (0.404::0.406)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _220_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _221_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.313::0.313)) + (IOPATH B X (0.126::0.126) (0.287::0.287)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _222_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _223_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.543::0.543)) + (IOPATH B X (0.161::0.161) (0.514::0.514)) + (IOPATH C X (0.147::0.147) (0.475::0.475)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _224_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.460::0.460)) + (IOPATH B X (0.111::0.111) (0.435::0.435)) + (IOPATH C X (0.113::0.114) (0.421::0.421)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111ai_2") + (INSTANCE _225_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.232::0.232) (0.155::0.155)) + (IOPATH A2 Y (0.224::0.229) (0.162::0.162)) + (IOPATH B1 Y (0.107::0.114) (0.160::0.160)) + (IOPATH C1 Y (0.104::0.111) (0.156::0.156)) + (IOPATH D1 Y (0.085::0.088) (0.128::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _226_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.179::0.179)) + (IOPATH B X (0.248::0.248) (0.236::0.237)) + (IOPATH C X (0.211::0.211) (0.218::0.218)) + (IOPATH D X (0.220::0.220) (0.231::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _227_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.387::0.387)) + (IOPATH B X (0.199::0.199) (0.352::0.352)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _228_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.063::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _229_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.370::0.370)) + (IOPATH B X (0.223::0.223) (0.366::0.366)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _230_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.093) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _231_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.349::0.350)) + (IOPATH B X (0.162::0.162) (0.330::0.330)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _232_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.218::0.218) (0.382::0.382)) + (IOPATH B X (0.147::0.147) (0.327::0.327)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _233_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.468::0.468)) + (IOPATH B X (0.108::0.108) (0.441::0.441)) + (IOPATH C X (0.110::0.112) (0.412::0.412)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _234_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.219) (0.294::0.297)) + (IOPATH A2 X (0.186::0.218) (0.287::0.295)) + (IOPATH B1 X (0.229::0.229) (0.276::0.277)) + (IOPATH B2 X (0.163::0.163) (0.231::0.231)) + (IOPATH C1 X (0.163::0.163) (0.112::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_2") + (INSTANCE _235_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.314::0.314) (0.473::0.473)) + (IOPATH A2 X (0.288::0.288) (0.435::0.435)) + (IOPATH A3 X (0.243::0.244) (0.389::0.389)) + (IOPATH B1 X (0.262::0.262) (0.174::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _236_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.147) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _237_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.255::0.255)) + (IOPATH A2 X (0.181::0.181) (0.289::0.289)) + (IOPATH B1 X (0.148::0.148) (0.220::0.220)) + (IOPATH B2 X (0.197::0.197) (0.277::0.277)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _238_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.195::0.195) (0.266::0.266)) + (IOPATH A2 X (0.225::0.225) (0.293::0.294)) + (IOPATH B1 X (0.189::0.189) (0.235::0.235)) + (IOPATH B2 X (0.169::0.170) (0.220::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _239_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.094) (0.048::0.048)) + (IOPATH B Y (0.069::0.069) (0.032::0.032)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _240_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.266::0.266)) + (IOPATH A2 X (0.187::0.187) (0.294::0.294)) + (IOPATH B1 X (0.163::0.163) (0.229::0.229)) + (IOPATH B2 X (0.204::0.204) (0.282::0.282)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_2") + (INSTANCE _241_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.176::0.176) (0.395::0.399)) + (IOPATH A2 X (0.174::0.175) (0.390::0.390)) + (IOPATH A3 X (0.170::0.170) (0.352::0.355)) + (IOPATH B1 X (0.224::0.224) (0.247::0.247)) + (IOPATH B2 X (0.155::0.155) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _242_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.279::0.279)) + (IOPATH A2 X (0.186::0.186) (0.293::0.293)) + (IOPATH B1 X (0.158::0.158) (0.232::0.232)) + (IOPATH B2 X (0.203::0.203) (0.281::0.281)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _243_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.206::0.206) (0.271::0.271)) + (IOPATH A2 X (0.186::0.186) (0.261::0.262)) + (IOPATH B1 X (0.186::0.186) (0.237::0.237)) + (IOPATH B2 X (0.207::0.207) (0.247::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _244_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.046::0.048) (0.031::0.031)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_2") + (INSTANCE _245_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.174) (0.404::0.405)) + (IOPATH A2 X (0.171::0.172) (0.386::0.389)) + (IOPATH A3 X (0.158::0.159) (0.345::0.351)) + (IOPATH B1 X (0.219::0.219) (0.244::0.244)) + (IOPATH B2 X (0.150::0.150) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _246_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.047::0.053) (0.032::0.032)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _247_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.235::0.235) (0.296::0.296)) + (IOPATH A2 X (0.172::0.172) (0.251::0.251)) + (IOPATH B1 X (0.207::0.207) (0.252::0.252)) + (IOPATH B2 X (0.193::0.193) (0.235::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _248_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.044::0.046) (0.029::0.030)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_2") + (INSTANCE _249_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.138) (0.345::0.345)) + (IOPATH A2 X (0.151::0.152) (0.387::0.389)) + (IOPATH B1 X (0.143::0.144) (0.338::0.344)) + (IOPATH B2 X (0.135::0.135) (0.350::0.350)) + (IOPATH C1 X (0.132::0.133) (0.315::0.315)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _250_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.176::0.176) (0.095::0.095)) + (IOPATH A2 Y (0.138::0.149) (0.079::0.079)) + (IOPATH B1 Y (0.062::0.064) (0.049::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _251_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.128) (0.317::0.323)) + (IOPATH B X (0.125::0.126) (0.297::0.297)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _252_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.177::0.177) (0.078::0.078)) + (IOPATH A2 Y (0.189::0.189) (0.098::0.098)) + (IOPATH B1 Y (0.084::0.084) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _253_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.045::0.050) (0.032::0.041)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_2") + (INSTANCE _254_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.344::0.344)) + (IOPATH A2 X (0.155::0.177) (0.386::0.392)) + (IOPATH B1 X (0.147::0.147) (0.339::0.341)) + (IOPATH B2 X (0.135::0.135) (0.350::0.354)) + (IOPATH C1 X (0.132::0.132) (0.315::0.315)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _255_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.133::0.133) (0.076::0.076)) + (IOPATH A2 Y (0.120::0.131) (0.068::0.068)) + (IOPATH B1 Y (0.053::0.054) (0.042::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _256_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.063) (0.046::0.047)) + (IOPATH B Y (0.066::0.066) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_2") + (INSTANCE _257_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.204::0.205) (0.261::0.272)) + (IOPATH A2 X (0.165::0.165) (0.274::0.274)) + (IOPATH A3 X (0.163::0.165) (0.254::0.256)) + (IOPATH B1 X (0.160::0.160) (0.246::0.246)) + (IOPATH B2 X (0.143::0.143) (0.247::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _258_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.293::0.295)) + (IOPATH B X (0.102::0.103) (0.269::0.270)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _259_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.143::0.143) (0.292::0.292)) + (IOPATH A1 X (0.149::0.149) (0.306::0.306)) + (IOPATH S X (0.200::0.204) (0.324::0.324)) + (IOPATH S X (0.139::0.140) (0.313::0.316)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_2") + (INSTANCE _260_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.188::0.188) (0.262::0.262)) + (IOPATH A2 X (0.209::0.209) (0.280::0.280)) + (IOPATH B1 X (0.221::0.222) (0.157::0.169)) + (IOPATH C1 X (0.163::0.164) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") + (INSTANCE _261_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.270::0.270) (0.237::0.237)) + (IOPATH A2_N X (0.216::0.223) (0.198::0.199)) + (IOPATH B1 X (0.204::0.204) (0.278::0.278)) + (IOPATH B2 X (0.143::0.144) (0.231::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _262_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.059) (0.047::0.047)) + (IOPATH B Y (0.062::0.063) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_2") + (INSTANCE _263_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.192) (0.251::0.262)) + (IOPATH A2 X (0.145::0.145) (0.257::0.257)) + (IOPATH A3 X (0.150::0.151) (0.243::0.247)) + (IOPATH B1 X (0.129::0.129) (0.222::0.222)) + (IOPATH B2 X (0.131::0.132) (0.237::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2bb2o_2") + (INSTANCE _264_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.241::0.241) (0.283::0.283)) + (IOPATH A2_N X (0.229::0.230) (0.271::0.271)) + (IOPATH B1 X (0.166::0.166) (0.261::0.261)) + (IOPATH B2 X (0.157::0.159) (0.241::0.241)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_2") + (INSTANCE _265_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.148::0.148) (0.080::0.080)) + (IOPATH A2 Y (0.148::0.158) (0.098::0.098)) + (IOPATH B1 Y (0.118::0.118) (0.089::0.089)) + (IOPATH B2 Y (0.081::0.082) (0.050::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _266_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.233::0.233)) + (IOPATH A2 X (0.168::0.168) (0.233::0.244)) + (IOPATH B1 X (0.138::0.138) (0.191::0.191)) + (IOPATH B2 X (0.139::0.139) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _267_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.498::0.498)) + (IOPATH B X (0.150::0.150) (0.478::0.478)) + (IOPATH C X (0.120::0.120) (0.434::0.434)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _268_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _269_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.078::0.078)) + (IOPATH B Y (0.073::0.073) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_2") + (INSTANCE _270_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.101::0.101) (0.048::0.048)) + (IOPATH A2 Y (0.115::0.121) (0.049::0.050)) + (IOPATH B1 Y (0.117::0.117) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _271_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.300::0.300)) + (IOPATH A2 X (0.184::0.184) (0.258::0.258)) + (IOPATH B1 X (0.199::0.199) (0.255::0.255)) + (IOPATH B2 X (0.176::0.176) (0.222::0.227)) + (IOPATH C1 X (0.231::0.232) (0.160::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _272_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.243::0.243) (0.207::0.207)) + (IOPATH B X (0.231::0.231) (0.209::0.209)) + (IOPATH C X (0.248::0.248) (0.247::0.247)) + (IOPATH D X (0.277::0.278) (0.282::0.284)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _273_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.119::0.119) (0.055::0.055)) + (IOPATH A2 Y (0.105::0.105) (0.058::0.058)) + (IOPATH B1 Y (0.044::0.044) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_2") + (INSTANCE _274_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.198) (0.234::0.235)) + (IOPATH A2 X (0.145::0.145) (0.247::0.247)) + (IOPATH A3 X (0.145::0.156) (0.232::0.232)) + (IOPATH B1 X (0.101::0.101) (0.209::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _275_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.181::0.181) (0.273::0.273)) + (IOPATH A2 X (0.190::0.190) (0.261::0.261)) + (IOPATH B1 X (0.191::0.191) (0.247::0.247)) + (IOPATH B2 X (0.174::0.174) (0.222::0.222)) + (IOPATH C1 X (0.225::0.226) (0.155::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _276_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.282::0.284)) + (IOPATH B X (0.088::0.088) (0.256::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a311o_2") + (INSTANCE _277_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.324::0.324)) + (IOPATH A2 X (0.161::0.161) (0.345::0.345)) + (IOPATH A3 X (0.176::0.176) (0.387::0.387)) + (IOPATH B1 X (0.123::0.123) (0.347::0.347)) + (IOPATH C1 X (0.138::0.138) (0.322::0.323)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _278_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.252::0.252) (0.407::0.407)) + (IOPATH B X (0.202::0.202) (0.362::0.362)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _279_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.317::0.317)) + (IOPATH B X (0.127::0.127) (0.291::0.291)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _280_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.385::0.385)) + (IOPATH B X (0.208::0.208) (0.362::0.362)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_2") + (INSTANCE _281_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.357::0.358)) + (IOPATH A2 X (0.159::0.159) (0.344::0.344)) + (IOPATH A3 X (0.176::0.176) (0.325::0.325)) + (IOPATH B1 X (0.155::0.155) (0.135::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_2") + (INSTANCE _282_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.378::0.378)) + (IOPATH A2 X (0.155::0.155) (0.340::0.340)) + (IOPATH A3 X (0.152::0.152) (0.300::0.300)) + (IOPATH B1 X (0.151::0.151) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_2") + (INSTANCE _283_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.385::0.385)) + (IOPATH A2 X (0.161::0.161) (0.346::0.347)) + (IOPATH A3 X (0.134::0.134) (0.282::0.282)) + (IOPATH B1 X (0.157::0.157) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_2") + (INSTANCE _284_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.247::0.247) (0.293::0.293)) + (IOPATH A2 X (0.192::0.192) (0.258::0.259)) + (IOPATH B1 X (0.201::0.201) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o41a_2") + (INSTANCE _285_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.479::0.479)) + (IOPATH A2 X (0.169::0.169) (0.477::0.477)) + (IOPATH A3 X (0.188::0.188) (0.463::0.463)) + (IOPATH A4 X (0.134::0.134) (0.377::0.377)) + (IOPATH B1 X (0.138::0.139) (0.113::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o41a_2") + (INSTANCE _286_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.493::0.493)) + (IOPATH A2 X (0.178::0.178) (0.490::0.490)) + (IOPATH A3 X (0.197::0.197) (0.476::0.476)) + (IOPATH A4 X (0.160::0.160) (0.396::0.396)) + (IOPATH B1 X (0.148::0.149) (0.119::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o41a_2") + (INSTANCE _287_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.481::0.481)) + (IOPATH A2 X (0.170::0.170) (0.478::0.478)) + (IOPATH A3 X (0.189::0.189) (0.464::0.464)) + (IOPATH A4 X (0.128::0.128) (0.361::0.361)) + (IOPATH B1 X (0.139::0.140) (0.114::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _288_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.286::0.286)) + (IOPATH B X (0.123::0.123) (0.286::0.286)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_2") + (INSTANCE _289_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.171::0.171) (0.358::0.358)) + (IOPATH A2 X (0.177::0.177) (0.354::0.354)) + (IOPATH A3 X (0.186::0.186) (0.335::0.335)) + (IOPATH B1 X (0.154::0.155) (0.120::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_2") + (INSTANCE _290_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.213::0.213)) + (IOPATH A2 X (0.191::0.191) (0.261::0.261)) + (IOPATH A3 X (0.174::0.174) (0.269::0.270)) + (IOPATH B1 X (0.168::0.168) (0.266::0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_2") + (INSTANCE _291_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.200::0.200)) + (IOPATH A2 X (0.176::0.176) (0.248::0.248)) + (IOPATH A3 X (0.175::0.175) (0.263::0.263)) + (IOPATH B1 X (0.152::0.152) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _292_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.071::0.071)) + (IOPATH B Y (0.095::0.095) (0.058::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_2") + (INSTANCE _293_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.215::0.215) (0.423::0.423)) + (IOPATH A2 X (0.184::0.184) (0.377::0.377)) + (IOPATH A3 X (0.175::0.175) (0.355::0.355)) + (IOPATH B1 X (0.246::0.246) (0.192::0.192)) + (IOPATH C1 X (0.172::0.172) (0.131::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _294_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.492::0.492)) + (IOPATH B X (0.145::0.145) (0.473::0.473)) + (IOPATH C X (0.159::0.159) (0.442::0.442)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_2") + (INSTANCE _295_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.219::0.219) (0.433::0.433)) + (IOPATH A2 X (0.196::0.197) (0.399::0.399)) + (IOPATH A3 X (0.166::0.166) (0.344::0.344)) + (IOPATH B1 X (0.170::0.171) (0.151::0.151)) + (IOPATH C1 X (0.167::0.168) (0.111::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o41a_2") + (INSTANCE _296_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.537::0.537)) + (IOPATH A2 X (0.173::0.173) (0.496::0.496)) + (IOPATH A3 X (0.186::0.186) (0.484::0.484)) + (IOPATH A4 X (0.185::0.185) (0.432::0.432)) + (IOPATH B1 X (0.155::0.156) (0.123::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _297_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.666::0.666)) + (IOPATH B X (0.159::0.159) (0.659::0.659)) + (IOPATH C X (0.136::0.136) (0.620::0.621)) + (IOPATH D X (0.159::0.159) (0.563::0.563)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_2") + (INSTANCE _298_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.413::0.413)) + (IOPATH A2 X (0.184::0.184) (0.385::0.385)) + (IOPATH A3 X (0.148::0.148) (0.325::0.325)) + (IOPATH B1 X (0.150::0.151) (0.148::0.149)) + (IOPATH C1 X (0.153::0.155) (0.105::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_2") + (INSTANCE _299_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.124) (0.167::0.167)) + (IOPATH B X (0.133::0.133) (0.179::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o41a_2") + (INSTANCE _300_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.488::0.488)) + (IOPATH A2 X (0.156::0.156) (0.475::0.475)) + (IOPATH A3 X (0.175::0.175) (0.463::0.463)) + (IOPATH A4 X (0.148::0.148) (0.394::0.394)) + (IOPATH B1 X (0.134::0.135) (0.112::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _301_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.059::0.059)) + (IOPATH B Y (0.065::0.065) (0.031::0.031)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_2") + (INSTANCE _302_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.269::0.269) (0.245::0.245)) + (IOPATH B X (0.215::0.215) (0.235::0.235)) + (IOPATH C X (0.223::0.223) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_2") + (INSTANCE _303_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.350::0.350)) + (IOPATH A2 X (0.169::0.169) (0.345::0.345)) + (IOPATH A3 X (0.157::0.157) (0.307::0.307)) + (IOPATH B1 X (0.135::0.136) (0.111::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_2") + (INSTANCE _304_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.176::0.176) (0.377::0.377)) + (IOPATH A2 X (0.161::0.161) (0.356::0.356)) + (IOPATH A3 X (0.158::0.158) (0.336::0.336)) + (IOPATH B1 X (0.228::0.228) (0.181::0.181)) + (IOPATH C1 X (0.155::0.155) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _305_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.143::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _306_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.144::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _307_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.144::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _308_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.144::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _309_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.143::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _310_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.144::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _311_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.143::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _312_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.144::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _313_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.142::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _314_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.143::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _315_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.143::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _316_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.144::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _317_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.143::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _318_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.143::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _319_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.143::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _320_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.146::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _321_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.143::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _322_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.143::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _323_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.143::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _324_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.145::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _325_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.146::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _326_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_1") + (INSTANCE _327_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.142::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _328_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _329_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.124::0.124) (0.295::0.295)) + (IOPATH A1 X (0.121::0.121) (0.292::0.292)) + (IOPATH S X (0.241::0.241) (0.349::0.349)) + (IOPATH S X (0.185::0.185) (0.343::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _330_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.000::0.000)) + (IOPATH A1 X (0.238::0.238) (0.376::0.376)) + (IOPATH S X (0.496::0.496) (0.478::0.478)) + (IOPATH S X (0.384::0.384) (0.591::0.591)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _331_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.184::0.185) (0.330::0.331)) + (IOPATH A1 X (0.179::0.179) (0.336::0.336)) + (IOPATH S X (0.438::0.438) (0.438::0.438)) + (IOPATH S X (0.324::0.324) (0.550::0.550)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _332_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.213::0.213) (0.360::0.360)) + (IOPATH A1 X (0.230::0.230) (0.368::0.368)) + (IOPATH S X (0.481::0.481) (0.467::0.467)) + (IOPATH S X (0.368::0.368) (0.580::0.580)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _333_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.176::0.176) (0.324::0.324)) + (IOPATH A1 X (0.176::0.176) (0.335::0.335)) + (IOPATH S X (0.440::0.440) (0.440::0.440)) + (IOPATH S X (0.327::0.327) (0.552::0.552)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _334_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.214::0.215) (0.352::0.363)) + (IOPATH A1 X (0.232::0.232) (0.369::0.369)) + (IOPATH S X (0.482::0.482) (0.468::0.468)) + (IOPATH S X (0.369::0.369) (0.580::0.580)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _335_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.186::0.188) (0.331::0.346)) + (IOPATH A1 X (0.179::0.179) (0.337::0.337)) + (IOPATH S X (0.442::0.442) (0.441::0.441)) + (IOPATH S X (0.329::0.329) (0.554::0.554)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _336_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.224::0.224) (0.358::0.365)) + (IOPATH A1 X (0.237::0.237) (0.373::0.373)) + (IOPATH S X (0.488::0.488) (0.473::0.473)) + (IOPATH S X (0.376::0.376) (0.585::0.585)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _337_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.181::0.183) (0.328::0.338)) + (IOPATH A1 X (0.181::0.181) (0.338::0.338)) + (IOPATH S X (0.443::0.443) (0.442::0.442)) + (IOPATH S X (0.329::0.329) (0.554::0.554)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _338_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.203::0.203) (0.343::0.344)) + (IOPATH A1 X (0.217::0.217) (0.357::0.357)) + (IOPATH S X (0.464::0.464) (0.454::0.454)) + (IOPATH S X (0.351::0.351) (0.566::0.566)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _339_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.172::0.173) (0.322::0.330)) + (IOPATH A1 X (0.181::0.181) (0.336::0.336)) + (IOPATH S X (0.436::0.436) (0.437::0.437)) + (IOPATH S X (0.323::0.323) (0.549::0.549)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _340_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.195::0.196) (0.346::0.347)) + (IOPATH A1 X (0.208::0.208) (0.352::0.352)) + (IOPATH S X (0.462::0.462) (0.452::0.452)) + (IOPATH S X (0.349::0.349) (0.565::0.565)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _341_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.184::0.185) (0.330::0.338)) + (IOPATH A1 X (0.187::0.187) (0.341::0.341)) + (IOPATH S X (0.444::0.444) (0.443::0.443)) + (IOPATH S X (0.331::0.331) (0.555::0.555)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _342_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.196::0.197) (0.336::0.345)) + (IOPATH A1 X (0.204::0.204) (0.350::0.350)) + (IOPATH S X (0.460::0.460) (0.451::0.451)) + (IOPATH S X (0.347::0.347) (0.564::0.564)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _343_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.195::0.196) (0.333::0.341)) + (IOPATH A1 X (0.201::0.201) (0.350::0.350)) + (IOPATH S X (0.454::0.454) (0.450::0.450)) + (IOPATH S X (0.340::0.340) (0.562::0.562)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _344_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.198::0.199) (0.338::0.351)) + (IOPATH A1 X (0.203::0.203) (0.350::0.350)) + (IOPATH S X (0.462::0.462) (0.453::0.453)) + (IOPATH S X (0.349::0.349) (0.565::0.565)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _345_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.201::0.203) (0.345::0.358)) + (IOPATH A1 X (0.205::0.205) (0.356::0.356)) + (IOPATH S X (0.467::0.467) (0.460::0.460)) + (IOPATH S X (0.354::0.354) (0.573::0.573)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _346_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.227::0.227) (0.364::0.364)) + (IOPATH A1 X (0.196::0.196) (0.347::0.347)) + (IOPATH S X (0.461::0.461) (0.451::0.451)) + (IOPATH S X (0.347::0.347) (0.564::0.564)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _347_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.219::0.219) (0.360::0.361)) + (IOPATH A1 X (0.221::0.221) (0.368::0.368)) + (IOPATH S X (0.483::0.483) (0.472::0.472)) + (IOPATH S X (0.370::0.370) (0.585::0.585)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _348_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.217::0.218) (0.346::0.349)) + (IOPATH A1 X (0.195::0.195) (0.346::0.346)) + (IOPATH S X (0.460::0.460) (0.451::0.451)) + (IOPATH S X (0.347::0.347) (0.563::0.563)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _349_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.214::0.216) (0.353::0.363)) + (IOPATH A1 X (0.213::0.213) (0.363::0.363)) + (IOPATH S X (0.475::0.475) (0.466::0.466)) + (IOPATH S X (0.362::0.362) (0.579::0.579)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _350_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.198::0.199) (0.337::0.345)) + (IOPATH A1 X (0.196::0.196) (0.346::0.346)) + (IOPATH S X (0.459::0.459) (0.450::0.450)) + (IOPATH S X (0.346::0.346) (0.563::0.563)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _351_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.191::0.192) (0.337::0.346)) + (IOPATH A1 X (0.199::0.199) (0.350::0.350)) + (IOPATH S X (0.457::0.457) (0.453::0.453)) + (IOPATH S X (0.344::0.344) (0.565::0.565)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _352_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.211::0.211) (0.356::0.356)) + (IOPATH A1 X (0.197::0.197) (0.347::0.347)) + (IOPATH S X (0.460::0.460) (0.451::0.451)) + (IOPATH S X (0.347::0.347) (0.564::0.564)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _353_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.186::0.187) (0.335::0.337)) + (IOPATH A1 X (0.198::0.198) (0.349::0.349)) + (IOPATH S X (0.452::0.452) (0.449::0.449)) + (IOPATH S X (0.339::0.339) (0.561::0.561)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _354_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.137::0.139) (0.297::0.305)) + (IOPATH A1 X (0.147::0.147) (0.311::0.311)) + (IOPATH S X (0.404::0.404) (0.412::0.412)) + (IOPATH S X (0.288::0.288) (0.524::0.524)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _355_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.177::0.178) (0.326::0.335)) + (IOPATH A1 X (0.199::0.199) (0.346::0.346)) + (IOPATH S X (0.443::0.443) (0.442::0.442)) + (IOPATH S X (0.330::0.330) (0.554::0.554)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _356_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.350::0.350) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.340::0.340)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225::-0.225)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.022::-0.022)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.094::0.094)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _357_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.339::0.339)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.056::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _358_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.355::0.355) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227::-0.227)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.060::0.060)) + (SETUP (negedge D) (posedge CLK) (0.107::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _359_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.391::0.391) (0.429::0.429)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.106::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _360_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.422::0.422) (0.452::0.452)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.025)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.053)) + (SETUP (negedge D) (posedge CLK) (0.108::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _361_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.421::0.421) (0.450::0.450)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.339::0.339)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225::-0.225)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _362_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.444::0.444) (0.466::0.466)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.025::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.057::0.057)) + (SETUP (negedge D) (posedge CLK) (0.098::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _363_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.426::0.426) (0.454::0.454)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.337::0.337)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227::-0.227)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.025::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.061::0.064)) + (SETUP (negedge D) (posedge CLK) (0.098::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _364_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.421::0.421)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _365_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.394::0.394) (0.433::0.433)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.339)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.042::-0.043)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.072::0.072)) + (SETUP (negedge D) (posedge CLK) (0.102::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _366_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.422::0.422) (0.451::0.451)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227::-0.227)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _367_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.494::0.494) (0.498::0.498)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227::-0.227)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _368_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.487::0.487) (0.494::0.494)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.337::0.337)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227::-0.227)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.058::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _369_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.412::0.412) (0.445::0.445)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.037)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.056::0.067)) + (SETUP (negedge D) (posedge CLK) (0.099::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _370_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.576::0.576) (0.541::0.541)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227::-0.227)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.042)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.058::0.072)) + (SETUP (negedge D) (posedge CLK) (0.102::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _371_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.418::0.418)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.109::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _372_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.418::0.418)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227::-0.227)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _373_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.363::0.363) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.339::0.339)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _374_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.417::0.417)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _375_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.425::0.425)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _376_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.405::0.405) (0.440::0.440)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.338::0.338)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226::-0.226)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _377_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.396::0.396) (0.434::0.434)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.542::0.543)) + (RECOVERY (posedge RESET_B) (posedge CLK) (0.014::0.015)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _378_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.387::0.387) (0.426::0.426)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.337::0.337)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227::-0.227)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.056::0.056)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.020::0.020) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.018::0.018) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.006::0.006)) + (IOPATH TE_B Z (0.069::0.069) (0.134::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.033::0.033) (0.007::0.007)) + (IOPATH TE_B Z (0.062::0.063) (0.106::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.031::0.031) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.022::0.022) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.016::0.016) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.035::0.035) (0.006::0.006)) + (IOPATH TE_B Z (0.074::0.074) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.007::0.007)) + (IOPATH TE_B Z (0.059::0.060) (0.102::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.033::0.033) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.000::0.000)) + (IOPATH TE Z (0.119::0.120) (0.021::0.021)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.018::0.018) (0.014::0.014)) + (IOPATH TE Z (0.101::0.103) (0.023::0.024)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.006::0.006)) + (IOPATH TE_B Z (0.077::0.078) (0.146::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.007::0.007)) + (IOPATH TE_B Z (0.059::0.059) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.032::0.032) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.024::0.024) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.017::0.017) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.035::0.035) (0.006::0.006)) + (IOPATH TE_B Z (0.068::0.069) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.007::0.007)) + (IOPATH TE_B Z (0.063::0.064) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.035::0.035) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.021::0.021) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.017::0.017) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.006::0.006)) + (IOPATH TE_B Z (0.069::0.069) (0.134::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.033::0.033) (0.007::0.007)) + (IOPATH TE_B Z (0.067::0.068) (0.113::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.033::0.033) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.023::0.023) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.015::0.015) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.006::0.006)) + (IOPATH TE_B Z (0.069::0.069) (0.134::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.033::0.033) (0.007::0.007)) + (IOPATH TE_B Z (0.069::0.069) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.034::0.034) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.022::0.022) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.017::0.017) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.035::0.035) (0.006::0.006)) + (IOPATH TE_B Z (0.069::0.070) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.007::0.007)) + (IOPATH TE_B Z (0.066::0.066) (0.111::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.033::0.033) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.025::0.025) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.018::0.018) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.035::0.035) (0.005::0.005)) + (IOPATH TE_B Z (0.069::0.069) (0.134::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.007::0.007)) + (IOPATH TE_B Z (0.062::0.063) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.036::0.036) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.019::0.019) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.016::0.016) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.006::0.006)) + (IOPATH TE_B Z (0.069::0.070) (0.134::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.007::0.007)) + (IOPATH TE_B Z (0.060::0.061) (0.103::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.030::0.030) (0.045::0.045)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.025::0.025) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.017::0.017) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.035::0.035) (0.005::0.005)) + (IOPATH TE_B Z (0.070::0.070) (0.135::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.006::0.006)) + (IOPATH TE_B Z (0.058::0.059) (0.101::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.037::0.037) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.020::0.020) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.020::0.020) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.006::0.006)) + (IOPATH TE_B Z (0.075::0.076) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.007::0.007)) + (IOPATH TE_B Z (0.060::0.060) (0.103::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.032::0.032) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.023::0.023) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.016::0.016) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.034::0.034) (0.006::0.006)) + (IOPATH TE_B Z (0.074::0.075) (0.141::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.033::0.033) (0.007::0.007)) + (IOPATH TE_B Z (0.060::0.060) (0.103::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.034::0.034) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE ringosc\.ibufp00) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE ringosc\.ibufp01) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE ringosc\.ibufp10) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.065) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE ringosc\.ibufp11) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE ringosc\.iss\.ctrlen0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.139) (0.321::0.321)) + (IOPATH B X (0.139::0.144) (0.310::0.311)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE ringosc\.iss\.delaybuf0) + (DELAY + (ABSOLUTE + (IOPATH A X (0.081::0.081) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.iss\.delayen0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.022::0.022) (0.013::0.013)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE ringosc\.iss\.delayen1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.015::0.015) (0.014::0.014)) + (IOPATH TE Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_8") + (INSTANCE ringosc\.iss\.delayenb0) + (DELAY + (ABSOLUTE + (IOPATH A Z (-0.001::-0.001) (0.004::0.004)) + (IOPATH TE_B Z (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvn_4") + (INSTANCE ringosc\.iss\.delayenb1) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.000::0.000) (0.006::0.006)) + (IOPATH TE_B Z (0.060::0.061) (0.103::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_1") + (INSTANCE ringosc\.iss\.delayint0) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.033::0.033) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_1") + (INSTANCE ringosc\.iss\.reseten0) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.000::0.000)) + (IOPATH TE Z (0.070::0.072) (0.022::0.022)) + ) + ) + ) +) diff --git a/sdf/gpio_control_block.sdf b/sdf/gpio_control_block.sdf new file mode 100644 index 00000000..cf754573 --- /dev/null +++ b/sdf/gpio_control_block.sdf @@ -0,0 +1,2175 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "gpio_control_block") + (DATE "Sun Nov 28 13:24:12 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "gpio_control_block") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT gpio_defaults[0] input1/A (0.156::0.156) (0.091::0.091)) + (INTERCONNECT gpio_defaults[0] ANTENNA_20/DIODE (0.156::0.156) (0.091::0.091)) + (INTERCONNECT gpio_defaults[10] input2/A (0.104::0.104) (0.061::0.061)) + (INTERCONNECT gpio_defaults[10] ANTENNA_0/DIODE (0.104::0.104) (0.061::0.061)) + (INTERCONNECT gpio_defaults[11] input3/A (0.096::0.096) (0.056::0.056)) + (INTERCONNECT gpio_defaults[11] ANTENNA_1/DIODE (0.096::0.096) (0.056::0.056)) + (INTERCONNECT gpio_defaults[12] input4/A (0.090::0.090) (0.053::0.053)) + (INTERCONNECT gpio_defaults[12] ANTENNA_2/DIODE (0.090::0.090) (0.052::0.052)) + (INTERCONNECT gpio_defaults[1] input5/A (0.092::0.092) (0.054::0.054)) + (INTERCONNECT gpio_defaults[1] ANTENNA_3/DIODE (0.092::0.092) (0.053::0.053)) + (INTERCONNECT gpio_defaults[2] input6/A (0.156::0.156) (0.091::0.091)) + (INTERCONNECT gpio_defaults[2] ANTENNA_4/DIODE (0.156::0.156) (0.091::0.091)) + (INTERCONNECT gpio_defaults[3] input7/A (0.110::0.110) (0.065::0.065)) + (INTERCONNECT gpio_defaults[3] ANTENNA_5/DIODE (0.110::0.110) (0.065::0.065)) + (INTERCONNECT gpio_defaults[4] input8/A (0.102::0.102) (0.059::0.059)) + (INTERCONNECT gpio_defaults[4] ANTENNA_6/DIODE (0.102::0.102) (0.059::0.059)) + (INTERCONNECT gpio_defaults[5] input9/A (0.117::0.117) (0.068::0.068)) + (INTERCONNECT gpio_defaults[5] ANTENNA_7/DIODE (0.117::0.117) (0.068::0.068)) + (INTERCONNECT gpio_defaults[6] input10/A (0.096::0.096) (0.056::0.056)) + (INTERCONNECT gpio_defaults[6] ANTENNA_8/DIODE (0.096::0.096) (0.056::0.056)) + (INTERCONNECT gpio_defaults[7] input11/A (0.136::0.136) (0.079::0.079)) + (INTERCONNECT gpio_defaults[7] ANTENNA_9/DIODE (0.136::0.136) (0.079::0.079)) + (INTERCONNECT gpio_defaults[8] input12/A (0.118::0.118) (0.068::0.068)) + (INTERCONNECT gpio_defaults[8] ANTENNA_10/DIODE (0.118::0.118) (0.068::0.068)) + (INTERCONNECT gpio_defaults[9] input13/A (0.115::0.115) (0.067::0.067)) + (INTERCONNECT gpio_defaults[9] ANTENNA_11/DIODE (0.115::0.115) (0.067::0.067)) + (INTERCONNECT mgmt_gpio_oeb input14/A (0.088::0.088) (0.051::0.051)) + (INTERCONNECT mgmt_gpio_oeb ANTENNA_12/DIODE (0.088::0.088) (0.051::0.051)) + (INTERCONNECT mgmt_gpio_out input15/A (0.095::0.095) (0.055::0.055)) + (INTERCONNECT mgmt_gpio_out ANTENNA_13/DIODE (0.095::0.095) (0.055::0.055)) + (INTERCONNECT pad_gpio_in input16/A (0.100::0.100) (0.058::0.058)) + (INTERCONNECT pad_gpio_in ANTENNA_16/DIODE (0.100::0.100) (0.058::0.058)) + (INTERCONNECT resetn input17/A (0.101::0.101) (0.057::0.057)) + (INTERCONNECT serial_clock clkbuf_0_serial_clock/A (0.108::0.108) (0.060::0.060)) + (INTERCONNECT serial_data_in input18/A (0.072::0.072) (0.042::0.042)) + (INTERCONNECT serial_data_in ANTENNA_17/DIODE (0.072::0.072) (0.042::0.042)) + (INTERCONNECT serial_load input19/A (0.069::0.069) (0.040::0.040)) + (INTERCONNECT serial_load ANTENNA_21/DIODE (0.069::0.069) (0.040::0.040)) + (INTERCONNECT user_gpio_oeb input20/A (0.066::0.066) (0.038::0.038)) + (INTERCONNECT user_gpio_oeb ANTENNA_18/DIODE (0.066::0.066) (0.038::0.038)) + (INTERCONNECT user_gpio_out input21/A (0.092::0.092) (0.053::0.053)) + (INTERCONNECT user_gpio_out ANTENNA_19/DIODE (0.092::0.092) (0.053::0.053)) + (INTERCONNECT _102_/X _103_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _103_/X _210_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _104_/X _105_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _105_/X _210_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _106_/Y _107_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _106_/Y _136_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _106_/Y _167_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _106_/Y _173_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _106_/Y _188_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _107_/X _112_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _107_/X _118_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _107_/X _124_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _107_/X _130_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _107_/X _209_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _108_/X _109_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _109_/X _209_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _110_/X _111_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _111_/X _209_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _112_/X _113_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _113_/X _208_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _114_/X _115_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _115_/X _208_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _116_/X _117_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _117_/X _208_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _118_/X _119_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _119_/X _207_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _120_/X _121_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _121_/X _207_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _122_/X _123_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _123_/X _207_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _124_/X _125_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _125_/X _206_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _126_/X _127_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _127_/X _206_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _128_/X _129_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _129_/X _206_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _130_/X _131_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _131_/X _205_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _132_/X _133_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _133_/X _205_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _134_/X _135_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _135_/X _205_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _136_/X _137_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _136_/X _143_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _136_/X _149_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _136_/X _155_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _136_/X _161_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _137_/X _138_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _138_/X _204_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _139_/X _140_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _140_/X _204_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _141_/X _142_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _142_/X _204_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _143_/X _144_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _144_/X _203_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _145_/X _146_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _146_/X _203_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _147_/X _148_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _148_/X _203_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _149_/X _150_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _150_/X _202_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _151_/X _152_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _152_/X _202_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _153_/X _154_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _154_/X _202_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _155_/X _156_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _156_/X _201_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _157_/X _158_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _158_/X _201_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _159_/X _160_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _160_/X _201_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _161_/X _162_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _162_/X _200_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _163_/X _164_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _164_/X _200_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _165_/X _166_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _166_/X _200_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _167_/X _168_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _168_/X _199_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _169_/X _170_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _170_/X _199_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _171_/X _172_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _172_/X _199_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _173_/X _174_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _174_/X _198_/CLK_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _175_/X _176_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _176_/X _198_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _177_/X _178_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _178_/X _198_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _179_/X _180_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _180_/X _197_/TE_B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _181_/X _182_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _182_/X _193_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _183_/X _184_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _184_/X _194_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _185_/Y gpio_in_buf/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _186_/X _187_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _187_/X output38/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _188_/X _189_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _189_/X _210_/CLK_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _190_/X output36/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _191_/X output37/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _192_/X output39/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _193_/X output33/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _194_/X _195_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _195_/X _196_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _196_/X output32/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _197_/Z mgmt_gpio_in (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _198_/Q _193_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _198_/Q _196_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _199_/Q output29/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _200_/Q output34/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _201_/Q output35/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _202_/Q _179_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _202_/Q output31/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _203_/Q output30/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _204_/Q _179_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _204_/Q _181_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _205_/Q output26/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _205_/Q_N _194_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _206_/Q _183_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _206_/Q output27/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _207_/Q _183_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _207_/Q output28/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _208_/Q output23/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _209_/Q output25/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _210_/Q output24/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _211_/Q _198_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _211_/Q _212_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _212_/Q _204_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _212_/Q _213_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _213_/Q _199_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _213_/Q _214_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _214_/Q _202_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _214_/Q _215_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _215_/Q _203_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _215_/Q _216_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _216_/Q _208_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _216_/Q _217_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _217_/Q _209_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _217_/Q _218_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _218_/Q _210_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _218_/Q _219_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _219_/Q _200_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _219_/Q _220_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _220_/Q _201_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _220_/Q _221_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _221_/Q _205_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _221_/Q _222_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _222_/Q _206_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _222_/Q _223_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _223_/Q _186_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _223_/Q _207_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_0_serial_clock/X clkbuf_1_0_0_serial_clock/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_0_serial_clock/X clkbuf_1_1_0_serial_clock/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _223_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _222_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _218_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _217_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _216_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_serial_clock/X _215_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _221_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _220_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _219_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _214_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _213_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _212_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _211_/CLK (0.001::0.001) (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_0_serial_clock/X _191_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT const_source/HI one (0.000::0.000)) + (INTERCONNECT const_source/HI _186_/A (0.000::0.000)) + (INTERCONNECT const_source/HI ANTENNA_14/DIODE (0.000::0.000)) + (INTERCONNECT const_source/HI ANTENNA_15/DIODE (0.000::0.000)) + (INTERCONNECT const_source/LO zero (0.000::0.000)) + (INTERCONNECT gpio_in_buf/Z output40/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT gpio_logic_high/gpio_logic1 gpio_in_buf/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input1/X _177_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT input1/X _175_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input10/X _110_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input10/X _108_/B_N (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input11/X _104_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input11/X _102_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input12/X _165_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input12/X _163_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input13/X _159_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input13/X _157_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input14/X _195_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input14/X _181_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input15/X _195_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input15/X _194_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input16/X _197_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input16/X _185_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input17/X _223_/RESET_B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input17/X _222_/RESET_B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input17/X _221_/RESET_B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input17/X _220_/RESET_B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input17/X _219_/RESET_B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input17/X _218_/RESET_B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _217_/RESET_B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _216_/RESET_B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _215_/RESET_B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input17/X _214_/RESET_B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input17/X _213_/RESET_B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input17/X _212_/RESET_B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input17/X _211_/RESET_B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input17/X _190_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input17/X _177_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input17/X _175_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input17/X _171_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input17/X _169_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input17/X _165_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input17/X _163_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input17/X _159_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input17/X _157_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input17/X _153_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input17/X _151_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input17/X _147_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _145_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _141_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input17/X _139_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input17/X _134_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input17/X _132_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input17/X _128_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input17/X _126_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input17/X _122_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input17/X _120_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _116_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _114_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input17/X _110_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _108_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _104_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input17/X _102_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input18/X _211_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input19/X _192_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input19/X _106_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input2/X _134_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input2/X _132_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input20/X _193_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input21/X _196_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input3/X _128_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input3/X _126_/B_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input4/X _122_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input4/X _120_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input5/X _141_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input5/X _139_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input6/X _171_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input6/X _169_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input7/X _153_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input7/X _151_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input8/X _147_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input8/X _145_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input9/X _116_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input9/X _114_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output23/X pad_gpio_ana_en (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output24/X pad_gpio_ana_pol (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output25/X pad_gpio_ana_sel (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output26/X pad_gpio_dm[0] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output27/X pad_gpio_dm[1] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output28/X pad_gpio_dm[2] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output29/X pad_gpio_holdover (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output30/X pad_gpio_ib_mode_sel (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output31/X pad_gpio_inenb (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output32/X pad_gpio_out (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output33/X pad_gpio_outenb (0.012::0.012) (0.012::0.012)) + (INTERCONNECT output34/X pad_gpio_slow_sel (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output35/X pad_gpio_vtrip_sel (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output36/X resetn_out (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output37/X serial_clock_out (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output38/X serial_data_out (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output39/X serial_load_out (0.004::0.004) (0.004::0.004)) + (INTERCONNECT output40/X user_gpio_in (0.002::0.002) (0.002::0.002)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _102_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.223::0.223)) + (IOPATH B_N X (0.161::0.161) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _103_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _104_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.231::0.231)) + (IOPATH B X (0.124::0.124) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _105_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.081::0.082) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _106_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE _107_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _108_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.119) (0.224::0.224)) + (IOPATH B_N X (0.161::0.161) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _109_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _110_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.236::0.236)) + (IOPATH B X (0.127::0.127) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _111_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.084::0.084) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _112_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _113_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.095::0.095) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _114_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.235::0.235)) + (IOPATH B_N X (0.167::0.167) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _115_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _116_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.252::0.252)) + (IOPATH B X (0.142::0.142) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _117_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _118_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _119_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.092::0.092) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _120_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.229::0.229)) + (IOPATH B_N X (0.167::0.167) (0.247::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _121_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.089::0.089) (0.093::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _122_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.228::0.228)) + (IOPATH B X (0.122::0.122) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _123_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.080) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _124_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _125_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _126_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.238::0.238)) + (IOPATH B_N X (0.165::0.165) (0.248::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _127_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.102::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _128_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.239::0.239)) + (IOPATH B X (0.122::0.122) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _129_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _130_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _131_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _132_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.232::0.232)) + (IOPATH B_N X (0.162::0.162) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _133_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.107::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _134_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.270::0.270)) + (IOPATH B X (0.160::0.160) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _135_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE _136_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.240::0.240) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _137_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _138_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.083) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _139_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.236::0.236)) + (IOPATH B_N X (0.162::0.162) (0.246::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _140_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _141_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.259::0.259)) + (IOPATH B X (0.145::0.145) (0.236::0.236)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _142_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _143_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _144_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _145_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.234::0.234)) + (IOPATH B_N X (0.162::0.162) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _146_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.100) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _147_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.231::0.231)) + (IOPATH B X (0.116::0.116) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _148_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.093) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _149_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _150_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _151_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.249::0.249)) + (IOPATH B_N X (0.183::0.183) (0.263::0.263)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _152_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _153_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.264::0.264)) + (IOPATH B X (0.156::0.156) (0.246::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _154_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _155_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _156_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _157_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.241::0.241)) + (IOPATH B_N X (0.166::0.166) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _158_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.116) (0.109::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _159_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.235::0.235)) + (IOPATH B X (0.116::0.116) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _160_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.097) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _161_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.119) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _162_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.077) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _163_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.238::0.238)) + (IOPATH B_N X (0.162::0.162) (0.246::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _164_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.107::0.107) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _165_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.244::0.244)) + (IOPATH B X (0.124::0.124) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _166_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.083) (0.090::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _167_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.100) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _168_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _169_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.263::0.263)) + (IOPATH B_N X (0.189::0.189) (0.270::0.270)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _170_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.129::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _171_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.288::0.288)) + (IOPATH B X (0.180::0.180) (0.262::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _172_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.135::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _173_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _174_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _175_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.264::0.264)) + (IOPATH B_N X (0.202::0.202) (0.280::0.280)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _176_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _177_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.277::0.277)) + (IOPATH B X (0.172::0.172) (0.257::0.257)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _178_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _179_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.259::0.259)) + (IOPATH B_N X (0.183::0.183) (0.261::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _180_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _181_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.166::0.166)) + (IOPATH B X (0.211::0.211) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _182_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _183_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.227::0.227)) + (IOPATH B_N X (0.155::0.155) (0.235::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _184_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.105::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _185_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _186_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.000::0.000)) + (IOPATH B X (0.187::0.187) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _187_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _188_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _189_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _190_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _191_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _192_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _193_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.148::0.148) (0.289::0.289)) + (IOPATH A1 X (0.151::0.151) (0.299::0.299)) + (IOPATH S X (0.194::0.194) (0.324::0.324)) + (IOPATH S X (0.139::0.139) (0.309::0.309)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _194_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.116::0.116) (0.277::0.277)) + (IOPATH A1 X (0.160::0.160) (0.303::0.303)) + (IOPATH S X (0.189::0.189) (0.319::0.319)) + (IOPATH S X (0.135::0.135) (0.303::0.303)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _195_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.164::0.164) (0.301::0.301)) + (IOPATH A1 X (0.124::0.126) (0.298::0.298)) + (IOPATH S X (0.220::0.220) (0.348::0.348)) + (IOPATH S X (0.171::0.171) (0.330::0.330)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _196_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.148::0.148) (0.287::0.287)) + (IOPATH A1 X (0.119::0.121) (0.291::0.292)) + (IOPATH S X (0.190::0.190) (0.319::0.319)) + (IOPATH S X (0.135::0.135) (0.304::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_1") + (INSTANCE _197_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.693::0.693) (0.336::0.336)) + (IOPATH TE_B Z (0.638::0.638) (0.308::0.308)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _198_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.467::0.467) (0.355::0.355)) + (IOPATH CLK_N Q (0.480::0.480) (0.561::0.561)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.059::0.059)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.052::-0.052)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.054::0.054)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.089::0.089)) + (HOLD (posedge D) (negedge CLK_N) (0.032::0.032)) + (HOLD (negedge D) (negedge CLK_N) (-0.149::-0.149)) + (SETUP (posedge D) (negedge CLK_N) (0.093::0.093)) + (SETUP (negedge D) (negedge CLK_N) (0.168::0.168)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _199_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.466::0.466) (0.354::0.354)) + (IOPATH CLK_N Q (0.449::0.449) (0.542::0.542)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.056::0.056)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.049::-0.049)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.057::0.057)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.085::0.085)) + (HOLD (posedge D) (negedge CLK_N) (0.038::0.038)) + (HOLD (negedge D) (negedge CLK_N) (-0.143::-0.143)) + (SETUP (posedge D) (negedge CLK_N) (0.088::0.088)) + (SETUP (negedge D) (negedge CLK_N) (0.162::0.162)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _200_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.462::0.462) (0.350::0.350)) + (IOPATH CLK_N Q (0.455::0.455) (0.544::0.544)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.059::0.059)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.052::-0.052)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.070::0.070)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.073::0.073)) + (HOLD (posedge D) (negedge CLK_N) (0.038::0.038)) + (HOLD (negedge D) (negedge CLK_N) (-0.140::-0.140)) + (SETUP (posedge D) (negedge CLK_N) (0.088::0.088)) + (SETUP (negedge D) (negedge CLK_N) (0.159::0.159)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _201_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.465::0.465) (0.353::0.353)) + (IOPATH CLK_N Q (0.452::0.452) (0.544::0.544)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.061::0.061)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.054::-0.054)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.067::0.067)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075::0.075)) + (HOLD (posedge D) (negedge CLK_N) (0.037::0.037)) + (HOLD (negedge D) (negedge CLK_N) (-0.144::-0.144)) + (SETUP (posedge D) (negedge CLK_N) (0.089::0.089)) + (SETUP (negedge D) (negedge CLK_N) (0.162::0.162)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _202_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.467::0.467) (0.355::0.355)) + (IOPATH CLK_N Q (0.502::0.502) (0.575::0.575)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.061::0.061)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.054::-0.054)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.064::0.064)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.079::0.079)) + (HOLD (posedge D) (negedge CLK_N) (0.041::0.041)) + (HOLD (negedge D) (negedge CLK_N) (-0.139::-0.139)) + (SETUP (posedge D) (negedge CLK_N) (0.084::0.084)) + (SETUP (negedge D) (negedge CLK_N) (0.158::0.158)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _203_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.474::0.474) (0.361::0.361)) + (IOPATH CLK_N Q (0.474::0.474) (0.561::0.561)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.071::0.071)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.065::-0.065)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.076::0.076)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.066::0.066)) + (HOLD (posedge D) (negedge CLK_N) (0.046::0.046)) + (HOLD (negedge D) (negedge CLK_N) (-0.136::-0.136)) + (SETUP (posedge D) (negedge CLK_N) (0.078::0.078)) + (SETUP (negedge D) (negedge CLK_N) (0.155::0.155)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _204_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.464::0.464) (0.352::0.352)) + (IOPATH CLK_N Q (0.463::0.463) (0.551::0.551)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.062::0.062)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.055::-0.055)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.066::0.066)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.076::0.076)) + (HOLD (posedge D) (negedge CLK_N) (0.037::0.037)) + (HOLD (negedge D) (negedge CLK_N) (-0.142::-0.142)) + (SETUP (posedge D) (negedge CLK_N) (0.088::0.088)) + (SETUP (negedge D) (negedge CLK_N) (0.161::0.161)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _205_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.489::0.489) (0.376::0.376)) + (IOPATH CLK_N Q (0.450::0.450) (0.544::0.544)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064::0.064)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057::-0.057)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.056::0.056)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.086::0.086)) + (HOLD (posedge D) (negedge CLK_N) (0.037::0.037)) + (HOLD (negedge D) (negedge CLK_N) (-0.145::-0.145)) + (SETUP (posedge D) (negedge CLK_N) (0.088::0.088)) + (SETUP (negedge D) (negedge CLK_N) (0.163::0.163)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _206_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.467::0.467) (0.355::0.355)) + (IOPATH CLK_N Q (0.471::0.471) (0.557::0.557)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065::0.065)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058::-0.058)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.070::0.070)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.073::0.073)) + (HOLD (posedge D) (negedge CLK_N) (0.042::0.042)) + (HOLD (negedge D) (negedge CLK_N) (-0.138::-0.138)) + (SETUP (posedge D) (negedge CLK_N) (0.083::0.083)) + (SETUP (negedge D) (negedge CLK_N) (0.157::0.157)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _207_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.464::0.464) (0.352::0.352)) + (IOPATH CLK_N Q (0.480::0.480) (0.561::0.561)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.065::0.065)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.058::-0.058)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.071::0.071)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.072::0.072)) + (HOLD (posedge D) (negedge CLK_N) (0.039::0.039)) + (HOLD (negedge D) (negedge CLK_N) (-0.140::-0.140)) + (SETUP (posedge D) (negedge CLK_N) (0.086::0.086)) + (SETUP (negedge D) (negedge CLK_N) (0.158::0.158)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _208_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.466::0.466) (0.353::0.353)) + (IOPATH CLK_N Q (0.466::0.466) (0.553::0.553)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.064::0.064)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.057::-0.057)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.068::0.068)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.075::0.075)) + (HOLD (posedge D) (negedge CLK_N) (0.041::0.041)) + (HOLD (negedge D) (negedge CLK_N) (-0.139::-0.139)) + (SETUP (posedge D) (negedge CLK_N) (0.084::0.084)) + (SETUP (negedge D) (negedge CLK_N) (0.157::0.157)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _209_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.498::0.498) (0.385::0.385)) + (IOPATH CLK_N Q (0.490::0.490) (0.580::0.580)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.093::0.093)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.087::-0.087)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.101::0.101)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.038::0.038)) + (HOLD (posedge D) (negedge CLK_N) (0.069::0.069)) + (HOLD (negedge D) (negedge CLK_N) (-0.119::-0.119)) + (SETUP (posedge D) (negedge CLK_N) (0.053::0.053)) + (SETUP (negedge D) (negedge CLK_N) (0.138::0.138)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbn_1") + (INSTANCE _210_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK_N Q_N (0.479::0.479) (0.367::0.367)) + (IOPATH CLK_N Q (0.477::0.477) (0.565::0.565)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (negedge CLK_N) (0.075::0.075)) + (RECOVERY (posedge SET_B) (negedge CLK_N) (-0.069::-0.069)) + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.085::0.085)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (0.056::0.056)) + (HOLD (posedge D) (negedge CLK_N) (0.034::0.034)) + (HOLD (negedge D) (negedge CLK_N) (-0.150::-0.150)) + (SETUP (posedge D) (negedge CLK_N) (0.090::0.090)) + (SETUP (negedge D) (negedge CLK_N) (0.169::0.169)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _211_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.414::0.414) (0.439::0.439)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.017::-0.017)) + (SETUP (posedge D) (posedge CLK) (0.050::0.050)) + (SETUP (negedge D) (posedge CLK) (0.086::0.086)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _212_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.415::0.415)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _213_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.390::0.390) (0.421::0.421)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) + (HOLD (posedge D) (posedge CLK) (-0.023::-0.023)) + (HOLD (negedge D) (posedge CLK) (-0.021::-0.021)) + (SETUP (posedge D) (posedge CLK) (0.047::0.047)) + (SETUP (negedge D) (posedge CLK) (0.089::0.089)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _214_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.025)) + (HOLD (negedge D) (posedge CLK) (-0.023::-0.023)) + (SETUP (posedge D) (posedge CLK) (0.049::0.049)) + (SETUP (negedge D) (posedge CLK) (0.092::0.092)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _215_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.366::0.366) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _216_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.358::0.358) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _217_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.359::0.359) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.053::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _218_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.425::0.425) (0.441::0.441)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.053::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _219_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.373::0.373) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) + (HOLD (posedge D) (posedge CLK) (-0.039::-0.039)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.064::0.064)) + (SETUP (negedge D) (posedge CLK) (0.107::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _220_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.390::0.390) (0.421::0.421)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) + (HOLD (posedge D) (posedge CLK) (-0.021::-0.021)) + (HOLD (negedge D) (posedge CLK) (-0.018::-0.018)) + (SETUP (posedge D) (posedge CLK) (0.044::0.044)) + (SETUP (negedge D) (posedge CLK) (0.086::0.086)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _221_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.403::0.403) (0.432::0.432)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.385::0.385)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.241::-0.241)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.025)) + (HOLD (negedge D) (posedge CLK) (-0.023::-0.023)) + (SETUP (posedge D) (posedge CLK) (0.049::0.049)) + (SETUP (negedge D) (posedge CLK) (0.092::0.092)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _222_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.359::0.359) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) + (HOLD (posedge D) (posedge CLK) (-0.035::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.060::0.060)) + (SETUP (negedge D) (posedge CLK) (0.108::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _223_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.359::0.359) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.370::0.370)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.224::-0.224)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.053::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_0_serial_clock) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_0_serial_clock) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_0_serial_clock) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE gpio_in_buf) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.096::0.096) (0.053::0.053)) + (IOPATH TE Z (0.128::0.128) (0.033::0.033)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input10) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input11) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input12) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input13) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input14) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input15) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input16) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input17) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input18) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input19) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input2) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input20) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input21) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input3) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input4) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input5) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input6) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input7) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input8) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input9) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output23) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output24) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output25) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output26) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output27) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output28) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output29) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output30) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output31) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output32) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.212) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output33) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.202) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output34) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output35) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output36) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE output37) + (DELAY + (ABSOLUTE + (IOPATH A X (0.445::0.445) (0.277::0.277)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output38) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output39) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output40) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.234) (0.171::0.187)) + ) + ) + ) +) diff --git a/sdf/gpio_defaults_block.sdf b/sdf/gpio_defaults_block.sdf new file mode 100644 index 00000000..1908b4a1 --- /dev/null +++ b/sdf/gpio_defaults_block.sdf @@ -0,0 +1,34 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "gpio_defaults_block") + (DATE "Sun Nov 28 13:23:59 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "gpio_defaults_block") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT gpio_default_value\[0\]/LO gpio_defaults[0] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[10\]/HI gpio_defaults[10] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[11\]/LO gpio_defaults[11] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[12\]/LO gpio_defaults[12] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[1\]/HI gpio_defaults[1] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[2\]/LO gpio_defaults[2] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[3\]/LO gpio_defaults[3] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[4\]/LO gpio_defaults[4] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[5\]/LO gpio_defaults[5] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[6\]/LO gpio_defaults[6] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[7\]/LO gpio_defaults[7] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[8\]/LO gpio_defaults[8] (0.000::0.000)) + (INTERCONNECT gpio_default_value\[9\]/LO gpio_defaults[9] (0.000::0.000)) + ) + ) + ) +) diff --git a/sdf/gpio_logic_high.sdf b/sdf/gpio_logic_high.sdf new file mode 100644 index 00000000..d349a92d --- /dev/null +++ b/sdf/gpio_logic_high.sdf @@ -0,0 +1,22 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "gpio_logic_high") + (DATE "Sun Nov 28 13:23:43 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "gpio_logic_high") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT gpio_logic_high/HI gpio_logic1 (0.000::0.000)) + ) + ) + ) +) diff --git a/sdf/housekeeping.sdf b/sdf/housekeeping.sdf new file mode 100644 index 00000000..6f7d5d88 --- /dev/null +++ b/sdf/housekeeping.sdf @@ -0,0 +1,83395 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "housekeeping") + (DATE "Sun Nov 28 13:23:24 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "housekeeping") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT debug_mode input1/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT debug_oeb input2/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT debug_out input3/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT mask_rev_in[0] input4/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT mask_rev_in[10] input5/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[11] input6/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[12] input7/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT mask_rev_in[13] input8/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[14] input9/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[15] input10/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[16] input11/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[17] input12/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[18] input13/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[19] input14/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[1] input15/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[20] input16/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[21] input17/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[22] input18/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[23] input19/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[24] input20/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[25] input21/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[26] input22/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[27] input23/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[28] input24/A (0.019::0.019) (0.011::0.011)) + (INTERCONNECT mask_rev_in[29] input25/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[2] input26/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[30] input27/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[31] input28/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mask_rev_in[3] input29/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[4] input30/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[5] input31/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[6] input32/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[7] input33/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mask_rev_in[8] input34/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT mask_rev_in[9] input35/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mgmt_gpio_in[0] input36/A (0.049::0.049) (0.027::0.027)) + (INTERCONNECT mgmt_gpio_in[10] input37/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mgmt_gpio_in[11] input38/A (0.039::0.039) (0.021::0.021)) + (INTERCONNECT mgmt_gpio_in[12] input39/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[13] input40/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[14] input41/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[15] input42/A (0.018::0.018) (0.010::0.010)) + (INTERCONNECT mgmt_gpio_in[16] input43/A (0.019::0.019) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[17] input44/A (0.019::0.019) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[18] input45/A (0.016::0.016) (0.009::0.009)) + (INTERCONNECT mgmt_gpio_in[19] input46/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT mgmt_gpio_in[1] input47/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT mgmt_gpio_in[20] input48/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[21] input49/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[22] input50/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[23] input51/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[24] input52/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[25] input53/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mgmt_gpio_in[26] input54/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT mgmt_gpio_in[27] input55/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT mgmt_gpio_in[28] input56/A (0.017::0.017) (0.009::0.009)) + (INTERCONNECT mgmt_gpio_in[29] input57/A (0.017::0.017) (0.009::0.009)) + (INTERCONNECT mgmt_gpio_in[2] input58/A (0.065::0.065) (0.036::0.036)) + (INTERCONNECT mgmt_gpio_in[30] input59/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[31] input60/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[32] input61/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[33] input62/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[34] input63/A (0.039::0.039) (0.021::0.021)) + (INTERCONNECT mgmt_gpio_in[35] input64/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT mgmt_gpio_in[36] input65/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT mgmt_gpio_in[37] input66/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mgmt_gpio_in[3] input67/A (0.067::0.067) (0.037::0.037)) + (INTERCONNECT mgmt_gpio_in[4] clkbuf_0_mgmt_gpio_in\[4\]/A (0.665::0.665) (0.386::0.386)) + (INTERCONNECT mgmt_gpio_in[5] input68/A (0.025::0.025) (0.013::0.013)) + (INTERCONNECT mgmt_gpio_in[6] input69/A (0.018::0.018) (0.010::0.010)) + (INTERCONNECT mgmt_gpio_in[7] input70/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mgmt_gpio_in[8] input71/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mgmt_gpio_in[9] input72/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT pad_flash_io0_di input73/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT pad_flash_io1_di input74/A (0.020::0.020) (0.010::0.010)) + (INTERCONNECT porb input75/A (0.064::0.064) (0.035::0.035)) + (INTERCONNECT qspi_enabled input76/A (0.037::0.037) (0.020::0.020)) + (INTERCONNECT ser_tx input77/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT spi_csb input78/A (0.031::0.031) (0.016::0.016)) + (INTERCONNECT spi_enabled input79/A (0.034::0.034) (0.018::0.018)) + (INTERCONNECT spi_sck input80/A (0.035::0.035) (0.019::0.019)) + (INTERCONNECT spi_sdo input81/A (0.035::0.035) (0.019::0.019)) + (INTERCONNECT spi_sdoenb input82/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT spimemio_flash_clk input83/A (0.031::0.031) (0.016::0.016)) + (INTERCONNECT spimemio_flash_csb input84/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT spimemio_flash_io0_do input85/A (0.025::0.025) (0.013::0.013)) + (INTERCONNECT spimemio_flash_io0_oeb input86/A (0.033::0.033) (0.018::0.018)) + (INTERCONNECT spimemio_flash_io1_do input87/A (0.035::0.035) (0.019::0.019)) + (INTERCONNECT spimemio_flash_io1_oeb input88/A (0.037::0.037) (0.020::0.020)) + (INTERCONNECT spimemio_flash_io2_do input89/A (0.018::0.018) (0.009::0.009)) + (INTERCONNECT spimemio_flash_io2_oeb input90/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT spimemio_flash_io3_do input91/A (0.036::0.036) (0.020::0.020)) + (INTERCONNECT spimemio_flash_io3_oeb input92/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT sram_ro_data[0] input93/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT sram_ro_data[10] input94/A (0.015::0.015) (0.008::0.008)) + (INTERCONNECT sram_ro_data[11] input95/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT sram_ro_data[12] input96/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT sram_ro_data[13] input97/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT sram_ro_data[14] input98/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT sram_ro_data[15] input99/A (0.018::0.018) (0.010::0.010)) + (INTERCONNECT sram_ro_data[16] input100/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT sram_ro_data[17] input101/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT sram_ro_data[18] input102/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT sram_ro_data[19] input103/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT sram_ro_data[1] input104/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT sram_ro_data[20] input105/A (0.019::0.019) (0.011::0.011)) + (INTERCONNECT sram_ro_data[21] input106/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT sram_ro_data[22] input107/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT sram_ro_data[23] input108/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT sram_ro_data[24] input109/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT sram_ro_data[25] input110/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT sram_ro_data[26] input111/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT sram_ro_data[27] input112/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT sram_ro_data[28] input113/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT sram_ro_data[29] input114/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT sram_ro_data[2] input115/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT sram_ro_data[30] input116/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT sram_ro_data[31] input117/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT sram_ro_data[3] input118/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT sram_ro_data[4] input119/A (0.018::0.018) (0.010::0.010)) + (INTERCONNECT sram_ro_data[5] input120/A (0.019::0.019) (0.011::0.011)) + (INTERCONNECT sram_ro_data[6] input121/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT sram_ro_data[7] input122/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT sram_ro_data[8] input123/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT sram_ro_data[9] input124/A (0.018::0.018) (0.010::0.010)) + (INTERCONNECT trap input125/A (0.035::0.035) (0.019::0.019)) + (INTERCONNECT uart_enabled input126/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT user_clock input127/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT usr1_vcc_pwrgood input128/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT usr1_vdd_pwrgood input129/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT usr2_vcc_pwrgood input130/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT usr2_vdd_pwrgood input131/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_adr_i[0] input132/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT wb_adr_i[10] input133/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT wb_adr_i[11] input134/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[12] input135/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[13] input136/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[14] input137/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[15] input138/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[16] input139/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[17] input140/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[18] input141/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_adr_i[19] input142/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_adr_i[1] input143/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT wb_adr_i[20] input144/A (0.017::0.017) (0.009::0.009)) + (INTERCONNECT wb_adr_i[21] input145/A (0.017::0.017) (0.009::0.009)) + (INTERCONNECT wb_adr_i[22] input146/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[23] input147/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[24] input148/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[25] input149/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[26] input150/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[27] input151/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[28] input152/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[29] input153/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[2] input154/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT wb_adr_i[30] input155/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[31] input156/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_adr_i[3] input157/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT wb_adr_i[4] input158/A (0.033::0.033) (0.019::0.019)) + (INTERCONNECT wb_adr_i[5] input159/A (0.035::0.035) (0.019::0.019)) + (INTERCONNECT wb_adr_i[6] input160/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT wb_adr_i[7] input161/A (0.039::0.039) (0.021::0.021)) + (INTERCONNECT wb_adr_i[8] input162/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_adr_i[9] input163/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT wb_clk_i clkbuf_0_wb_clk_i/A (0.569::0.569) (0.336::0.336)) + (INTERCONNECT wb_clk_i ANTENNA_0/DIODE (0.569::0.569) (0.336::0.336)) + (INTERCONNECT wb_cyc_i input164/A (0.017::0.017) (0.009::0.009)) + (INTERCONNECT wb_dat_i[0] input165/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_dat_i[10] input166/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[11] input167/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[12] input168/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[13] input169/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[14] input170/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT wb_dat_i[15] input171/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[16] input172/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[17] input173/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[18] input174/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[19] input175/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[1] input176/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[20] input177/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[21] input178/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[22] input179/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[23] input180/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[24] input181/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[25] input182/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT wb_dat_i[26] input183/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[27] input184/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[28] input185/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[29] input186/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[2] input187/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[30] input188/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[31] input189/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[3] input190/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[4] input191/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[5] input192/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[6] input193/A (0.020::0.020) (0.011::0.011)) + (INTERCONNECT wb_dat_i[7] input194/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_dat_i[8] input195/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_dat_i[9] input196/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_rstn_i input197/A (0.063::0.063) (0.034::0.034)) + (INTERCONNECT wb_sel_i[0] input198/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT wb_sel_i[1] input199/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT wb_sel_i[2] input200/A (0.017::0.017) (0.009::0.009)) + (INTERCONNECT wb_sel_i[3] input201/A (0.019::0.019) (0.010::0.010)) + (INTERCONNECT wb_we_i input202/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT _4443_/X _9009_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4444_/X _9010_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4445_/X _8987_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4446_/X _8986_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4447_/X _8985_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4448_/X _8983_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4449_/X _8992_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4450_/X _8991_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4451_/X _8990_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4452_/Y _4453_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4452_/Y _5088_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4452_/Y _5133_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4453_/X _4454_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4453_/X _4475_/A (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _4453_/X _5289_/A (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _4453_/X _5572_/A (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _4453_/X _5583_/A (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _4453_/X _5610_/A (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _4453_/X _5621_/A (0.029::0.029) (0.026::0.026)) + (INTERCONNECT _4453_/X _5660_/A (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _4453_/X _5679_/A (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _4453_/X _5757_/A (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _4453_/X _5776_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4453_/X _5797_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _4453_/X _5818_/A (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _4453_/X _5837_/A (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _4453_/X _5872_/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _4453_/X _5905_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4453_/X _5949_/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4453_/X _5968_/A (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _4453_/X _6027_/A (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _4453_/X _6052_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4454_/X _4464_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4454_/X _4491_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4454_/X _4524_/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _4454_/X _4564_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4454_/X _4577_/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4454_/X _4590_/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _4454_/X _4602_/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4454_/X _5013_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4454_/X _5045_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4454_/X _5178_/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4454_/X _5742_/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4454_/X _5768_/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4454_/X _5789_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4454_/X _5810_/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4454_/X _5829_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4454_/X _5864_/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4454_/X _5897_/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4454_/X _5916_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4454_/X _5941_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4454_/X _5960_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4455_/Y _4458_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4455_/Y _4487_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4455_/Y _4661_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4456_/Y _4457_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4456_/Y _4469_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4457_/X _4458_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4457_/X _4514_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4457_/X _4661_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4457_/X _4665_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4458_/X _4459_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4459_/X _4463_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4459_/X _4480_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4459_/X _4523_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4459_/X _4536_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4459_/X _4557_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4459_/X _4601_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4459_/X _4612_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4459_/X _4796_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4459_/X _4824_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4459_/X _4826_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4459_/X _4832_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4459_/X _4841_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4459_/X _4861_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4459_/X _4868_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4459_/X _4876_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4459_/X _4893_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4459_/X _6111_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4460_/Y _4462_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4460_/Y _4474_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4460_/Y _4479_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4460_/Y _4513_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4460_/Y _4575_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4460_/Y _4611_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4460_/Y _4688_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4460_/Y _4729_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4461_/Y _4462_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4461_/Y _4474_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4461_/Y _4479_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4461_/Y _4502_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4461_/Y _4522_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4461_/Y _4553_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4461_/Y _4588_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4461_/Y _4729_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4462_/X _4463_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4462_/X _4672_/A (0.039::0.039) (0.038::0.038)) + (INTERCONNECT _4462_/X _4691_/A (0.039::0.039) (0.038::0.038)) + (INTERCONNECT _4462_/X _4713_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4462_/X _4837_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4462_/X _4844_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4462_/X _4919_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4463_/X _4464_/B (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _4463_/X _4828_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4463_/X _6217_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4463_/X _6251_/A (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _4463_/X _6703_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4463_/X _8814_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4464_/X _4465_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4465_/X _4466_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4465_/X _4467_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4465_/X _4484_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4465_/X _4512_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4465_/X _6025_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4465_/X _6026_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4465_/X _6038_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4466_/Y _4467_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4466_/Y _4484_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4466_/Y _4512_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4466_/Y _6025_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4466_/Y _6026_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4466_/Y _6038_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4467_/X _9790_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4468_/Y _4470_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4468_/Y _4661_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4468_/Y _4665_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4469_/X _4470_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4469_/X _4487_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4469_/X _4801_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4470_/X _4471_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4471_/X _4476_/A (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _4471_/X _4672_/B (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4471_/X _4676_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4471_/X _4679_/A (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4471_/X _4682_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4471_/X _4697_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4471_/X _4733_/A (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _4471_/X _4738_/A (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _4471_/X _4745_/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4471_/X _4750_/A (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4471_/X _4752_/A (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4471_/X _4755_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _4471_/X _4757_/A1 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _4471_/X _4764_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4471_/X _4772_/A (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _4471_/X _4782_/A (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _4471_/X _4787_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4472_/Y _4474_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4472_/Y _4479_/C (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _4472_/Y _4513_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4472_/Y _4553_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4472_/Y _4575_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4472_/Y _4588_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4472_/Y _4621_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _4472_/Y _4669_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _4473_/Y _4474_/D (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _4473_/Y _4522_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4473_/Y _4535_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4473_/Y _4575_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4473_/Y _4588_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4473_/Y _4669_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4473_/Y _4688_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4473_/Y _4729_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4474_/X _4476_/B (0.028::0.028) (0.028::0.028)) + (INTERCONNECT _4474_/X _4563_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4474_/X _4663_/A (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _4474_/X _4757_/A2 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _4474_/X _4762_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4474_/X _4810_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4474_/X _4824_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4474_/X _4891_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4475_/X _4476_/C (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4475_/X _4481_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4475_/X _4504_/A (0.108::0.108) (0.100::0.100)) + (INTERCONNECT _4475_/X _4519_/C (0.108::0.108) (0.100::0.100)) + (INTERCONNECT _4475_/X _4537_/A (0.106::0.106) (0.098::0.098)) + (INTERCONNECT _4475_/X _4554_/C (0.104::0.104) (0.096::0.096)) + (INTERCONNECT _4475_/X _4558_/A (0.098::0.098) (0.091::0.091)) + (INTERCONNECT _4475_/X _4613_/A (0.109::0.109) (0.101::0.101)) + (INTERCONNECT _4475_/X _4623_/A (0.109::0.109) (0.101::0.101)) + (INTERCONNECT _4475_/X _5024_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4475_/X _5080_/A (0.069::0.069) (0.064::0.064)) + (INTERCONNECT _4475_/X _5100_/A (0.107::0.107) (0.100::0.100)) + (INTERCONNECT _4475_/X _5121_/A (0.093::0.093) (0.087::0.087)) + (INTERCONNECT _4475_/X _5192_/B1 (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _4475_/X _5202_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4475_/X _5217_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4475_/X _5227_/A (0.109::0.109) (0.101::0.101)) + (INTERCONNECT _4475_/X _5232_/A (0.104::0.104) (0.096::0.096)) + (INTERCONNECT _4475_/X _5251_/A (0.109::0.109) (0.101::0.101)) + (INTERCONNECT _4475_/X _5259_/A (0.109::0.109) (0.101::0.101)) + (INTERCONNECT _4475_/X ANTENNA_24/DIODE (0.069::0.069) (0.064::0.064)) + (INTERCONNECT _4476_/X _4477_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4477_/X _4478_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4478_/X _9789_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4479_/X _4480_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4479_/X _4519_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4479_/X _4700_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4479_/X _4711_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4479_/X _4755_/B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4479_/X _4811_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4479_/X _4859_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4479_/X _4931_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4480_/X _4481_/B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4480_/X _4838_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4480_/X _6659_/B2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4480_/X _6809_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4480_/X ANTENNA_25/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4480_/X ANTENNA_148/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4481_/X _4482_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4481_/X _4485_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4481_/X _6018_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4482_/X _4483_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4483_/X _9788_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4484_/X _9787_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4485_/X _4486_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4486_/X _9786_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4487_/X _4488_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4488_/X _4490_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4488_/X _4503_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4488_/X _4794_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4488_/X _4815_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4488_/X _4817_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4488_/X _4834_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4488_/X _4837_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4488_/X _4851_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4488_/X _4856_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4488_/X _4859_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4488_/X _4866_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4488_/X _4884_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4488_/X _4889_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4488_/X _4891_/B (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4488_/X _4903_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4488_/X _4911_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4489_/X _4490_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4489_/X _4557_/B (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4489_/X _4741_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4489_/X _4745_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4489_/X _4770_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4489_/X _4805_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4490_/X _4491_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4490_/X _4863_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4490_/X _6117_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4490_/X _6192_/B2 (0.035::0.035) (0.034::0.034)) + (INTERCONNECT _4490_/X _6242_/B2 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _4490_/X _6407_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4490_/X _6625_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4490_/X _6697_/A2 (0.035::0.035) (0.034::0.034)) + (INTERCONNECT _4490_/X _6846_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4491_/X _4492_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4492_/X _4493_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4492_/X _4494_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4492_/X _4495_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4492_/X _4496_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4492_/X _4497_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4492_/X _4498_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4492_/X _4499_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4492_/X _4500_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4492_/X _4501_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4493_/Y _4494_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4493_/Y _4495_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4493_/Y _4496_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4493_/Y _4497_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4493_/Y _4498_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4493_/Y _4499_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4493_/Y _4500_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4493_/Y _4501_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4494_/X _9785_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4495_/X _9784_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4496_/X _9783_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4497_/X _9782_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4498_/X _9781_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4499_/X _9780_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4500_/X _9779_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4501_/X _9778_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4502_/X _4503_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4502_/X _4601_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4502_/X _4678_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4502_/X _4686_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4502_/X _4787_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4502_/X _4921_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4502_/X _6659_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4503_/X _4504_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4503_/X _4886_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4503_/X _6431_/A2 (0.034::0.034) (0.033::0.033)) + (INTERCONNECT _4503_/X _6554_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4503_/X _6654_/A2 (0.034::0.034) (0.033::0.033)) + (INTERCONNECT _4503_/X _6816_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4504_/X _4505_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4505_/X _4506_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4505_/X _4507_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4505_/X _4508_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4505_/X _4509_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4505_/X _4510_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4505_/X _4511_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4506_/Y _4507_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4506_/Y _4508_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4506_/Y _4509_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4506_/Y _4510_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4506_/Y _4511_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4507_/X _9777_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4508_/X _9776_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4509_/X _9775_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4510_/X _9774_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4511_/X _9773_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4512_/X _9772_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4513_/X _4516_/A (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _4513_/X _4667_/A (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _4513_/X _4727_/A (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _4513_/X _4782_/B (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _4513_/X _4851_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4513_/X _4868_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4513_/X _4898_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4514_/X _4515_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4515_/X _4516_/B (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4515_/X _4519_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4515_/X _4554_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4515_/X _4563_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4515_/X _4576_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4515_/X _4589_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4515_/X _4622_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4515_/X _4811_/A2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4515_/X _4822_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4515_/X _4844_/B (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4515_/X _4870_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4515_/X _4885_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4515_/X _4904_/A1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4515_/X _4907_/A (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4515_/X _4912_/A1 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4515_/X _6086_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4515_/X _6158_/A (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4515_/X _6659_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4516_/X _4517_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4516_/X _4518_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4516_/X _4880_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4517_/Y _4518_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4518_/X _9771_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4519_/X _4520_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4520_/X _4521_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4521_/X _9770_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4522_/X _4523_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4522_/X _4723_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4522_/X _4764_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4522_/X _4766_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4522_/X _4849_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4522_/X _4884_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4522_/X _4907_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4522_/X _6111_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4523_/X _4524_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4523_/X _4853_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4523_/X _6228_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4523_/X _6273_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4523_/X _6471_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4523_/X _6626_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4523_/X _6660_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4523_/X _6791_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4524_/X _4525_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4525_/X _4526_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4525_/X _4527_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4525_/X _4528_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4525_/X _4529_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4525_/X _4530_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4525_/X _4531_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4525_/X _4532_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4525_/X _4533_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4525_/X _4534_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4526_/Y _4527_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4526_/Y _4528_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4526_/Y _4529_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4526_/Y _4530_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4526_/Y _4531_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4526_/Y _4532_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4526_/Y _4533_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4526_/Y _4534_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4527_/X _9769_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4528_/X _9768_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4529_/X _9767_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4530_/X _9766_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4531_/X _9765_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4532_/X _9764_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4533_/X _9763_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4534_/X _9762_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4535_/X _4536_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4535_/X _4709_/A (0.044::0.044) (0.043::0.043)) + (INTERCONNECT _4535_/X _4752_/B (0.044::0.044) (0.043::0.043)) + (INTERCONNECT _4535_/X _4794_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4535_/X _4822_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4535_/X _4843_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4535_/X _4929_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4536_/X _4537_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4536_/X _4820_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4536_/X _6797_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4537_/X _4538_/S (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4537_/X _4540_/S (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4538_/X _4539_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4539_/X _9761_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4540_/X _4541_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4541_/X _9760_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4542_/Y _4552_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4542_/Y _5109_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4542_/Y _5940_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4542_/Y _7731_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4543_/Y _4549_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4543_/Y _5061_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4543_/Y _6986_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4543_/Y _8811_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4544_/Y _4547_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4544_/Y _5056_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4544_/Y _6987_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4544_/Y _8810_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4545_/Y _4547_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4545_/Y _5061_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4545_/Y _6988_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4545_/Y _8812_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4546_/Y _4547_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4546_/Y _5057_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4546_/Y _6989_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4546_/Y _8813_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4547_/X _4548_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4548_/X _4549_/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4548_/X _7731_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4548_/X _8961_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4548_/X _8962_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4548_/X _8963_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4548_/X _8964_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4548_/X _8965_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4548_/X _8966_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4548_/X _8967_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4548_/X _8968_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4548_/X _8969_/S (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4548_/X _8970_/S (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _4548_/X _8971_/S (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4548_/X _8972_/S (0.017::0.017) (0.015::0.015)) + (INTERCONNECT _4548_/X _8973_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4548_/X _8974_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4548_/X _8975_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4549_/Y _4550_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4549_/Y _4551_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4549_/Y _5038_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4549_/Y _5039_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4549_/Y _5040_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _4549_/Y _5041_/A2 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4549_/Y _5042_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4549_/Y _5043_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4549_/Y _5044_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _4550_/Y _4552_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4550_/Y _5038_/B2 (0.020::0.020) (0.018::0.018)) + (INTERCONNECT _4550_/Y _5039_/B2 (0.020::0.020) (0.018::0.018)) + (INTERCONNECT _4550_/Y _5040_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _4550_/Y _5041_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _4550_/Y _5042_/B2 (0.020::0.020) (0.018::0.018)) + (INTERCONNECT _4550_/Y _5043_/B2 (0.020::0.020) (0.018::0.018)) + (INTERCONNECT _4550_/Y _5044_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4550_/Y _5062_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4550_/Y _5109_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4551_/X _4552_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4551_/X _5188_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4552_/X _9759_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4553_/X _4554_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4553_/X _4679_/B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _4553_/X _4707_/A (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _4553_/X _4776_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4553_/X _4861_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4553_/X _4866_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4553_/X _4912_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4553_/X _4925_/A (0.022::0.022) (0.022::0.022)) + (INTERCONNECT _4554_/X _4555_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4555_/X _4556_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4556_/X _9758_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4557_/X _4558_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4557_/X _4872_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4557_/X _6841_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4558_/X _4559_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4558_/X _4561_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4559_/X _4560_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4560_/X _9757_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4561_/X _4562_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4562_/X _9756_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4563_/X _4564_/B (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4563_/X _4799_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4563_/X _6117_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4563_/X _6222_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4563_/X _6294_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4563_/X _6389_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4563_/X _6596_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4563_/X _6770_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4563_/X _6797_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4564_/X _4565_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4565_/X _4566_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4565_/X _4567_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4565_/X _4568_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4565_/X _4569_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4565_/X _4570_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4565_/X _4571_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4565_/X _4572_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4565_/X _4573_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4565_/X _4574_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4566_/Y _4567_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4566_/Y _4568_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4566_/Y _4569_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4566_/Y _4570_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4566_/Y _4571_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _4566_/Y _4572_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4566_/Y _4573_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4566_/Y _4574_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4567_/X _9755_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4568_/X _9754_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4569_/X _9753_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4570_/X _9752_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4571_/X _9751_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4572_/X _9750_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4573_/X _9749_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4574_/X _9748_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4575_/X _4576_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4575_/X _4682_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4575_/X _4717_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4575_/X _4743_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4575_/X _4834_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4575_/X _4847_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4575_/X _4876_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4576_/X _4577_/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4576_/X _4913_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4576_/X _6094_/A2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4576_/X _6216_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4576_/X _6248_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4576_/X _6406_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4576_/X _6547_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4576_/X _6703_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4576_/X _6863_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4577_/X _4578_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4578_/X _4579_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4578_/X _4580_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4578_/X _4581_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4578_/X _4582_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4578_/X _4583_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4578_/X _4584_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4578_/X _4585_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4578_/X _4586_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4578_/X _4587_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4579_/Y _4580_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4579_/Y _4581_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4579_/Y _4582_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4579_/Y _4583_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4579_/Y _4584_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4579_/Y _4585_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4579_/Y _4586_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4579_/Y _4587_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4580_/X _9747_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4581_/X _9746_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4582_/X _9745_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4583_/X _9744_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4584_/X _9743_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4585_/X _9742_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4586_/X _9741_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4587_/X _9740_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4588_/X _4589_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4588_/X _4695_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4588_/X _4697_/B (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4588_/X _4719_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4588_/X _4796_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4588_/X _4803_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4588_/X _4903_/B (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4589_/X _4590_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4589_/X _4895_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4589_/X _6089_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4589_/X _6203_/B2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4589_/X _6260_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4589_/X _6454_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4589_/X _6573_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4589_/X _6671_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4589_/X _6835_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4589_/X ANTENNA_149/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4590_/X _4591_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4591_/X _4592_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4591_/X _4593_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4591_/X _4594_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4591_/X _4595_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4591_/X _4596_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4591_/X _4597_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4591_/X _4598_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4591_/X _4599_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4591_/X _4600_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4592_/Y _4593_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4592_/Y _4594_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4592_/Y _4595_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4592_/Y _4596_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _4592_/Y _4597_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4592_/Y _4598_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4592_/Y _4599_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4592_/Y _4600_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4593_/X _9739_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4594_/X _9738_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4595_/X _9737_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4596_/X _9736_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4597_/X _9735_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4598_/X _9734_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4599_/X _9733_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4600_/X _9732_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4601_/X _4602_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4601_/X _4881_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4601_/X _6266_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4601_/X _6453_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4601_/X _6600_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4601_/X _6655_/B2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4601_/X _6791_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4602_/X _4603_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4603_/X _4604_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4603_/X _4605_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4603_/X _4606_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4603_/X _4607_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4603_/X _4608_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4603_/X _4609_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4603_/X _4610_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4604_/Y _4605_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4604_/Y _4606_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4604_/Y _4607_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4604_/Y _4608_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4604_/Y _4609_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4604_/Y _4610_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4605_/X _9731_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4606_/X _9730_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4607_/X _9729_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4608_/X _9728_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4609_/X _9727_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4610_/X _9726_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4611_/X _4612_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4611_/X _4676_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4611_/X _4735_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4611_/X _4774_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4611_/X _4815_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4611_/X _4878_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4611_/X _6158_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4612_/X _4613_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4612_/X _4798_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4612_/X _6344_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4612_/X _6547_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4612_/X _6677_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4612_/X _6816_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4613_/X _4614_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _4614_/X _4615_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4614_/X _4616_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4614_/X _4617_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4614_/X _4618_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4614_/X _4619_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4614_/X _4620_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4615_/Y _4616_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4615_/Y _4617_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4615_/Y _4618_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4615_/Y _4619_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4615_/Y _4620_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4616_/X _9725_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4617_/X _9724_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4618_/X _9723_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4619_/X _9722_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4620_/X _9721_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4621_/X _4622_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4621_/X _4702_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4621_/X _4721_/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4621_/X _4733_/B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4621_/X _4856_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4621_/X _4893_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4621_/X _4917_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4622_/X _4623_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4622_/X _4819_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4622_/X _6815_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4623_/X _4624_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4623_/X _4626_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4624_/X _4625_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4625_/X _9720_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4626_/X _4627_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4627_/X _9719_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4628_/Y _4630_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4628_/Y _6052_/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4628_/Y ANTENNA_26/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4629_/X _4630_/B (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _4629_/X _5132_/A (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _4629_/X _7022_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4630_/Y _4631_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4630_/Y _4998_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4630_/Y _6042_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4630_/Y _6044_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4630_/Y _6046_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4630_/Y _6048_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4630_/Y _6050_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4631_/X _4638_/A (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _4631_/X _4641_/A (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _4631_/X _4644_/A (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _4631_/X _4647_/A (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _4631_/X _4650_/A (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _4631_/X _4653_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _4631_/X _4656_/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4631_/X _4938_/A (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _4631_/X _4947_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4631_/X _4956_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4631_/X _4962_/A (0.066::0.066) (0.063::0.063)) + (INTERCONNECT _4631_/X _4968_/A (0.067::0.067) (0.064::0.064)) + (INTERCONNECT _4631_/X _4971_/A (0.067::0.067) (0.064::0.064)) + (INTERCONNECT _4631_/X _4974_/A (0.066::0.066) (0.063::0.063)) + (INTERCONNECT _4631_/X _4977_/A (0.067::0.067) (0.064::0.064)) + (INTERCONNECT _4631_/X _4980_/A (0.067::0.067) (0.064::0.064)) + (INTERCONNECT _4631_/X _4983_/A (0.067::0.067) (0.064::0.064)) + (INTERCONNECT _4631_/X _4986_/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4631_/X _4994_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4631_/X _9718_/RESET_B (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _4632_/Y _4634_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4632_/Y _5214_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4632_/Y _8898_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4633_/Y _4634_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4633_/Y _4964_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4633_/Y _7004_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4634_/X _4635_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4635_/X _4636_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4635_/X _4637_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4635_/X _4640_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4635_/X _4643_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4635_/X _4646_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4635_/X _4649_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4635_/X _4652_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4635_/X _4655_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4635_/X _4937_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4636_/Y _4637_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4636_/Y _4640_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4636_/Y _4643_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4636_/Y _4646_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4636_/Y _4649_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4636_/Y _4652_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4636_/Y _4655_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4636_/Y _4937_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4637_/X _9718_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4638_/X _4639_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4639_/X _9717_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4640_/X _9717_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4641_/X _4642_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4642_/X _9716_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4643_/X _9716_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4644_/X _4645_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4645_/X _9715_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4646_/X _9715_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4647_/X _4648_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4648_/X _9714_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4649_/X _9714_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4650_/X _4651_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4651_/X _9713_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4652_/X _9713_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4653_/X _4654_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4654_/X _9712_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4655_/X _9712_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4656_/X _4657_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4657_/X _9711_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4658_/X _4659_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4658_/X _5011_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4658_/X _7003_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4659_/Y _4937_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4659_/Y _5012_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4659_/Y _8900_/S (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4659_/Y _8950_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4659_/Y _8951_/S (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4659_/Y _8952_/S (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4659_/Y _8953_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4659_/Y _8954_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4659_/Y _8955_/S (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4659_/Y _8957_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4660_/Y _4674_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4660_/Y _7301_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4660_/Y _7619_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4661_/X _4662_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4662_/X _4663_/B (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _4662_/X _4686_/B (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _4662_/X _4707_/B (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _4662_/X _4709_/B (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4662_/X _4711_/B (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _4662_/X _4713_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4662_/X _4719_/B (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _4662_/X _4721_/B (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4662_/X _4723_/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4662_/X _4727_/B (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _4662_/X _4730_/B (0.027::0.027) (0.024::0.024)) + (INTERCONNECT _4662_/X _4735_/B (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _4662_/X _4741_/B (0.017::0.017) (0.015::0.015)) + (INTERCONNECT _4662_/X _4743_/B (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _4662_/X _4760_/B (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _4662_/X _4780_/B (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _4663_/X _4674_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4663_/X _5556_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4663_/X _6364_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4663_/X _6513_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4663_/X _6666_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4663_/X _6899_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4664_/Y _4674_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4664_/Y _7092_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4664_/Y _7438_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4665_/X _4666_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4666_/X _4667_/B (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _4666_/X _4670_/B (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4666_/X _4678_/B (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _4666_/X _4689_/B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4666_/X _4691_/B (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _4666_/X _4695_/B (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _4666_/X _4700_/B (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _4666_/X _4702_/B (0.018::0.018) (0.016::0.016)) + (INTERCONNECT _4666_/X _4717_/B (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _4666_/X _4762_/B (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4666_/X _4766_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4666_/X _4770_/B (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _4666_/X _4774_/B (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _4666_/X _4776_/B (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4666_/X _4785_/B (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _4666_/X _4843_/B (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4667_/X _4674_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4667_/X _5905_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4667_/X _6124_/B2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4667_/X _6229_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4667_/X _6305_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4667_/X _6338_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4667_/X _6500_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4667_/X _6758_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4667_/X _6804_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4668_/Y _4673_/A1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4668_/Y _7113_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4668_/Y _7479_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4669_/X _4670_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4669_/X _4738_/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4669_/X _4760_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4669_/X _4817_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4669_/X _4826_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4669_/X _4904_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4669_/X _4927_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4670_/X _4673_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4670_/X _5872_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4670_/X _6130_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4670_/X _6217_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4670_/X _6306_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4670_/X _6472_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4670_/X _6536_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4670_/X _6757_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4670_/X _6894_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4671_/Y _4673_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4671_/Y _7289_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4672_/X _4673_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4672_/X _5526_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4672_/X _6442_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4672_/X _6584_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4672_/X _6757_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4672_/X _6906_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4673_/X _4674_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4674_/X _4705_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4675_/Y _4684_/A1 (0.022::0.022) (0.022::0.022)) + (INTERCONNECT _4675_/Y _7119_/B1 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _4676_/X _4684_/A2 (0.034::0.034) (0.033::0.033)) + (INTERCONNECT _4676_/X _5507_/B (0.036::0.036) (0.035::0.035)) + (INTERCONNECT _4676_/X _6069_/B2 (0.036::0.036) (0.035::0.035)) + (INTERCONNECT _4676_/X _6210_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4676_/X _6324_/B2 (0.034::0.034) (0.033::0.033)) + (INTERCONNECT _4676_/X _6437_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4676_/X _6542_/A2 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _4676_/X _6689_/B2 (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _4676_/X _6917_/A2 (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _4677_/Y _4684_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4677_/Y _7291_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4677_/Y _7611_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4678_/X _4684_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4678_/X _5080_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4678_/X _6465_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4678_/X _6535_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4678_/X _6733_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4678_/X _6942_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4679_/X _4680_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4679_/X _5178_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4679_/X _6541_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4679_/X _6654_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4680_/Y _4683_/A2_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4680_/Y _6323_/A2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4680_/Y _6446_/B2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4680_/Y _6911_/A2_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4681_/Y _4683_/B1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _4681_/Y ANTENNA_27/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4681_/Y ANTENNA_28/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4681_/Y ANTENNA_29/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _4681_/Y ANTENNA_30/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _4681_/Y ANTENNA_31/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _4682_/X _4683_/B2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4682_/X _6052_/C (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4682_/X _6535_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4682_/X _6752_/B2 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _4682_/X _6887_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4683_/X _4684_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4684_/X _4705_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _4685_/Y _4693_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4685_/Y _7298_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4685_/Y _7621_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4686_/X _4693_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4686_/X _5810_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4686_/X _6370_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4686_/X _6499_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4686_/X _6647_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4686_/X _6899_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4687_/Y _4693_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4687_/Y _7120_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4687_/Y _7439_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4688_/X _4689_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4688_/X _4772_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4688_/X _4780_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4688_/X _4832_/B (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4688_/X _4885_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4688_/X _4911_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4688_/X _4915_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4688_/X _6086_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4689_/X _4693_/B2 (0.038::0.038) (0.037::0.037)) + (INTERCONNECT _4689_/X _5949_/B (0.034::0.034) (0.033::0.033)) + (INTERCONNECT _4689_/X _6131_/B2 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _4689_/X _6223_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4689_/X _6319_/B2 (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _4689_/X _6460_/B2 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _4689_/X _6541_/A2 (0.030::0.030) (0.029::0.029)) + (INTERCONNECT _4689_/X _6776_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4689_/X _6924_/A2 (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _4690_/Y _4692_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4690_/Y _7305_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4690_/Y _7609_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4691_/X _4692_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4691_/X _5024_/B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4691_/X _6419_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4691_/X _6530_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4691_/X _6689_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4691_/X _6907_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4692_/X _4693_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4693_/X _4705_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4694_/Y _4704_/A1 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4694_/Y _7301_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4694_/Y _7622_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4695_/X _4704_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4695_/X _5864_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4695_/X _6448_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4695_/X _6487_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4695_/X _6678_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4695_/X _6912_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4696_/Y _4704_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4697_/X _4698_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4698_/X _4704_/B2 (0.094::0.094) (0.088::0.088)) + (INTERCONNECT _4698_/X _5134_/A (0.079::0.079) (0.075::0.075)) + (INTERCONNECT _4698_/X _5192_/A2 (0.092::0.092) (0.087::0.087)) + (INTERCONNECT _4698_/X _6064_/B2 (0.093::0.093) (0.087::0.087)) + (INTERCONNECT _4698_/X _6165_/A (0.079::0.079) (0.075::0.075)) + (INTERCONNECT _4698_/X _6286_/A2 (0.091::0.091) (0.086::0.086)) + (INTERCONNECT _4698_/X _6359_/A2 (0.091::0.091) (0.085::0.085)) + (INTERCONNECT _4698_/X _6782_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4699_/Y _4703_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4699_/Y _7300_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4699_/Y _7624_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4700_/X _4703_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4700_/X _5897_/B (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4700_/X _6442_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4700_/X _6530_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4700_/X _6751_/B2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4700_/X _6900_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4701_/Y _4703_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4701_/Y _7093_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4701_/Y _7423_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4702_/X _4703_/B2 (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _4702_/X _5013_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4702_/X _6125_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4702_/X _6216_/B2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4702_/X _6300_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4702_/X _6466_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4702_/X _6585_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4702_/X _6683_/A2 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4702_/X _6923_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4703_/X _4704_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4704_/X _4705_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4705_/X _4936_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4706_/Y _4715_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4706_/Y _7295_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4706_/Y _7623_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4707_/X _4715_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4707_/X _5671_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4707_/X _6381_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4707_/X _6512_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4707_/X _6635_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4707_/X _6930_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4708_/Y _4715_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4708_/Y _7106_/A1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4708_/Y _7423_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4709_/X _4715_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4709_/X _5776_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4709_/X _6131_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4709_/X _6186_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4709_/X _6306_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4709_/X _6376_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4709_/X _6512_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4709_/X _6641_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4709_/X _6894_/B2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4710_/Y _4714_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4710_/Y _7304_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4710_/Y _7624_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4711_/X _4714_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4711_/X _5632_/B (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4711_/X _6382_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4711_/X _6500_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4711_/X _6665_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4711_/X _6936_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4712_/Y _4714_/B1 (0.064::0.064) (0.062::0.062)) + (INTERCONNECT _4712_/Y _7297_/A1 (0.053::0.053) (0.052::0.052)) + (INTERCONNECT _4712_/Y _7612_/A1 (0.053::0.053) (0.052::0.052)) + (INTERCONNECT _4713_/X _4714_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4713_/X _5789_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4713_/X _6375_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4713_/X _6585_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4713_/X _6641_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4713_/X _6937_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4714_/X _4715_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4715_/X _4791_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4716_/Y _4725_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4716_/Y _7114_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4716_/Y _7469_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4717_/X _4725_/A2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4717_/X _5837_/B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4717_/X _6070_/A2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4717_/X _6198_/B2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4717_/X _6285_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4717_/X _6459_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4717_/X _6525_/A2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4717_/X _6688_/B2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4717_/X _6924_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4718_/Y _4725_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4718_/Y _7300_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4718_/Y _7613_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4719_/X _4725_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4719_/X _5594_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4719_/X _6365_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4719_/X _6488_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4719_/X _6636_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4719_/X _6943_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4720_/Y _4724_/A1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4720_/Y _7090_/A1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4720_/Y _7412_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4721_/X _4724_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4721_/X _5679_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4721_/X _6143_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4721_/X _6180_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4721_/X _6313_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4721_/X _6376_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4721_/X _6482_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4721_/X _6665_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4721_/X _6893_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4722_/Y _4724_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4722_/Y _7294_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4722_/Y _7618_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4723_/X _4724_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4723_/X _5768_/B (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4723_/X _6375_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4723_/X _6482_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4723_/X _6642_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4723_/X _6936_/B2 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4724_/X _4725_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4725_/X _4791_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4726_/Y _4748_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4726_/Y _7102_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4726_/Y _7478_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4727_/X _4748_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _4727_/X _5660_/B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4727_/X _6070_/B2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4727_/X _6180_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4727_/X _6318_/A2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4727_/X _6382_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4727_/X _6519_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4727_/X _6635_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4727_/X _6931_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4728_/Y _4731_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4728_/Y _7289_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4728_/Y _7610_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4729_/X _4730_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4729_/X _4750_/B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4729_/X _4785_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4729_/X _4841_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4729_/X _4870_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4729_/X _4889_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4729_/X _4900_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4730_/X _4731_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4730_/X _5742_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4730_/X _6364_/A2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4730_/X _6499_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4730_/X _6647_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4730_/X _6931_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4731_/X _4748_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4732_/Y _4736_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4732_/Y _7103_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4733_/X _4736_/A2 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _4733_/X _5621_/B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _4733_/X _6069_/A2 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _4733_/X _6172_/A2 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _4733_/X _6300_/A2 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _4733_/X _6359_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4733_/X _6584_/A2 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _4733_/X _6752_/A2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4733_/X _6918_/B2 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _4734_/Y _4736_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4734_/Y _7107_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4734_/Y _7468_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4735_/X _4736_/B2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4735_/X _5797_/B (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4735_/X _6125_/B2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4735_/X _6185_/B2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _4735_/X _6295_/B2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _4735_/X _6383_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4735_/X _6493_/B2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4735_/X _6642_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _4735_/X _6882_/A2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4736_/X _4748_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4737_/Y _4747_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4738_/X _4739_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4739_/X _4747_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _4739_/X _5145_/A (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _4739_/X _5202_/A1 (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _4739_/X _6134_/A (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _4739_/X _6318_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4739_/X _6460_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4739_/X _6506_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4739_/X _6942_/B2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4740_/Y _4747_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4740_/Y _7093_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4740_/Y _7459_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4741_/X _4747_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4741_/X _5818_/B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4741_/X _6142_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4741_/X _6177_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4741_/X _6299_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4741_/X _6366_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4741_/X _6519_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4741_/X _6648_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4741_/X _6937_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4742_/Y _4746_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4742_/Y _7113_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4742_/Y _7428_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4743_/X _4746_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4743_/X _5572_/B (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4743_/X _6142_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4743_/X _6177_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4743_/X _6324_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4743_/X _6365_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4743_/X _6513_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4743_/X _6666_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4743_/X _6900_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4744_/Y _4746_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4744_/Y _7103_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4745_/X _4746_/B2 (0.015::0.015) (0.014::0.015)) + (INTERCONNECT _4745_/X _5469_/B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4745_/X _6081_/B (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4745_/X _6203_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4745_/X _6312_/A2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4745_/X _6394_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4745_/X _6494_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4745_/X _6758_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4745_/X _6906_/B2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4746_/X _4747_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4747_/X _4748_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4748_/X _4791_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4749_/Y _4758_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4749_/Y _7296_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4750_/X _4758_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4750_/X _5602_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4750_/X _6394_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4750_/X _6524_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4750_/X _6708_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4750_/X _6803_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4751_/Y _4758_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4751_/Y _7090_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4752_/X _4758_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _4752_/X _5545_/B (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4752_/X _6137_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4752_/X _6156_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4752_/X _6295_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4752_/X _6466_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4752_/X _6493_/A2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4752_/X _6697_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4752_/X _6917_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4753_/Y _4757_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4754_/Y _4757_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4755_/X _4756_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4756_/X _4757_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4756_/X _5156_/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4756_/X _5217_/A1 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4756_/X _6135_/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4756_/X _6454_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4756_/X _6525_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4756_/X _6907_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4757_/X _4758_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4758_/X _4790_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4759_/Y _4768_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4759_/Y _7121_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4759_/Y _7479_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4760_/X _4768_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4760_/X _5610_/B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4760_/X _6143_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4760_/X _6186_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4760_/X _6285_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _4760_/X _6381_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4760_/X _6488_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4760_/X _6636_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4760_/X _6882_/B2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _4761_/Y _4768_/B1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4761_/Y _7292_/A1 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4761_/Y _7620_/A1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4762_/X _4768_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4762_/X _5829_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4762_/X _6472_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4762_/X _6506_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4762_/X _6683_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4762_/X _6888_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4763_/Y _4767_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4763_/Y _7307_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4764_/X _4767_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4764_/X _5564_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4764_/X _6344_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4764_/X _6481_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4764_/X _6702_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4764_/X _6887_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4765_/Y _4767_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4765_/Y _7303_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4765_/Y _7616_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4766_/X _4767_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4766_/X _5960_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4766_/X _6459_/B2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4766_/X _6518_/A2 (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _4766_/X _6733_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4766_/X _6930_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _4767_/X _4768_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4768_/X _4790_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4769_/Y _4778_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4769_/Y _7107_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4769_/Y _7458_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4770_/X _4778_/A2 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4770_/X _5089_/B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4770_/X _6063_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4770_/X _6162_/A2 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4770_/X _6319_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4770_/X _6443_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4770_/X _6518_/B2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _4770_/X _6777_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4770_/X _6912_/A2 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4771_/Y _4778_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4771_/Y _7130_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4772_/X _4778_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4772_/X _5583_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4772_/X _6063_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4772_/X _6167_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4772_/X _6312_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4772_/X _6414_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4772_/X _6487_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4772_/X _6739_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4772_/X _6888_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4773_/Y _4777_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4773_/Y _7092_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4773_/Y _7422_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4774_/X _4777_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4774_/X _5045_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4774_/X _6130_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4774_/X _6234_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4774_/X _6286_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4774_/X _6465_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4774_/X _6524_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4774_/X _6672_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4774_/X _6911_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4775_/Y _4777_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4775_/Y _7291_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4775_/Y _7615_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4776_/X _4777_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4776_/X _5916_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4776_/X _6332_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4776_/X _6481_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4776_/X _6747_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4776_/X _6923_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4777_/X _4778_/C1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4778_/X _4790_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4779_/Y _4789_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4779_/Y _7101_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4779_/Y _7449_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4780_/X _4789_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4780_/X _5757_/B (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _4780_/X _6124_/A2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4780_/X _6185_/A2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4780_/X _6313_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4780_/X _6370_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4780_/X _6507_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4780_/X _6648_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _4780_/X _6943_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4781_/Y _4789_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4782_/X _4783_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4783_/X _4789_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _4783_/X _5167_/B (0.043::0.043) (0.040::0.040)) + (INTERCONNECT _4783_/X _5192_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4783_/X _5202_/A2 (0.042::0.042) (0.038::0.038)) + (INTERCONNECT _4783_/X _5217_/A2 (0.042::0.042) (0.038::0.038)) + (INTERCONNECT _4783_/X _6137_/A2 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _4783_/X _6198_/A2 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT _4783_/X _6322_/A (0.044::0.044) (0.040::0.040)) + (INTERCONNECT _4783_/X _6536_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4783_/X _6684_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4784_/Y _4788_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4784_/Y _7292_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4784_/Y _7612_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4785_/X _4788_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4785_/X _5941_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4785_/X _6420_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4785_/X _6540_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4785_/X _6777_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4785_/X _6804_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4786_/Y _4788_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4786_/Y _7302_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4787_/X _4788_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4787_/X _5488_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4787_/X _6339_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4787_/X _6507_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4787_/X _6672_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4787_/X _6893_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4788_/X _4789_/C1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4788_/X ANTENNA_32/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4789_/X _4790_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4790_/X _4791_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4791_/X _4936_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4792_/Y _4799_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4793_/Y _4799_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4793_/Y _7106_/B1 (0.028::0.028) (0.028::0.028)) + (INTERCONNECT _4793_/Y _7438_/B1 (0.028::0.028) (0.028::0.028)) + (INTERCONNECT _4794_/X _4799_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4794_/X _5240_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4794_/X _6089_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4794_/X _6204_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4794_/X _6267_/B2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4794_/X _6407_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4794_/X _6601_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4794_/X _6765_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4794_/X _6864_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4795_/Y _4798_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4796_/X _4798_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4796_/X _5121_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4796_/X _6355_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4796_/X _6619_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4796_/X _6776_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4796_/X _6842_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4797_/Y _4798_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4798_/X _4799_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4799_/X _4830_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4800_/Y _4812_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4800_/Y _7302_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4800_/Y _7614_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4801_/X _4802_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4802_/X _4803_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4802_/X _4805_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4802_/X _4810_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4802_/X _4847_/B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4802_/X _4849_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4802_/X _4878_/B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4802_/X _4898_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4802_/X _4900_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4802_/X _4915_/B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4802_/X _4917_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4802_/X _4919_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4802_/X _4921_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4802_/X _4925_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4802_/X _4927_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4802_/X _4929_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4802_/X _4931_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4803_/X _4812_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4803_/X _5290_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _4803_/X _6332_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4803_/X _6607_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4803_/X _6781_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4803_/X _6875_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4804_/Y _4812_/B1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4804_/Y _7114_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4804_/Y _7458_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4805_/X _4812_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4805_/X _5534_/B (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4805_/X _6102_/B2 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _4805_/X _6155_/B2 (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _4805_/X _6278_/B2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4805_/X _6400_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4805_/X _6614_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4805_/X _6739_/A2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4805_/X _6798_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4806_/X _4807_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4807_/X _4808_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4807_/X output304/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4808_/Y _4811_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4809_/Y _4811_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4809_/Y _7306_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4809_/Y _7611_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4810_/X _4811_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4810_/X _5251_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4810_/X _6345_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4810_/X _6614_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4810_/X _6764_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4810_/X _6869_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4811_/X _4812_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4812_/X _4830_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4813_/Y _4820_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4814_/Y _4820_/B1 (0.040::0.040) (0.040::0.040)) + (INTERCONNECT _4814_/Y _7129_/A1 (0.037::0.037) (0.037::0.037)) + (INTERCONNECT _4814_/Y _7478_/A1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4814_/Y ANTENNA_150/DIODE (0.038::0.038) (0.038::0.038)) + (INTERCONNECT _4814_/Y ANTENNA_190/DIODE (0.040::0.040) (0.040::0.040)) + (INTERCONNECT _4815_/X _4820_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4815_/X _6027_/B (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _4815_/X _6108_/B2 (0.041::0.041) (0.039::0.039)) + (INTERCONNECT _4815_/X _6192_/A2 (0.041::0.041) (0.039::0.039)) + (INTERCONNECT _4815_/X _6293_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4815_/X _6431_/B2 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _4815_/X _6565_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4815_/X _6655_/A2 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _4815_/X _6828_/A2 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _4816_/Y _4819_/A1 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _4816_/Y _7122_/A1 (0.062::0.062) (0.059::0.059)) + (INTERCONNECT _4816_/Y _7428_/A1 (0.062::0.062) (0.059::0.059)) + (INTERCONNECT _4817_/X _4819_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4817_/X _5393_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4817_/X _6077_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4817_/X _6204_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4817_/X _6247_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4817_/X _6426_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4817_/X _6620_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4817_/X _6764_/B2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4817_/X _6822_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4818_/Y _4819_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4819_/X _4820_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4820_/X _4830_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4821_/Y _4829_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4822_/X _4829_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4822_/X _6107_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4822_/X _6209_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4822_/X _6247_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4822_/X _6413_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4822_/X _6625_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4822_/X _6727_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4822_/X _6836_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4823_/Y _4829_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4823_/Y _7298_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4823_/Y _7619_/B1 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _4824_/X _4829_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4824_/X _5100_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4824_/X _6447_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4824_/X _6553_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4824_/X _6746_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4824_/X _6835_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4825_/Y _4828_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4825_/Y _8807_/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4826_/X _4828_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4826_/X _5227_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4826_/X _6863_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4827_/Y _4828_/B1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4828_/X _4829_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4829_/X _4830_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4830_/X _4935_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4831_/Y _4839_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4832_/X _4839_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4832_/X _6102_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4832_/X _6228_/B2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4832_/X _6254_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4832_/X _6447_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4832_/X _6566_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4832_/X _6671_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4832_/X _6822_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4833_/Y _4839_/B1 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _4833_/Y _7102_/A1 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4833_/Y ANTENNA_151/DIODE (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _4834_/X _4839_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4834_/X _5431_/B (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4834_/X _6108_/A2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _4834_/X _6191_/B2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4834_/X _6266_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4834_/X _6432_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4834_/X _6595_/B2 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _4834_/X _6721_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4834_/X _6821_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4834_/X ANTENNA_33/DIODE (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4835_/Y _4838_/A1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4835_/Y ANTENNA_34/DIODE (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4836_/Y _4838_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4836_/Y _7297_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4836_/Y _7615_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4837_/X _4838_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4837_/X _5232_/B (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4837_/X _6413_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4837_/X _6601_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4837_/X _6727_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4837_/X _6810_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4838_/X _4839_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4839_/X _4864_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4840_/Y _4845_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4841_/X _4845_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4841_/X _6101_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4841_/X _6223_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4841_/X _6253_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4841_/X _6443_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4841_/X _6559_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4841_/X _6746_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4841_/X _6810_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4842_/Y _4845_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4842_/Y _7122_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4842_/Y _7411_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4842_/Y ANTENNA_35/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4842_/Y ANTENNA_36/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4843_/X _4845_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4843_/X _5968_/B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4843_/X _6064_/A2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4843_/X _6235_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4843_/X _6305_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _4843_/X _6339_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4843_/X _6494_/B2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4843_/X _6678_/A2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4843_/X _6918_/A2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4843_/X ANTENNA_191/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4844_/X _4845_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4844_/X _6355_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4845_/X _4864_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4846_/Y _4854_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4846_/Y _7119_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4846_/Y _7437_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4847_/X _4854_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4847_/X _5267_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4847_/X _6112_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4847_/X _6172_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4847_/X _6279_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4847_/X _6351_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4847_/X _6578_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4847_/X _6696_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4847_/X _6792_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4847_/X ANTENNA_37/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4848_/Y _4854_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4848_/Y _7288_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4848_/Y _7617_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4849_/X _4854_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4849_/X _5442_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4849_/X _6414_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4849_/X _6613_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4849_/X _6696_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4849_/X _6869_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4850_/Y _4853_/A1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4850_/Y _7091_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4850_/Y _7449_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4850_/Y ANTENNA_38/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4851_/X _4853_/A2 (0.035::0.035) (0.034::0.034)) + (INTERCONNECT _4851_/X _5355_/B (0.046::0.046) (0.045::0.045)) + (INTERCONNECT _4851_/X _6118_/B2 (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _4851_/X _6197_/A2 (0.041::0.041) (0.040::0.040)) + (INTERCONNECT _4851_/X _6261_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4851_/X _6395_/A2 (0.039::0.039) (0.038::0.038)) + (INTERCONNECT _4851_/X _6566_/B2 (0.028::0.028) (0.028::0.028)) + (INTERCONNECT _4851_/X _6709_/A2 (0.037::0.037) (0.036::0.036)) + (INTERCONNECT _4851_/X _6792_/A2 (0.036::0.036) (0.035::0.035)) + (INTERCONNECT _4852_/Y _4853_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4853_/X _4854_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4854_/X _4864_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4855_/Y _4863_/A1 (0.026::0.026) (0.026::0.026)) + (INTERCONNECT _4855_/Y _7091_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4855_/Y _7468_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4856_/X _4863_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4856_/X _5317_/B (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _4856_/X _6077_/B2 (0.031::0.031) (0.031::0.031)) + (INTERCONNECT _4856_/X _6161_/B2 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _4856_/X _6248_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4856_/X _6432_/A2 (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _4856_/X _6573_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4856_/X _6722_/A2 (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _4856_/X _6827_/A2 (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _4857_/Y _4863_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4857_/Y _7129_/B1 (0.045::0.045) (0.044::0.044)) + (INTERCONNECT _4857_/Y _7422_/B1 (0.045::0.045) (0.044::0.044)) + (INTERCONNECT _4858_/Y _4862_/A1 (0.023::0.023) (0.023::0.023)) + (INTERCONNECT _4858_/Y _7305_/A1 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4858_/Y _7613_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4859_/X _4862_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4859_/X _5374_/B (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4859_/X _6395_/B2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4859_/X _6548_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4859_/X _6714_/A2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _4859_/X _6857_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _4860_/Y _4862_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4861_/X _4862_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4861_/X _6076_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4861_/X _6234_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4861_/X _6272_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4861_/X _6453_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4861_/X _6565_/B2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4861_/X _6684_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4861_/X _6821_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4862_/X _4863_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4863_/X _4864_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4864_/X _4935_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4865_/Y _4873_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4865_/Y _7290_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4865_/Y _7618_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4866_/X _4873_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4866_/X _5336_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4866_/X _6388_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4866_/X _6620_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4866_/X _6709_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4866_/X _6828_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4867_/Y _4873_/B1 (0.055::0.055) (0.053::0.053)) + (INTERCONNECT _4867_/Y ANTENNA_39/DIODE (0.055::0.055) (0.053::0.053)) + (INTERCONNECT _4868_/X _4873_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4868_/X _6595_/A2 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _4868_/X _6677_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4868_/X _6851_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4869_/Y _4872_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4870_/X _4872_/A2 (0.053::0.053) (0.051::0.051)) + (INTERCONNECT _4870_/X _6088_/B2 (0.047::0.047) (0.045::0.045)) + (INTERCONNECT _4870_/X _6155_/A2 (0.101::0.101) (0.094::0.094)) + (INTERCONNECT _4870_/X _6261_/B2 (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _4870_/X _6471_/B2 (0.050::0.050) (0.048::0.048)) + (INTERCONNECT _4870_/X _6619_/B2 (0.047::0.047) (0.045::0.045)) + (INTERCONNECT _4870_/X _6708_/A2 (0.099::0.099) (0.093::0.093)) + (INTERCONNECT _4870_/X _6815_/A2 (0.060::0.060) (0.057::0.057)) + (INTERCONNECT _4871_/Y _4872_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4872_/X _4873_/C1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4873_/X _4896_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4874_/Y _4881_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4875_/Y _4881_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4875_/Y _8959_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4875_/Y _8978_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4876_/X _4881_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4876_/X _5110_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4876_/X _6107_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4876_/X _6235_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4876_/X _6254_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4876_/X _6437_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4876_/X _6548_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4876_/X _6747_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4876_/X _6842_/B2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4877_/Y _4880_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4877_/Y _7120_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4877_/Y _7412_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4878_/X _4880_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4878_/X _5496_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4878_/X _6095_/B2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4878_/X _6156_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4878_/X _6278_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4878_/X _6426_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4878_/X _6579_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4878_/X _6728_/B2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4878_/X _6864_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4879_/Y _4880_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4880_/X _4881_/C1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4881_/X _4896_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4882_/Y _4886_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4882_/Y _7306_/A1 (0.035::0.035) (0.034::0.034)) + (INTERCONNECT _4882_/Y _7623_/A1 (0.049::0.049) (0.047::0.047)) + (INTERCONNECT _4883_/Y _4886_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4883_/Y _7293_/B1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4883_/Y _7622_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4884_/X _4886_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4884_/X _5259_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4884_/X _6345_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _4884_/X _6554_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4884_/X _6715_/B2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4884_/X _6846_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4885_/X _4886_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4886_/X _4896_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4887_/Y _4895_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4888_/Y _4895_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4888_/Y _7290_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4888_/Y _7621_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4889_/X _4895_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4889_/X _5298_/B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4889_/X _6401_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4889_/X _6579_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4889_/X _6734_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4889_/X _6851_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4890_/Y _4894_/A1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4890_/Y _7296_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4891_/X _4894_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4891_/X _5450_/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4891_/X _6425_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4891_/X _6559_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4891_/X _6721_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4891_/X _6841_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4892_/Y _4894_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4893_/X _4894_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4893_/X _6101_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4893_/X _6222_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4893_/X _6293_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4893_/X _6338_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4893_/X _6553_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4893_/X _6782_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4893_/X _6809_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4894_/X _4895_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4895_/X _4896_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4896_/X _4935_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4897_/Y _4905_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4897_/Y _7101_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4897_/Y _7411_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4898_/X _4905_/A2 (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _4898_/X _5344_/B (0.052::0.052) (0.049::0.049)) + (INTERCONNECT _4898_/X _6082_/A2 (0.046::0.046) (0.044::0.044)) + (INTERCONNECT _4898_/X _6197_/B2 (0.045::0.045) (0.043::0.043)) + (INTERCONNECT _4898_/X _6294_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4898_/X _6401_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _4898_/X _6608_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4898_/X _6740_/B2 (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _4898_/X _6870_/A2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _4899_/Y _4905_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4899_/Y _7288_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4899_/Y _7620_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4900_/X _4905_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4900_/X _5404_/B (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4900_/X _6350_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4900_/X _6560_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4900_/X _6728_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4900_/X _6875_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4901_/Y _4904_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4902_/Y _4904_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4902_/Y _7295_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4903_/X _4904_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4903_/X _5412_/B (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _4903_/X _6419_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4903_/X _6578_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4903_/X _6660_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4903_/X _6827_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4904_/X _4905_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4905_/X _4934_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4906_/Y _4913_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4907_/X _4913_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4907_/X _6094_/B2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4907_/X _6209_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4907_/X _6267_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4907_/X _6389_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4907_/X _6572_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _4907_/X _6771_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4907_/X _6798_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4908_/Y _4913_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4909_/Y _4912_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4910_/Y _4912_/B1 (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _4910_/Y _7100_/B1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4910_/Y _7469_/B1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4910_/Y ANTENNA_40/DIODE (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _4911_/X _4912_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4911_/X _5278_/B (0.039::0.039) (0.038::0.038)) + (INTERCONNECT _4911_/X _6082_/B2 (0.037::0.037) (0.036::0.036)) + (INTERCONNECT _4911_/X _6173_/A2 (0.037::0.037) (0.036::0.036)) + (INTERCONNECT _4911_/X _6272_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4911_/X _6350_/B2 (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _4911_/X _6626_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4911_/X _6740_/A2 (0.035::0.035) (0.034::0.034)) + (INTERCONNECT _4911_/X _6836_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4911_/X ANTENNA_152/DIODE (0.039::0.039) (0.038::0.038)) + (INTERCONNECT _4911_/X ANTENNA_192/DIODE (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _4912_/X _4913_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4913_/X _4934_/B (0.023::0.023) (0.023::0.023)) + (INTERCONNECT _4913_/X ANTENNA_41/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT _4914_/Y _4923_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4914_/Y _7089_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4914_/Y _7448_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4915_/X _4923_/A2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4915_/X _5420_/B (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _4915_/X _6076_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4915_/X _6229_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4915_/X _6255_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4915_/X _6358_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4915_/X _6613_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4915_/X _6715_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _4915_/X _6857_/A2 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _4916_/Y _4923_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4916_/Y _7089_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4916_/Y _7459_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _4917_/X _4923_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4917_/X _5382_/B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _4917_/X _6118_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4917_/X _6161_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _4917_/X _6279_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _4917_/X _6420_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4917_/X _6572_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4917_/X _6714_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _4917_/X _6858_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _4918_/Y _4922_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4918_/Y _7307_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4918_/Y _7617_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4919_/X _4922_/A2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4919_/X _5480_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4919_/X _6406_/A2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4919_/X _6602_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4919_/X _6702_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4919_/X _6876_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4920_/Y _4922_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4920_/Y _7303_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4920_/Y _7610_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4921_/X _4922_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4921_/X _5518_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4921_/X _6425_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4921_/X _6560_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4921_/X _6722_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4921_/X _6803_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4922_/X _4923_/C1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4923_/X _4934_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4924_/Y _4933_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4924_/Y _7294_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4924_/Y _7609_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4925_/X _4933_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4925_/X _5366_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4925_/X _6400_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4925_/X _6590_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4925_/X _6734_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4925_/X _6870_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4926_/Y _4933_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4926_/Y _7100_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4926_/Y _7437_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4927_/X _4933_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _4927_/X _5306_/B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _4927_/X _6112_/B2 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _4927_/X _6173_/B2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4927_/X _6242_/A2 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _4927_/X _6333_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _4927_/X _6590_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _4927_/X _6765_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4927_/X _6858_/B2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _4927_/X ANTENNA_42/DIODE (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _4928_/Y _4932_/A1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _4928_/Y _7130_/A1 (0.022::0.022) (0.022::0.022)) + (INTERCONNECT _4928_/Y _7448_/B1 (0.022::0.022) (0.022::0.022)) + (INTERCONNECT _4929_/X _4932_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4929_/X _5458_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4929_/X _6095_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _4929_/X _6167_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _4929_/X _6273_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _4929_/X _6333_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4929_/X _6607_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4929_/X _6771_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4929_/X _6876_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _4930_/Y _4932_/B1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4930_/Y _7293_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4930_/Y _7614_/B1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _4931_/X _4932_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4931_/X _5328_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4931_/X _6351_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4931_/X _6608_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4931_/X _6781_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4931_/X _6852_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4932_/X _4933_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4933_/X _4934_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4934_/X _4935_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4935_/X _4936_/C (0.023::0.023) (0.023::0.023)) + (INTERCONNECT _4936_/Y _4937_/A3 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _4936_/Y _6948_/A1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _4936_/Y _6960_/A1 (0.026::0.026) (0.026::0.026)) + (INTERCONNECT _4936_/Y _6972_/A1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _4936_/Y _6984_/A1 (0.022::0.022) (0.022::0.022)) + (INTERCONNECT _4937_/X _9711_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4938_/X _4939_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4939_/X _9710_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4940_/Y _4943_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4940_/Y _4960_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4940_/Y _6022_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4941_/Y _4943_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4941_/Y _6022_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4942_/Y _4943_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4942_/Y _4950_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4942_/Y _4958_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4942_/Y _4960_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4942_/Y _5005_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4942_/Y _5985_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4942_/Y _5989_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4942_/Y _6022_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4943_/X _4944_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4944_/X _4945_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4944_/X _4954_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4944_/X _4990_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4944_/X _5215_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4944_/X _5982_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4944_/X _7004_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4944_/X _7007_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4944_/X _7008_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4945_/Y _4946_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4945_/Y _5993_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4945_/Y _7006_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4945_/Y _7009_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4946_/X _9710_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4947_/X _4948_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4948_/X _9709_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4949_/Y _4955_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4949_/Y _6782_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _4950_/X _4951_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4950_/X _5131_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4950_/X _7003_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4951_/Y _4955_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4951_/Y _5131_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4952_/Y _4955_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4952_/Y _7007_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4953_/Y _4954_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4953_/Y _6990_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4953_/Y _7007_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4954_/X _4955_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4955_/Y _9709_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4956_/X _4957_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4957_/X _9708_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4958_/Y _4961_/A4 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4958_/Y _7003_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4959_/Y _4960_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4959_/Y _4990_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4959_/Y _5005_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4959_/Y _5011_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4959_/Y _5994_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4959_/Y _6022_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4959_/Y _7004_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4959_/Y _8957_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4960_/X _4961_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4961_/X _9708_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4962_/X _4963_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4963_/X _9707_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4964_/X _4965_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4965_/X _4966_/A (0.064::0.064) (0.060::0.060)) + (INTERCONNECT _4965_/X _4967_/A2 (0.063::0.063) (0.059::0.059)) + (INTERCONNECT _4965_/X _4970_/A2 (0.063::0.063) (0.059::0.059)) + (INTERCONNECT _4965_/X _4973_/A2 (0.063::0.063) (0.059::0.059)) + (INTERCONNECT _4965_/X _4976_/A2 (0.063::0.063) (0.059::0.059)) + (INTERCONNECT _4965_/X _4979_/A2 (0.063::0.063) (0.059::0.059)) + (INTERCONNECT _4965_/X _4982_/A2 (0.064::0.064) (0.060::0.060)) + (INTERCONNECT _4965_/X _4985_/A2 (0.064::0.064) (0.060::0.060)) + (INTERCONNECT _4965_/X _4990_/A3 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4966_/Y _4967_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4966_/Y _4970_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4966_/Y _4973_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4966_/Y _4976_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4966_/Y _4979_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4966_/Y _4982_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4966_/Y _4985_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4967_/X _9707_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4968_/X _4969_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4969_/X _9706_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4970_/X _9706_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4971_/X _4972_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4972_/X _9705_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4973_/X _9705_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4974_/X _4975_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4975_/X _9704_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4976_/X _9704_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4977_/X _4978_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4978_/X _9703_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4979_/X _9703_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4980_/X _4981_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4981_/X _9702_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4982_/X _9702_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4983_/X _4984_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4984_/X _9701_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4985_/X _9701_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4986_/X _4987_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4987_/X _9700_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4988_/Y _4990_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4988_/Y _5992_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4989_/X _4990_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4990_/X _4991_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4991_/X _4992_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4991_/X _4996_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4991_/X _5001_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _4992_/X _4993_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4993_/X _9700_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4994_/X _4995_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4995_/X _9699_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4996_/X _4997_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4997_/X _9699_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _4998_/X _4999_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4998_/X _5003_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4998_/X _5009_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4998_/X _5032_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4998_/X _5129_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4998_/X _5189_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4998_/X _5212_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4998_/X _5979_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4998_/X _5983_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _4998_/X _5987_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4998_/X _5990_/A (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4998_/X _5997_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _4998_/X _6000_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _4998_/X _6003_/A (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _4998_/X _6006_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _4998_/X _6009_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4998_/X _6012_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _4998_/X _6015_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _4998_/X _6020_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _4998_/X _6040_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _4999_/X _5000_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5000_/X _9698_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5001_/X _5002_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5002_/X _9698_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5003_/X _5004_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5004_/X _9697_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5005_/X _5006_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5006_/X _5007_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5006_/X _8957_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5007_/X _5008_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5008_/X _9697_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5009_/X _5010_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5010_/X _9696_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5011_/X _5012_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5012_/X _9696_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5013_/X _5014_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5014_/X _5015_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5014_/X _5016_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5014_/X _5017_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5014_/X _5018_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5014_/X _5019_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5014_/X _5020_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5014_/X _5021_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5014_/X _5022_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5014_/X _5023_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5015_/Y _5016_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5015_/Y _5017_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5015_/Y _5018_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5015_/Y _5019_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5015_/Y _5020_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5015_/Y _5021_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5015_/Y _5022_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5015_/Y _5023_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5016_/X _9695_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5017_/X _9694_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5018_/X _9693_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5019_/X _9692_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5020_/X _9691_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5021_/X _9690_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5022_/X _9689_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5023_/X _9688_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5024_/X _5025_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5025_/X _5026_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5025_/X _5027_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5025_/X _5028_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5025_/X _5029_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5025_/X _5030_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5025_/X _5031_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5026_/Y _5027_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5026_/Y _5028_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5026_/Y _5029_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5026_/Y _5030_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5026_/Y _5031_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5027_/X _9687_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5028_/X _9686_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5029_/X _9685_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5030_/X _9684_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5031_/X _9683_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5032_/X _5033_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5033_/X _9682_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5034_/Y _5037_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5035_/X _5036_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5035_/X _5981_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5036_/Y _5037_/A3 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5036_/Y _5191_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5036_/Y _5214_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5036_/Y _5216_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5036_/Y _5982_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5036_/Y _5985_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5036_/Y _5989_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5037_/X _9682_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5038_/X _9681_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5039_/X _9680_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5040_/X _9679_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5041_/X _9678_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5042_/X _9677_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5043_/X _9676_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5044_/X _9675_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5045_/X _5046_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5046_/X _5047_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5046_/X _5048_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5046_/X _5049_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5046_/X _5050_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5046_/X _5051_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5046_/X _5052_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5046_/X _5053_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5046_/X _5054_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5046_/X _5055_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5047_/Y _5048_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5047_/Y _5049_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5047_/Y _5050_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5047_/Y _5051_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5047_/Y _5052_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5047_/Y _5053_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5047_/Y _5054_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5047_/Y _5055_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5048_/X _9674_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5049_/X _9673_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5050_/X _9672_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5051_/X _9671_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5052_/X _9670_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5053_/X _9669_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5054_/X _9668_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5055_/X _9667_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5056_/Y _5062_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5057_/Y _5062_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5058_/X _5061_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5058_/X _7735_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5059_/X _5060_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5060_/X _5061_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5060_/X _8960_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5061_/Y _5062_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5062_/X _5063_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5063_/X _5064_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5063_/X _5066_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5063_/X _5068_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5063_/X _5070_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5063_/X _5072_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5063_/X _5074_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5063_/X _5076_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5063_/X _5078_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5064_/X _5065_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5065_/X _9666_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5066_/X _5067_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5067_/X _9665_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5068_/X _5069_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5069_/X _9664_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5070_/X _5071_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5071_/X _9663_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5072_/X _5073_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5073_/X _9662_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5074_/X _5075_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5075_/X _9661_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5076_/X _5077_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5077_/X _9660_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5078_/X _5079_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5079_/X _9659_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5080_/X _5081_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5081_/X _5082_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5081_/X _5083_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5081_/X _5084_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5081_/X _5085_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5081_/X _5086_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5081_/X _5087_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5082_/Y _5083_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5082_/Y _5084_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5082_/Y _5085_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5082_/Y _5086_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5082_/Y _5087_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5083_/X _9658_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5084_/X _9657_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5085_/X _9656_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5086_/X _9655_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5087_/X _9654_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5088_/X _5089_/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _5088_/X _5110_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _5088_/X _5167_/A (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _5088_/X _5240_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _5088_/X _5267_/A (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _5088_/X _5278_/A (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _5088_/X _5306_/A (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _5088_/X _5317_/A (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _5088_/X _5344_/A (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _5088_/X _5355_/A (0.038::0.038) (0.035::0.035)) + (INTERCONNECT _5088_/X _5382_/A (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _5088_/X _5393_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _5088_/X _5420_/A (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _5088_/X _5431_/A (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _5088_/X _5458_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _5088_/X _5469_/A (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _5088_/X _5496_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _5088_/X _5507_/A (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _5088_/X _5534_/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _5088_/X _5545_/A (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _5089_/X _5090_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5090_/X _5091_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5090_/X _5092_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5090_/X _5093_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5090_/X _5094_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5090_/X _5095_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5090_/X _5096_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5090_/X _5097_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5090_/X _5098_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5090_/X _5099_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5091_/Y _5092_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5091_/Y _5093_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5091_/Y _5094_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5091_/Y _5095_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5091_/Y _5096_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5091_/Y _5097_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5091_/Y _5098_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5091_/Y _5099_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5092_/X _9653_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5093_/X _9652_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5094_/X _9651_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5095_/X _9650_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5096_/X _9649_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5097_/X _9648_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5098_/X _9647_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5099_/X _9646_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5100_/X _5101_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5101_/X _5102_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5101_/X _5103_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5101_/X _5104_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5101_/X _5105_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5101_/X _5106_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5101_/X _5107_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5102_/Y _5103_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5102_/Y _5104_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5102_/Y _5105_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5102_/Y _5106_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5102_/Y _5107_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5103_/X _9645_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5104_/X _9644_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5105_/X _9643_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5106_/X _9642_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5107_/X _9641_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5108_/X _5109_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5108_/X _5188_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5109_/X _9640_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5110_/X _5111_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5111_/X _5112_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5111_/X _5113_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5111_/X _5114_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5111_/X _5115_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5111_/X _5116_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5111_/X _5117_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5111_/X _5118_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5111_/X _5119_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5111_/X _5120_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5112_/Y _5113_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5112_/Y _5114_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5112_/Y _5115_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5112_/Y _5116_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5112_/Y _5117_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5112_/Y _5118_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5112_/Y _5119_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5112_/Y _5120_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5113_/X _9639_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5114_/X _9638_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5115_/X _9637_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5116_/X _9636_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5117_/X _9635_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5118_/X _9634_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5119_/X _9633_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5120_/X _9632_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5121_/X _5122_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5122_/X _5123_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5122_/X _5124_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5122_/X _5125_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5122_/X _5126_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5122_/X _5127_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5122_/X _5128_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5123_/Y _5124_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5123_/Y _5125_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5123_/Y _5126_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5123_/Y _5127_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5123_/Y _5128_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5124_/X _9631_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5125_/X _9630_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5126_/X _9629_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5127_/X _9628_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5128_/X _9627_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5129_/X _5130_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5130_/X _9626_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5131_/X _9626_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5132_/Y _5133_/B (0.044::0.044) (0.042::0.042)) + (INTERCONNECT _5132_/Y _6985_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5132_/Y _8825_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5132_/Y _8832_/S (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _5132_/Y _8956_/S (0.050::0.050) (0.048::0.048)) + (INTERCONNECT _5132_/Y _8976_/S (0.053::0.053) (0.050::0.050)) + (INTERCONNECT _5132_/Y _8977_/S (0.053::0.053) (0.050::0.050)) + (INTERCONNECT _5133_/X _5134_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5133_/X _5145_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _5133_/X _5156_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _5134_/X _5135_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5135_/X _5136_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _5135_/X _5137_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5135_/X _5138_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _5135_/X _5139_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5135_/X _5140_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5135_/X _5141_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _5135_/X _5142_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _5135_/X _5143_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _5135_/X _5144_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5136_/Y _5137_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5136_/Y _5138_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5136_/Y _5139_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5136_/Y _5140_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5136_/Y _5141_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5136_/Y _5142_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5136_/Y _5143_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5136_/Y _5144_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5137_/X _9625_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5138_/X _9624_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5139_/X _9623_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5140_/X _9622_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5141_/X _9621_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5142_/X _9620_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5143_/X _9619_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5144_/X _9618_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5145_/X _5146_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5146_/X _5147_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5146_/X _5148_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5146_/X _5149_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5146_/X _5150_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5146_/X _5151_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5146_/X _5152_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5146_/X _5153_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5146_/X _5154_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5146_/X _5155_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5147_/Y _5148_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5147_/Y _5149_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5147_/Y _5150_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5147_/Y _5151_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5147_/Y _5152_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5147_/Y _5153_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5147_/Y _5154_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5147_/Y _5155_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5148_/X _9617_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5149_/X _9616_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5150_/X _9615_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5151_/X _9614_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5152_/X _9613_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5153_/X _9612_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5154_/X _9611_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5155_/X _9610_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5156_/X _5157_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5157_/X _5158_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5157_/X _5159_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5157_/X _5160_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5157_/X _5161_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5157_/X _5162_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5157_/X _5163_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5157_/X _5164_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5157_/X _5165_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5157_/X _5166_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5158_/Y _5159_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5158_/Y _5160_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5158_/Y _5161_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5158_/Y _5162_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5158_/Y _5163_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5158_/Y _5164_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5158_/Y _5165_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5158_/Y _5166_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5159_/X _9609_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5160_/X _9608_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5161_/X _9607_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5162_/X _9606_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5163_/X _9605_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5164_/X _9604_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5165_/X _9603_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5166_/X _9602_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5167_/X _5168_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5168_/X _5169_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5168_/X _5170_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5168_/X _5171_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5168_/X _5172_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5168_/X _5173_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5168_/X _5174_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5168_/X _5175_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5168_/X _5176_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5168_/X _5177_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5169_/Y _5170_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5169_/Y _5171_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5169_/Y _5172_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5169_/Y _5173_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5169_/Y _5174_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5169_/Y _5175_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5169_/Y _5176_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5169_/Y _5177_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5170_/X _9601_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5171_/X _9600_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5172_/X _9599_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5173_/X _9598_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5174_/X _9597_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5175_/X _9596_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5176_/X _9595_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5177_/X _9594_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5178_/X _5179_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5179_/X _5180_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5179_/X _5181_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5179_/X _5182_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5179_/X _5183_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5179_/X _5184_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5179_/X _5185_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5179_/X _5186_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5180_/Y _5181_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5180_/Y _5182_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5180_/Y _5183_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5180_/Y _5184_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5180_/Y _5185_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5180_/Y _5186_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5181_/X _9593_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5182_/X _9592_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5183_/X _9591_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5184_/X _9590_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5185_/X _9589_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5186_/X _9588_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5187_/Y _5188_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5187_/Y _5939_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5188_/X _9587_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5189_/X _5190_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5190_/X _9586_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5191_/X _9586_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5192_/X _5193_/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _5192_/X _5194_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _5192_/X _5195_/B2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _5192_/X _5196_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _5192_/X _5197_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _5192_/X _5198_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _5192_/X _5199_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _5192_/X _5200_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _5192_/X _5201_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _5193_/Y _5194_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5193_/Y _5195_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5193_/Y _5196_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5193_/Y _5197_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5193_/Y _5198_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5193_/Y _5199_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5193_/Y _5200_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5193_/Y _5201_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5194_/X _9585_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5195_/X _9584_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5196_/X _9583_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5197_/X _9582_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5198_/X _9581_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5199_/X _9580_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5200_/X _9579_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5201_/X _9578_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5202_/X _5203_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5202_/X _5204_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5202_/X _5205_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5202_/X _5206_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5202_/X _5207_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5202_/X _5208_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5202_/X _5209_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5202_/X _5210_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5202_/X _5211_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5203_/Y _5204_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5203_/Y _5205_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5203_/Y _5206_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5203_/Y _5207_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5203_/Y _5208_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5203_/Y _5209_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5203_/Y _5210_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5203_/Y _5211_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5204_/X _9577_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5205_/X _9576_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5206_/X _9575_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5207_/X _9574_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5208_/X _9573_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5209_/X _9572_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5210_/X _9571_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5211_/X _9570_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5212_/X _5213_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5213_/X _9569_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5214_/Y _5216_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5215_/Y _5216_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5216_/X _9569_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5217_/X _5218_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5217_/X _5219_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5217_/X _5220_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _5217_/X _5221_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _5217_/X _5222_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5217_/X _5223_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _5217_/X _5224_/B2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _5217_/X _5225_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _5217_/X _5226_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _5218_/Y _5219_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5218_/Y _5220_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5218_/Y _5221_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5218_/Y _5222_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5218_/Y _5223_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5218_/Y _5224_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5218_/Y _5225_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5218_/Y _5226_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5219_/X _9568_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5220_/X _9567_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5221_/X _9566_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5222_/X _9565_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5223_/X _9564_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5224_/X _9563_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5225_/X _9562_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5226_/X _9561_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5227_/X _5228_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5227_/X _5230_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5228_/X _5229_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5229_/X _9560_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5230_/X _5231_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5231_/X _9559_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5232_/X _5233_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5233_/X _5234_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5233_/X _5235_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5233_/X _5236_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5233_/X _5237_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5233_/X _5238_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5233_/X _5239_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5234_/Y _5235_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5234_/Y _5236_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5234_/Y _5237_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5234_/Y _5238_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5234_/Y _5239_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5235_/X _9558_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5236_/X _9557_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5237_/X _9556_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5238_/X _9555_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5239_/X _9554_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5240_/X _5241_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5241_/X _5242_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5241_/X _5243_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5241_/X _5244_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5241_/X _5245_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5241_/X _5246_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5241_/X _5247_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5241_/X _5248_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5241_/X _5249_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5241_/X _5250_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5242_/Y _5243_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5242_/Y _5244_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5242_/Y _5245_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5242_/Y _5246_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5242_/Y _5247_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5242_/Y _5248_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5242_/Y _5249_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5242_/Y _5250_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5243_/X _9553_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5244_/X _9552_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5245_/X _9551_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5246_/X _9550_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5247_/X _9549_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5248_/X _9548_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5249_/X _9547_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5250_/X _9546_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5251_/X _5252_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5252_/X _5253_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5252_/X _5254_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5252_/X _5255_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5252_/X _5256_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5252_/X _5257_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5252_/X _5258_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5253_/Y _5254_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5253_/Y _5255_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5253_/Y _5256_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5253_/Y _5257_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5253_/Y _5258_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5254_/X _9545_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5255_/X _9544_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5256_/X _9543_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5257_/X _9542_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5258_/X _9541_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5259_/X _5260_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5260_/X _5261_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5260_/X _5262_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5260_/X _5263_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5260_/X _5264_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5260_/X _5265_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5260_/X _5266_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5261_/Y _5262_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5261_/Y _5263_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5261_/Y _5264_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5261_/Y _5265_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5261_/Y _5266_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5262_/X _9540_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5263_/X _9539_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5264_/X _9538_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5265_/X _9537_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5266_/X _9536_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5267_/X _5268_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5268_/X _5269_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5268_/X _5270_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5268_/X _5271_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5268_/X _5272_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5268_/X _5273_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5268_/X _5274_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5268_/X _5275_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5268_/X _5276_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5268_/X _5277_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5269_/Y _5270_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5269_/Y _5271_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5269_/Y _5272_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5269_/Y _5273_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5269_/Y _5274_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5269_/Y _5275_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5269_/Y _5276_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5269_/Y _5277_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5270_/X _9535_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5271_/X _9534_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5272_/X _9533_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5273_/X _9532_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5274_/X _9531_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5275_/X _9530_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5276_/X _9529_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5277_/X _9528_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5278_/X _5279_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5279_/X _5280_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5279_/X _5281_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5279_/X _5282_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5279_/X _5283_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5279_/X _5284_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5279_/X _5285_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5279_/X _5286_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5279_/X _5287_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5279_/X _5288_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5280_/Y _5281_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5280_/Y _5282_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5280_/Y _5283_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5280_/Y _5284_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5280_/Y _5285_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5280_/Y _5286_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5280_/Y _5287_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5280_/Y _5288_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5281_/X _9527_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5282_/X _9526_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5283_/X _9525_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5284_/X _9524_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5285_/X _9523_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5286_/X _9522_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5287_/X _9521_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5288_/X _9520_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5289_/X _5290_/A (0.041::0.041) (0.039::0.039)) + (INTERCONNECT _5289_/X _5298_/A (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _5289_/X _5328_/A (0.041::0.041) (0.039::0.039)) + (INTERCONNECT _5289_/X _5336_/A (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _5289_/X _5366_/A (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _5289_/X _5374_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5289_/X _5404_/A (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _5289_/X _5412_/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _5289_/X _5442_/A (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _5289_/X _5450_/A (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _5289_/X _5480_/A (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _5289_/X _5488_/A (0.039::0.039) (0.037::0.037)) + (INTERCONNECT _5289_/X _5518_/A (0.039::0.039) (0.037::0.037)) + (INTERCONNECT _5289_/X _5526_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5289_/X _5556_/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _5289_/X _5564_/A (0.043::0.043) (0.040::0.040)) + (INTERCONNECT _5289_/X _5594_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _5289_/X _5602_/A (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _5289_/X _5632_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5289_/X _5671_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _5290_/X _5291_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5291_/X _5292_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5291_/X _5293_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5291_/X _5294_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5291_/X _5295_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5291_/X _5296_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5291_/X _5297_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5292_/Y _5293_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5292_/Y _5294_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5292_/Y _5295_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5292_/Y _5296_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5292_/Y _5297_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5293_/X _9519_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5294_/X _9518_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5295_/X _9517_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5296_/X _9516_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5297_/X _9515_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5298_/X _5299_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5299_/X _5300_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5299_/X _5301_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5299_/X _5302_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5299_/X _5303_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5299_/X _5304_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5299_/X _5305_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5300_/Y _5301_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5300_/Y _5302_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5300_/Y _5303_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5300_/Y _5304_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5300_/Y _5305_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5301_/X _9514_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5302_/X _9513_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5303_/X _9512_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5304_/X _9511_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5305_/X _9510_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5306_/X _5307_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5307_/X _5308_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5307_/X _5309_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5307_/X _5310_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5307_/X _5311_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5307_/X _5312_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5307_/X _5313_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5307_/X _5314_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5307_/X _5315_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5307_/X _5316_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5308_/Y _5309_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5308_/Y _5310_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5308_/Y _5311_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5308_/Y _5312_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5308_/Y _5313_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5308_/Y _5314_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5308_/Y _5315_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5308_/Y _5316_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5309_/X _9509_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5310_/X _9508_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5311_/X _9507_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5312_/X _9506_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5313_/X _9505_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5314_/X _9504_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5315_/X _9503_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5316_/X _9502_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5317_/X _5318_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5318_/X _5319_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5318_/X _5320_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5318_/X _5321_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5318_/X _5322_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5318_/X _5323_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5318_/X _5324_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5318_/X _5325_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5318_/X _5326_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5318_/X _5327_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5319_/Y _5320_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5319_/Y _5321_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5319_/Y _5322_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5319_/Y _5323_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5319_/Y _5324_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5319_/Y _5325_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5319_/Y _5326_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5319_/Y _5327_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5320_/X _9501_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5321_/X _9500_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5322_/X _9499_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5323_/X _9498_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5324_/X _9497_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5325_/X _9496_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5326_/X _9495_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5327_/X _9494_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5328_/X _5329_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5329_/X _5330_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5329_/X _5331_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5329_/X _5332_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5329_/X _5333_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5329_/X _5334_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5329_/X _5335_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5330_/Y _5331_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5330_/Y _5332_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5330_/Y _5333_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5330_/Y _5334_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5330_/Y _5335_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5331_/X _9493_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5332_/X _9492_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5333_/X _9491_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5334_/X _9490_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5335_/X _9489_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5336_/X _5337_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5337_/X _5338_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5337_/X _5339_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5337_/X _5340_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5337_/X _5341_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5337_/X _5342_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5337_/X _5343_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5338_/Y _5339_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5338_/Y _5340_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5338_/Y _5341_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5338_/Y _5342_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5338_/Y _5343_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5339_/X _9488_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5340_/X _9487_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5341_/X _9486_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5342_/X _9485_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5343_/X _9484_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5344_/X _5345_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5345_/X _5346_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5345_/X _5347_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5345_/X _5348_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5345_/X _5349_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5345_/X _5350_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5345_/X _5351_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5345_/X _5352_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5345_/X _5353_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5345_/X _5354_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5346_/Y _5347_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5346_/Y _5348_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5346_/Y _5349_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5346_/Y _5350_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5346_/Y _5351_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5346_/Y _5352_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5346_/Y _5353_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5346_/Y _5354_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5347_/X _9483_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5348_/X _9482_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5349_/X _9481_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5350_/X _9480_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5351_/X _9479_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5352_/X _9478_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5353_/X _9477_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5354_/X _9476_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5355_/X _5356_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5356_/X _5357_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5356_/X _5358_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5356_/X _5359_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5356_/X _5360_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5356_/X _5361_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5356_/X _5362_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5356_/X _5363_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5356_/X _5364_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5356_/X _5365_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5357_/Y _5358_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5357_/Y _5359_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5357_/Y _5360_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5357_/Y _5361_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5357_/Y _5362_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5357_/Y _5363_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5357_/Y _5364_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5357_/Y _5365_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5358_/X _9475_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5359_/X _9474_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5360_/X _9473_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5361_/X _9472_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5362_/X _9471_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5363_/X _9470_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5364_/X _9469_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5365_/X _9468_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5366_/X _5367_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5367_/X _5368_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5367_/X _5369_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5367_/X _5370_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5367_/X _5371_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5367_/X _5372_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5367_/X _5373_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5368_/Y _5369_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5368_/Y _5370_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5368_/Y _5371_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5368_/Y _5372_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5368_/Y _5373_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5369_/X _9467_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5370_/X _9466_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5371_/X _9465_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5372_/X _9464_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5373_/X _9463_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5374_/X _5375_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5375_/X _5376_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5375_/X _5377_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5375_/X _5378_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5375_/X _5379_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5375_/X _5380_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5375_/X _5381_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5376_/Y _5377_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5376_/Y _5378_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5376_/Y _5379_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5376_/Y _5380_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5376_/Y _5381_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5377_/X _9462_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5378_/X _9461_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5379_/X _9460_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5380_/X _9459_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5381_/X _9458_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5382_/X _5383_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5383_/X _5384_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5383_/X _5385_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5383_/X _5386_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5383_/X _5387_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5383_/X _5388_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5383_/X _5389_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5383_/X _5390_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5383_/X _5391_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5383_/X _5392_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5384_/Y _5385_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5384_/Y _5386_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5384_/Y _5387_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5384_/Y _5388_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5384_/Y _5389_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5384_/Y _5390_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5384_/Y _5391_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5384_/Y _5392_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5385_/X _9457_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5386_/X _9456_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5387_/X _9455_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5388_/X _9454_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5389_/X _9453_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5390_/X _9452_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5391_/X _9451_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5392_/X _9450_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5393_/X _5394_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5394_/X _5395_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5394_/X _5396_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5394_/X _5397_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5394_/X _5398_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5394_/X _5399_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5394_/X _5400_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5394_/X _5401_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5394_/X _5402_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5394_/X _5403_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5395_/Y _5396_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5395_/Y _5397_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5395_/Y _5398_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5395_/Y _5399_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5395_/Y _5400_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5395_/Y _5401_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5395_/Y _5402_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5395_/Y _5403_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5396_/X _9449_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5397_/X _9448_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5398_/X _9447_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5399_/X _9446_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5400_/X _9445_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5401_/X _9444_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5402_/X _9443_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5403_/X _9442_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5404_/X _5405_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5405_/X _5406_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5405_/X _5407_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5405_/X _5408_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5405_/X _5409_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5405_/X _5410_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5405_/X _5411_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5406_/Y _5407_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5406_/Y _5408_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5406_/Y _5409_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5406_/Y _5410_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5406_/Y _5411_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5407_/X _9441_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5408_/X _9440_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5409_/X _9439_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5410_/X _9438_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5411_/X _9437_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5412_/X _5413_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5413_/X _5414_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5413_/X _5415_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5413_/X _5416_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5413_/X _5417_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5413_/X _5418_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5413_/X _5419_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5414_/Y _5415_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5414_/Y _5416_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5414_/Y _5417_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5414_/Y _5418_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5414_/Y _5419_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5415_/X _9436_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5416_/X _9435_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5417_/X _9434_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5418_/X _9433_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5419_/X _9432_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5420_/X _5421_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5421_/X _5422_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5421_/X _5423_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5421_/X _5424_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5421_/X _5425_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5421_/X _5426_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5421_/X _5427_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5421_/X _5428_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5421_/X _5429_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5421_/X _5430_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5422_/Y _5423_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5422_/Y _5424_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5422_/Y _5425_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5422_/Y _5426_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5422_/Y _5427_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5422_/Y _5428_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5422_/Y _5429_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5422_/Y _5430_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5423_/X _9431_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5424_/X _9430_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5425_/X _9429_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5426_/X _9428_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5427_/X _9427_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5428_/X _9426_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5429_/X _9425_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5430_/X _9424_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5431_/X _5432_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5432_/X _5433_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5432_/X _5434_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5432_/X _5435_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5432_/X _5436_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5432_/X _5437_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5432_/X _5438_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5432_/X _5439_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5432_/X _5440_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5432_/X _5441_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5433_/Y _5434_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5433_/Y _5435_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5433_/Y _5436_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5433_/Y _5437_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5433_/Y _5438_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5433_/Y _5439_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5433_/Y _5440_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5433_/Y _5441_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5434_/X _9423_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5435_/X _9422_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5436_/X _9421_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5437_/X _9420_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5438_/X _9419_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5439_/X _9418_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5440_/X _9417_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5441_/X _9416_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5442_/X _5443_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5443_/X _5444_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5443_/X _5445_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5443_/X _5446_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5443_/X _5447_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5443_/X _5448_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5443_/X _5449_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5444_/Y _5445_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5444_/Y _5446_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5444_/Y _5447_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5444_/Y _5448_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5444_/Y _5449_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5445_/X _9415_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5446_/X _9414_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5447_/X _9413_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5448_/X _9412_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5449_/X _9411_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5450_/X _5451_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5451_/X _5452_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5451_/X _5453_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5451_/X _5454_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5451_/X _5455_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5451_/X _5456_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5451_/X _5457_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5452_/Y _5453_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5452_/Y _5454_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5452_/Y _5455_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5452_/Y _5456_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5452_/Y _5457_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5453_/X _9410_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5454_/X _9409_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5455_/X _9408_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5456_/X _9407_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5457_/X _9406_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5458_/X _5459_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5459_/X _5460_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5459_/X _5461_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5459_/X _5462_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5459_/X _5463_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5459_/X _5464_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5459_/X _5465_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5459_/X _5466_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5459_/X _5467_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5459_/X _5468_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5460_/Y _5461_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5460_/Y _5462_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5460_/Y _5463_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5460_/Y _5464_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5460_/Y _5465_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5460_/Y _5466_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5460_/Y _5467_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5460_/Y _5468_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5461_/X _9405_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5462_/X _9404_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5463_/X _9403_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5464_/X _9402_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5465_/X _9401_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5466_/X _9400_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5467_/X _9399_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5468_/X _9398_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5469_/X _5470_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5470_/X _5471_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5470_/X _5472_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5470_/X _5473_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5470_/X _5474_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5470_/X _5475_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5470_/X _5476_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5470_/X _5477_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5470_/X _5478_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5470_/X _5479_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5471_/Y _5472_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5471_/Y _5473_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5471_/Y _5474_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5471_/Y _5475_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5471_/Y _5476_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5471_/Y _5477_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5471_/Y _5478_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5471_/Y _5479_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5472_/X _9397_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5473_/X _9396_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5474_/X _9395_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5475_/X _9394_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5476_/X _9393_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5477_/X _9392_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5478_/X _9391_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5479_/X _9390_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5480_/X _5481_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5481_/X _5482_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5481_/X _5483_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5481_/X _5484_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5481_/X _5485_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5481_/X _5486_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5481_/X _5487_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5482_/Y _5483_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5482_/Y _5484_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5482_/Y _5485_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5482_/Y _5486_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5482_/Y _5487_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5483_/X _9389_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5484_/X _9388_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5485_/X _9387_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5486_/X _9386_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5487_/X _9385_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5488_/X _5489_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5489_/X _5490_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5489_/X _5491_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5489_/X _5492_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5489_/X _5493_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5489_/X _5494_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5489_/X _5495_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5490_/Y _5491_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5490_/Y _5492_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5490_/Y _5493_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5490_/Y _5494_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5490_/Y _5495_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5491_/X _9384_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5492_/X _9383_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5493_/X _9382_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5494_/X _9381_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5495_/X _9380_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5496_/X _5497_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5497_/X _5498_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5497_/X _5499_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5497_/X _5500_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5497_/X _5501_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5497_/X _5502_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5497_/X _5503_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5497_/X _5504_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5497_/X _5505_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5497_/X _5506_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5498_/Y _5499_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5498_/Y _5500_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5498_/Y _5501_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5498_/Y _5502_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5498_/Y _5503_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5498_/Y _5504_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5498_/Y _5505_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5498_/Y _5506_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5499_/X _9379_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5500_/X _9378_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5501_/X _9377_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5502_/X _9376_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5503_/X _9375_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5504_/X _9374_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5505_/X _9373_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5506_/X _9372_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5507_/X _5508_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5508_/X _5509_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5508_/X _5510_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5508_/X _5511_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5508_/X _5512_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5508_/X _5513_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5508_/X _5514_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5508_/X _5515_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5508_/X _5516_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5508_/X _5517_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5509_/Y _5510_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5509_/Y _5511_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5509_/Y _5512_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5509_/Y _5513_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5509_/Y _5514_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5509_/Y _5515_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5509_/Y _5516_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5509_/Y _5517_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5510_/X _9371_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5511_/X _9370_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5512_/X _9369_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5513_/X _9368_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5514_/X _9367_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5515_/X _9366_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5516_/X _9365_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5517_/X _9364_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5518_/X _5519_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5519_/X _5520_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5519_/X _5521_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5519_/X _5522_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5519_/X _5523_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5519_/X _5524_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5519_/X _5525_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5520_/Y _5521_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5520_/Y _5522_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5520_/Y _5523_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5520_/Y _5524_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5520_/Y _5525_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5521_/X _9363_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5522_/X _9362_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5523_/X _9361_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5524_/X _9360_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5525_/X _9359_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5526_/X _5527_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5527_/X _5528_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5527_/X _5529_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5527_/X _5530_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5527_/X _5531_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5527_/X _5532_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5527_/X _5533_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5528_/Y _5529_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5528_/Y _5530_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5528_/Y _5531_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5528_/Y _5532_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5528_/Y _5533_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5529_/X _9358_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5530_/X _9357_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5531_/X _9356_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5532_/X _9355_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5533_/X _9354_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5534_/X _5535_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5535_/X _5536_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5535_/X _5537_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5535_/X _5538_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5535_/X _5539_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5535_/X _5540_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5535_/X _5541_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5535_/X _5542_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5535_/X _5543_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5535_/X _5544_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5536_/Y _5537_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5536_/Y _5538_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5536_/Y _5539_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5536_/Y _5540_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5536_/Y _5541_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5536_/Y _5542_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5536_/Y _5543_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5536_/Y _5544_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5537_/X _9353_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5538_/X _9352_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5539_/X _9351_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5540_/X _9350_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5541_/X _9349_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5542_/X _9348_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5543_/X _9347_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5544_/X _9346_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5545_/X _5546_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5546_/X _5547_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5546_/X _5548_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5546_/X _5549_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5546_/X _5550_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5546_/X _5551_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5546_/X _5552_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5546_/X _5553_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5546_/X _5554_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5546_/X _5555_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5547_/Y _5548_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5547_/Y _5549_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5547_/Y _5550_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5547_/Y _5551_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5547_/Y _5552_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5547_/Y _5553_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5547_/Y _5554_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5547_/Y _5555_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5548_/X _9345_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5549_/X _9344_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5550_/X _9343_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5551_/X _9342_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5552_/X _9341_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5553_/X _9340_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5554_/X _9339_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5555_/X _9338_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5556_/X _5557_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5557_/X _5558_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5557_/X _5559_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5557_/X _5560_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5557_/X _5561_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5557_/X _5562_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5557_/X _5563_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5558_/Y _5559_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5558_/Y _5560_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5558_/Y _5561_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5558_/Y _5562_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5558_/Y _5563_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5559_/X _9337_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5560_/X _9336_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5561_/X _9335_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5562_/X _9334_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5563_/X _9333_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5564_/X _5565_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5565_/X _5566_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5565_/X _5567_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5565_/X _5568_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5565_/X _5569_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5565_/X _5570_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5565_/X _5571_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5566_/Y _5567_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5566_/Y _5568_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5566_/Y _5569_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5566_/Y _5570_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5566_/Y _5571_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5567_/X _9332_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5568_/X _9331_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5569_/X _9330_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5570_/X _9329_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5571_/X _9328_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5572_/X _5573_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5573_/X _5574_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5573_/X _5575_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5573_/X _5576_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5573_/X _5577_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5573_/X _5578_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5573_/X _5579_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5573_/X _5580_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5573_/X _5581_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5573_/X _5582_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5574_/Y _5575_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5574_/Y _5576_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5574_/Y _5577_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5574_/Y _5578_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5574_/Y _5579_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5574_/Y _5580_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5574_/Y _5581_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5574_/Y _5582_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5575_/X _9327_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5576_/X _9326_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5577_/X _9325_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5578_/X _9324_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5579_/X _9323_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5580_/X _9322_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5581_/X _9321_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5582_/X _9320_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5583_/X _5584_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5584_/X _5585_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5584_/X _5586_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5584_/X _5587_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5584_/X _5588_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5584_/X _5589_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5584_/X _5590_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5584_/X _5591_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5584_/X _5592_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5584_/X _5593_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5585_/Y _5586_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5585_/Y _5587_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5585_/Y _5588_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5585_/Y _5589_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5585_/Y _5590_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5585_/Y _5591_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5585_/Y _5592_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5585_/Y _5593_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5586_/X _9319_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5587_/X _9318_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5588_/X _9317_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5589_/X _9316_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5590_/X _9315_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5591_/X _9314_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5592_/X _9313_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5593_/X _9312_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5594_/X _5595_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5595_/X _5596_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5595_/X _5597_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5595_/X _5598_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5595_/X _5599_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5595_/X _5600_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5595_/X _5601_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5596_/Y _5597_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5596_/Y _5598_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5596_/Y _5599_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5596_/Y _5600_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5596_/Y _5601_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5597_/X _9311_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5598_/X _9310_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5599_/X _9309_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5600_/X _9308_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5601_/X _9307_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5602_/X _5603_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5603_/X _5604_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5603_/X _5605_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5603_/X _5606_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5603_/X _5607_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5603_/X _5608_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5603_/X _5609_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5604_/Y _5605_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5604_/Y _5606_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5604_/Y _5607_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5604_/Y _5608_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5604_/Y _5609_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5605_/X _9306_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5606_/X _9305_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5607_/X _9304_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5608_/X _9303_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5609_/X _9302_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5610_/X _5611_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5611_/X _5612_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5611_/X _5613_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5611_/X _5614_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5611_/X _5615_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5611_/X _5616_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5611_/X _5617_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5611_/X _5618_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5611_/X _5619_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5611_/X _5620_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5612_/Y _5613_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5612_/Y _5614_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5612_/Y _5615_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5612_/Y _5616_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5612_/Y _5617_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5612_/Y _5618_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5612_/Y _5619_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5612_/Y _5620_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5613_/X _9301_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5614_/X _9300_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5615_/X _9299_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5616_/X _9298_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5617_/X _9297_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5618_/X _9296_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5619_/X _9295_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5620_/X _9294_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5621_/X _5622_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5622_/X _5623_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5622_/X _5624_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5622_/X _5625_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5622_/X _5626_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5622_/X _5627_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5622_/X _5628_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5622_/X _5629_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5622_/X _5630_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5622_/X _5631_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5623_/Y _5624_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5623_/Y _5625_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5623_/Y _5626_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5623_/Y _5627_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5623_/Y _5628_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5623_/Y _5629_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5623_/Y _5630_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5623_/Y _5631_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5624_/X _9293_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5625_/X _9292_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5626_/X _9291_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5627_/X _9290_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5628_/X _9289_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5629_/X _9288_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5630_/X _9287_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5631_/X _9286_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5632_/X _5633_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5633_/X _5634_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5633_/X _5635_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5633_/X _5636_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5633_/X _5637_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5633_/X _5638_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5633_/X _5639_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5634_/Y _5635_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5634_/Y _5636_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5634_/Y _5637_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5634_/Y _5638_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5634_/Y _5639_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5635_/X _9285_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5636_/X _9284_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5637_/X _9283_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5638_/X _9282_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5639_/X _9281_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5640_/Y _5649_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5640_/Y _5652_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5640_/Y _5653_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5640_/Y _5654_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5640_/Y _5655_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5641_/Y _5647_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5641_/Y _5654_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5641_/Y _5656_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5641_/Y _5659_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5642_/Y _5645_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5642_/Y _5754_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5642_/Y _5863_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5642_/Y _5896_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5642_/Y _6997_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5643_/Y _5645_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5643_/Y _5754_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5643_/Y _5808_/B1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5643_/Y _5809_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5643_/Y _6992_/A1_N (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5644_/Y _5645_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5644_/Y _5657_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5644_/Y _5696_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5644_/Y _5700_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5644_/Y _5701_/A3 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5644_/Y _5705_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5644_/Y _5712_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5644_/Y _5724_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5644_/Y _5729_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5644_/Y _5734_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5644_/Y _5736_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5644_/Y _5741_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5645_/X _5647_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5645_/X _5651_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5645_/X _5655_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5645_/X _5656_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5645_/X _5658_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5645_/X _5659_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5646_/Y _5647_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5646_/Y _5654_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5646_/Y _5656_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5646_/Y _5751_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5647_/X _5652_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5647_/X _5653_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5647_/X _5655_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5647_/X _5657_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5648_/Y _5649_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5648_/Y _5652_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5648_/Y _5653_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5649_/X _5650_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5649_/X _6993_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5649_/X _6997_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5650_/X _5651_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5650_/X _5654_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5651_/X _5653_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5651_/X _5659_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5652_/Y _5653_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5653_/X _9280_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5654_/X _5655_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5655_/X _9279_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5656_/Y _5657_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5657_/X _9278_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5658_/Y _5659_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5659_/X _9277_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5660_/X _5661_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5661_/X _5662_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5661_/X _5663_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5661_/X _5664_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5661_/X _5665_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5661_/X _5666_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5661_/X _5667_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5661_/X _5668_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5661_/X _5669_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5661_/X _5670_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5662_/Y _5663_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5662_/Y _5664_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5662_/Y _5665_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5662_/Y _5666_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5662_/Y _5667_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5662_/Y _5668_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5662_/Y _5669_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5662_/Y _5670_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5663_/X _9276_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5664_/X _9275_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5665_/X _9274_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5666_/X _9273_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5667_/X _9272_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5668_/X _9271_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5669_/X _9270_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5670_/X _9269_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5671_/X _5672_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5672_/X _5673_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5672_/X _5674_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5672_/X _5675_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5672_/X _5676_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5672_/X _5677_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5672_/X _5678_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5673_/Y _5674_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5673_/Y _5675_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5673_/Y _5676_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5673_/Y _5677_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5673_/Y _5678_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5674_/X _9268_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5675_/X _9267_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5676_/X _9266_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5677_/X _9265_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5678_/X _9264_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5679_/X _5680_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5680_/X _5681_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5680_/X _5682_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5680_/X _5683_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5680_/X _5684_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5680_/X _5685_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5680_/X _5686_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5680_/X _5687_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5680_/X _5688_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5680_/X _5689_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5681_/Y _5682_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5681_/Y _5683_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5681_/Y _5684_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5681_/Y _5685_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5681_/Y _5686_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5681_/Y _5687_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5681_/Y _5688_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5681_/Y _5689_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5682_/X _9263_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5683_/X _9262_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5684_/X _9261_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5685_/X _9260_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5686_/X _9259_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5687_/X _9258_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5688_/X _9257_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5689_/X _9256_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5690_/Y _5691_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5690_/Y _5808_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5690_/Y _6998_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5691_/X _5692_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5691_/X _5702_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5691_/X _5705_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5691_/X _5736_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5692_/Y _5700_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5692_/Y _5729_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5693_/X _5694_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5694_/X _5696_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5694_/X _5698_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5694_/X _5701_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5694_/X _5704_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5694_/X _5705_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5694_/X _7398_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5694_/X _7424_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5694_/X _7433_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5694_/X _7440_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5694_/X _7444_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5694_/X _7460_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5694_/X _7462_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5695_/X _5696_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5695_/X _5698_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5695_/X _7398_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5695_/X _7399_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5695_/X _7409_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5695_/X _7418_/C (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5695_/X _7446_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5695_/X _7454_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5695_/X _7456_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5696_/Y _5700_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5696_/Y _5702_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5697_/Y _5698_/C (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5697_/Y _7399_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5697_/Y _7407_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5697_/Y _7420_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5697_/Y _7426_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5697_/Y _7431_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5697_/Y _7433_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5697_/Y _7435_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5697_/Y _7442_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5697_/Y _7444_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5697_/Y _7446_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5697_/Y _7452_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5697_/Y _7454_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5697_/Y _7462_/C (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5697_/Y _7466_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5697_/Y _7474_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5698_/X _5699_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5699_/X _5700_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5699_/X _7428_/B2 (0.030::0.030) (0.027::0.027)) + (INTERCONNECT _5699_/X _7487_/B2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _5699_/X _7505_/B2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _5699_/X _7523_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _5699_/X _7541_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _5699_/X _7559_/B2 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _5699_/X _7577_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _5699_/X _7595_/B2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _5699_/X _7613_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _5699_/X _7631_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _5699_/X _7649_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _5699_/X _7667_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _5699_/X _7685_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _5700_/X _9255_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5701_/X _5702_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5702_/X _9254_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5703_/Y _5706_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5703_/Y _7403_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5703_/Y _7406_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5704_/Y _5706_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5704_/Y _5712_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5705_/X _5706_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5706_/X _9253_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5707_/Y _5709_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5707_/Y _7399_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _5707_/Y _7407_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _5707_/Y _7418_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5707_/Y _7429_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5707_/Y _7442_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5707_/Y _7464_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5707_/Y _7474_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _5707_/Y _7476_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5708_/Y _5709_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5708_/Y _5714_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5708_/Y _7401_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5709_/X _5710_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5709_/X _7409_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5709_/X _7414_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5709_/X _7426_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5709_/X _7431_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5709_/X _7435_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5709_/X _7446_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5709_/X _7470_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5709_/X _7472_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5710_/Y _5712_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5711_/X _5712_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5711_/X _5713_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5711_/X _5741_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5712_/X _9252_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5713_/Y _5714_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5713_/Y _5731_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5713_/Y _5739_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5713_/Y _5754_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5713_/Y _5756_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5714_/X _9251_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5715_/Y _5729_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5715_/Y _6994_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5716_/Y _5724_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5716_/Y _5725_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5717_/Y _5719_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5717_/Y _5737_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5717_/Y _5739_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5718_/Y _5719_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5718_/Y _5738_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5718_/Y _5741_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5719_/X _5723_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5719_/X _5733_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5719_/X _5736_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5719_/X _7028_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5719_/X _7060_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5719_/X _7104_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5720_/Y _5722_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5720_/Y _5734_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5720_/Y _7037_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5721_/Y _5722_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5721_/Y _5733_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5721_/Y _5736_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5721_/Y _6995_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5721_/Y _7051_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5721_/Y _7056_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5722_/X _5723_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5722_/X _7049_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5722_/X _7066_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5722_/X _7073_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5722_/X _7081_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5722_/X _7083_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5722_/X _7098_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5723_/X _5724_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5723_/X _5727_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5723_/X _5731_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5723_/X _7041_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5724_/Y _5729_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5724_/Y _5732_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5725_/X _5726_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5726_/X _5727_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5726_/X _7079_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _5726_/X _7081_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5726_/X _7083_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5726_/X _7085_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _5726_/X _7087_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5726_/X _7094_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5726_/X _7096_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5726_/X _7098_/D (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5726_/X _7104_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5726_/X _7109_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _5726_/X _7111_/D (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _5726_/X _7115_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _5726_/X _7117_/D (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5726_/X _7123_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5726_/X _7125_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5726_/X _7127_/C (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _5727_/X _5728_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5728_/X _5729_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5728_/X _7122_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _5728_/X _7151_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _5728_/X _7173_/A2 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _5728_/X _7195_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _5728_/X _7217_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _5728_/X _7239_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _5728_/X _7261_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _5728_/X _7283_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _5728_/X _7305_/A2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _5728_/X _7327_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _5728_/X _7349_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _5728_/X _7371_/A2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _5728_/X _7393_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _5729_/X _5730_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5730_/Y _9250_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5731_/Y _5732_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5731_/Y _5734_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5732_/X _9249_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5733_/X _5734_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5733_/X _7071_/A (0.001::0.001) (0.000::0.001)) + (INTERCONNECT _5733_/X _7096_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5734_/Y _9248_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5735_/X _5736_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5736_/X _9247_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5737_/X _5739_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5737_/X _6995_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5737_/X _7034_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5737_/X _7046_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5737_/X _7049_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5737_/X _7083_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5737_/X _7125_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5738_/X _5739_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5738_/X _7031_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5738_/X _7042_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5738_/X _7051_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5738_/X _7073_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5738_/X _7081_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5738_/X _7127_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5739_/X _5740_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5740_/Y _9246_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5741_/X _9245_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5742_/X _5743_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5743_/X _5744_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5743_/X _5745_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5743_/X _5746_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5743_/X _5747_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5743_/X _5748_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5743_/X _5749_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5744_/Y _5745_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5744_/Y _5746_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5744_/Y _5747_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5744_/Y _5748_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5744_/Y _5749_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5745_/X _9244_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5746_/X _9243_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5747_/X _9242_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5748_/X _9241_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5749_/X _9240_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5750_/Y _5756_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5751_/X _5753_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5751_/X _5808_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5751_/X _6992_/A2_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5751_/X _7000_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5752_/X _5753_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5752_/X _5787_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5753_/X _5754_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5754_/X _5755_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5754_/X _5756_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5754_/X _5788_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5755_/Y _5756_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5756_/X _9239_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5757_/X _5758_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5758_/X _5759_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5758_/X _5760_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5758_/X _5761_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5758_/X _5762_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5758_/X _5763_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5758_/X _5764_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5758_/X _5765_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5758_/X _5766_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5758_/X _5767_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5759_/Y _5760_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5759_/Y _5761_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5759_/Y _5762_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5759_/Y _5763_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5759_/Y _5764_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5759_/Y _5765_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5759_/Y _5766_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5759_/Y _5767_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5760_/X _9238_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5761_/X _9237_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5762_/X _9236_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5763_/X _9235_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5764_/X _9234_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5765_/X _9233_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5766_/X _9232_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5767_/X _9231_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5768_/X _5769_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5769_/X _5770_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5769_/X _5771_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5769_/X _5772_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5769_/X _5773_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5769_/X _5774_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5769_/X _5775_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5770_/Y _5771_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5770_/Y _5772_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5770_/Y _5773_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5770_/Y _5774_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5770_/Y _5775_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5771_/X _9230_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5772_/X _9229_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5773_/X _9228_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5774_/X _9227_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5775_/X _9226_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5776_/X _5777_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5777_/X _5778_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5777_/X _5779_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5777_/X _5780_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5777_/X _5781_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5777_/X _5782_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5777_/X _5783_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5777_/X _5784_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5777_/X _5785_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5777_/X _5786_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5778_/Y _5779_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5778_/Y _5780_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5778_/Y _5781_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5778_/Y _5782_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5778_/Y _5783_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5778_/Y _5784_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5778_/Y _5785_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5778_/Y _5786_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5779_/X _9225_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5780_/X _9224_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5781_/X _9223_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5782_/X _9222_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5783_/X _9221_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5784_/X _9220_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5785_/X _9219_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5786_/X _9218_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5787_/Y _5788_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5788_/X _9217_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5789_/X _5790_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5790_/X _5791_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5790_/X _5792_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5790_/X _5793_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5790_/X _5794_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5790_/X _5795_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5790_/X _5796_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5791_/Y _5792_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5791_/Y _5793_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5791_/Y _5794_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5791_/Y _5795_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5791_/Y _5796_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5792_/X _9216_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5793_/X _9215_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5794_/X _9214_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5795_/X _9213_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5796_/X _9212_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5797_/X _5798_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5798_/X _5799_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5798_/X _5800_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5798_/X _5801_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5798_/X _5802_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5798_/X _5803_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5798_/X _5804_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5798_/X _5805_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5798_/X _5806_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5798_/X _5807_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5799_/Y _5800_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5799_/Y _5801_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5799_/Y _5802_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5799_/Y _5803_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5799_/Y _5804_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5799_/Y _5805_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5799_/Y _5806_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5799_/Y _5807_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5800_/X _9211_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5801_/X _9210_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5802_/X _9209_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5803_/X _9208_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5804_/X _9207_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5805_/X _9206_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5806_/X _9205_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5807_/X _9204_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5808_/X _5809_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5809_/X _9203_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5810_/X _5811_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5811_/X _5812_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5811_/X _5813_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5811_/X _5814_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5811_/X _5815_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5811_/X _5816_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5811_/X _5817_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5812_/Y _5813_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5812_/Y _5814_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5812_/Y _5815_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5812_/Y _5816_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5812_/Y _5817_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5813_/X _9202_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5814_/X _9201_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5815_/X _9200_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5816_/X _9199_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5817_/X _9198_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5818_/X _5819_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5819_/X _5820_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5819_/X _5821_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5819_/X _5822_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5819_/X _5823_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5819_/X _5824_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5819_/X _5825_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5819_/X _5826_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5819_/X _5827_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5819_/X _5828_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5820_/Y _5821_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5820_/Y _5822_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5820_/Y _5823_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5820_/Y _5824_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5820_/Y _5825_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5820_/Y _5826_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5820_/Y _5827_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5820_/Y _5828_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5821_/X _9197_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5822_/X _9196_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5823_/X _9195_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5824_/X _9194_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5825_/X _9193_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5826_/X _9192_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5827_/X _9191_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5828_/X _9190_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5829_/X _5830_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5830_/X _5831_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5830_/X _5832_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5830_/X _5833_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5830_/X _5834_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5830_/X _5835_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5830_/X _5836_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5831_/Y _5832_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5831_/Y _5833_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5831_/Y _5834_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5831_/Y _5835_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5831_/Y _5836_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5832_/X _9189_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5833_/X _9188_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5834_/X _9187_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5835_/X _9186_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5836_/X _9185_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5837_/X _5838_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5838_/X _5839_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5838_/X _5840_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5838_/X _5841_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5838_/X _5842_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5838_/X _5843_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5838_/X _5844_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5838_/X _5845_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5838_/X _5846_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5838_/X _5847_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5839_/Y _5840_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5839_/Y _5841_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5839_/Y _5842_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5839_/Y _5843_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5839_/Y _5844_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5839_/Y _5845_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5839_/Y _5846_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5839_/Y _5847_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5840_/X _9184_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5841_/X _9183_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5842_/X _9182_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5843_/X _9181_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5844_/X _9180_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5845_/X _9179_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5846_/X _9178_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5847_/X _9177_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5848_/Y _5849_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5848_/Y _5863_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5848_/Y _5889_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5848_/Y _5890_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5848_/Y _5891_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5848_/Y _5892_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5848_/Y _5893_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5848_/Y _5894_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5848_/Y _5896_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5849_/X _5850_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5851_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5849_/X _5852_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5849_/X _5853_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5849_/X _5854_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5849_/X _5855_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5856_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5849_/X _5857_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5858_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5849_/X _5859_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5860_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5861_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5883_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5884_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5885_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5886_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5849_/X _5887_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5849_/X _5888_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5850_/X _9176_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5851_/X _9175_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5852_/X _9174_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5853_/X _9173_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5854_/X _9172_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5855_/X _9171_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5856_/X _9170_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5857_/X _9169_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5858_/X _9168_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5859_/X _9167_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5860_/X _9166_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5861_/X _9165_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5862_/Y _5863_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5863_/X _9164_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5864_/X _5865_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5865_/X _5866_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5865_/X _5867_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5865_/X _5868_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5865_/X _5869_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5865_/X _5870_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5865_/X _5871_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5866_/Y _5867_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5866_/Y _5868_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5866_/Y _5869_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5866_/Y _5870_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5866_/Y _5871_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5867_/X _9163_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5868_/X _9162_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5869_/X _9161_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5870_/X _9160_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5871_/X _9159_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5872_/X _5873_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5873_/X _5874_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5873_/X _5875_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5873_/X _5876_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5873_/X _5877_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5873_/X _5878_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5873_/X _5879_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5873_/X _5880_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5873_/X _5881_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5873_/X _5882_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5874_/Y _5875_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5874_/Y _5876_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5874_/Y _5877_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _5874_/Y _5878_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _5874_/Y _5879_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5874_/Y _5880_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _5874_/Y _5881_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _5874_/Y _5882_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _5875_/X _9158_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5876_/X _9157_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5877_/X _9156_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5878_/X _9155_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5879_/X _9154_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5880_/X _9153_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5881_/X _9152_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5882_/X _9151_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5883_/X _9150_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5884_/X _9149_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5885_/X _9148_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5886_/X _9147_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5887_/X _9146_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5888_/X _9145_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5889_/X _9144_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5890_/X _9143_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5891_/X _9142_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5892_/X _9141_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5893_/X _9140_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5894_/X _9139_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5895_/Y _5896_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5896_/X _9138_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5897_/X _5898_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5898_/X _5899_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5898_/X _5900_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5898_/X _5901_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5898_/X _5902_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5898_/X _5903_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5898_/X _5904_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5899_/Y _5900_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5899_/Y _5901_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5899_/Y _5902_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5899_/Y _5903_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _5899_/Y _5904_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5900_/X _9137_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5901_/X _9136_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5902_/X _9135_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5903_/X _9134_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5904_/X _9133_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5905_/X _5906_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5906_/X _5907_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5906_/X _5908_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5906_/X _5909_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5906_/X _5910_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5906_/X _5911_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5906_/X _5912_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5906_/X _5913_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5906_/X _5914_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5906_/X _5915_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5907_/Y _5908_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5907_/Y _5909_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5907_/Y _5910_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5907_/Y _5911_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5907_/Y _5912_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5907_/Y _5913_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5907_/Y _5914_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5907_/Y _5915_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5908_/X _9132_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5909_/X _9131_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5910_/X _9130_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5911_/X _9129_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5912_/X _9128_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5913_/X _9127_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5914_/X _9126_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5915_/X _9125_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5916_/X _5917_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5917_/X _5918_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5917_/X _5919_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5917_/X _5920_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5917_/X _5921_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5917_/X _5922_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5917_/X _5923_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5918_/Y _5919_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5918_/Y _5920_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5918_/Y _5921_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5918_/Y _5922_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5918_/Y _5923_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5919_/X _9124_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5920_/X _9123_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5921_/X _9122_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5922_/X _9121_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5923_/X _9120_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5924_/Y _5938_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5924_/Y _6147_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5925_/X _5936_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5926_/X _5936_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5927_/X _5928_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5928_/X _5936_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5929_/X _5935_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5929_/X _7756_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5929_/X _7782_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5929_/X _7832_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5929_/X _7837_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5930_/X _5935_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5931_/X _5935_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5932_/Y _5934_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5932_/Y _7837_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5932_/Y _8089_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5933_/Y _5934_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5933_/Y _7756_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5933_/Y _7778_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5933_/Y _7967_/A1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5933_/Y _7967_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5933_/Y _8105_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5934_/X _5935_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5934_/X _7779_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5934_/X _7782_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5935_/X _5936_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5936_/X _5937_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5936_/X _5939_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5937_/X _5938_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5937_/X _7001_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5938_/Y _5940_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5939_/X _5940_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5939_/X _6986_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5940_/X _9119_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5941_/X _5942_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5942_/X _5943_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5942_/X _5944_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5942_/X _5945_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5942_/X _5946_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5942_/X _5947_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5942_/X _5948_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5943_/Y _5944_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5943_/Y _5945_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5943_/Y _5946_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5943_/Y _5947_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5943_/Y _5948_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5944_/X _9118_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5945_/X _9117_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5946_/X _9116_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5947_/X _9115_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5948_/X _9114_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5949_/X _5950_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5950_/X _5951_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5950_/X _5952_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5950_/X _5953_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5950_/X _5954_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5950_/X _5955_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5950_/X _5956_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5950_/X _5957_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5950_/X _5958_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5950_/X _5959_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5951_/Y _5952_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5951_/Y _5953_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5951_/Y _5954_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5951_/Y _5955_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5951_/Y _5956_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5951_/Y _5957_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5951_/Y _5958_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5951_/Y _5959_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5952_/X _9113_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5953_/X _9112_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5954_/X _9111_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5955_/X _9110_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5956_/X _9109_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5957_/X _9108_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5958_/X _9107_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5959_/X _9106_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5960_/X _5961_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5961_/X _5962_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5961_/X _5963_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5961_/X _5964_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5961_/X _5965_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5961_/X _5966_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5961_/X _5967_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5962_/Y _5963_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5962_/Y _5964_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5962_/Y _5965_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5962_/Y _5966_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5962_/Y _5967_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5963_/X _9105_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5964_/X _9104_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5965_/X _9103_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5966_/X _9102_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5967_/X _9101_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5968_/X _5969_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5969_/X _5970_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5969_/X _5971_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5969_/X _5972_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5969_/X _5973_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _5969_/X _5974_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5969_/X _5975_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5969_/X _5976_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5969_/X _5977_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5969_/X _5978_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5970_/Y _5971_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5970_/Y _5972_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5970_/Y _5973_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5970_/Y _5974_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5970_/Y _5975_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5970_/Y _5976_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5970_/Y _5977_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5970_/Y _5978_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _5971_/X _9100_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5972_/X _9099_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5973_/X _9098_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5974_/X _9097_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5975_/X _9096_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5976_/X _9095_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5977_/X _9094_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5978_/X _9093_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5979_/X _5980_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5980_/X _9092_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5981_/X _5982_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5981_/X _5986_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5982_/X _9092_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5983_/X _5984_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5984_/X _9091_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5985_/Y _5986_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5985_/Y _5989_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5986_/X _9091_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5987_/X _5988_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5988_/X _9090_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5989_/Y _9090_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5990_/X _5991_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5991_/X _9089_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5992_/Y _5993_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5992_/Y _7004_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5992_/Y _7008_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5993_/X _5994_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5994_/Y _5995_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5994_/Y _5996_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5994_/Y _5999_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5994_/Y _6002_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5994_/Y _6005_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _5994_/Y _6008_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5994_/Y _6011_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5994_/Y _6014_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5994_/Y _6017_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5995_/Y _5996_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5995_/Y _5999_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5995_/Y _6002_/B2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _5995_/Y _6005_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _5995_/Y _6008_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5995_/Y _6011_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5995_/Y _6014_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5995_/Y _6017_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _5996_/X _9089_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5997_/X _5998_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5998_/X _9088_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _5999_/X _9088_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6000_/X _6001_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6001_/X _9087_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6002_/X _9087_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6003_/X _6004_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6004_/X _9086_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6005_/X _9086_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6006_/X _6007_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6007_/X _9085_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6008_/X _9085_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6009_/X _6010_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6010_/X _9084_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6011_/X _9084_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6012_/X _6013_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6013_/X _9083_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6014_/X _9083_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6015_/X _6016_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6016_/X _9082_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6017_/X _9082_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6018_/X _6019_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6019_/X _9081_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6020_/X _6021_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6021_/X _9080_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6022_/X _6023_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6022_/X _6024_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6023_/Y _6024_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6023_/Y _6990_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6023_/Y _7007_/A3 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _6024_/X _9080_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6025_/X _9079_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6026_/X _9078_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6027_/X _6028_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6028_/X _6029_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6028_/X _6030_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6028_/X _6031_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6028_/X _6032_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6028_/X _6033_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6028_/X _6034_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6028_/X _6035_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6028_/X _6036_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6028_/X _6037_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6029_/Y _6030_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6029_/Y _6031_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6029_/Y _6032_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6029_/Y _6033_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6029_/Y _6034_/B2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _6029_/Y _6035_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6029_/Y _6036_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6029_/Y _6037_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6030_/X _9077_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6031_/X _9076_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6032_/X _9075_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6033_/X _9074_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6034_/X _9073_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6035_/X _9072_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6036_/X _9071_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6037_/X _9070_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6038_/X _9069_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6039__1/Y _9058_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6040_/X _6041_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6041_/X _9058_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6042_/X _6043_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6043_/X _9052_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6044_/X _6045_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6045_/X _9051_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6046_/X _6047_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6047_/X _9050_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6048_/X _6049_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6049_/X _9049_/RESET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6050_/X _6051_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6051_/X _9048_/SET_B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6052_/X _6053_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6053_/X _6054_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6053_/X _6055_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6053_/X _6056_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6053_/X _6057_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6053_/X _6058_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6054_/Y _6055_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6054_/Y _6056_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6054_/Y _6057_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6054_/Y _6058_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6055_/X _9047_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6056_/X _9046_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6057_/X _9045_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6058_/X _9044_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6059_/Y _6064_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6059_/Y _7283_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6059_/Y _7591_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6060_/Y _6064_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6060_/Y _8807_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6061_/Y _6063_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6061_/Y _7285_/B1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6062_/Y _6063_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6062_/Y _7276_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6062_/Y _7601_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6063_/X _6064_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6064_/X _6071_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6065_/Y _6070_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6065_/Y _7279_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6065_/Y _7604_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6066_/Y _6070_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6066_/Y _7273_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6066_/Y _7605_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6067_/Y _6069_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6067_/Y _7274_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6068_/Y _6069_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6068_/Y _7280_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6069_/X _6070_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6070_/X _6071_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6071_/Y _6145_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6072_/Y _6077_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6072_/Y _7283_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6072_/Y _7595_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6073_/Y _6077_/B1 (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _6073_/Y _7268_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6073_/Y _7603_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6074_/Y _6076_/A1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6074_/Y _7266_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6074_/Y _7599_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6075_/Y _6076_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6076_/X _6077_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6077_/X _6096_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6078_/Y _6082_/A1 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _6078_/Y _7272_/A1 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _6078_/Y _7591_/A1 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _6079_/Y _6082_/B1 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _6079_/Y _7271_/B1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6079_/Y _7604_/B1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6080_/Y _6081_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6080_/Y _7274_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6081_/X _6082_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6082_/X _6096_/B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _6082_/X ANTENNA_43/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _6083_/Y _6089_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6083_/Y _7275_/B1 (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _6083_/Y _7597_/B1 (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _6084_/Y _6089_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6085_/Y _6088_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6086_/X _6088_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6086_/X _6210_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6086_/X _6260_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6086_/X _6388_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6086_/X _6596_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6086_/X _6770_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6086_/X _6850_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6087_/Y _6088_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6088_/X _6089_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6089_/X _6096_/C (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6090_/Y _6095_/A1 (0.035::0.035) (0.035::0.035)) + (INTERCONNECT _6090_/Y _7285_/A1 (0.038::0.038) (0.037::0.037)) + (INTERCONNECT _6090_/Y _7599_/B1 (0.038::0.038) (0.037::0.037)) + (INTERCONNECT _6090_/Y ANTENNA_153/DIODE (0.038::0.038) (0.037::0.037)) + (INTERCONNECT _6091_/Y _6095_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6091_/Y _7281_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6091_/Y _7592_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6092_/Y _6094_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6093_/Y _6094_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6094_/X _6095_/C1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6095_/X _6096_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6096_/X _6145_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6097_/Y _6102_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6098_/Y _6102_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6098_/Y _7279_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6098_/Y _7601_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6099_/Y _6101_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6100_/Y _6101_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6101_/X _6102_/C1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6102_/X _6119_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6103_/Y _6108_/A1 (0.028::0.028) (0.028::0.028)) + (INTERCONNECT _6103_/Y _7273_/A1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6104_/Y _6108_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6104_/Y _7284_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6104_/Y _7605_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6105_/Y _6107_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6106_/Y _6107_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6107_/X _6108_/C1 (0.026::0.026) (0.025::0.026)) + (INTERCONNECT _6107_/X ANTENNA_44/DIODE (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _6108_/X _6119_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6109_/Y _6112_/A1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6109_/Y _7280_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6109_/Y _7596_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6110_/Y _6112_/B1 (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _6110_/Y _7271_/A1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _6110_/Y _7596_/B1 (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _6111_/X _6112_/C1 (0.028::0.028) (0.028::0.028)) + (INTERCONNECT _6111_/X ANTENNA_45/DIODE (0.028::0.028) (0.027::0.028)) + (INTERCONNECT _6112_/X _6119_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6113_/Y _6118_/A1 (0.024::0.024) (0.024::0.024)) + (INTERCONNECT _6113_/Y _7266_/A1 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _6113_/Y _7602_/B1 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _6114_/Y _6118_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6114_/Y _7268_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6114_/Y _7600_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6115_/Y _6117_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6116_/Y _6117_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6116_/Y _7284_/B1 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _6116_/Y _7593_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6117_/X _6118_/C1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6118_/X _6119_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6119_/X _6145_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6120_/Y _6125_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6120_/Y _7270_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6120_/Y _7594_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6121_/Y _6125_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6121_/Y _7276_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6121_/Y _7603_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6122_/Y _6124_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6122_/Y _7272_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6122_/Y _7600_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6123_/Y _6124_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6123_/Y _7269_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6123_/Y _7597_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6124_/X _6125_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6125_/X _6144_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6126_/Y _6131_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6126_/Y _7275_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6126_/Y _7594_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6127_/Y _6131_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6127_/Y _7281_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6127_/Y _7598_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6128_/Y _6130_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6128_/Y _7278_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6128_/Y _7606_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6129_/Y _6130_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6129_/Y _7269_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6129_/Y _7593_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6130_/X _6131_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6131_/X _6144_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6132_/Y _6137_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6133_/Y _6137_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6133_/Y _7267_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6134_/Y _6136_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6134_/Y _6191_/A2_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6134_/Y _6751_/A2_N (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6134_/Y _8848_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6134_/Y _8897_/S (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6134_/Y _8903_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6134_/Y _8922_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6134_/Y _8923_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6134_/Y _8924_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6134_/Y _8926_/S (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6134_/Y _8929_/S (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6134_/Y _8977_/A0 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6134_/Y ANTENNA_142/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6135_/Y _6136_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6135_/Y _6166_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6135_/Y _6299_/A2_N (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6135_/Y _6688_/A2_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6135_/Y _8914_/S (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6135_/Y _8915_/S (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6135_/Y _8916_/S (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6135_/Y _8921_/S (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6135_/Y _8925_/S (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6135_/Y _8928_/S (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6135_/Y _8932_/S (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6135_/Y _8933_/S (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6135_/Y _8976_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6136_/Y _6137_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6137_/X _6144_/C (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6138_/Y _6143_/A1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _6138_/Y _7282_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _6138_/Y _7606_/B1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _6139_/Y _6143_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6139_/Y _7267_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6139_/Y _7592_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6140_/Y _6142_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6140_/Y _7270_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6140_/Y _7602_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6141_/Y _6142_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6141_/Y _7278_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6141_/Y _7595_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6142_/X _6143_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6143_/X _6144_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6144_/X _6145_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6145_/Y _6150_/A1 (0.033::0.033) (0.033::0.033)) + (INTERCONNECT _6145_/Y _6953_/A1 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _6145_/Y _6965_/A1 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _6145_/Y _6977_/A1 (0.023::0.023) (0.023::0.023)) + (INTERCONNECT _6145_/Y _8900_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6145_/Y ANTENNA_91/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6146_/Y _6147_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6146_/Y _6950_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6146_/Y _6962_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6146_/Y _6974_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6147_/X _6148_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6148_/X _6149_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6148_/X _6150_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6148_/X _6238_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6148_/X _6327_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6148_/X _6476_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6148_/X _6630_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6148_/X _6786_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6148_/X _6947_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6148_/X _6948_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6149_/Y _6150_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6149_/Y _6238_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6149_/Y _6327_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6149_/Y _6476_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6149_/Y _6630_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6149_/Y _6786_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6149_/Y _6947_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6149_/Y _6948_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6150_/X _9043_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6151_/Y _6156_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6151_/Y _7245_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6152_/Y _6156_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6152_/Y _7259_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6152_/Y _7574_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6153_/Y _6155_/A1 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6153_/Y ANTENNA_46/DIODE (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6154_/Y _6155_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6154_/Y _7257_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6154_/Y _7583_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6155_/X _6156_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6156_/X _6174_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6157_/Y _6162_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6157_/Y _7254_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6157_/Y _7583_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6158_/X _6162_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6158_/X _6355_/C1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6158_/X _6659_/C1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6158_/X _6803_/C1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6159_/Y _6161_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6159_/Y _7244_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6159_/Y _7584_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6160_/Y _6161_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6160_/Y _7246_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6160_/Y _7585_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6161_/X _6162_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6162_/X _6174_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6163_/Y _6167_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6163_/Y _7263_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6164_/Y _6167_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6164_/Y _7263_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6164_/Y _7581_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6165_/Y _6166_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6165_/Y _6540_/A2_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6165_/Y _6881_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6165_/Y _8901_/S (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6165_/Y _8902_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6165_/Y _8917_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6165_/Y _8919_/S (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _6165_/Y _8920_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6165_/Y _8927_/S (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6165_/Y _8930_/S (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6165_/Y _8931_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6165_/Y _8956_/A0 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _6166_/Y _6167_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6167_/X _6174_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6168_/Y _6173_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6168_/Y _7249_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6168_/Y _7586_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6169_/Y _6173_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6169_/Y _7249_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6169_/Y _7578_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6170_/Y _6172_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6170_/Y _7252_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6171_/Y _6172_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6171_/Y _7258_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6171_/Y _7578_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6172_/X _6173_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6173_/X _6174_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6174_/X _6237_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _6175_/Y _6177_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6175_/Y _7248_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6175_/Y _7584_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6176_/Y _6177_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6176_/Y _7256_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6176_/Y _7577_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6177_/X _6187_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6178_/Y _6180_/A1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6178_/Y _7245_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6178_/Y _7574_/B1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6179_/Y _6180_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6179_/Y _7251_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6179_/Y _7587_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6180_/X _6187_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6181_/Y _6186_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6181_/Y _7260_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6181_/Y _7588_/B1 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _6182_/Y _6186_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6182_/Y _7253_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6182_/Y _7576_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6183_/Y _6185_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6183_/Y _7250_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6183_/Y _7582_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6184_/Y _6185_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6184_/Y _7254_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6184_/Y _7585_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6185_/X _6186_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6186_/X _6187_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6187_/X _6237_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6188_/Y _6192_/A1 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _6188_/Y _7262_/A1 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _6188_/Y _7587_/A1 (0.032::0.032) (0.032::0.032)) + (INTERCONNECT _6189_/Y _6192_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6189_/Y _7262_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6189_/Y _7575_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6190_/Y _6191_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6190_/Y _7251_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6191_/X _6192_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6192_/X _6211_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6193_/Y _6198_/A1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _6194_/Y _6198_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6194_/Y _7257_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6194_/Y _7586_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6195_/Y _6197_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6195_/Y _7246_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6195_/Y _7582_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6196_/Y _6197_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6196_/Y _7250_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6196_/Y _7573_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6197_/X _6198_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6198_/X _6211_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6199_/Y _6204_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6199_/Y _7253_/B1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6199_/Y _7579_/B1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6200_/Y _6204_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6200_/Y _7261_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6200_/Y _7577_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6201_/Y _6203_/A1 (0.026::0.026) (0.026::0.026)) + (INTERCONNECT _6201_/Y _7252_/B1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6202_/Y _6203_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6202_/Y ANTENNA_47/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6203_/X _6204_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6204_/X _6211_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6205_/Y _6210_/A1 (0.033::0.033) (0.033::0.033)) + (INTERCONNECT _6205_/Y _7258_/B1 (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _6205_/Y ANTENNA_48/DIODE (0.033::0.033) (0.033::0.033)) + (INTERCONNECT _6206_/Y _6210_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6207_/Y _6209_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6208_/Y _6209_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6209_/X _6210_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6210_/X _6211_/D (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _6211_/X _6237_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6212_/Y _6217_/A1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6212_/Y _7256_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6212_/Y _7588_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6212_/Y ANTENNA_49/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6213_/Y _6217_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6214_/Y _6216_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6215_/Y _6216_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6215_/Y _7248_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6215_/Y _7576_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6216_/X _6217_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6217_/X _6236_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6218_/Y _6223_/A1 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _6218_/Y _7259_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6218_/Y _7580_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6219_/Y _6223_/B1 (0.048::0.048) (0.048::0.048)) + (INTERCONNECT _6220_/Y _6222_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6221_/Y _6222_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6222_/X _6223_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6223_/X _6236_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6224_/Y _6229_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6224_/Y _7247_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6224_/Y _7579_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6225_/Y _6229_/B1 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _6225_/Y _7244_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6225_/Y _7581_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6225_/Y ANTENNA_154/DIODE (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _6226_/Y _6228_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6227_/Y _6228_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6228_/X _6229_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6229_/X _6236_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6230_/Y _6235_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6230_/Y _7261_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6230_/Y _7573_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6231_/Y _6235_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6232_/Y _6234_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6232_/Y _7247_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6232_/Y _7575_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6233_/Y _6234_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6234_/X _6235_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6235_/X _6236_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6236_/X _6237_/D (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6237_/Y _6238_/A2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6237_/Y _6954_/A1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6237_/Y _6966_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6237_/Y _6978_/A1 (0.012::0.013) (0.012::0.012)) + (INTERCONNECT _6237_/Y _8955_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6238_/X _9042_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6239_/Y _6255_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6239_/Y _7222_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6239_/Y _7563_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6240_/Y _6242_/A1 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _6240_/Y _7227_/A1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6240_/Y _7560_/B1 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _6241_/Y _6242_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6241_/Y _7240_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6241_/Y _7557_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6242_/X _6255_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6243_/Y _6248_/A1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6244_/Y _6248_/B1 (0.028::0.028) (0.028::0.028)) + (INTERCONNECT _6244_/Y _7224_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6244_/Y _7567_/B1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6244_/Y ANTENNA_50/DIODE (0.028::0.028) (0.028::0.028)) + (INTERCONNECT _6245_/Y _6247_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6246_/Y _6247_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6246_/Y _7239_/A1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6246_/Y _7559_/A1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6247_/X _6248_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6248_/X _6255_/C1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6249_/Y _6254_/A1 (0.040::0.040) (0.039::0.039)) + (INTERCONNECT _6250_/Y _6254_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6251_/Y _6253_/A2_N (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6251_/Y _6358_/A2_N (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6251_/Y _6600_/A2_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6251_/Y _6850_/A2_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6251_/Y _8814_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6252_/Y _6253_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6252_/Y ANTENNA_155/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6253_/X _6254_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6254_/X _6255_/D1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6255_/X _6326_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6256_/Y _6261_/A1 (0.042::0.042) (0.040::0.040)) + (INTERCONNECT _6256_/Y _7224_/B1 (0.022::0.022) (0.022::0.022)) + (INTERCONNECT _6256_/Y _7564_/B1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _6256_/Y ANTENNA_51/DIODE (0.042::0.042) (0.040::0.040)) + (INTERCONNECT _6257_/Y _6261_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6258_/Y _6260_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6259_/Y _6260_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6260_/X _6261_/C1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6261_/X _6280_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6262_/Y _6267_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6263_/Y _6267_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6263_/Y _7231_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6263_/Y _7561_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6264_/Y _6266_/A1 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _6264_/Y _7229_/A1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _6264_/Y ANTENNA_52/DIODE (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _6265_/Y _6266_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6266_/X _6267_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6267_/X _6280_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6268_/Y _6273_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6269_/Y _6273_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6269_/Y _7241_/A1 (0.042::0.042) (0.042::0.042)) + (INTERCONNECT _6269_/Y _7563_/B1 (0.042::0.042) (0.042::0.042)) + (INTERCONNECT _6270_/Y _6272_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6271_/Y _6272_/B1 (0.070::0.070) (0.071::0.071)) + (INTERCONNECT _6271_/Y _7227_/B1 (0.050::0.050) (0.050::0.050)) + (INTERCONNECT _6271_/Y _7568_/B1 (0.050::0.050) (0.050::0.050)) + (INTERCONNECT _6271_/Y ANTENNA_53/DIODE (0.070::0.070) (0.071::0.071)) + (INTERCONNECT _6272_/X _6273_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6273_/X _6280_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6274_/Y _6279_/A1 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _6274_/Y _7222_/A1 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _6274_/Y _7566_/B1 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _6275_/Y _6279_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6275_/Y _7236_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6275_/Y _7560_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6276_/Y _6278_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6276_/Y _7237_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6276_/Y _7556_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6277_/Y _6278_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6277_/Y _7235_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6277_/Y _7565_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6278_/X _6279_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6279_/X _6280_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6279_/X ANTENNA_54/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6280_/X _6326_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6281_/Y _6286_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6282_/Y _6286_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6282_/Y _7225_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6282_/Y _7557_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6283_/Y _6285_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6283_/Y _7238_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6283_/Y _7570_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6284_/Y _6285_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6284_/Y _7235_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6284_/Y _7568_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6285_/X _6286_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6286_/X _6307_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6287_/Y _6295_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6287_/Y _7223_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6288_/Y _6295_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6288_/Y _7232_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6288_/Y _7567_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6289_/Y _6294_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6290_/Y _6294_/B1 (0.055::0.055) (0.055::0.055)) + (INTERCONNECT _6290_/Y _7228_/A1 (0.047::0.047) (0.047::0.047)) + (INTERCONNECT _6290_/Y _7555_/A1 (0.052::0.052) (0.052::0.052)) + (INTERCONNECT _6291_/Y _6293_/A1 (0.048::0.048) (0.046::0.046)) + (INTERCONNECT _6291_/Y _7240_/A1 (0.039::0.039) (0.037::0.037)) + (INTERCONNECT _6291_/Y _7569_/A1 (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _6291_/Y ANTENNA_55/DIODE (0.048::0.048) (0.046::0.046)) + (INTERCONNECT _6292_/Y _6293_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6293_/X _6294_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6294_/X _6295_/C1 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _6295_/X _6307_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6296_/Y _6300_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6296_/Y _7230_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6297_/Y _6300_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6297_/Y _7226_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6297_/Y _7558_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6298_/Y _6299_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6298_/Y _7226_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6298_/Y _7566_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6299_/X _6300_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6300_/X _6307_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6301_/Y _6306_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6301_/Y _7231_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6301_/Y _7558_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6302_/Y _6306_/B1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6302_/Y _7234_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6302_/Y _7570_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6303_/Y _6305_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6303_/Y _7239_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6303_/Y _7555_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6304_/Y _6305_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6304_/Y _7225_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6304_/Y _7561_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6305_/X _6306_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6306_/X _6307_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6307_/X _6326_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6308_/Y _6313_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6308_/Y _7228_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6308_/Y _7564_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6309_/Y _6313_/B1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6309_/Y _7223_/A1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6309_/Y _7556_/B1 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _6310_/Y _6312_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6310_/Y _7230_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6311_/Y _6312_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6311_/Y _7241_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6312_/X _6313_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6313_/X _6325_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6314_/Y _6319_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6314_/Y _7232_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6314_/Y _7565_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6315_/Y _6319_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6315_/Y _7237_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6315_/Y _7562_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6316_/Y _6318_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6316_/Y _7229_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6316_/Y _7569_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6317_/Y _6318_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6318_/X _6319_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6319_/X _6325_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6320_/Y _6324_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6320_/Y _7234_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6320_/Y _7559_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6321_/Y _6324_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6321_/Y _7236_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6322_/Y _6323_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6322_/Y _6446_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6322_/Y _6881_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6322_/Y _8956_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6322_/Y _8976_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6322_/Y _8977_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6323_/Y _6324_/C1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6324_/X _6325_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6325_/X _6326_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6326_/Y _6327_/A2 (0.049::0.049) (0.049::0.049)) + (INTERCONNECT _6326_/Y _6955_/A1 (0.049::0.049) (0.049::0.049)) + (INTERCONNECT _6326_/Y _6967_/A1 (0.038::0.038) (0.037::0.037)) + (INTERCONNECT _6326_/Y _6979_/A1 (0.044::0.045) (0.044::0.044)) + (INTERCONNECT _6326_/Y _8954_/A1 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _6326_/Y ANTENNA_90/DIODE (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _6327_/X _9041_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6328_/Y _6333_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6328_/Y _7219_/A1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _6328_/Y _7545_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6329_/Y _6333_/B1 (0.042::0.042) (0.041::0.041)) + (INTERCONNECT _6329_/Y _7205_/A1 (0.041::0.041) (0.041::0.041)) + (INTERCONNECT _6329_/Y _7542_/B1 (0.042::0.042) (0.041::0.041)) + (INTERCONNECT _6330_/Y _6332_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6330_/Y _7390_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6330_/Y _7686_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6331_/Y _6332_/B1 (0.033::0.033) (0.033::0.033)) + (INTERCONNECT _6331_/Y _7379_/B1 (0.033::0.033) (0.033::0.033)) + (INTERCONNECT _6331_/Y _7687_/A1 (0.033::0.033) (0.033::0.033)) + (INTERCONNECT _6332_/X _6333_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6333_/X _6352_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6334_/Y _6339_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6334_/Y _7217_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6334_/Y _7537_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6335_/Y _6339_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6335_/Y _7390_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6336_/Y _6338_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6337_/Y _6338_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6337_/Y _7203_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6337_/Y _7543_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6338_/X _6339_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6339_/X _6352_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6340_/Y _6345_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6340_/Y _7381_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6340_/Y _7694_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6341_/Y _6345_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6341_/Y _7394_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6341_/Y _7683_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6342_/Y _6344_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6343_/Y _6344_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6343_/Y _7395_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6344_/X _6345_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6345_/X _6352_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6346_/Y _6351_/A1 (0.030::0.030) (0.029::0.029)) + (INTERCONNECT _6346_/Y _7214_/A1 (0.029::0.029) (0.029::0.029)) + (INTERCONNECT _6346_/Y _7542_/A1 (0.029::0.029) (0.029::0.029)) + (INTERCONNECT _6347_/Y _6351_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6347_/Y _7381_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6347_/Y _7686_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6348_/Y _6350_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6348_/Y _7376_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6348_/Y _7692_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6349_/Y _6350_/B1 (0.033::0.033) (0.033::0.033)) + (INTERCONNECT _6349_/Y _7205_/B1 (0.034::0.034) (0.033::0.033)) + (INTERCONNECT _6349_/Y _7550_/B1 (0.034::0.034) (0.034::0.034)) + (INTERCONNECT _6350_/X _6351_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6351_/X _6352_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6352_/X _6475_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6353_/Y _6366_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6353_/Y _7204_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6353_/Y _7548_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6354_/Y _6355_/A1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6355_/X _6366_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6356_/Y _6359_/B1 (0.031::0.031) (0.031::0.031)) + (INTERCONNECT _6356_/Y _7208_/A1 (0.031::0.031) (0.030::0.030)) + (INTERCONNECT _6357_/Y _6358_/B1 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _6357_/Y _7200_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6357_/Y _7545_/A1 (0.023::0.023) (0.023::0.023)) + (INTERCONNECT _6357_/Y ANTENNA_156/DIODE (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _6357_/Y ANTENNA_157/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT _6358_/X _6359_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6359_/X _6366_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6360_/Y _6365_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6360_/Y _7212_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6360_/Y _7541_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6361_/Y _6365_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6361_/Y _7388_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6361_/Y _7685_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6362_/Y _6364_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6362_/Y _7377_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6362_/Y _7682_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6363_/Y _6364_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6363_/Y _7389_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6363_/Y _7691_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6364_/X _6365_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6365_/X _6366_/D1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6366_/X _6475_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6367_/Y _6383_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6367_/Y _7210_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6367_/Y _7549_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6368_/Y _6370_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6368_/Y _7206_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6368_/Y _7546_/A1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6369_/Y _6370_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6369_/Y _7386_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6369_/Y _7693_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6370_/X _6383_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6371_/Y _6376_/A1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6371_/Y _7201_/A1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6371_/Y _7538_/B1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6372_/Y _6376_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6372_/Y _7209_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6372_/Y _7540_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6373_/Y _6375_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6373_/Y _7385_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6373_/Y _7684_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6374_/Y _6375_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6374_/Y _7382_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6374_/Y _7690_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6375_/X _6376_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6376_/X _6383_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6377_/Y _6382_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6377_/Y _7207_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6377_/Y _7551_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6378_/Y _6382_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6378_/Y _7392_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6378_/Y _7696_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6379_/Y _6381_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6379_/Y _7383_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6379_/Y _7695_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6380_/Y _6381_/B1 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _6380_/Y _7216_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6380_/Y _7552_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6381_/X _6382_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6382_/X _6383_/D1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6383_/X _6475_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6384_/Y _6389_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6385_/Y _6389_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6386_/Y _6388_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6387_/Y _6388_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6387_/Y _7378_/B1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _6387_/Y _7690_/B1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _6388_/X _6389_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6389_/X _6408_/A (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _6389_/X ANTENNA_56/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _6390_/Y _6395_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6390_/Y _7202_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6390_/Y _7546_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6391_/Y _6395_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6391_/Y _7393_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6391_/Y _7685_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6392_/Y _6394_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6392_/Y _7208_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6393_/Y _6394_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6393_/Y _7384_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6394_/X _6395_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6395_/X _6408_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6396_/Y _6401_/A1 (0.051::0.051) (0.049::0.049)) + (INTERCONNECT _6396_/Y _7206_/A1 (0.050::0.050) (0.047::0.047)) + (INTERCONNECT _6396_/Y _7537_/A1 (0.051::0.051) (0.048::0.048)) + (INTERCONNECT _6397_/Y _6401_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6397_/Y _7378_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6397_/Y _7693_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6398_/Y _6400_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6398_/Y _7213_/B1 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _6398_/Y _7547_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6399_/Y _6400_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6399_/Y _7382_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6399_/Y _7681_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6400_/X _6401_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6401_/X _6408_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6402_/Y _6407_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6402_/Y _7209_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6402_/Y _7543_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6403_/Y _6407_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6403_/Y _7218_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6403_/Y _7539_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6404_/Y _6406_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6404_/Y _7395_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6404_/Y _7689_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6405_/Y _6406_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6406_/X _6407_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6407_/X _6408_/D (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6408_/X _6474_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6409_/Y _6414_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6409_/Y _7376_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6409_/Y _7689_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6410_/Y _6414_/B1 (0.029::0.029) (0.029::0.029)) + (INTERCONNECT _6410_/Y _7219_/B1 (0.029::0.029) (0.029::0.029)) + (INTERCONNECT _6411_/Y _6413_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6412_/Y _6413_/B1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _6412_/Y _7385_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6412_/Y _7687_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6413_/X _6414_/C1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6414_/X _6433_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6415_/Y _6420_/A1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6415_/Y _7200_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6415_/Y _7548_/B1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6416_/Y _6420_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6416_/Y _7380_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6416_/Y _7684_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6417_/Y _6419_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6417_/Y _7383_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6418_/Y _6419_/B1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _6418_/Y _7393_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6418_/Y _7681_/B1 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _6419_/X _6420_/C1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6419_/X ANTENNA_57/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6420_/X _6433_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6421_/Y _6426_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6421_/Y _7215_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6421_/Y _7538_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6422_/Y _6426_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6422_/Y _7217_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6422_/Y _7541_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6423_/Y _6425_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6423_/Y _7384_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6424_/Y _6425_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6424_/Y _7391_/B1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _6424_/Y _7682_/A1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _6425_/X _6426_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6426_/X _6433_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6427_/Y _6432_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6427_/Y _7202_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6427_/Y _7549_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6428_/Y _6432_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6428_/Y _7207_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6429_/Y _6431_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6429_/Y _7394_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6429_/Y _7695_/A1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6430_/Y _6431_/B1 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _6430_/Y _7218_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6430_/Y _7551_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6431_/X _6432_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6432_/X _6433_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6433_/X _6474_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6434_/Y _6448_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6434_/Y _7389_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6434_/Y _7694_/A1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6435_/Y _6437_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6436_/Y _6437_/B1 (0.030::0.030) (0.029::0.029)) + (INTERCONNECT _6436_/Y _7214_/B1 (0.030::0.030) (0.029::0.029)) + (INTERCONNECT _6437_/X _6448_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6438_/Y _6443_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6439_/Y _6443_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6439_/Y _7210_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6439_/Y _7547_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6440_/Y _6442_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6440_/Y _7377_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6441_/Y _6442_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6441_/Y _7388_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6441_/Y _7696_/A1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _6442_/X _6443_/C1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6443_/X _6448_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6444_/Y _6447_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6445_/Y _6447_/B1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6445_/Y _7386_/B1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6445_/Y _7691_/B1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6446_/Y _6447_/C1 (0.038::0.038) (0.037::0.037)) + (INTERCONNECT _6446_/Y ANTENNA_58/DIODE (0.037::0.038) (0.037::0.037)) + (INTERCONNECT _6447_/X _6448_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6448_/X _6474_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6449_/Y _6454_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6450_/Y _6454_/B1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6451_/Y _6453_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6452_/Y _6453_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6453_/X _6454_/C1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6454_/X _6473_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6455_/Y _6460_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6455_/Y _8808_/B (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6456_/Y _6460_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6456_/Y _7215_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6456_/Y _7544_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6457_/Y _6459_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6457_/Y _7213_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6457_/Y _7550_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6458_/Y _6459_/B1 (0.038::0.038) (0.037::0.037)) + (INTERCONNECT _6458_/Y _7391_/A1 (0.036::0.036) (0.036::0.036)) + (INTERCONNECT _6458_/Y _7688_/A1 (0.037::0.037) (0.037::0.037)) + (INTERCONNECT _6459_/X _6460_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6460_/X _6473_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6461_/Y _6466_/A1 (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _6461_/Y _7201_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6461_/Y ANTENNA_158/DIODE (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _6462_/Y _6466_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6462_/Y _7204_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6462_/Y _7540_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6463_/Y _6465_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6463_/Y _7203_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6463_/Y _7539_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6464_/Y _6465_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6464_/Y _7379_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6464_/Y _7683_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6465_/X _6466_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6466_/X _6473_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6467_/Y _6472_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6467_/Y _7380_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6467_/Y _7692_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6468_/Y _6472_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6468_/Y _7212_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6468_/Y _7552_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6469_/Y _6471_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6470_/Y _6471_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6471_/X _6472_/C1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6472_/X _6473_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6473_/X _6474_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6474_/X _6475_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6475_/Y _6476_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _6475_/Y _6956_/A1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _6475_/Y _6968_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6475_/Y _6980_/A1 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _6475_/Y _8950_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6476_/X _9040_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6477_/Y _6482_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6477_/Y _7360_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6477_/Y _7672_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6478_/Y _6482_/B1 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _6478_/Y _7179_/A1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _6478_/Y _7519_/B1 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _6478_/Y _8757_/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _6478_/Y _8990_/TE_B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6479_/Y _6481_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6479_/Y _7357_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6479_/Y _7669_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6480_/Y _6481_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6480_/Y _7373_/B1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _6481_/X _6482_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6482_/X _6501_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6483_/Y _6488_/A1 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6483_/Y _7194_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _6483_/Y _7534_/B1 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _6483_/Y _8761_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6483_/Y _8992_/TE_B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6484_/Y _6488_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6484_/Y _7366_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6484_/Y _7667_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6485_/Y _6487_/A1 (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _6485_/Y _7197_/B1 (0.031::0.031) (0.030::0.030)) + (INTERCONNECT _6485_/Y _8834_/A0 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6486_/Y _6487_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6486_/Y _7367_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6486_/Y _7676_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6487_/X _6488_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6488_/X _6501_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6489_/Y _6494_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6489_/Y _7186_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6489_/Y _8797_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6489_/Y _9010_/TE_B (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6490_/Y _6494_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6490_/Y _7195_/B1 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _6490_/Y _7520_/B1 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _6490_/Y _7705_/A (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _6490_/Y _8980_/TE_B (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _6491_/Y _6493_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6491_/Y _7179_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6491_/Y _8833_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6492_/Y _6493_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6492_/Y _7188_/A1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6492_/Y _7531_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6492_/Y _8751_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6492_/Y _8987_/TE_B (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6492_/Y ANTENNA_170/DIODE (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6493_/X _6494_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6494_/X _6501_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6494_/X ANTENNA_59/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6495_/Y _6500_/A1 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _6495_/Y _7370_/A (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _6495_/Y _7678_/B1 (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _6496_/Y _6500_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6496_/Y _7181_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6496_/Y _7525_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6496_/Y _7701_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6496_/Y _8983_/TE_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6497_/Y _6499_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6497_/Y _7355_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6497_/Y _7664_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6498_/Y _6499_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6498_/Y _7364_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6498_/Y _7675_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6499_/X _6500_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6500_/X _6501_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6501_/X _6629_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6502_/Y _6507_/A1 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _6502_/Y _7184_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _6502_/Y _7528_/A1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _6502_/Y _8755_/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _6502_/Y _8989_/TE_B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6502_/Y ANTENNA_171/DIODE (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _6502_/Y ANTENNA_194/DIODE (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _6503_/Y _6507_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6503_/Y _7368_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6504_/Y _6506_/A1 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6504_/Y _7358_/A1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _6504_/Y _7674_/A1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6505_/Y _6506_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6506_/X _6507_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6507_/X _6526_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6508_/Y _6513_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6508_/Y _7367_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6508_/Y _7673_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6509_/Y _6513_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6509_/Y _7190_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6509_/Y _7523_/B1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _6509_/Y _8763_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6509_/Y _8993_/TE_B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6510_/Y _6512_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6510_/Y _7361_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6510_/Y _7677_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6511_/Y _6512_/B1 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _6511_/Y _7187_/A1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6511_/Y _7522_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6511_/Y _8753_/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _6511_/Y _8988_/TE_B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _6511_/Y ANTENNA_84/DIODE (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _6512_/X _6513_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6513_/X _6526_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6514_/Y _6519_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6514_/Y _7182_/A1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6514_/Y _7530_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6514_/Y _8749_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6514_/Y _8986_/TE_B (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _6515_/Y _6519_/B1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _6515_/Y _7185_/B1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _6515_/Y _7533_/B1 (0.024::0.024) (0.024::0.024)) + (INTERCONNECT _6515_/Y _8759_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _6515_/Y _8991_/TE_B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _6516_/Y _6518_/A1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6516_/Y _7369_/A1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _6516_/Y _7670_/A1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _6517_/Y _6518_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6517_/Y _7188_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6517_/Y _7529_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6518_/X _6519_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6519_/X _6526_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6520_/Y _6525_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6520_/Y _7191_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6520_/Y _7532_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6520_/Y _8747_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6520_/Y _8985_/TE_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6521_/Y _6525_/B1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6521_/Y ANTENNA_60/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6522_/Y _6524_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6522_/Y _7362_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6523_/Y _6524_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6523_/Y _7181_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6523_/Y _7521_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6523_/Y _8743_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6523_/Y _8979_/TE_B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6524_/X _6525_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6525_/X _6526_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6526_/X _6629_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6527_/Y _6542_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6527_/Y _7192_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6527_/Y _8799_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6527_/Y _9011_/TE_B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6528_/Y _6530_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6528_/Y _7366_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6528_/Y _7678_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6529_/Y _6530_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6529_/Y _7371_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6529_/Y _7663_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6530_/X _6542_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6531_/Y _6536_/A1 (0.027::0.027) (0.027::0.027)) + (INTERCONNECT _6532_/Y _6536_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6532_/Y _7190_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6532_/Y _7534_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6532_/Y _7699_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6532_/Y _8984_/TE_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6533_/Y _6535_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6533_/Y _7357_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6533_/Y _7665_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6534_/Y _6535_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6535_/X _6536_/C1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6536_/X _6542_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6537_/Y _6541_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6537_/Y _7193_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6537_/Y _7526_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6537_/Y _7703_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6537_/Y _8981_/TE_B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6538_/Y _6541_/B1 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _6539_/Y _6540_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6539_/Y _7358_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6539_/Y _7666_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6540_/X _6541_/C1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6541_/X _6542_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6542_/X _6629_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6543_/Y _6548_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6543_/Y _7371_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6543_/Y _7667_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6544_/Y _6548_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6544_/Y _8831_/A0 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6544_/Y _8832_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6545_/Y _6547_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6546_/Y _6547_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6547_/X _6548_/C1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6548_/X _6567_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6549_/Y _6554_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6549_/Y _7372_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6549_/Y _7677_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6550_/Y _6554_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6550_/Y _7359_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6550_/Y _7676_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6551_/Y _6553_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6551_/Y _7364_/B1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6551_/Y _7673_/B1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6552_/Y _6553_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6553_/X _6554_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6554_/X _6567_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6555_/Y _6560_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6555_/Y _7369_/B1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6555_/Y _7664_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6556_/Y _6560_/B1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6556_/Y _7354_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6556_/Y _7674_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6557_/Y _6559_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6557_/Y _7362_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6558_/Y _6559_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6559_/X _6560_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6560_/X _6567_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6561_/Y _6566_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6562_/Y _6566_/B1 (0.092::0.092) (0.087::0.087)) + (INTERCONNECT _6562_/Y _7180_/B1 (0.069::0.069) (0.066::0.066)) + (INTERCONNECT _6562_/Y _7528_/B1 (0.073::0.073) (0.069::0.069)) + (INTERCONNECT _6562_/Y _8791_/A (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _6562_/Y _9007_/TE_B (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _6562_/Y ANTENNA_87/DIODE (0.092::0.092) (0.087::0.087)) + (INTERCONNECT _6563_/Y _6565_/A1 (0.125::0.125) (0.123::0.123)) + (INTERCONNECT _6563_/Y _7196_/A1 (0.106::0.106) (0.104::0.104)) + (INTERCONNECT _6563_/Y _7533_/A1 (0.106::0.106) (0.104::0.104)) + (INTERCONNECT _6563_/Y _8783_/A (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _6563_/Y _9003_/TE_B (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _6563_/Y ANTENNA_86/DIODE (0.125::0.125) (0.123::0.123)) + (INTERCONNECT _6564_/Y _6565_/B1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6565_/X _6566_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6566_/X _6567_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6567_/X _6628_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6568_/Y _6573_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6568_/Y _7180_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6568_/Y _7531_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6568_/Y _8789_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6568_/Y _9006_/TE_B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6569_/Y _6573_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6570_/Y _6572_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6571_/Y _6572_/B1 (0.048::0.048) (0.045::0.045)) + (INTERCONNECT _6571_/Y _7178_/A1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _6571_/Y _7530_/B1 (0.046::0.046) (0.043::0.043)) + (INTERCONNECT _6571_/Y _8773_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6571_/Y _8998_/TE_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6572_/X _6573_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6573_/X _6586_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6574_/Y _6579_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6574_/Y _7356_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6574_/Y _7675_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6575_/Y _6579_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6575_/Y _7193_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6575_/Y _7519_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6575_/Y _8767_/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _6575_/Y _8995_/TE_B (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _6576_/Y _6578_/A1 (0.029::0.029) (0.029::0.029)) + (INTERCONNECT _6576_/Y _7192_/A1 (0.028::0.028) (0.028::0.028)) + (INTERCONNECT _6576_/Y _7524_/A1 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _6576_/Y _8779_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6576_/Y _9001_/TE_B (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6577_/Y _6578_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6577_/Y _7361_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6578_/X _6579_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6579_/X _6586_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6580_/Y _6585_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6580_/Y _7182_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6580_/Y _7522_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6580_/Y _8745_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6580_/Y _8982_/TE_B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6581_/Y _6585_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6581_/Y _7363_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6581_/Y _7666_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6582_/Y _6584_/A1 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _6582_/Y _7186_/A1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6582_/Y _8835_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6583_/Y _6584_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6583_/Y _7355_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6584_/X _6585_/C1 (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _6585_/X _6586_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6586_/X _6628_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6587_/Y _6602_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6587_/Y _7373_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6587_/Y _7671_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6587_/Y ANTENNA_159/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6588_/Y _6590_/A1 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _6588_/Y _7183_/A1 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _6588_/Y _7524_/B1 (0.041::0.041) (0.039::0.039)) + (INTERCONNECT _6588_/Y _8777_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6588_/Y _9000_/TE_B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6589_/Y _6590_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6589_/Y _7360_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6589_/Y _7663_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6590_/X _6602_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6590_/X ANTENNA_61/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6591_/Y _6596_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6592_/Y _6596_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6593_/Y _6595_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6594_/Y _6595_/B1 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _6594_/Y _7185_/A1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _6594_/Y _8795_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _6594_/Y _9009_/TE_B (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _6595_/X _6596_/C1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6595_/X ANTENNA_62/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6596_/X _6602_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6597_/Y _6601_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6597_/Y _7363_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6597_/Y _7669_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6598_/Y _6601_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6598_/Y _7187_/B1 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _6598_/Y _7525_/B1 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _6598_/Y _8785_/A (0.062::0.062) (0.058::0.058)) + (INTERCONNECT _6598_/Y _9004_/TE_B (0.062::0.062) (0.058::0.058)) + (INTERCONNECT _6599_/Y _6600_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6600_/X _6601_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6601_/X _6602_/D1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _6602_/X _6628_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6603_/Y _6608_/A1 (0.058::0.058) (0.058::0.058)) + (INTERCONNECT _6603_/Y _7184_/A1 (0.057::0.057) (0.056::0.056)) + (INTERCONNECT _6603_/Y _7520_/A1 (0.057::0.057) (0.057::0.057)) + (INTERCONNECT _6603_/Y _8775_/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6603_/Y _8999_/TE_B (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _6604_/Y _6608_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6604_/Y _7359_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6604_/Y _7668_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6605_/Y _6607_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6605_/Y _7197_/A1 (0.030::0.030) (0.029::0.029)) + (INTERCONNECT _6605_/Y _7527_/B1 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _6605_/Y _8769_/A (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _6605_/Y _8996_/TE_B (0.045::0.045) (0.041::0.041)) + (INTERCONNECT _6605_/Y ANTENNA_85/DIODE (0.030::0.030) (0.029::0.029)) + (INTERCONNECT _6606_/Y _6607_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6606_/Y _7368_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6606_/Y _7668_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6607_/X _6608_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6608_/X _6627_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6609_/Y _6614_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6609_/Y _7372_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6609_/Y _7665_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6610_/Y _6614_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6610_/Y _7191_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6610_/Y _7529_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6610_/Y _8765_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6610_/Y _8994_/TE_B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6610_/Y ANTENNA_172/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6610_/Y ANTENNA_195/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6611_/Y _6613_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6611_/Y _7178_/B1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6611_/Y _7527_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6611_/Y _8771_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6611_/Y _8997_/TE_B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6612_/Y _6613_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6612_/Y _7354_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6612_/Y _7671_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6613_/X _6614_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6614_/X _6627_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6615_/Y _6620_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6615_/Y _7356_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6615_/Y _7672_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6616_/Y _6620_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6616_/Y _7195_/A1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _6616_/Y _7523_/A1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _6616_/Y _8793_/A (0.045::0.045) (0.041::0.041)) + (INTERCONNECT _6616_/Y _9008_/TE_B (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _6617_/Y _6619_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6618_/Y _6619_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6619_/X _6620_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6620_/X _6627_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6621_/Y _6626_/A1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6622_/Y _6626_/B1 (0.043::0.043) (0.041::0.041)) + (INTERCONNECT _6622_/Y _7183_/B1 (0.039::0.039) (0.037::0.037)) + (INTERCONNECT _6622_/Y _7532_/B1 (0.039::0.039) (0.037::0.037)) + (INTERCONNECT _6622_/Y _8787_/A (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6622_/Y _9005_/TE_B (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _6623_/Y _6625_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6623_/Y _7196_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _6623_/Y _7521_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _6623_/Y _8781_/A (0.042::0.042) (0.041::0.041)) + (INTERCONNECT _6623_/Y _9002_/TE_B (0.043::0.043) (0.041::0.041)) + (INTERCONNECT _6624_/Y _6625_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6625_/X _6626_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6626_/X _6627_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6627_/X _6628_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6628_/X _6629_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6629_/Y _6630_/A2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6629_/Y _6957_/A1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _6629_/Y _6969_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6629_/Y _6981_/A1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6629_/Y _8953_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6630_/X _9039_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6631_/Y _6636_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6631_/Y _7172_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6631_/Y _7516_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6632_/Y _6636_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6632_/Y _7344_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6632_/Y _7649_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6633_/Y _6635_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6633_/Y _7163_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6633_/Y _7515_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6634_/Y _6635_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6634_/Y _7339_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6634_/Y _7659_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6635_/X _6636_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6636_/X _6649_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6637_/Y _6642_/A1 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _6637_/Y _7166_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6637_/Y _7513_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6638_/Y _6642_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6638_/Y _7338_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6638_/Y _7654_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6639_/Y _6641_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6639_/Y _7165_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6639_/Y _7504_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6640_/Y _6641_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6640_/Y _7341_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6640_/Y _7648_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6641_/X _6642_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6642_/X _6649_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6643_/Y _6648_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6643_/Y _7162_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6643_/Y _7510_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6644_/Y _6648_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6644_/Y _7160_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6644_/Y _7512_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6645_/Y _6647_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6645_/Y _7333_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6645_/Y _7646_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6646_/Y _6647_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6646_/Y _7342_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6646_/Y _7657_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6647_/X _6648_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6648_/X _6649_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6649_/X _6785_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6650_/Y _6655_/A1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6650_/Y _7174_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6650_/Y _7515_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6651_/Y _6655_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6651_/Y ANTENNA_63/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6652_/Y _6654_/A1 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _6652_/Y _7350_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6652_/Y _7659_/A1 (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _6653_/Y _6654_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6654_/X _6655_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6655_/X _6691_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6656_/Y _6660_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6657_/Y _6660_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6657_/Y _7339_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6658_/Y _6659_/B1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6658_/Y ANTENNA_64/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6659_/X _6660_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6660_/X _6691_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6661_/Y _6666_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6661_/Y _7168_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6661_/Y _7505_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6662_/Y _6666_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6662_/Y _7345_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6662_/Y _7655_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6663_/Y _6665_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6663_/Y _7348_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6663_/Y _7660_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6664_/Y _6665_/B1 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _6664_/Y _7157_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6664_/Y _7502_/B1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6665_/X _6666_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6666_/X _6691_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6667_/Y _6672_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6667_/Y _7159_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6667_/Y _7503_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6668_/Y _6672_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6668_/Y _7346_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6669_/Y _6671_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6670_/Y _6671_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6671_/X _6672_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6672_/X _6690_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6673_/Y _6678_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6673_/Y _7173_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6673_/Y _7501_/B1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6674_/Y _6678_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6674_/Y _7345_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6674_/Y _7658_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6675_/Y _6677_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6676_/Y _6677_/B1 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6676_/Y ANTENNA_65/DIODE (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6677_/X _6678_/C1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _6677_/X ANTENNA_66/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _6678_/X _6690_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6679_/Y _6684_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6679_/Y ANTENNA_193/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6680_/Y _6684_/B1 (0.026::0.026) (0.026::0.026)) + (INTERCONNECT _6680_/Y ANTENNA_67/DIODE (0.026::0.026) (0.026::0.026)) + (INTERCONNECT _6681_/Y _6683_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6681_/Y _7160_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6681_/Y _7504_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6682_/Y _6683_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6682_/Y _7336_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6682_/Y _7656_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6683_/X _6684_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6684_/X _6690_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6685_/Y _6689_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6685_/Y _7349_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6685_/Y _7645_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6686_/Y _6689_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6686_/Y _7170_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6687_/Y _6688_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6687_/Y _7169_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6687_/Y _7514_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6688_/X _6689_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6689_/X _6690_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6690_/X _6691_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6691_/X _6785_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6692_/Y _6697_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6692_/Y _7174_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6692_/Y _7503_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6693_/Y _6697_/B1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6693_/Y _7157_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6694_/Y _6696_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6694_/Y _7170_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6694_/Y _7506_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6695_/Y _6696_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6695_/Y _7332_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6695_/Y _7653_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6696_/X _6697_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6697_/X _6716_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6698_/Y _6703_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6699_/Y _6703_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6700_/Y _6702_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6700_/Y _7351_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6700_/Y _7653_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6700_/Y ANTENNA_160/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6701_/Y _6702_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6701_/Y _7351_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6702_/X _6703_/C1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6703_/X _6716_/B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6703_/X ANTENNA_68/DIODE (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6704_/Y _6709_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6704_/Y _7158_/B1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6704_/Y _7510_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6705_/Y _6709_/B1 (0.036::0.036) (0.035::0.035)) + (INTERCONNECT _6705_/Y _7334_/B1 (0.036::0.036) (0.035::0.035)) + (INTERCONNECT _6705_/Y _7654_/B1 (0.035::0.035) (0.035::0.035)) + (INTERCONNECT _6705_/Y ANTENNA_69/DIODE (0.036::0.036) (0.035::0.035)) + (INTERCONNECT _6706_/Y _6708_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6707_/Y _6708_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6707_/Y _7340_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6708_/X _6709_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6709_/X _6716_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6710_/Y _6715_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6710_/Y _7156_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6710_/Y _7509_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6711_/Y _6715_/B1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6711_/Y _7337_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6711_/Y _7658_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6712_/Y _6714_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6712_/Y _7349_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6712_/Y _7649_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6713_/Y _6714_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6713_/Y _7156_/A1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6713_/Y _7512_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6714_/X _6715_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6715_/X _6716_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6716_/X _6784_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6717_/Y _6722_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6717_/Y _7158_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6717_/Y _7513_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6718_/Y _6722_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6718_/Y _7347_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6718_/Y _7646_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6719_/Y _6721_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6719_/Y _7340_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6720_/Y _6721_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6720_/Y _7163_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6721_/X _6722_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6722_/X _6741_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6723_/Y _6728_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6723_/Y _7332_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6723_/Y _7656_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6724_/Y _6728_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6724_/Y _7171_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6724_/Y _7502_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6725_/Y _6727_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6725_/Y _7341_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6725_/Y _7651_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6726_/Y _6727_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6727_/X _6728_/C1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6727_/X ANTENNA_161/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6728_/X _6741_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6729_/Y _6734_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6729_/Y _7338_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6729_/Y _7645_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6730_/Y _6734_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6730_/Y _7334_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6730_/Y _7657_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6731_/Y _6733_/A1 (0.039::0.039) (0.039::0.039)) + (INTERCONNECT _6731_/Y _7347_/A1 (0.039::0.039) (0.039::0.039)) + (INTERCONNECT _6731_/Y _7652_/A1 (0.039::0.039) (0.039::0.039)) + (INTERCONNECT _6732_/Y _6733_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6732_/Y _7335_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6732_/Y _7647_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6733_/X _6734_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6734_/X _6741_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6735_/Y _6740_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6735_/Y _7161_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6735_/Y _7514_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6736_/Y _6740_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6736_/Y _7162_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6736_/Y _7501_/A1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6737_/Y _6739_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6737_/Y _7169_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6737_/Y _7511_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6738_/Y _6739_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6738_/Y _7175_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6739_/X _6740_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6740_/X _6741_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6741_/X _6784_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6742_/Y _6747_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6743_/Y _6747_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6743_/Y _7335_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6743_/Y _7651_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6744_/Y _6746_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6745_/Y _6746_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6745_/Y _7342_/B1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6745_/Y _7655_/B1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6746_/X _6747_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6747_/X _6759_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6747_/X ANTENNA_70/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6748_/Y _6752_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6748_/Y _7164_/A1 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _6749_/Y _6752_/B1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6750_/Y _6751_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6750_/Y _7344_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6750_/Y _7660_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6751_/X _6752_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6752_/X _6759_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6753_/Y _6758_/A1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _6753_/Y _7164_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6754_/Y _6758_/B1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6754_/Y _7159_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6754_/Y _7507_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6755_/Y _6757_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6755_/Y _7168_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6755_/Y _7516_/A1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _6756_/Y _6757_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6756_/Y _7333_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6757_/X _6758_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6758_/X _6759_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6759_/X _6784_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6760_/Y _6765_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6760_/Y _7161_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6760_/Y _7506_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6761_/Y _6765_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6761_/Y _7165_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6761_/Y _7507_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6762_/Y _6764_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6762_/Y _7350_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6762_/Y _7647_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6763_/Y _6764_/B1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6763_/Y _7173_/A1 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _6763_/Y _7505_/A1 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _6764_/X _6765_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6765_/X _6783_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6766_/Y _6771_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6767_/Y _6771_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6767_/Y _7175_/A1 (0.046::0.046) (0.046::0.046)) + (INTERCONNECT _6767_/Y _7509_/B1 (0.047::0.047) (0.047::0.047)) + (INTERCONNECT _6767_/Y ANTENNA_71/DIODE (0.047::0.047) (0.047::0.047)) + (INTERCONNECT _6768_/Y _6770_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6769_/Y _6770_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6770_/X _6771_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6771_/X _6783_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6772_/Y _6777_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6772_/Y _7336_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6772_/Y _7648_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6773_/Y _6777_/B1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6773_/Y _7166_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6773_/Y _7511_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6774_/Y _6776_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6775_/Y _6776_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6775_/Y _7171_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6775_/Y _7508_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6776_/X _6777_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6777_/X _6783_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6778_/Y _6782_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6779_/Y _6781_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6779_/Y _7346_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6779_/Y _7650_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6780_/Y _6781_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6780_/Y _7337_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6780_/Y _7650_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6781_/X _6782_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6782_/X _6783_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6783_/X _6784_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6784_/X _6785_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6785_/Y _6786_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _6785_/Y _6958_/A1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _6785_/Y _6970_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6785_/Y _6982_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6785_/Y _8951_/A1 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _6786_/X _9038_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6787_/Y _6792_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _6787_/Y _7136_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6787_/Y _7492_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6788_/Y _6792_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6788_/Y _7148_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6788_/Y _7488_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6789_/Y _6791_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6790_/Y _6791_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6791_/X _6792_/C1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6791_/X ANTENNA_72/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6792_/X _6830_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6793_/Y _6798_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6793_/Y _7147_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6793_/Y _7493_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6794_/Y _6798_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6795_/Y _6797_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6796_/Y _6797_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6797_/X _6798_/C1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6798_/X _6830_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6799_/Y _6804_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6799_/Y _7137_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6799_/Y _7489_/A1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6800_/Y _6804_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6800_/Y _7314_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6800_/Y _7630_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6801_/Y _6803_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6801_/Y _7325_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6801_/Y _7628_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6802_/Y _6803_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6802_/Y _7318_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6803_/X _6804_/C1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _6804_/X _6830_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6805_/Y _6810_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6805_/Y _7319_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6805_/Y _7633_/B1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6806_/Y _6810_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6807_/Y _6809_/A1 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _6808_/Y _6809_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6809_/X _6810_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6810_/X _6829_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6811_/Y _6816_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6812_/Y _6816_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6812_/Y _7328_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6812_/Y _7641_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6812_/Y ANTENNA_162/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6813_/Y _6815_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6814_/Y _6815_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6815_/X _6816_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6816_/X _6829_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6817_/Y _6822_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6818_/Y _6822_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6818_/Y _7151_/A1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6818_/Y _7487_/A1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6819_/Y _6821_/A1 (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _6819_/Y _7141_/A1 (0.025::0.025) (0.025::0.025)) + (INTERCONNECT _6819_/Y ANTENNA_163/DIODE (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _6820_/Y _6821_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6821_/X _6822_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6822_/X _6829_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6823_/Y _6828_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _6823_/Y _7152_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6823_/Y _7497_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6824_/Y _6828_/B1 (0.029::0.029) (0.029::0.029)) + (INTERCONNECT _6824_/Y _7312_/B1 (0.029::0.029) (0.029::0.029)) + (INTERCONNECT _6824_/Y _7636_/B1 (0.029::0.029) (0.029::0.029)) + (INTERCONNECT _6825_/Y _6827_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6825_/Y _7136_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6825_/Y _7495_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6826_/Y _6827_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6826_/Y _7317_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6827_/X _6828_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6828_/X _6829_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6828_/X ANTENNA_73/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6829_/X _6830_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6830_/X _6946_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6831_/Y _6836_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6832_/Y _6836_/B1 (0.047::0.047) (0.045::0.045)) + (INTERCONNECT _6832_/Y _7139_/B1 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _6832_/Y _7496_/B1 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _6832_/Y ANTENNA_74/DIODE (0.047::0.047) (0.045::0.045)) + (INTERCONNECT _6833_/Y _6835_/A1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _6833_/Y _7320_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6833_/Y _7637_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6834_/Y _6835_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6835_/X _6836_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6836_/X _6878_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6837_/Y _6842_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6838_/Y _6842_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6839_/Y _6841_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6840_/Y _6841_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6840_/Y _7318_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6841_/X _6842_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6842_/X _6878_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6843_/Y _6852_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6843_/Y _7315_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6843_/Y _7632_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6844_/Y _6846_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6844_/Y _7152_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6844_/Y _7485_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6845_/Y _6846_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6845_/Y _7315_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6845_/Y _7640_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6846_/X _6852_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6847_/Y _6851_/A1 (0.043::0.043) (0.041::0.041)) + (INTERCONNECT _6847_/Y ANTENNA_75/DIODE (0.043::0.043) (0.041::0.041)) + (INTERCONNECT _6848_/Y _6851_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6848_/Y _7312_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6848_/Y _7639_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6849_/Y _6850_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6850_/X _6851_/C1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6851_/X _6852_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6852_/X _6878_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6853_/Y _6858_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6853_/Y _7134_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6853_/Y _7494_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6854_/Y _6858_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6854_/Y _7139_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6854_/Y _7488_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6855_/Y _6857_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6855_/Y _7134_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6855_/Y _7491_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6856_/Y _6857_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6856_/Y _7327_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6856_/Y _7631_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6857_/X _6858_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6858_/X _6877_/A (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _6858_/X ANTENNA_76/DIODE (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _6859_/Y _6864_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6859_/Y _7149_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6859_/Y _7484_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6860_/Y _6864_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6860_/Y _7143_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6860_/Y _7489_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6861_/Y _6863_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6862_/Y _6863_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6862_/Y _8808_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6863_/X _6864_/C1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6864_/X _6877_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6865_/Y _6870_/A1 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _6865_/Y _7140_/A1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6865_/Y _7483_/A1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _6866_/Y _6870_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6866_/Y _7316_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6866_/Y _7627_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6867_/Y _6869_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6867_/Y _7310_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6867_/Y _7635_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6868_/Y _6869_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6868_/Y _7328_/B1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6868_/Y _7629_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6869_/X _6870_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6870_/X _6877_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6871_/Y _6876_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6871_/Y _7153_/A1 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6871_/Y _7491_/B1 (0.027::0.027) (0.027::0.027)) + (INTERCONNECT _6872_/Y _6876_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6872_/Y _7329_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6872_/Y _7635_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6873_/Y _6875_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6873_/Y _7310_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6873_/Y _7638_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6874_/Y _6875_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6874_/Y _7324_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6874_/Y _7632_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6875_/X _6876_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6876_/X _6877_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6877_/X _6878_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6878_/X _6946_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6879_/Y _6882_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6879_/Y _7144_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6879_/Y _7495_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6880_/Y _6882_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6880_/Y _7150_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6880_/Y _7498_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6881_/Y _6882_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6882_/X _6901_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6883_/Y _6888_/A1 (0.038::0.038) (0.037::0.037)) + (INTERCONNECT _6883_/Y _7314_/A1 (0.037::0.037) (0.036::0.036)) + (INTERCONNECT _6883_/Y _7638_/A1 (0.037::0.037) (0.036::0.036)) + (INTERCONNECT _6884_/Y _6888_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6884_/Y _7153_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6885_/Y _6887_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6885_/Y _7329_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6886_/Y _6887_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6887_/X _6888_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6888_/X _6901_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6889_/Y _6894_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6889_/Y _7146_/B1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6889_/Y _7498_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6890_/Y _6894_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6890_/Y _7143_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6890_/Y _7486_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6891_/Y _6893_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6891_/Y _7135_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6891_/Y _7484_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6892_/Y _6893_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6892_/Y _7324_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6893_/X _6894_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6894_/X _6901_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6895_/Y _6900_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6895_/Y _7146_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6895_/Y _7487_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6896_/Y _6900_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6896_/Y _7322_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6896_/Y _7642_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6897_/Y _6899_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6897_/Y _7320_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6897_/Y _7639_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6898_/Y _6899_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6898_/Y _7323_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6898_/Y _7637_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6899_/X _6900_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6900_/X _6901_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6901_/X _6945_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6902_/Y _6907_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6902_/Y _7327_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _6902_/Y _7627_/B1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _6903_/Y _6907_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6904_/Y _6906_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _6904_/Y _7311_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6905_/Y _6906_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6905_/Y _7142_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6906_/X _6907_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6907_/X _6925_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6908_/Y _6912_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6908_/Y _7144_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6908_/Y _7493_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6909_/Y _6912_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6909_/Y _7323_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6909_/Y _7640_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6910_/Y _6911_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6910_/Y _7137_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6910_/Y _7485_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6911_/X _6912_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6912_/X _6925_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6913_/Y _6918_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6913_/Y _7151_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6913_/Y _7483_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6914_/Y _6918_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6914_/Y _7142_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6915_/Y _6917_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6915_/Y _7148_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6916_/Y _6917_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6916_/Y _7135_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6917_/X _6918_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6918_/X _6925_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6919_/Y _6924_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6919_/Y _7149_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6919_/Y _7490_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6920_/Y _6924_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6920_/Y _7147_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6920_/Y _7496_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6921_/Y _6923_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6921_/Y _7138_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6921_/Y _7486_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6922_/Y _6923_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6922_/Y _7313_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6922_/Y _7633_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6923_/X _6924_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6924_/X _6925_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6925_/X _6945_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6926_/Y _6931_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6926_/Y _7141_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6926_/Y _7497_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _6927_/Y _6931_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6927_/Y _7311_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6927_/Y _7628_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6928_/Y _6930_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6928_/Y _7317_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6928_/Y _7641_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6929_/Y _6930_/B1 (0.043::0.043) (0.043::0.043)) + (INTERCONNECT _6929_/Y _7325_/A1 (0.043::0.043) (0.042::0.042)) + (INTERCONNECT _6929_/Y _7634_/A1 (0.043::0.043) (0.042::0.042)) + (INTERCONNECT _6930_/X _6931_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6931_/X _6944_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6932_/Y _6937_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _6932_/Y _7319_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6932_/Y _7630_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6933_/Y _6937_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6933_/Y _7138_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6933_/Y _7494_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6934_/Y _6936_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6934_/Y _7326_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6934_/Y _7642_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6935_/Y _6936_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6935_/Y _7316_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6935_/Y _7636_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6936_/X _6937_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6937_/X _6944_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6938_/Y _6943_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6938_/Y _7322_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _6938_/Y _7631_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6939_/Y _6943_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6939_/Y _7140_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6939_/Y _7492_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6940_/Y _6942_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6940_/Y _7313_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6940_/Y _7629_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6941_/Y _6942_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6942_/X _6943_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6943_/X _6944_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6944_/X _6945_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6945_/X _6946_/C (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6946_/Y _6947_/A2 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _6946_/Y _6959_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _6946_/Y _6971_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _6946_/Y _6983_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _6946_/Y _8952_/A1 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _6947_/X _9037_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6948_/X _9036_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6949_/Y _6950_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6949_/Y _6989_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6950_/X _6951_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6951_/X _6952_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6951_/X _6953_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6951_/X _6954_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6951_/X _6955_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6951_/X _6956_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6951_/X _6957_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6951_/X _6958_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6951_/X _6959_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6951_/X _6960_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6952_/Y _6953_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6952_/Y _6954_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6952_/Y _6955_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6952_/Y _6956_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6952_/Y _6957_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6952_/Y _6958_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6952_/Y _6959_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6952_/Y _6960_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6953_/X _9035_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6954_/X _9034_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6955_/X _9033_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6956_/X _9032_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6957_/X _9031_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6958_/X _9030_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6959_/X _9029_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6960_/X _9028_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6961_/Y _6962_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6961_/Y _6988_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6962_/X _6963_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6963_/X _6964_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6963_/X _6965_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6963_/X _6966_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _6963_/X _6967_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _6963_/X _6968_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _6963_/X _6969_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6963_/X _6970_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _6963_/X _6971_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6963_/X _6972_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _6964_/Y _6965_/B2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6964_/Y _6966_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6964_/Y _6967_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6964_/Y _6968_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6964_/Y _6969_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6964_/Y _6970_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6964_/Y _6971_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6964_/Y _6972_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6965_/X _9027_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6966_/X _9026_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6967_/X _9025_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6968_/X _9024_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6969_/X _9023_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6970_/X _9022_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6971_/X _9021_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6972_/X _9020_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6973_/Y _6974_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6973_/Y _6987_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6974_/X _6975_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6975_/X _6976_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6975_/X _6977_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6975_/X _6978_/A2 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _6975_/X _6979_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6975_/X _6980_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6975_/X _6981_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6975_/X _6982_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6975_/X _6983_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6975_/X _6984_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6976_/Y _6977_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6976_/Y _6978_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6976_/Y _6979_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6976_/Y _6980_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _6976_/Y _6981_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6976_/Y _6982_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6976_/Y _6983_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6976_/Y _6984_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6977_/X _9019_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6978_/X _9018_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6979_/X _9017_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6980_/X _9016_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6981_/X _9015_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6982_/X _9014_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6983_/X _9013_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6984_/X _9012_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6985_/Y _6986_/A2 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6985_/Y _6987_/A2 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6985_/Y _6988_/A2 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _6985_/Y _6989_/A2 (0.027::0.028) (0.026::0.026)) + (INTERCONNECT _6985_/Y _8809_/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _6986_/Y _9064_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6987_/Y _9066_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6988_/Y _9067_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6989_/Y _9068_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6990_/X _9049_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6991_/Y _6992_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6991_/Y _6998_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6992_/X _9053_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6993_/X _9054_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6994_/X _6996_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _6994_/X _7024_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _6994_/X _7044_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6994_/X _7052_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6994_/X _7062_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6994_/X _7064_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6994_/X _7075_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _6995_/X _6996_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6995_/X _7054_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6995_/X _7094_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6996_/Y _6998_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6996_/Y _7000_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _6997_/X _6998_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6997_/X _6999_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6998_/Y _9055_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _6999_/Y _7000_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7000_/X _9056_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7001_/X _7002_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7002_/X _9059_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7003_/X _7004_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7004_/X _7005_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7005_/Y _9048_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7006_/X _9052_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7007_/X _9051_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7008_/X _7009_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7009_/X _9050_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7010_/Y output253/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7011_/Y output251/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7012_/X _7013_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7013_/X _7014_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7013_/X output255/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7014_/Y output256/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7015_/X _7016_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7016_/X _7017_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7016_/X output259/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7017_/Y output258/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7018_/X _7019_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7019_/X output312/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7019_/X ANTENNA_96/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7019_/X ANTENNA_97/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7019_/X ANTENNA_98/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7019_/X ANTENNA_99/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7019_/X ANTENNA_100/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7020_/X _7021_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7021_/X output313/A (0.042::0.042) (0.040::0.040)) + (INTERCONNECT _7021_/X ANTENNA_178/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7021_/X ANTENNA_179/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7021_/X ANTENNA_180/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7021_/X ANTENNA_181/DIODE (0.042::0.042) (0.040::0.040)) + (INTERCONNECT _7021_/X ANTENNA_182/DIODE (0.042::0.042) (0.040::0.040)) + (INTERCONNECT _7021_/X ANTENNA_183/DIODE (0.042::0.042) (0.040::0.040)) + (INTERCONNECT _7022_/Y _8847_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7023_/X _7024_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7023_/X _7028_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7023_/X _7031_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7023_/X _7034_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7023_/X _7111_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7024_/X _7025_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7025_/X _7079_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7025_/X _7102_/A2 (0.043::0.043) (0.040::0.040)) + (INTERCONNECT _7025_/X _7141_/A2 (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _7025_/X _7163_/A2 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _7025_/X _7185_/A2 (0.046::0.046) (0.042::0.042)) + (INTERCONNECT _7025_/X _7207_/A2 (0.030::0.030) (0.029::0.029)) + (INTERCONNECT _7025_/X _7229_/A2 (0.045::0.045) (0.042::0.042)) + (INTERCONNECT _7025_/X _7251_/A2 (0.045::0.045) (0.042::0.042)) + (INTERCONNECT _7025_/X _7273_/A2 (0.045::0.045) (0.042::0.042)) + (INTERCONNECT _7025_/X _7295_/A2 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _7025_/X _7317_/A2 (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _7025_/X _7339_/A2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7025_/X _7361_/A2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7025_/X _7383_/A2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7025_/X ANTENNA_164/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7026_/X _7027_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7027_/X _7029_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7027_/X _7032_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7027_/X _7035_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7027_/X _7038_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7027_/X _7041_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7027_/X _7042_/C (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7027_/X _7046_/C (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7027_/X _7049_/C (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7027_/X _7054_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7027_/X _7057_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7027_/X _7060_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7027_/X _7066_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7027_/X _7069_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7027_/X _7071_/B (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7027_/X _7073_/C (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7028_/X _7029_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7028_/X _7075_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7028_/X _7123_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7029_/X _7030_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7030_/X _7040_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7030_/X _7122_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7030_/X _7151_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7030_/X _7173_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7030_/X _7195_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7030_/X _7217_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7030_/X _7239_/B2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7030_/X _7261_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7030_/X _7283_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7030_/X _7305_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7030_/X _7327_/B2 (0.020::0.020) (0.018::0.018)) + (INTERCONNECT _7030_/X _7349_/B2 (0.020::0.020) (0.018::0.018)) + (INTERCONNECT _7030_/X _7371_/B2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7030_/X _7393_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7031_/X _7032_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7031_/X _7064_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7031_/X _7109_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7032_/X _7033_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7033_/X _7040_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7033_/X _7107_/B2 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7033_/X _7144_/B2 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7033_/X _7166_/B2 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7033_/X _7188_/B2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7033_/X _7210_/B2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7033_/X _7232_/B2 (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _7033_/X _7254_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7033_/X _7276_/B2 (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _7033_/X _7298_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7033_/X _7320_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7033_/X _7342_/B2 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7033_/X _7364_/B2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7033_/X _7386_/B2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7034_/X _7035_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7034_/X _7044_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7034_/X _7115_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7035_/X _7036_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7036_/X _7040_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7036_/X _7092_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7036_/X _7137_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7036_/X _7159_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7036_/X _7181_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7036_/X _7203_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7036_/X _7225_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7036_/X _7247_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7036_/X _7269_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7036_/X _7291_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7036_/X _7313_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7036_/X _7335_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7036_/X _7357_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7036_/X _7379_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7037_/X _7038_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7037_/X _7042_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7037_/X _7046_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7037_/X _7060_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7037_/X _7104_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7037_/X _7117_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7037_/X _7125_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7037_/X _7127_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7038_/X _7039_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7039_/X _7040_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7039_/X _7114_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7039_/X _7147_/A2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7039_/X _7169_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7039_/X _7191_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7039_/X _7213_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7039_/X _7235_/A2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7039_/X _7257_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7039_/X _7279_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7039_/X _7301_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7039_/X _7323_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7039_/X _7345_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7039_/X _7367_/A2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7039_/X _7389_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7040_/X _7079_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7041_/X _7048_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7041_/X _7121_/B (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7041_/X _7150_/B (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7041_/X _7172_/B (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7041_/X _7194_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7041_/X _7216_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7041_/X _7238_/B (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7041_/X _7260_/B (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7041_/X _7282_/B (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _7041_/X _7304_/B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _7041_/X _7326_/B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _7041_/X _7348_/B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _7041_/X _7370_/B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _7041_/X _7392_/B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _7041_/X ANTENNA_165/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7042_/X _7043_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7043_/X _7048_/B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7043_/X _7093_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7043_/X _7138_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7043_/X _7160_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7043_/X _7182_/A2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7043_/X _7204_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7043_/X _7226_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7043_/X _7248_/A2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7043_/X _7270_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7043_/X _7292_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7043_/X _7314_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7043_/X _7336_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7043_/X _7358_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7043_/X _7380_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7044_/X _7045_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7045_/X _7048_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7045_/X _7119_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7045_/X _7148_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7045_/X _7170_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7045_/X _7192_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7045_/X _7214_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7045_/X _7236_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7045_/X _7258_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7045_/X _7280_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7045_/X _7302_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7045_/X _7324_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7045_/X _7346_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7045_/X _7368_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7045_/X _7390_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7046_/X _7047_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7047_/X _7048_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7047_/X _7107_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7047_/X _7144_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7047_/X _7166_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7047_/X _7188_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7047_/X _7210_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7047_/X _7232_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7047_/X _7254_/A2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7047_/X _7276_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7047_/X _7298_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7047_/X _7320_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7047_/X _7342_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7047_/X _7364_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7047_/X _7386_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7048_/X _7078_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7049_/X _7050_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _7050_/X _7059_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7050_/X _7102_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7050_/X _7141_/B2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7050_/X _7163_/B2 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _7050_/X _7185_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7050_/X _7207_/B2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7050_/X _7229_/B2 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7050_/X _7251_/B2 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7050_/X _7273_/B2 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7050_/X _7295_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7050_/X _7317_/B2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7050_/X _7339_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7050_/X _7361_/B2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _7050_/X _7383_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7051_/X _7052_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7051_/X _7069_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7051_/X _7085_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7052_/X _7053_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7053_/X _7059_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7053_/X _7103_/A2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7053_/X _7142_/A2 (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _7053_/X _7164_/A2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7053_/X _7186_/A2 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _7053_/X _7208_/A2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7053_/X _7230_/A2 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _7053_/X _7252_/A2 (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _7053_/X _7274_/A2 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _7053_/X _7296_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7053_/X _7318_/A2 (0.020::0.020) (0.018::0.018)) + (INTERCONNECT _7053_/X _7340_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7053_/X _7362_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7053_/X _7384_/A2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7054_/X _7055_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7055_/X _7059_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7055_/X _7092_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7055_/X _7137_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7055_/X _7159_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7055_/X _7181_/B2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7055_/X _7203_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7055_/X _7225_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7055_/X _7247_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7055_/X _7269_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7055_/X _7291_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7055_/X _7313_/B2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7055_/X _7335_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7055_/X _7357_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7055_/X _7379_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7056_/X _7057_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7056_/X _7062_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7056_/X _7087_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7057_/X _7058_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7058_/X _7059_/D (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7058_/X _7120_/A2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _7058_/X _7149_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7058_/X _7171_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7058_/X _7193_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7058_/X _7215_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7058_/X _7237_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7058_/X _7259_/A2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _7058_/X _7281_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7058_/X _7303_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7058_/X _7325_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7058_/X _7347_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7058_/X _7369_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7058_/X _7391_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7059_/X _7078_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7060_/X _7061_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7061_/X _7068_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7061_/X _7106_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7061_/X _7143_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7061_/X _7165_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7061_/X _7187_/A2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7061_/X _7209_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7061_/X _7231_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7061_/X _7253_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7061_/X _7275_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7061_/X _7297_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7061_/X _7319_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7061_/X _7341_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7061_/X _7363_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7061_/X _7385_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7062_/X _7063_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7063_/X _7068_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7063_/X _7130_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7063_/X _7153_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7063_/X _7175_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7063_/X _7197_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7063_/X _7219_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7063_/X _7241_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7063_/X _7263_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7063_/X _7285_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7063_/X _7307_/B2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7063_/X _7329_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7063_/X _7351_/B2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7063_/X _7373_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7063_/X _7395_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7064_/X _7065_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7065_/X _7068_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7065_/X _7103_/B2 (0.039::0.039) (0.036::0.036)) + (INTERCONNECT _7065_/X _7142_/B2 (0.039::0.039) (0.036::0.036)) + (INTERCONNECT _7065_/X _7164_/B2 (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _7065_/X _7186_/B2 (0.040::0.040) (0.037::0.037)) + (INTERCONNECT _7065_/X _7208_/B2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7065_/X _7230_/B2 (0.040::0.040) (0.037::0.037)) + (INTERCONNECT _7065_/X _7252_/B2 (0.039::0.039) (0.036::0.036)) + (INTERCONNECT _7065_/X _7274_/B2 (0.040::0.040) (0.037::0.037)) + (INTERCONNECT _7065_/X _7296_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7065_/X _7318_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7065_/X _7340_/B2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7065_/X _7362_/B2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7065_/X _7384_/B2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7066_/X _7067_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7067_/X _7068_/D (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7067_/X _7101_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7067_/X _7140_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7067_/X _7162_/B2 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _7067_/X _7184_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7067_/X _7206_/B2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7067_/X _7228_/B2 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _7067_/X _7250_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7067_/X _7272_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7067_/X _7294_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7067_/X _7316_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7067_/X _7338_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7067_/X _7360_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7067_/X _7382_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7068_/X _7078_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7069_/X _7070_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7070_/X _7077_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7070_/X _7093_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7070_/X _7138_/B2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7070_/X _7160_/B2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7070_/X _7182_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7070_/X _7204_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7070_/X _7226_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7070_/X _7248_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7070_/X _7270_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7070_/X _7292_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7070_/X _7314_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7070_/X _7336_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7070_/X _7358_/B2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7070_/X _7380_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7071_/X _7072_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7072_/X _7077_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7072_/X _7113_/B2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7072_/X _7146_/B2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7072_/X _7168_/B2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7072_/X _7190_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7072_/X _7212_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7072_/X _7234_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7072_/X _7256_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7072_/X _7278_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7072_/X _7300_/B2 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7072_/X _7322_/B2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7072_/X _7344_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7072_/X _7366_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7072_/X _7388_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7073_/X _7074_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7074_/X _7077_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7074_/X _7090_/A2 (0.040::0.040) (0.037::0.037)) + (INTERCONNECT _7074_/X _7135_/A2 (0.042::0.042) (0.038::0.038)) + (INTERCONNECT _7074_/X _7157_/A2 (0.041::0.041) (0.037::0.037)) + (INTERCONNECT _7074_/X _7179_/A2 (0.043::0.043) (0.039::0.039)) + (INTERCONNECT _7074_/X _7201_/A2 (0.042::0.042) (0.038::0.038)) + (INTERCONNECT _7074_/X _7223_/A2 (0.043::0.043) (0.039::0.039)) + (INTERCONNECT _7074_/X _7245_/A2 (0.043::0.043) (0.039::0.039)) + (INTERCONNECT _7074_/X _7267_/A2 (0.043::0.043) (0.039::0.039)) + (INTERCONNECT _7074_/X _7289_/A2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7074_/X _7311_/A2 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7074_/X _7333_/A2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7074_/X _7355_/A2 (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _7074_/X _7377_/A2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7075_/X _7076_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7076_/X _7077_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7076_/X _7090_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7076_/X _7135_/B2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7076_/X _7157_/B2 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7076_/X _7179_/B2 (0.036::0.036) (0.032::0.032)) + (INTERCONNECT _7076_/X _7201_/B2 (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _7076_/X _7223_/B2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7076_/X _7245_/B2 (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _7076_/X _7267_/B2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7076_/X _7289_/B2 (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _7076_/X _7311_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7076_/X _7333_/B2 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7076_/X _7355_/B2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7076_/X _7377_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7077_/X _7078_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7078_/X _7079_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7079_/X _7080_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7080_/X _8873_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7080_/X _8875_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7080_/X _8877_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7080_/X _8879_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7080_/X _8881_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7080_/X _8883_/S (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7080_/X _8885_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7080_/X _8887_/S (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7080_/X _8889_/S (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7080_/X _8891_/S (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7080_/X _8893_/S (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7080_/X _8895_/S (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7080_/X _8959_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7081_/X _7082_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7082_/X _7091_/A2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7082_/X _7136_/A2 (0.035::0.035) (0.031::0.031)) + (INTERCONNECT _7082_/X _7158_/A2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7082_/X _7180_/A2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7082_/X _7202_/A2 (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _7082_/X _7224_/A2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7082_/X _7246_/A2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7082_/X _7268_/A2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7082_/X _7290_/A2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _7082_/X _7312_/A2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _7082_/X _7334_/A2 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7082_/X _7356_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7082_/X _7378_/A2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7083_/X _7084_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7084_/X _7091_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7084_/X _7136_/B2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7084_/X _7158_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7084_/X _7180_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7084_/X _7202_/B2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7084_/X _7224_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7084_/X _7246_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7084_/X _7268_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7084_/X _7290_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7084_/X _7312_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7084_/X _7334_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7084_/X _7356_/B2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7084_/X _7378_/B2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7085_/X _7086_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7086_/X _7089_/A2 (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _7086_/X _7134_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7086_/X _7156_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7086_/X _7178_/A2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7086_/X _7200_/A2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7086_/X _7222_/A2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7086_/X _7244_/A2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7086_/X _7266_/A2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7086_/X _7288_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7086_/X _7310_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7086_/X _7332_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7086_/X _7354_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7086_/X _7376_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7087_/X _7088_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7088_/X _7089_/B2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7088_/X _7134_/B2 (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _7088_/X _7156_/B2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7088_/X _7178_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7088_/X _7200_/B2 (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _7088_/X _7222_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7088_/X _7244_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7088_/X _7266_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7088_/X _7288_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7088_/X _7310_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7088_/X _7332_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7088_/X _7354_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7088_/X _7376_/B2 (0.019::0.019) (0.017::0.017)) + (INTERCONNECT _7089_/X _7090_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7090_/X _7091_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7091_/X _7132_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7092_/X _7093_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7093_/X _7108_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7094_/X _7095_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7095_/X _7101_/A2 (0.040::0.040) (0.037::0.037)) + (INTERCONNECT _7095_/X _7140_/A2 (0.040::0.040) (0.037::0.037)) + (INTERCONNECT _7095_/X _7162_/A2 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _7095_/X _7184_/A2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7095_/X _7206_/A2 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7095_/X _7228_/A2 (0.042::0.042) (0.038::0.038)) + (INTERCONNECT _7095_/X _7250_/A2 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _7095_/X _7272_/A2 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _7095_/X _7294_/A2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7095_/X _7316_/A2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7095_/X _7338_/A2 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7095_/X _7360_/A2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7095_/X _7382_/A2 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _7096_/X _7097_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7097_/X _7100_/A2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7097_/X _7139_/A2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7097_/X _7161_/A2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7097_/X _7183_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7097_/X _7205_/A2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _7097_/X _7227_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7097_/X _7249_/A2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7097_/X _7271_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7097_/X _7293_/A2 (0.072::0.072) (0.067::0.067)) + (INTERCONNECT _7097_/X _7315_/A2 (0.072::0.072) (0.067::0.067)) + (INTERCONNECT _7097_/X _7337_/A2 (0.071::0.071) (0.067::0.067)) + (INTERCONNECT _7097_/X _7359_/A2 (0.071::0.071) (0.067::0.067)) + (INTERCONNECT _7097_/X _7381_/A2 (0.072::0.072) (0.067::0.067)) + (INTERCONNECT _7098_/X _7099_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7099_/X _7100_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7099_/X _7139_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7099_/X _7161_/B2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7099_/X _7183_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7099_/X _7205_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7099_/X _7227_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7099_/X _7249_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7099_/X _7271_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7099_/X _7293_/B2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7099_/X _7315_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7099_/X _7337_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7099_/X _7359_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7099_/X _7381_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7100_/X _7101_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7101_/X _7108_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7102_/X _7103_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7103_/X _7108_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7104_/X _7105_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7105_/X _7106_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7105_/X _7143_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7105_/X _7165_/B2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7105_/X _7187_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7105_/X _7209_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7105_/X _7231_/B2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _7105_/X _7253_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7105_/X _7275_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7105_/X _7297_/B2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7105_/X _7319_/B2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7105_/X _7341_/B2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7105_/X _7363_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7105_/X _7385_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7106_/X _7107_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7107_/X _7108_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7108_/X _7132_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7109_/X _7110_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7110_/X _7114_/B2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7110_/X _7147_/B2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7110_/X _7169_/B2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7110_/X _7191_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7110_/X _7213_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7110_/X _7235_/B2 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT _7110_/X _7257_/B2 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT _7110_/X _7279_/B2 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT _7110_/X _7301_/B2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7110_/X _7323_/B2 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7110_/X _7345_/B2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7110_/X _7367_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7110_/X _7389_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7111_/X _7112_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7112_/X _7113_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7112_/X _7146_/A2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7112_/X _7168_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7112_/X _7190_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7112_/X _7212_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7112_/X _7234_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7112_/X _7256_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7112_/X _7278_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7112_/X _7300_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7112_/X _7322_/A2 (0.029::0.029) (0.026::0.026)) + (INTERCONNECT _7112_/X _7344_/A2 (0.028::0.028) (0.025::0.025)) + (INTERCONNECT _7112_/X _7366_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7112_/X _7388_/A2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7113_/X _7114_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7114_/X _7131_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7115_/X _7116_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7116_/X _7120_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7116_/X _7149_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7116_/X _7171_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7116_/X _7193_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7116_/X _7215_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7116_/X _7237_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7116_/X _7259_/B2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7116_/X _7281_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7116_/X _7303_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7116_/X _7325_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7116_/X _7347_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7116_/X _7369_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7116_/X _7391_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7117_/X _7118_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7118_/X _7119_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7118_/X _7148_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7118_/X _7170_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7118_/X _7192_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7118_/X _7214_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7118_/X _7236_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7118_/X _7258_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7118_/X _7280_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7118_/X _7302_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7118_/X _7324_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7118_/X _7346_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7118_/X _7368_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7118_/X _7390_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7119_/X _7120_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7120_/X _7131_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7121_/X _7122_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7122_/X _7131_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7123_/X _7124_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7124_/X _7130_/A2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7124_/X _7153_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7124_/X _7175_/A2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7124_/X _7197_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7124_/X _7219_/A2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7124_/X _7241_/A2 (0.028::0.028) (0.025::0.025)) + (INTERCONNECT _7124_/X _7263_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7124_/X _7285_/A2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7124_/X _7307_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7124_/X _7329_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7124_/X _7351_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7124_/X _7373_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7124_/X _7395_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7125_/X _7126_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7126_/X _7129_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7126_/X _7152_/A2 (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _7126_/X _7174_/A2 (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _7126_/X _7196_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7126_/X _7218_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7126_/X _7240_/A2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7126_/X _7262_/A2 (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _7126_/X _7284_/A2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7126_/X _7306_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7126_/X _7328_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7126_/X _7350_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7126_/X _7372_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7126_/X _7394_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7127_/X _7128_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7128_/X _7129_/B2 (0.057::0.057) (0.054::0.054)) + (INTERCONNECT _7128_/X _7152_/B2 (0.063::0.063) (0.060::0.060)) + (INTERCONNECT _7128_/X _7174_/B2 (0.063::0.063) (0.060::0.060)) + (INTERCONNECT _7128_/X _7196_/B2 (0.059::0.059) (0.056::0.056)) + (INTERCONNECT _7128_/X _7218_/B2 (0.055::0.055) (0.053::0.053)) + (INTERCONNECT _7128_/X _7240_/B2 (0.061::0.061) (0.058::0.058)) + (INTERCONNECT _7128_/X _7262_/B2 (0.062::0.062) (0.059::0.059)) + (INTERCONNECT _7128_/X _7284_/B2 (0.062::0.062) (0.059::0.059)) + (INTERCONNECT _7128_/X _7306_/B2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7128_/X _7328_/B2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7128_/X _7350_/B2 (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _7128_/X _7372_/B2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7128_/X _7394_/B2 (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _7129_/X _7130_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7130_/X _7131_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7131_/X _7132_/C (0.001::0.001) (0.000::0.001)) + (INTERCONNECT _7132_/X _7133_/A (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _7132_/X ANTENNA_77/DIODE (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _7133_/X _8959_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7134_/X _7135_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7135_/X _7136_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7136_/X _7155_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7137_/X _7138_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7138_/X _7145_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7139_/X _7140_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7140_/X _7145_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7141_/X _7142_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7142_/X _7145_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7143_/X _7144_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7144_/X _7145_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7145_/X _7155_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7146_/X _7147_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7147_/X _7154_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7148_/X _7149_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7149_/X _7154_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7150_/X _7151_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7151_/X _7154_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7152_/X _7153_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7153_/X _7154_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7154_/X _7155_/C (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _7155_/Y _8873_/A0 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7155_/Y ANTENNA_1/DIODE (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7156_/X _7157_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7157_/X _7158_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7158_/X _7177_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7159_/X _7160_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7160_/X _7167_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7161_/X _7162_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7162_/X _7167_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7163_/X _7164_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7164_/X _7167_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7165_/X _7166_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7166_/X _7167_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7167_/X _7177_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7168_/X _7169_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7169_/X _7176_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7170_/X _7171_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7171_/X _7176_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7172_/X _7173_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7173_/X _7176_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7174_/X _7175_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7175_/X _7176_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7176_/X _7177_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7177_/Y _8875_/A0 (0.024::0.024) (0.024::0.024)) + (INTERCONNECT _7177_/Y ANTENNA_2/DIODE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT _7178_/X _7179_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7179_/X _7180_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7180_/X _7199_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7181_/X _7182_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7182_/X _7189_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7183_/X _7184_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7184_/X _7189_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7185_/X _7186_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7186_/X _7189_/C (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7187_/X _7188_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7188_/X _7189_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7189_/X _7199_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7190_/X _7191_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7191_/X _7198_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7192_/X _7193_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7193_/X _7198_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7194_/X _7195_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7195_/X _7198_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7196_/X _7197_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7197_/X _7198_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7198_/X _7199_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7199_/Y _8877_/A0 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7199_/Y ANTENNA_3/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7200_/X _7201_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7201_/X _7202_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7202_/X _7221_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7203_/X _7204_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7204_/X _7211_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7205_/X _7206_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7206_/X _7211_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7207_/X _7208_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7208_/X _7211_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7209_/X _7210_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7210_/X _7211_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7211_/X _7221_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7212_/X _7213_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7213_/X _7220_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7214_/X _7215_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7215_/X _7220_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7216_/X _7217_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7217_/X _7220_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7218_/X _7219_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7219_/X _7220_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7220_/X _7221_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7221_/Y _8879_/A0 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7221_/Y ANTENNA_4/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7221_/Y ANTENNA_5/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7222_/X _7223_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7223_/X _7224_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7224_/X _7243_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7225_/X _7226_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7226_/X _7233_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7227_/X _7228_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7228_/X _7233_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7229_/X _7230_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7230_/X _7233_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7231_/X _7232_/C1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7232_/X _7233_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7233_/X _7243_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7234_/X _7235_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7235_/X _7242_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7236_/X _7237_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7237_/X _7242_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7238_/X _7239_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7239_/X _7242_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7240_/X _7241_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7241_/X _7242_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7242_/X _7243_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7243_/Y _8881_/A0 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7243_/Y ANTENNA_6/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7244_/X _7245_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7245_/X _7246_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7246_/X _7265_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7247_/X _7248_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7248_/X _7255_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7249_/X _7250_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7250_/X _7255_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7251_/X _7252_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7252_/X _7255_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7253_/X _7254_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7254_/X _7255_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7255_/X _7265_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7256_/X _7257_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7257_/X _7264_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7258_/X _7259_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7259_/X _7264_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7260_/X _7261_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7261_/X _7264_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7262_/X _7263_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7263_/X _7264_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7264_/X _7265_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7265_/Y _8883_/A0 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _7265_/Y ANTENNA_7/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7265_/Y ANTENNA_8/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _7265_/Y ANTENNA_9/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _7266_/X _7267_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7267_/X _7268_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7268_/X _7287_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7269_/X _7270_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7270_/X _7277_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7271_/X _7272_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7272_/X _7277_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7273_/X _7274_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7274_/X _7277_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7275_/X _7276_/C1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7276_/X _7277_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7277_/X _7287_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7278_/X _7279_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7279_/X _7286_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7280_/X _7281_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7281_/X _7286_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7282_/X _7283_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7283_/X _7286_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7284_/X _7285_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7285_/X _7286_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7286_/X _7287_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7287_/Y _8885_/A0 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7287_/Y ANTENNA_10/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7287_/Y ANTENNA_11/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7287_/Y ANTENNA_12/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7287_/Y ANTENNA_189/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7288_/X _7289_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7289_/X _7290_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7290_/X _7309_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7291_/X _7292_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7292_/X _7299_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7293_/X _7294_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7294_/X _7299_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7295_/X _7296_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7296_/X _7299_/C (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7297_/X _7298_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7298_/X _7299_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7299_/X _7309_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7300_/X _7301_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7301_/X _7308_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7301_/X ANTENNA_78/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7302_/X _7303_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7303_/X _7308_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7304_/X _7305_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7305_/X _7308_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7306_/X _7307_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7307_/X _7308_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7308_/X _7309_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7309_/Y _8887_/A0 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _7310_/X _7311_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7311_/X _7312_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7312_/X _7331_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7313_/X _7314_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7314_/X _7321_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7315_/X _7316_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7316_/X _7321_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7317_/X _7318_/C1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7318_/X _7321_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7319_/X _7320_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7320_/X _7321_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7321_/X _7331_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7322_/X _7323_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7323_/X _7330_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7324_/X _7325_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7325_/X _7330_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7326_/X _7327_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7327_/X _7330_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7328_/X _7329_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7329_/X _7330_/D (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7330_/X _7331_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7331_/Y _8889_/A0 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7331_/Y ANTENNA_143/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7332_/X _7333_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7333_/X _7334_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7334_/X _7353_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7335_/X _7336_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7336_/X _7343_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7336_/X ANTENNA_79/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7337_/X _7338_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7338_/X _7343_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7339_/X _7340_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7340_/X _7343_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7341_/X _7342_/C1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7342_/X _7343_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7343_/X _7353_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7344_/X _7345_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7345_/X _7352_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7346_/X _7347_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7347_/X _7352_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7348_/X _7349_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7349_/X _7352_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7350_/X _7351_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7351_/X _7352_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7351_/X ANTENNA_80/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7352_/X _7353_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7353_/Y _8891_/A0 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _7353_/Y ANTENNA_13/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _7354_/X _7355_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7355_/X _7356_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7356_/X _7375_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7357_/X _7358_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7358_/X _7365_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7359_/X _7360_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7360_/X _7365_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7361_/X _7362_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7362_/X _7365_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7363_/X _7364_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7364_/X _7365_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7365_/X _7375_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7366_/X _7367_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7367_/X _7374_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7368_/X _7369_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7369_/X _7374_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7370_/X _7371_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7371_/X _7374_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7372_/X _7373_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7373_/X _7374_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7374_/X _7375_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7375_/Y _8893_/A0 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _7375_/Y ANTENNA_14/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _7376_/X _7377_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7377_/X _7378_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7378_/X _7397_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7379_/X _7380_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7380_/X _7387_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7381_/X _7382_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7382_/X _7387_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7383_/X _7384_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7384_/X _7387_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7385_/X _7386_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7386_/X _7387_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7387_/X _7397_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7388_/X _7389_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7389_/X _7396_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7390_/X _7391_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7391_/X _7396_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7392_/X _7393_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7393_/X _7396_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7394_/X _7395_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7395_/X _7396_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7396_/X _7397_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7397_/Y _8895_/A0 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7397_/Y ANTENNA_144/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7397_/Y ANTENNA_145/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7398_/Y _8849_/S (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7398_/Y _8851_/S (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7398_/Y _8853_/S (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7398_/Y _8855_/S (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7398_/Y _8857_/S (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7398_/Y _8859_/S (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7398_/Y _8861_/S (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7398_/Y _8863_/S (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7398_/Y _8865_/S (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7398_/Y _8867_/S (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7398_/Y _8869_/S (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7398_/Y _8871_/S (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7398_/Y _8978_/S (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7399_/X _7400_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7400_/X _7412_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7400_/X _7484_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7400_/X _7502_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7400_/X _7519_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7400_/X _7538_/A2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7400_/X _7556_/A2 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7400_/X _7574_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7400_/X _7592_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7400_/X _7610_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7400_/X _7628_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7400_/X _7646_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7400_/X _7664_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7400_/X _7682_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7401_/X _7404_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7401_/X _7416_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7401_/X _7420_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7401_/X _7450_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7401_/X _7452_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7401_/X _7454_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7401_/X _7456_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7401_/X _7466_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7402_/Y _7403_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7402_/Y _7413_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7403_/X _7404_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7403_/X _7426_/B (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7403_/X _7440_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7403_/X _7442_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7403_/X _7462_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7403_/X _7466_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7403_/X _7472_/B (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7403_/X _7476_/C (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7404_/X _7405_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7405_/X _7412_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7405_/X _7484_/B2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7405_/X _7502_/B2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7405_/X _7519_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7405_/X _7538_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7405_/X _7556_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7405_/X _7574_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7405_/X _7592_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7405_/X _7610_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7405_/X _7628_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7405_/X _7646_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7405_/X _7664_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7405_/X _7682_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7406_/X _7407_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7406_/X _7416_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7406_/X _7424_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7406_/X _7429_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7406_/X _7435_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7406_/X _7444_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7406_/X _7452_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7406_/X _7470_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7407_/X _7408_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7408_/X _7411_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7408_/X _7483_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7408_/X _7501_/A2 (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _7408_/X _7520_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7408_/X _7537_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7408_/X _7555_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7408_/X _7573_/A2 (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _7408_/X _7591_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7408_/X _7609_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7408_/X _7627_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7408_/X _7645_/A2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7408_/X _7663_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7408_/X _7681_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7409_/X _7410_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7410_/X _7411_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7410_/X _7483_/B2 (0.030::0.030) (0.027::0.027)) + (INTERCONNECT _7410_/X _7501_/B2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7410_/X _7520_/B2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7410_/X _7537_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7410_/X _7555_/B2 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7410_/X _7573_/B2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7410_/X _7591_/B2 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7410_/X _7609_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7410_/X _7627_/B2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7410_/X _7645_/B2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7410_/X _7663_/B2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7410_/X _7681_/B2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7411_/X _7412_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7412_/X _7481_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7413_/X _7414_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7413_/X _7420_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7413_/X _7431_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7413_/X _7433_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7413_/X _7450_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7413_/X _7460_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7413_/X _7464_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7413_/X _7474_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7414_/X _7415_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7415_/X _7423_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7415_/X _7486_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7415_/X _7504_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7415_/X _7522_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7415_/X _7540_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7415_/X _7558_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7415_/X _7576_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7415_/X _7594_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7415_/X _7612_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7415_/X _7630_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7415_/X _7648_/A2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7415_/X _7666_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7415_/X _7684_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7416_/X _7417_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7417_/X _7423_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7417_/X _7486_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7417_/X _7504_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7417_/X _7522_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7417_/X _7540_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7417_/X _7558_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7417_/X _7576_/B2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7417_/X _7594_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7417_/X _7612_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7417_/X _7630_/B2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7417_/X _7648_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7417_/X _7666_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7417_/X _7684_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7418_/X _7419_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7419_/X _7422_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7419_/X _7485_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7419_/X _7503_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7419_/X _7521_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7419_/X _7539_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7419_/X _7557_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7419_/X _7575_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7419_/X _7593_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7419_/X _7611_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7419_/X _7629_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7419_/X _7647_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7419_/X _7665_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7419_/X _7683_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7420_/X _7421_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7421_/X _7422_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7421_/X _7485_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7421_/X _7503_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7421_/X _7521_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7421_/X _7539_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7421_/X _7557_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7421_/X _7575_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7421_/X _7593_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7421_/X _7611_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7421_/X _7629_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7421_/X _7647_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7421_/X _7665_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7421_/X _7683_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7422_/X _7423_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7423_/X _7481_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7424_/X _7425_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7425_/X _7439_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7425_/X _7490_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7425_/X _7508_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7425_/X _7526_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7425_/X _7544_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7425_/X _7562_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7425_/X _7580_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7425_/X _7598_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7425_/X _7616_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7425_/X _7634_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7425_/X _7652_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7425_/X _7670_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7425_/X _7688_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7426_/X _7427_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7427_/X _7428_/A2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7427_/X _7487_/A2 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7427_/X _7505_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7427_/X _7523_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7427_/X _7541_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7427_/X _7559_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7427_/X _7577_/A2 (0.020::0.020) (0.018::0.018)) + (INTERCONNECT _7427_/X _7595_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7427_/X _7613_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7427_/X _7631_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7427_/X _7649_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7427_/X _7667_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7427_/X _7685_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7428_/X _7439_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7429_/X _7430_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7430_/X _7438_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7430_/X _7489_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7430_/X _7507_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7430_/X _7525_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7430_/X _7543_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7430_/X _7561_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7430_/X _7579_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7430_/X _7597_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7430_/X _7615_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7430_/X _7633_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7430_/X _7651_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7430_/X _7669_/A2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7430_/X _7687_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7431_/X _7432_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7432_/X _7438_/B2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7432_/X _7489_/B2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7432_/X _7507_/B2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7432_/X _7525_/B2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7432_/X _7543_/B2 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _7432_/X _7561_/B2 (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _7432_/X _7579_/B2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7432_/X _7597_/B2 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7432_/X _7615_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7432_/X _7633_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7432_/X _7651_/B2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7432_/X _7669_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7432_/X _7687_/B2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7433_/X _7434_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7434_/X _7437_/A2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7434_/X _7488_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7434_/X _7506_/A2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7434_/X _7524_/A2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7434_/X _7542_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7434_/X _7560_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7434_/X _7578_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7434_/X _7596_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7434_/X _7614_/A2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7434_/X _7632_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7434_/X _7650_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7434_/X _7668_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7434_/X _7686_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7435_/X _7436_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7436_/X _7437_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7436_/X _7488_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7436_/X _7506_/B2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7436_/X _7524_/B2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7436_/X _7542_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7436_/X _7560_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7436_/X _7578_/B2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _7436_/X _7596_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7436_/X _7614_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7436_/X _7632_/B2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7436_/X _7650_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7436_/X _7668_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7436_/X _7686_/B2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7437_/X _7438_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7438_/X _7439_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7439_/X _7481_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7440_/X _7441_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7441_/X _7449_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7441_/X _7492_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7441_/X _7510_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7441_/X _7528_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7441_/X _7546_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7441_/X _7564_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7441_/X _7582_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7441_/X _7600_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7441_/X _7618_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7441_/X _7636_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7441_/X _7654_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7441_/X _7672_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7441_/X _7690_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7442_/X _7443_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7443_/X _7449_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7443_/X _7492_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7443_/X _7510_/B2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7443_/X _7528_/B2 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7443_/X _7546_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7443_/X _7564_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7443_/X _7582_/B2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _7443_/X _7600_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7443_/X _7618_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7443_/X _7636_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7443_/X _7654_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7443_/X _7672_/B2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7443_/X _7690_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7444_/X _7445_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7445_/X _7448_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7445_/X _7491_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7445_/X _7509_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7445_/X _7527_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7445_/X _7545_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7445_/X _7563_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7445_/X _7581_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7445_/X _7599_/A2 (0.019::0.019) (0.017::0.017)) + (INTERCONNECT _7445_/X _7617_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7445_/X _7635_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7445_/X _7653_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7445_/X _7671_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7445_/X _7689_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7446_/X _7447_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7447_/X _7448_/B2 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7447_/X _7491_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7447_/X _7509_/B2 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7447_/X _7527_/B2 (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _7447_/X _7545_/B2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7447_/X _7563_/B2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7447_/X _7581_/B2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7447_/X _7599_/B2 (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _7447_/X _7617_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7447_/X _7635_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7447_/X _7653_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7447_/X _7671_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7447_/X _7689_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7448_/X _7449_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7449_/X _7480_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7450_/X _7451_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7451_/X _7459_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7451_/X _7494_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7451_/X _7512_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7451_/X _7530_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7451_/X _7548_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7451_/X _7566_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7451_/X _7584_/A2 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7451_/X _7602_/A2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _7451_/X _7620_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7451_/X _7638_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7451_/X _7656_/A2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7451_/X _7674_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7451_/X _7692_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7452_/X _7453_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7453_/X _7459_/B2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7453_/X _7494_/B2 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7453_/X _7512_/B2 (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7453_/X _7530_/B2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7453_/X _7548_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7453_/X _7566_/B2 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _7453_/X _7584_/B2 (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _7453_/X _7602_/B2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7453_/X _7620_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7453_/X _7638_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7453_/X _7656_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7453_/X _7674_/B2 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7453_/X _7692_/B2 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7454_/X _7455_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7455_/X _7458_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7455_/X _7493_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7455_/X _7511_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7455_/X _7529_/A2 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7455_/X _7547_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7455_/X _7565_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7455_/X _7583_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7455_/X _7601_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7455_/X _7619_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7455_/X _7637_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7455_/X _7655_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7455_/X _7673_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7455_/X _7691_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7456_/X _7457_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7457_/X _7458_/B2 (0.040::0.040) (0.037::0.037)) + (INTERCONNECT _7457_/X _7493_/B2 (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _7457_/X _7511_/B2 (0.040::0.040) (0.037::0.037)) + (INTERCONNECT _7457_/X _7529_/B2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7457_/X _7547_/B2 (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _7457_/X _7565_/B2 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _7457_/X _7583_/B2 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _7457_/X _7601_/B2 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _7457_/X _7619_/B2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7457_/X _7637_/B2 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7457_/X _7655_/B2 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _7457_/X _7673_/B2 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _7457_/X _7691_/B2 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7458_/X _7459_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7459_/X _7480_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7460_/X _7461_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7461_/X _7469_/A2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7461_/X _7496_/A2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7461_/X _7514_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7461_/X _7532_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7461_/X _7550_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7461_/X _7568_/A2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7461_/X _7586_/A2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7461_/X _7604_/A2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7461_/X _7622_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7461_/X _7640_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7461_/X _7658_/A2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7461_/X _7676_/A2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7461_/X _7694_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7462_/X _7463_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7463_/X _7469_/B2 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _7463_/X _7496_/B2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7463_/X _7514_/B2 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7463_/X _7532_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7463_/X _7550_/B2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7463_/X _7568_/B2 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7463_/X _7586_/B2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7463_/X _7604_/B2 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7463_/X _7622_/B2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7463_/X _7640_/B2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7463_/X _7658_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7463_/X _7676_/B2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7463_/X _7694_/B2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7464_/X _7465_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7465_/X _7468_/A2 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _7465_/X _7495_/A2 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _7465_/X _7513_/A2 (0.042::0.042) (0.038::0.038)) + (INTERCONNECT _7465_/X _7531_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7465_/X _7549_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7465_/X _7567_/A2 (0.043::0.043) (0.039::0.039)) + (INTERCONNECT _7465_/X _7585_/A2 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _7465_/X _7603_/A2 (0.043::0.043) (0.039::0.039)) + (INTERCONNECT _7465_/X _7621_/A2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7465_/X _7639_/A2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7465_/X _7657_/A2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7465_/X _7675_/A2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7465_/X _7693_/A2 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7466_/X _7467_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7467_/X _7468_/B2 (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _7467_/X _7495_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7467_/X _7513_/B2 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7467_/X _7531_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7467_/X _7549_/B2 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _7467_/X _7567_/B2 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7467_/X _7585_/B2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7467_/X _7603_/B2 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7467_/X _7621_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7467_/X _7639_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7467_/X _7657_/B2 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7467_/X _7675_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7467_/X _7693_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7468_/X _7469_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7469_/X _7480_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7470_/X _7471_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7471_/X _7479_/A2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7471_/X _7498_/A2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7471_/X _7516_/A2 (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _7471_/X _7534_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7471_/X _7552_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7471_/X _7570_/A2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7471_/X _7588_/A2 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7471_/X _7606_/A2 (0.034::0.034) (0.030::0.030)) + (INTERCONNECT _7471_/X _7624_/A2 (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _7471_/X _7642_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7471_/X _7660_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7471_/X _7678_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7471_/X _7696_/A2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7472_/X _7473_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7473_/X _7479_/B2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7473_/X _7498_/B2 (0.030::0.030) (0.027::0.027)) + (INTERCONNECT _7473_/X _7516_/B2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7473_/X _7534_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7473_/X _7552_/B2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7473_/X _7570_/B2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7473_/X _7588_/B2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7473_/X _7606_/B2 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7473_/X _7624_/B2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7473_/X _7642_/B2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7473_/X _7660_/B2 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7473_/X _7678_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7473_/X _7696_/B2 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7474_/X _7475_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7475_/X _7478_/A2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7475_/X _7497_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7475_/X _7515_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7475_/X _7533_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7475_/X _7551_/A2 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7475_/X _7569_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7475_/X _7587_/A2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7475_/X _7605_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7475_/X _7623_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7475_/X _7641_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7475_/X _7659_/A2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7475_/X _7677_/A2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7475_/X _7695_/A2 (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _7476_/X _7477_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7477_/X _7478_/B2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7477_/X _7497_/B2 (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _7477_/X _7515_/B2 (0.020::0.020) (0.018::0.018)) + (INTERCONNECT _7477_/X _7533_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7477_/X _7551_/B2 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7477_/X _7569_/B2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7477_/X _7587_/B2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7477_/X _7605_/B2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7477_/X _7623_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7477_/X _7641_/B2 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7477_/X _7659_/B2 (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _7477_/X _7677_/B2 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7477_/X _7695_/B2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7478_/X _7479_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7479_/X _7480_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7480_/X _7481_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7481_/X _7482_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7481_/X ANTENNA_166/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7482_/X _8978_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7483_/X _7484_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7484_/X _7500_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7485_/X _7486_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7486_/X _7500_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7487_/X _7490_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7488_/X _7489_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7489_/X _7490_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7490_/X _7500_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7491_/X _7492_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7492_/X _7499_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7493_/X _7494_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7494_/X _7499_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7495_/X _7496_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7496_/X _7499_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7497_/X _7498_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7498_/X _7499_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7499_/X _7500_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7500_/Y _8849_/A0 (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _7500_/Y ANTENNA_15/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _7501_/X _7502_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7502_/X _7518_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7503_/X _7504_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7504_/X _7518_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7505_/X _7508_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7506_/X _7507_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7507_/X _7508_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7508_/X _7518_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7509_/X _7510_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7510_/X _7517_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7511_/X _7512_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7512_/X _7517_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7513_/X _7514_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7514_/X _7517_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7515_/X _7516_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7516_/X _7517_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7517_/X _7518_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7518_/Y _8851_/A0 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _7518_/Y ANTENNA_16/DIODE (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _7519_/X _7520_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7520_/X _7536_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7521_/X _7522_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7522_/X _7536_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7523_/X _7526_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7524_/X _7525_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7525_/X _7526_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7526_/X _7536_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7527_/X _7528_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7528_/X _7535_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7529_/X _7530_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7530_/X _7535_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7531_/X _7532_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7532_/X _7535_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7533_/X _7534_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7534_/X _7535_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7535_/X _7536_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7536_/Y _8853_/A0 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7537_/X _7538_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7538_/X _7554_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7539_/X _7540_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7540_/X _7554_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7541_/X _7544_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7542_/X _7543_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7543_/X _7544_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7544_/X _7554_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7545_/X _7546_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7546_/X _7553_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7547_/X _7548_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7548_/X _7553_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7549_/X _7550_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7550_/X _7553_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7551_/X _7552_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7552_/X _7553_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7553_/X _7554_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7554_/Y _8855_/A0 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7554_/Y ANTENNA_17/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7555_/X _7556_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7556_/X _7572_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7557_/X _7558_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7558_/X _7572_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7559_/X _7562_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7560_/X _7561_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7561_/X _7562_/C1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7562_/X _7572_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7563_/X _7564_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7564_/X _7571_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7565_/X _7566_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7566_/X _7571_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7567_/X _7568_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7568_/X _7571_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7569_/X _7570_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7570_/X _7571_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7571_/X _7572_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7572_/Y _8857_/A0 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7572_/Y ANTENNA_18/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7573_/X _7574_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7574_/X _7590_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7575_/X _7576_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7576_/X _7590_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7577_/X _7580_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7578_/X _7579_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7579_/X _7580_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7580_/X _7590_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7581_/X _7582_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7582_/X _7589_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7583_/X _7584_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7584_/X _7589_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7585_/X _7586_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7586_/X _7589_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7587_/X _7588_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7588_/X _7589_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7589_/X _7590_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7590_/Y _8859_/A0 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7590_/Y ANTENNA_19/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7591_/X _7592_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7592_/X _7608_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7593_/X _7594_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7594_/X _7608_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7595_/X _7598_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7596_/X _7597_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7597_/X _7598_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7598_/X _7608_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7599_/X _7600_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7600_/X _7607_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7601_/X _7602_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7602_/X _7607_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7603_/X _7604_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7604_/X _7607_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7605_/X _7606_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7606_/X _7607_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7607_/X _7608_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7608_/Y _8861_/A0 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _7608_/Y ANTENNA_20/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _7609_/X _7610_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7610_/X _7626_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7611_/X _7612_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7612_/X _7626_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7613_/X _7616_/B1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7614_/X _7615_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7615_/X _7616_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7616_/X _7626_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7617_/X _7618_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7618_/X _7625_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7619_/X _7620_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7620_/X _7625_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7621_/X _7622_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7622_/X _7625_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7623_/X _7624_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7624_/X _7625_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7625_/X _7626_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7626_/Y _8863_/A0 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7626_/Y ANTENNA_146/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7627_/X _7628_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7628_/X _7644_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7629_/X _7630_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7630_/X _7644_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7631_/X _7634_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7632_/X _7633_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7633_/X _7634_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7634_/X _7644_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7635_/X _7636_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7636_/X _7643_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7637_/X _7638_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7638_/X _7643_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7639_/X _7640_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7640_/X _7643_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7641_/X _7642_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7642_/X _7643_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7643_/X _7644_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7644_/Y _8865_/A0 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7644_/Y ANTENNA_21/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7645_/X _7646_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7646_/X _7662_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7647_/X _7648_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7648_/X _7662_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7649_/X _7652_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7650_/X _7651_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7651_/X _7652_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7652_/X _7662_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7653_/X _7654_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7654_/X _7661_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7655_/X _7656_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7656_/X _7661_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7657_/X _7658_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7658_/X _7661_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7659_/X _7660_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7660_/X _7661_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7661_/X _7662_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7662_/Y _8867_/A0 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7662_/Y ANTENNA_22/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7663_/X _7664_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7664_/X _7680_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7665_/X _7666_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7666_/X _7680_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7667_/X _7670_/B1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7668_/X _7669_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7669_/X _7670_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7670_/X _7680_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7671_/X _7672_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7672_/X _7679_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7673_/X _7674_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7674_/X _7679_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7675_/X _7676_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7676_/X _7679_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7677_/X _7678_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7678_/X _7679_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7679_/X _7680_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7680_/Y _8869_/A0 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7680_/Y ANTENNA_23/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7681_/X _7682_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7682_/X _7698_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7683_/X _7684_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7684_/X _7698_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7685_/X _7688_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7686_/X _7687_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7687_/X _7688_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7688_/X _7698_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7689_/X _7690_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7690_/X _7697_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7691_/X _7692_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7692_/X _7697_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7693_/X _7694_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7694_/X _7697_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7695_/X _7696_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7696_/X _7697_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7697_/X _7698_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7698_/Y _8871_/A0 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7698_/Y ANTENNA_147/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7699_/X _7700_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7700_/X output242/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7701_/X _7702_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7702_/X output241/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7703_/X _7704_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7704_/X output239/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7705_/X _7706_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7706_/X output238/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7707_/Y _8898_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7708_/Y _8907_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7709_/Y _7710_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7709_/Y _7711_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7710_/X _8908_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7711_/Y _7712_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7711_/Y _7712_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7711_/Y _7713_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7711_/Y _7714_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7712_/X _8909_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7713_/X _7715_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7713_/X _7716_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7713_/X _7717_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7714_/Y _7715_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7715_/Y _8910_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7716_/Y _7717_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7716_/Y _7718_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7717_/X _8904_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7718_/Y _7719_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7718_/Y _7720_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7719_/Y _7720_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7719_/Y _7721_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7720_/X _8905_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7721_/Y _7722_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7721_/Y _7723_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7721_/Y _7725_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7722_/Y _7723_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7722_/Y _7725_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7723_/X _8899_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7724_/Y _7725_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7725_/X _8906_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7726_/Y _7728_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7726_/Y _7729_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7726_/Y _7730_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7726_/Y _8911_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7727_/Y _7728_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7727_/Y _7729_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7727_/Y _7730_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7728_/X _8912_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7729_/Y _7730_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7730_/Y _8913_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7731_/X _7732_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7732_/X _8960_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7733_/X _7735_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7734_/X _7735_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7735_/X _8960_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7736_/X _7737_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7737_/X _8961_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7738_/X _7739_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7739_/X _8962_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7740_/X _7741_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7741_/X _8963_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7742_/X _7743_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7743_/X _8964_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7744_/X _7745_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7745_/X _8965_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7746_/X _7747_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7747_/X _8966_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7748_/X _7749_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7749_/X _8967_/A0 (0.001::0.001) (0.000::0.001)) + (INTERCONNECT _7750_/X _7751_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7751_/X _8968_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7752_/Y _7754_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7752_/Y _7767_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7752_/Y _7801_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7752_/Y _7814_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7752_/Y _7821_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7752_/Y _7840_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7752_/Y _7846_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7752_/Y _7932_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7752_/Y _7956_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7752_/Y _8079_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7752_/Y _8394_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7753_/X _7754_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7753_/X _7763_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7753_/X _7766_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7753_/X _7812_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7753_/X _7821_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7753_/X _7838_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7754_/X _7755_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7754_/X _8209_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7754_/X _8215_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7754_/X _8268_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7754_/X _8276_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7754_/X _8334_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7754_/X _8494_/A3 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7754_/X _8660_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7755_/Y _7761_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7755_/Y _8299_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7755_/Y _8308_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7755_/Y _8332_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7756_/X _7757_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7757_/X _7758_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7757_/X _7808_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7757_/X _7810_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7757_/X _7828_/C (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7757_/X _7950_/C (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7757_/X _8510_/C (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7757_/X _8602_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7757_/X _8660_/C (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7757_/X _8661_/A1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7758_/Y _7761_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7758_/Y _7934_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7758_/Y _7937_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7758_/Y _8066_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7758_/Y _8282_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7758_/Y _8332_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7758_/Y _8508_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7758_/Y _8511_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7759_/X _7760_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7759_/X _7807_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7759_/X _7838_/C (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7759_/X _7880_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7759_/X _7882_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7759_/X _7950_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7759_/X _8002_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7759_/X _8004_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7759_/X _8394_/D (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7760_/Y _7761_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7760_/Y _7836_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7760_/Y _8308_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7760_/Y _8537_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7761_/X _7943_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7761_/X _8181_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7761_/X _8337_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7761_/X _8383_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7761_/X _8564_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7761_/X _8636_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7762_/Y _7763_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7762_/Y _7767_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7762_/Y _7804_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7762_/Y _7814_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7762_/Y _7821_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7762_/Y _7844_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7762_/Y _7846_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7762_/Y _7956_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7762_/Y _8118_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7762_/Y _8340_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7762_/Y _8394_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7762_/Y _8508_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7763_/X _7764_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7764_/X _7798_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7764_/X _7939_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7764_/X _8205_/A (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _7764_/X _8216_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7764_/X _8221_/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7764_/X _8229_/A (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _7764_/X _8235_/A (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _7764_/X _8242_/A (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _7764_/X _8249_/A (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _7764_/X _8258_/A (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _7764_/X _8266_/A (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7764_/X _8274_/A (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7764_/X _8334_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7764_/X _8510_/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7764_/X _8591_/A1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7765_/Y _7776_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7765_/Y _7785_/B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7765_/Y _7791_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7765_/Y _7793_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7765_/Y _7809_/D (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7765_/Y _7830_/D (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7765_/Y _7865_/D (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7765_/Y _7872_/B (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7765_/Y _7889_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7765_/Y _7903_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7765_/Y _7957_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7765_/Y _7958_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7765_/Y _7964_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7765_/Y _8189_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7765_/Y _8190_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7765_/Y _8277_/A2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7765_/Y _8495_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7765_/Y _8610_/A1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7766_/Y _7767_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7766_/Y _8508_/A4 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7767_/X _7776_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7767_/X _7785_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7767_/X _7791_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7767_/X _7793_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7768_/Y _7776_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7768_/Y _7966_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7769_/Y _7775_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7769_/Y _7789_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7769_/Y _7875_/D (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7769_/Y _7884_/B (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7769_/Y _7889_/D (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7769_/Y _7894_/D (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7769_/Y _7903_/D (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7769_/Y _7962_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7769_/Y _8192_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7769_/Y _8193_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7770_/Y _7775_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7771_/Y _7775_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7772_/Y _7774_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7772_/Y _7787_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7772_/Y _7856_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7772_/Y _7865_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7772_/Y _7867_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7772_/Y _7872_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7772_/Y _7959_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7772_/Y _7961_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7773_/Y _7774_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7773_/Y _7785_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7773_/Y _7818_/C (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7773_/Y _7830_/C (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7773_/Y _7867_/C (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7773_/Y _7872_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7773_/Y _7884_/C (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7773_/Y _7894_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7773_/Y _7903_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7773_/Y _7957_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7773_/Y _7959_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7773_/Y _7965_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7773_/Y _7976_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7773_/Y _8011_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7773_/Y _8277_/B1 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7773_/Y _8350_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7773_/Y _8538_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7773_/Y _8583_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7774_/Y _7775_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7775_/X _7776_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7775_/X _7966_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7776_/X _7777_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7776_/X _7778_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7776_/X _7783_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7777_/Y _7778_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7777_/Y _7783_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7778_/X _7784_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7778_/X _7897_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7779_/Y _7780_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7779_/Y _8008_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7779_/Y _8089_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7779_/Y _8218_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7780_/Y _7783_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7780_/Y _7969_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7780_/Y _8104_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7781_/Y _7782_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7782_/X _7783_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7782_/X _7968_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7782_/X _8008_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7782_/X _8090_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7782_/X _8107_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7782_/X _8200_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7782_/X _8218_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7783_/X _7784_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7783_/X _7898_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7784_/X _7796_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7784_/X _7824_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7784_/X _7951_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7784_/X _8084_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7785_/X _7786_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7785_/X _7787_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7786_/Y _7788_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7786_/Y _7789_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7786_/Y _7794_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7786_/Y _7823_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7787_/X _7788_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7787_/X _7789_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7788_/Y _7790_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7788_/Y _7900_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7788_/Y _8528_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7789_/X _7790_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7789_/X _7900_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7790_/Y _7795_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7790_/Y _7824_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7790_/Y _7951_/C (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7790_/Y _8471_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7790_/Y _8538_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7791_/Y _7792_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7791_/Y _7793_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7792_/Y _7794_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7792_/Y _7823_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7793_/X _7794_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7793_/X _7823_/C_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7793_/X _7951_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7793_/X _8011_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7793_/X _8525_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7793_/X _8538_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7794_/Y _7795_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7794_/Y _8516_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7795_/X _7796_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7795_/X _8010_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7795_/X _8059_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7795_/X _8085_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7795_/X _8445_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7796_/X _7797_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7797_/X _7798_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7797_/X _7803_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7797_/X _7945_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7797_/X _7949_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7797_/X _8081_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7797_/X _8088_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7797_/X _8188_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7797_/X _8484_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7798_/Y _7942_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7798_/Y _8181_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7798_/Y _8297_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7798_/Y _8339_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7798_/Y _8651_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7799_/Y _7800_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7799_/Y _7804_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7799_/Y _7814_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7799_/Y _7946_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7799_/Y _7956_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7799_/Y _8379_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7800_/X _7801_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7800_/X _7974_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7800_/X _8015_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7800_/X _8093_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7801_/X _7802_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7802_/X _7803_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7802_/X _8018_/A (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7802_/X _8022_/A (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7802_/X _8025_/A (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _7802_/X _8029_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7802_/X _8034_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7802_/X _8040_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7802_/X _8046_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7802_/X _8054_/A (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7802_/X _8442_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7802_/X _8443_/A2 (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _7802_/X _8561_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7802_/X _8622_/A3 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7802_/X _8624_/B (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _7803_/Y _7942_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7803_/Y _8181_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7803_/Y _8432_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7803_/Y _8482_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7803_/Y _8562_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7804_/X _7805_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7804_/X _7953_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7804_/X _8437_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7804_/X _8624_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7804_/X _8632_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7805_/X _7806_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7806_/X _7807_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7806_/X _8014_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7806_/X _8017_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7806_/X _8021_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7806_/X _8024_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7806_/X _8028_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7806_/X _8032_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7806_/X _8038_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7806_/X _8044_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7806_/X _8052_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7806_/X _8095_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7806_/X _8176_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7806_/X _8400_/A1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7806_/X _8521_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7806_/X _8618_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7807_/X _7808_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7807_/X _8119_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7807_/X _8555_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7808_/Y _7941_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7808_/Y _8511_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7808_/Y _8587_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7808_/Y _8634_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7809_/X _7810_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7809_/X _7878_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7810_/X _7811_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7811_/X _7816_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7811_/X _7822_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7811_/X _7939_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7811_/X _7940_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7811_/X _8071_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7811_/X _8202_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7811_/X _8334_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7811_/X _8334_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7811_/X _8379_/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7811_/X _8602_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7812_/X _7813_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7813_/X _7817_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7813_/X _7878_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7813_/X _7940_/A2 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7813_/X _8217_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7813_/X _8222_/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7813_/X _8226_/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _7813_/X _8232_/A (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _7813_/X _8239_/A (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7813_/X _8246_/A (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7813_/X _8254_/A (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7813_/X _8262_/A (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7813_/X _8270_/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _7813_/X _8341_/A (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _7813_/X _8495_/A1 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7813_/X _8503_/A1 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7813_/X _8602_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7813_/X _8661_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7814_/X _7815_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7815_/X _7816_/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7815_/X _7828_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7815_/X _7882_/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7815_/X _7983_/A (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7815_/X _8001_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7815_/X _8013_/A (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7815_/X _8020_/B1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7815_/X _8026_/A1 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7815_/X _8027_/B1 (0.030::0.030) (0.027::0.027)) + (INTERCONNECT _7815_/X _8031_/A1 (0.030::0.030) (0.027::0.027)) + (INTERCONNECT _7815_/X _8043_/A1 (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7815_/X _8049_/A1 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7815_/X _8097_/B (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7815_/X _8183_/A3 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7815_/X _8452_/B1 (0.029::0.029) (0.026::0.026)) + (INTERCONNECT _7815_/X _8456_/B1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7815_/X _8458_/B1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7815_/X _8465_/B1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7815_/X _8469_/B1 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7816_/X _7938_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7816_/X _8094_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7817_/Y _7836_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7817_/Y _7934_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7817_/Y _7937_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7818_/X _7819_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7819_/X _7820_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7819_/X _7828_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7819_/X _7842_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7819_/X _8272_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7819_/X _8274_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7819_/X _8276_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7819_/X _8510_/B (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7819_/X _8660_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7819_/X _8661_/A3 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7820_/Y _7937_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7820_/Y _8066_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7820_/Y _8110_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7820_/Y _8282_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7820_/Y _8332_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7821_/X _7822_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7821_/X _7826_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7821_/X _7880_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7821_/X _8206_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7821_/X _8272_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7821_/X _8445_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7822_/Y _7937_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7822_/Y _8178_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7822_/Y _8429_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7822_/Y _8489_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7822_/Y _8671_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7822_/Y _8703_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7823_/X _7824_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7823_/X _7899_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7824_/X _7825_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7825_/X _7826_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _7825_/X _8068_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7825_/X _8070_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7825_/X _8176_/A2 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _7825_/X _8438_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7825_/X _8515_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7825_/X _8618_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7825_/X _8618_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7826_/X _7827_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7826_/X _8175_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7827_/Y _7936_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7827_/Y _8426_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7827_/Y _8476_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7828_/X _7829_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7828_/X _8557_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7829_/Y _7935_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7829_/Y _8174_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7829_/Y _8330_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7829_/Y _8376_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7830_/X _7831_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7830_/X _7851_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7830_/X _8264_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7830_/X _8324_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7831_/Y _7934_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7831_/Y _8508_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7832_/X _7833_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7833_/X _7834_/A (0.042::0.042) (0.040::0.040)) + (INTERCONNECT _7833_/X _7869_/A (0.057::0.057) (0.054::0.054)) + (INTERCONNECT _7833_/X _7874_/B (0.056::0.056) (0.053::0.053)) + (INTERCONNECT _7833_/X _7876_/A (0.055::0.055) (0.052::0.052)) + (INTERCONNECT _7833_/X _7915_/A (0.057::0.057) (0.054::0.054)) + (INTERCONNECT _7833_/X _8205_/C (0.055::0.055) (0.052::0.052)) + (INTERCONNECT _7833_/X _8206_/B (0.049::0.049) (0.046::0.046)) + (INTERCONNECT _7833_/X _8209_/B (0.053::0.053) (0.050::0.050)) + (INTERCONNECT _7833_/X _8226_/C (0.055::0.055) (0.052::0.052)) + (INTERCONNECT _7834_/X _7835_/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7834_/X _7842_/B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7834_/X _7851_/B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7834_/X _7857_/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7834_/X _7863_/A (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _7834_/X _7888_/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7834_/X _7892_/B1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7834_/X _7905_/A1 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7834_/X _8272_/C (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7834_/X _8274_/C (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7834_/X _8276_/C (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _7834_/X _8300_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _7834_/X _8313_/A1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7834_/X _8341_/C (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7834_/X _8395_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7834_/X _8447_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _7834_/X _8494_/B1 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7834_/X _8496_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7834_/X _8608_/A1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7834_/X _8694_/A1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7835_/Y _7836_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7835_/Y _7887_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7835_/Y _7922_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7835_/Y _8308_/A3 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7835_/Y _8462_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7835_/Y _8593_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7835_/Y _8656_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7836_/X _7933_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7836_/X _8329_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7836_/X _8662_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7837_/X _7838_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7837_/X _7879_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7837_/X _8507_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7838_/X _7932_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7838_/X _8298_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7839_/Y _7840_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7839_/Y _7844_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7839_/Y _7846_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7839_/Y _7849_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7839_/Y _7946_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7839_/Y _7953_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7839_/Y _8099_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7839_/Y _8102_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7839_/Y _8192_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7839_/Y _8193_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7839_/Y _8195_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7840_/X _7841_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7841_/X _7843_/A (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7841_/X _7854_/A (0.042::0.042) (0.040::0.040)) + (INTERCONNECT _7841_/X _7860_/A (0.047::0.047) (0.044::0.044)) + (INTERCONNECT _7841_/X _7871_/A (0.046::0.046) (0.044::0.044)) + (INTERCONNECT _7841_/X _7877_/A (0.045::0.045) (0.042::0.042)) + (INTERCONNECT _7841_/X _7885_/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7841_/X _7892_/A1 (0.039::0.039) (0.037::0.037)) + (INTERCONNECT _7841_/X _7910_/A (0.046::0.046) (0.043::0.043)) + (INTERCONNECT _7841_/X _7918_/A (0.047::0.047) (0.044::0.044)) + (INTERCONNECT _7841_/X _7950_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7841_/X _8076_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7841_/X _8480_/A1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7841_/X _8494_/A1 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _7841_/X _8515_/B (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _7841_/X _8608_/A2 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT _7841_/X _8700_/A1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7842_/X _7843_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7842_/X _7848_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7842_/X _7930_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7842_/X _8328_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7842_/X _8469_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7842_/X _8507_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7843_/X _7931_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7843_/X _8507_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7843_/X _8698_/C_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7844_/X _7845_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7845_/X _7861_/A (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7845_/X _7863_/B (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7845_/X _7892_/A2 (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _7845_/X _7926_/A1 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7845_/X _7930_/A2 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _7845_/X _8070_/A2 (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7845_/X _8072_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7845_/X _8188_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7845_/X _8305_/A (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7845_/X _8465_/A1 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7845_/X _8469_/A2 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _7845_/X _8494_/A2 (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _7845_/X _8618_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _7845_/X _8700_/A2 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7846_/X _7847_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7847_/X _7848_/B (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _7847_/X _7855_/A (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _7847_/X _7893_/A1 (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _7847_/X _7902_/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7847_/X _7905_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7847_/X _7909_/A1 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7847_/X _7913_/A1 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7847_/X _7916_/A (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7847_/X _7921_/A1 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7847_/X _7945_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7847_/X _8002_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7847_/X _8063_/A (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7847_/X _8071_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7847_/X _8077_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7847_/X _8596_/A1 (0.033::0.033) (0.029::0.029)) + (INTERCONNECT _7847_/X _8726_/A1 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7848_/X _7929_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7848_/X _8693_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7849_/X _7850_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7850_/X _7853_/A (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _7850_/X _7859_/A (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _7850_/X _7888_/B (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7850_/X _7908_/A (0.043::0.043) (0.040::0.040)) + (INTERCONNECT _7850_/X _7911_/A (0.043::0.043) (0.041::0.041)) + (INTERCONNECT _7850_/X _7914_/A (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _7850_/X _7919_/A (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _7850_/X _8058_/A (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7850_/X _8084_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7850_/X _8306_/A1 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _7850_/X _8438_/B (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _7850_/X _8445_/A2 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7850_/X _8457_/A1 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _7850_/X _8480_/B1 (0.023::0.023) (0.021::0.021)) + (INTERCONNECT _7850_/X _8521_/B (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _7851_/X _7852_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7852_/X _7853_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7852_/X _7854_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7852_/X _7855_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7852_/X _7926_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7852_/X _8266_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7852_/X _8268_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7852_/X _8270_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7852_/X _8465_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7853_/X _7928_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7853_/X _8325_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7854_/Y _7927_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7854_/Y _8506_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7854_/Y _8615_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7855_/Y _7925_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7855_/Y _8599_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7856_/X _7857_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7856_/X _7862_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7856_/X _8256_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7856_/X _8260_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7857_/X _7858_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7858_/X _7859_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7858_/X _7860_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7858_/X _7921_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7858_/X _8258_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7858_/X _8262_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7859_/Y _7924_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7859_/Y _8323_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7859_/Y _8463_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7860_/Y _7923_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7860_/Y _8491_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7860_/Y _8667_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7861_/Y _7922_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7861_/Y _8299_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7861_/Y _8462_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7861_/Y _8537_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7862_/Y _7922_/A3 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7862_/Y _8322_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7862_/Y _8462_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7862_/Y _8491_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7862_/Y _8656_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7863_/X _7864_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7864_/X _7906_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7864_/X _7907_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7864_/X _7912_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7864_/X _7913_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7864_/X _7920_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7864_/X _8010_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7864_/X _8309_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7864_/X _8440_/A1_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7864_/X _8446_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7864_/X _8452_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7864_/X _8456_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7864_/X _8458_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7865_/X _7866_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7866_/X _7915_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7866_/X _7920_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7866_/X _8247_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7866_/X _8252_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7866_/X _8319_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7866_/X _8458_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7867_/X _7868_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7868_/X _7869_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7868_/X _7913_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7868_/X _8240_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7868_/X _8244_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7868_/X _8314_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7868_/X _8316_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7868_/X _8456_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7869_/X _7870_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7870_/X _7871_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7870_/X _7913_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7870_/X _7914_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7870_/X _8242_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7870_/X _8246_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7870_/X _8457_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7870_/X _8726_/A2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7871_/Y _7917_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7871_/Y _8490_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7871_/Y _8734_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7872_/X _7873_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7873_/X _7874_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7873_/X _7912_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7873_/X _8234_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7873_/X _8238_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7873_/X _8315_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7873_/X _8452_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7873_/X _8503_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7874_/X _7909_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7874_/X _7910_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7874_/X _7911_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7874_/X _8235_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7874_/X _8239_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7874_/X _8503_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7874_/X _8596_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7875_/X _7876_/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7875_/X _7907_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7875_/X _8228_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7875_/X _8230_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7875_/X _8313_/B1 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7875_/X _8440_/A2_N (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7875_/X _8502_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7876_/X _7877_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7876_/X _7893_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7876_/X _7908_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7876_/X _8229_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7876_/X _8232_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7877_/X _7909_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7877_/X _8502_/B1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _7877_/X _8665_/C_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7878_/X _7879_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7878_/X _7886_/C_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7878_/X _8591_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7879_/Y _7887_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7879_/Y _8308_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7880_/X _7881_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7880_/X _8507_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7880_/X _8602_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7880_/X _8610_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7881_/Y _7886_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7881_/Y _8122_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7881_/Y _8566_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7882_/X _7883_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7882_/X _8121_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7882_/X _8306_/D1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7882_/X _8397_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7882_/X _8591_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7882_/X _8622_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7883_/Y _7886_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7883_/Y _8122_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7883_/Y _8343_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7884_/X _7885_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7884_/X _7906_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7884_/X _8306_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7885_/X _7886_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7885_/X _8495_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7885_/X _8610_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7886_/X _7887_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7887_/Y _7907_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7888_/X _7891_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7888_/X _7896_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7888_/X _7905_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7888_/X _8442_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7889_/X _7890_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7890_/X _7891_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7890_/X _7892_/C1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7890_/X _8205_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7890_/X _8208_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7890_/X _8225_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7890_/X _8226_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7890_/X _8305_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7890_/X _8307_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7890_/X _8608_/A3 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7891_/X _7893_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7891_/X _8307_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7891_/X _8441_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7892_/X _7893_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7892_/X _8500_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7893_/X _7907_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7893_/X _8659_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7894_/X _7895_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7895_/X _7896_/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7895_/X _7905_/A3 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7895_/X _8211_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7895_/X _8212_/A1 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7895_/X _8216_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7895_/X _8217_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7895_/X _8309_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _7895_/X _8341_/B (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7895_/X _8446_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7895_/X _8494_/C1 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7895_/X _8570_/B1 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7895_/X _8700_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7896_/X _7906_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7896_/X _8443_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7896_/X _8594_/C_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7897_/Y _7898_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7897_/Y _8008_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7898_/X _7899_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7898_/X _8006_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7898_/X _8011_/C (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7898_/X _8445_/B1 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _7898_/X _8516_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7898_/X _8525_/C (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _7899_/X _7901_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7899_/X _8472_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7900_/X _7901_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7900_/X _8012_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7900_/X _8517_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7901_/X _7902_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7901_/X _8015_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7901_/X _8443_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7902_/X _7906_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7902_/X _8595_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7903_/X _7904_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7904_/X _7905_/B2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7904_/X _8215_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7904_/X _8221_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7904_/X _8222_/B (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7904_/X _8309_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7904_/X _8442_/A2 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7904_/X _8495_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7904_/X _8498_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7904_/X _8591_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7905_/X _7906_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7905_/X _8694_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7906_/X _7907_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7907_/X _7909_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7908_/X _7909_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7908_/X _8303_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7909_/X _7912_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7910_/X _7912_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7910_/X _8503_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7910_/X _8607_/D_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7911_/X _7912_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7911_/X _8314_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7911_/X _8454_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7912_/X _7913_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7913_/Y _7917_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7914_/Y _7917_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7914_/Y _8317_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7915_/X _7916_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7915_/X _7918_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7915_/X _7919_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7915_/X _8249_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7915_/X _8254_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7916_/Y _7917_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7916_/Y _8597_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7917_/Y _7920_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7918_/X _7920_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7918_/X _8492_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7919_/X _7920_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7919_/X _8320_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7920_/X _7921_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7921_/Y _7922_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7922_/X _7923_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7923_/X _7924_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7924_/X _7925_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7925_/Y _7926_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7926_/Y _7927_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7927_/Y _7928_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7928_/X _7929_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7929_/Y _7930_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7930_/X _7931_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7931_/X _7932_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7932_/Y _7933_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7933_/X _7934_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7934_/X _7935_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7935_/X _7936_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7936_/X _7937_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7937_/Y _7938_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7938_/Y _7939_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7939_/X _7940_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7940_/Y _7941_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7941_/X _7942_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7942_/X _7943_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7943_/X _7944_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7944_/X _8969_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7945_/Y _8087_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7945_/Y _8486_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7945_/Y _8514_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7946_/X _7947_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7946_/X _8079_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7946_/X _8340_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7947_/X _7948_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7948_/X _7949_/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _7948_/X _8111_/A (0.036::0.036) (0.032::0.032)) + (INTERCONNECT _7948_/X _8113_/B (0.032::0.032) (0.029::0.029)) + (INTERCONNECT _7948_/X _8129_/B (0.033::0.033) (0.030::0.030)) + (INTERCONNECT _7948_/X _8135_/B (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _7948_/X _8141_/B (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _7948_/X _8153_/B (0.036::0.036) (0.033::0.033)) + (INTERCONNECT _7948_/X _8161_/B (0.031::0.031) (0.028::0.028)) + (INTERCONNECT _7948_/X _8168_/B (0.028::0.028) (0.025::0.025)) + (INTERCONNECT _7948_/X _8279_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7948_/X _8344_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7948_/X _8348_/A1 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _7948_/X _8351_/B (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _7948_/X _8365_/A2 (0.036::0.036) (0.032::0.032)) + (INTERCONNECT _7948_/X _8378_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7949_/X _8083_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7949_/X _8185_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7950_/X _8083_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7950_/X _8187_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7951_/X _7952_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7952_/X _7955_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7952_/X _8072_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7952_/X _8076_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7952_/X _8077_/B (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _7952_/X _8095_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7952_/X _8437_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7952_/X _8480_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7952_/X _8480_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7953_/X _7954_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7954_/X _7955_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7954_/X _8004_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7954_/X _8068_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7954_/X _8114_/A (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _7954_/X _8126_/A (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7954_/X _8132_/A (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _7954_/X _8138_/A (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _7954_/X _8143_/A (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7954_/X _8149_/A (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _7954_/X _8157_/A (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _7954_/X _8164_/A (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _7954_/X _8353_/A1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7954_/X _8445_/A3 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _7954_/X _8717_/A2 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _7954_/X _8736_/A1 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _7955_/Y _8075_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7955_/Y _8286_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7955_/Y _8429_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7955_/Y _8585_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7956_/X _7957_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7956_/X _7958_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7956_/X _7964_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7956_/X _8099_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7957_/X _7961_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7957_/X _7976_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7957_/X _8195_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7958_/X _7959_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7958_/X _7964_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7958_/X _7966_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7958_/X _7976_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7959_/X _7960_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7959_/X _7962_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7959_/X _8192_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7959_/X _8193_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7960_/Y _7961_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7960_/Y _7962_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7960_/Y _8196_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7961_/Y _7963_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7961_/Y _7979_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7962_/X _7963_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7962_/X _7994_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7963_/X _7972_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7963_/X _8050_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7963_/X _8090_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7963_/X _8096_/B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _7964_/X _7965_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7964_/X _7977_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7964_/X _7984_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7964_/X _7988_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7964_/X _8091_/B (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _7965_/X _7971_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7965_/X _8557_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7965_/X _8622_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7966_/X _7967_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7966_/X _7967_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7966_/X _8089_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7966_/X _8102_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7967_/X _7968_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7967_/X _8090_/C_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7968_/X _7969_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7968_/X _8120_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7969_/X _7970_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7970_/X _7971_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7970_/X _7978_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7970_/X _7984_/C (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7970_/X _7989_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _7970_/X _8098_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7970_/X _8121_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7970_/X _8547_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7970_/X _8623_/A1 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7970_/X ANTENNA_167/DIODE (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7971_/X _7972_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7971_/X _7992_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7971_/X _8116_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7972_/X _7973_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7973_/X _8044_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7973_/X _8046_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7973_/X _8048_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7973_/X _8049_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7973_/X _8157_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7973_/X _8159_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7973_/X _8161_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7973_/X _8422_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7973_/X _8469_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7973_/X _8554_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7974_/X _7975_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7975_/X _8020_/A2 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7975_/X _8023_/A1 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _7975_/X _8027_/A1 (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _7975_/X _8030_/A1 (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _7975_/X _8036_/A1 (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _7975_/X _8042_/A1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7975_/X _8048_/A2 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _7975_/X _8056_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7975_/X _8088_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7975_/X _8170_/B (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _7975_/X _8387_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7975_/X _8389_/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _7975_/X _8484_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7975_/X _8557_/A3 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _7976_/X _7977_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7976_/X _7988_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7977_/Y _7978_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7977_/Y _8096_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _7977_/Y _8183_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7978_/X _7981_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7978_/X _7995_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7979_/Y _7980_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7979_/Y _7994_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7980_/X _7981_/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7980_/X _7985_/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7980_/X _7990_/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7980_/X _7992_/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7981_/X _7982_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7982_/X _8038_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7982_/X _8040_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7982_/X _8042_/A2 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _7982_/X _8043_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7982_/X _8149_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7982_/X _8151_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7982_/X _8153_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7982_/X _8155_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _7982_/X _8419_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7982_/X _8465_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7982_/X _8552_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7983_/Y _8037_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7983_/Y _8180_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7983_/Y _8440_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7983_/Y _8462_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7983_/Y _8544_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7983_/Y _8634_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _7984_/X _7985_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7984_/X _7997_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7985_/X _7986_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7986_/X _7987_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7986_/X _8032_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7986_/X _8034_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7986_/X _8036_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7986_/X _8143_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7986_/X _8147_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7986_/X _8365_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7986_/X _8415_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7986_/X _8551_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7987_/Y _8037_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7987_/Y _8145_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7987_/Y _8146_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7987_/Y _8462_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7987_/Y _8643_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7988_/X _7989_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7988_/X _8386_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7989_/X _7990_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7989_/X _7999_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7989_/X _8050_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7990_/X _7991_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7991_/X _8028_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7991_/X _8029_/B (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7991_/X _8030_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7991_/X _8031_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7991_/X _8138_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7991_/X _8139_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7991_/X _8141_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7991_/X _8142_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7991_/X _8412_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7991_/X _8458_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7991_/X _8550_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7991_/X _8736_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7992_/X _7993_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7993_/X _8024_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7993_/X _8025_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7993_/X _8027_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7993_/X _8027_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7993_/X _8132_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7993_/X _8134_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7993_/X _8135_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7993_/X _8137_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7993_/X _8409_/A1 (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7993_/X _8456_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7993_/X _8549_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7993_/X _8737_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7994_/Y _7995_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7994_/Y _7997_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _7994_/Y _7999_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7994_/Y _8116_/B (0.010::0.011) (0.010::0.010)) + (INTERCONNECT _7994_/Y _8622_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _7995_/X _7996_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7996_/X _8021_/B (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _7996_/X _8022_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7996_/X _8023_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7996_/X _8026_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7996_/X _8126_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _7996_/X _8128_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7996_/X _8129_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7996_/X _8130_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7996_/X _8406_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7996_/X _8452_/B2 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _7996_/X _8549_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7996_/X _8628_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _7997_/X _7998_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _7998_/X _8017_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _7998_/X _8018_/B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _7998_/X _8020_/A1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7998_/X _8020_/B2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7998_/X _8113_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7998_/X _8115_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _7998_/X _8125_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _7998_/X _8401_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _7998_/X _8548_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _7998_/X _8626_/A1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _7999_/X _8000_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8000_/X _8001_/B (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8000_/X _8014_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8000_/X _8117_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _8000_/X _8118_/C_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8000_/X _8353_/A2 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _8000_/X _8400_/A2 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _8000_/X _8402_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8000_/X _8570_/A2 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8000_/X _8626_/B1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8000_/X _8711_/A1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8001_/Y _8019_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8001_/Y _8448_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8001_/Y _8612_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8002_/X _8003_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8002_/X _8009_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8002_/X _8217_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8003_/Y _8007_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8003_/Y _8343_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8004_/X _8005_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8004_/X _8581_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8005_/Y _8007_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8005_/Y _8220_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8005_/Y _8511_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8005_/Y _8546_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8005_/Y _8566_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8005_/Y _8648_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8006_/Y _8007_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8006_/Y _8064_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8006_/Y _8522_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8006_/Y _8611_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8006_/Y _8701_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8007_/X _8016_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8007_/X _8611_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8008_/X _8009_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8008_/X _8060_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8009_/X _8010_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8009_/X _8446_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8010_/Y _8016_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8010_/Y _8522_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8011_/X _8012_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8011_/X _8523_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8012_/X _8013_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8012_/X _8015_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8012_/X _8442_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8013_/X _8014_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8013_/X _8446_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8014_/Y _8016_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8014_/Y _8701_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8015_/Y _8016_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8016_/X _8019_/B_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8017_/X _8019_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8017_/X _8402_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8017_/X _8608_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8018_/X _8019_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8018_/X _8441_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8019_/X _8020_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8020_/X _8023_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8021_/X _8023_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8021_/X _8403_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8022_/X _8023_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8022_/X _8450_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8023_/X _8026_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8024_/X _8026_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8024_/X _8407_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8025_/X _8026_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8025_/X _8454_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8025_/X _8628_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8026_/X _8027_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8027_/X _8030_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8028_/X _8030_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8028_/X _8410_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8029_/X _8030_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8029_/X _8457_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8029_/X _8736_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8030_/X _8031_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8031_/Y _8033_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8032_/Y _8033_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8032_/Y _8413_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8032_/Y _8614_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8033_/Y _8035_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8034_/X _8035_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8034_/X _8460_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8035_/X _8036_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8036_/Y _8037_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8037_/Y _8039_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8038_/X _8039_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8038_/X _8416_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8039_/Y _8041_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8040_/Y _8041_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8040_/Y _8463_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8040_/Y _8685_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8041_/Y _8042_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8042_/X _8043_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8043_/Y _8045_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8044_/Y _8045_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8044_/Y _8420_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8044_/Y _8615_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8045_/Y _8047_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8046_/X _8047_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8046_/X _8467_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8047_/X _8048_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8048_/Y _8049_/B1_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8049_/X _8053_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8050_/X _8051_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8051_/X _8052_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8051_/X _8054_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8051_/X _8056_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8051_/X _8164_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8051_/X _8166_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8051_/X _8168_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8051_/X _8424_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8051_/X _8555_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8052_/X _8053_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8052_/X _8392_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8053_/Y _8055_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8054_/Y _8055_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8054_/Y _8473_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8054_/Y _8708_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8055_/Y _8057_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8056_/X _8057_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8056_/X _8536_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8057_/Y _8062_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8058_/Y _8061_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8058_/Y _8473_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8059_/Y _8061_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8059_/Y _8064_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8059_/Y _8439_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8059_/Y _8522_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8060_/Y _8061_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8060_/Y _8439_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8060_/Y _8537_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8061_/X _8062_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8061_/X _8439_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8062_/X _8065_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8063_/Y _8064_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _8063_/Y _8066_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _8063_/Y _8439_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8063_/Y _8518_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8063_/Y _8656_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8064_/X _8065_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8064_/X _8475_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8064_/X _8670_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8064_/X _8703_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8065_/X _8067_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8066_/X _8067_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8066_/X _8284_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8066_/X _8376_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8066_/X _8426_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8066_/X _8539_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8067_/Y _8069_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8068_/X _8069_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8068_/X _8203_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8069_/Y _8070_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8070_/Y _8074_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8071_/Y _8073_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8071_/Y _8287_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8071_/Y _8333_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8071_/Y _8489_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8071_/Y _8669_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8071_/Y _8691_/A1 (0.006::0.006) (0.005::0.006)) + (INTERCONNECT _8071_/Y _8713_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _8072_/Y _8073_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8072_/Y _8540_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8073_/X _8074_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8073_/X _8704_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8074_/X _8075_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8075_/Y _8076_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8076_/Y _8078_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8077_/Y _8078_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8077_/Y _8606_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8077_/Y _8672_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8078_/Y _8082_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8079_/X _8080_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8080_/X _8081_/B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _8080_/X _8112_/A (0.059::0.059) (0.056::0.056)) + (INTERCONNECT _8080_/X _8128_/B (0.058::0.058) (0.055::0.055)) + (INTERCONNECT _8080_/X _8134_/B (0.059::0.059) (0.055::0.055)) + (INTERCONNECT _8080_/X _8139_/B (0.059::0.059) (0.056::0.056)) + (INTERCONNECT _8080_/X _8151_/B (0.060::0.060) (0.056::0.056)) + (INTERCONNECT _8080_/X _8159_/B (0.054::0.054) (0.051::0.051)) + (INTERCONNECT _8080_/X _8166_/B (0.050::0.050) (0.047::0.047)) + (INTERCONNECT _8080_/X _8565_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8080_/X _8640_/B (0.045::0.045) (0.042::0.042)) + (INTERCONNECT _8080_/X _8717_/B2 (0.045::0.045) (0.042::0.042)) + (INTERCONNECT _8081_/X _8082_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8081_/X _8186_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8082_/X _8083_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8083_/X _8086_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8084_/X _8085_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8084_/X _8538_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8085_/X _8086_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8085_/X _8484_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8086_/Y _8087_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8087_/X _8296_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8088_/Y _8182_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8088_/Y _8433_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8089_/X _8090_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8089_/X _8120_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8090_/X _8091_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8090_/X _8183_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8090_/X _8386_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _8090_/X _8557_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _8091_/X _8092_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8091_/X _8093_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8092_/Y _8180_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8092_/Y _8634_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8093_/Y _8179_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8093_/Y _8431_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8093_/Y _8634_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8094_/Y _8177_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8094_/Y _8333_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8094_/Y _8489_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _8094_/Y _8680_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8094_/Y _8687_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8094_/Y _8720_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8095_/Y _8177_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8095_/Y _8560_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8096_/X _8097_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8096_/X _8170_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8097_/X _8098_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8097_/X _8388_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8098_/Y _8173_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8098_/Y _8425_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8098_/Y _8688_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8099_/X _8100_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8100_/X _8101_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _8100_/X _8125_/A (0.065::0.065) (0.061::0.061)) + (INTERCONNECT _8100_/X _8130_/A (0.066::0.066) (0.062::0.062)) + (INTERCONNECT _8100_/X _8137_/A (0.067::0.067) (0.063::0.063)) + (INTERCONNECT _8100_/X _8142_/A (0.068::0.068) (0.063::0.063)) + (INTERCONNECT _8100_/X _8147_/A (0.068::0.068) (0.064::0.064)) + (INTERCONNECT _8100_/X _8155_/A (0.068::0.068) (0.064::0.064)) + (INTERCONNECT _8100_/X _8189_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8100_/X _8190_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8100_/X _8202_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _8100_/X _8213_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8100_/X _8277_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8100_/X _8399_/A1 (0.061::0.061) (0.057::0.057)) + (INTERCONNECT _8100_/X _8402_/A1 (0.064::0.064) (0.060::0.060)) + (INTERCONNECT _8100_/X _8568_/A1 (0.060::0.060) (0.056::0.056)) + (INTERCONNECT _8100_/X _8570_/A1 (0.063::0.063) (0.059::0.059)) + (INTERCONNECT _8101_/Y _8110_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8101_/Y _8282_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8101_/Y _8289_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8101_/Y _8625_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8102_/X _8103_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8102_/X _8104_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8102_/X _8105_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8103_/Y _8104_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8103_/Y _8105_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8104_/X _8107_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8104_/X _8200_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8105_/X _8106_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8105_/X _8200_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8106_/Y _8107_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8106_/Y _8218_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8107_/X _8108_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8108_/X _8109_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _8108_/X _8204_/B (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _8108_/X _8221_/C (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8108_/X _8222_/C (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8108_/X _8311_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _8108_/X _8344_/A3 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8108_/X _8349_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8108_/X _8351_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8108_/X _8567_/A1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8108_/X _8569_/A1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8108_/X _8640_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8108_/X _8717_/A1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8108_/X _8717_/B1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _8109_/Y _8110_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8109_/Y _8220_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8109_/Y _8343_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8110_/X _8163_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8110_/X _8423_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8110_/X _8579_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8110_/X _8708_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8111_/Y _8146_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8111_/Y _8544_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8111_/Y _8584_/A2 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _8112_/Y _8124_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _8112_/Y _8145_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8112_/Y _8288_/A2 (0.021::0.021) (0.021::0.021)) + (INTERCONNECT _8112_/Y _8390_/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _8112_/Y _8639_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _8112_/Y _8643_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8113_/Y _8127_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8113_/Y _8354_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8114_/Y _8124_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8114_/Y _8342_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8115_/Y _8124_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8115_/Y _8124_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8115_/Y _8342_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8115_/Y _8440_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8115_/Y _8639_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8115_/Y _8684_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8116_/X _8117_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8116_/X _8399_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8116_/X _8711_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8117_/Y _8123_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8117_/Y _8393_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8118_/X _8123_/A4 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8119_/Y _8122_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8119_/Y _8546_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8120_/X _8121_/A2 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _8120_/X _8171_/B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _8120_/X _8388_/A2 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _8120_/X _8397_/B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _8120_/X _8555_/A2 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _8121_/Y _8122_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8121_/Y _8398_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8122_/X _8123_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8123_/X _8124_/C1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _8124_/X _8127_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8125_/Y _8127_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8125_/Y _8404_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8125_/Y _8571_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8126_/Y _8127_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8126_/Y _8355_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8126_/Y _8683_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8127_/X _8131_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8128_/Y _8131_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8128_/Y _8676_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8129_/Y _8131_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8129_/Y _8357_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8130_/Y _8131_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8130_/Y _8408_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8130_/Y _8573_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8131_/X _8136_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8132_/X _8133_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8132_/X _8628_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8133_/Y _8136_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8133_/Y _8358_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8134_/Y _8136_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8134_/Y _8642_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8135_/Y _8136_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8135_/Y _8359_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8136_/X _8140_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8137_/Y _8140_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8137_/Y _8411_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8137_/Y _8574_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8138_/Y _8140_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8138_/Y _8361_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8139_/Y _8140_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8139_/Y _8730_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8140_/X _8144_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8141_/Y _8144_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8141_/Y _8362_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8142_/Y _8144_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8142_/Y _8413_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8142_/Y _8575_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8143_/Y _8144_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8143_/Y _8363_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8143_/Y _8627_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8144_/X _8145_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8145_/X _8146_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8146_/X _8148_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8147_/Y _8148_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8147_/Y _8417_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8147_/Y _8577_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8148_/X _8150_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8149_/Y _8150_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8149_/Y _8366_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8149_/Y _8685_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8150_/X _8152_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8151_/Y _8152_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8151_/Y _8674_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8152_/X _8154_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8153_/Y _8154_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8153_/Y _8367_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8154_/X _8156_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8155_/Y _8156_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8155_/Y _8420_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8155_/Y _8578_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8156_/X _8158_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8157_/Y _8158_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8157_/Y _8369_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8157_/Y _8630_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8158_/X _8160_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8159_/Y _8160_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8159_/Y _8645_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8160_/X _8162_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8161_/Y _8162_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8161_/Y _8370_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8162_/X _8163_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8163_/X _8165_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8164_/Y _8165_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8164_/Y _8371_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8164_/Y _8708_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8165_/X _8167_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8166_/Y _8167_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8166_/Y _8715_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8167_/X _8172_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8168_/X _8169_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8168_/X _8555_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8169_/Y _8172_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8169_/Y _8373_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8170_/X _8171_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8170_/X _8396_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8171_/X _8172_/C_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8171_/X _8388_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8172_/X _8173_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8173_/X _8174_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8174_/Y _8175_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8175_/Y _8176_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8176_/Y _8177_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8177_/X _8178_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8178_/X _8179_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8179_/X _8180_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8180_/Y _8181_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8181_/X _8182_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8182_/Y _8184_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8183_/Y _8184_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8183_/Y _8435_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8183_/Y _8564_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8183_/Y _8636_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8184_/X _8295_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8185_/Y _8292_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8185_/Y _8383_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8185_/Y _8433_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8185_/Y _8514_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8186_/Y _8291_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8186_/Y _8339_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8186_/Y _8432_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8186_/Y _8562_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8186_/Y _8650_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8186_/Y _8672_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8187_/Y _8291_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8187_/Y _8337_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8187_/Y _8482_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8187_/Y _8589_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8187_/Y _8650_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8188_/Y _8290_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8188_/Y _8297_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8188_/Y _8587_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8188_/Y _8606_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8189_/Y _8190_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8189_/Y _8277_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8190_/Y _8191_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8190_/Y _8278_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8190_/Y _8345_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8191_/Y _8198_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8191_/Y _8350_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8191_/Y _8583_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8192_/X _8197_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8192_/X _8346_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8193_/X _8194_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8193_/X _8204_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8193_/X _8293_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8193_/X _8374_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8194_/Y _8197_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8194_/Y _8346_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8195_/Y _8196_/A2_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8196_/X _8197_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8196_/X _8346_/C_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8197_/Y _8198_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8197_/Y _8278_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8197_/Y _8377_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8197_/Y _8583_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8198_/X _8199_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8198_/X _8213_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8199_/Y _8288_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8199_/Y _8289_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8199_/Y _8340_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8200_/X _8201_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8200_/X _8293_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8200_/X _8377_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8201_/Y _8288_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8201_/Y _8289_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8201_/Y _8340_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8201_/Y _8584_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8201_/Y _8648_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8202_/Y _8286_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8202_/Y _8663_/D (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8202_/Y _8679_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8202_/Y _8721_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8203_/Y _8285_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8203_/Y _8476_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8204_/Y _8281_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8204_/Y _8375_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8204_/Y _8678_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8205_/Y _8227_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8205_/Y _8354_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8205_/Y _8595_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8206_/X _8207_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8207_/X _8208_/B (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _8207_/X _8211_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _8207_/X _8228_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8207_/X _8234_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8207_/X _8240_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8207_/X _8247_/B (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _8207_/X _8256_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8207_/X _8264_/B (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _8207_/X _8307_/A2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8207_/X _8314_/A2 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8208_/Y _8224_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8208_/Y _8595_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8208_/Y _8639_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8209_/X _8210_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8210_/X _8212_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8210_/X _8225_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8210_/X _8230_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8210_/X _8238_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8210_/X _8244_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8210_/X _8252_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8210_/X _8260_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8210_/X _8570_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8211_/X _8212_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8211_/X _8312_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8211_/X _8694_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8211_/X _8718_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8212_/Y _8224_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8213_/X _8214_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8213_/X _8219_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8213_/X _8311_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8213_/X _8344_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8214_/Y _8220_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8214_/Y _8648_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8215_/X _8217_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8215_/X _8306_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8215_/X _8568_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8216_/X _8217_/D1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8216_/X _8348_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8216_/X _8694_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8217_/Y _8220_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8218_/X _8219_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8218_/X _8279_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8218_/X _8344_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8218_/X _8374_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8218_/X _8581_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8219_/Y _8220_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8220_/X _8224_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8221_/X _8223_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8221_/X _8569_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8222_/X _8223_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8222_/X _8718_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8223_/X _8224_/D_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8223_/X _8353_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8224_/X _8227_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8225_/Y _8227_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8225_/Y _8310_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8225_/Y _8499_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8225_/Y _8571_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8226_/Y _8227_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8226_/Y _8355_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8226_/Y _8499_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8227_/X _8231_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8228_/Y _8231_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8228_/Y _8312_/B_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8228_/Y _8658_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8228_/Y _8676_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8229_/Y _8231_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8229_/Y _8357_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8229_/Y _8658_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8230_/Y _8231_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8230_/Y _8573_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8231_/X _8237_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8232_/X _8233_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8232_/X _8502_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8233_/Y _8237_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8233_/Y _8358_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8234_/Y _8237_/C (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8234_/Y _8304_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8234_/Y _8642_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8235_/X _8236_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8235_/X _8596_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8236_/Y _8237_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8236_/Y _8359_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8237_/X _8241_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8238_/Y _8241_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8238_/Y _8574_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8239_/Y _8241_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8239_/Y _8361_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8240_/Y _8241_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8240_/Y _8730_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8241_/X _8245_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8242_/X _8243_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8242_/X _8726_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8243_/Y _8245_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8243_/Y _8362_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8244_/Y _8245_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8244_/Y _8575_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8245_/X _8248_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8246_/Y _8248_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8246_/Y _8363_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8246_/Y _8490_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8247_/Y _8248_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8247_/Y _8317_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8247_/Y _8643_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8248_/X _8251_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8249_/X _8250_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8249_/X _8365_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8250_/Y _8251_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8250_/Y _8597_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8251_/X _8253_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8252_/Y _8253_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8252_/Y _8577_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8253_/X _8255_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8254_/Y _8255_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8254_/Y _8366_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8254_/Y _8493_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8255_/X _8257_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8256_/Y _8257_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8256_/Y _8321_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8256_/Y _8674_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8257_/X _8259_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8258_/Y _8259_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8258_/Y _8367_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8258_/Y _8656_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8259_/X _8261_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8260_/Y _8261_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8260_/Y _8578_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8261_/X _8263_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8262_/Y _8263_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8262_/Y _8369_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8262_/Y _8491_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8263_/X _8265_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8264_/Y _8265_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8264_/Y _8323_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8264_/Y _8645_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8265_/X _8267_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8266_/Y _8267_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8266_/Y _8370_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8266_/Y _8599_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8267_/X _8269_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8268_/Y _8269_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8268_/Y _8579_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8269_/X _8271_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8270_/Y _8271_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8270_/Y _8371_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8270_/Y _8506_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8271_/X _8273_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8272_/Y _8273_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8272_/Y _8326_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8272_/Y _8715_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8273_/X _8275_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8274_/Y _8275_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8274_/Y _8373_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8274_/Y _8693_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8275_/X _8280_/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8275_/X ANTENNA_168/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8276_/X _8280_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8276_/X _8581_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8277_/X _8278_/C_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8277_/X _8345_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8278_/X _8279_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8278_/X _8344_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8279_/X _8280_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8279_/X _8374_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8280_/Y _8281_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8281_/X _8283_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8282_/X _8283_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8282_/X _8330_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8282_/X _8584_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8283_/X _8284_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8284_/X _8285_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8285_/X _8286_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8286_/X _8287_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8287_/X _8288_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8288_/X _8289_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8289_/X _8290_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8290_/X _8291_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8291_/X _8292_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8292_/Y _8294_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8293_/Y _8294_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8293_/Y _8385_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8293_/Y _8589_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8293_/Y _8650_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8294_/X _8295_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8295_/X _8296_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8296_/Y _8969_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8297_/X _8336_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8297_/X _8511_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8297_/X _8562_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8297_/X _8673_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8298_/Y _8329_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8298_/Y _8600_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8299_/Y _8300_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8299_/Y _8328_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8299_/Y _8507_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8300_/X _8301_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8301_/X _8302_/A (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8301_/X _8309_/B2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8301_/X _8313_/B2 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8301_/X _8315_/A2 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8301_/X _8316_/B (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8301_/X _8319_/B (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8301_/X _8324_/B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8301_/X _8498_/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _8301_/X _8502_/A2 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _8301_/X _8503_/B2 (0.019::0.019) (0.017::0.017)) + (INTERCONNECT _8302_/Y _8322_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8302_/Y _8491_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8303_/Y _8304_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8303_/Y _8451_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8304_/Y _8315_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8304_/Y _8596_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8305_/X _8306_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8305_/X _8447_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8306_/X _8313_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8307_/Y _8310_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8308_/X _8310_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8308_/X _8497_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8309_/X _8310_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8310_/X _8312_/A_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8311_/X _8312_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8311_/X _8592_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8312_/X _8313_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8313_/X _8315_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8314_/X _8315_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8314_/X _8726_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8315_/Y _8318_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8316_/Y _8318_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8316_/Y _8490_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8317_/X _8318_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8317_/X _8597_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8318_/X _8322_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8319_/Y _8322_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8319_/Y _8493_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8320_/Y _8321_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8320_/Y _8461_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8321_/X _8322_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8321_/X _8657_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8322_/X _8327_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8323_/X _8327_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8323_/X _8599_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8324_/Y _8327_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8324_/Y _8506_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8325_/Y _8326_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8325_/Y _8468_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8326_/X _8327_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8326_/X _8693_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8327_/Y _8328_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8328_/Y _8329_/C (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8329_/X _8331_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8330_/X _8331_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8330_/X _8508_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8331_/X _8332_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8332_/X _8333_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8333_/X _8335_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8334_/X _8335_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8334_/X _8602_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8335_/X _8336_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8336_/X _8337_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8337_/X _8338_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8338_/X _8970_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8339_/X _8382_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8339_/X _8542_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8339_/X _8588_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8339_/X _8654_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8339_/X _8705_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8340_/X _8381_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8340_/X _8649_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8340_/X _8682_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8340_/X _8722_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8341_/Y _8342_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8341_/Y _8500_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8341_/Y _8594_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8342_/X _8356_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8342_/X _8639_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8343_/Y _8353_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8343_/Y _8641_/D_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8344_/X _8352_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8344_/X _8567_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8345_/X _8347_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8345_/X _8377_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8346_/X _8347_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8346_/X _8350_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8347_/X _8348_/A2 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _8347_/X _8718_/A1 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _8348_/X _8349_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8349_/X _8352_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8349_/X _8570_/C1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8350_/X _8351_/C (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _8350_/X _8568_/A2 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _8350_/X _8640_/C (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _8351_/X _8352_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8351_/X _8569_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8352_/X _8353_/D1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8353_/Y _8356_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8354_/X _8356_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8354_/X _8571_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8355_/X _8356_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8355_/X _8677_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8356_/X _8360_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8357_/X _8360_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8357_/X _8573_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8358_/X _8360_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8358_/X _8642_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8359_/X _8360_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8359_/X _8574_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8360_/X _8364_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8361_/X _8364_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8361_/X _8730_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8362_/X _8364_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8362_/X _8575_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8363_/X _8364_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8363_/X _8643_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8364_/X _8368_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8365_/Y _8368_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8365_/Y _8577_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8366_/X _8368_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8366_/X _8675_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8367_/X _8368_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8367_/X _8578_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8368_/X _8372_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8369_/X _8372_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8369_/X _8645_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8370_/X _8372_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8370_/X _8579_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8371_/X _8372_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8371_/X _8715_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8372_/X _8375_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8372_/X ANTENNA_169/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8373_/X _8375_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8373_/X _8582_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8374_/Y _8375_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8374_/Y _8646_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8375_/X _8380_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8376_/X _8380_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8376_/X _8584_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8377_/X _8378_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8377_/X _8565_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8378_/Y _8380_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8378_/Y _8679_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8378_/Y _8720_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8379_/X _8380_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8379_/X _8585_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8380_/X _8381_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8381_/X _8382_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8382_/X _8384_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8383_/X _8384_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8383_/X _8589_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8383_/X _8651_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8384_/Y _8385_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8385_/X _8436_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8386_/X _8387_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8386_/X _8561_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8386_/X _8632_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8387_/Y _8428_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8387_/Y _8687_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8388_/Y _8425_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8388_/Y _8631_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8389_/Y _8390_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8389_/Y _8518_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8390_/X _8391_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8390_/X _8544_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8391_/Y _8400_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8391_/Y _8401_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8391_/Y _8406_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8391_/Y _8409_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8391_/Y _8412_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8391_/Y _8415_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8391_/Y _8419_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8391_/Y _8422_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8391_/Y _8424_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8391_/Y _8555_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8392_/Y _8423_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8392_/Y _8698_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8392_/Y _8708_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8393_/Y _8400_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8393_/Y _8548_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8394_/X _8395_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8394_/X _8622_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8395_/X _8399_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8395_/X _8497_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8396_/Y _8398_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8397_/Y _8398_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8398_/Y _8399_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8398_/Y _8547_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8399_/X _8400_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8400_/X _8402_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8401_/X _8402_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8402_/X _8406_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8403_/Y _8404_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8403_/Y _8665_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8404_/X _8405_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8404_/X _8684_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8405_/Y _8406_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8406_/X _8409_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8407_/Y _8408_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8407_/Y _8607_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8408_/Y _8409_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8408_/Y _8628_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8409_/X _8412_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8410_/Y _8411_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8410_/Y _8734_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8411_/Y _8412_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8411_/Y _8736_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8412_/X _8415_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8413_/X _8414_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8413_/X _8627_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8414_/Y _8415_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8415_/X _8419_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8416_/Y _8417_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8416_/Y _8667_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8417_/X _8418_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8417_/X _8686_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8418_/Y _8419_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8419_/X _8422_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8420_/X _8421_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8420_/X _8630_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8421_/Y _8422_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8422_/X _8423_/C_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8423_/X _8424_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8424_/Y _8425_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8425_/X _8427_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8426_/X _8427_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8426_/X _8556_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8426_/X _8601_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8427_/X _8428_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8428_/X _8430_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8429_/X _8430_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8429_/X _8560_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8430_/X _8431_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8431_/X _8432_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8432_/X _8434_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8433_/X _8434_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8433_/X _8564_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8433_/X _8636_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8434_/Y _8435_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8435_/X _8436_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8436_/Y _8487_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8437_/Y _8479_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8437_/Y _8540_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8437_/Y _8704_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8438_/Y _8478_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8438_/Y _8669_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8438_/Y _8703_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8439_/X _8475_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8439_/X _8617_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8440_/X _8453_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8440_/X _8665_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8441_/Y _8449_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8441_/Y _8526_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8442_/Y _8444_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8442_/Y _8524_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8443_/Y _8444_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8443_/Y _8520_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8444_/X _8448_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8445_/X _8446_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8446_/Y _8448_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8447_/X _8448_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8447_/X _8608_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8448_/X _8449_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8449_/X _8453_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8450_/Y _8451_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8450_/Y _8683_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8451_/X _8453_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8451_/X _8519_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8452_/Y _8453_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8452_/Y _8607_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8453_/X _8455_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8454_/Y _8455_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8454_/Y _8529_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8455_/X _8459_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8456_/Y _8459_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8456_/Y _8734_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8457_/Y _8459_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8457_/Y _8530_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8458_/Y _8459_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8458_/Y _8614_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8459_/X _8464_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8460_/Y _8461_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8460_/Y _8627_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8461_/X _8464_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8461_/X _8532_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8462_/X _8464_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8462_/X _8668_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8463_/X _8464_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8463_/X _8533_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8464_/X _8466_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8465_/Y _8466_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8465_/Y _8615_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8466_/X _8470_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8467_/Y _8468_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8467_/Y _8630_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8468_/X _8470_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8468_/X _8534_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8469_/Y _8470_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8469_/Y _8698_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8470_/X _8474_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8471_/Y _8473_/A1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8471_/Y _8534_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8472_/Y _8473_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8472_/Y _8520_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8472_/Y _8530_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8473_/X _8474_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8473_/X _8537_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8474_/X _8475_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8475_/X _8477_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8476_/X _8477_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8476_/X _8539_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8476_/X _8647_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8476_/X _8679_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8476_/X _8721_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8477_/X _8478_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8478_/X _8479_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8479_/X _8481_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8480_/Y _8481_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8480_/Y _8620_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8480_/Y _8673_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8480_/Y _8706_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8481_/X _8483_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8482_/X _8483_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8482_/X _8542_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8482_/X _8605_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8483_/X _8485_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8484_/Y _8485_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8484_/Y _8514_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8485_/X _8486_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8486_/X _8487_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8487_/X _8488_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8488_/X _8970_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8489_/X _8512_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8489_/X _8603_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8490_/X _8505_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8490_/X _8597_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8491_/X _8505_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8491_/X _8599_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8492_/Y _8493_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8492_/Y _8614_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8493_/X _8505_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8493_/X _8657_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8494_/Y _8501_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8494_/Y _8594_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8495_/X _8496_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8496_/Y _8501_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8496_/Y _8695_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8497_/X _8501_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8497_/X _8593_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8498_/Y _8500_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8498_/Y _8695_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8499_/X _8500_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8499_/X _8659_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8500_/X _8501_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8501_/X _8504_/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8502_/X _8504_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8502_/X _8596_/D1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8503_/X _8504_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8503_/X _8726_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8504_/X _8505_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8505_/X _8509_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8506_/X _8509_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8506_/X _8693_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8507_/Y _8509_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8507_/Y _8600_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8508_/X _8509_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8508_/X _8662_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8509_/X _8512_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8510_/Y _8512_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8510_/Y _8603_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8511_/X _8512_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8512_/X _8513_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8513_/X _8971_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8514_/X _8590_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8514_/X _8605_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8515_/Y _8542_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8515_/Y _8620_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8515_/Y _8672_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8515_/Y _8703_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8516_/Y _8519_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8516_/Y _8533_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8517_/Y _8519_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8517_/Y _8520_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8517_/Y _8524_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8517_/Y _8526_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8518_/X _8519_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8518_/X _8520_/A3 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8518_/X _8524_/A3 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8518_/X _8526_/A3 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8518_/X _8529_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8518_/X _8530_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8518_/X _8532_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8518_/X _8533_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8518_/X _8534_/A3 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8519_/X _8531_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8519_/X _8607_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8520_/X _8527_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8520_/X _8612_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8521_/Y _8522_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8522_/X _8527_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8522_/X _8611_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8523_/Y _8524_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8523_/Y _8529_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8523_/Y _8534_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8524_/X _8527_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8524_/X _8701_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8525_/Y _8526_/A1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _8525_/Y _8532_/A2 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _8526_/X _8527_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8526_/X _8666_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8527_/X _8531_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8528_/Y _8529_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8528_/Y _8530_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8528_/Y _8532_/A1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8528_/Y _8533_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8529_/X _8531_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8529_/X _8734_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8530_/X _8531_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8530_/X _8614_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8531_/X _8535_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8532_/X _8535_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8532_/X _8668_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8533_/X _8535_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8533_/X _8615_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8534_/X _8535_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8534_/X _8699_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8535_/X _8541_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8536_/Y _8537_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8537_/X _8541_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8537_/X _8617_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8538_/X _8539_/C_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8539_/X _8541_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8539_/X _8670_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8539_/X _8705_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8540_/X _8541_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8540_/X _8619_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8541_/Y _8542_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8542_/X _8543_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8543_/Y _8590_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8544_/X _8545_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8544_/X _8625_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8544_/X _8684_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8545_/Y _8548_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8545_/Y _8548_/B2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8545_/Y _8549_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8545_/Y _8549_/B2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8545_/Y _8550_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8545_/Y _8551_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8545_/Y _8552_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8545_/Y _8554_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8545_/Y _8628_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8545_/Y _8737_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8546_/Y _8547_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8547_/X _8548_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8547_/X _8623_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8548_/X _8549_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8549_/Y _8553_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8550_/Y _8553_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8550_/Y _8627_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8551_/Y _8553_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8551_/Y _8686_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8552_/Y _8553_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8552_/Y _8630_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8553_/X _8559_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8554_/Y _8559_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8554_/Y _8709_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8555_/Y _8559_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8555_/Y _8631_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8556_/Y _8557_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8556_/Y _8661_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8557_/X _8558_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8558_/Y _8559_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8558_/Y _8688_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8559_/X _8563_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8560_/X _8563_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8560_/X _8621_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _8560_/X _8713_/D (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _8561_/Y _8563_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8561_/Y _8635_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8561_/Y _8713_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8562_/X _8563_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8562_/X _8636_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8563_/X _8564_/D_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8564_/X _8590_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8565_/Y _8588_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8565_/Y _8649_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8565_/Y _8682_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8565_/Y _8720_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8566_/Y _8567_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8567_/Y _8572_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8567_/Y _8641_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8568_/X _8569_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8569_/Y _8572_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8569_/Y _8719_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8570_/Y _8572_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8570_/Y _8639_/D1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8571_/X _8572_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8571_/X _8677_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8572_/X _8576_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8573_/X _8576_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8573_/X _8642_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8574_/X _8576_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8574_/X _8730_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8575_/X _8576_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8575_/X _8643_/D1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8576_/X _8580_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8577_/X _8580_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8577_/X _8675_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8578_/X _8580_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8578_/X _8645_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8579_/X _8580_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8579_/X _8716_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8580_/X _8586_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8580_/X ANTENNA_81/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8580_/X ANTENNA_82/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8581_/Y _8582_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8582_/X _8586_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8582_/X _8646_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8583_/Y _8584_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8584_/X _8586_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8584_/X _8678_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8585_/X _8586_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8585_/X _8638_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8585_/X _8721_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8586_/X _8588_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8587_/X _8588_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8587_/X _8652_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8587_/X _8681_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8588_/X _8589_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8589_/X _8590_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8590_/Y _8971_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8591_/Y _8593_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8592_/Y _8593_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8592_/Y _8641_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8593_/X _8598_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8593_/X _8695_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8594_/X _8595_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8595_/X _8598_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8595_/X _8659_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8596_/Y _8598_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8596_/Y _8727_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8597_/X _8598_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8597_/X _8657_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8598_/X _8601_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8599_/X _8601_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8599_/X _8696_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _8600_/X _8601_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8600_/X _8662_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8601_/X _8603_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8602_/Y _8603_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8603_/X _8604_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8604_/X _8972_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8605_/X _8654_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8605_/X _8673_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8605_/X _8707_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8606_/X _8620_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8606_/X _8705_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8607_/X _8616_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8607_/X _8735_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8608_/X _8609_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8609_/Y _8613_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8609_/Y _8666_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8610_/Y _8611_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8611_/X _8613_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8611_/X _8701_/D1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8612_/X _8613_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8612_/X _8666_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8613_/X _8616_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8614_/X _8616_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8614_/X _8668_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8615_/X _8616_/D (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8615_/X _8699_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8616_/X _8619_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8617_/X _8619_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8617_/X _8670_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8617_/X _8707_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8618_/Y _8619_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8618_/Y _8669_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8618_/Y _8706_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8619_/X _8620_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8620_/Y _8654_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8621_/Y _8637_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8622_/X _8623_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8623_/Y _8629_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8623_/Y _8710_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8624_/X _8626_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8624_/X _8711_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8625_/Y _8626_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8625_/Y _8711_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8626_/Y _8629_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8626_/Y _8684_/D1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8627_/X _8629_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8627_/X _8686_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8628_/X _8629_/D_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8628_/X _8737_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8629_/X _8633_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8630_/X _8633_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8630_/X _8709_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8631_/X _8633_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8631_/X _8688_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8632_/Y _8633_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8632_/Y _8687_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8633_/Y _8637_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8634_/X _8635_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8634_/X _8714_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8635_/Y _8637_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8635_/Y _8691_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8636_/X _8637_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8636_/X _8690_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8636_/X _8714_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8637_/X _8654_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8638_/Y _8653_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8639_/X _8644_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8639_/X _8677_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8640_/Y _8641_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8641_/X _8644_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8641_/X _8719_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8642_/X _8644_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8642_/X _8731_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _8643_/X _8644_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8643_/X _8675_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8644_/X _8647_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8645_/X _8647_/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _8645_/X _8716_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8646_/X _8647_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8646_/X _8678_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8647_/Y _8653_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8648_/X _8649_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8648_/X _8681_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8649_/Y _8653_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8650_/X _8652_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8650_/X _8682_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8650_/X _8722_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8651_/X _8652_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8651_/X _8681_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8652_/X _8653_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8653_/X _8654_/C1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8654_/X _8655_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8655_/Y _8972_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8656_/X _8657_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8657_/X _8663_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8657_/X _8696_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8658_/X _8659_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8659_/X _8663_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8659_/X _8727_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8660_/X _8661_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8661_/X _8662_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8662_/X _8663_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8663_/X _8664_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8664_/X _8973_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8665_/X _8666_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8666_/X _8671_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8666_/X _8735_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8667_/X _8668_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8668_/X _8671_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8668_/X _8699_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8669_/X _8670_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8670_/X _8671_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8671_/Y _8692_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8672_/X _8673_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8673_/X _8692_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8674_/X _8675_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8675_/X _8680_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8675_/X _8716_/D (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8676_/X _8677_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8677_/X _8680_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8677_/X _8731_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8678_/X _8679_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8678_/X _8723_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8679_/X _8680_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8680_/Y _8692_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8681_/X _8682_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8681_/X _8723_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8682_/X _8692_/B2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _8683_/X _8684_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8684_/X _8689_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8684_/X _8740_/A3 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8685_/X _8686_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8686_/X _8689_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8686_/X _8709_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8687_/X _8689_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8687_/X _8713_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8688_/X _8689_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8688_/X _8714_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8689_/X _8691_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8690_/Y _8691_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8691_/Y _8692_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8692_/Y _8973_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8693_/X _8696_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8694_/Y _8695_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8695_/X _8696_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8695_/X _8727_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8696_/X _8697_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8697_/X _8974_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8698_/X _8699_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8699_/X _8702_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8699_/X _8732_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8700_/Y _8701_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8701_/X _8702_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8701_/X _8735_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8702_/Y _8725_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8703_/X _8706_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8704_/X _8705_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8705_/X _8706_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8706_/X _8707_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8707_/X _8725_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8707_/X _8733_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8708_/X _8709_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8709_/X _8712_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8709_/X _8738_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8710_/Y _8711_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8711_/Y _8712_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8711_/Y _8740_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8712_/Y _8725_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8713_/X _8714_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8714_/X _8725_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8714_/X _8739_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8715_/X _8716_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8716_/X _8724_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8716_/X _8729_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8717_/X _8718_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8718_/Y _8719_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8719_/X _8724_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8719_/X _8731_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8720_/X _8721_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8721_/X _8722_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8722_/X _8723_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8723_/Y _8724_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8723_/Y _8742_/A2 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8724_/Y _8725_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8725_/Y _8974_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8726_/Y _8727_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8727_/X _8728_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8728_/X _8975_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8729_/Y _8742_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8730_/X _8731_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8731_/X _8742_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8732_/Y _8741_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8733_/Y _8741_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8734_/X _8735_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8735_/X _8741_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8736_/X _8737_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8737_/Y _8740_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8738_/Y _8740_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8739_/Y _8740_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8740_/X _8741_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8741_/X _8742_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8742_/X _8975_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8743_/X _8744_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8744_/X output229/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8745_/X _8746_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8746_/X output240/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8747_/X _8748_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8748_/X output243/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8749_/X _8750_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8750_/X output244/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8751_/X _8752_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8752_/X output208/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8753_/X _8754_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8754_/X output209/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8755_/X _8756_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8756_/X output210/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8757_/X _8758_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8758_/X output211/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8759_/X _8760_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8760_/X output212/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8761_/X _8762_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8762_/X output213/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8763_/X _8764_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8764_/X output214/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8765_/X _8766_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8766_/X output215/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8767_/X _8768_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8768_/X output216/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8769_/X _8770_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8770_/X output217/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8771_/X _8772_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8772_/X output219/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8773_/X _8774_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8774_/X output220/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8775_/X _8776_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8776_/X output221/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8777_/X _8778_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8778_/X output222/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8779_/X _8780_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8780_/X output223/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8781_/X _8782_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8782_/X output224/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8783_/X _8784_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8784_/X output225/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8785_/X _8786_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8786_/X output226/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8787_/X _8788_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8788_/X output227/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8789_/X _8790_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8790_/X output228/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8791_/X _8792_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8792_/X output230/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8793_/X _8794_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8794_/X output231/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8795_/X _8796_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8796_/X output232/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8797_/X _8798_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8798_/X output233/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8799_/X _8800_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8800_/X output234/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8801_/X _8802_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8802_/X output305/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8803_/X _8804_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8804_/X output311/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8805_/X _8806_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8806_/X output203/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8807_/Y output205/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8807_/Y ANTENNA_176/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8808_/Y output206/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8809_/Y _8810_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8809_/Y _8811_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8809_/Y _8812_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8809_/Y _8813_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8810_/Y _9063_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8811_/Y _9062_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8812_/Y _9061_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8813_/Y _9060_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8814_/X _9791_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8815__378/HI _9057_/D (0.000::0.000)) + (INTERCONNECT _8816_/X output257/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8817_/X output314/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8818_/X output315/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8819_/X _8918_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8819_/X _6997_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8819_/X _6993_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8819_/X _5750_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8819_/X _5645_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8819_/X output306/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8820_/X output309/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8821_/X output310/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8822_/X _6253_/A1_N (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _8822_/X output307/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8822_/X ANTENNA_177/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8823_/X _6213_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8823_/X output308/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8824_/X output245/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8824_/X ANTENNA_95/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8825_/X _8826_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8826_/X _8827_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8827_/X output246/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _8828_/X output247/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8829_/X output248/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8830_/X output249/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8831_/X output207/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _8831_/X ANTENNA_94/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _8832_/X output218/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8833_/X output235/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8834_/X output236/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8835_/X output237/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8836_/X output252/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8837_/X output250/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8838_/X output254/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8839_/X _5031_/B1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _8839_/X _5166_/B1 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _8839_/X _5177_/B1 (0.029::0.030) (0.028::0.028)) + (INTERCONNECT _8839_/X _5186_/B1 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _8839_/X _5288_/B1 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _8839_/X _5316_/B1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _8839_/X _5354_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _8839_/X _5365_/B1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _8839_/X _5392_/B1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _8839_/X _5441_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _8839_/X _5479_/B1 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _8839_/X _5517_/B1 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _8839_/X _5533_/B1 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _8839_/X _5601_/B1 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _8839_/X _5620_/B1 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _8839_/X _5631_/B1 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _8839_/X _5639_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _8839_/X _5689_/B1 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _8839_/X _5767_/B1 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _8839_/X _5871_/B1 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _8839_/X _5904_/B1 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _8839_/X _6018_/A0 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _8839_/X _6037_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _8839_/X _8933_/A1 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _8839_/X repeater368/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _8840_/X _4485_/A0 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _8840_/X _5030_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _8840_/X _5154_/B1 (0.041::0.041) (0.039::0.039)) + (INTERCONNECT _8840_/X _5165_/B1 (0.035::0.035) (0.033::0.034)) + (INTERCONNECT _8840_/X _5176_/B1 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _8840_/X _5185_/B1 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _8840_/X _5276_/B1 (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _8840_/X _5287_/B1 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _8840_/X _5353_/B1 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _8840_/X _5364_/B1 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _8840_/X _5380_/B1 (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _8840_/X _5391_/B1 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _8840_/X _5440_/B1 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _8840_/X _5478_/B1 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _8840_/X _5600_/B1 (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _8840_/X _5619_/B1 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _8840_/X _5630_/B1 (0.030::0.030) (0.029::0.029)) + (INTERCONNECT _8840_/X _5638_/B1 (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _8840_/X _5669_/B1 (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _8840_/X _5688_/B1 (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _8840_/X _5806_/B1 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _8840_/X _6036_/B1 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _8840_/X _8903_/A1 (0.041::0.041) (0.039::0.039)) + (INTERCONNECT _8840_/X _8932_/A1 (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _8840_/X repeater365/A (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _8840_/X repeater366/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _8841_/X _5029_/B1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _8841_/X _5164_/B1 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _8841_/X _5175_/B1 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _8841_/X _5184_/B1 (0.032::0.032) (0.030::0.031)) + (INTERCONNECT _8841_/X _5275_/B1 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _8841_/X _5286_/B1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _8841_/X _5325_/B1 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT _8841_/X _5352_/B1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _8841_/X _5379_/B1 (0.043::0.043) (0.040::0.040)) + (INTERCONNECT _8841_/X _5390_/B1 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT _8841_/X _5428_/B1 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _8841_/X _5439_/B1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _8841_/X _5477_/B1 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _8841_/X _5515_/B1 (0.039::0.039) (0.036::0.036)) + (INTERCONNECT _8841_/X _5531_/B1 (0.043::0.043) (0.041::0.041)) + (INTERCONNECT _8841_/X _5553_/B1 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT _8841_/X _5561_/B1 (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _8841_/X _5591_/B1 (0.041::0.041) (0.039::0.039)) + (INTERCONNECT _8841_/X _5637_/B1 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _8841_/X _5668_/B1 (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _8841_/X _5765_/B1 (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _8841_/X _5976_/B1 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _8841_/X _8928_/A1 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _8841_/X repeater363/A (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _8841_/X repeater364/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _8842_/X _5028_/B1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _8842_/X _5163_/B1 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _8842_/X _5174_/B1 (0.030::0.030) (0.029::0.029)) + (INTERCONNECT _8842_/X _5183_/B1 (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _8842_/X _5285_/B1 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _8842_/X _5313_/B1 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _8842_/X _5351_/B1 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _8842_/X _5362_/B1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _8842_/X _5389_/B1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _8842_/X _5427_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8842_/X _5438_/B1 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _8842_/X _5476_/B1 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _8842_/X _5598_/B1 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _8842_/X _5628_/B1 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT _8842_/X _5636_/B1 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _8842_/X _5686_/B1 (0.032::0.032) (0.030::0.031)) + (INTERCONNECT _8842_/X _5901_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _8842_/X _6034_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _8842_/X _8925_/A1 (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _8842_/X repeater362/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _8843_/X _5027_/B1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _8843_/X _5151_/B1 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _8843_/X _5162_/B1 (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _8843_/X _5173_/B1 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT _8843_/X _5182_/B1 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _8843_/X _5350_/B1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _8843_/X _5361_/B1 (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _8843_/X _5388_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8843_/X _5437_/B1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _8843_/X _5475_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8843_/X _5529_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8843_/X _5597_/B1 (0.019::0.019) (0.019::0.019)) + (INTERCONNECT _8843_/X _5627_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8843_/X _5635_/B1 (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _8843_/X _5685_/B1 (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _8843_/X _6033_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _8843_/X _8897_/A1 (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _8843_/X _8921_/A1 (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _8843_/X repeater360/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _8844_/X _4496_/B1 (0.267::0.268) (0.249::0.249)) + (INTERCONNECT _8844_/X _4529_/B1 (0.295::0.296) (0.274::0.275)) + (INTERCONNECT _8844_/X _4569_/B1 (0.290::0.291) (0.270::0.270)) + (INTERCONNECT _8844_/X _4582_/B1 (0.292::0.293) (0.272::0.272)) + (INTERCONNECT _8844_/X _4595_/B1 (0.294::0.294) (0.273::0.273)) + (INTERCONNECT _8844_/X _4605_/B1 (0.296::0.297) (0.275::0.275)) + (INTERCONNECT _8844_/X _5018_/B1 (0.241::0.241) (0.225::0.225)) + (INTERCONNECT _8844_/X _5050_/B1 (0.246::0.246) (0.229::0.230)) + (INTERCONNECT _8844_/X _5094_/B1 (0.194::0.194) (0.181::0.181)) + (INTERCONNECT _8844_/X _5115_/B1 (0.276::0.277) (0.257::0.257)) + (INTERCONNECT _8844_/X _5139_/B1 (0.255::0.256) (0.238::0.238)) + (INTERCONNECT _8844_/X _5150_/B1 (0.169::0.169) (0.157::0.157)) + (INTERCONNECT _8844_/X _5161_/B1 (0.111::0.111) (0.102::0.102)) + (INTERCONNECT _8844_/X _5172_/B1 (0.110::0.110) (0.101::0.101)) + (INTERCONNECT _8844_/X _5181_/B1 (0.110::0.110) (0.102::0.102)) + (INTERCONNECT _8844_/X _5245_/B1 (0.282::0.282) (0.262::0.262)) + (INTERCONNECT _8844_/X _5272_/B1 (0.064::0.064) (0.061::0.061)) + (INTERCONNECT _8844_/X _5283_/B1 (0.060::0.060) (0.057::0.057)) + (INTERCONNECT _8844_/X _5311_/B1 (0.127::0.127) (0.117::0.117)) + (INTERCONNECT _8844_/X _5322_/B1 (0.179::0.179) (0.167::0.167)) + (INTERCONNECT _8844_/X _5349_/B1 (0.068::0.068) (0.065::0.065)) + (INTERCONNECT _8844_/X _5360_/B1 (0.063::0.063) (0.060::0.060)) + (INTERCONNECT _8844_/X _5387_/B1 (0.093::0.093) (0.086::0.086)) + (INTERCONNECT _8844_/X _5398_/B1 (0.271::0.272) (0.253::0.253)) + (INTERCONNECT _8844_/X _5425_/B1 (0.138::0.138) (0.128::0.128)) + (INTERCONNECT _8844_/X _5436_/B1 (0.062::0.062) (0.059::0.059)) + (INTERCONNECT _8844_/X _5463_/B1 (0.298::0.298) (0.276::0.277)) + (INTERCONNECT _8844_/X _5474_/B1 (0.100::0.100) (0.093::0.093)) + (INTERCONNECT _8844_/X _5501_/B1 (0.216::0.216) (0.202::0.202)) + (INTERCONNECT _8844_/X _5512_/B1 (0.153::0.153) (0.142::0.142)) + (INTERCONNECT _8844_/X _5539_/B1 (0.205::0.205) (0.192::0.192)) + (INTERCONNECT _8844_/X _5550_/B1 (0.179::0.179) (0.167::0.167)) + (INTERCONNECT _8844_/X _5577_/B1 (0.197::0.197) (0.184::0.184)) + (INTERCONNECT _8844_/X _5588_/B1 (0.144::0.144) (0.134::0.134)) + (INTERCONNECT _8844_/X _5615_/B1 (0.158::0.159) (0.147::0.147)) + (INTERCONNECT _8844_/X _5626_/B1 (0.121::0.121) (0.111::0.111)) + (INTERCONNECT _8844_/X _5665_/B1 (0.135::0.135) (0.125::0.125)) + (INTERCONNECT _8844_/X _5684_/B1 (0.125::0.125) (0.115::0.115)) + (INTERCONNECT _8844_/X _5762_/B1 (0.133::0.133) (0.123::0.123)) + (INTERCONNECT _8844_/X _5781_/B1 (0.230::0.230) (0.214::0.215)) + (INTERCONNECT _8844_/X _5802_/B1 (0.179::0.179) (0.167::0.167)) + (INTERCONNECT _8844_/X _5823_/B1 (0.205::0.205) (0.192::0.192)) + (INTERCONNECT _8844_/X _5842_/B1 (0.189::0.190) (0.177::0.177)) + (INTERCONNECT _8844_/X _5877_/B1 (0.231::0.231) (0.216::0.216)) + (INTERCONNECT _8844_/X _5910_/B1 (0.220::0.221) (0.206::0.206)) + (INTERCONNECT _8844_/X _5954_/B1 (0.223::0.224) (0.209::0.209)) + (INTERCONNECT _8844_/X _5973_/B1 (0.179::0.179) (0.167::0.167)) + (INTERCONNECT _8844_/X _6025_/B1 (0.286::0.287) (0.266::0.267)) + (INTERCONNECT _8844_/X _6032_/B1 (0.063::0.063) (0.060::0.060)) + (INTERCONNECT _8844_/X _8848_/A1 (0.169::0.169) (0.157::0.157)) + (INTERCONNECT _8844_/X _8914_/A1 (0.110::0.111) (0.102::0.102)) + (INTERCONNECT _8844_/X _8920_/A1 (0.252::0.253) (0.235::0.235)) + (INTERCONNECT _8845_/X _4467_/B1 (0.250::0.251) (0.232::0.232)) + (INTERCONNECT _8845_/X _4495_/B1 (0.239::0.240) (0.222::0.222)) + (INTERCONNECT _8845_/X _4528_/B1 (0.256::0.256) (0.237::0.237)) + (INTERCONNECT _8845_/X _4568_/B1 (0.252::0.253) (0.234::0.234)) + (INTERCONNECT _8845_/X _4581_/B1 (0.254::0.254) (0.235::0.235)) + (INTERCONNECT _8845_/X _4594_/B1 (0.254::0.255) (0.236::0.236)) + (INTERCONNECT _8845_/X _5017_/B1 (0.223::0.224) (0.207::0.207)) + (INTERCONNECT _8845_/X _5049_/B1 (0.235::0.235) (0.218::0.218)) + (INTERCONNECT _8845_/X _5093_/B1 (0.156::0.156) (0.144::0.144)) + (INTERCONNECT _8845_/X _5114_/B1 (0.245::0.245) (0.227::0.227)) + (INTERCONNECT _8845_/X _5138_/B1 (0.231::0.232) (0.215::0.215)) + (INTERCONNECT _8845_/X _5149_/B1 (0.094::0.094) (0.087::0.087)) + (INTERCONNECT _8845_/X _5160_/B1 (0.093::0.093) (0.086::0.086)) + (INTERCONNECT _8845_/X _5171_/B1 (0.090::0.090) (0.084::0.084)) + (INTERCONNECT _8845_/X _5244_/B1 (0.247::0.248) (0.229::0.229)) + (INTERCONNECT _8845_/X _5271_/B1 (0.130::0.130) (0.120::0.120)) + (INTERCONNECT _8845_/X _5282_/B1 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _8845_/X _5310_/B1 (0.105::0.105) (0.097::0.097)) + (INTERCONNECT _8845_/X _5321_/B1 (0.136::0.136) (0.126::0.126)) + (INTERCONNECT _8845_/X _5348_/B1 (0.064::0.064) (0.061::0.061)) + (INTERCONNECT _8845_/X _5359_/B1 (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _8845_/X _5386_/B1 (0.093::0.093) (0.086::0.086)) + (INTERCONNECT _8845_/X _5397_/B1 (0.238::0.239) (0.221::0.221)) + (INTERCONNECT _8845_/X _5424_/B1 (0.129::0.129) (0.119::0.119)) + (INTERCONNECT _8845_/X _5435_/B1 (0.036::0.036) (0.035::0.035)) + (INTERCONNECT _8845_/X _5462_/B1 (0.240::0.241) (0.223::0.223)) + (INTERCONNECT _8845_/X _5473_/B1 (0.088::0.088) (0.082::0.082)) + (INTERCONNECT _8845_/X _5500_/B1 (0.196::0.196) (0.182::0.182)) + (INTERCONNECT _8845_/X _5511_/B1 (0.145::0.145) (0.134::0.134)) + (INTERCONNECT _8845_/X _5538_/B1 (0.176::0.176) (0.163::0.163)) + (INTERCONNECT _8845_/X _5549_/B1 (0.129::0.129) (0.118::0.118)) + (INTERCONNECT _8845_/X _5576_/B1 (0.181::0.181) (0.168::0.168)) + (INTERCONNECT _8845_/X _5587_/B1 (0.130::0.130) (0.119::0.120)) + (INTERCONNECT _8845_/X _5614_/B1 (0.095::0.095) (0.088::0.088)) + (INTERCONNECT _8845_/X _5625_/B1 (0.099::0.099) (0.091::0.091)) + (INTERCONNECT _8845_/X _5664_/B1 (0.119::0.119) (0.110::0.110)) + (INTERCONNECT _8845_/X _5683_/B1 (0.090::0.090) (0.084::0.084)) + (INTERCONNECT _8845_/X _5761_/B1 (0.113::0.113) (0.104::0.104)) + (INTERCONNECT _8845_/X _5780_/B1 (0.204::0.204) (0.190::0.190)) + (INTERCONNECT _8845_/X _5801_/B1 (0.151::0.151) (0.139::0.139)) + (INTERCONNECT _8845_/X _5822_/B1 (0.177::0.178) (0.165::0.165)) + (INTERCONNECT _8845_/X _5841_/B1 (0.165::0.166) (0.153::0.154)) + (INTERCONNECT _8845_/X _5876_/B1 (0.192::0.193) (0.179::0.179)) + (INTERCONNECT _8845_/X _5909_/B1 (0.219::0.219) (0.203::0.204)) + (INTERCONNECT _8845_/X _5953_/B1 (0.209::0.209) (0.194::0.194)) + (INTERCONNECT _8845_/X _5972_/B1 (0.143::0.143) (0.132::0.132)) + (INTERCONNECT _8845_/X _6031_/B1 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _8845_/X _8915_/A1 (0.092::0.092) (0.086::0.086)) + (INTERCONNECT _8845_/X _8926_/A1 (0.094::0.094) (0.087::0.087)) + (INTERCONNECT _8845_/X _8931_/A1 (0.230::0.230) (0.214::0.214)) + (INTERCONNECT _8846_/X _4494_/B1 (0.252::0.253) (0.231::0.231)) + (INTERCONNECT _8846_/X _4527_/B1 (0.276::0.277) (0.253::0.253)) + (INTERCONNECT _8846_/X _4567_/B1 (0.272::0.273) (0.249::0.250)) + (INTERCONNECT _8846_/X _4580_/B1 (0.274::0.275) (0.251::0.251)) + (INTERCONNECT _8846_/X _4593_/B1 (0.274::0.275) (0.251::0.251)) + (INTERCONNECT _8846_/X _5016_/B1 (0.233::0.234) (0.214::0.215)) + (INTERCONNECT _8846_/X _5048_/B1 (0.237::0.237) (0.218::0.218)) + (INTERCONNECT _8846_/X _5092_/B1 (0.167::0.167) (0.154::0.154)) + (INTERCONNECT _8846_/X _5113_/B1 (0.258::0.259) (0.237::0.237)) + (INTERCONNECT _8846_/X _5137_/B1 (0.238::0.238) (0.218::0.219)) + (INTERCONNECT _8846_/X _5148_/B1 (0.119::0.120) (0.110::0.110)) + (INTERCONNECT _8846_/X _5159_/B1 (0.119::0.119) (0.110::0.110)) + (INTERCONNECT _8846_/X _5170_/B1 (0.096::0.096) (0.088::0.088)) + (INTERCONNECT _8846_/X _5243_/B1 (0.268::0.269) (0.246::0.246)) + (INTERCONNECT _8846_/X _5270_/B1 (0.153::0.153) (0.141::0.141)) + (INTERCONNECT _8846_/X _5281_/B1 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _8846_/X _5309_/B1 (0.109::0.110) (0.100::0.100)) + (INTERCONNECT _8846_/X _5320_/B1 (0.151::0.152) (0.140::0.140)) + (INTERCONNECT _8846_/X _5347_/B1 (0.067::0.067) (0.063::0.063)) + (INTERCONNECT _8846_/X _5358_/B1 (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _8846_/X _5385_/B1 (0.086::0.086) (0.079::0.079)) + (INTERCONNECT _8846_/X _5396_/B1 (0.249::0.250) (0.229::0.229)) + (INTERCONNECT _8846_/X _5423_/B1 (0.110::0.110) (0.100::0.101)) + (INTERCONNECT _8846_/X _5434_/B1 (0.047::0.047) (0.045::0.045)) + (INTERCONNECT _8846_/X _5461_/B1 (0.276::0.276) (0.252::0.253)) + (INTERCONNECT _8846_/X _5472_/B1 (0.091::0.091) (0.083::0.084)) + (INTERCONNECT _8846_/X _5499_/B1 (0.232::0.232) (0.213::0.213)) + (INTERCONNECT _8846_/X _5510_/B1 (0.142::0.142) (0.131::0.131)) + (INTERCONNECT _8846_/X _5537_/B1 (0.183::0.183) (0.169::0.169)) + (INTERCONNECT _8846_/X _5548_/B1 (0.144::0.144) (0.133::0.133)) + (INTERCONNECT _8846_/X _5575_/B1 (0.182::0.182) (0.168::0.168)) + (INTERCONNECT _8846_/X _5586_/B1 (0.152::0.152) (0.140::0.140)) + (INTERCONNECT _8846_/X _5613_/B1 (0.130::0.130) (0.119::0.119)) + (INTERCONNECT _8846_/X _5624_/B1 (0.104::0.104) (0.096::0.096)) + (INTERCONNECT _8846_/X _5663_/B1 (0.109::0.109) (0.100::0.100)) + (INTERCONNECT _8846_/X _5682_/B1 (0.115::0.115) (0.106::0.106)) + (INTERCONNECT _8846_/X _5760_/B1 (0.113::0.113) (0.103::0.103)) + (INTERCONNECT _8846_/X _5779_/B1 (0.224::0.224) (0.206::0.206)) + (INTERCONNECT _8846_/X _5800_/B1 (0.157::0.157) (0.145::0.145)) + (INTERCONNECT _8846_/X _5821_/B1 (0.186::0.187) (0.172::0.172)) + (INTERCONNECT _8846_/X _5840_/B1 (0.168::0.168) (0.155::0.155)) + (INTERCONNECT _8846_/X _5875_/B1 (0.198::0.198) (0.183::0.183)) + (INTERCONNECT _8846_/X _5908_/B1 (0.220::0.221) (0.203::0.203)) + (INTERCONNECT _8846_/X _5952_/B1 (0.192::0.193) (0.177::0.177)) + (INTERCONNECT _8846_/X _5971_/B1 (0.151::0.151) (0.139::0.139)) + (INTERCONNECT _8846_/X _6030_/B1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _8846_/X _8902_/A1 (0.237::0.237) (0.217::0.218)) + (INTERCONNECT _8846_/X _8916_/A1 (0.119::0.119) (0.110::0.110)) + (INTERCONNECT _8846_/X _8929_/A1 (0.119::0.120) (0.110::0.110)) + (INTERCONNECT _8847_/X clkbuf_0_csclk/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _8848_/X _5206_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8849_/X _8850_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8850_/X _5861_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8851_/X _8852_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8852_/X _5860_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8853_/X _8854_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8854_/X _5859_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8855_/X _8856_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8856_/X _5858_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8857_/X _8858_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8858_/X _5857_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8859_/X _8860_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8860_/X _5856_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8861_/X _8862_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8862_/X _5855_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8863_/X _8864_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8864_/X _5854_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8865_/X _8866_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8866_/X _5853_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8867_/X _8868_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8868_/X _5852_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8869_/X _8870_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8870_/X _5851_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8871_/X _8872_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8872_/X _5850_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8873_/X _8874_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8874_/X _5894_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8875_/X _8876_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8876_/X _5893_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8877_/X _8878_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8878_/X _5892_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8879_/X _8880_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8880_/X _5891_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8881_/X _8882_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8882_/X _5890_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8883_/X _8884_/A0 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _8884_/X _5889_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8885_/X _8886_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8886_/X _5888_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8887_/X _8888_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8888_/X _5887_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8889_/X _8890_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8890_/X _5886_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8891_/X _8892_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8892_/X _5885_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8893_/X _8894_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8894_/X _5884_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8895_/X _8896_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8896_/X _5883_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8897_/X _5207_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8898_/X _9058_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8899_/X _5999_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8900_/X _4637_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8901_/X _5198_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8902_/X _5194_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8903_/X _5210_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8904_/X _6005_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8905_/X _6002_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8906_/X _5996_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8907_/X _6017_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8908_/X _6014_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8909_/X _6011_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8910_/X _6008_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8911_/X _5001_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8912_/X _4996_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8913_/X _4992_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8914_/X _5221_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8915_/X _5220_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8916_/X _5219_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8917_/X _5197_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8918_/X _5848_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _8918_/X _5850_/A2 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _8918_/X _5851_/B1 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _8918_/X _5852_/B1 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _8918_/X _5853_/B1 (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _8918_/X _5854_/B1 (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _8918_/X _5855_/B1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _8918_/X _5856_/B1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _8918_/X _5857_/B1 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _8918_/X _5858_/B1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _8918_/X _5859_/B1 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _8918_/X _5860_/B1 (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _8918_/X _5861_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8918_/X _5863_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8918_/X _5883_/B1 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _8918_/X _5884_/B1 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _8918_/X _5885_/B1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _8918_/X _5886_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _8918_/X _5887_/B1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _8918_/X _5888_/B1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _8918_/X _5889_/B1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _8918_/X _5890_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _8918_/X _5891_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _8918_/X _5892_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8918_/X _5893_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _8918_/X _5894_/B1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8918_/X _5896_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8919_/X _5199_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8920_/X _5196_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8921_/X _5222_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8922_/X _5208_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8923_/X _5209_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8924_/X _5211_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8925_/X _5223_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8926_/X _5205_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8927_/X _5200_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8928_/X _5224_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8929_/X _5204_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8930_/X _5201_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8931_/X _5195_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8932_/X _5225_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8933_/X _5226_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8934_/X _8935_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8935_/X _4462_/D (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _8935_/X _4473_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _8935_/X _4479_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8935_/X _4489_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8935_/X _4502_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8935_/X _4513_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _8935_/X _4553_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8935_/X _4611_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _8935_/X _4621_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8936_/X _8937_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8937_/X _4462_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8937_/X _4472_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8937_/X _4489_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8937_/X _4502_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8937_/X _4522_/C (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8937_/X _4535_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8937_/X _4611_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8937_/X _4688_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8937_/X _4729_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8938_/X _8939_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8939_/X _4455_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8939_/X _4470_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8939_/X _4514_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8939_/X _4665_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8939_/X _4801_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8940_/X _4452_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _8940_/X _4518_/C1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _8940_/X _8814_/C1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _8941_/X _8942_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8942_/X _4457_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8942_/X _4469_/B1_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8943_/X _4457_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8943_/X _4469_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8944_/X _8945_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8945_/X _4461_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8945_/X _4489_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8945_/X _4513_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8945_/X _4535_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8945_/X _4575_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8945_/X _4611_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8945_/X _4621_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8945_/X _4669_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8945_/X _4688_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _8946_/X _8947_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8947_/X _4460_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8947_/X _4489_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8947_/X _4502_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8947_/X _4522_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8947_/X _4535_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8947_/X _4553_/C (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8947_/X _4588_/C (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8947_/X _4621_/C (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _8947_/X _4669_/C (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8948_/X _8949_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8949_/X _4458_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8949_/X _4468_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8949_/X _4487_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8949_/X _4514_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8949_/X _4801_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8950_/X _4646_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8951_/X _4652_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8952_/X _4655_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8953_/X _4649_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8954_/X _4643_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8955_/X _4640_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8956_/X _5192_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8957_/X _4991_/B_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8958_/X _7003_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8959_/X _5895_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8960_/X _4552_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8961_/X _5078_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8962_/X _5076_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8963_/X _5074_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8964_/X _5072_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8965_/X _5070_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8966_/X _5068_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8967_/X _5066_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8968_/X _5064_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8969_/X _5044_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _8970_/X _5043_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _8970_/X ANTENNA_83/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _8971_/X _5042_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _8972_/X _5041_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _8973_/X _5040_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8974_/X _5039_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8975_/X _5038_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8976_/X _5217_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8977_/X _5202_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _8978_/X _5862_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8979_/Z mgmt_gpio_out[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8980_/Z mgmt_gpio_out[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8981_/Z mgmt_gpio_out[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8982_/Z mgmt_gpio_out[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8983_/Z mgmt_gpio_out[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8984_/Z mgmt_gpio_out[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8985_/Z mgmt_gpio_out[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8986_/Z mgmt_gpio_out[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8987_/Z mgmt_gpio_out[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8988_/Z mgmt_gpio_out[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8989_/Z mgmt_gpio_out[12] (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _8990_/Z mgmt_gpio_out[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8991_/Z mgmt_gpio_out[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8992_/Z mgmt_gpio_out[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8993_/Z mgmt_gpio_out[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8994_/Z mgmt_gpio_out[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8995_/Z mgmt_gpio_out[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8996_/Z mgmt_gpio_out[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8997_/Z mgmt_gpio_out[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _8998_/Z mgmt_gpio_out[21] (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _8999_/Z mgmt_gpio_out[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9000_/Z mgmt_gpio_out[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9001_/Z mgmt_gpio_out[24] (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9002_/Z mgmt_gpio_out[25] (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9003_/Z mgmt_gpio_out[26] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9004_/Z mgmt_gpio_out[27] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9005_/Z mgmt_gpio_out[28] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9006_/Z mgmt_gpio_out[29] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9007_/Z mgmt_gpio_out[30] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9008_/Z mgmt_gpio_out[31] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9009_/Z mgmt_gpio_out[32] (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9010_/Z mgmt_gpio_out[33] (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _9011_/Z mgmt_gpio_out[34] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9012_/Q _6984_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9012_/Q output357/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9013_/Q _6983_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9013_/Q output358/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9014_/Q _6982_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9014_/Q output328/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9015_/Q _6981_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9015_/Q output329/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9016_/Q _6980_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9016_/Q output330/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9017_/Q _6979_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9017_/Q output331/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9018_/Q _6978_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9018_/Q output332/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9019_/Q _6977_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9019_/Q output333/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9020_/Q _6972_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9020_/Q output327/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9021_/Q _6971_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9021_/Q output338/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9022_/Q _6970_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9022_/Q output349/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9023_/Q _6969_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9023_/Q output352/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9024_/Q _6968_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9024_/Q output353/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9025_/Q _6967_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9025_/Q output354/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9026_/Q _6966_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9026_/Q output355/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9027_/Q _6965_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9027_/Q output356/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9028_/Q _6960_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9028_/Q output334/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9029_/Q _6959_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9029_/Q output335/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9030_/Q _6958_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9030_/Q output336/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9031_/Q _6957_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9031_/Q output337/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9032_/Q _6956_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9032_/Q output339/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9033_/Q _6955_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9033_/Q output340/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9034_/Q _6954_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9034_/Q output341/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9035_/Q _6953_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9035_/Q output342/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9036_/Q _6948_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9036_/Q output343/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9037_/Q _6947_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9037_/Q output344/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9038_/Q _6786_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9038_/Q output345/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9039_/Q _6630_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9039_/Q output346/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9040_/Q _6476_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9040_/Q output347/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9041_/Q _6327_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9041_/Q output348/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9042_/Q _6238_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9042_/Q output350/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9043_/Q _6150_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9043_/Q output351/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9044_/Q _6058_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9044_/Q _4681_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9044_/Q output300/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9045_/Q _6886_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9045_/Q _6057_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9045_/Q output301/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9046_/Q _6749_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9046_/Q _6056_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9046_/Q output302/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9047_/Q _6534_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9047_/Q _6055_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9047_/Q output303/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9048_/Q _4951_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9048_/Q _4954_/C1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9048_/Q _4959_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9048_/Q _4961_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9048_/Q _4964_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9048_/Q _5012_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9048_/Q _5035_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9048_/Q _5131_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9048_/Q _5215_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9048_/Q _7006_/A3 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9048_/Q _8911_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9048_/Q _8912_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9048_/Q _8913_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9049_/Q _5037_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9049_/Q _6990_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9049_/Q _7707_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9050_/Q _4633_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9050_/Q _4946_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9050_/Q _5035_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9050_/Q _5993_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9050_/Q _7009_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9050_/Q _8898_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9051_/Q _4964_/C (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _9051_/Q _5035_/C (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _9051_/Q _5994_/A1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _9051_/Q _7007_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9051_/Q _7009_/A1 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _9051_/Q _8899_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9051_/Q _8904_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9051_/Q _8905_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9051_/Q _8906_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9051_/Q _8907_/S (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _9051_/Q _8908_/S (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _9051_/Q _8909_/S (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _9051_/Q _8910_/S (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _9051_/Q _8934_/S (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _9051_/Q _8936_/S (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _9051_/Q _8938_/S (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _9051_/Q _8941_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9051_/Q _8943_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9051_/Q _8944_/S (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _9051_/Q _8946_/S (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _9051_/Q _8948_/S (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _9052_/Q _5034_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9052_/Q _5191_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9052_/Q _7006_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9052_/Q _7707_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9053_/Q _5690_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9053_/Q _5711_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9053_/Q _5809_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9053_/Q _6992_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9054_/Q _5642_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _9054_/Q _5645_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _9054_/Q _5650_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9054_/Q _5657_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _9054_/Q _6993_/A1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _9054_/Q _6993_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT _9054_/Q _8850_/S (0.018::0.018) (0.018::0.018)) + (INTERCONNECT _9054_/Q _8852_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9054_/Q _8854_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9054_/Q _8856_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9054_/Q _8858_/S (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _9054_/Q _8860_/S (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _9054_/Q _8862_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9054_/Q _8864_/S (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _9054_/Q _8866_/S (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _9054_/Q _8868_/S (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _9054_/Q _8870_/S (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _9054_/Q _8872_/S (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _9054_/Q _8874_/S (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _9054_/Q _8876_/S (0.011::0.011) (0.010::0.010)) + (INTERCONNECT _9054_/Q _8878_/S (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _9054_/Q _8880_/S (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _9054_/Q _8882_/S (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _9054_/Q _8884_/S (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _9054_/Q _8886_/S (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _9054_/Q _8888_/S (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _9054_/Q _8890_/S (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _9054_/Q _8892_/S (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _9054_/Q _8894_/S (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _9054_/Q _8896_/S (0.022::0.022) (0.021::0.021)) + (INTERCONNECT _9054_/Q _8918_/S (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _9055_/Q _5644_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9055_/Q _5691_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9055_/Q _5706_/A3 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _9055_/Q _5711_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9055_/Q _5714_/A2 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9055_/Q _5731_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _9055_/Q _5735_/A3 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _9055_/Q _5739_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _9055_/Q _6993_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9055_/Q _8918_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9056_/Q _5643_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9056_/Q _5650_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9056_/Q _5657_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9056_/Q _5750_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _9056_/Q _5753_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9056_/Q _5788_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9056_/Q _5808_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9056_/Q _7000_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9057_/Q _8821_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9058_/Q _8832_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9059_/Q _5187_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9059_/Q _5937_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9059_/Q _5940_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9060_/Q _5108_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9060_/Q _5924_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9060_/Q _9065_/D (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9061_/Q _5108_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9061_/Q _6973_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9062_/Q _5108_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9062_/Q _6961_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9063_/Q _5108_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9063_/Q _6949_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9064_/Q _4543_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9065_/Q _4542_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9065_/Q _4551_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9065_/Q _7001_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9066_/Q _4544_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9066_/Q _7733_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9066_/Q _7736_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9066_/Q _7738_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9066_/Q _7740_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9066_/Q _7742_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9066_/Q _7744_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9066_/Q _7746_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9066_/Q _7748_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9066_/Q _7750_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9066_/Q _8183_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9067_/Q _4545_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9067_/Q _7735_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9067_/Q _7737_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9067_/Q _7739_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9067_/Q _7741_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9067_/Q _7743_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9067_/Q _7745_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9067_/Q _7747_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9067_/Q _7749_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9067_/Q _7751_/B1 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9067_/Q _8293_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9068_/Q _4546_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9068_/Q _7734_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9068_/Q _7737_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9068_/Q _7739_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9068_/Q _7741_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9068_/Q _7743_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9068_/Q _7745_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9068_/Q _7747_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9068_/Q _7749_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9068_/Q _7751_/A1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9068_/Q _7945_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9069_/Q _6038_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9069_/Q _6600_/A1_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9069_/Q _8820_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9070_/Q _4814_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9070_/Q _6037_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9071_/Q _6036_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9071_/Q _6823_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9072_/Q _6035_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9072_/Q _6650_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9073_/Q _6034_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9073_/Q _6563_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9074_/Q _6033_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9074_/Q _6430_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9075_/Q _6032_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9075_/Q _6291_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9076_/Q _6031_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9076_/Q _6188_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9077_/Q _6030_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9077_/Q _6104_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9078_/Q _6026_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9078_/Q _6698_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9078_/Q _8821_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9079_/Q _6025_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9079_/Q _8822_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9080_/Q _4447_/S (0.023::0.023) (0.023::0.023)) + (INTERCONNECT _9080_/Q _6024_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9081_/Q _4451_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9081_/Q _4835_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9081_/Q _6018_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9082_/Q _6017_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9082_/Q _7708_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9082_/Q _7709_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9082_/Q _7710_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9082_/Q _8908_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9082_/Q _8944_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9082_/Q _8946_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9083_/Q _6014_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9083_/Q _7709_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9083_/Q _7710_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9083_/Q _8909_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9083_/Q _8934_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9083_/Q _8946_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9084_/Q _6011_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9084_/Q _7712_/A1_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9084_/Q _7712_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9084_/Q _7713_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9084_/Q _7714_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9084_/Q _8910_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9084_/Q _8934_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9084_/Q _8936_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9085_/Q _6008_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9085_/Q _7713_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9085_/Q _7714_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9085_/Q _8904_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9085_/Q _8936_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9085_/Q _8938_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9086_/Q _6005_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9086_/Q _7716_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9086_/Q _7717_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9086_/Q _8905_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9086_/Q _8938_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9086_/Q _8948_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9087_/Q _6002_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9087_/Q _7719_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9087_/Q _7720_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9087_/Q _8899_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9087_/Q _8941_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9087_/Q _8948_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9088_/Q _5999_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9088_/Q _7722_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9088_/Q _7723_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9088_/Q _7725_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9088_/Q _8906_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9088_/Q _8941_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9088_/Q _8943_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9089_/Q _5996_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9089_/Q _7724_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9089_/Q _7725_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9089_/Q _8943_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9090_/Q _4658_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9090_/Q _4942_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9090_/Q _4989_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9090_/Q _5981_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9090_/Q _8958_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9091_/Q _4658_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9091_/Q _4941_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9091_/Q _4950_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9091_/Q _4954_/D1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9091_/Q _4958_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9091_/Q _4989_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9091_/Q _5005_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9091_/Q _5981_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9091_/Q _5986_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9091_/Q _8958_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9092_/Q _4658_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9092_/Q _4940_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9092_/Q _4950_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9092_/Q _4954_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9092_/Q _4961_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9092_/Q _4989_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9092_/Q _5005_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9092_/Q _5982_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9092_/Q _8958_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9093_/Q _4842_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9093_/Q _5978_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9094_/Q _5977_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9094_/Q _6913_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9095_/Q _5976_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9095_/Q _6673_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9096_/Q _4629_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9096_/Q _5975_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9096_/Q _6490_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9097_/Q _5974_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9097_/Q _6334_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9098_/Q _5973_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9098_/Q _6303_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9099_/Q _5972_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9099_/Q _6230_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9100_/Q _5971_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9100_/Q _6059_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9101_/Q _4765_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9101_/Q _5967_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9102_/Q _5966_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9102_/Q _6929_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9103_/Q _5965_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9103_/Q _6731_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9104_/Q _5964_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9104_/Q _6516_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9105_/Q _5963_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9105_/Q _6458_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9106_/Q _4687_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9106_/Q _5959_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9107_/Q _5958_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9107_/Q _6919_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9108_/Q _5957_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9108_/Q _6775_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9109_/Q _5956_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9109_/Q _6537_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9110_/Q _5955_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9110_/Q _6456_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9111_/Q _5954_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9111_/Q _6315_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9112_/Q _5953_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9112_/Q _6218_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9113_/Q _5952_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9113_/Q _6127_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9114_/Q _4784_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9114_/Q _5948_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9115_/Q _5947_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9115_/Q _6800_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9116_/Q _5946_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9116_/Q _6772_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9117_/Q _5945_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9117_/Q _6539_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9118_/Q _5944_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9118_/Q _6416_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9119_/Q _5940_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9119_/Q output326/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _9120_/Q _4775_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9120_/Q _5923_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9121_/Q _5922_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9121_/Q _6922_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9122_/Q _5921_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9122_/Q _6743_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9123_/Q _5920_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9123_/Q _6479_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9124_/Q _5919_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9124_/Q _6331_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9125_/Q _4664_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9125_/Q _5915_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9126_/Q _5914_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9126_/Q _6799_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9127_/Q _5913_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9127_/Q _6754_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9128_/Q _5912_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9128_/Q _6496_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9129_/Q _5911_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9129_/Q _6337_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9130_/Q _5910_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9130_/Q _6304_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9131_/Q _5909_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9131_/Q _6224_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9132_/Q _5908_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9132_/Q _6123_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9133_/Q _4699_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9133_/Q _5904_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9134_/Q _5903_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9134_/Q _6896_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9135_/Q _5902_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9135_/Q _6750_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9136_/Q _5901_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9136_/Q _6528_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9137_/Q _5900_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9137_/Q _6441_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9138_/Q _5896_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9138_/Q _8874_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9139_/Q _5894_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9139_/Q _8876_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9140_/Q _5893_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9140_/Q _8878_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9141_/Q _5892_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9141_/Q _8880_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9142_/Q _5891_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9142_/Q _8882_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9143_/Q _5890_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9143_/Q _8884_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9144_/Q _5889_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9144_/Q _8886_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9145_/Q _5888_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9145_/Q _8888_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9146_/Q _5887_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9146_/Q _8890_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9147_/Q _5886_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9147_/Q _8892_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9148_/Q _5885_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9148_/Q _8894_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9149_/Q _5884_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9149_/Q _8896_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9150_/Q _5883_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9150_/Q _8823_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9151_/Q _4668_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9151_/Q _5882_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9152_/Q _5881_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9152_/Q _6889_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9153_/Q _5880_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9153_/Q _6755_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9154_/Q _5879_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9154_/Q _6532_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9155_/Q _5878_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9155_/Q _6468_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9156_/Q _5877_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9156_/Q _6302_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9157_/Q _5876_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9157_/Q _6212_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9158_/Q _5875_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9158_/Q _6128_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9159_/Q _4694_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9159_/Q _5871_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9160_/Q _5870_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9160_/Q _6909_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9161_/Q _5869_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9161_/Q _6674_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9162_/Q _5868_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9162_/Q _6486_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9163_/Q _5867_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9163_/Q _6434_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9164_/Q _5863_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9164_/Q _8850_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9165_/Q _5861_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9165_/Q _8852_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9166_/Q _5860_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9166_/Q _8854_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9167_/Q _5859_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9167_/Q _8856_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9168_/Q _5858_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9168_/Q _8858_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9169_/Q _5857_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9169_/Q _8860_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9170_/Q _5856_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9170_/Q _8862_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9171_/Q _5855_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9171_/Q _8864_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9172_/Q _5854_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9172_/Q _8866_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9173_/Q _5853_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9173_/Q _8868_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9174_/Q _5852_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9174_/Q _8870_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9175_/Q _5851_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9175_/Q _8872_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9176_/Q _5850_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9176_/Q _8822_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9177_/Q _4716_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9177_/Q _5847_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9178_/Q _5846_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9178_/Q _6920_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9179_/Q _5845_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9179_/Q _6687_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9180_/Q _5844_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9180_/Q _6520_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9181_/Q _5843_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9181_/Q _6457_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9182_/Q _5842_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9182_/Q _6284_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9183_/Q _5841_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9183_/Q _6194_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9184_/Q _5840_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9184_/Q _6065_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9185_/Q _4761_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9185_/Q _5836_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9186_/Q _5835_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9186_/Q _6883_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9187_/Q _5834_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9187_/Q _6682_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9188_/Q _5833_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9188_/Q _6504_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9189_/Q _5832_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9189_/Q _6467_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9190_/Q _4740_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9190_/Q _5828_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9191_/Q _5827_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9191_/Q _6933_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9192_/Q _5826_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9192_/Q _6644_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9193_/Q _5825_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9193_/Q _6514_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9194_/Q _5824_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9194_/Q _6353_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9195_/Q _5823_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9195_/Q _6298_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9196_/Q _5822_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9196_/Q _6175_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9197_/Q _5821_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9197_/Q _6140_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9198_/Q _4685_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9198_/Q _5817_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9199_/Q _5816_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9199_/Q _6897_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9200_/Q _5815_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9200_/Q _6646_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9201_/Q _5814_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9201_/Q _6498_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9202_/Q _5813_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9202_/Q _6369_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9203_/Q _4827_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9203_/Q _5808_/C1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9204_/Q _4734_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9204_/Q _5807_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9205_/Q _5806_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9205_/Q _6879_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9206_/Q _5805_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9206_/Q _6637_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9207_/Q _5804_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9207_/Q _6492_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9208_/Q _5803_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9208_/Q _6367_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9209_/Q _5802_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9209_/Q _6288_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9210_/Q _5801_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9210_/Q _6184_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9211_/Q _5800_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9211_/Q _6121_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9212_/Q _4712_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9212_/Q _5796_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9213_/Q _5795_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9213_/Q _6932_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9214_/Q _5794_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9214_/Q _6640_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9215_/Q _5793_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9215_/Q _6581_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9216_/Q _5792_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9216_/Q _6373_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9217_/Q _5788_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9217_/Q _8820_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9218_/Q _4708_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9218_/Q _5786_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9219_/Q _5785_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9219_/Q _6890_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9220_/Q _5784_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9220_/Q _6639_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9221_/Q _5783_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9221_/Q _6511_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9222_/Q _5782_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9222_/Q _6372_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9223_/Q _5781_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9223_/Q _6301_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9224_/Q _5780_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9224_/Q _6182_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9225_/Q _5779_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9225_/Q _6126_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9226_/Q _4722_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9226_/Q _5775_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9227_/Q _5774_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9227_/Q _6935_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9228_/Q _5773_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9228_/Q _6638_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9229_/Q _5772_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9229_/Q _6477_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9230_/Q _5771_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9230_/Q _6374_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9231_/Q _4779_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9231_/Q _5767_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9232_/Q _5766_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9232_/Q _6939_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9233_/Q _5765_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9233_/Q _6643_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9234_/Q _5764_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9234_/Q _6502_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9235_/Q _5763_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9235_/Q _6368_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9236_/Q _5762_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9236_/Q _6308_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9237_/Q _5761_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9237_/Q _6183_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9238_/Q _5760_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9238_/Q _6122_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9239_/Q _5756_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9239_/Q _8819_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9240_/Q _4728_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9240_/Q _5749_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9241_/Q _5748_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9241_/Q _6927_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9242_/Q _5747_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9242_/Q _6645_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9243_/Q _5746_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9243_/Q _6497_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9244_/Q _5745_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9244_/Q _6362_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9245_/Q _5718_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _9245_/Q _5735_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9245_/Q _5737_/B (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9245_/Q _5741_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9245_/Q _7024_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9245_/Q _7038_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9245_/Q _7056_/D (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9245_/Q _7066_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9245_/Q _7098_/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9245_/Q _7111_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9245_/Q _7117_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT _9246_/Q _5717_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9246_/Q _5735_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9246_/Q _5738_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9246_/Q _7024_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9246_/Q _7038_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9246_/Q _7056_/C (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9246_/Q _7066_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9246_/Q _7098_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9246_/Q _7111_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _9246_/Q _7117_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9247_/Q _5721_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9247_/Q _5735_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9247_/Q _7023_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9247_/Q _7037_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9248_/Q _5720_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9248_/Q _5733_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9248_/Q _6995_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9248_/Q _7023_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9248_/Q _7051_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9248_/Q _7056_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9249_/Q _5716_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9249_/Q _5732_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9249_/Q _6994_/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9249_/Q _7026_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9250_/Q _5715_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9250_/Q _5725_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9250_/Q _7026_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9251_/Q _5693_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _9251_/Q _5708_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9251_/Q _5714_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9251_/Q _7399_/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _9251_/Q _7407_/B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _9251_/Q _7418_/B (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _9251_/Q _7429_/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _9251_/Q _7442_/B (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _9251_/Q _7464_/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _9251_/Q _7474_/B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _9251_/Q _7476_/B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _9252_/Q _5693_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9252_/Q _5707_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9252_/Q _5712_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9252_/Q _7401_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9253_/Q _5695_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9253_/Q _5701_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9253_/Q _5703_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9253_/Q _5705_/C1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9253_/Q _7413_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9254_/Q _5695_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9254_/Q _5701_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9254_/Q _7402_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9254_/Q _7406_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9255_/Q _5697_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9255_/Q _5700_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9255_/Q _7398_/C (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9255_/Q _7404_/C (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _9255_/Q _7409_/C (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _9255_/Q _7414_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _9255_/Q _7416_/C (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _9255_/Q _7418_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9255_/Q _7424_/C (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _9255_/Q _7429_/D (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9255_/Q _7440_/C (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _9255_/Q _7450_/C (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _9255_/Q _7456_/C (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _9255_/Q _7460_/C (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _9255_/Q _7464_/D (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _9255_/Q _7470_/C (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _9255_/Q _7472_/C (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _9255_/Q _7476_/D (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _9256_/Q _4720_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9256_/Q _5689_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9257_/Q _5688_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9257_/Q _6891_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9258_/Q _5687_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9258_/Q _6664_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9259_/Q _5686_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9259_/Q _6478_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9260_/Q _5685_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9260_/Q _6371_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9261_/Q _5684_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9261_/Q _6309_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9262_/Q _5683_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9262_/Q _6178_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9263_/Q _5682_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9263_/Q _6139_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9264_/Q _4706_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9264_/Q _5678_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9265_/Q _5677_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9265_/Q _6928_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9266_/Q _5676_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9266_/Q _6634_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9267_/Q _5675_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9267_/Q _6510_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9268_/Q _5674_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9268_/Q _6379_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9269_/Q _4726_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9269_/Q _5670_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9270_/Q _5669_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9270_/Q _6926_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9271_/Q _5668_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9271_/Q _6633_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9272_/Q _5667_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9272_/Q _6515_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9273_/Q _5666_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9273_/Q _6377_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9274_/Q _5665_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9274_/Q _6316_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9275_/Q _5664_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9275_/Q _6179_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9276_/Q _5663_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9276_/Q _6066_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9277_/Q _5641_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9277_/Q _5649_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9277_/Q _5659_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9277_/Q _5751_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9277_/Q _5788_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9278_/Q _5646_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9278_/Q _5649_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9278_/Q _5752_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9279_/Q _5640_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9279_/Q _5751_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9279_/Q _5752_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9280_/Q _5648_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9280_/Q _5751_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9280_/Q _5752_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9281_/Q _4710_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9281_/Q _5639_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9282_/Q _5638_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9282_/Q _6934_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9283_/Q _5637_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9283_/Q _6663_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9284_/Q _5636_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9284_/Q _6495_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9285_/Q _5635_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9285_/Q _6378_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9286_/Q _4732_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9286_/Q _5631_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9287_/Q _5630_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9287_/Q _6914_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9288_/Q _5629_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9288_/Q _6748_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9289_/Q _5628_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9289_/Q _6582_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9290_/Q _5627_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9290_/Q _6356_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9291_/Q _5626_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9291_/Q _6296_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9292_/Q _5625_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9292_/Q _6170_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9293_/Q _5624_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9293_/Q _6067_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9294_/Q _4759_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9294_/Q _5620_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9295_/Q _5619_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9295_/Q _6880_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9296_/Q _5618_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9296_/Q _6631_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9297_/Q _5617_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9297_/Q _6483_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9298_/Q _5616_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9298_/Q _6380_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9299_/Q _5615_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9299_/Q _6283_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9300_/Q _5614_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9300_/Q _6181_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9301_/Q _5613_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9301_/Q _6138_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9302_/Q _4749_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9302_/Q _5609_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9303_/Q _5608_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9303_/Q _6802_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9304_/Q _5607_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9304_/Q _6707_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9305_/Q _5606_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9305_/Q _6522_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9306_/Q _5605_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9306_/Q _6393_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9307_/Q _4718_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9307_/Q _5601_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9308_/Q _5600_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9308_/Q _6938_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9309_/Q _5599_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9309_/Q _6632_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9310_/Q _5598_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9310_/Q _6484_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9311_/Q _5597_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9311_/Q _6361_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9312_/Q _4771_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9312_/Q _5593_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9313_/Q _5592_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9313_/Q _6884_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9314_/Q _5591_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9314_/Q _6738_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9315_/Q _5590_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9315_/Q _6485_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9316_/Q _5589_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9316_/Q _6410_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9317_/Q _5588_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9317_/Q _6311_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9318_/Q _5587_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9318_/Q _6163_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9319_/Q _5586_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9319_/Q _6061_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9320_/Q _4742_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9320_/Q _5582_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9321_/Q _5581_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9321_/Q _6895_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9322_/Q _5580_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9322_/Q _6661_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9323_/Q _5579_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9323_/Q _6509_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9324_/Q _5578_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9324_/Q _6360_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9325_/Q _5577_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9325_/Q _6320_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9326_/Q _5576_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9326_/Q _6176_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9327_/Q _5575_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9327_/Q _6141_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9328_/Q _4763_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9328_/Q _5571_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9329_/Q _5570_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9329_/Q _6885_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9330_/Q _5569_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9330_/Q _6701_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9331_/Q _5568_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9331_/Q _6480_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9332_/Q _5567_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9332_/Q _6343_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9333_/Q _4660_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9333_/Q _5563_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9334_/Q _5562_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9334_/Q _6898_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9335_/Q _5561_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9335_/Q _6662_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9336_/Q _5560_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9336_/Q _6508_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9337_/Q _5559_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9337_/Q _6363_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9338_/Q _4751_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9338_/Q _5555_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9339_/Q _5554_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9339_/Q _6916_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9340_/Q _5553_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9340_/Q _6693_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9341_/Q _5552_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9341_/Q _6491_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9342_/Q _5551_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9342_/Q _6461_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9343_/Q _5550_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9343_/Q _6287_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9344_/Q _5549_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9344_/Q _6151_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9345_/Q _5548_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9345_/Q _6133_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9346_/Q _4804_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9346_/Q _5544_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9347_/Q _5543_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9347_/Q _6793_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9348_/Q _5542_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9348_/Q _6737_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9349_/Q _5541_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9349_/Q _6610_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9350_/Q _5540_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9350_/Q _6398_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9351_/Q _5539_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9351_/Q _6277_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9352_/Q _5538_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9352_/Q _6154_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9353_/Q _5537_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9353_/Q _6098_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9354_/Q _4671_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9354_/Q _5533_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9355_/Q _5532_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9355_/Q _6904_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9356_/Q _5531_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9356_/Q _6756_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9357_/Q _5530_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9357_/Q _6583_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9358_/Q _5529_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9358_/Q _6440_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9359_/Q _4920_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9359_/Q _5525_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9360_/Q _5524_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9360_/Q _6801_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9361_/Q _5523_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9361_/Q _6718_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9362_/Q _5522_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9362_/Q _6555_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9363_/Q _5521_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9363_/Q _6424_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9364_/Q _4675_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9364_/Q _5517_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9365_/Q _5516_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9365_/Q _6915_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9366_/Q _5515_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9366_/Q _6686_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9367_/Q _5514_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9367_/Q _6527_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9368_/Q _5513_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9368_/Q _6436_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9369_/Q _5512_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9369_/Q _6321_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9370_/Q _5511_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9370_/Q _6205_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9371_/Q _5510_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9371_/Q _6068_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9372_/Q _4877_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9372_/Q _5506_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9373_/Q _5505_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9373_/Q _6859_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9374_/Q _5504_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9374_/Q _6724_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9375_/Q _5503_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9375_/Q _6575_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9376_/Q _5502_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9376_/Q _6421_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9377_/Q _5501_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9377_/Q _6276_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9378_/Q _5500_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9378_/Q _6152_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9379_/Q _5499_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9379_/Q _6091_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9380_/Q _4786_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9380_/Q _5495_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9381_/Q _5494_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9381_/Q _6892_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9382_/Q _5493_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9382_/Q _6668_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9383_/Q _5492_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9383_/Q _6503_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9384_/Q _5491_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9384_/Q _6335_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9385_/Q _4918_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9385_/Q _5487_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9386_/Q _5486_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9386_/Q _6872_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9387_/Q _5485_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9387_/Q _6700_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9388_/Q _5484_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9388_/Q _6587_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9389_/Q _5483_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9389_/Q _6404_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9390_/Q _4744_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9390_/Q _5479_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9391_/Q _5478_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9391_/Q _6905_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9392_/Q _5477_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9392_/Q _6753_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9393_/Q _5476_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9393_/Q _6489_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9394_/Q _5475_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9394_/Q _6392_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9395_/Q _5474_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9395_/Q _6310_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9396_/Q _5473_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9396_/Q _6201_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9397_/Q _5472_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9397_/Q _6080_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9398_/Q _4928_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9398_/Q _5468_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9399_/Q _5467_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9399_/Q _6871_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9400_/Q _5466_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9400_/Q _6767_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9401_/Q _5465_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9401_/Q _6605_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9402_/Q _5464_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9402_/Q _6328_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9403_/Q _5463_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9403_/Q _6269_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9404_/Q _5462_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9404_/Q _6164_/A (0.033::0.033) (0.032::0.032)) + (INTERCONNECT _9405_/Q _5461_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9405_/Q _6090_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9406_/Q _4890_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9406_/Q _5457_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9407_/Q _5456_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9407_/Q _6840_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9408_/Q _5455_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9408_/Q _6719_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9409_/Q _5454_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9409_/Q _6557_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9410_/Q _5453_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9410_/Q _6423_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9411_/Q _4848_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9411_/Q _5449_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9412_/Q _5448_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9412_/Q _6867_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9413_/Q _5447_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9413_/Q _6695_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9414_/Q _5446_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9414_/Q _6612_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9415_/Q _5445_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9415_/Q _6409_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9416_/Q _4833_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9416_/Q _5441_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9417_/Q _5440_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9417_/Q _6819_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9418_/Q _5439_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9418_/Q _6720_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9419_/Q _5438_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9419_/Q _6594_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9420_/Q _5437_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9420_/Q _6428_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9421_/Q _5436_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9421_/Q _6264_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9422_/Q _5435_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9422_/Q _6190_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9423_/Q _5434_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9423_/Q _6103_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9424_/Q _4914_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9424_/Q _5430_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9425_/Q _5429_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9425_/Q _6855_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9426_/Q _5428_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9426_/Q _6710_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9427_/Q _5427_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9427_/Q _6611_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9428_/Q _5426_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9428_/Q _6357_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9429_/Q _5425_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9429_/Q _6239_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9430_/Q _5424_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9430_/Q _6225_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9431_/Q _5423_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9431_/Q _6074_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9432_/Q _4902_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9432_/Q _5419_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9433_/Q _5418_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9433_/Q _6826_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9434_/Q _5417_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9434_/Q _6657_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9435_/Q _5416_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9435_/Q _6577_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9436_/Q _5415_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9436_/Q _6417_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9437_/Q _4899_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9437_/Q _5411_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9438_/Q _5410_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9438_/Q _6873_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9439_/Q _5409_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9439_/Q _6723_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9440_/Q _5408_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9440_/Q _6556_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9441_/Q _5407_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9441_/Q _6348_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9442_/Q _4816_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9442_/Q _5403_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9443_/Q _5402_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9443_/Q _6818_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9444_/Q _5401_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9444_/Q _6763_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9445_/Q _5400_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9445_/Q _6616_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9446_/Q _5399_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9446_/Q _6422_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9447_/Q _5398_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9447_/Q _6246_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9448_/Q _5397_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9448_/Q _6200_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9449_/Q _5396_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9449_/Q _6072_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9450_/Q _4916_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9450_/Q _5392_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9451_/Q _5391_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9451_/Q _6853_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9452_/Q _5390_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9452_/Q _6713_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9453_/Q _5389_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9453_/Q _6571_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9454_/Q _5388_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9454_/Q _6415_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9455_/Q _5387_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9455_/Q _6274_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9456_/Q _5386_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9456_/Q _6159_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9457_/Q _5385_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9457_/Q _6113_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9458_/Q _4858_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9458_/Q _5381_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9459_/Q _5380_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9459_/Q _6856_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9460_/Q _5379_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9460_/Q _6712_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9461_/Q _5378_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9461_/Q _6543_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9462_/Q _5377_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9462_/Q _6391_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9463_/Q _4924_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9463_/Q _5373_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9464_/Q _5372_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9464_/Q _6866_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9465_/Q _5371_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9465_/Q _6729_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9466_/Q _5370_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9466_/Q _6589_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9467_/Q _5369_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9467_/Q _6399_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9468_/Q _4850_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9468_/Q _5365_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9469_/Q _5364_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9469_/Q _6787_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9470_/Q _5363_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9470_/Q _6704_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9471_/Q _5362_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9471_/Q _6562_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9472_/Q _5361_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9472_/Q _6390_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9473_/Q _5360_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9473_/Q _6256_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9474_/Q _5359_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9474_/Q _6195_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9475_/Q _5358_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9475_/Q _6114_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9476_/Q _4897_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9476_/Q _5354_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9477_/Q _5353_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9477_/Q _6865_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9478_/Q _5352_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9478_/Q _6736_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9479_/Q _5351_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9479_/Q _6603_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9480_/Q _5350_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9480_/Q _6396_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9481_/Q _5349_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9481_/Q _6290_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9482_/Q _5348_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9482_/Q _6196_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9483_/Q _5347_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9483_/Q _6078_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9484_/Q _4865_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9484_/Q _5343_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9485_/Q _5342_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9485_/Q _6824_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9486_/Q _5341_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9486_/Q _6705_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9487_/Q _5340_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9487_/Q _6615_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9488_/Q _5339_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9488_/Q _6387_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9489_/Q _4930_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9489_/Q _5335_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9490_/Q _5334_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9490_/Q _6843_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9491_/Q _5333_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9491_/Q _6780_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9492_/Q _5332_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9492_/Q _6604_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9493_/Q _5331_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9493_/Q _6347_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9494_/Q _4855_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9494_/Q _5327_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9495_/Q _5326_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9495_/Q _6825_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9496_/Q _5325_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9496_/Q _6717_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9497_/Q _5324_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9497_/Q _6568_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9498_/Q _5323_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9498_/Q _6427_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9499_/Q _5322_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9499_/Q _6244_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9500_/Q _5321_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9500_/Q _6160_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9501_/Q _5320_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9501_/Q _6073_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9502_/Q _4926_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9502_/Q _5316_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9503_/Q _5315_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9503_/Q _6854_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9504_/Q _5314_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9504_/Q _6760_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9505_/Q _5313_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9505_/Q _6588_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9506_/Q _5312_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9506_/Q _6329_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9507_/Q _5311_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9507_/Q _6240_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9508_/Q _5310_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9508_/Q _6169_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9509_/Q _5309_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9509_/Q _6110_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9510_/Q _4888_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9510_/Q _5305_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9511_/Q _5304_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9511_/Q _6848_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9512_/Q _5303_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9512_/Q _6730_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9513_/Q _5302_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9513_/Q _6574_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9514_/Q _5301_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9514_/Q _6397_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9515_/Q _4800_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9515_/Q _5297_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9516_/Q _5296_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9516_/Q _6874_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9517_/Q _5295_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9517_/Q _6779_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9518_/Q _5294_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9518_/Q _6606_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9519_/Q _5293_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9519_/Q _6330_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9520_/Q _4910_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9520_/Q _5288_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9521_/Q _5287_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9521_/Q _6832_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9522_/Q _5286_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9522_/Q _6735_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9523_/Q _5285_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9523_/Q _6622_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9524_/Q _5284_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9524_/Q _6349_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9525_/Q _5283_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9525_/Q _6271_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9526_/Q _5282_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9526_/Q _6168_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9527_/Q _5281_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9527_/Q _6079_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9528_/Q _4846_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9528_/Q _5277_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9529_/Q _5276_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9529_/Q _6788_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9530_/Q _5275_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9530_/Q _6694_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9531_/Q _5274_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9531_/Q _6576_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9532_/Q _5273_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9532_/Q _6346_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9533_/Q _5272_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9533_/Q _6275_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9534_/Q _5271_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9534_/Q _6171_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9535_/Q _5270_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9535_/Q _6109_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9536_/Q _4883_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9536_/Q _5266_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9537_/Q _5265_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9537_/Q _6845_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9538_/Q _5264_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9538_/Q _6711_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9539_/Q _5263_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9539_/Q _6550_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9540_/Q _5262_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9540_/Q _6340_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9541_/Q _4809_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9541_/Q _5258_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9542_/Q _5257_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9542_/Q _6868_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9543_/Q _5256_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9543_/Q _6762_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9544_/Q _5255_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9544_/Q _6609_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9545_/Q _5254_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9545_/Q _6341_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9546_/Q _4793_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9546_/Q _5250_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9547_/Q _5249_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9547_/Q _6860_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9548_/Q _5248_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9548_/Q _6761_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9549_/Q _5247_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9549_/Q _6598_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9550_/Q _5246_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9550_/Q _6402_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9551_/Q _5245_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9551_/Q _6263_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9552_/Q _5244_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9552_/Q _6199_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9553_/Q _5243_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9553_/Q _6083_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9554_/Q _4836_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9554_/Q _5239_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9555_/Q _5238_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9555_/Q _6805_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9556_/Q _5237_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9556_/Q _6725_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9557_/Q _5236_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9557_/Q _6597_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9558_/Q _5235_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9558_/Q _6412_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9559_/Q _4825_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9559_/Q _5230_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9560_/Q _5228_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9560_/Q _6862_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9561_/Q _5226_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9561_/Q _8993_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9562_/Q _5225_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9562_/Q _8994_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9563_/Q _5224_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9563_/Q _8995_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9564_/Q _5223_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9564_/Q _8996_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9565_/Q _5222_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9565_/Q _8997_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9566_/Q _5221_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9566_/Q _8998_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9567_/Q _5220_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9567_/Q _8999_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9568_/Q _5219_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9568_/Q _9000_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9569_/Q _5216_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9569_/Q _6985_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9570_/Q _4447_/A0 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9570_/Q _5211_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9571_/Q _4446_/A0 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9571_/Q _5210_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9572_/Q _4445_/A0 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9572_/Q _5209_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9573_/Q _5208_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9573_/Q _8988_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9574_/Q _5207_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9574_/Q _8989_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9575_/Q _4451_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9575_/Q _5206_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9576_/Q _4450_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9576_/Q _5205_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9577_/Q _4449_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9577_/Q _5204_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9578_/Q _5201_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9578_/Q _8824_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9579_/Q _5200_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9579_/Q _8825_/A0 (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _9580_/Q _5199_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9580_/Q _8979_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9581_/Q _5198_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9581_/Q _8980_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9582_/Q _5197_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9582_/Q _8981_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9583_/Q _5196_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9583_/Q _8982_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9584_/Q _4448_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9584_/Q _5195_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9585_/Q _5194_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9585_/Q _8984_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9586_/Q _5191_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9586_/Q _7011_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9586_/Q _7015_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9586_/Q _7020_/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9586_/Q _8827_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9586_/Q _8837_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9587_/Q _4456_/A (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _9587_/Q _5188_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _9587_/Q _8839_/S (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _9587_/Q _8840_/S (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _9587_/Q _8841_/S (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _9587_/Q _8842_/S (0.015::0.015) (0.014::0.014)) + (INTERCONNECT _9587_/Q _8843_/S (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _9587_/Q _8844_/S (0.014::0.014) (0.013::0.013)) + (INTERCONNECT _9587_/Q _8845_/S (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _9587_/Q _8846_/S (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _9587_/Q _8847_/S (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9587_/Q _8935_/S (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _9587_/Q _8937_/S (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _9587_/Q _8939_/S (0.039::0.039) (0.037::0.037)) + (INTERCONNECT _9587_/Q _8940_/S (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _9587_/Q _8942_/S (0.037::0.037) (0.035::0.035)) + (INTERCONNECT _9587_/Q _8945_/S (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _9587_/Q _8947_/S (0.040::0.040) (0.038::0.038)) + (INTERCONNECT _9587_/Q _8949_/S (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _9588_/Q _4443_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9588_/Q _5186_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9589_/Q _4444_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9589_/Q _5185_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9590_/Q _5184_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9590_/Q _9011_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9591_/Q _5183_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9591_/Q _8828_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9592_/Q _5182_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9592_/Q _8829_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9593_/Q _5181_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9593_/Q _8830_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9594_/Q _5177_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9594_/Q _9001_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9595_/Q _5176_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9595_/Q _9002_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9596_/Q _5175_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9596_/Q _9003_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9597_/Q _5174_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9597_/Q _9004_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9598_/Q _5173_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9598_/Q _9005_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9599_/Q _5172_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9599_/Q _9006_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9600_/Q _5171_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9600_/Q _9007_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9601_/Q _5170_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9601_/Q _9008_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9602_/Q _5166_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9602_/Q _8933_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9603_/Q _5165_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9603_/Q _8932_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9604_/Q _5164_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9604_/Q _8928_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9605_/Q _5163_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9605_/Q _8925_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9606_/Q _5162_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9606_/Q _8921_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9607_/Q _5161_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9607_/Q _8914_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9608_/Q _5160_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9608_/Q _8915_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9609_/Q _5159_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9609_/Q _8916_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9610_/Q _5155_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9610_/Q _8924_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9611_/Q _5154_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9611_/Q _8903_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9612_/Q _5153_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9612_/Q _8923_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9613_/Q _5152_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9613_/Q _8922_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9614_/Q _5151_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9614_/Q _8897_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9615_/Q _5150_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9615_/Q _8848_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9616_/Q _5149_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9616_/Q _8926_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9617_/Q _5148_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9617_/Q _8929_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9618_/Q _5144_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9618_/Q _8930_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9619_/Q _5143_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9619_/Q _8927_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9620_/Q _5142_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9620_/Q _8919_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9621_/Q _5141_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9621_/Q _8901_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9622_/Q _5140_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9622_/Q _8917_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9623_/Q _5139_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9623_/Q _8920_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9624_/Q _5138_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9624_/Q _8931_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9625_/Q _5137_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9625_/Q _8902_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9626_/Q _4806_/C (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9626_/Q _5131_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9626_/Q _7010_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9626_/Q _7012_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9626_/Q _7018_/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9626_/Q _8836_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9626_/Q _8838_/S (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9627_/Q _4795_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9627_/Q _5128_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9627_/Q _8863_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9627_/Q _8887_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9628_/Q _5127_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9628_/Q _6837_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9628_/Q _8865_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9628_/Q _8889_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9629_/Q _5126_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9629_/Q _6774_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9629_/Q _8867_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9629_/Q _8891_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9630_/Q _5125_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9630_/Q _6617_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9630_/Q _8869_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9630_/Q _8893_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9631_/Q _5124_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9631_/Q _6354_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9631_/Q _8871_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9631_/Q _8895_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9632_/Q _4875_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9632_/Q _5120_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9633_/Q _5119_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9633_/Q _6838_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9633_/Q _8849_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9633_/Q _8873_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9634_/Q _5118_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9634_/Q _6742_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9634_/Q _8851_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9634_/Q _8875_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9635_/Q _5117_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9635_/Q _6544_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9635_/Q _8853_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9635_/Q _8877_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9636_/Q _5116_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9636_/Q _6435_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9636_/Q _8855_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9636_/Q _8879_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9637_/Q _5115_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9637_/Q _6250_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9637_/Q _8857_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9637_/Q _8881_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9638_/Q _5114_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9638_/Q _6231_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9638_/Q _8859_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9638_/Q _8883_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9639_/Q _5113_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9639_/Q _6105_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9639_/Q _8861_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9639_/Q _8885_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9640_/Q _5109_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9640_/Q _8847_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9641_/Q _4823_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9641_/Q _5107_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9642_/Q _5106_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9642_/Q _6833_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9643_/Q _5105_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9643_/Q _6745_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9644_/Q _5104_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9644_/Q _6551_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9645_/Q _5103_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9645_/Q _6445_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9646_/Q _4769_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _9646_/Q _5099_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9647_/Q _5098_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9647_/Q _6908_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9648_/Q _5097_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9648_/Q _6773_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9649_/Q _5096_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9649_/Q _6517_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9650_/Q _5095_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9650_/Q _6439_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9651_/Q _5094_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9651_/Q _6314_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9652_/Q _5093_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9652_/Q _6157_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9653_/Q _5092_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9653_/Q _6062_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9654_/Q _4677_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9654_/Q _5087_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9655_/Q _5086_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9655_/Q _6940_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9656_/Q _5085_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9656_/Q _6732_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9657_/Q _5084_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9657_/Q _6533_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9658_/Q _5083_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9658_/Q _6464_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9659_/Q _5078_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9659_/Q _8839_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9660_/Q _5076_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9660_/Q _8840_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9661_/Q _5074_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9661_/Q _8841_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9662_/Q _5072_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9662_/Q _8842_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9663_/Q _5070_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9663_/Q _8843_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9664_/Q _5068_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9664_/Q _8844_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9665_/Q _5066_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9665_/Q _8845_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9666_/Q _5064_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9666_/Q _8846_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9667_/Q _4773_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9667_/Q _5055_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9668_/Q _5054_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9668_/Q _6910_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9669_/Q _5053_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9669_/Q _6667_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9670_/Q _5052_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9670_/Q _6523_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9671_/Q _5051_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9671_/Q _6463_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9672_/Q _5050_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9672_/Q _6282_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9673_/Q _5049_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9673_/Q _6232_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9674_/Q _5048_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9674_/Q _6129_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9675_/Q _5044_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9675_/Q _8945_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9676_/Q _5043_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9676_/Q _8947_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9677_/Q _5042_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9677_/Q _8935_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9678_/Q _5041_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9678_/Q _8937_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9679_/Q _5040_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9679_/Q _8939_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9680_/Q _5039_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9680_/Q _8949_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9681_/Q _5038_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9681_/Q _8942_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9682_/Q _4445_/S (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _9682_/Q _4446_/S (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _9682_/Q _5037_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9682_/Q _8826_/S (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _9683_/Q _4690_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9683_/Q _5031_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9684_/Q _5030_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9684_/Q _6902_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9685_/Q _5029_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9685_/Q _6685_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9686_/Q _5028_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9686_/Q _6529_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9687_/Q _5027_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9687_/Q _6418_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9688_/Q _4701_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9688_/Q _5023_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9689_/Q _5022_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9689_/Q _6921_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9690_/Q _5021_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9690_/Q _6681_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9691_/Q _5020_/A1 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9691_/Q _6580_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9692_/Q _5019_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9692_/Q _6462_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9693_/Q _5018_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9693_/Q _6297_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9694_/Q _5017_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9694_/Q _6215_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9695_/Q _5016_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9695_/Q _6120_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9696_/Q _4946_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9696_/Q _5012_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9697_/Q _4632_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9697_/Q _5007_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9698_/Q _5001_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9698_/Q _5992_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9698_/Q _7726_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9698_/Q _7728_/B2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9698_/Q _8912_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9699_/Q _4988_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9699_/Q _4996_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9699_/Q _7727_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9699_/Q _7728_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9699_/Q _8913_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9700_/Q _4988_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9700_/Q _4992_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9700_/Q _7729_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9700_/Q _7730_/A3 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9701_/Q _4982_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9701_/Q _4985_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9701_/Q _8840_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9702_/Q _4979_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9702_/Q _4982_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9702_/Q _8841_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9703_/Q _4976_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9703_/Q _4979_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9703_/Q _8842_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9704_/Q _4973_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9704_/Q _4976_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9704_/Q _8843_/A0 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9705_/Q _4970_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9705_/Q _4973_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9705_/Q _8844_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9706_/Q _4967_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9706_/Q _4970_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9706_/Q _8845_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9707_/Q _4967_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9707_/Q _8846_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9708_/Q _4806_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9708_/Q _4953_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9708_/Q _4960_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9708_/Q _5131_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9708_/Q _7006_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9709_/Q _4952_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9709_/Q _6024_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9709_/Q _6990_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9710_/Q _4946_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9710_/Q _6985_/A1 (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _9710_/Q _8940_/A0 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9711_/Q _4937_/B1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9711_/Q _8952_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9712_/Q _4655_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9712_/Q _8951_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9713_/Q _4652_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9713_/Q _8953_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9714_/Q _4649_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9714_/Q _8950_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9715_/Q _4646_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9715_/Q _8954_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9716_/Q _4643_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9716_/Q _8955_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9717_/Q _4640_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9717_/Q _8900_/A0 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9718_/Q _4637_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9718_/Q _8825_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9719_/Q _4818_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9719_/Q _4626_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9719_/Q output270/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9720_/Q _6814_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9720_/Q _4624_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9720_/Q output264/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9721_/Q _4797_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9721_/Q _4620_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9721_/Q output265/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9722_/Q _6811_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9722_/Q _4619_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9722_/Q output266/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9723_/Q _6675_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9723_/Q _4618_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9723_/Q output267/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9724_/Q _6545_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9724_/Q _4617_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9724_/Q output268/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9725_/Q _6342_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9725_/Q _4616_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9725_/Q output269/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9726_/Q _4874_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9726_/Q _4610_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9726_/Q output271/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9727_/Q _6789_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9727_/Q _4609_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9727_/Q output272/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9728_/Q _6651_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9728_/Q _4608_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9728_/Q output273/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9729_/Q _6599_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9729_/Q _4607_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9729_/Q output260/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9730_/Q _6452_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9730_/Q _4606_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9730_/Q output261/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9731_/Q _6265_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9731_/Q _4605_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9731_/Q output262/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9732_/Q _4887_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9732_/Q _4600_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9732_/Q output274/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9733_/Q _6834_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9733_/Q _4599_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9733_/Q output285/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9734_/Q _6670_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9734_/Q _4598_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9734_/Q output292/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9735_/Q _6569_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9735_/Q _4597_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9735_/Q output293/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9736_/Q _6449_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9736_/Q _4596_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9736_/Q output294/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9737_/Q _6258_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9737_/Q _4595_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9737_/Q output295/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9738_/Q _6202_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9738_/Q _4594_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9738_/Q output296/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9739_/Q _6084_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9739_/Q _4593_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9739_/Q output297/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9740_/Q _4908_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9740_/Q _4587_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9740_/Q output298/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9741_/Q _6861_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9741_/Q _4586_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9741_/Q output299/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9742_/Q _6699_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9742_/Q _4585_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9742_/Q output275/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9743_/Q _6546_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9743_/Q _4584_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9743_/Q output276/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9744_/Q _6405_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9744_/Q _4583_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9744_/Q output277/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9745_/Q _6243_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9745_/Q _4582_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9745_/Q output278/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9746_/Q _6214_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9746_/Q _4581_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9746_/Q output279/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9747_/Q _6092_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9747_/Q _4580_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9747_/Q output280/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9748_/Q _4792_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9748_/Q _4574_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9748_/Q output281/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9749_/Q _6796_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9749_/Q _4573_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9749_/Q output282/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9750_/Q _6768_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9750_/Q _4572_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9750_/Q output283/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9751_/Q _6591_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9751_/Q _4571_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9751_/Q output284/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9752_/Q _6384_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9752_/Q _4570_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9752_/Q output286/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9753_/Q _6289_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9753_/Q _4569_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9753_/Q output287/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9754_/Q _6220_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9754_/Q _4568_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9754_/Q output288/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9755_/Q _6115_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9755_/Q _4567_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9755_/Q output289/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9756_/Q _4871_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9756_/Q _4561_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9756_/Q output290/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9757_/Q _6839_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9757_/Q _4559_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9757_/Q output291/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9758_/Q _4909_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9758_/Q _4555_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9758_/Q output263/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9759_/Q _4552_/A3 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9759_/Q _8940_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9760_/Q _6795_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9760_/Q _4540_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9760_/Q output324/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9761_/Q _4813_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9761_/Q _4538_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9761_/Q output325/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9762_/Q _4852_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9762_/Q _4534_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9762_/Q output316/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9763_/Q _6790_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9763_/Q _4533_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9763_/Q output317/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9764_/Q _6656_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9764_/Q _4532_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9764_/Q output318/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9765_/Q _6621_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9765_/Q _4531_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9765_/Q output319/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9766_/Q _6469_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9766_/Q _4530_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9766_/Q output320/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9767_/Q _6268_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9767_/Q _4529_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9767_/Q output321/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _9768_/Q _6226_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9768_/Q _4528_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9768_/Q output322/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9769_/Q _6111_/C_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9769_/Q _4527_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9769_/Q output323/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9770_/Q _4520_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9770_/Q _4806_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9771_/Q _4879_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9771_/Q _4518_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9771_/Q output204/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _9772_/Q _4512_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9772_/Q _6358_/A1_N (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _9772_/Q _8819_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9773_/Q _4511_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9773_/Q _4882_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9774_/Q _4510_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9774_/Q _6812_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9775_/Q _4509_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9775_/Q _6652_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _9776_/Q _4508_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9776_/Q _6549_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9777_/Q _4507_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9777_/Q _6429_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9778_/Q _4501_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9778_/Q _4857_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9779_/Q _4500_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9779_/Q _6844_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9780_/Q _4499_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9780_/Q _6692_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9781_/Q _4498_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9781_/Q _6623_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9782_/Q _4497_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9782_/Q _6403_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9783_/Q _4496_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9783_/Q _6241_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9784_/Q _4495_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9784_/Q _6189_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9785_/Q _4494_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9785_/Q _6116_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9786_/Q _4449_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9786_/Q _4485_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9786_/Q _6807_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9787_/Q _4484_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9787_/Q _6850_/A1_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9787_/Q _8819_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9787_/Q _8820_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9787_/Q _8821_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9787_/Q _8822_/S (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9787_/Q _8823_/S (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _9788_/Q _4450_/S (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9788_/Q _4482_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9788_/Q _6658_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _9789_/Q _4477_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9789_/Q _4629_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9789_/Q _4753_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9790_/Q _4467_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9790_/Q _8823_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9791_/Q _5809_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9791_/Q _6991_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _9791_/Q _8814_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_0_csclk/X clkbuf_1_0_0_csclk/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_0_csclk/X clkbuf_1_1_0_csclk/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_0_mgmt_gpio_in\[4\]/X clkbuf_1_0_0_mgmt_gpio_in\[4\]/A (0.000::0.000)) + (INTERCONNECT clkbuf_0_mgmt_gpio_in\[4\]/X clkbuf_1_1_0_mgmt_gpio_in\[4\]/A (0.000::0.000)) + (INTERCONNECT clkbuf_0_wb_clk_i/X clkbuf_1_0_0_wb_clk_i/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_0_wb_clk_i/X clkbuf_1_1_0_wb_clk_i/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_1_0_0_csclk/X clkbuf_1_0_1_csclk/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_mgmt_gpio_in\[4\]/X clkbuf_1_0_1_mgmt_gpio_in\[4\]/A (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_0_wb_clk_i/X clkbuf_1_0_1_wb_clk_i/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_1_csclk/X clkbuf_2_0_0_csclk/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_1_0_1_csclk/X clkbuf_2_1_0_csclk/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_1_0_1_mgmt_gpio_in\[4\]/X clkbuf_2_0_0_mgmt_gpio_in\[4\]/A (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_1_mgmt_gpio_in\[4\]/X clkbuf_2_1_0_mgmt_gpio_in\[4\]/A (0.000::0.000)) + (INTERCONNECT clkbuf_1_0_1_wb_clk_i/X clkbuf_2_0_0_wb_clk_i/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_0_1_wb_clk_i/X clkbuf_2_1_0_wb_clk_i/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_0_csclk/X clkbuf_1_1_1_csclk/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_0_mgmt_gpio_in\[4\]/X clkbuf_1_1_1_mgmt_gpio_in\[4\]/A (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_0_wb_clk_i/X clkbuf_1_1_1_wb_clk_i/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_1_csclk/X clkbuf_2_2_0_csclk/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_1_1_1_csclk/X clkbuf_2_3_0_csclk/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_1_1_1_mgmt_gpio_in\[4\]/X clkbuf_2_2_0_mgmt_gpio_in\[4\]/A (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_1_mgmt_gpio_in\[4\]/X clkbuf_2_3_0_mgmt_gpio_in\[4\]/A (0.000::0.000)) + (INTERCONNECT clkbuf_1_1_1_wb_clk_i/X clkbuf_2_2_0_wb_clk_i/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_1_1_1_wb_clk_i/X clkbuf_2_3_0_wb_clk_i/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_0_csclk/A (0.022::0.022) (0.020::0.020)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_1_csclk/A (0.019::0.019) (0.018::0.018)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_2_csclk/A (0.024::0.024) (0.022::0.022)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_opt_1_0_csclk/A (0.024::0.024) (0.022::0.022)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_4_csclk/A (0.026::0.026) (0.025::0.025)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_5_csclk/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_44_csclk/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_45_csclk/A (0.024::0.024) (0.022::0.022)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_46_csclk/A (0.024::0.024) (0.023::0.023)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_47_csclk/A (0.024::0.024) (0.022::0.022)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_48_csclk/A (0.022::0.022) (0.021::0.021)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_49_csclk/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_50_csclk/A (0.023::0.023) (0.022::0.022)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_leaf_51_csclk/A (0.024::0.024) (0.022::0.022)) + (INTERCONNECT clkbuf_2_0_0_csclk/X clkbuf_opt_2_0_csclk/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _6039__1/A (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9718_/CLK_N (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9711_/CLK_N (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9709_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9708_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9682_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9569_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9092_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9091_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9083_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9051_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_mgmt_gpio_in\[4\]/X _9049_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_0_0_wb_clk_i/X clkbuf_3_0_0_wb_clk_i/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_2_0_0_wb_clk_i/X clkbuf_3_1_0_wb_clk_i/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_32_csclk/A (0.031::0.031) (0.029::0.029)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_opt_3_0_csclk/A (0.026::0.026) (0.025::0.025)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_34_csclk/A (0.028::0.028) (0.026::0.026)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_35_csclk/A (0.025::0.025) (0.024::0.024)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_36_csclk/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_opt_4_0_csclk/A (0.021::0.021) (0.019::0.019)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_38_csclk/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_39_csclk/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_40_csclk/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_41_csclk/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_42_csclk/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_2_1_0_csclk/X clkbuf_leaf_43_csclk/A (0.031::0.031) (0.029::0.029)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9712_/CLK_N (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9710_/CLK_N (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9700_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9699_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9698_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9697_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9696_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9626_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9586_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9090_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9085_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9084_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9082_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9080_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9052_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9050_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _9048_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_mgmt_gpio_in\[4\]/X _8837_/A1 (0.000::0.000)) + (INTERCONNECT clkbuf_2_1_0_wb_clk_i/X clkbuf_3_2_0_wb_clk_i/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_2_1_0_wb_clk_i/X clkbuf_3_3_0_wb_clk_i/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_6_csclk/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_opt_5_0_csclk/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_8_csclk/A (0.010::0.010) (0.009::0.009)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_9_csclk/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_10_csclk/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_11_csclk/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_12_csclk/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_13_csclk/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_14_csclk/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_15_csclk/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_2_2_0_csclk/X clkbuf_leaf_16_csclk/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X net299_3/A (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X _9717_/CLK_N (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X _9716_/CLK_N (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X _9715_/CLK_N (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X _9714_/CLK_N (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X _9713_/CLK_N (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X _9089_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X _9088_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X _9087_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_mgmt_gpio_in\[4\]/X _9086_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_2_0_wb_clk_i/X clkbuf_3_4_0_wb_clk_i/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_2_2_0_wb_clk_i/X clkbuf_3_5_0_wb_clk_i/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_17_csclk/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_18_csclk/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_19_csclk/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_20_csclk/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_21_csclk/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_22_csclk/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_23_csclk/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_24_csclk/A (0.018::0.018) (0.016::0.016)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_25_csclk/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_26_csclk/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_27_csclk/A (0.019::0.019) (0.017::0.017)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_28_csclk/A (0.021::0.021) (0.019::0.019)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_opt_6_0_csclk/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_30_csclk/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT clkbuf_2_3_0_csclk/X clkbuf_leaf_31_csclk/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X net299_2/A (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X _9707_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X _9706_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X _9705_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X _9704_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X _9703_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X _9702_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X _9701_/CLK (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X _4446_/A1 (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_mgmt_gpio_in\[4\]/X ANTENNA_173/DIODE (0.000::0.000)) + (INTERCONNECT clkbuf_2_3_0_wb_clk_i/X clkbuf_3_6_0_wb_clk_i/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_2_3_0_wb_clk_i/X clkbuf_3_7_0_wb_clk_i/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9280_/CLK (0.006::0.006) (0.005::0.005)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9251_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9245_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9239_/CLK (0.006::0.006) (0.005::0.005)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9176_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9175_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9173_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9172_/CLK (0.006::0.006) (0.005::0.005)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9169_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9164_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9146_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9139_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9055_/CLK (0.006::0.006) (0.005::0.005)) + (INTERCONNECT clkbuf_3_0_0_wb_clk_i/X _9054_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_3_1_0_wb_clk_i/X _9681_/CLK (0.024::0.024) (0.024::0.024)) + (INTERCONNECT clkbuf_3_1_0_wb_clk_i/X _9680_/CLK (0.024::0.024) (0.024::0.024)) + (INTERCONNECT clkbuf_3_1_0_wb_clk_i/X _9255_/CLK (0.008::0.008) (0.008::0.008)) + (INTERCONNECT clkbuf_3_1_0_wb_clk_i/X _9252_/CLK (0.011::0.011) (0.011::0.011)) + (INTERCONNECT clkbuf_3_1_0_wb_clk_i/X _9250_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_1_0_wb_clk_i/X _9249_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_1_0_wb_clk_i/X _9248_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_1_0_wb_clk_i/X _9247_/CLK (0.007::0.007) (0.007::0.007)) + (INTERCONNECT clkbuf_3_1_0_wb_clk_i/X _9246_/CLK (0.006::0.006) (0.005::0.005)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9279_/CLK (0.013::0.013) (0.013::0.013)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9278_/CLK (0.013::0.013) (0.013::0.013)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9277_/CLK (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9217_/CLK (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9174_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9171_/CLK (0.010::0.010) (0.009::0.009)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9167_/CLK (0.005::0.005) (0.004::0.004)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9150_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9149_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9148_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9147_/CLK (0.010::0.010) (0.009::0.009)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9145_/CLK (0.009::0.009) (0.008::0.008)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9144_/CLK (0.008::0.008) (0.007::0.007)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9143_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9142_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9141_/CLK (0.011::0.011) (0.010::0.010)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9140_/CLK (0.012::0.012) (0.011::0.011)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9138_/CLK (0.014::0.014) (0.013::0.013)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9057_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_2_0_wb_clk_i/X _9056_/CLK (0.013::0.013) (0.013::0.013)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9679_/CLK (0.017::0.017) (0.017::0.017)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9678_/CLK (0.017::0.017) (0.017::0.017)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9677_/CLK (0.017::0.017) (0.016::0.016)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9676_/CLK (0.016::0.016) (0.016::0.016)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9675_/CLK (0.017::0.017) (0.017::0.017)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9254_/CLK (0.014::0.014) (0.014::0.014)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9253_/CLK (0.014::0.014) (0.014::0.014)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9203_/CLK (0.014::0.014) (0.014::0.014)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9170_/CLK (0.012::0.012) (0.012::0.012)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9168_/CLK (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9166_/CLK (0.014::0.014) (0.013::0.013)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9165_/CLK (0.014::0.014) (0.013::0.013)) + (INTERCONNECT clkbuf_3_3_0_wb_clk_i/X _9053_/CLK (0.014::0.014) (0.014::0.014)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9027_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9026_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9025_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9024_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9023_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9022_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9021_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9020_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9014_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_4_0_wb_clk_i/X _9012_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9040_/CLK (0.006::0.006) (0.005::0.005)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9036_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9035_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9032_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9031_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9029_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9028_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9019_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9018_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9016_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9015_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_5_0_wb_clk_i/X _9013_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9666_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9665_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9659_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9640_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9587_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9119_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9068_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9067_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9066_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9064_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9063_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9062_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9061_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9060_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _9059_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X _4450_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X ANTENNA_88/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X ANTENNA_89/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_3_6_0_wb_clk_i/X ANTENNA_196/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9759_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9664_/CLK (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9663_/CLK (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9662_/CLK (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9661_/CLK (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9660_/CLK (0.013::0.013) (0.012::0.012)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9065_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9043_/CLK (0.010::0.010) (0.010::0.010)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9042_/CLK (0.011::0.011) (0.011::0.011)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9041_/CLK (0.012::0.012) (0.011::0.011)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9039_/CLK (0.009::0.009) (0.009::0.009)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9038_/CLK (0.011::0.011) (0.010::0.010)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9037_/CLK (0.010::0.010) (0.009::0.009)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9034_/CLK (0.008::0.008) (0.008::0.008)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9033_/CLK (0.007::0.007) (0.007::0.007)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9030_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_3_7_0_wb_clk_i/X _9017_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_0_csclk/X _9763_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_0_csclk/X _9761_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_0_csclk/X _9770_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_0_csclk/X _9764_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_0_csclk/X _9762_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_0_csclk/X _9726_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_0_csclk/X _9768_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_0_csclk/X _9765_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9073_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9075_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9074_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9072_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9070_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9355_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9282_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9281_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9283_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9071_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9285_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9470_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9472_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9474_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_10_csclk/X _9475_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9469_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9420_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9683_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9468_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9076_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9471_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9077_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9684_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9687_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9685_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9686_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9417_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9418_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_11_csclk/X _9416_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9419_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9422_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9423_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9421_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9524_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9525_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9520_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9477_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9521_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9523_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9479_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_12_csclk/X _9481_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9478_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9452_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9482_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9480_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9476_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9483_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9450_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9505_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9502_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_13_csclk/X _9522_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9271_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9527_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9526_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9426_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9427_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9506_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9232_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9425_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9339_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9316_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9312_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9494_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9498_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9338_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9529_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9273_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9340_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9424_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_14_csclk/X _9269_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9473_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9461_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9270_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9531_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9528_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9458_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9459_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9284_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9356_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9357_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9462_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9460_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9533_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9335_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9789_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9534_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9532_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9530_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_15_csclk/X _9535_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9496_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9313_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9495_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9314_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9318_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9094_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9093_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9096_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9097_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_16_csclk/X _9499_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_17_csclk/X _9098_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_17_csclk/X _9099_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_17_csclk/X _9343_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_17_csclk/X _9501_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_17_csclk/X _9497_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_17_csclk/X _9272_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9429_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9366_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9430_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9344_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9317_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9500_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9319_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9095_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9368_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9342_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9315_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9428_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9365_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9231_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_18_csclk/X _9233_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9235_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9431_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9364_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9504_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9508_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9290_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9288_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9286_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9503_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9454_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_19_csclk/X _9451_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_1_csclk/X _9542_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_1_csclk/X _9539_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_1_csclk/X _9537_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_1_csclk/X _9536_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_1_csclk/X _9776_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_1_csclk/X _9540_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_1_csclk/X _9538_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_1_csclk/X _9541_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_1_csclk/X _9332_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9390_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9394_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9453_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9392_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9455_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9391_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9287_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9457_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9456_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9507_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9397_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9393_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9396_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9395_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_20_csclk/X _9596_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_21_csclk/X _9597_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_21_csclk/X _9595_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_21_csclk/X _9289_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_21_csclk/X _9262_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_21_csclk/X _9257_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_21_csclk/X _9291_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_21_csclk/X _9293_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_21_csclk/X _9292_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9509_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9237_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9275_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9236_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9274_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9276_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9258_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9234_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9345_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_22_csclk/X _9341_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9370_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9371_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9369_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9367_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9238_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9295_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9294_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9301_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9589_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9297_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9588_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_23_csclk/X _9261_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9591_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9260_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9263_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9256_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9259_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9601_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9594_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9599_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9598_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9600_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9590_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9593_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_24_csclk/X _9592_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9568_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9565_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9604_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9608_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9567_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9566_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9607_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9605_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9563_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9564_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9562_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9606_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9603_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9602_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9609_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9614_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_25_csclk/X _9561_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9574_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9616_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9615_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9572_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9612_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9575_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9081_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9788_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9576_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9577_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9617_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9300_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9786_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9298_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9296_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9208_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9207_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_26_csclk/X _9299_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9206_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9210_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9100_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9646_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9204_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9211_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9205_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9209_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9648_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_27_csclk/X _9649_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9182_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9180_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9181_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9570_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9184_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9179_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9610_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9183_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9611_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9613_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9573_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9571_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9325_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9324_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9323_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9320_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9178_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9348_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9349_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9352_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_28_csclk/X _9351_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9346_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9326_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9322_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9321_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9327_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9151_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9153_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9152_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9158_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9157_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9113_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9106_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9350_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9353_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_29_csclk/X _9347_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9515_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9518_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9543_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9490_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9517_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9516_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9489_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9359_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9493_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9491_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9492_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9545_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_2_csclk/X _9544_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9191_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9192_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9197_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9193_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9194_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9378_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9374_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9195_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9196_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9177_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9190_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9650_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_30_csclk/X _9653_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_31_csclk/X _9651_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_31_csclk/X _9647_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_31_csclk/X _9652_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9667_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9131_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9129_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9128_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9126_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9110_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9372_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9379_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9130_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9132_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9377_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9107_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9125_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9127_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9375_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9376_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9373_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9109_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9108_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9112_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9224_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_32_csclk/X _9111_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9225_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9154_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9155_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9156_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9219_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9223_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9222_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9220_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9690_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9688_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9221_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_33_csclk/X _9218_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9695_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9692_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9691_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9693_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9689_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9585_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9583_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9582_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9622_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9624_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9623_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_34_csclk/X _9670_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9673_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9584_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9671_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9625_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9674_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9694_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9672_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9668_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9669_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9619_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9579_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9578_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9618_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9620_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9621_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_35_csclk/X _9581_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_36_csclk/X _9580_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_36_csclk/X _9046_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_37_csclk/X _9044_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_37_csclk/X _9045_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_37_csclk/X _9047_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_37_csclk/X _9790_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_37_csclk/X _9079_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_37_csclk/X _9787_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_37_csclk/X _9078_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_37_csclk/X _9069_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_37_csclk/X _9772_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_38_csclk/X _9791_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_38_csclk/X _9443_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_38_csclk/X _9447_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_38_csclk/X _9442_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_38_csclk/X _9637_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_38_csclk/X _9635_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_38_csclk/X _9445_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9638_/CLK (0.007::0.007) (0.007::0.007)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9639_/CLK (0.007::0.007) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9553_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9549_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9551_/CLK (0.007::0.007) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9552_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9636_/CLK (0.007::0.007) (0.007::0.007)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9633_/CLK (0.007::0.007) (0.007::0.007)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9632_/CLK (0.006::0.006) (0.006::0.006)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9550_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9630_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9629_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9627_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9628_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_39_csclk/X _9631_/CLK (0.005::0.005) (0.005::0.005)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9406_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9407_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9409_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9410_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9414_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9411_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9441_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9408_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9412_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9415_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9362_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9510_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_3_csclk/X _9437_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9756_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9757_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9546_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9187_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9188_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9189_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9185_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9547_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9548_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_40_csclk/X _9122_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_41_csclk/X _9782_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_41_csclk/X _9124_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_41_csclk/X _9120_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_41_csclk/X _9121_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_41_csclk/X _9216_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_41_csclk/X _9123_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_41_csclk/X _9214_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_41_csclk/X _9654_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_41_csclk/X _9655_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9779_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9784_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9785_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9780_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9778_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9781_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9634_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9783_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9448_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9444_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9446_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_42_csclk/X _9449_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_43_csclk/X _9115_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_43_csclk/X _9118_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_43_csclk/X _9117_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_43_csclk/X _9114_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_43_csclk/X _9116_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_43_csclk/X _9658_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_43_csclk/X _9657_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_43_csclk/X _9656_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_44_csclk/X _9329_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_44_csclk/X _9399_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_44_csclk/X _9404_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_44_csclk/X _9398_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_44_csclk/X _9401_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9405_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9400_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9215_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9212_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9213_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9758_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9556_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9554_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9557_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_45_csclk/X _9186_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_46_csclk/X _9755_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_46_csclk/X _9750_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_46_csclk/X _9753_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_46_csclk/X _9752_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_46_csclk/X _9751_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_46_csclk/X _9748_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_46_csclk/X _9754_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_46_csclk/X _9558_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9555_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9104_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9103_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9105_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9749_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9747_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9745_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9746_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9742_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9744_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_47_csclk/X _9743_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9741_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9740_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9488_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9485_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9486_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9487_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9484_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9102_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9101_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_48_csclk/X _9403_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9402_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9385_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9388_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9330_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9777_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9560_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9559_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9387_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9386_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9773_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9775_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_49_csclk/X _9774_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9440_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9512_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9513_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9465_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9467_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9466_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9464_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9463_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9383_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9384_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9514_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9771_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9511_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9363_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9360_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9361_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_4_csclk/X _9381_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9644_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9642_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9643_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9645_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9389_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9641_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9736_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9738_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9739_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9737_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9735_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9733_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9732_/CLK (0.002::0.002) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9729_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9734_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_50_csclk/X _9731_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9769_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9730_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9728_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9727_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9767_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9724_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9725_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9723_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9766_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9722_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_51_csclk/X _9721_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_52_csclk/X _9720_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_52_csclk/X _9719_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_52_csclk/X _9760_/CLK (0.000::0.000) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_5_csclk/X _9519_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_5_csclk/X _9328_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_5_csclk/X _9331_/CLK (0.001::0.001) (0.000::0.000)) + (INTERCONNECT clkbuf_leaf_5_csclk/X _9380_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_5_csclk/X _9382_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9244_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9241_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9333_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9337_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9334_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9240_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9242_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9243_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9336_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9354_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9159_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9160_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9161_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9162_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9163_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9199_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9200_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_6_csclk/X _9198_/CLK (0.004::0.004) (0.004::0.004)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9265_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9226_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9202_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9201_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9433_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9436_/CLK (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9432_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9435_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9434_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9230_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9229_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9438_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9439_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9303_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9227_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9228_/CLK (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9302_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9306_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9305_/CLK (0.003::0.003) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_7_csclk/X _9304_/CLK (0.004::0.004) (0.003::0.003)) + (INTERCONNECT clkbuf_leaf_8_csclk/X _9413_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_8_csclk/X _9267_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_8_csclk/X _9264_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_8_csclk/X _9268_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9266_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9137_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9136_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9133_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9135_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9134_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9311_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9358_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9308_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9310_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9309_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_leaf_9_csclk/X _9307_/CLK (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_opt_1_0_csclk/X clkbuf_leaf_3_csclk/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_opt_2_0_csclk/X clkbuf_leaf_52_csclk/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_opt_3_0_csclk/X clkbuf_leaf_33_csclk/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT clkbuf_opt_4_0_csclk/X clkbuf_leaf_37_csclk/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT clkbuf_opt_5_0_csclk/X clkbuf_leaf_7_csclk/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT clkbuf_opt_6_0_csclk/X clkbuf_leaf_29_csclk/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input1/X _8831_/S (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input1/X _8824_/S (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input1/X _8805_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input1/X ANTENNA_198/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input10/X _6085_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT input100/X _4840_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input101/X _6806_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input102/X _6744_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input103/X _6558_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input104/X _6820_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input105/X _6438_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input106/X _6252_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input107/X _6219_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input108/X _6100_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input109/X _4831_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input11/X _4906_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input110/X _6817_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input111/X _6669_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input112/X _6561_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input113/X _6444_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input114/X _6249_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input115/X _6679_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input116/X _6227_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input117/X _6097_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input118/X _6564_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input119/X _6451_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input12/X _6794_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input120/X _6270_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input121/X _6233_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input122/X _6075_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input123/X _4892_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input124/X _6808_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input125/X _4901_/A (0.019::0.019) (0.019::0.019)) + (INTERCONNECT input125/X _4451_/A1 (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input125/X ANTENNA_92/DIODE (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input126/X _8801_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input126/X _4448_/S (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input126/X ANTENNA_93/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input127/X _4449_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input128/X _6593_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input129/X _6847_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT input13/X _6766_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input130/X _6676_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input131/X _4867_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input132/X _8196_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input132/X _8123_/A2 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input132/X _7839_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input132/X _7814_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input132/X _7805_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input132/X _7800_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input132/X _7753_/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input133/X _7771_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input133/X _5927_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input134/X _7771_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input134/X _5927_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input135/X _7771_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input135/X _5927_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input136/X _7771_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input136/X _5927_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input137/X _7770_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input137/X _5926_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input138/X _7770_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input138/X _5926_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input139/X _7768_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input139/X _5926_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input14/X _6570_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input140/X _7768_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input140/X _5926_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input141/X _7768_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input141/X _5925_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input142/X _7768_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input142/X _5925_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input143/X _8394_/C (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input143/X _8123_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input143/X _7849_/C (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input143/X _7846_/C (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input143/X _7844_/C (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input143/X _7840_/C (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input143/X _7799_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input143/X _7753_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input144/X _8105_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input144/X _7837_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input144/X _7832_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input144/X _7782_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input144/X _7779_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input144/X _7778_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input144/X _5933_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input145/X _8104_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input145/X _7832_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input145/X _7783_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input145/X _7782_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input145/X _7779_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input145/X _7756_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input145/X _5932_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input146/X _7781_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input146/X _5929_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input147/X _7781_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input147/X _5929_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input148/X _5925_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input149/X _5925_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input15/X _6813_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input150/X _5930_/C_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input151/X _5930_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input152/X _5930_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input153/X _5930_/D_N (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input154/X _8379_/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input154/X _8179_/A1 (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input154/X _8118_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input154/X _7974_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input154/X _7947_/B (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input154/X _7849_/B (0.010::0.010) (0.009::0.009)) + (INTERCONNECT input154/X _7844_/B (0.010::0.010) (0.009::0.009)) + (INTERCONNECT input154/X _7812_/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input154/X _7804_/C (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input154/X _7763_/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input154/X _7752_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input155/X _5931_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input156/X _5931_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input157/X _8379_/D (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input157/X _8079_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input157/X _7947_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input157/X _7849_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input157/X _7840_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input157/X _7838_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input157/X _7812_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input157/X _7800_/C (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input157/X _7762_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input157/X _7754_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input158/X _7894_/B (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input158/X _7875_/B (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input158/X _7867_/D (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input158/X _7856_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input158/X _7818_/D (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input158/X _7765_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input158/X _7759_/B (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input159/X _8525_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input159/X _8277_/A1 (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input159/X _8198_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input159/X _8091_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT input159/X _7984_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input159/X _7951_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT input159/X _7889_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input159/X _7875_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input159/X _7865_/C (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input159/X _7856_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input159/X _7809_/C (0.013::0.013) (0.012::0.012)) + (INTERCONNECT input159/X _7792_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input159/X _7773_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input159/X _7759_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input16/X _6385_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input160/X _8196_/A1_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input160/X _7903_/C (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input160/X _7894_/C (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input160/X _7889_/C (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input160/X _7884_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input160/X _7875_/C (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input160/X _7830_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input160/X _7818_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input160/X _7809_/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input160/X _7789_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input160/X _7788_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input160/X _7772_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input160/X _7759_/C (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input161/X _8528_/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input161/X _7980_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input161/X _7962_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input161/X _7872_/D (0.012::0.012) (0.011::0.011)) + (INTERCONNECT input161/X _7867_/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input161/X _7865_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input161/X _7856_/D (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input161/X _7830_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input161/X _7818_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input161/X _7809_/B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input161/X _7789_/A3 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input161/X _7769_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input161/X _7759_/D (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input162/X _7774_/D (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input162/X _5928_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input163/X _7774_/C (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input163/X _5928_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input164/X _5931_/C_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input165/X _8961_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input166/X _7741_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input167/X _7743_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input168/X _7745_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input169/X _7747_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input17/X _6262_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input170/X _7749_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input171/X _7751_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input172/X _7736_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input173/X _7738_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input174/X _7740_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input175/X _7742_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input176/X _8962_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input177/X _7744_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input178/X _7746_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input179/X _7748_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input18/X _6208_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input180/X _7750_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input181/X _7737_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input182/X _7739_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input183/X _7741_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input184/X _7743_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input185/X _7745_/A2 (0.001::0.001) (0.000::0.000)) + (INTERCONNECT input186/X _7747_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input187/X _8963_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input188/X _7749_/A2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input189/X _7751_/A2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input19/X _6093_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input190/X _8964_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input191/X _8965_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input192/X _8966_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input193/X _8967_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input194/X _8968_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input195/X _7737_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input196/X _7739_/B2 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input197/X _9759_/RESET_B (0.142::0.142) (0.128::0.128)) + (INTERCONNECT input197/X _9681_/RESET_B (0.083::0.083) (0.076::0.076)) + (INTERCONNECT input197/X _9680_/RESET_B (0.080::0.080) (0.073::0.073)) + (INTERCONNECT input197/X _9679_/RESET_B (0.088::0.088) (0.080::0.080)) + (INTERCONNECT input197/X _9678_/RESET_B (0.086::0.086) (0.078::0.078)) + (INTERCONNECT input197/X _9677_/RESET_B (0.081::0.081) (0.075::0.075)) + (INTERCONNECT input197/X _9676_/RESET_B (0.074::0.074) (0.068::0.068)) + (INTERCONNECT input197/X _9675_/RESET_B (0.090::0.090) (0.082::0.082)) + (INTERCONNECT input197/X _9666_/RESET_B (0.156::0.156) (0.140::0.140)) + (INTERCONNECT input197/X _9665_/RESET_B (0.156::0.156) (0.141::0.141)) + (INTERCONNECT input197/X _9664_/RESET_B (0.157::0.157) (0.141::0.141)) + (INTERCONNECT input197/X _9663_/RESET_B (0.157::0.157) (0.142::0.142)) + (INTERCONNECT input197/X _9662_/RESET_B (0.157::0.157) (0.142::0.142)) + (INTERCONNECT input197/X _9661_/RESET_B (0.158::0.158) (0.142::0.142)) + (INTERCONNECT input197/X _9660_/RESET_B (0.158::0.158) (0.142::0.142)) + (INTERCONNECT input197/X _9659_/RESET_B (0.157::0.157) (0.141::0.141)) + (INTERCONNECT input197/X _9640_/RESET_B (0.145::0.145) (0.131::0.131)) + (INTERCONNECT input197/X _9587_/RESET_B (0.140::0.140) (0.126::0.126)) + (INTERCONNECT input197/X _9119_/RESET_B (0.152::0.152) (0.137::0.137)) + (INTERCONNECT input197/X _9068_/RESET_B (0.153::0.153) (0.138::0.138)) + (INTERCONNECT input197/X _9067_/RESET_B (0.154::0.154) (0.139::0.139)) + (INTERCONNECT input197/X _9066_/RESET_B (0.154::0.154) (0.138::0.138)) + (INTERCONNECT input197/X _9065_/RESET_B (0.148::0.148) (0.134::0.134)) + (INTERCONNECT input197/X _9064_/RESET_B (0.155::0.155) (0.140::0.140)) + (INTERCONNECT input197/X _9063_/RESET_B (0.152::0.152) (0.137::0.137)) + (INTERCONNECT input197/X _9062_/RESET_B (0.148::0.148) (0.134::0.134)) + (INTERCONNECT input197/X _9061_/RESET_B (0.149::0.149) (0.134::0.134)) + (INTERCONNECT input197/X _9060_/RESET_B (0.153::0.153) (0.138::0.138)) + (INTERCONNECT input197/X _9059_/SET_B (0.152::0.152) (0.137::0.137)) + (INTERCONNECT input197/X _6146_/A (0.152::0.152) (0.137::0.137)) + (INTERCONNECT input198/X _5059_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input199/X _5058_/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input2/X _8831_/A1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input2/X ANTENNA_174/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input2/X ANTENNA_175/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input20/X _4821_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input200/X _7733_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input200/X _5056_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input201/X _7734_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input201/X _5057_/A2 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input202/X _7734_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input202/X _7733_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input202/X _5059_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input202/X _5058_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input202/X _5057_/A1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input202/X _5056_/A2 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input21/X _6831_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input22/X _6726_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input23/X _6624_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input24/X _6411_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input25/X _6245_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input26/X _6706_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input27/X _6207_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input28/X _6106_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input29/X _6618_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input3/X _8824_/A1 (0.022::0.022) (0.022::0.022)) + (INTERCONNECT input30/X _6470_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input31/X _6257_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input32/X _6153_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input33/X _6087_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input34/X _4885_/C_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input35/X _6849_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input36/X _8805_/A (0.054::0.054) (0.052::0.052)) + (INTERCONNECT input36/X _4696_/A (0.057::0.057) (0.055::0.055)) + (INTERCONNECT input37/X _6751_/A1_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input38/X _8826_/A1 (0.026::0.026) (0.025::0.025)) + (INTERCONNECT input38/X _6505_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input38/X ANTENNA_101/DIODE (0.026::0.026) (0.025::0.025)) + (INTERCONNECT input39/X _6455_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input4/X _4869_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input40/X _6317_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input41/X _6191_/A1_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input42/X _6136_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input43/X _4754_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input44/X _6903_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input45/X _6688_/A1_N (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input46/X _6521_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input47/X _6881_/A1 (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input48/X _6450_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input49/X _6299_/A1_N (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input5/X _6769_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input50/X _6166_/B1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input51/X _6136_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input52/X _4781_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input53/X _6881_/B1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input54/X _6680_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input55/X _6531_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input56/X _6446_/A1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input57/X _6323_/B1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input58/X _8944_/A1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input58/X _8911_/A1 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT input58/X _8907_/A1 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input58/X _8839_/A0 (0.060::0.060) (0.057::0.057)) + (INTERCONNECT input58/X _8838_/A1 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT input58/X _5012_/A3 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input58/X _5007_/A0 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input58/X _4985_/B1 (0.061::0.061) (0.058::0.058)) + (INTERCONNECT input58/X _4961_/A3 (0.024::0.024) (0.022::0.022)) + (INTERCONNECT input58/X _4949_/A (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input58/X _4445_/A1 (0.036::0.036) (0.034::0.034)) + (INTERCONNECT input58/X ANTENNA_102/DIODE (0.036::0.036) (0.034::0.034)) + (INTERCONNECT input58/X ANTENNA_103/DIODE (0.035::0.035) (0.034::0.034)) + (INTERCONNECT input59/X _6193_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input6/X _6592_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input60/X _6132_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input61/X _4683_/A1_N (0.018::0.018) (0.018::0.018)) + (INTERCONNECT input62/X _6911_/A1_N (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input63/X _8803_/A (0.060::0.060) (0.058::0.058)) + (INTERCONNECT input63/X _6653_/A (0.058::0.058) (0.056::0.056)) + (INTERCONNECT input63/X ANTENNA_104/DIODE (0.058::0.058) (0.056::0.056)) + (INTERCONNECT input63/X ANTENNA_105/DIODE (0.060::0.060) (0.058::0.058)) + (INTERCONNECT input64/X _6538_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input65/X _8817_/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input65/X _6446_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input65/X ANTENNA_106/DIODE (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input66/X _8818_/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input66/X _6323_/A1 (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input67/X _8836_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input67/X _6540_/A1_N (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input67/X _4629_/C (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input67/X _4447_/A1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input67/X ANTENNA_107/DIODE (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input68/X _8801_/A (0.019::0.019) (0.019::0.019)) + (INTERCONNECT input68/X _6281_/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT input69/X _6166_/A1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input7/X _6386_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input70/X _6060_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input71/X _4737_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input72/X _6941_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input73/X _7018_/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input74/X _8827_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input74/X _7020_/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input75/X _9777_/RESET_B (0.051::0.051) (0.047::0.047)) + (INTERCONNECT input75/X _9776_/RESET_B (0.045::0.045) (0.042::0.042)) + (INTERCONNECT input75/X _9775_/SET_B (0.049::0.049) (0.046::0.046)) + (INTERCONNECT input75/X _9774_/RESET_B (0.045::0.045) (0.042::0.042)) + (INTERCONNECT input75/X _9773_/RESET_B (0.050::0.050) (0.046::0.046)) + (INTERCONNECT input75/X _9770_/RESET_B (0.036::0.036) (0.034::0.034)) + (INTERCONNECT input75/X _9769_/RESET_B (0.042::0.042) (0.039::0.039)) + (INTERCONNECT input75/X _9768_/RESET_B (0.044::0.044) (0.041::0.041)) + (INTERCONNECT input75/X _9765_/RESET_B (0.036::0.036) (0.034::0.034)) + (INTERCONNECT input75/X _9764_/RESET_B (0.035::0.035) (0.033::0.033)) + (INTERCONNECT input75/X _9763_/RESET_B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT input75/X _9762_/RESET_B (0.032::0.032) (0.030::0.030)) + (INTERCONNECT input75/X _9761_/SET_B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT input75/X _9760_/RESET_B (0.025::0.025) (0.024::0.024)) + (INTERCONNECT input75/X _9751_/SET_B (0.027::0.027) (0.025::0.025)) + (INTERCONNECT input75/X _9749_/SET_B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT input75/X _9748_/SET_B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT input75/X _9747_/SET_B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT input75/X _9745_/SET_B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT input75/X _9744_/RESET_B (0.025::0.025) (0.024::0.024)) + (INTERCONNECT input75/X _9743_/SET_B (0.025::0.025) (0.024::0.024)) + (INTERCONNECT input75/X _9741_/SET_B (0.024::0.024) (0.023::0.023)) + (INTERCONNECT input75/X _9739_/SET_B (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input75/X _9737_/SET_B (0.022::0.022) (0.021::0.021)) + (INTERCONNECT input75/X _9735_/SET_B (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input75/X _9733_/SET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input75/X _9732_/SET_B (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input75/X _9730_/SET_B (0.041::0.041) (0.038::0.038)) + (INTERCONNECT input75/X _9726_/RESET_B (0.039::0.039) (0.037::0.037)) + (INTERCONNECT input75/X _9725_/RESET_B (0.018::0.018) (0.018::0.018)) + (INTERCONNECT input75/X _9724_/RESET_B (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input75/X _9723_/SET_B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input75/X _9721_/RESET_B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input75/X _9720_/SET_B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input75/X _9719_/RESET_B (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input75/X _9644_/SET_B (0.045::0.045) (0.042::0.042)) + (INTERCONNECT input75/X _9642_/RESET_B (0.045::0.045) (0.042::0.042)) + (INTERCONNECT input75/X _9560_/RESET_B (0.052::0.052) (0.048::0.048)) + (INTERCONNECT input75/X _9559_/RESET_B (0.052::0.052) (0.048::0.048)) + (INTERCONNECT input75/X _9540_/RESET_B (0.046::0.046) (0.043::0.043)) + (INTERCONNECT input75/X _9536_/RESET_B (0.046::0.046) (0.043::0.043)) + (INTERCONNECT input75/X _9404_/RESET_B (0.054::0.054) (0.050::0.050)) + (INTERCONNECT input75/X _9402_/RESET_B (0.052::0.052) (0.049::0.049)) + (INTERCONNECT input75/X _9399_/SET_B (0.054::0.054) (0.050::0.050)) + (INTERCONNECT input75/X _9398_/SET_B (0.054::0.054) (0.050::0.050)) + (INTERCONNECT input75/X _9388_/RESET_B (0.052::0.052) (0.048::0.048)) + (INTERCONNECT input75/X _9387_/SET_B (0.052::0.052) (0.048::0.048)) + (INTERCONNECT input75/X _9332_/SET_B (0.054::0.054) (0.050::0.050)) + (INTERCONNECT input75/X _9330_/RESET_B (0.053::0.053) (0.049::0.049)) + (INTERCONNECT input75/X _9329_/RESET_B (0.054::0.054) (0.050::0.050)) + (INTERCONNECT input75/X _7011_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input75/X _7010_/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input75/X _4628_/A (0.054::0.054) (0.050::0.050)) + (INTERCONNECT input75/X repeater375/A (0.047::0.047) (0.044::0.044)) + (INTERCONNECT input75/X repeater376/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input75/X repeater377/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input76/X _8835_/S (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input76/X _8834_/S (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input76/X _8830_/S (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input76/X _8829_/S (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input77/X _4448_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input77/X ANTENNA_108/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input77/X ANTENNA_109/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input77/X ANTENNA_110/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input77/X ANTENNA_111/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input78/X _4444_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input78/X ANTENNA_112/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input79/X _8833_/S (0.032::0.032) (0.030::0.030)) + (INTERCONNECT input79/X _8828_/S (0.034::0.034) (0.032::0.032)) + (INTERCONNECT input79/X _8803_/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input79/X _4444_/S (0.034::0.034) (0.031::0.031)) + (INTERCONNECT input79/X _4443_/S (0.034::0.034) (0.032::0.032)) + (INTERCONNECT input8/X _6259_/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT input80/X _4443_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input80/X ANTENNA_113/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input80/X ANTENNA_114/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input81/X _8828_/A1 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT input81/X ANTENNA_115/DIODE (0.012::0.012) (0.011::0.011)) + (INTERCONNECT input82/X _8833_/A1 (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input82/X ANTENNA_184/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input83/X _8837_/A0 (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input83/X ANTENNA_116/DIODE (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input83/X ANTENNA_117/DIODE (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input83/X ANTENNA_118/DIODE (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input83/X ANTENNA_119/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input83/X ANTENNA_120/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input83/X ANTENNA_121/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input84/X _8836_/A0 (0.018::0.018) (0.018::0.018)) + (INTERCONNECT input85/X _8838_/A0 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input85/X ANTENNA_185/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input85/X ANTENNA_186/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input86/X _7012_/B_N (0.033::0.033) (0.031::0.031)) + (INTERCONNECT input86/X ANTENNA_122/DIODE (0.033::0.033) (0.031::0.031)) + (INTERCONNECT input86/X ANTENNA_123/DIODE (0.033::0.033) (0.031::0.031)) + (INTERCONNECT input86/X ANTENNA_124/DIODE (0.033::0.033) (0.031::0.031)) + (INTERCONNECT input86/X ANTENNA_125/DIODE (0.033::0.033) (0.031::0.031)) + (INTERCONNECT input86/X ANTENNA_126/DIODE (0.033::0.033) (0.031::0.031)) + (INTERCONNECT input86/X ANTENNA_127/DIODE (0.033::0.033) (0.031::0.031)) + (INTERCONNECT input86/X ANTENNA_128/DIODE (0.033::0.033) (0.031::0.031)) + (INTERCONNECT input86/X ANTENNA_129/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input86/X ANTENNA_130/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input86/X ANTENNA_131/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input86/X ANTENNA_132/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input86/X ANTENNA_133/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input86/X ANTENNA_134/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input86/X ANTENNA_135/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input87/X _8816_/A (0.044::0.044) (0.042::0.042)) + (INTERCONNECT input87/X ANTENNA_187/DIODE (0.044::0.044) (0.042::0.042)) + (INTERCONNECT input87/X ANTENNA_188/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input88/X _7015_/B (0.044::0.044) (0.042::0.042)) + (INTERCONNECT input88/X ANTENNA_136/DIODE (0.044::0.044) (0.042::0.042)) + (INTERCONNECT input88/X ANTENNA_137/DIODE (0.044::0.044) (0.042::0.042)) + (INTERCONNECT input88/X ANTENNA_138/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input88/X ANTENNA_139/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input89/X _8829_/A1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input9/X _6206_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input90/X _8834_/A1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input91/X _8830_/A1 (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input91/X ANTENNA_140/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input91/X ANTENNA_141/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input92/X _8835_/A1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input93/X _4860_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input94/X _6778_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input95/X _6552_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input96/X _6336_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input97/X _6292_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input98/X _6221_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input99/X _6099_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT net299_2/Y _7022_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT net299_3/Y _6359_/A1 (0.000::0.000) (0.000::0.000)) + (INTERCONNECT output203/X debug_in (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output204/X irq[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output205/X irq[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output206/X irq[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output207/X mgmt_gpio_oeb[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output208/X mgmt_gpio_oeb[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output209/X mgmt_gpio_oeb[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output210/X mgmt_gpio_oeb[12] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output211/X mgmt_gpio_oeb[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output212/X mgmt_gpio_oeb[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output213/X mgmt_gpio_oeb[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output214/X mgmt_gpio_oeb[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output215/X mgmt_gpio_oeb[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output216/X mgmt_gpio_oeb[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output217/X mgmt_gpio_oeb[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output218/X mgmt_gpio_oeb[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output219/X mgmt_gpio_oeb[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output220/X mgmt_gpio_oeb[21] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output221/X mgmt_gpio_oeb[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output222/X mgmt_gpio_oeb[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output223/X mgmt_gpio_oeb[24] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output224/X mgmt_gpio_oeb[25] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output225/X mgmt_gpio_oeb[26] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output226/X mgmt_gpio_oeb[27] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output227/X mgmt_gpio_oeb[28] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output228/X mgmt_gpio_oeb[29] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output229/X mgmt_gpio_oeb[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output230/X mgmt_gpio_oeb[30] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output231/X mgmt_gpio_oeb[31] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output232/X mgmt_gpio_oeb[32] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output233/X mgmt_gpio_oeb[33] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output234/X mgmt_gpio_oeb[34] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output235/X mgmt_gpio_oeb[35] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output236/X mgmt_gpio_oeb[36] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output237/X mgmt_gpio_oeb[37] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output238/X mgmt_gpio_oeb[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output239/X mgmt_gpio_oeb[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output240/X mgmt_gpio_oeb[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output241/X mgmt_gpio_oeb[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output242/X mgmt_gpio_oeb[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output243/X mgmt_gpio_oeb[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output244/X mgmt_gpio_oeb[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output245/X mgmt_gpio_out[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output246/X mgmt_gpio_out[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output247/X mgmt_gpio_out[35] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output248/X mgmt_gpio_out[36] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output249/X mgmt_gpio_out[37] (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output250/X pad_flash_clk (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output251/X pad_flash_clk_oeb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output252/X pad_flash_csb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output253/X pad_flash_csb_oeb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output254/X pad_flash_io0_do (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output255/X pad_flash_io0_ieb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output256/X pad_flash_io0_oeb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output257/X pad_flash_io1_do (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output258/X pad_flash_io1_ieb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output259/X pad_flash_io1_oeb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output260/X pll90_sel[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output261/X pll90_sel[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output262/X pll90_sel[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output263/X pll_bypass (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output264/X pll_dco_ena (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output265/X pll_div[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output266/X pll_div[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output267/X pll_div[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output268/X pll_div[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output269/X pll_div[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output270/X pll_ena (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output271/X pll_sel[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output272/X pll_sel[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output273/X pll_sel[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output274/X pll_trim[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output275/X pll_trim[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output276/X pll_trim[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output277/X pll_trim[12] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output278/X pll_trim[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output279/X pll_trim[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output280/X pll_trim[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output281/X pll_trim[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output282/X pll_trim[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output283/X pll_trim[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output284/X pll_trim[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output285/X pll_trim[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output286/X pll_trim[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output287/X pll_trim[21] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output288/X pll_trim[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output289/X pll_trim[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output290/X pll_trim[24] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output291/X pll_trim[25] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output292/X pll_trim[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output293/X pll_trim[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output294/X pll_trim[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output295/X pll_trim[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output296/X pll_trim[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output297/X pll_trim[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output298/X pll_trim[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output299/X pll_trim[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output300/X pwr_ctrl_out[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output301/X pwr_ctrl_out[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output302/X pwr_ctrl_out[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output303/X pwr_ctrl_out[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output304/X reset (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output305/X ser_rx (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output306/X serial_clock (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output307/X serial_data_1 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output308/X serial_data_2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output309/X serial_load (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output310/X serial_resetn (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output311/X spi_sdi (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output312/X spimemio_flash_io0_di (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output313/X spimemio_flash_io1_di (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output314/X spimemio_flash_io2_di (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output315/X spimemio_flash_io3_di (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output316/X sram_ro_addr[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output317/X sram_ro_addr[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output318/X sram_ro_addr[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output319/X sram_ro_addr[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output320/X sram_ro_addr[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output321/X sram_ro_addr[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output322/X sram_ro_addr[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output323/X sram_ro_addr[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output324/X sram_ro_clk (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output325/X sram_ro_csb (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output326/X wb_ack_o (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output327/X wb_dat_o[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output328/X wb_dat_o[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output329/X wb_dat_o[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output330/X wb_dat_o[12] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output331/X wb_dat_o[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output332/X wb_dat_o[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output333/X wb_dat_o[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output334/X wb_dat_o[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output335/X wb_dat_o[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output336/X wb_dat_o[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output337/X wb_dat_o[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output338/X wb_dat_o[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output339/X wb_dat_o[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output340/X wb_dat_o[21] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output341/X wb_dat_o[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output342/X wb_dat_o[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output343/X wb_dat_o[24] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output344/X wb_dat_o[25] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output345/X wb_dat_o[26] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output346/X wb_dat_o[27] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output347/X wb_dat_o[28] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output348/X wb_dat_o[29] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output349/X wb_dat_o[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output350/X wb_dat_o[30] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output351/X wb_dat_o[31] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output352/X wb_dat_o[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output353/X wb_dat_o[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output354/X wb_dat_o[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output355/X wb_dat_o[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output356/X wb_dat_o[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output357/X wb_dat_o[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output358/X wb_dat_o[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT repeater359/X _5559_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT repeater359/X _5745_/B1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT repeater359/X _5813_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT repeater359/X _5605_/B1 (0.014::0.014) (0.013::0.013)) + (INTERCONNECT repeater359/X _5415_/B1 (0.016::0.016) (0.015::0.015)) + (INTERCONNECT repeater359/X _5771_/B1 (0.022::0.022) (0.021::0.021)) + (INTERCONNECT repeater359/X _5407_/B1 (0.036::0.036) (0.033::0.033)) + (INTERCONNECT repeater359/X _5445_/B1 (0.043::0.043) (0.039::0.039)) + (INTERCONNECT repeater359/X _5453_/B1 (0.052::0.052) (0.047::0.047)) + (INTERCONNECT repeater359/X _5301_/B1 (0.048::0.048) (0.044::0.044)) + (INTERCONNECT repeater359/X _5369_/B1 (0.045::0.045) (0.041::0.041)) + (INTERCONNECT repeater359/X _5521_/B1 (0.056::0.056) (0.051::0.051)) + (INTERCONNECT repeater359/X _5491_/B1 (0.054::0.054) (0.049::0.049)) + (INTERCONNECT repeater359/X _5293_/B1 (0.065::0.065) (0.059::0.059)) + (INTERCONNECT repeater359/X _5254_/B1 (0.075::0.075) (0.068::0.068)) + (INTERCONNECT repeater359/X _5331_/B1 (0.068::0.068) (0.061::0.061)) + (INTERCONNECT repeater359/X _4507_/B1 (0.111::0.111) (0.101::0.101)) + (INTERCONNECT repeater359/X _5262_/B1 (0.112::0.112) (0.101::0.101)) + (INTERCONNECT repeater359/X _4606_/B1 (0.119::0.119) (0.108::0.108)) + (INTERCONNECT repeater359/X _5103_/B1 (0.119::0.119) (0.108::0.108)) + (INTERCONNECT repeater359/X _5464_/B1 (0.110::0.110) (0.100::0.100)) + (INTERCONNECT repeater359/X _5792_/B1 (0.131::0.131) (0.119::0.119)) + (INTERCONNECT repeater359/X _4497_/B1 (0.131::0.131) (0.119::0.119)) + (INTERCONNECT repeater359/X _5116_/B1 (0.130::0.130) (0.118::0.118)) + (INTERCONNECT repeater359/X _5919_/B1 (0.130::0.130) (0.119::0.119)) + (INTERCONNECT repeater359/X _5567_/B1 (0.104::0.104) (0.095::0.095)) + (INTERCONNECT repeater359/X _5483_/B1 (0.115::0.115) (0.104::0.104)) + (INTERCONNECT repeater359/X _4583_/B1 (0.122::0.122) (0.111::0.111)) + (INTERCONNECT repeater359/X _4596_/B1 (0.123::0.123) (0.112::0.112)) + (INTERCONNECT repeater359/X _4530_/B1 (0.123::0.123) (0.112::0.112)) + (INTERCONNECT repeater359/X _4616_/B1 (0.123::0.123) (0.112::0.112)) + (INTERCONNECT repeater359/X _5339_/B1 (0.122::0.122) (0.111::0.111)) + (INTERCONNECT repeater359/X _5963_/B1 (0.124::0.124) (0.112::0.112)) + (INTERCONNECT repeater359/X _5235_/B1 (0.125::0.125) (0.114::0.114)) + (INTERCONNECT repeater359/X _4570_/B1 (0.126::0.126) (0.115::0.115)) + (INTERCONNECT repeater359/X _5832_/B1 (0.130::0.130) (0.118::0.118)) + (INTERCONNECT repeater359/X _5124_/B1 (0.128::0.128) (0.117::0.117)) + (INTERCONNECT repeater359/X _5246_/B1 (0.129::0.129) (0.118::0.118)) + (INTERCONNECT repeater359/X _5867_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT repeater359/X _5674_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT repeater359/X _5900_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT repeater360/X _5284_/B1 (0.012::0.012) (0.012::0.012)) + (INTERCONNECT repeater360/X _5312_/B1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT repeater360/X _5763_/B1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT repeater360/X _5666_/B1 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT repeater360/X _5273_/B1 (0.038::0.038) (0.034::0.034)) + (INTERCONNECT repeater360/X _5377_/B1 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT repeater360/X repeater359/A (0.039::0.039) (0.036::0.036)) + (INTERCONNECT repeater360/X _5323_/B1 (0.042::0.042) (0.039::0.039)) + (INTERCONNECT repeater360/X _5589_/B1 (0.044::0.044) (0.041::0.041)) + (INTERCONNECT repeater360/X _5426_/B1 (0.048::0.048) (0.043::0.043)) + (INTERCONNECT repeater360/X _5551_/B1 (0.051::0.051) (0.046::0.046)) + (INTERCONNECT repeater360/X _5513_/B1 (0.055::0.055) (0.050::0.050)) + (INTERCONNECT repeater360/X _5616_/B1 (0.094::0.094) (0.087::0.087)) + (INTERCONNECT repeater360/X _5803_/B1 (0.094::0.094) (0.086::0.086)) + (INTERCONNECT repeater360/X _5974_/B1 (0.104::0.104) (0.096::0.096)) + (INTERCONNECT repeater360/X _5095_/B1 (0.104::0.104) (0.096::0.096)) + (INTERCONNECT repeater360/X _5824_/B1 (0.127::0.127) (0.117::0.117)) + (INTERCONNECT repeater360/X _5540_/B1 (0.123::0.123) (0.113::0.113)) + (INTERCONNECT repeater360/X _5878_/B1 (0.132::0.132) (0.122::0.122)) + (INTERCONNECT repeater360/X _5782_/B1 (0.137::0.137) (0.126::0.126)) + (INTERCONNECT repeater360/X _5019_/B1 (0.142::0.142) (0.130::0.130)) + (INTERCONNECT repeater360/X _5051_/B1 (0.145::0.145) (0.133::0.133)) + (INTERCONNECT repeater360/X _5140_/B1 (0.149::0.149) (0.137::0.137)) + (INTERCONNECT repeater360/X _4512_/B1 (0.151::0.150) (0.138::0.138)) + (INTERCONNECT repeater360/X _8917_/A1 (0.149::0.149) (0.137::0.137)) + (INTERCONNECT repeater360/X _5955_/B1 (0.139::0.139) (0.128::0.128)) + (INTERCONNECT repeater360/X _5502_/B1 (0.139::0.139) (0.127::0.127)) + (INTERCONNECT repeater360/X _5911_/B1 (0.142::0.142) (0.131::0.131)) + (INTERCONNECT repeater360/X _5944_/B1 (0.143::0.143) (0.131::0.131)) + (INTERCONNECT repeater360/X _5083_/B1 (0.152::0.152) (0.139::0.139)) + (INTERCONNECT repeater360/X _5399_/B1 (0.151::0.151) (0.138::0.138)) + (INTERCONNECT repeater360/X _5578_/B1 (0.117::0.117) (0.108::0.108)) + (INTERCONNECT repeater360/X _5843_/B1 (0.109::0.109) (0.101::0.101)) + (INTERCONNECT repeater361/X _5814_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT repeater361/X _5606_/B1 (0.021::0.021) (0.020::0.020)) + (INTERCONNECT repeater361/X _5675_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT repeater361/X _5772_/B1 (0.030::0.030) (0.028::0.028)) + (INTERCONNECT repeater361/X _5416_/B1 (0.037::0.037) (0.034::0.034)) + (INTERCONNECT repeater361/X _5408_/B1 (0.049::0.049) (0.045::0.045)) + (INTERCONNECT repeater361/X _5446_/B1 (0.049::0.049) (0.045::0.045)) + (INTERCONNECT repeater361/X _5302_/B1 (0.060::0.060) (0.056::0.056)) + (INTERCONNECT repeater361/X _5370_/B1 (0.057::0.057) (0.053::0.053)) + (INTERCONNECT repeater361/X _5454_/B1 (0.067::0.067) (0.062::0.062)) + (INTERCONNECT repeater361/X _5332_/B1 (0.083::0.083) (0.078::0.078)) + (INTERCONNECT repeater361/X _5255_/B1 (0.089::0.089) (0.083::0.083)) + (INTERCONNECT repeater361/X _5263_/B1 (0.098::0.098) (0.092::0.092)) + (INTERCONNECT repeater361/X _4531_/B1 (0.106::0.106) (0.100::0.100)) + (INTERCONNECT repeater361/X _5104_/B1 (0.107::0.107) (0.100::0.100)) + (INTERCONNECT repeater361/X _4607_/B1 (0.108::0.108) (0.102::0.102)) + (INTERCONNECT repeater361/X _4617_/B1 (0.110::0.110) (0.103::0.103)) + (INTERCONNECT repeater361/X _4584_/B1 (0.110::0.110) (0.103::0.103)) + (INTERCONNECT repeater361/X _4597_/B1 (0.110::0.110) (0.103::0.103)) + (INTERCONNECT repeater361/X _4508_/B1 (0.105::0.105) (0.099::0.099)) + (INTERCONNECT repeater361/X _5484_/B1 (0.109::0.109) (0.102::0.102)) + (INTERCONNECT repeater361/X _5465_/B1 (0.109::0.109) (0.102::0.102)) + (INTERCONNECT repeater361/X _5340_/B1 (0.113::0.113) (0.106::0.106)) + (INTERCONNECT repeater361/X _5964_/B1 (0.116::0.116) (0.109::0.109)) + (INTERCONNECT repeater361/X _5236_/B1 (0.119::0.119) (0.111::0.111)) + (INTERCONNECT repeater361/X _4571_/B1 (0.110::0.110) (0.103::0.103)) + (INTERCONNECT repeater361/X _5833_/B1 (0.122::0.122) (0.114::0.114)) + (INTERCONNECT repeater361/X _5125_/B1 (0.124::0.124) (0.116::0.116)) + (INTERCONNECT repeater361/X _5247_/B1 (0.125::0.125) (0.116::0.116)) + (INTERCONNECT repeater361/X _5294_/B1 (0.086::0.086) (0.080::0.080)) + (INTERCONNECT repeater361/X _5568_/B1 (0.087::0.087) (0.082::0.082)) + (INTERCONNECT repeater361/X _5084_/B1 (0.131::0.131) (0.122::0.122)) + (INTERCONNECT repeater361/X _5945_/B1 (0.132::0.132) (0.123::0.123)) + (INTERCONNECT repeater361/X _5793_/B1 (0.130::0.130) (0.121::0.121)) + (INTERCONNECT repeater361/X _5920_/B1 (0.130::0.130) (0.121::0.121)) + (INTERCONNECT repeater361/X _4498_/B1 (0.128::0.128) (0.119::0.119)) + (INTERCONNECT repeater361/X _5117_/B1 (0.129::0.129) (0.120::0.120)) + (INTERCONNECT repeater361/X _5400_/B1 (0.128::0.128) (0.120::0.120)) + (INTERCONNECT repeater361/X _5492_/B1 (0.065::0.065) (0.061::0.061)) + (INTERCONNECT repeater361/X _5522_/B1 (0.067::0.067) (0.063::0.063)) + (INTERCONNECT repeater362/X _5378_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT repeater362/X _5274_/B1 (0.009::0.009) (0.009::0.009)) + (INTERCONNECT repeater362/X _5590_/B1 (0.007::0.007) (0.007::0.007)) + (INTERCONNECT repeater362/X _5552_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT repeater362/X _5667_/B1 (0.010::0.010) (0.009::0.009)) + (INTERCONNECT repeater362/X _5764_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT repeater362/X _5514_/B1 (0.010::0.010) (0.010::0.010)) + (INTERCONNECT repeater362/X _5617_/B1 (0.012::0.012) (0.011::0.011)) + (INTERCONNECT repeater362/X _5324_/B1 (0.009::0.009) (0.008::0.008)) + (INTERCONNECT repeater362/X _5804_/B1 (0.063::0.063) (0.058::0.058)) + (INTERCONNECT repeater362/X _5152_/B1 (0.065::0.065) (0.060::0.060)) + (INTERCONNECT repeater362/X _8922_/A1 (0.065::0.065) (0.060::0.060)) + (INTERCONNECT repeater362/X _5975_/B1 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT repeater362/X _5096_/B1 (0.053::0.053) (0.048::0.048)) + (INTERCONNECT repeater362/X _5844_/B1 (0.059::0.059) (0.054::0.054)) + (INTERCONNECT repeater362/X _5579_/B1 (0.070::0.070) (0.064::0.064)) + (INTERCONNECT repeater362/X _5541_/B1 (0.073::0.073) (0.067::0.067)) + (INTERCONNECT repeater362/X _5825_/B1 (0.080::0.080) (0.073::0.073)) + (INTERCONNECT repeater362/X _5879_/B1 (0.082::0.082) (0.076::0.076)) + (INTERCONNECT repeater362/X _5503_/B1 (0.088::0.088) (0.081::0.081)) + (INTERCONNECT repeater362/X _5956_/B1 (0.088::0.088) (0.081::0.081)) + (INTERCONNECT repeater362/X _5020_/B1 (0.092::0.092) (0.085::0.085)) + (INTERCONNECT repeater362/X _5783_/B1 (0.087::0.087) (0.080::0.080)) + (INTERCONNECT repeater362/X _5912_/B1 (0.090::0.090) (0.082::0.082)) + (INTERCONNECT repeater362/X _5052_/B1 (0.099::0.099) (0.091::0.091)) + (INTERCONNECT repeater362/X _5141_/B1 (0.098::0.098) (0.090::0.090)) + (INTERCONNECT repeater362/X _8901_/A1 (0.097::0.097) (0.089::0.089)) + (INTERCONNECT repeater362/X _6038_/B1 (0.100::0.100) (0.092::0.092)) + (INTERCONNECT repeater362/X _6055_/B1 (0.100::0.100) (0.092::0.092)) + (INTERCONNECT repeater362/X _5530_/B1 (0.013::0.013) (0.012::0.012)) + (INTERCONNECT repeater362/X _5560_/B1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT repeater362/X _5746_/B1 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT repeater362/X _5868_/B1 (0.026::0.026) (0.024::0.024)) + (INTERCONNECT repeater362/X repeater361/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT repeater363/X _5363_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT repeater363/X _6035_/B1 (0.028::0.028) (0.025::0.025)) + (INTERCONNECT repeater363/X _5599_/B1 (0.070::0.070) (0.063::0.063)) + (INTERCONNECT repeater363/X _5902_/B1 (0.082::0.082) (0.074::0.074)) + (INTERCONNECT repeater363/X _5869_/B1 (0.089::0.089) (0.080::0.080)) + (INTERCONNECT repeater363/X _5676_/B1 (0.100::0.100) (0.089::0.089)) + (INTERCONNECT repeater363/X _5815_/B1 (0.103::0.103) (0.092::0.092)) + (INTERCONNECT repeater363/X _5747_/B1 (0.106::0.106) (0.095::0.095)) + (INTERCONNECT repeater363/X _5773_/B1 (0.123::0.123) (0.109::0.109)) + (INTERCONNECT repeater363/X _5607_/B1 (0.132::0.132) (0.117::0.117)) + (INTERCONNECT repeater363/X _5409_/B1 (0.134::0.134) (0.118::0.118)) + (INTERCONNECT repeater363/X _5417_/B1 (0.134::0.134) (0.119::0.119)) + (INTERCONNECT repeater363/X _5303_/B1 (0.145::0.145) (0.128::0.128)) + (INTERCONNECT repeater363/X _5371_/B1 (0.142::0.142) (0.126::0.126)) + (INTERCONNECT repeater363/X _5447_/B1 (0.138::0.138) (0.122::0.122)) + (INTERCONNECT repeater363/X _5455_/B1 (0.157::0.157) (0.138::0.138)) + (INTERCONNECT repeater363/X _5523_/B1 (0.162::0.162) (0.142::0.142)) + (INTERCONNECT repeater363/X _5493_/B1 (0.171::0.171) (0.150::0.150)) + (INTERCONNECT repeater363/X _5295_/B1 (0.171::0.171) (0.150::0.150)) + (INTERCONNECT repeater363/X _5333_/B1 (0.169::0.169) (0.149::0.149)) + (INTERCONNECT repeater363/X _5256_/B1 (0.177::0.177) (0.155::0.155)) + (INTERCONNECT repeater363/X _5264_/B1 (0.182::0.182) (0.160::0.160)) + (INTERCONNECT repeater363/X _5569_/B1 (0.185::0.185) (0.162::0.162)) + (INTERCONNECT repeater363/X _4509_/B1 (0.182::0.182) (0.160::0.160)) + (INTERCONNECT repeater363/X _5485_/B1 (0.189::0.189) (0.165::0.165)) + (INTERCONNECT repeater363/X _5341_/B1 (0.192::0.192) (0.169::0.169)) + (INTERCONNECT repeater363/X _4585_/B1 (0.192::0.192) (0.169::0.169)) + (INTERCONNECT repeater363/X _5965_/B1 (0.192::0.192) (0.169::0.169)) + (INTERCONNECT repeater363/X _5105_/B1 (0.193::0.193) (0.169::0.169)) + (INTERCONNECT repeater363/X _4598_/B1 (0.193::0.193) (0.170::0.170)) + (INTERCONNECT repeater363/X _4618_/B1 (0.194::0.194) (0.170::0.170)) + (INTERCONNECT repeater363/X _4532_/B1 (0.194::0.194) (0.170::0.170)) + (INTERCONNECT repeater363/X _4608_/B1 (0.194::0.194) (0.170::0.170)) + (INTERCONNECT repeater363/X _5466_/B1 (0.191::0.191) (0.167::0.167)) + (INTERCONNECT repeater363/X _5237_/B1 (0.192::0.191) (0.168::0.168)) + (INTERCONNECT repeater363/X _5834_/B1 (0.194::0.194) (0.170::0.170)) + (INTERCONNECT repeater363/X _4572_/B1 (0.195::0.195) (0.171::0.171)) + (INTERCONNECT repeater363/X _5126_/B1 (0.195::0.195) (0.171::0.171)) + (INTERCONNECT repeater364/X _5629_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT repeater364/X _5314_/B1 (0.023::0.023) (0.022::0.022)) + (INTERCONNECT repeater364/X _5687_/B1 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT repeater364/X _5618_/B1 (0.060::0.060) (0.056::0.056)) + (INTERCONNECT repeater364/X _4482_/A0 (0.069::0.069) (0.064::0.064)) + (INTERCONNECT repeater364/X _5153_/B1 (0.069::0.069) (0.065::0.065)) + (INTERCONNECT repeater364/X _8923_/A1 (0.069::0.069) (0.065::0.065)) + (INTERCONNECT repeater364/X _5805_/B1 (0.065::0.065) (0.061::0.061)) + (INTERCONNECT repeater364/X _5097_/B1 (0.081::0.081) (0.075::0.075)) + (INTERCONNECT repeater364/X _5845_/B1 (0.081::0.081) (0.075::0.075)) + (INTERCONNECT repeater364/X _5542_/B1 (0.091::0.091) (0.085::0.085)) + (INTERCONNECT repeater364/X _5580_/B1 (0.092::0.092) (0.086::0.086)) + (INTERCONNECT repeater364/X _5880_/B1 (0.093::0.093) (0.086::0.086)) + (INTERCONNECT repeater364/X _5826_/B1 (0.095::0.095) (0.088::0.088)) + (INTERCONNECT repeater364/X _5504_/B1 (0.102::0.102) (0.095::0.095)) + (INTERCONNECT repeater364/X _5913_/B1 (0.105::0.105) (0.097::0.097)) + (INTERCONNECT repeater364/X _5021_/B1 (0.117::0.117) (0.109::0.109)) + (INTERCONNECT repeater364/X _5142_/B1 (0.136::0.136) (0.125::0.125)) + (INTERCONNECT repeater364/X _8919_/A1 (0.136::0.136) (0.125::0.125)) + (INTERCONNECT repeater364/X _6026_/B1 (0.138::0.138) (0.127::0.127)) + (INTERCONNECT repeater364/X _6056_/B1 (0.137::0.137) (0.126::0.126)) + (INTERCONNECT repeater364/X _5401_/B1 (0.137::0.137) (0.126::0.126)) + (INTERCONNECT repeater364/X _4499_/B1 (0.139::0.139) (0.128::0.128)) + (INTERCONNECT repeater364/X _5794_/B1 (0.139::0.139) (0.128::0.128)) + (INTERCONNECT repeater364/X _5921_/B1 (0.140::0.140) (0.128::0.128)) + (INTERCONNECT repeater364/X _5118_/B1 (0.140::0.140) (0.129::0.129)) + (INTERCONNECT repeater364/X _5248_/B1 (0.140::0.140) (0.129::0.129)) + (INTERCONNECT repeater364/X _5053_/B1 (0.130::0.130) (0.120::0.120)) + (INTERCONNECT repeater364/X _5085_/B1 (0.139::0.139) (0.128::0.128)) + (INTERCONNECT repeater364/X _5946_/B1 (0.139::0.139) (0.128::0.128)) + (INTERCONNECT repeater364/X _5784_/B1 (0.111::0.111) (0.103::0.103)) + (INTERCONNECT repeater364/X _5957_/B1 (0.110::0.110) (0.102::0.102)) + (INTERCONNECT repeater365/X _5532_/B1 (0.003::0.003) (0.003::0.003)) + (INTERCONNECT repeater365/X _5870_/B1 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT repeater365/X _5903_/B1 (0.025::0.025) (0.023::0.023)) + (INTERCONNECT repeater365/X _5562_/B1 (0.034::0.034) (0.032::0.032)) + (INTERCONNECT repeater365/X _5677_/B1 (0.034::0.034) (0.032::0.032)) + (INTERCONNECT repeater365/X _5816_/B1 (0.033::0.033) (0.030::0.030)) + (INTERCONNECT repeater365/X _5748_/B1 (0.041::0.041) (0.037::0.037)) + (INTERCONNECT repeater365/X _5418_/B1 (0.052::0.052) (0.047::0.047)) + (INTERCONNECT repeater365/X _5774_/B1 (0.056::0.056) (0.051::0.051)) + (INTERCONNECT repeater365/X _5608_/B1 (0.062::0.062) (0.057::0.057)) + (INTERCONNECT repeater365/X _5410_/B1 (0.069::0.069) (0.063::0.063)) + (INTERCONNECT repeater365/X _5448_/B1 (0.079::0.079) (0.073::0.073)) + (INTERCONNECT repeater365/X _5304_/B1 (0.085::0.085) (0.079::0.079)) + (INTERCONNECT repeater365/X _5372_/B1 (0.083::0.083) (0.077::0.077)) + (INTERCONNECT repeater365/X _5456_/B1 (0.095::0.095) (0.088::0.088)) + (INTERCONNECT repeater365/X _5494_/B1 (0.096::0.096) (0.089::0.089)) + (INTERCONNECT repeater365/X _5524_/B1 (0.095::0.095) (0.088::0.088)) + (INTERCONNECT repeater365/X _5296_/B1 (0.102::0.102) (0.094::0.094)) + (INTERCONNECT repeater365/X _5334_/B1 (0.106::0.106) (0.098::0.098)) + (INTERCONNECT repeater365/X _5257_/B1 (0.116::0.116) (0.107::0.107)) + (INTERCONNECT repeater365/X _5265_/B1 (0.123::0.123) (0.113::0.113)) + (INTERCONNECT repeater365/X _5228_/A0 (0.127::0.127) (0.117::0.117)) + (INTERCONNECT repeater365/X _4510_/B1 (0.144::0.144) (0.132::0.132)) + (INTERCONNECT repeater365/X _5106_/B1 (0.144::0.144) (0.131::0.131)) + (INTERCONNECT repeater365/X _4599_/B1 (0.141::0.141) (0.129::0.129)) + (INTERCONNECT repeater365/X _4609_/B1 (0.143::0.143) (0.131::0.131)) + (INTERCONNECT repeater365/X _4533_/B1 (0.143::0.143) (0.131::0.131)) + (INTERCONNECT repeater365/X _4540_/A0 (0.143::0.143) (0.131::0.131)) + (INTERCONNECT repeater365/X _4619_/B1 (0.142::0.142) (0.130::0.130)) + (INTERCONNECT repeater365/X _4624_/A0 (0.142::0.142) (0.130::0.130)) + (INTERCONNECT repeater365/X _5486_/B1 (0.130::0.130) (0.120::0.120)) + (INTERCONNECT repeater365/X _4586_/B1 (0.140::0.140) (0.128::0.128)) + (INTERCONNECT repeater365/X _5342_/B1 (0.137::0.137) (0.125::0.125)) + (INTERCONNECT repeater365/X _5966_/B1 (0.138::0.138) (0.127::0.127)) + (INTERCONNECT repeater365/X _5238_/B1 (0.139::0.139) (0.128::0.128)) + (INTERCONNECT repeater365/X _4573_/B1 (0.141::0.141) (0.129::0.129)) + (INTERCONNECT repeater365/X _4559_/A0 (0.142::0.142) (0.130::0.130)) + (INTERCONNECT repeater365/X _5127_/B1 (0.142::0.142) (0.130::0.130)) + (INTERCONNECT repeater365/X _5835_/B1 (0.140::0.140) (0.128::0.128)) + (INTERCONNECT repeater365/X _5119_/B1 (0.140::0.140) (0.129::0.129)) + (INTERCONNECT repeater365/X _5249_/B1 (0.140::0.140) (0.128::0.128)) + (INTERCONNECT repeater365/X _5467_/B1 (0.125::0.125) (0.115::0.115)) + (INTERCONNECT repeater365/X _5570_/B1 (0.125::0.125) (0.115::0.115)) + (INTERCONNECT repeater366/X _5315_/B1 (0.020::0.020) (0.019::0.019)) + (INTERCONNECT repeater366/X _5766_/B1 (0.025::0.025) (0.024::0.024)) + (INTERCONNECT repeater366/X _5429_/B1 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT repeater366/X _5554_/B1 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT repeater366/X _5516_/B1 (0.044::0.044) (0.040::0.040)) + (INTERCONNECT repeater366/X _5592_/B1 (0.055::0.055) (0.050::0.050)) + (INTERCONNECT repeater366/X _5326_/B1 (0.055::0.055) (0.050::0.050)) + (INTERCONNECT repeater366/X _5977_/B1 (0.065::0.065) (0.060::0.060)) + (INTERCONNECT repeater366/X _5098_/B1 (0.073::0.073) (0.067::0.067)) + (INTERCONNECT repeater366/X _5846_/B1 (0.089::0.089) (0.082::0.082)) + (INTERCONNECT repeater366/X _5827_/B1 (0.095::0.095) (0.088::0.087)) + (INTERCONNECT repeater366/X _5581_/B1 (0.104::0.104) (0.095::0.095)) + (INTERCONNECT repeater366/X _5785_/B1 (0.119::0.119) (0.109::0.109)) + (INTERCONNECT repeater366/X _5881_/B1 (0.108::0.108) (0.099::0.099)) + (INTERCONNECT repeater366/X _5543_/B1 (0.098::0.098) (0.090::0.090)) + (INTERCONNECT repeater366/X _5958_/B1 (0.114::0.114) (0.105::0.105)) + (INTERCONNECT repeater366/X _5505_/B1 (0.128::0.128) (0.117::0.117)) + (INTERCONNECT repeater366/X _5914_/B1 (0.131::0.131) (0.120::0.120)) + (INTERCONNECT repeater366/X _5054_/B1 (0.137::0.137) (0.125::0.125)) + (INTERCONNECT repeater366/X _5022_/B1 (0.137::0.137) (0.125::0.125)) + (INTERCONNECT repeater366/X _8927_/A1 (0.145::0.145) (0.132::0.132)) + (INTERCONNECT repeater366/X _5143_/B1 (0.145::0.145) (0.132::0.132)) + (INTERCONNECT repeater366/X _4484_/B1 (0.147::0.147) (0.134::0.134)) + (INTERCONNECT repeater366/X _6057_/B1 (0.147::0.147) (0.134::0.134)) + (INTERCONNECT repeater366/X _5947_/B1 (0.138::0.138) (0.126::0.126)) + (INTERCONNECT repeater366/X _4500_/B1 (0.142::0.142) (0.129::0.129)) + (INTERCONNECT repeater366/X _5402_/B1 (0.141::0.141) (0.129::0.129)) + (INTERCONNECT repeater366/X _5086_/B1 (0.142::0.142) (0.129::0.129)) + (INTERCONNECT repeater366/X _5795_/B1 (0.143::0.143) (0.130::0.130)) + (INTERCONNECT repeater366/X _5922_/B1 (0.143::0.143) (0.130::0.130)) + (INTERCONNECT repeater367/X _5411_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT repeater367/X _5449_/B1 (0.006::0.006) (0.005::0.005)) + (INTERCONNECT repeater367/X _5305_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT repeater367/X _5373_/B1 (0.005::0.005) (0.005::0.005)) + (INTERCONNECT repeater367/X _4518_/B1 (0.005::0.005) (0.004::0.004)) + (INTERCONNECT repeater367/X _5525_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT repeater367/X _5457_/B1 (0.013::0.013) (0.013::0.013)) + (INTERCONNECT repeater367/X _5258_/B1 (0.094::0.094) (0.088::0.088)) + (INTERCONNECT repeater367/X _5266_/B1 (0.093::0.093) (0.087::0.087)) + (INTERCONNECT repeater367/X _4511_/B1 (0.094::0.094) (0.088::0.088)) + (INTERCONNECT repeater367/X _5230_/A0 (0.076::0.076) (0.071::0.071)) + (INTERCONNECT repeater367/X _5487_/B1 (0.084::0.084) (0.079::0.079)) + (INTERCONNECT repeater367/X _5967_/B1 (0.087::0.087) (0.082::0.082)) + (INTERCONNECT repeater367/X _5239_/B1 (0.090::0.090) (0.084::0.084)) + (INTERCONNECT repeater367/X _4555_/A0 (0.092::0.092) (0.086::0.086)) + (INTERCONNECT repeater367/X _5923_/B1 (0.091::0.091) (0.085::0.085)) + (INTERCONNECT repeater367/X _5120_/B1 (0.091::0.091) (0.085::0.085)) + (INTERCONNECT repeater367/X _5836_/B1 (0.090::0.090) (0.085::0.085)) + (INTERCONNECT repeater367/X _4520_/A0 (0.093::0.093) (0.087::0.087)) + (INTERCONNECT repeater367/X _4534_/B1 (0.092::0.092) (0.087::0.087)) + (INTERCONNECT repeater367/X _4538_/A0 (0.093::0.093) (0.087::0.087)) + (INTERCONNECT repeater367/X _4626_/A0 (0.094::0.094) (0.088::0.088)) + (INTERCONNECT repeater367/X _4600_/B1 (0.094::0.094) (0.088::0.088)) + (INTERCONNECT repeater367/X _4620_/B1 (0.094::0.094) (0.088::0.088)) + (INTERCONNECT repeater367/X _4610_/B1 (0.092::0.092) (0.086::0.086)) + (INTERCONNECT repeater367/X _5107_/B1 (0.089::0.089) (0.084::0.084)) + (INTERCONNECT repeater367/X _5343_/B1 (0.088::0.088) (0.082::0.082)) + (INTERCONNECT repeater367/X _4587_/B1 (0.089::0.089) (0.084::0.084)) + (INTERCONNECT repeater367/X _4574_/B1 (0.091::0.091) (0.086::0.086)) + (INTERCONNECT repeater367/X _4561_/A0 (0.092::0.092) (0.087::0.087)) + (INTERCONNECT repeater367/X _5128_/B1 (0.093::0.093) (0.087::0.087)) + (INTERCONNECT repeater367/X _5250_/B1 (0.093::0.093) (0.087::0.087)) + (INTERCONNECT repeater367/X _5297_/B1 (0.042::0.042) (0.038::0.038)) + (INTERCONNECT repeater367/X _5571_/B1 (0.048::0.048) (0.044::0.044)) + (INTERCONNECT repeater367/X _5468_/B1 (0.059::0.059) (0.054::0.054)) + (INTERCONNECT repeater367/X _5087_/B1 (0.096::0.096) (0.090::0.090)) + (INTERCONNECT repeater367/X _5796_/B1 (0.094::0.094) (0.088::0.088)) + (INTERCONNECT repeater367/X _4501_/B1 (0.095::0.095) (0.089::0.089)) + (INTERCONNECT repeater367/X _5403_/B1 (0.096::0.096) (0.090::0.090)) + (INTERCONNECT repeater367/X _8814_/B1 (0.096::0.096) (0.090::0.090)) + (INTERCONNECT repeater367/X _5335_/B1 (0.029::0.029) (0.028::0.028)) + (INTERCONNECT repeater367/X _5495_/B1 (0.032::0.032) (0.030::0.030)) + (INTERCONNECT repeater367/X _5948_/B1 (0.097::0.097) (0.091::0.091)) + (INTERCONNECT repeater368/X _5381_/B1 (0.006::0.006) (0.006::0.006)) + (INTERCONNECT repeater368/X _5277_/B1 (0.040::0.040) (0.037::0.037)) + (INTERCONNECT repeater368/X _4477_/A0 (0.044::0.044) (0.041::0.041)) + (INTERCONNECT repeater368/X _5563_/B1 (0.046::0.046) (0.043::0.043)) + (INTERCONNECT repeater368/X _5749_/B1 (0.048::0.048) (0.045::0.045)) + (INTERCONNECT repeater368/X _5817_/B1 (0.051::0.051) (0.047::0.047)) + (INTERCONNECT repeater368/X _5678_/B1 (0.053::0.053) (0.049::0.049)) + (INTERCONNECT repeater368/X _5419_/B1 (0.060::0.060) (0.055::0.055)) + (INTERCONNECT repeater368/X repeater367/A (0.062::0.062) (0.057::0.057)) + (INTERCONNECT repeater368/X _5609_/B1 (0.059::0.059) (0.054::0.054)) + (INTERCONNECT repeater368/X _5775_/B1 (0.059::0.059) (0.054::0.054)) + (INTERCONNECT repeater368/X _5327_/B1 (0.038::0.038) (0.035::0.035)) + (INTERCONNECT repeater368/X _5593_/B1 (0.041::0.041) (0.038::0.038)) + (INTERCONNECT repeater368/X _5978_/B1 (0.050::0.050) (0.046::0.046)) + (INTERCONNECT repeater368/X _5099_/B1 (0.055::0.055) (0.051::0.051)) + (INTERCONNECT repeater368/X _5807_/B1 (0.057::0.057) (0.052::0.052)) + (INTERCONNECT repeater368/X _5155_/B1 (0.075::0.075) (0.070::0.070)) + (INTERCONNECT repeater368/X _8924_/A1 (0.075::0.075) (0.070::0.070)) + (INTERCONNECT repeater368/X _5847_/B1 (0.082::0.082) (0.077::0.077)) + (INTERCONNECT repeater368/X _5582_/B1 (0.083::0.083) (0.077::0.077)) + (INTERCONNECT repeater368/X _5828_/B1 (0.084::0.084) (0.079::0.079)) + (INTERCONNECT repeater368/X _5544_/B1 (0.089::0.089) (0.083::0.083)) + (INTERCONNECT repeater368/X _5882_/B1 (0.093::0.093) (0.087::0.087)) + (INTERCONNECT repeater368/X _5959_/B1 (0.098::0.098) (0.092::0.092)) + (INTERCONNECT repeater368/X _5915_/B1 (0.100::0.100) (0.094::0.094)) + (INTERCONNECT repeater368/X _5506_/B1 (0.105::0.105) (0.099::0.099)) + (INTERCONNECT repeater368/X _5055_/B1 (0.109::0.109) (0.102::0.102)) + (INTERCONNECT repeater368/X _8930_/A1 (0.111::0.111) (0.104::0.104)) + (INTERCONNECT repeater368/X _5144_/B1 (0.111::0.111) (0.104::0.104)) + (INTERCONNECT repeater368/X _6058_/B1 (0.114::0.114) (0.107::0.107)) + (INTERCONNECT repeater368/X _5023_/B1 (0.107::0.107) (0.101::0.101)) + (INTERCONNECT repeater368/X _5786_/B1 (0.105::0.105) (0.099::0.099)) + (INTERCONNECT repeater368/X _5670_/B1 (0.015::0.015) (0.015::0.015)) + (INTERCONNECT repeater368/X _5430_/B1 (0.019::0.019) (0.018::0.018)) + (INTERCONNECT repeater368/X _5555_/B1 (0.031::0.031) (0.029::0.029)) + (INTERCONNECT repeater368/X ANTENNA_197/DIODE (0.113::0.113) (0.107::0.107)) + (INTERCONNECT repeater369/X _9669_/RESET_B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT repeater369/X _9246_/SET_B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT repeater369/X _9668_/SET_B (0.019::0.019) (0.018::0.018)) + (INTERCONNECT repeater369/X _9667_/SET_B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT repeater369/X _9126_/SET_B (0.034::0.034) (0.033::0.033)) + (INTERCONNECT repeater369/X _9128_/RESET_B (0.033::0.033) (0.031::0.031)) + (INTERCONNECT repeater369/X _9109_/RESET_B (0.057::0.057) (0.054::0.054)) + (INTERCONNECT repeater369/X _9108_/RESET_B (0.057::0.057) (0.054::0.054)) + (INTERCONNECT repeater369/X _9112_/RESET_B (0.063::0.063) (0.060::0.060)) + (INTERCONNECT repeater369/X _9107_/SET_B (0.069::0.069) (0.066::0.066)) + (INTERCONNECT repeater369/X _9113_/RESET_B (0.076::0.076) (0.073::0.073)) + (INTERCONNECT repeater369/X _9106_/SET_B (0.076::0.076) (0.073::0.073)) + (INTERCONNECT repeater369/X _9193_/RESET_B (0.080::0.080) (0.077::0.077)) + (INTERCONNECT repeater369/X _9353_/RESET_B (0.083::0.083) (0.080::0.080)) + (INTERCONNECT repeater369/X _9192_/RESET_B (0.083::0.083) (0.080::0.080)) + (INTERCONNECT repeater369/X _9347_/SET_B (0.086::0.086) (0.083::0.083)) + (INTERCONNECT repeater369/X _9191_/SET_B (0.087::0.087) (0.084::0.084)) + (INTERCONNECT repeater369/X _9195_/RESET_B (0.087::0.087) (0.084::0.084)) + (INTERCONNECT repeater369/X _9196_/RESET_B (0.089::0.089) (0.086::0.086)) + (INTERCONNECT repeater369/X _9349_/RESET_B (0.089::0.089) (0.086::0.086)) + (INTERCONNECT repeater369/X _9352_/RESET_B (0.089::0.089) (0.086::0.086)) + (INTERCONNECT repeater369/X _9348_/RESET_B (0.089::0.089) (0.086::0.086)) + (INTERCONNECT repeater369/X _9177_/SET_B (0.094::0.094) (0.091::0.091)) + (INTERCONNECT repeater369/X _9178_/SET_B (0.095::0.095) (0.092::0.092)) + (INTERCONNECT repeater369/X _9181_/RESET_B (0.096::0.096) (0.093::0.093)) + (INTERCONNECT repeater369/X _9180_/RESET_B (0.096::0.096) (0.093::0.093)) + (INTERCONNECT repeater369/X _9570_/RESET_B (0.096::0.096) (0.093::0.093)) + (INTERCONNECT repeater369/X _9183_/RESET_B (0.097::0.097) (0.093::0.093)) + (INTERCONNECT repeater369/X _9610_/RESET_B (0.097::0.097) (0.093::0.093)) + (INTERCONNECT repeater369/X _9320_/SET_B (0.096::0.096) (0.093::0.093)) + (INTERCONNECT repeater369/X _9325_/RESET_B (0.096::0.096) (0.093::0.093)) + (INTERCONNECT repeater369/X _9182_/RESET_B (0.094::0.094) (0.091::0.091)) + (INTERCONNECT repeater369/X _9179_/RESET_B (0.097::0.097) (0.094::0.094)) + (INTERCONNECT repeater369/X _9184_/RESET_B (0.096::0.096) (0.093::0.093)) + (INTERCONNECT repeater369/X _9190_/SET_B (0.090::0.090) (0.087::0.087)) + (INTERCONNECT repeater369/X _9650_/RESET_B (0.091::0.091) (0.088::0.088)) + (INTERCONNECT repeater369/X _9651_/RESET_B (0.092::0.092) (0.089::0.089)) + (INTERCONNECT repeater369/X _9653_/RESET_B (0.093::0.093) (0.090::0.090)) + (INTERCONNECT repeater369/X _9648_/RESET_B (0.093::0.093) (0.090::0.090)) + (INTERCONNECT repeater369/X _9649_/RESET_B (0.093::0.093) (0.090::0.090)) + (INTERCONNECT repeater369/X _9378_/RESET_B (0.076::0.076) (0.073::0.073)) + (INTERCONNECT repeater369/X _9374_/RESET_B (0.076::0.076) (0.073::0.073)) + (INTERCONNECT repeater369/X _9194_/RESET_B (0.078::0.078) (0.075::0.075)) + (INTERCONNECT repeater369/X _9197_/RESET_B (0.081::0.081) (0.078::0.078)) + (INTERCONNECT repeater369/X _9125_/SET_B (0.071::0.071) (0.068::0.068)) + (INTERCONNECT repeater369/X _9377_/RESET_B (0.073::0.073) (0.070::0.070)) + (INTERCONNECT repeater369/X _9111_/RESET_B (0.067::0.067) (0.064::0.064)) + (INTERCONNECT repeater369/X _9224_/RESET_B (0.066::0.066) (0.063::0.063)) + (INTERCONNECT repeater369/X _9218_/SET_B (0.058::0.058) (0.055::0.055)) + (INTERCONNECT repeater369/X _9225_/RESET_B (0.061::0.061) (0.058::0.058)) + (INTERCONNECT repeater369/X _9110_/RESET_B (0.057::0.057) (0.054::0.054)) + (INTERCONNECT repeater369/X _9373_/SET_B (0.057::0.057) (0.054::0.054)) + (INTERCONNECT repeater369/X _9379_/RESET_B (0.045::0.045) (0.043::0.043)) + (INTERCONNECT repeater369/X _9376_/RESET_B (0.053::0.053) (0.050::0.050)) + (INTERCONNECT repeater369/X _9372_/SET_B (0.052::0.052) (0.049::0.049)) + (INTERCONNECT repeater369/X _9375_/RESET_B (0.060::0.060) (0.057::0.057)) + (INTERCONNECT repeater369/X _9127_/RESET_B (0.066::0.066) (0.063::0.063)) + (INTERCONNECT repeater369/X _9130_/RESET_B (0.066::0.066) (0.063::0.063)) + (INTERCONNECT repeater369/X _9132_/RESET_B (0.073::0.073) (0.070::0.070)) + (INTERCONNECT repeater369/X _9129_/RESET_B (0.039::0.039) (0.037::0.037)) + (INTERCONNECT repeater369/X _9131_/RESET_B (0.032::0.032) (0.031::0.031)) + (INTERCONNECT repeater370/X _9121_/RESET_B (0.042::0.042) (0.040::0.040)) + (INTERCONNECT repeater370/X _9123_/RESET_B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT repeater370/X _9778_/SET_B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT repeater370/X _9780_/RESET_B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT repeater370/X _9443_/SET_B (0.024::0.024) (0.023::0.023)) + (INTERCONNECT repeater370/X _9785_/RESET_B (0.018::0.018) (0.018::0.018)) + (INTERCONNECT repeater370/X _9782_/RESET_B (0.042::0.042) (0.040::0.040)) + (INTERCONNECT repeater370/X _9781_/RESET_B (0.042::0.042) (0.039::0.039)) + (INTERCONNECT repeater370/X _9442_/SET_B (0.041::0.041) (0.039::0.039)) + (INTERCONNECT repeater370/X _9445_/RESET_B (0.039::0.039) (0.037::0.037)) + (INTERCONNECT repeater370/X _9254_/RESET_B (0.046::0.046) (0.043::0.043)) + (INTERCONNECT repeater370/X _9253_/RESET_B (0.053::0.053) (0.050::0.050)) + (INTERCONNECT repeater370/X _9252_/SET_B (0.064::0.064) (0.060::0.060)) + (INTERCONNECT repeater370/X _9245_/SET_B (0.063::0.063) (0.059::0.059)) + (INTERCONNECT repeater370/X _9053_/SET_B (0.070::0.070) (0.067::0.067)) + (INTERCONNECT repeater370/X _9250_/RESET_B (0.076::0.076) (0.072::0.072)) + (INTERCONNECT repeater370/X _9249_/SET_B (0.079::0.079) (0.075::0.075)) + (INTERCONNECT repeater370/X _9673_/RESET_B (0.089::0.089) (0.086::0.086)) + (INTERCONNECT repeater370/X repeater369/A (0.087::0.087) (0.083::0.083)) + (INTERCONNECT repeater370/X _9670_/RESET_B (0.094::0.094) (0.090::0.090)) + (INTERCONNECT repeater370/X _9584_/RESET_B (0.094::0.094) (0.091::0.091)) + (INTERCONNECT repeater370/X _9623_/RESET_B (0.104::0.104) (0.101::0.101)) + (INTERCONNECT repeater370/X _9671_/RESET_B (0.098::0.098) (0.094::0.094)) + (INTERCONNECT repeater370/X _9625_/RESET_B (0.098::0.098) (0.094::0.094)) + (INTERCONNECT repeater370/X _9583_/RESET_B (0.104::0.104) (0.100::0.100)) + (INTERCONNECT repeater370/X _9585_/RESET_B (0.103::0.103) (0.099::0.099)) + (INTERCONNECT repeater370/X _9674_/RESET_B (0.102::0.102) (0.098::0.098)) + (INTERCONNECT repeater370/X _9672_/RESET_B (0.103::0.103) (0.099::0.099)) + (INTERCONNECT repeater370/X _9695_/RESET_B (0.112::0.112) (0.109::0.109)) + (INTERCONNECT repeater370/X _9688_/SET_B (0.115::0.115) (0.111::0.111)) + (INTERCONNECT repeater370/X _9222_/RESET_B (0.119::0.119) (0.115::0.115)) + (INTERCONNECT repeater370/X _9221_/RESET_B (0.117::0.117) (0.113::0.113)) + (INTERCONNECT repeater370/X _9220_/RESET_B (0.121::0.121) (0.117::0.117)) + (INTERCONNECT repeater370/X _9219_/SET_B (0.123::0.123) (0.119::0.119)) + (INTERCONNECT repeater370/X _9223_/RESET_B (0.125::0.125) (0.121::0.121)) + (INTERCONNECT repeater370/X _9154_/RESET_B (0.127::0.127) (0.123::0.123)) + (INTERCONNECT repeater370/X _9155_/RESET_B (0.127::0.127) (0.123::0.123)) + (INTERCONNECT repeater370/X _9158_/RESET_B (0.129::0.129) (0.124::0.124)) + (INTERCONNECT repeater370/X _9156_/RESET_B (0.130::0.130) (0.125::0.125)) + (INTERCONNECT repeater370/X _9152_/SET_B (0.131::0.131) (0.127::0.127)) + (INTERCONNECT repeater370/X _9153_/RESET_B (0.134::0.134) (0.129::0.129)) + (INTERCONNECT repeater370/X _9321_/SET_B (0.137::0.137) (0.132::0.132)) + (INTERCONNECT repeater370/X _9327_/RESET_B (0.137::0.137) (0.132::0.132)) + (INTERCONNECT repeater370/X _9322_/RESET_B (0.139::0.139) (0.134::0.134)) + (INTERCONNECT repeater370/X _9324_/RESET_B (0.140::0.140) (0.136::0.136)) + (INTERCONNECT repeater370/X _9323_/RESET_B (0.141::0.141) (0.136::0.136)) + (INTERCONNECT repeater370/X _9571_/RESET_B (0.141::0.141) (0.137::0.137)) + (INTERCONNECT repeater370/X _9573_/RESET_B (0.142::0.142) (0.137::0.137)) + (INTERCONNECT repeater370/X _9611_/RESET_B (0.142::0.142) (0.137::0.137)) + (INTERCONNECT repeater370/X _9613_/RESET_B (0.142::0.142) (0.137::0.137)) + (INTERCONNECT repeater370/X _9157_/RESET_B (0.131::0.131) (0.127::0.127)) + (INTERCONNECT repeater370/X _9151_/SET_B (0.133::0.133) (0.129::0.129)) + (INTERCONNECT repeater370/X _9350_/RESET_B (0.136::0.136) (0.131::0.131)) + (INTERCONNECT repeater370/X _9346_/SET_B (0.136::0.136) (0.132::0.132)) + (INTERCONNECT repeater370/X _9326_/RESET_B (0.139::0.139) (0.135::0.135)) + (INTERCONNECT repeater370/X _9351_/RESET_B (0.138::0.138) (0.133::0.133)) + (INTERCONNECT repeater370/X _9690_/RESET_B (0.115::0.115) (0.111::0.111)) + (INTERCONNECT repeater370/X _9693_/RESET_B (0.114::0.114) (0.110::0.110)) + (INTERCONNECT repeater370/X _9694_/RESET_B (0.100::0.100) (0.097::0.097)) + (INTERCONNECT repeater370/X _9689_/SET_B (0.102::0.102) (0.099::0.099)) + (INTERCONNECT repeater370/X _9691_/RESET_B (0.110::0.110) (0.107::0.107)) + (INTERCONNECT repeater370/X _9692_/RESET_B (0.106::0.106) (0.102::0.102)) + (INTERCONNECT repeater370/X _9578_/RESET_B (0.080::0.080) (0.077::0.077)) + (INTERCONNECT repeater370/X _9624_/RESET_B (0.093::0.093) (0.089::0.089)) + (INTERCONNECT repeater370/X _9582_/RESET_B (0.104::0.104) (0.101::0.101)) + (INTERCONNECT repeater370/X _9622_/RESET_B (0.105::0.105) (0.101::0.101)) + (INTERCONNECT repeater370/X _9251_/RESET_B (0.070::0.070) (0.066::0.066)) + (INTERCONNECT repeater370/X _9579_/RESET_B (0.070::0.070) (0.067::0.067)) + (INTERCONNECT repeater370/X _9618_/RESET_B (0.105::0.105) (0.102::0.102)) + (INTERCONNECT repeater370/X _9581_/RESET_B (0.105::0.105) (0.101::0.101)) + (INTERCONNECT repeater370/X _9621_/RESET_B (0.105::0.105) (0.102::0.102)) + (INTERCONNECT repeater370/X _9203_/RESET_B (0.059::0.059) (0.055::0.055)) + (INTERCONNECT repeater370/X _9791_/RESET_B (0.059::0.059) (0.055::0.055)) + (INTERCONNECT repeater370/X _9444_/RESET_B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT repeater370/X _9447_/RESET_B (0.035::0.035) (0.034::0.034)) + (INTERCONNECT repeater371/X _9495_/SET_B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT repeater371/X _9094_/SET_B (0.015::0.015) (0.014::0.014)) + (INTERCONNECT repeater371/X _9093_/SET_B (0.016::0.016) (0.015::0.015)) + (INTERCONNECT repeater371/X _9096_/RESET_B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT repeater371/X _9097_/RESET_B (0.017::0.017) (0.016::0.016)) + (INTERCONNECT repeater371/X _9318_/RESET_B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT repeater371/X _9499_/RESET_B (0.018::0.018) (0.017::0.017)) + (INTERCONNECT repeater371/X _9314_/RESET_B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT repeater371/X _9095_/RESET_B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT repeater371/X _9500_/RESET_B (0.023::0.023) (0.023::0.023)) + (INTERCONNECT repeater371/X _9319_/RESET_B (0.022::0.022) (0.022::0.022)) + (INTERCONNECT repeater371/X _9501_/RESET_B (0.026::0.026) (0.025::0.025)) + (INTERCONNECT repeater371/X _9099_/RESET_B (0.031::0.031) (0.030::0.030)) + (INTERCONNECT repeater371/X _9098_/RESET_B (0.038::0.038) (0.036::0.036)) + (INTERCONNECT repeater371/X _9652_/RESET_B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT repeater371/X _9646_/SET_B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT repeater371/X _9647_/SET_B (0.017::0.017) (0.016::0.016)) + (INTERCONNECT repeater371/X _9210_/RESET_B (0.051::0.051) (0.048::0.048)) + (INTERCONNECT repeater371/X _9206_/RESET_B (0.051::0.051) (0.048::0.048)) + (INTERCONNECT repeater371/X _9208_/RESET_B (0.049::0.049) (0.046::0.046)) + (INTERCONNECT repeater371/X _9207_/RESET_B (0.050::0.050) (0.047::0.047)) + (INTERCONNECT repeater371/X _9575_/RESET_B (0.050::0.050) (0.047::0.047)) + (INTERCONNECT repeater371/X _9572_/RESET_B (0.050::0.050) (0.047::0.047)) + (INTERCONNECT repeater371/X _9615_/RESET_B (0.050::0.050) (0.047::0.047)) + (INTERCONNECT repeater371/X _9081_/RESET_B (0.050::0.050) (0.047::0.047)) + (INTERCONNECT repeater371/X _9788_/RESET_B (0.051::0.051) (0.048::0.048)) + (INTERCONNECT repeater371/X _9576_/RESET_B (0.051::0.051) (0.048::0.048)) + (INTERCONNECT repeater371/X _9612_/RESET_B (0.051::0.051) (0.048::0.048)) + (INTERCONNECT repeater371/X _9100_/RESET_B (0.035::0.035) (0.033::0.033)) + (INTERCONNECT repeater371/X _9211_/RESET_B (0.042::0.042) (0.040::0.040)) + (INTERCONNECT repeater371/X _9204_/SET_B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT repeater371/X _9205_/SET_B (0.045::0.045) (0.043::0.043)) + (INTERCONNECT repeater371/X _9209_/RESET_B (0.046::0.046) (0.044::0.044)) + (INTERCONNECT repeater371/X _9343_/RESET_B (0.033::0.033) (0.032::0.032)) + (INTERCONNECT repeater371/X _9341_/RESET_B (0.034::0.034) (0.032::0.032)) + (INTERCONNECT repeater371/X _9345_/RESET_B (0.034::0.034) (0.032::0.032)) + (INTERCONNECT repeater371/X _9369_/RESET_B (0.034::0.034) (0.032::0.032)) + (INTERCONNECT repeater371/X _9370_/RESET_B (0.033::0.033) (0.032::0.032)) + (INTERCONNECT repeater371/X _9371_/RESET_B (0.033::0.033) (0.032::0.032)) + (INTERCONNECT repeater371/X _9299_/RESET_B (0.052::0.052) (0.049::0.049)) + (INTERCONNECT repeater371/X _9296_/RESET_B (0.052::0.052) (0.048::0.048)) + (INTERCONNECT repeater371/X _9298_/RESET_B (0.052::0.052) (0.049::0.049)) + (INTERCONNECT repeater371/X _9301_/RESET_B (0.054::0.054) (0.051::0.051)) + (INTERCONNECT repeater371/X _9300_/RESET_B (0.054::0.054) (0.051::0.051)) + (INTERCONNECT repeater371/X _9297_/RESET_B (0.056::0.056) (0.053::0.053)) + (INTERCONNECT repeater371/X _9589_/RESET_B (0.057::0.057) (0.053::0.053)) + (INTERCONNECT repeater371/X _9609_/RESET_B (0.059::0.059) (0.055::0.055)) + (INTERCONNECT repeater371/X _9602_/RESET_B (0.059::0.059) (0.056::0.056)) + (INTERCONNECT repeater371/X _9561_/RESET_B (0.060::0.060) (0.056::0.056)) + (INTERCONNECT repeater371/X _9603_/RESET_B (0.060::0.060) (0.057::0.057)) + (INTERCONNECT repeater371/X _9562_/RESET_B (0.060::0.060) (0.057::0.057)) + (INTERCONNECT repeater371/X _9563_/RESET_B (0.061::0.061) (0.057::0.057)) + (INTERCONNECT repeater371/X _9564_/RESET_B (0.061::0.061) (0.057::0.057)) + (INTERCONNECT repeater371/X _9605_/RESET_B (0.061::0.061) (0.057::0.057)) + (INTERCONNECT repeater371/X _9604_/RESET_B (0.061::0.061) (0.057::0.057)) + (INTERCONNECT repeater371/X _9608_/RESET_B (0.061::0.061) (0.057::0.057)) + (INTERCONNECT repeater371/X _9614_/RESET_B (0.058::0.058) (0.054::0.054)) + (INTERCONNECT repeater371/X _9617_/RESET_B (0.058::0.058) (0.054::0.054)) + (INTERCONNECT repeater371/X _9786_/RESET_B (0.053::0.053) (0.050::0.050)) + (INTERCONNECT repeater371/X _9577_/RESET_B (0.055::0.055) (0.052::0.052)) + (INTERCONNECT repeater371/X _9574_/RESET_B (0.055::0.055) (0.052::0.052)) + (INTERCONNECT repeater371/X _9616_/RESET_B (0.055::0.055) (0.052::0.052)) + (INTERCONNECT repeater372/X _9265_/RESET_B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT repeater372/X _9198_/RESET_B (0.014::0.014) (0.013::0.013)) + (INTERCONNECT repeater372/X _9200_/SET_B (0.011::0.011) (0.010::0.010)) + (INTERCONNECT repeater372/X _9199_/RESET_B (0.020::0.020) (0.020::0.020)) + (INTERCONNECT repeater372/X _9163_/RESET_B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT repeater372/X _9160_/RESET_B (0.045::0.045) (0.042::0.042)) + (INTERCONNECT repeater372/X _9354_/RESET_B (0.051::0.051) (0.049::0.049)) + (INTERCONNECT repeater372/X _9356_/SET_B (0.070::0.070) (0.068::0.068)) + (INTERCONNECT repeater372/X _9357_/RESET_B (0.070::0.070) (0.068::0.068)) + (INTERCONNECT repeater372/X _9459_/RESET_B (0.070::0.070) (0.067::0.067)) + (INTERCONNECT repeater372/X _9528_/SET_B (0.069::0.069) (0.067::0.067)) + (INTERCONNECT repeater372/X _9458_/RESET_B (0.075::0.075) (0.073::0.073)) + (INTERCONNECT repeater372/X _9461_/RESET_B (0.075::0.075) (0.073::0.073)) + (INTERCONNECT repeater372/X _9531_/RESET_B (0.075::0.075) (0.073::0.073)) + (INTERCONNECT repeater372/X _9273_/RESET_B (0.082::0.082) (0.080::0.080)) + (INTERCONNECT repeater372/X _9270_/SET_B (0.087::0.087) (0.085::0.085)) + (INTERCONNECT repeater372/X _9424_/SET_B (0.087::0.087) (0.085::0.085)) + (INTERCONNECT repeater372/X _9340_/RESET_B (0.087::0.087) (0.085::0.085)) + (INTERCONNECT repeater372/X _9426_/RESET_B (0.092::0.092) (0.089::0.089)) + (INTERCONNECT repeater372/X _9427_/RESET_B (0.092::0.092) (0.090::0.090)) + (INTERCONNECT repeater372/X _9506_/RESET_B (0.098::0.098) (0.096::0.096)) + (INTERCONNECT repeater372/X _9232_/SET_B (0.101::0.101) (0.099::0.099)) + (INTERCONNECT repeater372/X _9235_/RESET_B (0.104::0.104) (0.102::0.102)) + (INTERCONNECT repeater372/X _9504_/RESET_B (0.106::0.106) (0.104::0.104)) + (INTERCONNECT repeater372/X _9288_/RESET_B (0.109::0.109) (0.107::0.107)) + (INTERCONNECT repeater372/X _9290_/RESET_B (0.107::0.107) (0.105::0.105)) + (INTERCONNECT repeater372/X _9509_/RESET_B (0.107::0.107) (0.104::0.104)) + (INTERCONNECT repeater372/X _9508_/RESET_B (0.108::0.108) (0.106::0.106)) + (INTERCONNECT repeater372/X _9292_/RESET_B (0.108::0.108) (0.106::0.106)) + (INTERCONNECT repeater372/X _9507_/RESET_B (0.108::0.108) (0.106::0.106)) + (INTERCONNECT repeater372/X _9527_/RESET_B (0.096::0.096) (0.094::0.094)) + (INTERCONNECT repeater372/X _9526_/RESET_B (0.095::0.095) (0.093::0.093)) + (INTERCONNECT repeater372/X _9502_/SET_B (0.095::0.095) (0.093::0.093)) + (INTERCONNECT repeater372/X _9522_/RESET_B (0.095::0.095) (0.093::0.093)) + (INTERCONNECT repeater372/X _9505_/RESET_B (0.106::0.106) (0.104::0.104)) + (INTERCONNECT repeater372/X _9483_/RESET_B (0.112::0.112) (0.110::0.110)) + (INTERCONNECT repeater372/X _9450_/SET_B (0.112::0.112) (0.110::0.110)) + (INTERCONNECT repeater372/X _9480_/RESET_B (0.113::0.113) (0.111::0.111)) + (INTERCONNECT repeater372/X _9451_/SET_B (0.113::0.113) (0.110::0.110)) + (INTERCONNECT repeater372/X _9394_/RESET_B (0.111::0.111) (0.109::0.109)) + (INTERCONNECT repeater372/X _9453_/RESET_B (0.111::0.111) (0.109::0.109)) + (INTERCONNECT repeater372/X _9455_/RESET_B (0.112::0.112) (0.109::0.109)) + (INTERCONNECT repeater372/X _9395_/RESET_B (0.112::0.112) (0.109::0.109)) + (INTERCONNECT repeater372/X _9396_/RESET_B (0.112::0.112) (0.109::0.109)) + (INTERCONNECT repeater372/X _9452_/RESET_B (0.113::0.113) (0.111::0.111)) + (INTERCONNECT repeater372/X _9482_/RESET_B (0.113::0.113) (0.111::0.111)) + (INTERCONNECT repeater372/X _9481_/RESET_B (0.113::0.113) (0.111::0.111)) + (INTERCONNECT repeater372/X _9478_/RESET_B (0.113::0.113) (0.111::0.111)) + (INTERCONNECT repeater372/X _9390_/SET_B (0.113::0.113) (0.111::0.111)) + (INTERCONNECT repeater372/X _9392_/RESET_B (0.113::0.113) (0.111::0.111)) + (INTERCONNECT repeater372/X _9393_/RESET_B (0.113::0.113) (0.110::0.110)) + (INTERCONNECT repeater372/X _9596_/RESET_B (0.113::0.113) (0.110::0.110)) + (INTERCONNECT repeater372/X _9597_/RESET_B (0.113::0.113) (0.110::0.110)) + (INTERCONNECT repeater372/X _9599_/RESET_B (0.113::0.113) (0.111::0.111)) + (INTERCONNECT repeater372/X _9454_/RESET_B (0.112::0.112) (0.110::0.110)) + (INTERCONNECT repeater372/X _9287_/SET_B (0.111::0.111) (0.108::0.108)) + (INTERCONNECT repeater372/X _9391_/SET_B (0.111::0.111) (0.109::0.109)) + (INTERCONNECT repeater372/X _9456_/RESET_B (0.110::0.110) (0.107::0.107)) + (INTERCONNECT repeater372/X _9457_/RESET_B (0.109::0.109) (0.107::0.107)) + (INTERCONNECT repeater372/X _9397_/RESET_B (0.110::0.110) (0.108::0.108)) + (INTERCONNECT repeater372/X _9289_/RESET_B (0.110::0.110) (0.108::0.108)) + (INTERCONNECT repeater372/X _9595_/RESET_B (0.112::0.112) (0.110::0.110)) + (INTERCONNECT repeater372/X _9594_/RESET_B (0.112::0.112) (0.110::0.110)) + (INTERCONNECT repeater372/X _9598_/RESET_B (0.112::0.112) (0.110::0.110)) + (INTERCONNECT repeater372/X _9286_/SET_B (0.108::0.108) (0.106::0.106)) + (INTERCONNECT repeater372/X _9503_/SET_B (0.106::0.106) (0.104::0.104)) + (INTERCONNECT repeater372/X _9460_/SET_B (0.063::0.063) (0.060::0.060)) + (INTERCONNECT repeater372/X _9462_/RESET_B (0.059::0.059) (0.057::0.057)) + (INTERCONNECT repeater372/X _9532_/RESET_B (0.065::0.065) (0.063::0.063)) + (INTERCONNECT repeater372/X _9243_/RESET_B (0.041::0.041) (0.039::0.039)) + (INTERCONNECT repeater372/X _9337_/RESET_B (0.038::0.038) (0.036::0.036)) + (INTERCONNECT repeater372/X _9335_/SET_B (0.045::0.045) (0.042::0.042)) + (INTERCONNECT repeater372/X _9336_/RESET_B (0.044::0.044) (0.041::0.041)) + (INTERCONNECT repeater373/X _9226_/RESET_B (0.009::0.009) (0.008::0.008)) + (INTERCONNECT repeater373/X _9202_/RESET_B (0.018::0.018) (0.017::0.017)) + (INTERCONNECT repeater373/X _9201_/RESET_B (0.024::0.024) (0.024::0.024)) + (INTERCONNECT repeater373/X _9242_/SET_B (0.035::0.035) (0.033::0.033)) + (INTERCONNECT repeater373/X _9244_/RESET_B (0.041::0.041) (0.039::0.039)) + (INTERCONNECT repeater373/X _9240_/RESET_B (0.050::0.050) (0.047::0.047)) + (INTERCONNECT repeater373/X _9334_/RESET_B (0.050::0.050) (0.047::0.047)) + (INTERCONNECT repeater373/X _9333_/RESET_B (0.056::0.056) (0.053::0.053)) + (INTERCONNECT repeater373/X _9789_/RESET_B (0.066::0.066) (0.063::0.063)) + (INTERCONNECT repeater373/X _9533_/RESET_B (0.076::0.076) (0.074::0.074)) + (INTERCONNECT repeater373/X _9534_/RESET_B (0.083::0.083) (0.081::0.081)) + (INTERCONNECT repeater373/X _9535_/RESET_B (0.093::0.093) (0.091::0.091)) + (INTERCONNECT repeater373/X _9496_/RESET_B (0.097::0.097) (0.095::0.095)) + (INTERCONNECT repeater373/X _9313_/SET_B (0.099::0.099) (0.097::0.097)) + (INTERCONNECT repeater373/X _9312_/SET_B (0.109::0.109) (0.107::0.107)) + (INTERCONNECT repeater373/X _9315_/RESET_B (0.113::0.113) (0.111::0.111)) + (INTERCONNECT repeater373/X _9342_/RESET_B (0.112::0.112) (0.110::0.110)) + (INTERCONNECT repeater373/X _9368_/RESET_B (0.124::0.124) (0.122::0.122)) + (INTERCONNECT repeater373/X _9317_/RESET_B (0.143::0.143) (0.139::0.139)) + (INTERCONNECT repeater373/X _9497_/RESET_B (0.143::0.143) (0.139::0.139)) + (INTERCONNECT repeater373/X _9430_/RESET_B (0.125::0.125) (0.123::0.123)) + (INTERCONNECT repeater373/X _9344_/RESET_B (0.143::0.143) (0.139::0.139)) + (INTERCONNECT repeater373/X _9272_/RESET_B (0.142::0.142) (0.139::0.139)) + (INTERCONNECT repeater373/X _9274_/RESET_B (0.142::0.142) (0.138::0.138)) + (INTERCONNECT repeater373/X _9276_/RESET_B (0.141::0.141) (0.138::0.138)) + (INTERCONNECT repeater373/X _9234_/RESET_B (0.153::0.153) (0.149::0.149)) + (INTERCONNECT repeater373/X _9367_/RESET_B (0.153::0.153) (0.149::0.149)) + (INTERCONNECT repeater373/X _9294_/SET_B (0.153::0.153) (0.148::0.148)) + (INTERCONNECT repeater373/X _9295_/SET_B (0.153::0.153) (0.148::0.148)) + (INTERCONNECT repeater373/X _9429_/RESET_B (0.131::0.131) (0.129::0.129)) + (INTERCONNECT repeater373/X _9431_/RESET_B (0.134::0.134) (0.131::0.131)) + (INTERCONNECT repeater373/X _9275_/RESET_B (0.139::0.139) (0.135::0.135)) + (INTERCONNECT repeater373/X _9237_/RESET_B (0.143::0.143) (0.139::0.139)) + (INTERCONNECT repeater373/X _9236_/RESET_B (0.144::0.144) (0.141::0.141)) + (INTERCONNECT repeater373/X _9238_/RESET_B (0.153::0.153) (0.148::0.148)) + (INTERCONNECT repeater373/X _9258_/RESET_B (0.153::0.153) (0.148::0.148)) + (INTERCONNECT repeater373/X _9263_/RESET_B (0.152::0.152) (0.148::0.148)) + (INTERCONNECT repeater373/X _9261_/RESET_B (0.152::0.152) (0.148::0.148)) + (INTERCONNECT repeater373/X _9259_/RESET_B (0.153::0.153) (0.148::0.148)) + (INTERCONNECT repeater373/X _9565_/RESET_B (0.155::0.155) (0.150::0.150)) + (INTERCONNECT repeater373/X _9591_/RESET_B (0.154::0.154) (0.150::0.150)) + (INTERCONNECT repeater373/X _9588_/RESET_B (0.155::0.155) (0.150::0.150)) + (INTERCONNECT repeater373/X _9568_/RESET_B (0.155::0.155) (0.150::0.150)) + (INTERCONNECT repeater373/X _9606_/RESET_B (0.155::0.155) (0.150::0.150)) + (INTERCONNECT repeater373/X _9293_/RESET_B (0.146::0.146) (0.143::0.143)) + (INTERCONNECT repeater373/X _9257_/SET_B (0.152::0.152) (0.148::0.148)) + (INTERCONNECT repeater373/X _9291_/RESET_B (0.147::0.147) (0.143::0.143)) + (INTERCONNECT repeater373/X _9256_/SET_B (0.153::0.153) (0.149::0.149)) + (INTERCONNECT repeater373/X _9262_/RESET_B (0.153::0.153) (0.149::0.149)) + (INTERCONNECT repeater373/X _9260_/RESET_B (0.154::0.154) (0.149::0.149)) + (INTERCONNECT repeater373/X _9601_/RESET_B (0.155::0.155) (0.151::0.151)) + (INTERCONNECT repeater373/X _9600_/RESET_B (0.156::0.156) (0.151::0.151)) + (INTERCONNECT repeater373/X _9592_/RESET_B (0.155::0.155) (0.151::0.151)) + (INTERCONNECT repeater373/X _9567_/RESET_B (0.155::0.155) (0.151::0.151)) + (INTERCONNECT repeater373/X _9593_/RESET_B (0.156::0.156) (0.151::0.151)) + (INTERCONNECT repeater373/X _9566_/RESET_B (0.156::0.156) (0.151::0.151)) + (INTERCONNECT repeater373/X _9590_/RESET_B (0.156::0.156) (0.151::0.151)) + (INTERCONNECT repeater373/X _9607_/RESET_B (0.156::0.156) (0.151::0.151)) + (INTERCONNECT repeater373/X repeater371/A (0.099::0.099) (0.097::0.097)) + (INTERCONNECT repeater373/X _9530_/RESET_B (0.099::0.099) (0.097::0.097)) + (INTERCONNECT repeater373/X _9529_/SET_B (0.106::0.106) (0.104::0.104)) + (INTERCONNECT repeater373/X _9494_/SET_B (0.103::0.103) (0.101::0.101)) + (INTERCONNECT repeater373/X _9338_/SET_B (0.106::0.106) (0.104::0.104)) + (INTERCONNECT repeater373/X _9498_/RESET_B (0.113::0.113) (0.110::0.110)) + (INTERCONNECT repeater373/X _9316_/RESET_B (0.112::0.112) (0.110::0.110)) + (INTERCONNECT repeater373/X _9339_/SET_B (0.117::0.117) (0.114::0.114)) + (INTERCONNECT repeater373/X _9425_/SET_B (0.129::0.129) (0.126::0.126)) + (INTERCONNECT repeater373/X _9231_/SET_B (0.129::0.129) (0.126::0.126)) + (INTERCONNECT repeater373/X _9233_/RESET_B (0.129::0.129) (0.126::0.126)) + (INTERCONNECT repeater373/X _9364_/SET_B (0.135::0.135) (0.132::0.132)) + (INTERCONNECT repeater373/X _9428_/RESET_B (0.118::0.118) (0.116::0.116)) + (INTERCONNECT repeater373/X _9365_/SET_B (0.121::0.121) (0.118::0.118)) + (INTERCONNECT repeater373/X _9366_/RESET_B (0.129::0.129) (0.126::0.126)) + (INTERCONNECT repeater374/X _9411_/RESET_B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT repeater374/X _9414_/RESET_B (0.021::0.021) (0.020::0.020)) + (INTERCONNECT repeater374/X _9413_/SET_B (0.023::0.023) (0.022::0.022)) + (INTERCONNECT repeater374/X _9304_/RESET_B (0.034::0.034) (0.032::0.032)) + (INTERCONNECT repeater374/X _9305_/SET_B (0.042::0.042) (0.039::0.039)) + (INTERCONNECT repeater374/X _9303_/RESET_B (0.041::0.041) (0.039::0.039)) + (INTERCONNECT repeater374/X _9306_/SET_B (0.050::0.050) (0.047::0.047)) + (INTERCONNECT repeater374/X _9228_/SET_B (0.046::0.046) (0.044::0.044)) + (INTERCONNECT repeater374/X _9302_/RESET_B (0.054::0.054) (0.051::0.051)) + (INTERCONNECT repeater374/X repeater373/A (0.055::0.055) (0.052::0.052)) + (INTERCONNECT repeater374/X _9267_/RESET_B (0.062::0.062) (0.059::0.059)) + (INTERCONNECT repeater374/X _9264_/RESET_B (0.068::0.068) (0.065::0.065)) + (INTERCONNECT repeater374/X _9268_/RESET_B (0.077::0.077) (0.073::0.073)) + (INTERCONNECT repeater374/X _9266_/SET_B (0.081::0.081) (0.077::0.077)) + (INTERCONNECT repeater374/X _9136_/RESET_B (0.091::0.091) (0.088::0.088)) + (INTERCONNECT repeater374/X _9137_/RESET_B (0.086::0.086) (0.082::0.082)) + (INTERCONNECT repeater374/X _9162_/RESET_B (0.085::0.085) (0.081::0.081)) + (INTERCONNECT repeater374/X _9134_/RESET_B (0.087::0.087) (0.084::0.084)) + (INTERCONNECT repeater374/X _9133_/RESET_B (0.101::0.101) (0.098::0.098)) + (INTERCONNECT repeater374/X _9307_/RESET_B (0.112::0.112) (0.109::0.109)) + (INTERCONNECT repeater374/X _9309_/SET_B (0.114::0.114) (0.111::0.111)) + (INTERCONNECT repeater374/X _9159_/RESET_B (0.099::0.099) (0.096::0.096)) + (INTERCONNECT repeater374/X _9161_/SET_B (0.099::0.099) (0.095::0.095)) + (INTERCONNECT repeater374/X _9135_/SET_B (0.095::0.095) (0.092::0.092)) + (INTERCONNECT repeater374/X _9311_/RESET_B (0.104::0.104) (0.100::0.100)) + (INTERCONNECT repeater374/X _9308_/RESET_B (0.112::0.112) (0.109::0.109)) + (INTERCONNECT repeater374/X _9310_/RESET_B (0.115::0.115) (0.112::0.112)) + (INTERCONNECT repeater374/X _9070_/SET_B (0.119::0.119) (0.116::0.116)) + (INTERCONNECT repeater374/X _9282_/RESET_B (0.118::0.118) (0.115::0.115)) + (INTERCONNECT repeater374/X _9284_/RESET_B (0.118::0.118) (0.115::0.115)) + (INTERCONNECT repeater374/X _9072_/RESET_B (0.123::0.123) (0.120::0.120)) + (INTERCONNECT repeater374/X _9074_/RESET_B (0.125::0.125) (0.121::0.121)) + (INTERCONNECT repeater374/X _9075_/RESET_B (0.128::0.128) (0.125::0.125)) + (INTERCONNECT repeater374/X _9071_/SET_B (0.134::0.134) (0.130::0.130)) + (INTERCONNECT repeater374/X _9076_/RESET_B (0.135::0.135) (0.131::0.131)) + (INTERCONNECT repeater374/X _9077_/RESET_B (0.138::0.138) (0.134::0.134)) + (INTERCONNECT repeater374/X _9469_/SET_B (0.152::0.152) (0.146::0.146)) + (INTERCONNECT repeater374/X _9420_/RESET_B (0.152::0.152) (0.146::0.146)) + (INTERCONNECT repeater374/X _9421_/RESET_B (0.151::0.151) (0.146::0.146)) + (INTERCONNECT repeater374/X _9471_/RESET_B (0.137::0.137) (0.133::0.133)) + (INTERCONNECT repeater374/X _9073_/RESET_B (0.140::0.140) (0.136::0.136)) + (INTERCONNECT repeater374/X _9683_/RESET_B (0.139::0.139) (0.135::0.135)) + (INTERCONNECT repeater374/X _9423_/RESET_B (0.151::0.151) (0.146::0.146)) + (INTERCONNECT repeater374/X _9525_/RESET_B (0.150::0.150) (0.145::0.145)) + (INTERCONNECT repeater374/X _9476_/SET_B (0.151::0.151) (0.146::0.146)) + (INTERCONNECT repeater374/X _9520_/SET_B (0.151::0.151) (0.146::0.146)) + (INTERCONNECT repeater374/X _9684_/RESET_B (0.140::0.140) (0.135::0.135)) + (INTERCONNECT repeater374/X _9687_/RESET_B (0.143::0.143) (0.138::0.138)) + (INTERCONNECT repeater374/X _9686_/RESET_B (0.146::0.146) (0.141::0.141)) + (INTERCONNECT repeater374/X _9417_/SET_B (0.148::0.148) (0.143::0.143)) + (INTERCONNECT repeater374/X _9422_/RESET_B (0.149::0.149) (0.144::0.144)) + (INTERCONNECT repeater374/X _9477_/SET_B (0.150::0.150) (0.145::0.145)) + (INTERCONNECT repeater374/X _9521_/SET_B (0.150::0.150) (0.145::0.145)) + (INTERCONNECT repeater374/X _9685_/SET_B (0.150::0.150) (0.145::0.145)) + (INTERCONNECT repeater374/X _9416_/SET_B (0.150::0.150) (0.145::0.145)) + (INTERCONNECT repeater374/X _9418_/RESET_B (0.150::0.150) (0.145::0.145)) + (INTERCONNECT repeater374/X _9419_/RESET_B (0.150::0.150) (0.145::0.145)) + (INTERCONNECT repeater374/X _9479_/RESET_B (0.150::0.150) (0.145::0.145)) + (INTERCONNECT repeater374/X _9523_/RESET_B (0.150::0.150) (0.145::0.145)) + (INTERCONNECT repeater374/X _9468_/SET_B (0.135::0.135) (0.131::0.131)) + (INTERCONNECT repeater374/X _9475_/RESET_B (0.152::0.152) (0.147::0.147)) + (INTERCONNECT repeater374/X _9524_/RESET_B (0.152::0.152) (0.147::0.147)) + (INTERCONNECT repeater374/X _9283_/SET_B (0.132::0.132) (0.128::0.128)) + (INTERCONNECT repeater374/X _9285_/RESET_B (0.131::0.131) (0.127::0.127)) + (INTERCONNECT repeater374/X _9472_/RESET_B (0.132::0.132) (0.128::0.128)) + (INTERCONNECT repeater374/X _9271_/RESET_B (0.153::0.153) (0.148::0.148)) + (INTERCONNECT repeater374/X _9474_/RESET_B (0.153::0.153) (0.147::0.147)) + (INTERCONNECT repeater374/X _9281_/RESET_B (0.122::0.122) (0.118::0.118)) + (INTERCONNECT repeater374/X _9470_/RESET_B (0.153::0.153) (0.148::0.148)) + (INTERCONNECT repeater374/X _9269_/SET_B (0.153::0.153) (0.148::0.148)) + (INTERCONNECT repeater374/X _9473_/RESET_B (0.153::0.153) (0.148::0.148)) + (INTERCONNECT repeater374/X _9355_/RESET_B (0.110::0.110) (0.107::0.107)) + (INTERCONNECT repeater374/X _9358_/RESET_B (0.107::0.107) (0.104::0.104)) + (INTERCONNECT repeater374/X repeater372/A (0.060::0.060) (0.057::0.057)) + (INTERCONNECT repeater374/X _9437_/RESET_B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT repeater374/X _9441_/RESET_B (0.012::0.012) (0.011::0.011)) + (INTERCONNECT repeater375/X _9537_/RESET_B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT repeater375/X _9539_/RESET_B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT repeater375/X _9538_/SET_B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT repeater375/X _9541_/RESET_B (0.023::0.023) (0.023::0.023)) + (INTERCONNECT repeater375/X _9542_/RESET_B (0.031::0.031) (0.029::0.029)) + (INTERCONNECT repeater375/X _9544_/RESET_B (0.036::0.036) (0.034::0.034)) + (INTERCONNECT repeater375/X _9489_/RESET_B (0.055::0.055) (0.052::0.052)) + (INTERCONNECT repeater375/X _9493_/RESET_B (0.053::0.053) (0.050::0.050)) + (INTERCONNECT repeater375/X _9359_/RESET_B (0.061::0.061) (0.058::0.058)) + (INTERCONNECT repeater375/X _9406_/RESET_B (0.065::0.065) (0.062::0.062)) + (INTERCONNECT repeater375/X _9407_/RESET_B (0.065::0.065) (0.061::0.061)) + (INTERCONNECT repeater375/X _9409_/RESET_B (0.067::0.067) (0.063::0.063)) + (INTERCONNECT repeater375/X _9362_/RESET_B (0.069::0.069) (0.065::0.065)) + (INTERCONNECT repeater375/X _9363_/RESET_B (0.069::0.069) (0.066::0.066)) + (INTERCONNECT repeater375/X _9410_/RESET_B (0.076::0.076) (0.072::0.072)) + (INTERCONNECT repeater375/X _9412_/RESET_B (0.078::0.078) (0.074::0.074)) + (INTERCONNECT repeater375/X _9415_/RESET_B (0.078::0.078) (0.075::0.075)) + (INTERCONNECT repeater375/X repeater374/A (0.078::0.078) (0.074::0.074)) + (INTERCONNECT repeater375/X _9408_/SET_B (0.075::0.075) (0.072::0.072)) + (INTERCONNECT repeater375/X _9771_/RESET_B (0.073::0.073) (0.070::0.070)) + (INTERCONNECT repeater375/X _9516_/RESET_B (0.057::0.057) (0.054::0.054)) + (INTERCONNECT repeater375/X _9360_/RESET_B (0.063::0.063) (0.060::0.060)) + (INTERCONNECT repeater375/X _9381_/RESET_B (0.071::0.071) (0.068::0.068)) + (INTERCONNECT repeater375/X _9383_/RESET_B (0.071::0.071) (0.068::0.068)) + (INTERCONNECT repeater375/X _9384_/RESET_B (0.071::0.071) (0.068::0.068)) + (INTERCONNECT repeater375/X _9361_/SET_B (0.071::0.071) (0.068::0.068)) + (INTERCONNECT repeater375/X _9511_/RESET_B (0.072::0.072) (0.069::0.069)) + (INTERCONNECT repeater375/X _9464_/RESET_B (0.072::0.072) (0.068::0.068)) + (INTERCONNECT repeater375/X _9463_/RESET_B (0.072::0.072) (0.068::0.068)) + (INTERCONNECT repeater375/X _9466_/RESET_B (0.085::0.085) (0.082::0.082)) + (INTERCONNECT repeater375/X _9467_/RESET_B (0.083::0.083) (0.079::0.079)) + (INTERCONNECT repeater375/X _9514_/RESET_B (0.080::0.080) (0.077::0.077)) + (INTERCONNECT repeater375/X _9510_/RESET_B (0.080::0.080) (0.076::0.076)) + (INTERCONNECT repeater375/X _9513_/RESET_B (0.083::0.083) (0.080::0.080)) + (INTERCONNECT repeater375/X _9512_/SET_B (0.087::0.087) (0.084::0.084)) + (INTERCONNECT repeater375/X _9440_/RESET_B (0.089::0.089) (0.085::0.085)) + (INTERCONNECT repeater375/X _9438_/RESET_B (0.090::0.090) (0.086::0.086)) + (INTERCONNECT repeater375/X _9439_/SET_B (0.089::0.089) (0.086::0.086)) + (INTERCONNECT repeater375/X _9229_/RESET_B (0.090::0.090) (0.087::0.087)) + (INTERCONNECT repeater375/X _9434_/SET_B (0.091::0.091) (0.087::0.087)) + (INTERCONNECT repeater375/X _9230_/RESET_B (0.092::0.092) (0.088::0.088)) + (INTERCONNECT repeater375/X _9432_/RESET_B (0.091::0.091) (0.088::0.088)) + (INTERCONNECT repeater375/X _9227_/RESET_B (0.092::0.092) (0.088::0.088)) + (INTERCONNECT repeater375/X _9436_/RESET_B (0.092::0.092) (0.089::0.089)) + (INTERCONNECT repeater375/X _9241_/RESET_B (0.092::0.092) (0.089::0.089)) + (INTERCONNECT repeater375/X _9433_/RESET_B (0.092::0.092) (0.089::0.089)) + (INTERCONNECT repeater375/X _9435_/RESET_B (0.091::0.091) (0.087::0.087)) + (INTERCONNECT repeater375/X _9465_/SET_B (0.088::0.088) (0.084::0.084)) + (INTERCONNECT repeater375/X _9519_/RESET_B (0.058::0.058) (0.055::0.055)) + (INTERCONNECT repeater375/X _9380_/RESET_B (0.058::0.058) (0.055::0.055)) + (INTERCONNECT repeater375/X _9382_/SET_B (0.058::0.058) (0.055::0.055)) + (INTERCONNECT repeater375/X _9491_/SET_B (0.048::0.048) (0.045::0.045)) + (INTERCONNECT repeater375/X _9492_/RESET_B (0.042::0.042) (0.040::0.040)) + (INTERCONNECT repeater375/X _9490_/RESET_B (0.043::0.043) (0.040::0.040)) + (INTERCONNECT repeater375/X _9517_/SET_B (0.043::0.043) (0.040::0.040)) + (INTERCONNECT repeater375/X _9515_/RESET_B (0.042::0.042) (0.040::0.040)) + (INTERCONNECT repeater375/X _9518_/RESET_B (0.043::0.043) (0.040::0.040)) + (INTERCONNECT repeater375/X _9328_/RESET_B (0.043::0.043) (0.040::0.040)) + (INTERCONNECT repeater375/X _9331_/SET_B (0.043::0.043) (0.040::0.040)) + (INTERCONNECT repeater375/X _9543_/SET_B (0.042::0.042) (0.040::0.040)) + (INTERCONNECT repeater375/X _9545_/RESET_B (0.042::0.042) (0.039::0.039)) + (INTERCONNECT repeater376/X _9722_/RESET_B (0.008::0.008) (0.007::0.007)) + (INTERCONNECT repeater376/X _9766_/RESET_B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT repeater376/X _9767_/RESET_B (0.022::0.022) (0.021::0.021)) + (INTERCONNECT repeater376/X _9727_/SET_B (0.029::0.029) (0.028::0.028)) + (INTERCONNECT repeater376/X _9728_/RESET_B (0.036::0.036) (0.034::0.034)) + (INTERCONNECT repeater376/X _9729_/RESET_B (0.025::0.025) (0.024::0.024)) + (INTERCONNECT repeater376/X _9731_/RESET_B (0.036::0.036) (0.034::0.034)) + (INTERCONNECT repeater376/X _9643_/RESET_B (0.040::0.040) (0.038::0.038)) + (INTERCONNECT repeater376/X _9641_/RESET_B (0.048::0.048) (0.045::0.045)) + (INTERCONNECT repeater376/X _9485_/RESET_B (0.056::0.056) (0.053::0.053)) + (INTERCONNECT repeater376/X _9488_/RESET_B (0.059::0.059) (0.056::0.056)) + (INTERCONNECT repeater376/X _9484_/RESET_B (0.070::0.070) (0.067::0.067)) + (INTERCONNECT repeater376/X _9105_/RESET_B (0.068::0.068) (0.065::0.065)) + (INTERCONNECT repeater376/X _9103_/SET_B (0.091::0.091) (0.087::0.087)) + (INTERCONNECT repeater376/X _9104_/RESET_B (0.090::0.090) (0.087::0.087)) + (INTERCONNECT repeater376/X _9555_/RESET_B (0.088::0.088) (0.085::0.085)) + (INTERCONNECT repeater376/X _9554_/RESET_B (0.099::0.099) (0.096::0.096)) + (INTERCONNECT repeater376/X _9556_/SET_B (0.104::0.104) (0.101::0.101)) + (INTERCONNECT repeater376/X _9185_/RESET_B (0.103::0.103) (0.100::0.100)) + (INTERCONNECT repeater376/X _9186_/RESET_B (0.102::0.102) (0.099::0.099)) + (INTERCONNECT repeater376/X _9558_/RESET_B (0.097::0.097) (0.094::0.094)) + (INTERCONNECT repeater376/X _9188_/RESET_B (0.102::0.102) (0.099::0.099)) + (INTERCONNECT repeater376/X _9557_/RESET_B (0.097::0.097) (0.094::0.094)) + (INTERCONNECT repeater376/X _9645_/SET_B (0.054::0.054) (0.051::0.051)) + (INTERCONNECT repeater376/X _9389_/RESET_B (0.054::0.054) (0.051::0.051)) + (INTERCONNECT repeater376/X _9386_/RESET_B (0.054::0.054) (0.051::0.051)) + (INTERCONNECT repeater376/X _9385_/RESET_B (0.080::0.080) (0.077::0.077)) + (INTERCONNECT repeater376/X _9403_/RESET_B (0.082::0.082) (0.079::0.079)) + (INTERCONNECT repeater376/X _9400_/RESET_B (0.085::0.085) (0.082::0.082)) + (INTERCONNECT repeater376/X _9401_/RESET_B (0.084::0.084) (0.081::0.081)) + (INTERCONNECT repeater376/X _9405_/RESET_B (0.084::0.084) (0.081::0.081)) + (INTERCONNECT repeater376/X _9758_/SET_B (0.106::0.106) (0.103::0.103)) + (INTERCONNECT repeater376/X _9120_/RESET_B (0.109::0.109) (0.106::0.106)) + (INTERCONNECT repeater376/X _9122_/SET_B (0.111::0.111) (0.108::0.108)) + (INTERCONNECT repeater376/X repeater370/A (0.127::0.127) (0.123::0.123)) + (INTERCONNECT repeater376/X _9213_/RESET_B (0.111::0.111) (0.108::0.108)) + (INTERCONNECT repeater376/X _9216_/RESET_B (0.115::0.115) (0.112::0.112)) + (INTERCONNECT repeater376/X _9212_/RESET_B (0.119::0.119) (0.115::0.115)) + (INTERCONNECT repeater376/X _9214_/SET_B (0.122::0.122) (0.118::0.118)) + (INTERCONNECT repeater376/X _9215_/RESET_B (0.124::0.124) (0.120::0.120)) + (INTERCONNECT repeater376/X _9654_/RESET_B (0.126::0.126) (0.122::0.122)) + (INTERCONNECT repeater376/X _9655_/RESET_B (0.128::0.128) (0.124::0.124)) + (INTERCONNECT repeater376/X _9658_/RESET_B (0.129::0.129) (0.125::0.125)) + (INTERCONNECT repeater376/X _9657_/RESET_B (0.129::0.129) (0.125::0.125)) + (INTERCONNECT repeater376/X _9656_/SET_B (0.130::0.130) (0.126::0.126)) + (INTERCONNECT repeater376/X _9116_/SET_B (0.130::0.130) (0.126::0.126)) + (INTERCONNECT repeater376/X _9114_/RESET_B (0.131::0.131) (0.126::0.126)) + (INTERCONNECT repeater376/X _9117_/RESET_B (0.131::0.131) (0.127::0.127)) + (INTERCONNECT repeater376/X _9115_/RESET_B (0.132::0.132) (0.127::0.127)) + (INTERCONNECT repeater376/X _9118_/RESET_B (0.132::0.132) (0.127::0.127)) + (INTERCONNECT repeater376/X _9779_/SET_B (0.129::0.129) (0.125::0.125)) + (INTERCONNECT repeater376/X _9784_/RESET_B (0.129::0.129) (0.125::0.125)) + (INTERCONNECT repeater376/X _9783_/RESET_B (0.130::0.130) (0.126::0.126)) + (INTERCONNECT repeater376/X _9446_/RESET_B (0.130::0.130) (0.126::0.126)) + (INTERCONNECT repeater376/X _9448_/RESET_B (0.131::0.131) (0.127::0.127)) + (INTERCONNECT repeater376/X _9449_/RESET_B (0.131::0.131) (0.127::0.127)) + (INTERCONNECT repeater376/X _9255_/SET_B (0.131::0.131) (0.127::0.127)) + (INTERCONNECT repeater376/X _9247_/RESET_B (0.131::0.131) (0.127::0.127)) + (INTERCONNECT repeater376/X _9248_/RESET_B (0.131::0.131) (0.127::0.127)) + (INTERCONNECT repeater376/X _9486_/SET_B (0.054::0.054) (0.051::0.051)) + (INTERCONNECT repeater376/X _9487_/RESET_B (0.077::0.077) (0.074::0.074)) + (INTERCONNECT repeater376/X _9101_/RESET_B (0.078::0.078) (0.075::0.075)) + (INTERCONNECT repeater376/X _9102_/RESET_B (0.075::0.075) (0.071::0.071)) + (INTERCONNECT repeater376/X _9734_/SET_B (0.032::0.032) (0.031::0.031)) + (INTERCONNECT repeater376/X _9736_/SET_B (0.062::0.062) (0.059::0.059)) + (INTERCONNECT repeater376/X _9738_/SET_B (0.062::0.062) (0.059::0.059)) + (INTERCONNECT repeater376/X _9740_/SET_B (0.062::0.062) (0.059::0.059)) + (INTERCONNECT repeater376/X _9742_/SET_B (0.067::0.067) (0.063::0.063)) + (INTERCONNECT repeater376/X _9746_/SET_B (0.067::0.067) (0.064::0.064)) + (INTERCONNECT repeater377/X _9752_/SET_B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT repeater377/X _9754_/SET_B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT repeater377/X _9755_/SET_B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT repeater377/X _9187_/SET_B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT repeater377/X _9550_/RESET_B (0.028::0.028) (0.027::0.027)) + (INTERCONNECT repeater377/X _9189_/RESET_B (0.029::0.029) (0.028::0.028)) + (INTERCONNECT repeater377/X _9547_/SET_B (0.029::0.029) (0.028::0.028)) + (INTERCONNECT repeater377/X _9124_/RESET_B (0.029::0.029) (0.028::0.028)) + (INTERCONNECT repeater377/X _9548_/RESET_B (0.029::0.029) (0.028::0.028)) + (INTERCONNECT repeater377/X _9552_/RESET_B (0.060::0.060) (0.057::0.057)) + (INTERCONNECT repeater377/X _9632_/SET_B (0.063::0.063) (0.059::0.059)) + (INTERCONNECT repeater377/X _9633_/SET_B (0.064::0.064) (0.061::0.061)) + (INTERCONNECT repeater377/X _9636_/RESET_B (0.064::0.064) (0.060::0.060)) + (INTERCONNECT repeater377/X _9634_/RESET_B (0.064::0.064) (0.061::0.061)) + (INTERCONNECT repeater377/X _9637_/RESET_B (0.064::0.064) (0.061::0.061)) + (INTERCONNECT repeater377/X _9635_/RESET_B (0.064::0.064) (0.061::0.061)) + (INTERCONNECT repeater377/X _9166_/RESET_B (0.077::0.077) (0.073::0.073)) + (INTERCONNECT repeater377/X _9165_/RESET_B (0.077::0.077) (0.073::0.073)) + (INTERCONNECT repeater377/X _9138_/RESET_B (0.077::0.077) (0.073::0.073)) + (INTERCONNECT repeater377/X _9055_/RESET_B (0.078::0.078) (0.074::0.074)) + (INTERCONNECT repeater377/X _9056_/RESET_B (0.078::0.078) (0.074::0.074)) + (INTERCONNECT repeater377/X _9278_/RESET_B (0.078::0.078) (0.074::0.074)) + (INTERCONNECT repeater377/X _9279_/RESET_B (0.078::0.078) (0.074::0.074)) + (INTERCONNECT repeater377/X _9619_/RESET_B (0.079::0.079) (0.075::0.075)) + (INTERCONNECT repeater377/X _9580_/RESET_B (0.079::0.079) (0.075::0.075)) + (INTERCONNECT repeater377/X _9620_/RESET_B (0.079::0.079) (0.075::0.075)) + (INTERCONNECT repeater377/X _9638_/RESET_B (0.064::0.064) (0.061::0.061)) + (INTERCONNECT repeater377/X _9169_/RESET_B (0.064::0.064) (0.061::0.061)) + (INTERCONNECT repeater377/X _9168_/RESET_B (0.064::0.064) (0.061::0.061)) + (INTERCONNECT repeater377/X _9167_/RESET_B (0.070::0.070) (0.066::0.066)) + (INTERCONNECT repeater377/X _9143_/RESET_B (0.072::0.072) (0.068::0.068)) + (INTERCONNECT repeater377/X _9164_/RESET_B (0.076::0.076) (0.072::0.072)) + (INTERCONNECT repeater377/X _9753_/SET_B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT repeater377/X _9750_/SET_B (0.021::0.021) (0.021::0.021)) + (INTERCONNECT repeater377/X _9756_/SET_B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT repeater377/X _9627_/RESET_B (0.030::0.030) (0.029::0.029)) + (INTERCONNECT repeater377/X _9629_/RESET_B (0.035::0.035) (0.033::0.033)) + (INTERCONNECT repeater377/X _9628_/RESET_B (0.038::0.038) (0.036::0.036)) + (INTERCONNECT repeater377/X _9630_/SET_B (0.038::0.038) (0.036::0.036)) + (INTERCONNECT repeater377/X _9553_/RESET_B (0.048::0.048) (0.045::0.045)) + (INTERCONNECT repeater377/X _9173_/RESET_B (0.048::0.048) (0.045::0.045)) + (INTERCONNECT repeater377/X _9174_/RESET_B (0.048::0.048) (0.045::0.045)) + (INTERCONNECT repeater377/X _9175_/RESET_B (0.048::0.048) (0.045::0.045)) + (INTERCONNECT repeater377/X _9148_/RESET_B (0.083::0.083) (0.079::0.079)) + (INTERCONNECT repeater377/X _9149_/RESET_B (0.083::0.083) (0.079::0.079)) + (INTERCONNECT repeater377/X _9176_/RESET_B (0.083::0.083) (0.079::0.079)) + (INTERCONNECT repeater377/X _9079_/RESET_B (0.082::0.082) (0.078::0.078)) + (INTERCONNECT repeater377/X _9787_/RESET_B (0.082::0.082) (0.078::0.078)) + (INTERCONNECT repeater377/X _9150_/RESET_B (0.083::0.083) (0.079::0.079)) + (INTERCONNECT repeater377/X _9790_/RESET_B (0.082::0.082) (0.078::0.078)) + (INTERCONNECT repeater377/X _9057_/RESET_B (0.082::0.082) (0.078::0.078)) + (INTERCONNECT repeater377/X _9078_/RESET_B (0.082::0.082) (0.078::0.078)) + (INTERCONNECT repeater377/X _9549_/RESET_B (0.055::0.055) (0.052::0.052)) + (INTERCONNECT repeater377/X _9172_/RESET_B (0.051::0.051) (0.049::0.049)) + (INTERCONNECT repeater377/X _9171_/RESET_B (0.053::0.053) (0.050::0.050)) + (INTERCONNECT repeater377/X _9146_/RESET_B (0.053::0.053) (0.050::0.050)) + (INTERCONNECT repeater377/X _9147_/RESET_B (0.083::0.083) (0.079::0.079)) + (INTERCONNECT repeater377/X _9142_/RESET_B (0.082::0.082) (0.078::0.078)) + (INTERCONNECT repeater377/X _9141_/RESET_B (0.082::0.082) (0.078::0.078)) + (INTERCONNECT repeater377/X _9140_/RESET_B (0.081::0.081) (0.077::0.077)) + (INTERCONNECT repeater377/X _9139_/RESET_B (0.076::0.076) (0.072::0.072)) + (INTERCONNECT repeater377/X _9239_/RESET_B (0.081::0.081) (0.076::0.076)) + (INTERCONNECT repeater377/X _9054_/RESET_B (0.078::0.078) (0.074::0.074)) + (INTERCONNECT repeater377/X _9277_/RESET_B (0.078::0.078) (0.074::0.074)) + (INTERCONNECT repeater377/X _9280_/RESET_B (0.078::0.078) (0.074::0.074)) + (INTERCONNECT repeater377/X _9772_/RESET_B (0.081::0.081) (0.077::0.077)) + (INTERCONNECT repeater377/X _9069_/RESET_B (0.080::0.080) (0.076::0.076)) + (INTERCONNECT repeater377/X _9217_/RESET_B (0.080::0.080) (0.076::0.076)) + (INTERCONNECT repeater377/X _9551_/RESET_B (0.060::0.060) (0.057::0.057)) + (INTERCONNECT repeater377/X _9639_/RESET_B (0.063::0.063) (0.059::0.059)) + (INTERCONNECT repeater377/X _9170_/RESET_B (0.064::0.064) (0.060::0.060)) + (INTERCONNECT repeater377/X _9144_/RESET_B (0.067::0.067) (0.063::0.063)) + (INTERCONNECT repeater377/X _9145_/RESET_B (0.066::0.066) (0.062::0.062)) + (INTERCONNECT repeater377/X _9757_/SET_B (0.027::0.027) (0.026::0.026)) + (INTERCONNECT repeater377/X _9546_/SET_B (0.027::0.027) (0.027::0.027)) + (INTERCONNECT repeater377/X _9631_/SET_B (0.028::0.028) (0.027::0.027)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4443_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.163::0.163) (0.316::0.316)) + (IOPATH A1 X (0.193::0.193) (0.335::0.335)) + (IOPATH S X (0.256::0.256) (0.379::0.379)) + (IOPATH S X (0.204::0.204) (0.363::0.363)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4444_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.146::0.146) (0.299::0.299)) + (IOPATH A1 X (0.170::0.170) (0.324::0.324)) + (IOPATH S X (0.242::0.242) (0.363::0.363)) + (IOPATH S X (0.189::0.189) (0.348::0.348)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4445_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.168::0.168) (0.315::0.315)) + (IOPATH A1 X (0.204::0.204) (0.343::0.343)) + (IOPATH S X (0.288::0.288) (0.382::0.382)) + (IOPATH S X (0.220::0.220) (0.389::0.389)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4446_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.158::0.158) (0.303::0.303)) + (IOPATH A1 X (0.150::0.150) (0.304::0.304)) + (IOPATH S X (0.264::0.264) (0.358::0.358)) + (IOPATH S X (0.195::0.195) (0.365::0.365)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4447_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.161::0.161) (0.308::0.308)) + (IOPATH A1 X (0.181::0.181) (0.322::0.322)) + (IOPATH S X (0.269::0.269) (0.370::0.370)) + (IOPATH S X (0.204::0.204) (0.371::0.371)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4448_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.197::0.197) (0.341::0.341)) + (IOPATH A1 X (0.226::0.226) (0.360::0.360)) + (IOPATH S X (0.274::0.274) (0.397::0.397)) + (IOPATH S X (0.228::0.228) (0.379::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4449_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.156::0.156) (0.310::0.310)) + (IOPATH A1 X (0.189::0.189) (0.335::0.335)) + (IOPATH S X (0.249::0.249) (0.369::0.369)) + (IOPATH S X (0.190::0.190) (0.357::0.357)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4450_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.142::0.142) (0.299::0.299)) + (IOPATH A1 X (0.241::0.241) (0.443::0.443)) + (IOPATH S X (0.226::0.226) (0.351::0.351)) + (IOPATH S X (0.165::0.165) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4451_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.146::0.146) (0.299::0.299)) + (IOPATH A1 X (0.190::0.190) (0.330::0.330)) + (IOPATH S X (0.227::0.227) (0.350::0.350)) + (IOPATH S X (0.167::0.167) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4452_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.135) (0.153::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _4453_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.196::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _4454_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.267::0.267) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4455_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.094) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4456_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (INSTANCE _4457_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.223::0.223)) + (IOPATH A2 X (0.201::0.202) (0.242::0.242)) + (IOPATH B1 X (0.161::0.163) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4458_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.388::0.388)) + (IOPATH B X (0.141::0.142) (0.377::0.378)) + (IOPATH C X (0.145::0.146) (0.338::0.339)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _4459_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4460_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.128) (0.142::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4461_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.124) (0.137::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4462_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.354::0.354) (0.748::0.748)) + (IOPATH B X (0.349::0.349) (0.724::0.724)) + (IOPATH C X (0.363::0.364) (0.691::0.692)) + (IOPATH D X (0.358::0.359) (0.625::0.626)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4463_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.309::0.309) (0.362::0.362)) + (IOPATH B X (0.346::0.346) (0.409::0.409)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4464_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.251::0.251)) + (IOPATH B X (0.150::0.150) (0.247::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _4465_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4466_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4467_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.131::0.131) (0.203::0.203)) + (IOPATH A2 X (0.152::0.152) (0.230::0.230)) + (IOPATH B1 X (0.223::0.223) (0.344::0.344)) + (IOPATH B2 X (0.122::0.122) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4468_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.076) (0.052::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _4469_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.213::0.213)) + (IOPATH A2 X (0.159::0.159) (0.233::0.234)) + (IOPATH B1_N X (0.222::0.222) (0.229::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4470_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.434::0.434)) + (IOPATH B X (0.135::0.135) (0.378::0.378)) + (IOPATH C X (0.146::0.147) (0.347::0.348)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE _4471_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.222) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4472_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.127) (0.142::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4473_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.128) (0.140::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4474_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.370::0.370) (0.759::0.759)) + (IOPATH B X (0.364::0.365) (0.735::0.735)) + (IOPATH C X (0.362::0.362) (0.703::0.703)) + (IOPATH D X (0.359::0.359) (0.637::0.638)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _4475_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.288::0.288) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4476_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.395::0.395)) + (IOPATH B X (0.176::0.176) (0.424::0.424)) + (IOPATH C X (0.165::0.165) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4477_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.194::0.194) (0.324::0.324)) + (IOPATH A1 X (0.144::0.144) (0.300::0.300)) + (IOPATH S X (0.206::0.206) (0.315::0.316)) + (IOPATH S X (0.129::0.130) (0.317::0.317)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4478_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.078::0.081) (0.090::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4479_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.290::0.290) (0.706::0.707)) + (IOPATH B X (0.285::0.285) (0.683::0.683)) + (IOPATH C X (0.282::0.282) (0.651::0.651)) + (IOPATH D X (0.294::0.295) (0.583::0.585)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4480_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.396::0.396) (0.406::0.406)) + (IOPATH B X (0.410::0.411) (0.431::0.431)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _4481_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.371::0.371)) + (IOPATH B X (0.239::0.239) (0.383::0.384)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4482_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.191::0.191) (0.332::0.332)) + (IOPATH A1 X (0.145::0.145) (0.301::0.301)) + (IOPATH S X (0.213::0.214) (0.327::0.327)) + (IOPATH S X (0.143::0.144) (0.322::0.322)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4483_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.081) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4484_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.225::0.225)) + (IOPATH A2 X (0.152::0.152) (0.230::0.230)) + (IOPATH B1 X (0.187::0.187) (0.265::0.265)) + (IOPATH B2 X (0.123::0.123) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4485_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.197::0.197) (0.348::0.349)) + (IOPATH A1 X (0.163::0.163) (0.315::0.315)) + (IOPATH S X (0.218::0.218) (0.332::0.332)) + (IOPATH S X (0.147::0.148) (0.328::0.328)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4486_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.082) (0.091::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4487_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.404::0.404)) + (IOPATH B X (0.155::0.156) (0.392::0.393)) + (IOPATH C X (0.144::0.144) (0.346::0.347)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _4488_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4489_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.237) (0.648::0.650)) + (IOPATH B X (0.232::0.233) (0.627::0.628)) + (IOPATH C X (0.225::0.227) (0.591::0.592)) + (IOPATH D X (0.224::0.226) (0.527::0.528)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4490_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.394::0.394) (0.402::0.402)) + (IOPATH B X (0.376::0.377) (0.406::0.406)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4491_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.242::0.242)) + (IOPATH B X (0.155::0.155) (0.258::0.258)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _4492_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.217) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4493_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4494_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.166::0.166) (0.240::0.240)) + (IOPATH B1 X (0.223::0.223) (0.342::0.342)) + (IOPATH B2 X (0.143::0.143) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4495_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.168::0.168) (0.241::0.241)) + (IOPATH B1 X (0.226::0.226) (0.342::0.342)) + (IOPATH B2 X (0.144::0.144) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4496_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.213::0.213)) + (IOPATH A2 X (0.173::0.173) (0.246::0.246)) + (IOPATH B1 X (0.237::0.238) (0.365::0.366)) + (IOPATH B2 X (0.150::0.150) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4497_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.209::0.209)) + (IOPATH A2 X (0.170::0.170) (0.243::0.243)) + (IOPATH B1 X (0.192::0.192) (0.261::0.261)) + (IOPATH B2 X (0.147::0.147) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4498_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.213::0.213)) + (IOPATH A2 X (0.166::0.166) (0.239::0.239)) + (IOPATH B1 X (0.188::0.188) (0.258::0.258)) + (IOPATH B2 X (0.142::0.142) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4499_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.172::0.172) (0.245::0.245)) + (IOPATH B1 X (0.195::0.195) (0.268::0.268)) + (IOPATH B2 X (0.149::0.149) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4500_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.207::0.207)) + (IOPATH A2 X (0.174::0.174) (0.246::0.246)) + (IOPATH B1 X (0.195::0.195) (0.268::0.268)) + (IOPATH B2 X (0.151::0.151) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4501_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.212::0.212)) + (IOPATH A2 X (0.179::0.179) (0.249::0.249)) + (IOPATH B1 X (0.198::0.198) (0.250::0.250)) + (IOPATH B2 X (0.156::0.156) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4502_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.271::0.273) (0.681::0.682)) + (IOPATH B X (0.267::0.268) (0.659::0.660)) + (IOPATH C X (0.260::0.261) (0.623::0.624)) + (IOPATH D X (0.245::0.245) (0.561::0.561)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4503_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.342::0.342) (0.378::0.378)) + (IOPATH B X (0.338::0.339) (0.390::0.390)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4504_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.290::0.290)) + (IOPATH B X (0.150::0.150) (0.248::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _4505_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.156::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4506_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4507_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.208::0.208)) + (IOPATH A2 X (0.152::0.152) (0.238::0.238)) + (IOPATH B1 X (0.185::0.185) (0.246::0.246)) + (IOPATH B2 X (0.127::0.127) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4508_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.212::0.212)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.193::0.193) (0.251::0.251)) + (IOPATH B2 X (0.132::0.132) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4509_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.219::0.219)) + (IOPATH A2 X (0.161::0.161) (0.246::0.246)) + (IOPATH B1 X (0.206::0.206) (0.289::0.289)) + (IOPATH B2 X (0.137::0.137) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4510_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.214::0.214)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.189::0.189) (0.265::0.265)) + (IOPATH B2 X (0.126::0.126) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4511_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.214::0.214)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.182::0.182) (0.237::0.237)) + (IOPATH B2 X (0.124::0.124) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4512_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.228::0.228)) + (IOPATH A2 X (0.153::0.153) (0.231::0.231)) + (IOPATH B1 X (0.191::0.191) (0.269::0.269)) + (IOPATH B2 X (0.124::0.124) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4513_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.299::0.299) (0.712::0.713)) + (IOPATH B X (0.312::0.313) (0.690::0.691)) + (IOPATH C X (0.296::0.296) (0.660::0.660)) + (IOPATH D X (0.304::0.306) (0.590::0.591)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4514_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.173) (0.425::0.425)) + (IOPATH B X (0.148::0.149) (0.384::0.385)) + (IOPATH C X (0.152::0.153) (0.346::0.346)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _4515_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.198::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _4516_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.248::0.248) (0.427::0.427)) + (IOPATH B X (0.235::0.235) (0.368::0.368)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4517_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.065) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4518_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.282::0.282)) + (IOPATH A2 X (0.179::0.179) (0.238::0.238)) + (IOPATH B1 X (0.259::0.259) (0.262::0.262)) + (IOPATH B2 X (0.203::0.203) (0.236::0.237)) + (IOPATH C1 X (0.210::0.211) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4519_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.477::0.477)) + (IOPATH B X (0.205::0.205) (0.424::0.424)) + (IOPATH C X (0.225::0.225) (0.435::0.435)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4520_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.194::0.194) (0.346::0.346)) + (IOPATH A1 X (0.129::0.129) (0.290::0.290)) + (IOPATH S X (0.222::0.222) (0.329::0.329)) + (IOPATH S X (0.147::0.147) (0.328::0.328)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4521_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.081::0.084) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4522_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.251::0.253) (0.666::0.667)) + (IOPATH B X (0.237::0.237) (0.649::0.649)) + (IOPATH C X (0.251::0.253) (0.616::0.617)) + (IOPATH D X (0.232::0.232) (0.551::0.551)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4523_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.425::0.425) (0.420::0.420)) + (IOPATH B X (0.419::0.419) (0.431::0.432)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4524_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.249::0.249)) + (IOPATH B X (0.165::0.165) (0.269::0.270)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _4525_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.187::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4526_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4527_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.221::0.221)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.225::0.225) (0.353::0.353)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4528_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.216::0.216)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.224::0.224) (0.347::0.347)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4529_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.219::0.219)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.235::0.235) (0.374::0.375)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4530_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.215::0.215)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.187::0.187) (0.253::0.253)) + (IOPATH B2 X (0.142::0.142) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4531_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.221::0.221)) + (IOPATH A2 X (0.154::0.154) (0.240::0.240)) + (IOPATH B1 X (0.192::0.192) (0.252::0.252)) + (IOPATH B2 X (0.147::0.147) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4532_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.222::0.222)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.201::0.201) (0.290::0.290)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4533_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.216::0.216)) + (IOPATH A2 X (0.149::0.149) (0.235::0.235)) + (IOPATH B1 X (0.190::0.190) (0.265::0.265)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4534_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.216::0.216)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.187::0.187) (0.241::0.241)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4535_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.364::0.365) (0.741::0.742)) + (IOPATH B X (0.364::0.365) (0.722::0.723)) + (IOPATH C X (0.364::0.365) (0.690::0.692)) + (IOPATH D X (0.344::0.344) (0.626::0.626)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _4536_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.376::0.376)) + (IOPATH B X (0.257::0.257) (0.424::0.425)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _4537_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.238::0.238) (0.414::0.414)) + (IOPATH B X (0.183::0.184) (0.349::0.350)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4538_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.197::0.197) (0.349::0.349)) + (IOPATH A1 X (0.153::0.153) (0.299::0.299)) + (IOPATH S X (0.214::0.215) (0.327::0.327)) + (IOPATH S X (0.143::0.144) (0.323::0.323)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4539_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.086) (0.092::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4540_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.202::0.202) (0.377::0.377)) + (IOPATH A1 X (0.152::0.152) (0.305::0.305)) + (IOPATH S X (0.213::0.214) (0.326::0.326)) + (IOPATH S X (0.142::0.143) (0.322::0.322)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4541_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.081) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4542_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4543_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4544_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4545_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4546_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _4547_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.175::0.175)) + (IOPATH B X (0.204::0.204) (0.196::0.196)) + (IOPATH C X (0.208::0.208) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _4548_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.170::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE _4549_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.142::0.142)) + (IOPATH B Y (0.162::0.162) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _4550_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.191) (0.108::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4551_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.248::0.248)) + (IOPATH B X (0.141::0.143) (0.249::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _4552_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.220::0.220)) + (IOPATH A2 X (0.192::0.192) (0.261::0.261)) + (IOPATH A3 X (0.193::0.193) (0.289::0.289)) + (IOPATH B1 X (0.138::0.138) (0.219::0.220)) + (IOPATH B2 X (0.124::0.125) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4553_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.347::0.347) (0.744::0.744)) + (IOPATH B X (0.360::0.361) (0.721::0.722)) + (IOPATH C X (0.353::0.354) (0.685::0.686)) + (IOPATH D X (0.337::0.337) (0.623::0.623)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4554_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.409::0.409)) + (IOPATH B X (0.186::0.186) (0.433::0.433)) + (IOPATH C X (0.186::0.186) (0.394::0.394)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4555_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.202::0.202) (0.353::0.353)) + (IOPATH A1 X (0.174::0.174) (0.318::0.318)) + (IOPATH S X (0.214::0.214) (0.324::0.324)) + (IOPATH S X (0.138::0.138) (0.325::0.325)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4556_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.086::0.089) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _4557_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.368::0.368)) + (IOPATH B X (0.196::0.196) (0.374::0.375)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4558_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.317::0.317)) + (IOPATH B X (0.152::0.152) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4559_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.204::0.204) (0.378::0.378)) + (IOPATH A1 X (0.154::0.154) (0.301::0.301)) + (IOPATH S X (0.206::0.206) (0.325::0.325)) + (IOPATH S X (0.141::0.141) (0.317::0.317)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4560_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.083) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4561_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.199::0.199) (0.351::0.351)) + (IOPATH A1 X (0.150::0.150) (0.299::0.299)) + (IOPATH S X (0.207::0.207) (0.326::0.327)) + (IOPATH S X (0.142::0.142) (0.318::0.318)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4562_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.085) (0.092::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4563_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.323::0.323) (0.416::0.417)) + (IOPATH B X (0.289::0.289) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4564_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.241::0.241)) + (IOPATH B X (0.129::0.129) (0.226::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _4565_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.193) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4566_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4567_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.215::0.215)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.228::0.228) (0.352::0.352)) + (IOPATH B2 X (0.144::0.144) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4568_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.220::0.220)) + (IOPATH A2 X (0.161::0.161) (0.245::0.245)) + (IOPATH B1 X (0.240::0.240) (0.357::0.357)) + (IOPATH B2 X (0.153::0.153) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4569_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.214::0.214)) + (IOPATH A2 X (0.158::0.158) (0.243::0.243)) + (IOPATH B1 X (0.242::0.242) (0.376::0.377)) + (IOPATH B2 X (0.151::0.151) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4570_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.215::0.215)) + (IOPATH A2 X (0.156::0.156) (0.242::0.242)) + (IOPATH B1 X (0.196::0.196) (0.260::0.260)) + (IOPATH B2 X (0.149::0.149) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4571_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.209::0.209)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.190::0.190) (0.250::0.250)) + (IOPATH B2 X (0.144::0.144) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4572_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.216::0.216)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.202::0.202) (0.289::0.289)) + (IOPATH B2 X (0.146::0.146) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4573_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.216::0.216)) + (IOPATH A2 X (0.157::0.157) (0.242::0.242)) + (IOPATH B1 X (0.199::0.199) (0.270::0.270)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4574_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.213::0.213)) + (IOPATH A2 X (0.158::0.158) (0.243::0.243)) + (IOPATH B1 X (0.194::0.194) (0.245::0.245)) + (IOPATH B2 X (0.150::0.150) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4575_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.255::0.255) (0.683::0.683)) + (IOPATH B X (0.254::0.254) (0.662::0.662)) + (IOPATH C X (0.252::0.253) (0.630::0.630)) + (IOPATH D X (0.261::0.262) (0.560::0.561)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4576_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.333::0.333) (0.377::0.377)) + (IOPATH B X (0.321::0.322) (0.385::0.385)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4577_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.242::0.242)) + (IOPATH B X (0.141::0.141) (0.236::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _4578_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.209) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4579_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4580_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.208::0.208)) + (IOPATH A2 X (0.167::0.167) (0.240::0.240)) + (IOPATH B1 X (0.228::0.228) (0.353::0.353)) + (IOPATH B2 X (0.137::0.137) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4581_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.214::0.214)) + (IOPATH A2 X (0.169::0.169) (0.242::0.242)) + (IOPATH B1 X (0.232::0.232) (0.350::0.350)) + (IOPATH B2 X (0.139::0.139) (0.225::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4582_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.209::0.209)) + (IOPATH A2 X (0.169::0.169) (0.242::0.242)) + (IOPATH B1 X (0.236::0.236) (0.372::0.373)) + (IOPATH B2 X (0.139::0.139) (0.225::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4583_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.213::0.213)) + (IOPATH A2 X (0.167::0.167) (0.242::0.242)) + (IOPATH B1 X (0.190::0.190) (0.255::0.255)) + (IOPATH B2 X (0.137::0.137) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4584_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.213::0.213)) + (IOPATH A2 X (0.171::0.171) (0.244::0.244)) + (IOPATH B1 X (0.195::0.195) (0.254::0.254)) + (IOPATH B2 X (0.141::0.141) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4585_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.215::0.215)) + (IOPATH A2 X (0.167::0.167) (0.240::0.240)) + (IOPATH B1 X (0.199::0.199) (0.287::0.287)) + (IOPATH B2 X (0.136::0.136) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4586_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.215::0.215)) + (IOPATH A2 X (0.173::0.173) (0.246::0.246)) + (IOPATH B1 X (0.200::0.200) (0.271::0.271)) + (IOPATH B2 X (0.143::0.143) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4587_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.213::0.213)) + (IOPATH A2 X (0.169::0.169) (0.242::0.242)) + (IOPATH B1 X (0.190::0.190) (0.240::0.240)) + (IOPATH B2 X (0.139::0.139) (0.225::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4588_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.672::0.672)) + (IOPATH B X (0.238::0.238) (0.651::0.651)) + (IOPATH C X (0.246::0.247) (0.613::0.614)) + (IOPATH D X (0.230::0.230) (0.551::0.551)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4589_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.389::0.389) (0.404::0.404)) + (IOPATH B X (0.371::0.371) (0.408::0.409)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4590_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.239::0.239)) + (IOPATH B X (0.148::0.148) (0.248::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _4591_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.199) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4592_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.143::0.143) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4593_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.211::0.211)) + (IOPATH A2 X (0.164::0.164) (0.238::0.238)) + (IOPATH B1 X (0.228::0.228) (0.353::0.353)) + (IOPATH B2 X (0.142::0.142) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4594_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.168::0.168) (0.222::0.222)) + (IOPATH A2 X (0.171::0.171) (0.245::0.245)) + (IOPATH B1 X (0.238::0.238) (0.356::0.356)) + (IOPATH B2 X (0.149::0.149) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4595_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.208::0.208)) + (IOPATH A2 X (0.165::0.165) (0.239::0.239)) + (IOPATH B1 X (0.235::0.235) (0.372::0.372)) + (IOPATH B2 X (0.143::0.143) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4596_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.220::0.220)) + (IOPATH A2 X (0.169::0.169) (0.243::0.243)) + (IOPATH B1 X (0.196::0.196) (0.259::0.259)) + (IOPATH B2 X (0.148::0.148) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4597_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.216::0.216)) + (IOPATH A2 X (0.172::0.172) (0.246::0.246)) + (IOPATH B1 X (0.200::0.200) (0.258::0.258)) + (IOPATH B2 X (0.151::0.151) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4598_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.216::0.216)) + (IOPATH A2 X (0.166::0.166) (0.240::0.240)) + (IOPATH B1 X (0.203::0.203) (0.290::0.290)) + (IOPATH B2 X (0.145::0.145) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4599_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.217::0.217)) + (IOPATH A2 X (0.171::0.171) (0.245::0.245)) + (IOPATH B1 X (0.202::0.202) (0.273::0.273)) + (IOPATH B2 X (0.150::0.150) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4600_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.218::0.218)) + (IOPATH A2 X (0.177::0.177) (0.250::0.250)) + (IOPATH B1 X (0.203::0.203) (0.252::0.252)) + (IOPATH B2 X (0.156::0.156) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4601_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.351::0.351) (0.384::0.384)) + (IOPATH B X (0.351::0.351) (0.399::0.400)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4602_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.237::0.237)) + (IOPATH B X (0.142::0.142) (0.239::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _4603_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4604_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4605_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.157::0.157) (0.234::0.234)) + (IOPATH B1 X (0.229::0.229) (0.370::0.370)) + (IOPATH B2 X (0.126::0.126) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4606_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.169::0.169) (0.222::0.222)) + (IOPATH A2 X (0.162::0.162) (0.238::0.238)) + (IOPATH B1 X (0.192::0.192) (0.254::0.254)) + (IOPATH B2 X (0.132::0.132) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4607_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.222::0.222)) + (IOPATH A2 X (0.161::0.161) (0.238::0.238)) + (IOPATH B1 X (0.192::0.192) (0.253::0.253)) + (IOPATH B2 X (0.131::0.131) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4608_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.224::0.224)) + (IOPATH A2 X (0.158::0.158) (0.235::0.235)) + (IOPATH B1 X (0.198::0.198) (0.288::0.288)) + (IOPATH B2 X (0.128::0.128) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4609_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.215::0.215)) + (IOPATH A2 X (0.159::0.159) (0.235::0.235)) + (IOPATH B1 X (0.193::0.193) (0.266::0.266)) + (IOPATH B2 X (0.129::0.129) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4610_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.220::0.220)) + (IOPATH A2 X (0.163::0.163) (0.240::0.240)) + (IOPATH B1 X (0.192::0.192) (0.245::0.245)) + (IOPATH B2 X (0.133::0.133) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4611_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.262::0.263) (0.674::0.675)) + (IOPATH B X (0.258::0.259) (0.652::0.654)) + (IOPATH C X (0.242::0.242) (0.622::0.623)) + (IOPATH D X (0.250::0.251) (0.553::0.554)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4612_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.252::0.252) (0.337::0.337)) + (IOPATH B X (0.249::0.249) (0.350::0.350)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4613_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.329::0.329)) + (IOPATH B X (0.167::0.167) (0.264::0.264)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _4614_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.184) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4615_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4616_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.213::0.213)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.185::0.185) (0.251::0.251)) + (IOPATH B2 X (0.122::0.122) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4617_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.213::0.213)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.186::0.186) (0.249::0.249)) + (IOPATH B2 X (0.123::0.123) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4618_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.210::0.210)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.199::0.199) (0.287::0.287)) + (IOPATH B2 X (0.126::0.126) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4619_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.217::0.217)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.196::0.196) (0.269::0.269)) + (IOPATH B2 X (0.129::0.129) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4620_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.220::0.220)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.189::0.189) (0.243::0.243)) + (IOPATH B2 X (0.128::0.128) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4621_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.321::0.321) (0.727::0.727)) + (IOPATH B X (0.334::0.335) (0.705::0.706)) + (IOPATH C X (0.327::0.328) (0.669::0.670)) + (IOPATH D X (0.326::0.327) (0.605::0.606)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _4622_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.393::0.393)) + (IOPATH B X (0.257::0.257) (0.418::0.418)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4623_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.246::0.246) (0.356::0.356)) + (IOPATH B X (0.206::0.206) (0.295::0.296)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4624_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.198::0.198) (0.372::0.372)) + (IOPATH A1 X (0.137::0.137) (0.288::0.288)) + (IOPATH S X (0.216::0.216) (0.332::0.332)) + (IOPATH S X (0.153::0.154) (0.322::0.322)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4625_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.081::0.084) (0.090::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4626_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.189::0.189) (0.341::0.341)) + (IOPATH A1 X (0.143::0.143) (0.295::0.295)) + (IOPATH S X (0.213::0.213) (0.329::0.329)) + (IOPATH S X (0.151::0.151) (0.319::0.320)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4627_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.076::0.079) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4628_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.321::0.321) (0.247::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_4") + (INSTANCE _4629_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.000::0.000)) + (IOPATH B X (0.000::0.000)) + (IOPATH C X (0.343::0.343) (0.486::0.486)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_8") + (INSTANCE _4630_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.292::0.292) (0.125::0.125)) + (IOPATH B Y (0.270::0.270) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _4631_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.183::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4632_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4633_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4634_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.234::0.234)) + (IOPATH B X (0.108::0.108) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _4635_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4636_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4637_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.215::0.215)) + (IOPATH A2 X (0.149::0.149) (0.234::0.234)) + (IOPATH B1 X (0.110::0.115) (0.174::0.177)) + (IOPATH B2 X (0.143::0.143) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4638_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4639_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.092::0.092) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4640_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.133::0.133) (0.204::0.204)) + (IOPATH A2 X (0.153::0.153) (0.238::0.238)) + (IOPATH B1 X (0.118::0.122) (0.181::0.182)) + (IOPATH B2 X (0.148::0.148) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4641_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4642_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4643_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.211::0.211)) + (IOPATH A2 X (0.160::0.160) (0.244::0.244)) + (IOPATH B1 X (0.123::0.126) (0.185::0.186)) + (IOPATH B2 X (0.155::0.155) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4644_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4645_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_2") + (INSTANCE _4646_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.235::0.235)) + (IOPATH A2 X (0.163::0.163) (0.271::0.271)) + (IOPATH B1 X (0.126::0.131) (0.213::0.215)) + (IOPATH B2 X (0.159::0.159) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4647_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.119) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4648_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4649_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.217::0.217)) + (IOPATH A2 X (0.166::0.166) (0.249::0.249)) + (IOPATH B1 X (0.125::0.129) (0.187::0.188)) + (IOPATH B2 X (0.161::0.161) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4650_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4651_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4652_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.210::0.210)) + (IOPATH A2 X (0.155::0.155) (0.240::0.240)) + (IOPATH B1 X (0.117::0.120) (0.180::0.181)) + (IOPATH B2 X (0.150::0.150) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4653_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4654_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4655_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.212::0.212)) + (IOPATH A2 X (0.158::0.158) (0.243::0.243)) + (IOPATH B1 X (0.121::0.124) (0.183::0.184)) + (IOPATH B2 X (0.153::0.153) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4656_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4657_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.089::0.089) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _4658_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.561::0.561)) + (IOPATH B X (0.184::0.184) (0.528::0.528)) + (IOPATH C X (0.185::0.185) (0.494::0.494)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4659_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.137::0.137) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4660_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4661_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.408::0.409)) + (IOPATH B X (0.170::0.170) (0.400::0.401)) + (IOPATH C X (0.139::0.139) (0.351::0.351)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _4662_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.191::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4663_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.266) (0.384::0.384)) + (IOPATH B X (0.228::0.228) (0.304::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4664_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.134) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4665_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.175) (0.427::0.427)) + (IOPATH B X (0.127::0.127) (0.371::0.371)) + (IOPATH C X (0.154::0.155) (0.348::0.349)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _4666_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.213) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4667_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.339::0.339) (0.416::0.416)) + (IOPATH B X (0.322::0.322) (0.358::0.358)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4668_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4669_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.273::0.273) (0.695::0.695)) + (IOPATH B X (0.271::0.271) (0.674::0.674)) + (IOPATH C X (0.279::0.280) (0.636::0.638)) + (IOPATH D X (0.278::0.280) (0.573::0.574)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4670_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.379::0.379) (0.433::0.433)) + (IOPATH B X (0.373::0.373) (0.380::0.380)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4671_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.202::0.202) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4672_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.303::0.303) (0.410::0.411)) + (IOPATH B X (0.255::0.255) (0.333::0.333)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4673_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.233::0.233)) + (IOPATH A2 X (0.193::0.193) (0.249::0.249)) + (IOPATH B1 X (0.190::0.190) (0.209::0.209)) + (IOPATH B2 X (0.157::0.157) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4674_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.205) (0.299::0.299)) + (IOPATH A2 X (0.194::0.194) (0.255::0.255)) + (IOPATH B1 X (0.202::0.202) (0.266::0.266)) + (IOPATH B2 X (0.225::0.226) (0.242::0.242)) + (IOPATH C1 X (0.163::0.164) (0.114::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4675_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.249::0.249) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4676_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.419::0.419) (0.421::0.421)) + (IOPATH B X (0.421::0.422) (0.426::0.427)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4677_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.186::0.186) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4678_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.270::0.270) (0.379::0.380)) + (IOPATH B X (0.274::0.274) (0.333::0.333)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4679_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.274::0.274) (0.361::0.361)) + (IOPATH B X (0.312::0.313) (0.393::0.393)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4680_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4681_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4682_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.300::0.300) (0.370::0.370)) + (IOPATH B X (0.306::0.307) (0.377::0.378)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _4683_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.402::0.402) (0.325::0.325)) + (IOPATH A2_N X (0.403::0.403) (0.319::0.319)) + (IOPATH B1 X (0.289::0.289) (0.417::0.417)) + (IOPATH B2 X (0.306::0.306) (0.409::0.409)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4684_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.281::0.281) (0.332::0.332)) + (IOPATH A2 X (0.294::0.294) (0.340::0.340)) + (IOPATH B1 X (0.261::0.261) (0.278::0.278)) + (IOPATH B2 X (0.246::0.246) (0.262::0.263)) + (IOPATH C1 X (0.251::0.252) (0.176::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4685_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4686_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.269::0.269) (0.379::0.379)) + (IOPATH B X (0.272::0.272) (0.333::0.333)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4687_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4688_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.674::0.675)) + (IOPATH B X (0.254::0.255) (0.649::0.650)) + (IOPATH C X (0.253::0.254) (0.618::0.619)) + (IOPATH D X (0.234::0.234) (0.553::0.553)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4689_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.372::0.373) (0.419::0.420)) + (IOPATH B X (0.382::0.382) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4690_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4691_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.299::0.299) (0.408::0.409)) + (IOPATH B X (0.266::0.266) (0.332::0.332)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4692_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.261::0.261)) + (IOPATH B X (0.139::0.139) (0.239::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4693_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.184::0.184) (0.273::0.273)) + (IOPATH A2 X (0.195::0.195) (0.253::0.254)) + (IOPATH B1 X (0.188::0.188) (0.251::0.251)) + (IOPATH B2 X (0.234::0.234) (0.256::0.256)) + (IOPATH C1 X (0.151::0.152) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4694_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.235::0.235) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4695_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.298::0.298) (0.392::0.393)) + (IOPATH B X (0.308::0.308) (0.352::0.352)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4696_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.045::0.045)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4697_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.278::0.278)) + (IOPATH B X (0.168::0.168) (0.284::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _4698_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4699_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4700_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.292::0.293) (0.391::0.392)) + (IOPATH B X (0.282::0.282) (0.339::0.339)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4701_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.204::0.204) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4702_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.417::0.417) (0.452::0.453)) + (IOPATH B X (0.393::0.393) (0.388::0.388)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4703_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.219::0.219)) + (IOPATH A2 X (0.159::0.159) (0.218::0.218)) + (IOPATH B1 X (0.182::0.182) (0.201::0.201)) + (IOPATH B2 X (0.176::0.176) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4704_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.229::0.229) (0.288::0.288)) + (IOPATH A2 X (0.213::0.213) (0.266::0.267)) + (IOPATH B1 X (0.166::0.166) (0.215::0.215)) + (IOPATH B2 X (0.224::0.224) (0.260::0.260)) + (IOPATH C1 X (0.153::0.153) (0.106::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _4705_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.218) (0.171::0.176)) + (IOPATH B X (0.242::0.242) (0.207::0.213)) + (IOPATH C X (0.231::0.232) (0.208::0.213)) + (IOPATH D X (0.239::0.239) (0.220::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4706_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4707_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.305::0.305) (0.407::0.407)) + (IOPATH B X (0.272::0.272) (0.333::0.333)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4708_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.185::0.185) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4709_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.371::0.371) (0.445::0.445)) + (IOPATH B X (0.333::0.333) (0.360::0.360)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4710_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.156::0.156) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4711_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.276::0.276) (0.384::0.384)) + (IOPATH B X (0.263::0.263) (0.328::0.328)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4712_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.489::0.489) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4713_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.305::0.306) (0.408::0.408)) + (IOPATH B X (0.269::0.269) (0.327::0.327)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4714_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.171::0.171) (0.223::0.223)) + (IOPATH A2 X (0.157::0.157) (0.217::0.217)) + (IOPATH B1 X (0.250::0.250) (0.289::0.289)) + (IOPATH B2 X (0.152::0.152) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4715_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.234::0.234) (0.315::0.315)) + (IOPATH A2 X (0.243::0.243) (0.289::0.289)) + (IOPATH B1 X (0.259::0.259) (0.277::0.277)) + (IOPATH B2 X (0.265::0.266) (0.273::0.273)) + (IOPATH C1 X (0.195::0.197) (0.136::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4716_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4717_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.365::0.365) (0.422::0.423)) + (IOPATH B X (0.369::0.369) (0.379::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4718_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4719_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.294::0.295) (0.391::0.392)) + (IOPATH B X (0.302::0.302) (0.348::0.348)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4720_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4721_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.377::0.378) (0.436::0.436)) + (IOPATH B X (0.351::0.351) (0.369::0.369)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4722_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.208::0.208) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4723_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.290::0.290) (0.386::0.387)) + (IOPATH B X (0.298::0.298) (0.341::0.341)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4724_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.171::0.171) (0.250::0.250)) + (IOPATH A2 X (0.194::0.194) (0.247::0.247)) + (IOPATH B1 X (0.197::0.197) (0.215::0.215)) + (IOPATH B2 X (0.174::0.174) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4725_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.211::0.211) (0.302::0.302)) + (IOPATH A2 X (0.245::0.245) (0.296::0.296)) + (IOPATH B1 X (0.204::0.204) (0.263::0.263)) + (IOPATH B2 X (0.226::0.226) (0.244::0.244)) + (IOPATH C1 X (0.173::0.174) (0.121::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4726_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4727_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.350::0.350) (0.420::0.421)) + (IOPATH B X (0.330::0.330) (0.359::0.359)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4728_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.155) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _4729_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.672::0.672)) + (IOPATH B X (0.236::0.236) (0.648::0.649)) + (IOPATH C X (0.250::0.252) (0.616::0.617)) + (IOPATH D X (0.231::0.231) (0.551::0.551)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4730_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.351::0.351)) + (IOPATH B X (0.233::0.233) (0.308::0.308)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4731_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.282::0.282)) + (IOPATH B X (0.126::0.126) (0.230::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4732_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4733_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.369::0.369) (0.402::0.402)) + (IOPATH B X (0.400::0.400) (0.425::0.425)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4734_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4735_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.357::0.357) (0.417::0.417)) + (IOPATH B X (0.362::0.362) (0.371::0.371)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4736_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.198::0.198) (0.266::0.266)) + (IOPATH A2 X (0.236::0.236) (0.285::0.285)) + (IOPATH B1 X (0.190::0.190) (0.228::0.228)) + (IOPATH B2 X (0.223::0.223) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4737_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.176::0.176) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4738_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.258::0.258)) + (IOPATH B X (0.151::0.151) (0.271::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _4739_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.211) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4740_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4741_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.308::0.309) (0.395::0.395)) + (IOPATH B X (0.325::0.325) (0.355::0.355)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4742_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.170::0.170) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4743_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.318::0.318) (0.401::0.402)) + (IOPATH B X (0.319::0.319) (0.354::0.354)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4744_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.217::0.217) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4745_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.340::0.340) (0.391::0.391)) + (IOPATH B X (0.330::0.331) (0.386::0.387)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4746_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.182::0.182) (0.230::0.230)) + (IOPATH A2 X (0.179::0.179) (0.233::0.233)) + (IOPATH B1 X (0.197::0.197) (0.214::0.214)) + (IOPATH B2 X (0.178::0.178) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4747_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.247::0.247) (0.312::0.312)) + (IOPATH A2 X (0.251::0.251) (0.285::0.285)) + (IOPATH B1 X (0.241::0.241) (0.297::0.297)) + (IOPATH B2 X (0.268::0.268) (0.275::0.276)) + (IOPATH C1 X (0.200::0.201) (0.139::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _4748_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.224::0.224) (0.282::0.282)) + (IOPATH A2 X (0.232::0.232) (0.284::0.285)) + (IOPATH B1 X (0.204::0.204) (0.154::0.154)) + (IOPATH C1 X (0.210::0.210) (0.151::0.156)) + (IOPATH D1 X (0.198::0.199) (0.134::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4749_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4750_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.356::0.356) (0.403::0.403)) + (IOPATH B X (0.354::0.354) (0.403::0.403)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4751_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.082::0.082) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4752_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.368::0.368) (0.404::0.404)) + (IOPATH B X (0.411::0.411) (0.447::0.447)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4753_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.053) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4754_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.175::0.175) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4755_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.269::0.269)) + (IOPATH B X (0.167::0.168) (0.285::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _4756_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.191::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _4757_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.180::0.180) (0.364::0.364)) + (IOPATH A2 X (0.213::0.213) (0.402::0.402)) + (IOPATH A3 X (0.125::0.125) (0.276::0.276)) + (IOPATH B1 X (0.191::0.191) (0.192::0.192)) + (IOPATH B2 X (0.175::0.175) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4758_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.283::0.283)) + (IOPATH A2 X (0.227::0.227) (0.279::0.280)) + (IOPATH B1 X (0.175::0.175) (0.215::0.215)) + (IOPATH B2 X (0.235::0.235) (0.251::0.252)) + (IOPATH C1 X (0.155::0.156) (0.109::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4759_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4760_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.340::0.341) (0.412::0.413)) + (IOPATH B X (0.333::0.333) (0.360::0.360)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4761_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.293::0.293) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4762_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.305::0.306) (0.410::0.410)) + (IOPATH B X (0.269::0.269) (0.329::0.329)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4763_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076::0.076) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4764_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.327::0.327)) + (IOPATH B X (0.220::0.220) (0.329::0.330)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4765_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4766_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.311::0.311) (0.397::0.397)) + (IOPATH B X (0.321::0.321) (0.352::0.352)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4767_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.290::0.290) (0.302::0.302)) + (IOPATH A2 X (0.298::0.298) (0.308::0.308)) + (IOPATH B1 X (0.297::0.297) (0.310::0.310)) + (IOPATH B2 X (0.320::0.320) (0.305::0.305)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4768_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.331::0.331) (0.375::0.375)) + (IOPATH A2 X (0.360::0.360) (0.361::0.361)) + (IOPATH B1 X (0.387::0.387) (0.369::0.369)) + (IOPATH B2 X (0.335::0.335) (0.320::0.321)) + (IOPATH C1 X (0.352::0.352) (0.234::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4769_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4770_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.362::0.362) (0.420::0.420)) + (IOPATH B X (0.380::0.380) (0.382::0.382)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4771_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4772_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.320::0.320) (0.383::0.383)) + (IOPATH B X (0.319::0.319) (0.383::0.384)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4773_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.169) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4774_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.350::0.351) (0.419::0.419)) + (IOPATH B X (0.359::0.359) (0.378::0.378)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4775_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4776_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.268::0.268) (0.382::0.382)) + (IOPATH B X (0.236::0.236) (0.308::0.308)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _4777_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.469::0.469) (0.420::0.420)) + (IOPATH A2 X (0.475::0.475) (0.429::0.429)) + (IOPATH B1 X (0.440::0.440) (0.411::0.411)) + (IOPATH B2 X (0.429::0.429) (0.379::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4778_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.274::0.274)) + (IOPATH A2 X (0.248::0.248) (0.299::0.299)) + (IOPATH B1 X (0.206::0.206) (0.238::0.238)) + (IOPATH B2 X (0.238::0.238) (0.251::0.251)) + (IOPATH C1 X (0.266::0.266) (0.195::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4779_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4780_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.334::0.335) (0.407::0.408)) + (IOPATH B X (0.342::0.342) (0.363::0.363)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4781_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4782_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.282::0.282)) + (IOPATH B X (0.189::0.189) (0.304::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _4783_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4784_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.085) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4785_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.236) (0.358::0.359)) + (IOPATH B X (0.245::0.245) (0.316::0.316)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4786_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.089) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4787_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.345::0.345)) + (IOPATH B X (0.250::0.250) (0.350::0.351)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _4788_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.416::0.416) (0.393::0.393)) + (IOPATH A2 X (0.424::0.424) (0.401::0.401)) + (IOPATH B1 X (0.410::0.410) (0.374::0.374)) + (IOPATH B2 X (0.421::0.422) (0.378::0.378)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4789_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.210::0.210) (0.295::0.295)) + (IOPATH A2 X (0.245::0.245) (0.292::0.293)) + (IOPATH B1 X (0.204::0.204) (0.264::0.264)) + (IOPATH B2 X (0.228::0.228) (0.242::0.242)) + (IOPATH C1 X (0.265::0.266) (0.191::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _4790_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.166) (0.140::0.145)) + (IOPATH B X (0.224::0.224) (0.203::0.211)) + (IOPATH C X (0.187::0.188) (0.185::0.189)) + (IOPATH D X (0.194::0.194) (0.196::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _4791_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.289::0.290) (0.211::0.218)) + (IOPATH B X (0.290::0.291) (0.230::0.235)) + (IOPATH C X (0.299::0.299) (0.248::0.253)) + (IOPATH D X (0.300::0.300) (0.254::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4792_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.056) (0.045::0.045)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4793_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4794_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.338::0.338) (0.379::0.379)) + (IOPATH B X (0.371::0.371) (0.424::0.425)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4795_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.080::0.080) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4796_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.272::0.272) (0.346::0.346)) + (IOPATH B X (0.267::0.267) (0.359::0.359)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4797_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4798_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.204::0.204)) + (IOPATH A2 X (0.160::0.160) (0.219::0.219)) + (IOPATH B1 X (0.138::0.138) (0.177::0.177)) + (IOPATH B2 X (0.146::0.146) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4799_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.263::0.263) (0.306::0.306)) + (IOPATH A2 X (0.301::0.301) (0.324::0.324)) + (IOPATH B1 X (0.303::0.303) (0.347::0.347)) + (IOPATH B2 X (0.320::0.320) (0.309::0.310)) + (IOPATH C1 X (0.246::0.246) (0.165::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4800_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4801_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.162) (0.413::0.413)) + (IOPATH B X (0.137::0.138) (0.372::0.373)) + (IOPATH C X (0.126::0.126) (0.326::0.327)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _4802_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.204) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4803_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.356::0.356)) + (IOPATH B X (0.232::0.232) (0.304::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4804_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.180::0.180) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4805_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.359::0.359) (0.417::0.418)) + (IOPATH B X (0.368::0.368) (0.371::0.371)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4806_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.358::0.358)) + (IOPATH B X (0.116::0.116) (0.343::0.343)) + (IOPATH C X (0.117::0.117) (0.312::0.312)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _4807_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4808_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4809_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4810_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.296::0.296) (0.405::0.406)) + (IOPATH B X (0.251::0.251) (0.318::0.318)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _4811_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.305::0.305) (0.470::0.470)) + (IOPATH A2 X (0.294::0.294) (0.421::0.421)) + (IOPATH A3 X (0.240::0.240) (0.360::0.360)) + (IOPATH B1 X (0.270::0.270) (0.280::0.280)) + (IOPATH B2 X (0.264::0.265) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4812_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.269::0.269) (0.330::0.330)) + (IOPATH A2 X (0.273::0.273) (0.308::0.308)) + (IOPATH B1 X (0.294::0.294) (0.342::0.342)) + (IOPATH B2 X (0.319::0.319) (0.313::0.313)) + (IOPATH C1 X (0.280::0.281) (0.195::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4813_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4814_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.231::0.231) (0.264::0.264)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4815_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.402::0.402) (0.402::0.402)) + (IOPATH B X (0.395::0.395) (0.413::0.413)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _4816_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.245::0.245) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4817_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.311::0.311) (0.367::0.367)) + (IOPATH B X (0.316::0.317) (0.384::0.385)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4818_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4819_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.245::0.245)) + (IOPATH A2 X (0.168::0.168) (0.223::0.224)) + (IOPATH B1 X (0.139::0.139) (0.194::0.194)) + (IOPATH B2 X (0.139::0.139) (0.178::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4820_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.273::0.273)) + (IOPATH A2 X (0.213::0.213) (0.275::0.275)) + (IOPATH B1 X (0.263::0.263) (0.341::0.341)) + (IOPATH B2 X (0.268::0.268) (0.281::0.282)) + (IOPATH C1 X (0.175::0.176) (0.122::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4821_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4822_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.278::0.278) (0.351::0.351)) + (IOPATH B X (0.302::0.303) (0.390::0.390)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4823_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.305::0.305) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4824_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.265) (0.344::0.344)) + (IOPATH B X (0.305::0.305) (0.393::0.393)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4825_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.235::0.235) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4826_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.293::0.293)) + (IOPATH B X (0.212::0.212) (0.316::0.317)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4827_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4828_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.199::0.199) (0.243::0.243)) + (IOPATH A2 X (0.150::0.150) (0.212::0.212)) + (IOPATH B1 X (0.152::0.152) (0.181::0.181)) + (IOPATH B2 X (0.166::0.166) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4829_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.198::0.198) (0.262::0.262)) + (IOPATH A2 X (0.196::0.196) (0.253::0.253)) + (IOPATH B1 X (0.248::0.248) (0.269::0.269)) + (IOPATH B2 X (0.194::0.194) (0.219::0.219)) + (IOPATH C1 X (0.148::0.149) (0.103::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _4830_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.258::0.259) (0.199::0.206)) + (IOPATH B X (0.262::0.262) (0.222::0.229)) + (IOPATH C X (0.247::0.248) (0.222::0.228)) + (IOPATH D X (0.245::0.246) (0.224::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4831_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4832_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.316::0.316) (0.368::0.368)) + (IOPATH B X (0.311::0.311) (0.380::0.380)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _4833_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.220::0.220) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4834_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.414::0.414) (0.414::0.414)) + (IOPATH B X (0.412::0.412) (0.427::0.428)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4835_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4836_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4837_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.271::0.271) (0.386::0.387)) + (IOPATH B X (0.231::0.231) (0.304::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4838_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.181::0.181) (0.262::0.262)) + (IOPATH A2 X (0.202::0.202) (0.261::0.261)) + (IOPATH B1 X (0.158::0.158) (0.212::0.212)) + (IOPATH B2 X (0.151::0.151) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4839_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.193) (0.288::0.288)) + (IOPATH A2 X (0.215::0.215) (0.267::0.268)) + (IOPATH B1 X (0.231::0.231) (0.251::0.251)) + (IOPATH B2 X (0.242::0.242) (0.259::0.259)) + (IOPATH C1 X (0.156::0.157) (0.109::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4840_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4841_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.289::0.289) (0.355::0.355)) + (IOPATH B X (0.284::0.284) (0.367::0.367)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4842_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.281::0.281) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4843_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.452::0.452) (0.478::0.479)) + (IOPATH B X (0.418::0.418) (0.399::0.399)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _4844_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.348::0.348)) + (IOPATH B X (0.199::0.199) (0.276::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4845_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.295::0.295)) + (IOPATH A2 X (0.214::0.214) (0.267::0.268)) + (IOPATH B1 X (0.250::0.250) (0.271::0.271)) + (IOPATH B2 X (0.250::0.251) (0.265::0.265)) + (IOPATH C1 X (0.186::0.186) (0.134::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4846_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.201::0.201) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4847_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.331::0.332) (0.408::0.409)) + (IOPATH B X (0.325::0.325) (0.353::0.353)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4848_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4849_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.272::0.272) (0.379::0.380)) + (IOPATH B X (0.274::0.274) (0.329::0.329)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _4850_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.193::0.193) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4851_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.537::0.537) (0.466::0.466)) + (IOPATH B X (0.554::0.554) (0.492::0.492)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4852_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4853_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.227::0.227) (0.263::0.263)) + (IOPATH A2 X (0.258::0.258) (0.317::0.317)) + (IOPATH B1 X (0.194::0.194) (0.247::0.247)) + (IOPATH B2 X (0.225::0.225) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4854_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.289::0.289) (0.329::0.329)) + (IOPATH A2 X (0.289::0.289) (0.319::0.319)) + (IOPATH B1 X (0.258::0.258) (0.305::0.305)) + (IOPATH B2 X (0.272::0.272) (0.279::0.279)) + (IOPATH C1 X (0.237::0.237) (0.162::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4855_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4856_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.487::0.487) (0.445::0.445)) + (IOPATH B X (0.510::0.510) (0.476::0.477)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4857_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.420::0.420) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4858_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.152::0.152) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4859_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.339::0.340) (0.413::0.413)) + (IOPATH B X (0.322::0.322) (0.352::0.352)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4860_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4861_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.346::0.346) (0.382::0.382)) + (IOPATH B X (0.381::0.381) (0.425::0.425)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4862_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.266::0.266)) + (IOPATH A2 X (0.193::0.193) (0.245::0.245)) + (IOPATH B1 X (0.187::0.187) (0.208::0.208)) + (IOPATH B2 X (0.189::0.189) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4863_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.217::0.217) (0.312::0.312)) + (IOPATH A2 X (0.271::0.271) (0.321::0.321)) + (IOPATH B1 X (0.300::0.300) (0.320::0.320)) + (IOPATH B2 X (0.255::0.255) (0.268::0.269)) + (IOPATH C1 X (0.173::0.173) (0.120::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _4864_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.167) (0.141::0.146)) + (IOPATH B X (0.180::0.181) (0.168::0.173)) + (IOPATH C X (0.204::0.204) (0.199::0.206)) + (IOPATH D X (0.193::0.194) (0.194::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4865_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4866_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.363::0.363) (0.392::0.392)) + (IOPATH B X (0.393::0.393) (0.431::0.431)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _4867_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.266::0.266) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4868_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.343::0.343) (0.378::0.378)) + (IOPATH B X (0.363::0.364) (0.406::0.407)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4869_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.051::0.051) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4870_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.463::0.463) (0.419::0.419)) + (IOPATH B X (0.444::0.445) (0.422::0.423)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4871_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.050::0.050) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4872_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.246::0.246) (0.276::0.276)) + (IOPATH A2 X (0.326::0.326) (0.355::0.356)) + (IOPATH B1 X (0.235::0.235) (0.254::0.254)) + (IOPATH B2 X (0.251::0.252) (0.264::0.264)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4873_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.217::0.217) (0.278::0.278)) + (IOPATH A2 X (0.237::0.237) (0.289::0.289)) + (IOPATH B1 X (0.260::0.260) (0.284::0.284)) + (IOPATH B2 X (0.239::0.239) (0.254::0.254)) + (IOPATH C1 X (0.210::0.211) (0.149::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4874_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4875_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4876_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.317::0.317) (0.367::0.367)) + (IOPATH B X (0.319::0.319) (0.383::0.384)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4877_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4878_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.348::0.349) (0.416::0.417)) + (IOPATH B X (0.347::0.347) (0.364::0.364)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4879_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _4880_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.380::0.380) (0.377::0.377)) + (IOPATH A2 X (0.387::0.387) (0.386::0.386)) + (IOPATH B1 X (0.344::0.344) (0.341::0.341)) + (IOPATH B2 X (0.342::0.342) (0.340::0.340)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4881_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.219::0.219) (0.280::0.280)) + (IOPATH A2 X (0.260::0.261) (0.303::0.304)) + (IOPATH B1 X (0.215::0.215) (0.248::0.248)) + (IOPATH B2 X (0.254::0.254) (0.264::0.264)) + (IOPATH C1 X (0.261::0.261) (0.182::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4882_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.430::0.430) (0.241::0.241)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4883_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.264::0.264) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4884_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.357::0.357) (0.388::0.388)) + (IOPATH B X (0.346::0.347) (0.396::0.397)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _4885_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.445::0.445)) + (IOPATH B X (0.184::0.184) (0.442::0.442)) + (IOPATH C_N X (0.239::0.239) (0.421::0.421)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4886_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.312::0.312) (0.373::0.373)) + (IOPATH A2 X (0.265::0.265) (0.307::0.308)) + (IOPATH B1 X (0.280::0.280) (0.293::0.293)) + (IOPATH B2 X (0.269::0.269) (0.277::0.277)) + (IOPATH C1 X (0.211::0.212) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4887_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4888_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4889_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.305::0.305) (0.363::0.363)) + (IOPATH B X (0.296::0.296) (0.372::0.373)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4890_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.228::0.228) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4891_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.367::0.367) (0.439::0.439)) + (IOPATH B X (0.324::0.324) (0.354::0.354)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4892_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4893_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.289::0.289) (0.355::0.355)) + (IOPATH B X (0.317::0.317) (0.389::0.389)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4894_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.245::0.245)) + (IOPATH A2 X (0.184::0.185) (0.238::0.238)) + (IOPATH B1 X (0.149::0.149) (0.184::0.184)) + (IOPATH B2 X (0.164::0.164) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4895_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.275::0.275) (0.314::0.314)) + (IOPATH A2 X (0.324::0.324) (0.345::0.346)) + (IOPATH B1 X (0.296::0.296) (0.301::0.301)) + (IOPATH B2 X (0.305::0.305) (0.300::0.300)) + (IOPATH C1 X (0.245::0.246) (0.165::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _4896_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.160::0.165)) + (IOPATH B X (0.216::0.217) (0.193::0.198)) + (IOPATH C X (0.222::0.223) (0.209::0.215)) + (IOPATH D X (0.240::0.240) (0.233::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4897_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4898_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.422::0.423) (0.445::0.446)) + (IOPATH B X (0.397::0.397) (0.378::0.378)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4899_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4900_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.258::0.258) (0.339::0.339)) + (IOPATH B X (0.250::0.251) (0.350::0.351)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4901_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.062) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4902_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.081::0.081) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4903_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.320::0.320)) + (IOPATH B X (0.218::0.218) (0.328::0.329)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _4904_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.246::0.246) (0.403::0.403)) + (IOPATH A2 X (0.239::0.239) (0.416::0.417)) + (IOPATH A3 X (0.178::0.178) (0.321::0.321)) + (IOPATH B1 X (0.194::0.194) (0.207::0.207)) + (IOPATH B2 X (0.200::0.200) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4905_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.218::0.218) (0.300::0.300)) + (IOPATH A2 X (0.269::0.269) (0.320::0.320)) + (IOPATH B1 X (0.216::0.216) (0.273::0.273)) + (IOPATH B2 X (0.224::0.224) (0.245::0.246)) + (IOPATH C1 X (0.203::0.204) (0.144::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4906_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4907_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.312::0.312) (0.368::0.368)) + (IOPATH B X (0.292::0.293) (0.370::0.371)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4908_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.065) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4909_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.068) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _4910_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.207::0.207) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4911_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.469::0.469) (0.438::0.438)) + (IOPATH B X (0.460::0.460) (0.447::0.447)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _4912_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.260::0.259) (0.412::0.412)) + (IOPATH A2 X (0.274::0.275) (0.445::0.445)) + (IOPATH A3 X (0.194::0.194) (0.331::0.331)) + (IOPATH B1 X (0.271::0.271) (0.256::0.256)) + (IOPATH B2 X (0.278::0.278) (0.275::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _4913_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.514::0.514) (0.460::0.460)) + (IOPATH A2 X (0.538::0.538) (0.468::0.468)) + (IOPATH B1 X (0.486::0.486) (0.423::0.423)) + (IOPATH B2 X (0.549::0.549) (0.447::0.448)) + (IOPATH C1 X (0.505::0.506) (0.295::0.297)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4914_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4915_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.337::0.338) (0.408::0.408)) + (IOPATH B X (0.338::0.338) (0.357::0.357)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4916_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.216::0.216) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4917_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.433::0.434) (0.461::0.461)) + (IOPATH B X (0.401::0.401) (0.389::0.389)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4918_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4919_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.284::0.284) (0.395::0.395)) + (IOPATH B X (0.242::0.242) (0.311::0.311)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4920_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4921_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.258::0.258) (0.373::0.374)) + (IOPATH B X (0.253::0.253) (0.319::0.319)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _4922_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.354::0.354) (0.385::0.385)) + (IOPATH A2 X (0.351::0.351) (0.363::0.363)) + (IOPATH B1 X (0.353::0.353) (0.370::0.370)) + (IOPATH B2 X (0.347::0.347) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4923_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.295::0.295) (0.349::0.349)) + (IOPATH A2 X (0.333::0.333) (0.350::0.350)) + (IOPATH B1 X (0.338::0.338) (0.330::0.330)) + (IOPATH B2 X (0.350::0.350) (0.335::0.335)) + (IOPATH C1 X (0.333::0.333) (0.224::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4924_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4925_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.283::0.284) (0.394::0.394)) + (IOPATH B X (0.243::0.243) (0.312::0.312)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4926_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4927_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.374::0.374) (0.427::0.427)) + (IOPATH B X (0.360::0.360) (0.367::0.367)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4928_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.338::0.338) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4929_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.404::0.404) (0.457::0.458)) + (IOPATH B X (0.361::0.361) (0.371::0.371)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4930_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _4931_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.275::0.276) (0.384::0.384)) + (IOPATH B X (0.256::0.256) (0.320::0.320)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _4932_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.284::0.284) (0.315::0.315)) + (IOPATH A2 X (0.243::0.244) (0.283::0.283)) + (IOPATH B1 X (0.205::0.205) (0.227::0.227)) + (IOPATH B2 X (0.206::0.206) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _4933_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.277::0.277)) + (IOPATH A2 X (0.194::0.194) (0.255::0.255)) + (IOPATH B1 X (0.187::0.187) (0.245::0.245)) + (IOPATH B2 X (0.233::0.233) (0.249::0.249)) + (IOPATH C1 X (0.178::0.178) (0.123::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _4934_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.280::0.281) (0.207::0.213)) + (IOPATH B X (0.377::0.377) (0.310::0.320)) + (IOPATH C X (0.319::0.320) (0.272::0.278)) + (IOPATH D X (0.294::0.295) (0.252::0.257)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _4935_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.523::0.523) (0.336::0.338)) + (IOPATH B X (0.514::0.514) (0.354::0.356)) + (IOPATH C X (0.527::0.527) (0.378::0.381)) + (IOPATH D X (0.550::0.551) (0.409::0.412)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _4936_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.329::0.331) (0.389::0.389)) + (IOPATH B Y (0.386::0.389) (0.416::0.416)) + (IOPATH C Y (0.438::0.440) (0.463::0.463)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _4937_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.231::0.231)) + (IOPATH A2 X (0.175::0.175) (0.267::0.267)) + (IOPATH A3 X (0.233::0.239) (0.431::0.433)) + (IOPATH B1 X (0.128::0.128) (0.204::0.204)) + (IOPATH B2 X (0.144::0.144) (0.226::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4938_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.119) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4939_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.093) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4940_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073::0.073) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4941_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.067) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4942_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4943_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.093) (0.352::0.352)) + (IOPATH B X (0.089::0.089) (0.328::0.328)) + (IOPATH C X (0.106::0.106) (0.312::0.312)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _4944_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4945_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _4946_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.188::0.188) (0.246::0.246)) + (IOPATH A2 X (0.131::0.131) (0.197::0.197)) + (IOPATH B1 X (0.159::0.159) (0.137::0.137)) + (IOPATH C1 X (0.137::0.137) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4947_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4948_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4949_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.179::0.179) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _4950_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.196::0.196)) + (IOPATH B X (0.230::0.230) (0.222::0.222)) + (IOPATH C X (0.222::0.222) (0.237::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _4951_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.095::0.095)) + (IOPATH B Y (0.095::0.097) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4952_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.070) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _4953_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _4954_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.242::0.242)) + (IOPATH A2 X (0.189::0.189) (0.244::0.244)) + (IOPATH B1 X (0.201::0.201) (0.159::0.159)) + (IOPATH C1 X (0.210::0.210) (0.153::0.153)) + (IOPATH D1 X (0.191::0.191) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _4955_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.192::0.192) (0.114::0.114)) + (IOPATH A2 Y (0.153::0.158) (0.078::0.079)) + (IOPATH B1 Y (0.125::0.125) (0.071::0.071)) + (IOPATH B2 Y (0.107::0.110) (0.063::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4956_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4957_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _4958_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.155) (0.078::0.078)) + (IOPATH B Y (0.140::0.140) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _4959_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_1") + (INSTANCE _4960_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.130::0.130) (0.284::0.284)) + (IOPATH A2 X (0.135::0.135) (0.286::0.286)) + (IOPATH A3 X (0.126::0.126) (0.252::0.252)) + (IOPATH B1 X (0.148::0.148) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a41o_1") + (INSTANCE _4961_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.168::0.168) (0.197::0.197)) + (IOPATH A2 X (0.192::0.192) (0.230::0.230)) + (IOPATH A3 X (0.213::0.213) (0.260::0.260)) + (IOPATH A4 X (0.189::0.189) (0.235::0.238)) + (IOPATH B1 X (0.093::0.094) (0.198::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4962_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4963_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _4964_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.413::0.413)) + (IOPATH B X (0.127::0.127) (0.371::0.371)) + (IOPATH C X (0.190::0.190) (0.386::0.386)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _4965_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.202) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _4966_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4967_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.179::0.179) (0.262::0.262)) + (IOPATH B1 X (0.140::0.140) (0.188::0.188)) + (IOPATH B2 X (0.131::0.131) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4968_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4969_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4970_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.217::0.217)) + (IOPATH A2 X (0.180::0.180) (0.263::0.263)) + (IOPATH B1 X (0.138::0.138) (0.187::0.187)) + (IOPATH B2 X (0.132::0.132) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4971_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4972_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4973_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.217::0.217)) + (IOPATH A2 X (0.182::0.182) (0.265::0.265)) + (IOPATH B1 X (0.145::0.145) (0.192::0.192)) + (IOPATH B2 X (0.134::0.134) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4974_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4975_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.088::0.088) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4976_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.220::0.220)) + (IOPATH A2 X (0.181::0.181) (0.265::0.265)) + (IOPATH B1 X (0.141::0.141) (0.189::0.189)) + (IOPATH B2 X (0.134::0.134) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4977_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4978_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4979_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.220::0.220)) + (IOPATH A2 X (0.184::0.184) (0.267::0.267)) + (IOPATH B1 X (0.141::0.141) (0.188::0.188)) + (IOPATH B2 X (0.136::0.136) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4980_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4981_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.089::0.089) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4982_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.220::0.220)) + (IOPATH A2 X (0.188::0.188) (0.271::0.271)) + (IOPATH B1 X (0.145::0.145) (0.192::0.192)) + (IOPATH B2 X (0.140::0.140) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4983_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4984_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.088::0.088) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _4985_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.221::0.221)) + (IOPATH A2 X (0.188::0.188) (0.271::0.271)) + (IOPATH B1 X (0.180::0.180) (0.224::0.224)) + (IOPATH B2 X (0.141::0.141) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4986_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.111::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4987_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.092::0.092) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _4988_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.074::0.074)) + (IOPATH B Y (0.144::0.144) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _4989_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.215::0.215)) + (IOPATH A2 X (0.138::0.138) (0.189::0.189)) + (IOPATH B1 X (0.151::0.151) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _4990_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.345::0.345)) + (IOPATH A2 X (0.158::0.158) (0.315::0.317)) + (IOPATH A3 X (0.164::0.164) (0.289::0.289)) + (IOPATH B1 X (0.138::0.138) (0.176::0.176)) + (IOPATH B2 X (0.120::0.121) (0.143::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _4991_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.167) (0.275::0.279)) + (IOPATH B_N X (0.228::0.228) (0.278::0.279)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4992_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.111::0.112) (0.275::0.276)) + (IOPATH A1 X (0.141::0.141) (0.295::0.295)) + (IOPATH S X (0.213::0.213) (0.331::0.331)) + (IOPATH S X (0.151::0.151) (0.320::0.320)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4993_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.076::0.077) (0.088::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4994_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4995_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.095::0.095) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _4996_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.109::0.110) (0.274::0.274)) + (IOPATH A1 X (0.152::0.152) (0.302::0.302)) + (IOPATH S X (0.212::0.212) (0.329::0.329)) + (IOPATH S X (0.150::0.150) (0.319::0.319)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4997_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.078) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _4998_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.182::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _4999_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5000_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5001_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.116::0.119) (0.281::0.282)) + (IOPATH A1 X (0.142::0.142) (0.304::0.304)) + (IOPATH S X (0.217::0.218) (0.336::0.336)) + (IOPATH S X (0.155::0.155) (0.326::0.326)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5002_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.084) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5003_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5004_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _5005_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.527::0.527)) + (IOPATH B X (0.117::0.117) (0.509::0.509)) + (IOPATH C X (0.120::0.120) (0.472::0.472)) + (IOPATH D X (0.104::0.104) (0.403::0.403)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5006_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.137::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5007_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.180::0.180) (0.312::0.312)) + (IOPATH A1 X (0.136::0.136) (0.298::0.298)) + (IOPATH S X (0.205::0.205) (0.338::0.338)) + (IOPATH S X (0.154::0.154) (0.318::0.318)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5008_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.082) (0.092::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5009_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5010_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5011_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.116) (0.248::0.248)) + (IOPATH B X (0.123::0.123) (0.244::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _5012_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.232::0.232)) + (IOPATH A2 X (0.167::0.167) (0.262::0.262)) + (IOPATH A3 X (0.198::0.198) (0.282::0.282)) + (IOPATH B1 X (0.118::0.118) (0.198::0.198)) + (IOPATH B2 X (0.117::0.117) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5013_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.263::0.263)) + (IOPATH B X (0.176::0.176) (0.275::0.275)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5014_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.201) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5015_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5016_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.225::0.225) (0.336::0.336)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5017_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.155::0.155) (0.241::0.241)) + (IOPATH B1 X (0.233::0.233) (0.341::0.341)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5018_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.213::0.213)) + (IOPATH A2 X (0.152::0.152) (0.238::0.238)) + (IOPATH B1 X (0.232::0.232) (0.351::0.351)) + (IOPATH B2 X (0.146::0.146) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5019_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.213::0.213)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.195::0.195) (0.269::0.269)) + (IOPATH B2 X (0.147::0.147) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5020_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.216::0.216)) + (IOPATH A2 X (0.148::0.148) (0.234::0.234)) + (IOPATH B1 X (0.184::0.184) (0.237::0.237)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5021_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.209::0.209)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.183::0.183) (0.249::0.249)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5022_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.207::0.207)) + (IOPATH A2 X (0.154::0.154) (0.239::0.239)) + (IOPATH B1 X (0.193::0.193) (0.264::0.264)) + (IOPATH B2 X (0.149::0.149) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5023_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.206::0.206)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.190::0.190) (0.249::0.249)) + (IOPATH B2 X (0.146::0.146) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _5024_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.374::0.374)) + (IOPATH B X (0.196::0.197) (0.351::0.352)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5025_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.186) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5026_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5027_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.221::0.221)) + (IOPATH A2 X (0.160::0.160) (0.246::0.246)) + (IOPATH B1 X (0.173::0.174) (0.220::0.220)) + (IOPATH B2 X (0.135::0.135) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5028_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.218::0.218)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.170::0.171) (0.217::0.218)) + (IOPATH B2 X (0.127::0.127) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5029_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.214::0.214)) + (IOPATH A2 X (0.153::0.153) (0.238::0.238)) + (IOPATH B1 X (0.182::0.182) (0.230::0.230)) + (IOPATH B2 X (0.128::0.128) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5030_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.224::0.224)) + (IOPATH A2 X (0.162::0.162) (0.247::0.247)) + (IOPATH B1 X (0.194::0.194) (0.241::0.242)) + (IOPATH B2 X (0.138::0.138) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5031_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.216::0.216)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.175::0.175) (0.223::0.224)) + (IOPATH B2 X (0.124::0.124) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5032_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5033_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5034_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.058) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _5035_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.442::0.442)) + (IOPATH B X (0.183::0.183) (0.416::0.416)) + (IOPATH C X (0.217::0.217) (0.415::0.415)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5036_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.173) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _5037_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.178::0.178)) + (IOPATH A2 X (0.128::0.128) (0.193::0.193)) + (IOPATH A3 X (0.163::0.163) (0.227::0.227)) + (IOPATH B1 X (0.149::0.149) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5038_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.168::0.168) (0.234::0.235)) + (IOPATH A2 X (0.176::0.178) (0.271::0.272)) + (IOPATH B1 X (0.144::0.144) (0.194::0.194)) + (IOPATH B2 X (0.170::0.170) (0.226::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5039_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.172::0.172) (0.236::0.237)) + (IOPATH A2 X (0.169::0.171) (0.265::0.267)) + (IOPATH B1 X (0.134::0.134) (0.186::0.186)) + (IOPATH B2 X (0.162::0.163) (0.220::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5040_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.160) (0.227::0.228)) + (IOPATH A2 X (0.168::0.170) (0.264::0.266)) + (IOPATH B1 X (0.149::0.149) (0.196::0.196)) + (IOPATH B2 X (0.161::0.162) (0.219::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5041_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.160) (0.228::0.229)) + (IOPATH A2 X (0.170::0.172) (0.266::0.268)) + (IOPATH B1 X (0.149::0.149) (0.196::0.196)) + (IOPATH B2 X (0.164::0.164) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5042_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.229::0.231)) + (IOPATH A2 X (0.169::0.171) (0.265::0.267)) + (IOPATH B1 X (0.147::0.147) (0.194::0.194)) + (IOPATH B2 X (0.163::0.163) (0.220::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5043_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.193) (0.255::0.256)) + (IOPATH A2 X (0.192::0.194) (0.283::0.285)) + (IOPATH B1 X (0.172::0.172) (0.213::0.213)) + (IOPATH B2 X (0.187::0.187) (0.238::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5044_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.229::0.230)) + (IOPATH A2 X (0.167::0.169) (0.263::0.265)) + (IOPATH B1 X (0.156::0.156) (0.202::0.202)) + (IOPATH B2 X (0.160::0.160) (0.218::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5045_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.241::0.241)) + (IOPATH B X (0.146::0.146) (0.241::0.241)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5046_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.188) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5047_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.146::0.146) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5048_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.211::0.211)) + (IOPATH A2 X (0.149::0.149) (0.235::0.235)) + (IOPATH B1 X (0.224::0.224) (0.337::0.337)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5049_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.225::0.225) (0.340::0.340)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5050_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.207::0.207)) + (IOPATH A2 X (0.146::0.146) (0.233::0.233)) + (IOPATH B1 X (0.225::0.225) (0.348::0.348)) + (IOPATH B2 X (0.139::0.139) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5051_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.214::0.214)) + (IOPATH A2 X (0.146::0.146) (0.233::0.233)) + (IOPATH B1 X (0.189::0.189) (0.265::0.265)) + (IOPATH B2 X (0.139::0.139) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5052_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.214::0.214)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.185::0.185) (0.241::0.241)) + (IOPATH B2 X (0.140::0.140) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5053_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.211::0.211)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.189::0.189) (0.260::0.260)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5054_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.206::0.206)) + (IOPATH A2 X (0.151::0.151) (0.236::0.236)) + (IOPATH B1 X (0.191::0.191) (0.262::0.262)) + (IOPATH B2 X (0.145::0.145) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5055_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.207::0.207)) + (IOPATH A2 X (0.154::0.154) (0.239::0.239)) + (IOPATH B1 X (0.194::0.194) (0.252::0.252)) + (IOPATH B2 X (0.148::0.148) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _5056_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.138::0.138) (0.090::0.090)) + (IOPATH A2 Y (0.162::0.162) (0.089::0.089)) + (IOPATH B1 Y (0.110::0.110) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _5057_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.154::0.154) (0.090::0.090)) + (IOPATH A2 Y (0.162::0.162) (0.097::0.097)) + (IOPATH B1 Y (0.120::0.120) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _5058_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.198::0.198)) + (IOPATH B X (0.191::0.191) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _5059_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.167::0.167)) + (IOPATH B X (0.138::0.138) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5060_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _5061_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.163::0.163) (0.088::0.088)) + (IOPATH A2 Y (0.147::0.147) (0.084::0.085)) + (IOPATH B1 Y (0.132::0.132) (0.104::0.104)) + (IOPATH B2 Y (0.108::0.108) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _5062_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.115) (0.502::0.509)) + (IOPATH B X (0.113::0.119) (0.485::0.491)) + (IOPATH C X (0.122::0.122) (0.454::0.459)) + (IOPATH D X (0.132::0.132) (0.398::0.399)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5063_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.194) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5064_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.118::0.119) (0.281::0.282)) + (IOPATH A1 X (0.134::0.134) (0.293::0.293)) + (IOPATH S X (0.209::0.209) (0.330::0.330)) + (IOPATH S X (0.148::0.148) (0.318::0.318)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5065_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.076::0.077) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5066_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.114::0.114) (0.277::0.277)) + (IOPATH A1 X (0.130::0.130) (0.288::0.288)) + (IOPATH S X (0.206::0.206) (0.325::0.325)) + (IOPATH S X (0.145::0.145) (0.313::0.313)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5067_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.073::0.074) (0.086::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5068_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.115::0.116) (0.279::0.280)) + (IOPATH A1 X (0.135::0.135) (0.294::0.294)) + (IOPATH S X (0.210::0.210) (0.331::0.331)) + (IOPATH S X (0.149::0.149) (0.319::0.319)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5069_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.080) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5070_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.121::0.122) (0.285::0.285)) + (IOPATH A1 X (0.139::0.139) (0.297::0.297)) + (IOPATH S X (0.212::0.212) (0.333::0.333)) + (IOPATH S X (0.151::0.151) (0.321::0.321)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5071_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.080) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5072_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.117::0.118) (0.280::0.281)) + (IOPATH A1 X (0.134::0.134) (0.292::0.292)) + (IOPATH S X (0.208::0.208) (0.329::0.329)) + (IOPATH S X (0.147::0.147) (0.317::0.317)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5073_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.078) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5074_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.121::0.122) (0.286::0.286)) + (IOPATH A1 X (0.141::0.141) (0.299::0.299)) + (IOPATH S X (0.213::0.213) (0.335::0.335)) + (IOPATH S X (0.152::0.152) (0.323::0.323)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5075_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.080) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5076_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.114::0.115) (0.278::0.278)) + (IOPATH A1 X (0.134::0.134) (0.291::0.291)) + (IOPATH S X (0.207::0.207) (0.328::0.328)) + (IOPATH S X (0.146::0.146) (0.316::0.316)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5077_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.084) (0.093::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5078_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.113::0.114) (0.277::0.277)) + (IOPATH A1 X (0.133::0.133) (0.291::0.291)) + (IOPATH S X (0.208::0.208) (0.328::0.328)) + (IOPATH S X (0.147::0.147) (0.316::0.316)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5079_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.078) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5080_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.269::0.269)) + (IOPATH B X (0.127::0.127) (0.226::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5081_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.179) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5082_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5083_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.206::0.206)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.189::0.189) (0.268::0.268)) + (IOPATH B2 X (0.125::0.125) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5084_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.207::0.207)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.189::0.189) (0.260::0.260)) + (IOPATH B2 X (0.126::0.126) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5085_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.214::0.214)) + (IOPATH A2 X (0.168::0.168) (0.253::0.253)) + (IOPATH B1 X (0.203::0.203) (0.273::0.273)) + (IOPATH B2 X (0.142::0.142) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5086_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.214::0.214)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.193::0.193) (0.268::0.268)) + (IOPATH B2 X (0.133::0.133) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5087_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.161::0.161) (0.248::0.248)) + (IOPATH B1 X (0.192::0.192) (0.246::0.246)) + (IOPATH B2 X (0.134::0.134) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _5088_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5089_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.236::0.236)) + (IOPATH B X (0.147::0.148) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _5090_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.212) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _5091_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5092_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.206::0.206)) + (IOPATH A2 X (0.164::0.164) (0.238::0.238)) + (IOPATH B1 X (0.217::0.217) (0.305::0.305)) + (IOPATH B2 X (0.131::0.131) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5093_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.212::0.212)) + (IOPATH A2 X (0.172::0.172) (0.245::0.245)) + (IOPATH B1 X (0.229::0.229) (0.313::0.313)) + (IOPATH B2 X (0.139::0.139) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5094_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.166::0.166) (0.240::0.240)) + (IOPATH B1 X (0.226::0.226) (0.329::0.329)) + (IOPATH B2 X (0.134::0.134) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5095_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.212::0.212)) + (IOPATH A2 X (0.166::0.166) (0.239::0.239)) + (IOPATH B1 X (0.185::0.185) (0.244::0.244)) + (IOPATH B2 X (0.133::0.133) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5096_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.167::0.167) (0.240::0.240)) + (IOPATH B1 X (0.182::0.182) (0.220::0.220)) + (IOPATH B2 X (0.134::0.134) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5097_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.236::0.236)) + (IOPATH A2 X (0.172::0.172) (0.245::0.245)) + (IOPATH B1 X (0.187::0.187) (0.236::0.236)) + (IOPATH B2 X (0.139::0.139) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5098_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.168::0.168) (0.223::0.223)) + (IOPATH A2 X (0.174::0.174) (0.246::0.246)) + (IOPATH B1 X (0.187::0.187) (0.230::0.230)) + (IOPATH B2 X (0.141::0.141) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5099_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.187::0.187) (0.236::0.236)) + (IOPATH A2 X (0.174::0.174) (0.246::0.246)) + (IOPATH B1 X (0.190::0.190) (0.227::0.227)) + (IOPATH B2 X (0.142::0.142) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5100_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.287::0.287)) + (IOPATH B X (0.126::0.126) (0.225::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5101_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.166) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5102_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5103_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.205::0.205)) + (IOPATH A2 X (0.155::0.155) (0.240::0.240)) + (IOPATH B1 X (0.191::0.191) (0.253::0.253)) + (IOPATH B2 X (0.131::0.131) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5104_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.213::0.213)) + (IOPATH A2 X (0.165::0.165) (0.248::0.248)) + (IOPATH B1 X (0.203::0.203) (0.259::0.259)) + (IOPATH B2 X (0.141::0.141) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5105_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.221::0.221)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.202::0.202) (0.291::0.291)) + (IOPATH B2 X (0.132::0.132) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5106_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.217::0.217)) + (IOPATH A2 X (0.160::0.160) (0.246::0.246)) + (IOPATH B1 X (0.202::0.202) (0.275::0.275)) + (IOPATH B2 X (0.137::0.137) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5107_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.206::0.206)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.183::0.183) (0.237::0.237)) + (IOPATH B2 X (0.126::0.126) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _5108_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.581::0.581)) + (IOPATH B X (0.164::0.164) (0.565::0.565)) + (IOPATH C X (0.166::0.166) (0.534::0.534)) + (IOPATH D X (0.182::0.182) (0.476::0.476)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_2") + (INSTANCE _5109_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.255::0.255)) + (IOPATH A2 X (0.174::0.175) (0.267::0.268)) + (IOPATH B1 X (0.181::0.181) (0.136::0.136)) + (IOPATH C1 X (0.201::0.201) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5110_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.245::0.245)) + (IOPATH B X (0.147::0.147) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5111_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5112_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5113_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.221::0.221)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.228::0.229) (0.348::0.349)) + (IOPATH B2 X (0.147::0.147) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5114_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.218::0.218)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.227::0.227) (0.345::0.345)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5115_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.221::0.221)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.229::0.229) (0.363::0.363)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5116_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.171::0.171) (0.231::0.231)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.191::0.191) (0.259::0.259)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5117_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.218::0.218)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.187::0.187) (0.258::0.258)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5118_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.169::0.169) (0.229::0.229)) + (IOPATH A2 X (0.156::0.156) (0.242::0.242)) + (IOPATH B1 X (0.196::0.196) (0.269::0.269)) + (IOPATH B2 X (0.150::0.150) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5119_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.174::0.174) (0.226::0.226)) + (IOPATH A2 X (0.162::0.162) (0.247::0.247)) + (IOPATH B1 X (0.205::0.205) (0.275::0.275)) + (IOPATH B2 X (0.157::0.157) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5120_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.211::0.211)) + (IOPATH A2 X (0.160::0.160) (0.245::0.245)) + (IOPATH B1 X (0.197::0.197) (0.247::0.247)) + (IOPATH B2 X (0.155::0.155) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5121_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.287::0.287)) + (IOPATH B X (0.134::0.134) (0.233::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5122_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.168) (0.155::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5123_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5124_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.217::0.217)) + (IOPATH A2 X (0.151::0.152) (0.237::0.237)) + (IOPATH B1 X (0.189::0.189) (0.255::0.255)) + (IOPATH B2 X (0.128::0.128) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5125_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.218::0.218)) + (IOPATH A2 X (0.156::0.156) (0.241::0.241)) + (IOPATH B1 X (0.196::0.196) (0.261::0.261)) + (IOPATH B2 X (0.133::0.133) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5126_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.215::0.215)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.200::0.200) (0.290::0.290)) + (IOPATH B2 X (0.130::0.130) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5127_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.224::0.224)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.192::0.192) (0.267::0.267)) + (IOPATH B2 X (0.128::0.128) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5128_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.213::0.213)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.187::0.187) (0.241::0.241)) + (IOPATH B2 X (0.129::0.129) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5129_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.107::0.107) (0.098::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5130_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.092::0.092) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _5131_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.254::0.254)) + (IOPATH A2 X (0.201::0.201) (0.268::0.269)) + (IOPATH A3 X (0.200::0.200) (0.285::0.285)) + (IOPATH B1 X (0.165::0.165) (0.238::0.238)) + (IOPATH B2 X (0.151::0.151) (0.232::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _5132_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.246::0.246) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _5133_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.343::0.343)) + (IOPATH B X (0.257::0.257) (0.343::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5134_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.268::0.268)) + (IOPATH B X (0.120::0.120) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5135_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5136_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.157::0.157) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5137_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.211::0.211)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.234::0.235) (0.345::0.345)) + (IOPATH B2 X (0.153::0.153) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5138_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.132::0.132) (0.204::0.204)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.225::0.225) (0.338::0.338)) + (IOPATH B2 X (0.143::0.143) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5139_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.207::0.207)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.232::0.232) (0.357::0.357)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5140_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.128::0.128) (0.202::0.202)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.190::0.190) (0.268::0.268)) + (IOPATH B2 X (0.142::0.142) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5141_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.132::0.132) (0.204::0.204)) + (IOPATH A2 X (0.149::0.149) (0.237::0.237)) + (IOPATH B1 X (0.184::0.184) (0.240::0.240)) + (IOPATH B2 X (0.142::0.142) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5142_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.131::0.131) (0.205::0.205)) + (IOPATH A2 X (0.152::0.152) (0.240::0.240)) + (IOPATH B1 X (0.189::0.189) (0.262::0.262)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5143_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.131::0.131) (0.205::0.205)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.191::0.191) (0.268::0.268)) + (IOPATH B2 X (0.147::0.147) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5144_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.133::0.133) (0.206::0.206)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.189::0.189) (0.251::0.251)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5145_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.237::0.237)) + (IOPATH B X (0.119::0.119) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5146_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.192) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5147_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5148_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.211::0.211)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.231::0.231) (0.297::0.297)) + (IOPATH B2 X (0.156::0.156) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5149_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.134::0.134) (0.207::0.207)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.226::0.226) (0.288::0.289)) + (IOPATH B2 X (0.150::0.150) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5150_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.133::0.133) (0.206::0.206)) + (IOPATH A2 X (0.154::0.154) (0.240::0.240)) + (IOPATH B1 X (0.231::0.231) (0.323::0.323)) + (IOPATH B2 X (0.150::0.150) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5151_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.130::0.130) (0.204::0.204)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.164::0.165) (0.214::0.215)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5152_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.212::0.212)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.189::0.189) (0.230::0.230)) + (IOPATH B2 X (0.151::0.151) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5153_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.128::0.128) (0.202::0.202)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.179::0.179) (0.224::0.224)) + (IOPATH B2 X (0.145::0.145) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5154_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.130::0.130) (0.203::0.203)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.180::0.180) (0.234::0.234)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5155_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.130::0.130) (0.203::0.203)) + (IOPATH A2 X (0.147::0.147) (0.233::0.233)) + (IOPATH B1 X (0.179::0.179) (0.227::0.227)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5156_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.277::0.277)) + (IOPATH B X (0.157::0.157) (0.258::0.258)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5157_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.198::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5158_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.146::0.146) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5159_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.132::0.132) (0.205::0.205)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.222::0.222) (0.290::0.290)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5160_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.131::0.131) (0.204::0.204)) + (IOPATH A2 X (0.151::0.151) (0.237::0.237)) + (IOPATH B1 X (0.220::0.221) (0.284::0.284)) + (IOPATH B2 X (0.141::0.141) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5161_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.134::0.134) (0.207::0.207)) + (IOPATH A2 X (0.156::0.156) (0.242::0.242)) + (IOPATH B1 X (0.230::0.231) (0.300::0.301)) + (IOPATH B2 X (0.147::0.147) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5162_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.131::0.131) (0.205::0.205)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.167::0.167) (0.216::0.216)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5163_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.127::0.127) (0.201::0.201)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.166::0.166) (0.216::0.216)) + (IOPATH B2 X (0.138::0.138) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5164_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.128::0.128) (0.202::0.202)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.179::0.180) (0.231::0.232)) + (IOPATH B2 X (0.141::0.141) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5165_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.208::0.208)) + (IOPATH A2 X (0.156::0.156) (0.242::0.242)) + (IOPATH B1 X (0.187::0.187) (0.238::0.239)) + (IOPATH B2 X (0.147::0.147) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5166_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.129::0.129) (0.203::0.203)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.178::0.178) (0.227::0.228)) + (IOPATH B2 X (0.142::0.142) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5167_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.274::0.274)) + (IOPATH B X (0.165::0.165) (0.260::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5168_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5169_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.160::0.160) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5170_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.209::0.209)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.219::0.219) (0.280::0.280)) + (IOPATH B2 X (0.147::0.147) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5171_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.207::0.207)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.219::0.219) (0.282::0.283)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5172_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.209::0.209)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.225::0.225) (0.296::0.296)) + (IOPATH B2 X (0.147::0.147) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5173_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.164::0.164) (0.213::0.213)) + (IOPATH B2 X (0.146::0.146) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5174_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.213::0.213)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.174::0.175) (0.222::0.223)) + (IOPATH B2 X (0.151::0.151) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5175_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.211::0.211)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.182::0.183) (0.233::0.234)) + (IOPATH B2 X (0.148::0.148) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5176_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.178::0.178) (0.230::0.231)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5177_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.212::0.212)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.178::0.178) (0.227::0.228)) + (IOPATH B2 X (0.147::0.147) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _5178_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.396::0.396)) + (IOPATH B X (0.235::0.235) (0.380::0.380)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _5179_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.208::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _5180_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5181_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.132::0.132) (0.205::0.205)) + (IOPATH A2 X (0.161::0.161) (0.237::0.237)) + (IOPATH B1 X (0.222::0.222) (0.294::0.295)) + (IOPATH B2 X (0.128::0.128) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5182_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.207::0.207)) + (IOPATH A2 X (0.164::0.164) (0.239::0.239)) + (IOPATH B1 X (0.166::0.166) (0.215::0.216)) + (IOPATH B2 X (0.131::0.131) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5183_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.132::0.132) (0.206::0.206)) + (IOPATH A2 X (0.166::0.166) (0.241::0.241)) + (IOPATH B1 X (0.174::0.175) (0.222::0.223)) + (IOPATH B2 X (0.134::0.134) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5184_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.134::0.134) (0.205::0.205)) + (IOPATH A2 X (0.158::0.158) (0.234::0.234)) + (IOPATH B1 X (0.175::0.176) (0.228::0.228)) + (IOPATH B2 X (0.125::0.125) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5185_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.133::0.133) (0.207::0.207)) + (IOPATH A2 X (0.165::0.165) (0.241::0.241)) + (IOPATH B1 X (0.185::0.185) (0.237::0.237)) + (IOPATH B2 X (0.133::0.133) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5186_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.130::0.130) (0.203::0.203)) + (IOPATH A2 X (0.160::0.160) (0.236::0.236)) + (IOPATH B1 X (0.175::0.175) (0.225::0.226)) + (IOPATH B2 X (0.128::0.128) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5187_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.067) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_1") + (INSTANCE _5188_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.221::0.221) (0.350::0.350)) + (IOPATH A2 X (0.123::0.123) (0.292::0.292)) + (IOPATH B1 X (0.120::0.120) (0.301::0.301)) + (IOPATH C1 X (0.102::0.103) (0.235::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5189_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5190_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (INSTANCE _5191_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.133::0.133) (0.173::0.173)) + (IOPATH A2 X (0.140::0.140) (0.190::0.190)) + (IOPATH B1 X (0.107::0.107) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_4") + (INSTANCE _5192_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.286::0.286) (0.437::0.437)) + (IOPATH A2 X (0.303::0.303) (0.484::0.484)) + (IOPATH B1 X (0.272::0.272) (0.435::0.435)) + (IOPATH C1 X (0.209::0.210) (0.366::0.366)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5193_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.200::0.202) (0.141::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5194_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.169::0.169) (0.229::0.229)) + (IOPATH A2 X (0.156::0.156) (0.214::0.214)) + (IOPATH B1 X (0.125::0.132) (0.177::0.178)) + (IOPATH B2 X (0.150::0.151) (0.187::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5195_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.232::0.232)) + (IOPATH A2 X (0.166::0.166) (0.222::0.222)) + (IOPATH B1 X (0.133::0.141) (0.186::0.187)) + (IOPATH B2 X (0.160::0.161) (0.197::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5196_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.212::0.212)) + (IOPATH A2 X (0.154::0.154) (0.211::0.212)) + (IOPATH B1 X (0.121::0.129) (0.174::0.175)) + (IOPATH B2 X (0.148::0.148) (0.184::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5197_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.211::0.211)) + (IOPATH A2 X (0.153::0.153) (0.210::0.211)) + (IOPATH B1 X (0.119::0.123) (0.172::0.172)) + (IOPATH B2 X (0.147::0.147) (0.183::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5198_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.211::0.211)) + (IOPATH A2 X (0.155::0.155) (0.213::0.213)) + (IOPATH B1 X (0.121::0.124) (0.174::0.174)) + (IOPATH B2 X (0.149::0.150) (0.186::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5199_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.215::0.215)) + (IOPATH A2 X (0.160::0.160) (0.217::0.217)) + (IOPATH B1 X (0.125::0.128) (0.178::0.178)) + (IOPATH B2 X (0.154::0.154) (0.191::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5200_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.228::0.228)) + (IOPATH A2 X (0.154::0.154) (0.212::0.212)) + (IOPATH B1 X (0.120::0.124) (0.173::0.173)) + (IOPATH B2 X (0.148::0.149) (0.185::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5201_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.205::0.205)) + (IOPATH A2 X (0.153::0.153) (0.211::0.211)) + (IOPATH B1 X (0.119::0.122) (0.172::0.172)) + (IOPATH B2 X (0.147::0.148) (0.184::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_4") + (INSTANCE _5202_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.249::0.249) (0.412::0.412)) + (IOPATH A2 X (0.254::0.254) (0.434::0.434)) + (IOPATH B1 X (0.237::0.237) (0.403::0.403)) + (IOPATH C1 X (0.176::0.177) (0.342::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5203_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.190) (0.121::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5204_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.207::0.207)) + (IOPATH A2 X (0.157::0.157) (0.210::0.210)) + (IOPATH B1 X (0.123::0.129) (0.176::0.177)) + (IOPATH B2 X (0.138::0.139) (0.177::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5205_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.208::0.208)) + (IOPATH A2 X (0.160::0.160) (0.212::0.213)) + (IOPATH B1 X (0.132::0.137) (0.183::0.183)) + (IOPATH B2 X (0.141::0.142) (0.181::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5206_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.207::0.207)) + (IOPATH A2 X (0.157::0.157) (0.209::0.210)) + (IOPATH B1 X (0.125::0.132) (0.178::0.178)) + (IOPATH B2 X (0.138::0.139) (0.177::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5207_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.209::0.209)) + (IOPATH A2 X (0.152::0.152) (0.205::0.205)) + (IOPATH B1 X (0.121::0.123) (0.173::0.173)) + (IOPATH B2 X (0.133::0.134) (0.172::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5208_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.219::0.219)) + (IOPATH A2 X (0.153::0.153) (0.206::0.207)) + (IOPATH B1 X (0.120::0.123) (0.173::0.173)) + (IOPATH B2 X (0.134::0.135) (0.173::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5209_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.216::0.216)) + (IOPATH A2 X (0.152::0.152) (0.205::0.206)) + (IOPATH B1 X (0.121::0.124) (0.173::0.173)) + (IOPATH B2 X (0.133::0.134) (0.172::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5210_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.232::0.232)) + (IOPATH A2 X (0.158::0.158) (0.210::0.211)) + (IOPATH B1 X (0.135::0.137) (0.184::0.185)) + (IOPATH B2 X (0.139::0.140) (0.178::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5211_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.217::0.217)) + (IOPATH A2 X (0.153::0.153) (0.206::0.207)) + (IOPATH B1 X (0.119::0.122) (0.172::0.172)) + (IOPATH B2 X (0.134::0.135) (0.173::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5212_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5213_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.093) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _5214_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.049::0.049)) + (IOPATH B Y (0.109::0.109) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _5215_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.072::0.072)) + (IOPATH B Y (0.104::0.104) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5216_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.212::0.212)) + (IOPATH A2 X (0.128::0.129) (0.180::0.185)) + (IOPATH B1 X (0.156::0.156) (0.181::0.181)) + (IOPATH B2 X (0.118::0.118) (0.144::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_4") + (INSTANCE _5217_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.267::0.267) (0.425::0.425)) + (IOPATH A2 X (0.265::0.265) (0.442::0.442)) + (IOPATH B1 X (0.247::0.247) (0.411::0.411)) + (IOPATH C1 X (0.185::0.186) (0.350::0.350)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5218_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.190::0.191) (0.124::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5219_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.225::0.225)) + (IOPATH A2 X (0.157::0.157) (0.213::0.213)) + (IOPATH B1 X (0.125::0.131) (0.178::0.178)) + (IOPATH B2 X (0.143::0.144) (0.184::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5220_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.219::0.219)) + (IOPATH A2 X (0.152::0.152) (0.209::0.209)) + (IOPATH B1 X (0.119::0.126) (0.172::0.173)) + (IOPATH B2 X (0.139::0.139) (0.179::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5221_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.223::0.223)) + (IOPATH A2 X (0.160::0.160) (0.215::0.216)) + (IOPATH B1 X (0.128::0.135) (0.181::0.181)) + (IOPATH B2 X (0.146::0.147) (0.187::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5222_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.225::0.225)) + (IOPATH A2 X (0.159::0.159) (0.215::0.216)) + (IOPATH B1 X (0.127::0.129) (0.180::0.181)) + (IOPATH B2 X (0.146::0.147) (0.187::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5223_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.209::0.209)) + (IOPATH A2 X (0.152::0.152) (0.209::0.209)) + (IOPATH B1 X (0.119::0.121) (0.172::0.172)) + (IOPATH B2 X (0.139::0.140) (0.180::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5224_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.205::0.205)) + (IOPATH A2 X (0.149::0.149) (0.206::0.206)) + (IOPATH B1 X (0.117::0.120) (0.170::0.170)) + (IOPATH B2 X (0.136::0.136) (0.176::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5225_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.210::0.210)) + (IOPATH A2 X (0.153::0.153) (0.210::0.210)) + (IOPATH B1 X (0.120::0.123) (0.173::0.173)) + (IOPATH B2 X (0.140::0.141) (0.181::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5226_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.211::0.211)) + (IOPATH A2 X (0.155::0.155) (0.211::0.212)) + (IOPATH B1 X (0.123::0.125) (0.176::0.176)) + (IOPATH B2 X (0.142::0.142) (0.182::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5227_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.328::0.328)) + (IOPATH B X (0.162::0.162) (0.259::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5228_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.195::0.195) (0.362::0.362)) + (IOPATH A1 X (0.135::0.135) (0.291::0.291)) + (IOPATH S X (0.201::0.201) (0.319::0.320)) + (IOPATH S X (0.137::0.137) (0.311::0.311)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5229_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.078::0.082) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _5230_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.193::0.193) (0.337::0.337)) + (IOPATH A1 X (0.132::0.132) (0.292::0.292)) + (IOPATH S X (0.204::0.204) (0.323::0.324)) + (IOPATH S X (0.140::0.140) (0.314::0.315)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5231_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.078::0.081) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5232_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.283::0.283)) + (IOPATH B X (0.114::0.115) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5233_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.169) (0.155::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5234_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5235_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.206::0.206)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.185::0.185) (0.252::0.252)) + (IOPATH B2 X (0.123::0.123) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5236_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.207::0.207)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.188::0.188) (0.254::0.254)) + (IOPATH B2 X (0.125::0.125) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5237_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.206::0.206)) + (IOPATH A2 X (0.156::0.156) (0.241::0.241)) + (IOPATH B1 X (0.201::0.201) (0.288::0.288)) + (IOPATH B2 X (0.129::0.129) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5238_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.215::0.215)) + (IOPATH A2 X (0.161::0.162) (0.248::0.248)) + (IOPATH B1 X (0.201::0.201) (0.273::0.273)) + (IOPATH B2 X (0.135::0.135) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5239_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.185::0.185) (0.238::0.238)) + (IOPATH B2 X (0.125::0.125) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5240_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.237::0.237)) + (IOPATH B X (0.143::0.143) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5241_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5242_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5243_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.212::0.212)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.220::0.220) (0.346::0.346)) + (IOPATH B2 X (0.142::0.142) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5244_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.211::0.211)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.230::0.230) (0.348::0.349)) + (IOPATH B2 X (0.150::0.150) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5245_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.220::0.220)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.234::0.235) (0.369::0.369)) + (IOPATH B2 X (0.150::0.150) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5246_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.194::0.194) (0.262::0.262)) + (IOPATH B2 X (0.152::0.152) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5247_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.218::0.218)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.195::0.195) (0.262::0.262)) + (IOPATH B2 X (0.151::0.151) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5248_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.210::0.210)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.190::0.190) (0.265::0.265)) + (IOPATH B2 X (0.147::0.147) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5249_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.208::0.208)) + (IOPATH A2 X (0.161::0.161) (0.246::0.246)) + (IOPATH B1 X (0.200::0.200) (0.271::0.271)) + (IOPATH B2 X (0.155::0.155) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5250_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.218::0.218)) + (IOPATH A2 X (0.163::0.163) (0.248::0.248)) + (IOPATH B1 X (0.196::0.196) (0.247::0.247)) + (IOPATH B2 X (0.157::0.157) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5251_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.296::0.296)) + (IOPATH B X (0.129::0.129) (0.230::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5252_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.180) (0.164::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5253_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5254_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.212::0.212)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.187::0.187) (0.231::0.231)) + (IOPATH B2 X (0.135::0.135) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5255_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.216::0.216)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.193::0.193) (0.245::0.245)) + (IOPATH B2 X (0.136::0.136) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5256_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.206::0.206)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.200::0.200) (0.282::0.282)) + (IOPATH B2 X (0.134::0.134) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5257_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.218::0.218)) + (IOPATH A2 X (0.167::0.167) (0.253::0.253)) + (IOPATH B1 X (0.201::0.201) (0.262::0.262)) + (IOPATH B2 X (0.142::0.142) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5258_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.213::0.213)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.190::0.190) (0.244::0.244)) + (IOPATH B2 X (0.134::0.134) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5259_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.297::0.297)) + (IOPATH B X (0.157::0.157) (0.255::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5260_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5261_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5262_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.187::0.187) (0.248::0.248)) + (IOPATH B2 X (0.127::0.127) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5263_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.187::0.187) (0.243::0.243)) + (IOPATH B2 X (0.125::0.125) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5264_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.213::0.213)) + (IOPATH A2 X (0.164::0.164) (0.250::0.250)) + (IOPATH B1 X (0.206::0.206) (0.289::0.289)) + (IOPATH B2 X (0.135::0.135) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5265_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.211::0.211)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.192::0.192) (0.258::0.258)) + (IOPATH B2 X (0.129::0.129) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5266_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.190::0.190) (0.244::0.244)) + (IOPATH B2 X (0.130::0.130) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5267_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.253::0.253)) + (IOPATH B X (0.150::0.150) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5268_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5269_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5270_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.220::0.220) (0.302::0.302)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5271_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.206::0.206)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.220::0.220) (0.297::0.297)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5272_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.213::0.213)) + (IOPATH A2 X (0.155::0.155) (0.241::0.241)) + (IOPATH B1 X (0.230::0.230) (0.285::0.285)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5273_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.156::0.156) (0.242::0.242)) + (IOPATH B1 X (0.187::0.187) (0.213::0.213)) + (IOPATH B2 X (0.150::0.150) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5274_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.216::0.216)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.182::0.182) (0.208::0.208)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5275_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.207::0.207)) + (IOPATH A2 X (0.150::0.150) (0.236::0.236)) + (IOPATH B1 X (0.180::0.180) (0.234::0.234)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5276_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.206::0.206)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.184::0.184) (0.235::0.236)) + (IOPATH B2 X (0.146::0.146) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5277_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.216::0.216)) + (IOPATH A2 X (0.167::0.167) (0.250::0.250)) + (IOPATH B1 X (0.200::0.200) (0.227::0.227)) + (IOPATH B2 X (0.162::0.162) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5278_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.257::0.257)) + (IOPATH B X (0.179::0.179) (0.287::0.287)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5279_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.187::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5280_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5281_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.214::0.215) (0.256::0.257)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5282_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.207::0.207)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.217::0.217) (0.264::0.265)) + (IOPATH B2 X (0.140::0.140) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5283_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.221::0.221)) + (IOPATH A2 X (0.156::0.156) (0.242::0.242)) + (IOPATH B1 X (0.231::0.232) (0.285::0.285)) + (IOPATH B2 X (0.150::0.150) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5284_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.218::0.218)) + (IOPATH A2 X (0.152::0.152) (0.238::0.238)) + (IOPATH B1 X (0.182::0.182) (0.199::0.199)) + (IOPATH B2 X (0.146::0.146) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5285_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.220::0.220)) + (IOPATH A2 X (0.156::0.156) (0.242::0.242)) + (IOPATH B1 X (0.177::0.177) (0.223::0.224)) + (IOPATH B2 X (0.150::0.150) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5286_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.179::0.179) (0.230::0.230)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5287_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.169::0.169) (0.221::0.221)) + (IOPATH A2 X (0.155::0.155) (0.240::0.240)) + (IOPATH B1 X (0.188::0.188) (0.236::0.237)) + (IOPATH B2 X (0.149::0.149) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5288_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.217::0.217)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.180::0.180) (0.226::0.227)) + (IOPATH B2 X (0.146::0.146) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE _5289_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5290_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.245::0.245)) + (IOPATH B X (0.116::0.116) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5291_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5292_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5293_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.180::0.180) (0.221::0.221)) + (IOPATH B2 X (0.126::0.126) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5294_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.211::0.211)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.183::0.183) (0.235::0.235)) + (IOPATH B2 X (0.125::0.125) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5295_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.214::0.214)) + (IOPATH A2 X (0.168::0.168) (0.252::0.252)) + (IOPATH B1 X (0.212::0.212) (0.289::0.289)) + (IOPATH B2 X (0.143::0.143) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5296_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.220::0.220)) + (IOPATH A2 X (0.167::0.167) (0.252::0.252)) + (IOPATH B1 X (0.202::0.202) (0.256::0.256)) + (IOPATH B2 X (0.141::0.141) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5297_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.215::0.215)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.188::0.188) (0.220::0.220)) + (IOPATH B2 X (0.133::0.133) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5298_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.242::0.242)) + (IOPATH B X (0.136::0.136) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5299_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5300_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5301_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.134::0.134) (0.205::0.205)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.176::0.176) (0.210::0.210)) + (IOPATH B2 X (0.126::0.126) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5302_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.209::0.209)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.185::0.185) (0.225::0.225)) + (IOPATH B2 X (0.131::0.131) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5303_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.206::0.206)) + (IOPATH A2 X (0.158::0.158) (0.244::0.244)) + (IOPATH B1 X (0.194::0.194) (0.267::0.267)) + (IOPATH B2 X (0.133::0.133) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5304_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.184::0.184) (0.235::0.235)) + (IOPATH B2 X (0.129::0.129) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5305_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.212::0.212)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.186::0.186) (0.209::0.209)) + (IOPATH B2 X (0.135::0.135) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5306_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.249::0.249)) + (IOPATH B X (0.154::0.154) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5307_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5308_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5309_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.210::0.210)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.221::0.221) (0.286::0.286)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5310_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.207::0.207)) + (IOPATH A2 X (0.148::0.148) (0.234::0.234)) + (IOPATH B1 X (0.217::0.217) (0.286::0.286)) + (IOPATH B2 X (0.140::0.140) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5311_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.208::0.208)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.224::0.225) (0.302::0.302)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5312_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.222::0.222)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.187::0.187) (0.203::0.203)) + (IOPATH B2 X (0.151::0.151) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5313_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.221::0.221)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.170::0.171) (0.217::0.218)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5314_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.218::0.218)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.178::0.178) (0.201::0.201)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5315_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.208::0.208)) + (IOPATH A2 X (0.159::0.159) (0.243::0.243)) + (IOPATH B1 X (0.184::0.184) (0.202::0.202)) + (IOPATH B2 X (0.152::0.152) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5316_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.225::0.225)) + (IOPATH A2 X (0.170::0.170) (0.252::0.252)) + (IOPATH B1 X (0.198::0.198) (0.241::0.241)) + (IOPATH B2 X (0.164::0.164) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5317_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.250::0.250)) + (IOPATH B X (0.174::0.174) (0.283::0.283)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5318_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.214) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5319_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.189) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5320_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.211::0.211)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.222::0.223) (0.303::0.303)) + (IOPATH B2 X (0.155::0.155) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5321_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.208::0.208)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.223::0.223) (0.301::0.301)) + (IOPATH B2 X (0.154::0.154) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5322_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.217::0.217)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.227::0.227) (0.324::0.324)) + (IOPATH B2 X (0.154::0.154) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5323_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.212::0.212)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.185::0.185) (0.214::0.214)) + (IOPATH B2 X (0.158::0.158) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5324_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.220::0.220)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.180::0.180) (0.206::0.206)) + (IOPATH B2 X (0.153::0.153) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5325_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.185::0.185) (0.237::0.238)) + (IOPATH B2 X (0.157::0.157) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5326_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.213::0.213)) + (IOPATH A2 X (0.166::0.166) (0.251::0.251)) + (IOPATH B1 X (0.188::0.188) (0.221::0.221)) + (IOPATH B2 X (0.163::0.163) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5327_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.218::0.218)) + (IOPATH A2 X (0.167::0.167) (0.252::0.252)) + (IOPATH B1 X (0.193::0.193) (0.222::0.222)) + (IOPATH B2 X (0.165::0.165) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5328_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.257::0.257)) + (IOPATH B X (0.133::0.134) (0.233::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5329_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.162::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5330_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5331_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.216::0.216)) + (IOPATH A2 X (0.161::0.161) (0.248::0.248)) + (IOPATH B1 X (0.190::0.190) (0.230::0.230)) + (IOPATH B2 X (0.136::0.136) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5332_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.215::0.215)) + (IOPATH A2 X (0.161::0.161) (0.248::0.248)) + (IOPATH B1 X (0.194::0.194) (0.243::0.243)) + (IOPATH B2 X (0.135::0.135) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5333_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.213::0.213)) + (IOPATH A2 X (0.170::0.170) (0.254::0.254)) + (IOPATH B1 X (0.212::0.212) (0.289::0.289)) + (IOPATH B2 X (0.145::0.145) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5334_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.207::0.207)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.184::0.184) (0.244::0.244)) + (IOPATH B2 X (0.125::0.125) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5335_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.211::0.211)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.185::0.185) (0.214::0.214)) + (IOPATH B2 X (0.132::0.132) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5336_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.255::0.255)) + (IOPATH B X (0.156::0.156) (0.255::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5337_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.173) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5338_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5339_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.209::0.209)) + (IOPATH A2 X (0.155::0.155) (0.241::0.241)) + (IOPATH B1 X (0.190::0.190) (0.254::0.254)) + (IOPATH B2 X (0.128::0.128) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5340_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.218::0.218)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.191::0.191) (0.253::0.253)) + (IOPATH B2 X (0.128::0.128) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5341_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.219::0.219)) + (IOPATH A2 X (0.160::0.160) (0.246::0.246)) + (IOPATH B1 X (0.206::0.206) (0.293::0.293)) + (IOPATH B2 X (0.134::0.134) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5342_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.218::0.218)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.194::0.194) (0.266::0.266)) + (IOPATH B2 X (0.130::0.130) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5343_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.207::0.207)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.182::0.182) (0.235::0.235)) + (IOPATH B2 X (0.124::0.124) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5344_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.268::0.268)) + (IOPATH B X (0.182::0.182) (0.293::0.293)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5345_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5346_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5347_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.224::0.224)) + (IOPATH A2 X (0.155::0.155) (0.241::0.241)) + (IOPATH B1 X (0.226::0.226) (0.275::0.275)) + (IOPATH B2 X (0.147::0.147) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5348_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.217::0.217)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.220::0.220) (0.275::0.275)) + (IOPATH B2 X (0.140::0.140) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5349_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.217::0.217)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.227::0.227) (0.284::0.284)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5350_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.215::0.215)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.166::0.166) (0.214::0.214)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5351_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.225::0.225)) + (IOPATH A2 X (0.160::0.160) (0.245::0.245)) + (IOPATH B1 X (0.182::0.183) (0.228::0.228)) + (IOPATH B2 X (0.153::0.153) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5352_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.214::0.214)) + (IOPATH A2 X (0.144::0.144) (0.231::0.231)) + (IOPATH B1 X (0.175::0.176) (0.227::0.228)) + (IOPATH B2 X (0.136::0.136) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5353_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.217::0.217)) + (IOPATH A2 X (0.158::0.158) (0.243::0.243)) + (IOPATH B1 X (0.192::0.193) (0.240::0.241)) + (IOPATH B2 X (0.151::0.151) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5354_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.215::0.215)) + (IOPATH A2 X (0.154::0.154) (0.239::0.239)) + (IOPATH B1 X (0.183::0.183) (0.229::0.229)) + (IOPATH B2 X (0.146::0.146) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5355_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.247::0.247)) + (IOPATH B X (0.179::0.179) (0.295::0.295)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5356_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.191) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5357_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.138::0.138) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5358_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.216::0.216)) + (IOPATH A2 X (0.149::0.149) (0.235::0.235)) + (IOPATH B1 X (0.217::0.217) (0.258::0.259)) + (IOPATH B2 X (0.140::0.140) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5359_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.211::0.211)) + (IOPATH A2 X (0.146::0.146) (0.233::0.233)) + (IOPATH B1 X (0.216::0.216) (0.264::0.264)) + (IOPATH B2 X (0.137::0.137) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5360_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.216::0.216)) + (IOPATH A2 X (0.146::0.146) (0.233::0.233)) + (IOPATH B1 X (0.220::0.220) (0.277::0.278)) + (IOPATH B2 X (0.137::0.137) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5361_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.218::0.218)) + (IOPATH A2 X (0.150::0.151) (0.237::0.237)) + (IOPATH B1 X (0.166::0.166) (0.214::0.214)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5362_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.225::0.225)) + (IOPATH A2 X (0.157::0.157) (0.243::0.243)) + (IOPATH B1 X (0.179::0.179) (0.224::0.225)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5363_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.211::0.211)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.178::0.178) (0.192::0.192)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5364_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.214::0.214)) + (IOPATH A2 X (0.153::0.153) (0.238::0.238)) + (IOPATH B1 X (0.186::0.187) (0.235::0.236)) + (IOPATH B2 X (0.145::0.145) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5365_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.212::0.212)) + (IOPATH A2 X (0.154::0.154) (0.240::0.240)) + (IOPATH B1 X (0.183::0.184) (0.229::0.229)) + (IOPATH B2 X (0.146::0.146) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5366_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.250::0.250)) + (IOPATH B X (0.126::0.126) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5367_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5368_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5369_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.177::0.177) (0.210::0.210)) + (IOPATH B2 X (0.129::0.129) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5370_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.208::0.208)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.183::0.183) (0.222::0.222)) + (IOPATH B2 X (0.130::0.130) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5371_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.206::0.206)) + (IOPATH A2 X (0.160::0.160) (0.246::0.246)) + (IOPATH B1 X (0.196::0.196) (0.268::0.268)) + (IOPATH B2 X (0.136::0.136) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5372_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.215::0.215)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.192::0.192) (0.240::0.240)) + (IOPATH B2 X (0.138::0.138) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5373_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.207::0.207)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.177::0.177) (0.203::0.203)) + (IOPATH B2 X (0.128::0.128) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5374_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.242::0.242)) + (IOPATH B X (0.149::0.149) (0.246::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5375_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.176) (0.161::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5376_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5377_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.180::0.180) (0.207::0.207)) + (IOPATH B2 X (0.128::0.128) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5378_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.223::0.223)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.190::0.190) (0.213::0.213)) + (IOPATH B2 X (0.136::0.136) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5379_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.209::0.209)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.186::0.186) (0.237::0.237)) + (IOPATH B2 X (0.133::0.133) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5380_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.182::0.183) (0.235::0.236)) + (IOPATH B2 X (0.129::0.129) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5381_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.214::0.214)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.178::0.178) (0.204::0.204)) + (IOPATH B2 X (0.126::0.126) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5382_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.271::0.271)) + (IOPATH B X (0.183::0.183) (0.284::0.284)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5383_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5384_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5385_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.219::0.219) (0.276::0.276)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5386_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.219::0.219) (0.282::0.283)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5387_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.212::0.212)) + (IOPATH A2 X (0.146::0.146) (0.233::0.233)) + (IOPATH B1 X (0.218::0.219) (0.286::0.286)) + (IOPATH B2 X (0.139::0.139) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5388_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.215::0.215)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.163::0.164) (0.212::0.212)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5389_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.220::0.220)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.169::0.170) (0.218::0.218)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5390_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.217::0.217)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.191::0.191) (0.239::0.239)) + (IOPATH B2 X (0.153::0.153) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5391_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.205::0.205)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.184::0.185) (0.234::0.234)) + (IOPATH B2 X (0.146::0.146) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5392_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.215::0.215)) + (IOPATH A2 X (0.165::0.165) (0.248::0.248)) + (IOPATH B1 X (0.194::0.194) (0.238::0.238)) + (IOPATH B2 X (0.159::0.159) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5393_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.242::0.242)) + (IOPATH B X (0.141::0.141) (0.236::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5394_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5395_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.156::0.156) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5396_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.209::0.209)) + (IOPATH A2 X (0.151::0.151) (0.237::0.237)) + (IOPATH B1 X (0.225::0.225) (0.342::0.342)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5397_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.226::0.227) (0.342::0.342)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5398_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.208::0.208)) + (IOPATH A2 X (0.152::0.152) (0.238::0.238)) + (IOPATH B1 X (0.231::0.232) (0.363::0.363)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5399_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.215::0.215)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.200::0.200) (0.276::0.276)) + (IOPATH B2 X (0.152::0.152) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5400_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.216::0.216)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.193::0.193) (0.262::0.262)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5401_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.218::0.218)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.192::0.192) (0.265::0.265)) + (IOPATH B2 X (0.147::0.147) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5402_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.213::0.213)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.190::0.190) (0.263::0.263)) + (IOPATH B2 X (0.146::0.146) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5403_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.213::0.213)) + (IOPATH A2 X (0.154::0.154) (0.239::0.239)) + (IOPATH B1 X (0.189::0.189) (0.243::0.243)) + (IOPATH B2 X (0.148::0.148) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5404_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.245::0.245)) + (IOPATH B X (0.126::0.126) (0.226::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5405_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5406_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5407_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.230::0.230)) + (IOPATH A2 X (0.178::0.178) (0.261::0.261)) + (IOPATH B1 X (0.206::0.206) (0.228::0.228)) + (IOPATH B2 X (0.157::0.157) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5408_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.181::0.181) (0.217::0.217)) + (IOPATH B2 X (0.130::0.130) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5409_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.211::0.211)) + (IOPATH A2 X (0.166::0.166) (0.250::0.250)) + (IOPATH B1 X (0.202::0.202) (0.269::0.269)) + (IOPATH B2 X (0.144::0.144) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5410_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.180::0.180) (0.224::0.224)) + (IOPATH B2 X (0.129::0.129) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5411_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.179::0.179) (0.204::0.204)) + (IOPATH B2 X (0.130::0.130) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5412_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.240::0.240)) + (IOPATH B X (0.113::0.113) (0.214::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5413_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5414_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5415_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.214::0.214)) + (IOPATH A2 X (0.163::0.163) (0.250::0.250)) + (IOPATH B1 X (0.186::0.186) (0.206::0.206)) + (IOPATH B2 X (0.138::0.138) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5416_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.213::0.213)) + (IOPATH A2 X (0.161::0.161) (0.249::0.249)) + (IOPATH B1 X (0.187::0.187) (0.216::0.216)) + (IOPATH B2 X (0.136::0.136) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5417_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.210::0.210)) + (IOPATH A2 X (0.167::0.167) (0.253::0.253)) + (IOPATH B1 X (0.201::0.201) (0.268::0.268)) + (IOPATH B2 X (0.142::0.142) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5418_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.211::0.211)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.184::0.184) (0.219::0.219)) + (IOPATH B2 X (0.134::0.134) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5419_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.213::0.213)) + (IOPATH A2 X (0.163::0.163) (0.250::0.250)) + (IOPATH B1 X (0.189::0.189) (0.228::0.228)) + (IOPATH B2 X (0.137::0.137) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5420_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.244::0.244)) + (IOPATH B X (0.146::0.146) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5421_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.206) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5422_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.162) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5423_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.218::0.218)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.220::0.220) (0.285::0.285)) + (IOPATH B2 X (0.146::0.146) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5424_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.207::0.207)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.221::0.222) (0.297::0.297)) + (IOPATH B2 X (0.145::0.145) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5425_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.206::0.206)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.222::0.222) (0.305::0.305)) + (IOPATH B2 X (0.143::0.143) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5426_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.160::0.160) (0.246::0.246)) + (IOPATH B1 X (0.186::0.186) (0.217::0.217)) + (IOPATH B2 X (0.151::0.151) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5427_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.220::0.220)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.167::0.168) (0.215::0.215)) + (IOPATH B2 X (0.144::0.144) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5428_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.209::0.209)) + (IOPATH A2 X (0.158::0.158) (0.244::0.244)) + (IOPATH B1 X (0.183::0.184) (0.235::0.235)) + (IOPATH B2 X (0.148::0.148) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5429_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.207::0.207)) + (IOPATH A2 X (0.160::0.160) (0.245::0.245)) + (IOPATH B1 X (0.182::0.182) (0.210::0.210)) + (IOPATH B2 X (0.151::0.151) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5430_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.211::0.211)) + (IOPATH A2 X (0.163::0.163) (0.248::0.248)) + (IOPATH B1 X (0.189::0.189) (0.213::0.213)) + (IOPATH B2 X (0.154::0.154) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5431_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.255::0.255)) + (IOPATH B X (0.166::0.166) (0.271::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5432_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.190) (0.183::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5433_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5434_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.219::0.219)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.222::0.222) (0.265::0.265)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5435_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.206::0.206)) + (IOPATH A2 X (0.145::0.145) (0.232::0.232)) + (IOPATH B1 X (0.216::0.216) (0.265::0.266)) + (IOPATH B2 X (0.138::0.138) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5436_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.146::0.146) (0.233::0.233)) + (IOPATH B1 X (0.221::0.221) (0.278::0.278)) + (IOPATH B2 X (0.140::0.140) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5437_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.212::0.212)) + (IOPATH A2 X (0.151::0.151) (0.237::0.237)) + (IOPATH B1 X (0.167::0.167) (0.214::0.215)) + (IOPATH B2 X (0.145::0.145) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5438_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.145::0.145) (0.233::0.233)) + (IOPATH B1 X (0.167::0.167) (0.215::0.215)) + (IOPATH B2 X (0.139::0.139) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5439_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.224::0.224)) + (IOPATH A2 X (0.156::0.156) (0.242::0.242)) + (IOPATH B1 X (0.188::0.189) (0.236::0.237)) + (IOPATH B2 X (0.150::0.150) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5440_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.214::0.214)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.187::0.187) (0.235::0.236)) + (IOPATH B2 X (0.147::0.147) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5441_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.212::0.212)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.182::0.182) (0.227::0.227)) + (IOPATH B2 X (0.146::0.146) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5442_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.249::0.249)) + (IOPATH B X (0.134::0.134) (0.232::0.232)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5443_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5444_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5445_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.219::0.219)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.187::0.187) (0.217::0.217)) + (IOPATH B2 X (0.137::0.137) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5446_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.211::0.211)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.186::0.186) (0.221::0.221)) + (IOPATH B2 X (0.134::0.134) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5447_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.207::0.207)) + (IOPATH A2 X (0.161::0.161) (0.246::0.246)) + (IOPATH B1 X (0.195::0.195) (0.265::0.265)) + (IOPATH B2 X (0.136::0.136) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5448_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.212::0.212)) + (IOPATH A2 X (0.155::0.155) (0.243::0.243)) + (IOPATH B1 X (0.183::0.183) (0.231::0.231)) + (IOPATH B2 X (0.130::0.130) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5449_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.214::0.214)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.184::0.184) (0.208::0.208)) + (IOPATH B2 X (0.134::0.134) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5450_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.259::0.259)) + (IOPATH B X (0.158::0.158) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5451_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.181) (0.164::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5452_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5453_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.218::0.218)) + (IOPATH A2 X (0.164::0.164) (0.251::0.251)) + (IOPATH B1 X (0.191::0.191) (0.223::0.223)) + (IOPATH B2 X (0.140::0.140) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5454_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.219::0.219)) + (IOPATH A2 X (0.167::0.167) (0.252::0.252)) + (IOPATH B1 X (0.197::0.197) (0.237::0.237)) + (IOPATH B2 X (0.142::0.142) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5455_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.208::0.208)) + (IOPATH A2 X (0.160::0.160) (0.245::0.245)) + (IOPATH B1 X (0.198::0.198) (0.274::0.274)) + (IOPATH B2 X (0.135::0.135) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5456_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.207::0.207)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.183::0.183) (0.239::0.239)) + (IOPATH B2 X (0.128::0.128) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5457_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.160::0.160) (0.246::0.246)) + (IOPATH B1 X (0.186::0.186) (0.210::0.210)) + (IOPATH B2 X (0.135::0.135) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5458_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.242::0.242)) + (IOPATH B X (0.152::0.152) (0.250::0.250)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5459_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.189::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5460_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5461_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.216::0.216)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.224::0.225) (0.352::0.352)) + (IOPATH B2 X (0.148::0.148) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5462_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.249::0.249)) + (IOPATH A2 X (0.158::0.158) (0.244::0.244)) + (IOPATH B1 X (0.235::0.235) (0.349::0.349)) + (IOPATH B2 X (0.156::0.156) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5463_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.220::0.220)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.238::0.238) (0.378::0.378)) + (IOPATH B2 X (0.154::0.154) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5464_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.207::0.207)) + (IOPATH A2 X (0.151::0.151) (0.237::0.237)) + (IOPATH B1 X (0.185::0.185) (0.246::0.246)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5465_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.216::0.216)) + (IOPATH A2 X (0.151::0.151) (0.237::0.237)) + (IOPATH B1 X (0.187::0.187) (0.249::0.249)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5466_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.218::0.218)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.194::0.194) (0.284::0.284)) + (IOPATH B2 X (0.147::0.147) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5467_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.210::0.210)) + (IOPATH A2 X (0.162::0.162) (0.246::0.246)) + (IOPATH B1 X (0.201::0.201) (0.265::0.265)) + (IOPATH B2 X (0.160::0.160) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5468_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.204::0.204)) + (IOPATH A2 X (0.153::0.153) (0.238::0.238)) + (IOPATH B1 X (0.184::0.184) (0.223::0.223)) + (IOPATH B2 X (0.151::0.151) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5469_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.275::0.275)) + (IOPATH B X (0.180::0.180) (0.276::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5470_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5471_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.180::0.180) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5472_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.218::0.218) (0.277::0.277)) + (IOPATH B2 X (0.151::0.151) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5473_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.220::0.220)) + (IOPATH A2 X (0.157::0.157) (0.243::0.243)) + (IOPATH B1 X (0.226::0.226) (0.286::0.286)) + (IOPATH B2 X (0.156::0.156) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5474_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.221::0.221) (0.290::0.290)) + (IOPATH B2 X (0.150::0.150) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5475_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.209::0.209)) + (IOPATH A2 X (0.154::0.154) (0.240::0.240)) + (IOPATH B1 X (0.164::0.165) (0.213::0.213)) + (IOPATH B2 X (0.152::0.152) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5476_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.211::0.211)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.165::0.166) (0.215::0.215)) + (IOPATH B2 X (0.148::0.148) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5477_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.206::0.206)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.177::0.178) (0.229::0.229)) + (IOPATH B2 X (0.149::0.149) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5478_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.206::0.206)) + (IOPATH A2 X (0.155::0.155) (0.240::0.240)) + (IOPATH B1 X (0.183::0.184) (0.233::0.234)) + (IOPATH B2 X (0.154::0.154) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5479_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.210::0.210)) + (IOPATH A2 X (0.161::0.161) (0.246::0.246)) + (IOPATH B1 X (0.186::0.186) (0.232::0.233)) + (IOPATH B2 X (0.160::0.160) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5480_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.253::0.253)) + (IOPATH B X (0.125::0.125) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5481_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5482_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5483_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.223::0.223)) + (IOPATH A2 X (0.169::0.169) (0.253::0.253)) + (IOPATH B1 X (0.204::0.204) (0.262::0.262)) + (IOPATH B2 X (0.144::0.144) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5484_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.211::0.211)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.191::0.191) (0.252::0.252)) + (IOPATH B2 X (0.131::0.131) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5485_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.215::0.215)) + (IOPATH A2 X (0.169::0.169) (0.253::0.253)) + (IOPATH B1 X (0.215::0.215) (0.298::0.298)) + (IOPATH B2 X (0.145::0.145) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5486_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.217::0.217)) + (IOPATH A2 X (0.163::0.163) (0.249::0.249)) + (IOPATH B1 X (0.201::0.201) (0.269::0.269)) + (IOPATH B2 X (0.139::0.139) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5487_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.208::0.208)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.183::0.183) (0.234::0.234)) + (IOPATH B2 X (0.127::0.127) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5488_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.248::0.248)) + (IOPATH B X (0.124::0.124) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5489_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5490_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5491_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.217::0.217)) + (IOPATH A2 X (0.168::0.168) (0.254::0.254)) + (IOPATH B1 X (0.192::0.192) (0.225::0.225)) + (IOPATH B2 X (0.142::0.142) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5492_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.219::0.219)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.189::0.189) (0.231::0.231)) + (IOPATH B2 X (0.136::0.136) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5493_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.204::0.204)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.196::0.196) (0.278::0.278)) + (IOPATH B2 X (0.133::0.133) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5494_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.222::0.222)) + (IOPATH A2 X (0.173::0.173) (0.258::0.258)) + (IOPATH B1 X (0.203::0.203) (0.254::0.254)) + (IOPATH B2 X (0.148::0.148) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5495_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.163::0.163) (0.250::0.250)) + (IOPATH B1 X (0.187::0.187) (0.216::0.216)) + (IOPATH B2 X (0.137::0.137) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5496_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.250::0.250)) + (IOPATH B X (0.158::0.158) (0.255::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5497_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.207) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5498_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5499_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.223::0.223) (0.334::0.334)) + (IOPATH B2 X (0.148::0.148) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5500_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.216::0.216)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.231::0.231) (0.330::0.330)) + (IOPATH B2 X (0.154::0.154) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5501_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.211::0.211)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.231::0.231) (0.341::0.341)) + (IOPATH B2 X (0.151::0.151) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5502_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.208::0.208)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.188::0.188) (0.262::0.262)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5503_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.210::0.210)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.184::0.184) (0.235::0.235)) + (IOPATH B2 X (0.146::0.146) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5504_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.214::0.214)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.188::0.188) (0.246::0.246)) + (IOPATH B2 X (0.151::0.151) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5505_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.209::0.209)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.193::0.193) (0.261::0.261)) + (IOPATH B2 X (0.155::0.155) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5506_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.205::0.205)) + (IOPATH A2 X (0.156::0.156) (0.241::0.241)) + (IOPATH B1 X (0.190::0.190) (0.248::0.248)) + (IOPATH B2 X (0.151::0.151) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5507_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.244::0.244)) + (IOPATH B X (0.163::0.163) (0.274::0.274)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5508_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.218) (0.203::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5509_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.190::0.190) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5510_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.209::0.209)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.218::0.218) (0.296::0.296)) + (IOPATH B2 X (0.151::0.151) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5511_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.217::0.217)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.219::0.219) (0.301::0.302)) + (IOPATH B2 X (0.150::0.150) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5512_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.157::0.157) (0.245::0.245)) + (IOPATH B1 X (0.224::0.224) (0.312::0.312)) + (IOPATH B2 X (0.152::0.152) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5513_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.210::0.210)) + (IOPATH A2 X (0.161::0.161) (0.248::0.248)) + (IOPATH B1 X (0.184::0.184) (0.219::0.219)) + (IOPATH B2 X (0.155::0.155) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5514_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.219::0.219)) + (IOPATH A2 X (0.156::0.156) (0.244::0.244)) + (IOPATH B1 X (0.179::0.179) (0.205::0.205)) + (IOPATH B2 X (0.151::0.151) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5515_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.208::0.208)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.183::0.183) (0.235::0.235)) + (IOPATH B2 X (0.155::0.155) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5516_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.210::0.210)) + (IOPATH A2 X (0.167::0.167) (0.253::0.253)) + (IOPATH B1 X (0.187::0.187) (0.215::0.215)) + (IOPATH B2 X (0.163::0.163) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5517_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.211::0.211)) + (IOPATH A2 X (0.168::0.168) (0.253::0.253)) + (IOPATH B1 X (0.188::0.188) (0.233::0.234)) + (IOPATH B2 X (0.163::0.163) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5518_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.251::0.251)) + (IOPATH B X (0.127::0.127) (0.227::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5519_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.159::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5520_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5521_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.212::0.212)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.183::0.183) (0.220::0.220)) + (IOPATH B2 X (0.130::0.130) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5522_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.213::0.213)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.190::0.190) (0.232::0.232)) + (IOPATH B2 X (0.132::0.132) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5523_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.206::0.206)) + (IOPATH A2 X (0.155::0.155) (0.240::0.240)) + (IOPATH B1 X (0.194::0.194) (0.273::0.273)) + (IOPATH B2 X (0.128::0.128) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5524_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.211::0.211)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.186::0.186) (0.241::0.241)) + (IOPATH B2 X (0.128::0.128) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5525_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.215::0.215)) + (IOPATH A2 X (0.162::0.162) (0.248::0.248)) + (IOPATH B1 X (0.190::0.190) (0.214::0.214)) + (IOPATH B2 X (0.136::0.136) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5526_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.234::0.234)) + (IOPATH B X (0.123::0.123) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5527_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5528_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5529_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.217::0.217)) + (IOPATH A2 X (0.164::0.164) (0.251::0.251)) + (IOPATH B1 X (0.172::0.172) (0.219::0.219)) + (IOPATH B2 X (0.137::0.137) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5530_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.207::0.207)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.179::0.179) (0.205::0.205)) + (IOPATH B2 X (0.128::0.128) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5531_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.207::0.207)) + (IOPATH A2 X (0.163::0.163) (0.248::0.248)) + (IOPATH B1 X (0.188::0.188) (0.239::0.239)) + (IOPATH B2 X (0.136::0.136) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5532_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.218::0.218)) + (IOPATH A2 X (0.168::0.168) (0.254::0.254)) + (IOPATH B1 X (0.192::0.192) (0.208::0.208)) + (IOPATH B2 X (0.141::0.141) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5533_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.209::0.209)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.179::0.179) (0.227::0.227)) + (IOPATH B2 X (0.131::0.131) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5534_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.259::0.259)) + (IOPATH B X (0.171::0.171) (0.272::0.272)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5535_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.203) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5536_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.153::0.153) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5537_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.215::0.215)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.229::0.229) (0.320::0.321)) + (IOPATH B2 X (0.150::0.150) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5538_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.211::0.211)) + (IOPATH A2 X (0.152::0.152) (0.238::0.238)) + (IOPATH B1 X (0.225::0.225) (0.317::0.317)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5539_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.207::0.207)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.225::0.225) (0.332::0.332)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5540_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.186::0.186) (0.254::0.254)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5541_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.213::0.213)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.181::0.181) (0.227::0.227)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5542_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.208::0.208)) + (IOPATH A2 X (0.151::0.151) (0.237::0.237)) + (IOPATH B1 X (0.183::0.183) (0.237::0.237)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5543_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.219::0.219)) + (IOPATH A2 X (0.158::0.158) (0.243::0.243)) + (IOPATH B1 X (0.191::0.191) (0.245::0.245)) + (IOPATH B2 X (0.152::0.152) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5544_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.218::0.218)) + (IOPATH A2 X (0.157::0.157) (0.242::0.242)) + (IOPATH B1 X (0.192::0.192) (0.242::0.242)) + (IOPATH B2 X (0.151::0.151) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5545_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.241::0.241)) + (IOPATH B X (0.150::0.150) (0.250::0.250)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5546_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.211) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5547_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.201::0.201) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5548_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.213::0.213)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.225::0.225) (0.302::0.302)) + (IOPATH B2 X (0.161::0.161) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5549_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.221::0.222) (0.297::0.297)) + (IOPATH B2 X (0.156::0.156) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5550_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.212::0.212)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.231::0.231) (0.327::0.327)) + (IOPATH B2 X (0.161::0.161) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5551_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.214::0.214)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.187::0.187) (0.220::0.220)) + (IOPATH B2 X (0.163::0.163) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5552_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.206::0.206)) + (IOPATH A2 X (0.153::0.153) (0.241::0.241)) + (IOPATH B1 X (0.177::0.177) (0.204::0.204)) + (IOPATH B2 X (0.153::0.153) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5553_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.186::0.187) (0.238::0.238)) + (IOPATH B2 X (0.163::0.163) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5554_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.205::0.205)) + (IOPATH A2 X (0.161::0.161) (0.246::0.246)) + (IOPATH B1 X (0.181::0.181) (0.209::0.209)) + (IOPATH B2 X (0.161::0.161) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5555_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.211::0.211)) + (IOPATH A2 X (0.169::0.169) (0.253::0.253)) + (IOPATH B1 X (0.194::0.194) (0.220::0.220)) + (IOPATH B2 X (0.170::0.170) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5556_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.241::0.241)) + (IOPATH B X (0.116::0.116) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5557_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5558_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5559_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.161::0.161) (0.248::0.248)) + (IOPATH B1 X (0.185::0.185) (0.203::0.203)) + (IOPATH B2 X (0.136::0.136) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5560_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.214::0.214)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.189::0.189) (0.216::0.216)) + (IOPATH B2 X (0.137::0.137) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5561_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.205::0.205)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.185::0.185) (0.237::0.237)) + (IOPATH B2 X (0.134::0.134) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5562_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.213::0.213)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.186::0.186) (0.211::0.211)) + (IOPATH B2 X (0.135::0.135) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5563_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.155::0.155) (0.243::0.243)) + (IOPATH B1 X (0.181::0.181) (0.217::0.217)) + (IOPATH B2 X (0.130::0.130) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5564_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.245::0.245)) + (IOPATH B X (0.113::0.113) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5565_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5566_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5567_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.216::0.216)) + (IOPATH A2 X (0.175::0.175) (0.259::0.259)) + (IOPATH B1 X (0.205::0.205) (0.257::0.257)) + (IOPATH B2 X (0.149::0.149) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5568_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.205::0.205)) + (IOPATH A2 X (0.161::0.161) (0.247::0.247)) + (IOPATH B1 X (0.190::0.190) (0.240::0.240)) + (IOPATH B2 X (0.135::0.135) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5569_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.208::0.208)) + (IOPATH A2 X (0.157::0.157) (0.245::0.245)) + (IOPATH B1 X (0.196::0.196) (0.284::0.284)) + (IOPATH B2 X (0.131::0.131) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5570_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.212::0.212)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.195::0.195) (0.261::0.261)) + (IOPATH B2 X (0.136::0.136) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5571_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.218::0.218)) + (IOPATH A2 X (0.167::0.167) (0.253::0.253)) + (IOPATH B1 X (0.192::0.192) (0.226::0.226)) + (IOPATH B2 X (0.141::0.141) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5572_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.252::0.252)) + (IOPATH B X (0.158::0.158) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5573_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5574_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5575_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.220::0.220)) + (IOPATH A2 X (0.164::0.164) (0.249::0.249)) + (IOPATH B1 X (0.238::0.238) (0.326::0.327)) + (IOPATH B2 X (0.160::0.160) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5576_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.222::0.222) (0.317::0.317)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5577_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.213::0.213)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.231::0.231) (0.334::0.334)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5578_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.219::0.219)) + (IOPATH A2 X (0.154::0.154) (0.240::0.240)) + (IOPATH B1 X (0.192::0.192) (0.256::0.256)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5579_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.216::0.216)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.185::0.185) (0.229::0.229)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5580_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.184::0.184) (0.238::0.238)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5581_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.208::0.208)) + (IOPATH A2 X (0.155::0.155) (0.241::0.241)) + (IOPATH B1 X (0.189::0.189) (0.246::0.246)) + (IOPATH B2 X (0.151::0.151) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5582_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.204::0.204)) + (IOPATH A2 X (0.153::0.153) (0.238::0.238)) + (IOPATH B1 X (0.187::0.187) (0.235::0.235)) + (IOPATH B2 X (0.148::0.148) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5583_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.237::0.237)) + (IOPATH B X (0.143::0.143) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5584_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.206) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5585_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5586_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.209::0.209)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.216::0.216) (0.298::0.299)) + (IOPATH B2 X (0.145::0.145) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5587_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.211::0.211)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.228::0.228) (0.302::0.302)) + (IOPATH B2 X (0.154::0.154) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5588_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.207::0.207)) + (IOPATH A2 X (0.152::0.152) (0.240::0.240)) + (IOPATH B1 X (0.221::0.222) (0.306::0.307)) + (IOPATH B2 X (0.146::0.146) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5589_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.211::0.211)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.184::0.184) (0.214::0.214)) + (IOPATH B2 X (0.153::0.153) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5590_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.218::0.218)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.186::0.186) (0.211::0.211)) + (IOPATH B2 X (0.154::0.154) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5591_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.162::0.162) (0.248::0.248)) + (IOPATH B1 X (0.189::0.189) (0.240::0.241)) + (IOPATH B2 X (0.157::0.157) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5592_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.209::0.209)) + (IOPATH A2 X (0.162::0.162) (0.247::0.247)) + (IOPATH B1 X (0.185::0.185) (0.219::0.219)) + (IOPATH B2 X (0.156::0.156) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5593_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.205::0.205)) + (IOPATH A2 X (0.160::0.160) (0.245::0.245)) + (IOPATH B1 X (0.187::0.187) (0.218::0.218)) + (IOPATH B2 X (0.154::0.154) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5594_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.258::0.258)) + (IOPATH B X (0.157::0.157) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5595_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.168::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5596_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5597_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.213::0.213)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.168::0.169) (0.216::0.217)) + (IOPATH B2 X (0.132::0.132) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5598_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.207::0.207)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.168::0.169) (0.216::0.216)) + (IOPATH B2 X (0.127::0.127) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5599_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.211::0.211)) + (IOPATH A2 X (0.167::0.167) (0.252::0.252)) + (IOPATH B1 X (0.193::0.193) (0.232::0.232)) + (IOPATH B2 X (0.140::0.140) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5600_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.180::0.180) (0.233::0.234)) + (IOPATH B2 X (0.126::0.126) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5601_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.216::0.216)) + (IOPATH A2 X (0.164::0.164) (0.250::0.250)) + (IOPATH B1 X (0.186::0.186) (0.233::0.233)) + (IOPATH B2 X (0.136::0.136) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5602_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.239::0.239)) + (IOPATH B X (0.148::0.148) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5603_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.177) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5604_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5605_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.207::0.207)) + (IOPATH A2 X (0.161::0.161) (0.247::0.247)) + (IOPATH B1 X (0.185::0.185) (0.203::0.203)) + (IOPATH B2 X (0.136::0.136) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5606_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.210::0.210)) + (IOPATH A2 X (0.163::0.163) (0.249::0.249)) + (IOPATH B1 X (0.190::0.190) (0.212::0.212)) + (IOPATH B2 X (0.137::0.137) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5607_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.212::0.212)) + (IOPATH A2 X (0.159::0.159) (0.247::0.247)) + (IOPATH B1 X (0.193::0.193) (0.262::0.262)) + (IOPATH B2 X (0.134::0.134) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5608_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.207::0.207)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.180::0.180) (0.220::0.220)) + (IOPATH B2 X (0.127::0.127) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5609_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.182::0.182) (0.222::0.222)) + (IOPATH B2 X (0.129::0.129) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5610_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.265::0.265)) + (IOPATH B X (0.176::0.176) (0.270::0.270)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5611_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.208) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _5612_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5613_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.216::0.216)) + (IOPATH A2 X (0.158::0.158) (0.244::0.244)) + (IOPATH B1 X (0.230::0.230) (0.300::0.300)) + (IOPATH B2 X (0.144::0.144) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5614_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.217::0.217)) + (IOPATH A2 X (0.160::0.160) (0.246::0.246)) + (IOPATH B1 X (0.233::0.233) (0.293::0.294)) + (IOPATH B2 X (0.146::0.146) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5615_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.216::0.216)) + (IOPATH A2 X (0.158::0.158) (0.244::0.244)) + (IOPATH B1 X (0.235::0.236) (0.322::0.322)) + (IOPATH B2 X (0.144::0.144) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5616_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.217::0.217)) + (IOPATH A2 X (0.150::0.150) (0.236::0.236)) + (IOPATH B1 X (0.184::0.184) (0.239::0.239)) + (IOPATH B2 X (0.135::0.135) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5617_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.207::0.207)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.177::0.177) (0.204::0.204)) + (IOPATH B2 X (0.132::0.132) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5618_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.206::0.206)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.177::0.177) (0.218::0.218)) + (IOPATH B2 X (0.134::0.134) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5619_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.205::0.205)) + (IOPATH A2 X (0.153::0.153) (0.238::0.238)) + (IOPATH B1 X (0.184::0.185) (0.235::0.235)) + (IOPATH B2 X (0.139::0.139) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5620_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.213::0.213)) + (IOPATH A2 X (0.153::0.153) (0.238::0.238)) + (IOPATH B1 X (0.180::0.180) (0.228::0.228)) + (IOPATH B2 X (0.138::0.138) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5621_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.312::0.312)) + (IOPATH B X (0.249::0.249) (0.331::0.331)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5622_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5623_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5624_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.206::0.206)) + (IOPATH A2 X (0.150::0.150) (0.236::0.236)) + (IOPATH B1 X (0.217::0.217) (0.281::0.281)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5625_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.211::0.211)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.224::0.224) (0.288::0.289)) + (IOPATH B2 X (0.148::0.148) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5626_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.208::0.208)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.223::0.224) (0.299::0.299)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5627_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.215::0.215)) + (IOPATH A2 X (0.150::0.150) (0.236::0.236)) + (IOPATH B1 X (0.162::0.163) (0.211::0.211)) + (IOPATH B2 X (0.144::0.144) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5628_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.206::0.206)) + (IOPATH A2 X (0.150::0.150) (0.236::0.236)) + (IOPATH B1 X (0.168::0.169) (0.217::0.218)) + (IOPATH B2 X (0.144::0.144) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5629_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.209::0.209)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.179::0.179) (0.200::0.200)) + (IOPATH B2 X (0.147::0.147) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5630_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.205::0.205)) + (IOPATH A2 X (0.154::0.154) (0.239::0.239)) + (IOPATH B1 X (0.184::0.184) (0.234::0.234)) + (IOPATH B2 X (0.149::0.149) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5631_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.212::0.212)) + (IOPATH A2 X (0.161::0.161) (0.246::0.246)) + (IOPATH B1 X (0.188::0.189) (0.234::0.234)) + (IOPATH B2 X (0.157::0.157) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5632_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.268::0.268)) + (IOPATH B X (0.158::0.158) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5633_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5634_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5635_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.168::0.169) (0.216::0.216)) + (IOPATH B2 X (0.135::0.135) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5636_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.207::0.207)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.166::0.167) (0.214::0.215)) + (IOPATH B2 X (0.128::0.128) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5637_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.212::0.212)) + (IOPATH A2 X (0.164::0.164) (0.249::0.249)) + (IOPATH B1 X (0.190::0.190) (0.238::0.239)) + (IOPATH B2 X (0.140::0.140) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5638_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.183::0.183) (0.235::0.236)) + (IOPATH B2 X (0.132::0.132) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5639_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.210::0.210)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.180::0.180) (0.228::0.228)) + (IOPATH B2 X (0.133::0.133) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5640_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5641_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5642_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5643_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _5644_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_2") + (INSTANCE _5645_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.253::0.253) (0.312::0.312)) + (IOPATH A2 X (0.254::0.254) (0.314::0.314)) + (IOPATH A3 X (0.261::0.261) (0.341::0.341)) + (IOPATH B1 X (0.274::0.274) (0.342::0.342)) + (IOPATH B2 X (0.210::0.211) (0.290::0.291)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5646_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.082::0.082) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _5647_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.439::0.439)) + (IOPATH B X (0.203::0.204) (0.426::0.427)) + (IOPATH C X (0.175::0.175) (0.379::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5648_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _5649_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.595::0.595)) + (IOPATH B X (0.178::0.178) (0.581::0.581)) + (IOPATH C X (0.192::0.192) (0.556::0.556)) + (IOPATH D X (0.183::0.183) (0.485::0.485)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (INSTANCE _5650_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.188::0.188) (0.237::0.237)) + (IOPATH A2 X (0.145::0.145) (0.220::0.220)) + (IOPATH B1 X (0.113::0.113) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5651_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.159) (0.265::0.266)) + (IOPATH B X (0.130::0.132) (0.235::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _5652_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.133::0.133) (0.073::0.073)) + (IOPATH A2 Y (0.151::0.151) (0.079::0.079)) + (IOPATH B1 Y (0.070::0.070) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_1") + (INSTANCE _5653_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.348::0.348)) + (IOPATH A2 X (0.185::0.185) (0.359::0.359)) + (IOPATH A3 X (0.143::0.143) (0.286::0.286)) + (IOPATH B1 X (0.172::0.173) (0.136::0.136)) + (IOPATH C1 X (0.164::0.174) (0.107::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_1") + (INSTANCE _5654_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.131::0.131) (0.285::0.285)) + (IOPATH A2 X (0.125::0.125) (0.266::0.266)) + (IOPATH A3 X (0.114::0.114) (0.228::0.228)) + (IOPATH B1 X (0.121::0.122) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _5655_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.238::0.238) (0.217::0.217)) + (IOPATH A2_N X (0.260::0.260) (0.236::0.236)) + (IOPATH B1 X (0.169::0.170) (0.301::0.302)) + (IOPATH B2 X (0.132::0.133) (0.273::0.279)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _5656_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.126::0.126) (0.070::0.070)) + (IOPATH A2 Y (0.125::0.126) (0.074::0.074)) + (IOPATH B1 Y (0.070::0.070) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_1") + (INSTANCE _5657_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.240::0.240) (0.421::0.421)) + (IOPATH A2 X (0.183::0.183) (0.350::0.350)) + (IOPATH A3 X (0.164::0.164) (0.320::0.320)) + (IOPATH B1 X (0.189::0.189) (0.160::0.160)) + (IOPATH C1 X (0.163::0.172) (0.106::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5658_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.053) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _5659_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.181::0.181) (0.250::0.250)) + (IOPATH A2 X (0.190::0.191) (0.245::0.246)) + (IOPATH B1 X (0.183::0.183) (0.227::0.227)) + (IOPATH B2 X (0.156::0.156) (0.193::0.193)) + (IOPATH C1 X (0.169::0.169) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5660_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.292::0.292)) + (IOPATH B X (0.207::0.208) (0.293::0.293)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5661_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5662_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.192::0.192) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5663_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.218::0.218) (0.284::0.284)) + (IOPATH B2 X (0.153::0.153) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5664_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.211::0.211)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.226::0.226) (0.297::0.297)) + (IOPATH B2 X (0.157::0.157) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5665_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.224::0.224) (0.305::0.305)) + (IOPATH B2 X (0.153::0.153) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5666_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.209::0.209)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.181::0.181) (0.205::0.205)) + (IOPATH B2 X (0.156::0.156) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5667_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.214::0.214)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.182::0.182) (0.207::0.207)) + (IOPATH B2 X (0.155::0.155) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5668_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.186::0.187) (0.237::0.237)) + (IOPATH B2 X (0.160::0.160) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5669_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.208::0.208)) + (IOPATH A2 X (0.162::0.162) (0.248::0.248)) + (IOPATH B1 X (0.188::0.188) (0.238::0.238)) + (IOPATH B2 X (0.161::0.161) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5670_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.207::0.207)) + (IOPATH A2 X (0.162::0.162) (0.248::0.248)) + (IOPATH B1 X (0.187::0.187) (0.210::0.210)) + (IOPATH B2 X (0.160::0.160) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5671_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.236::0.236)) + (IOPATH B X (0.124::0.124) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5672_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5673_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5674_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.215::0.215)) + (IOPATH A2 X (0.164::0.164) (0.251::0.251)) + (IOPATH B1 X (0.186::0.186) (0.204::0.204)) + (IOPATH B2 X (0.136::0.136) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5675_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.161::0.161) (0.249::0.249)) + (IOPATH B1 X (0.187::0.187) (0.208::0.208)) + (IOPATH B2 X (0.134::0.134) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5676_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.205::0.205)) + (IOPATH A2 X (0.158::0.158) (0.244::0.244)) + (IOPATH B1 X (0.185::0.185) (0.239::0.239)) + (IOPATH B2 X (0.130::0.130) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5677_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.179::0.179) (0.206::0.206)) + (IOPATH B2 X (0.128::0.128) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5678_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.212::0.212)) + (IOPATH A2 X (0.160::0.160) (0.248::0.248)) + (IOPATH B1 X (0.186::0.186) (0.223::0.223)) + (IOPATH B2 X (0.133::0.133) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5679_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.295::0.295)) + (IOPATH B X (0.218::0.218) (0.302::0.302)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5680_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _5681_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5682_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.215::0.215) (0.284::0.284)) + (IOPATH B2 X (0.131::0.131) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5683_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.217::0.217)) + (IOPATH A2 X (0.155::0.155) (0.241::0.241)) + (IOPATH B1 X (0.228::0.228) (0.288::0.288)) + (IOPATH B2 X (0.139::0.139) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5684_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.214::0.214)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.220::0.220) (0.298::0.299)) + (IOPATH B2 X (0.131::0.131) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5685_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.212::0.212)) + (IOPATH A2 X (0.147::0.147) (0.233::0.233)) + (IOPATH B1 X (0.160::0.161) (0.210::0.211)) + (IOPATH B2 X (0.130::0.130) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5686_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.213::0.213)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.172::0.173) (0.221::0.221)) + (IOPATH B2 X (0.136::0.136) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5687_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.219::0.219)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.182::0.182) (0.211::0.211)) + (IOPATH B2 X (0.137::0.137) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5688_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.214::0.214)) + (IOPATH A2 X (0.155::0.155) (0.240::0.240)) + (IOPATH B1 X (0.187::0.188) (0.237::0.238)) + (IOPATH B2 X (0.140::0.140) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5689_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.225::0.225)) + (IOPATH A2 X (0.167::0.167) (0.250::0.250)) + (IOPATH B1 X (0.196::0.196) (0.240::0.240)) + (IOPATH B2 X (0.152::0.152) (0.231::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5690_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _5691_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.369::0.369)) + (IOPATH B X (0.229::0.229) (0.379::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5692_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.094) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5693_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.238::0.238)) + (IOPATH B X (0.133::0.133) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE _5694_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _5695_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.330::0.330)) + (IOPATH B X (0.216::0.216) (0.303::0.303)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _5696_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.377::0.377) (0.103::0.103)) + (IOPATH B Y (0.350::0.350) (0.115::0.115)) + (IOPATH C Y (0.315::0.315) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _5697_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _5698_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.417::0.417)) + (IOPATH B X (0.170::0.170) (0.391::0.391)) + (IOPATH C X (0.147::0.147) (0.363::0.363)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _5699_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _5700_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.364::0.364)) + (IOPATH A2 X (0.149::0.149) (0.324::0.324)) + (IOPATH A3 X (0.199::0.199) (0.290::0.294)) + (IOPATH B1 X (0.164::0.164) (0.200::0.200)) + (IOPATH B2 X (0.175::0.175) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_1") + (INSTANCE _5701_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.300::0.300)) + (IOPATH A2 X (0.157::0.157) (0.284::0.284)) + (IOPATH A3 X (0.131::0.131) (0.262::0.262)) + (IOPATH B1 X (0.157::0.157) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _5702_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.182::0.182) (0.189::0.192)) + (IOPATH A2 X (0.101::0.102) (0.156::0.163)) + (IOPATH B1 X (0.138::0.138) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5703_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5704_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.071) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _5705_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.169::0.169) (0.250::0.250)) + (IOPATH A2 X (0.161::0.161) (0.217::0.217)) + (IOPATH B1 X (0.168::0.168) (0.153::0.153)) + (IOPATH C1 X (0.156::0.156) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _5706_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.130::0.130) (0.169::0.169)) + (IOPATH A2 X (0.131::0.131) (0.198::0.198)) + (IOPATH A3 X (0.167::0.167) (0.243::0.243)) + (IOPATH B1 X (0.088::0.089) (0.174::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5707_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.181::0.181) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5708_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _5709_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.240::0.240) (0.333::0.333)) + (IOPATH B X (0.197::0.197) (0.296::0.296)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5710_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.064) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5711_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.285::0.285)) + (IOPATH B X (0.196::0.196) (0.285::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _5712_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.366::0.366)) + (IOPATH A2 X (0.139::0.139) (0.318::0.318)) + (IOPATH A3 X (0.124::0.124) (0.273::0.273)) + (IOPATH B1 X (0.171::0.171) (0.179::0.179)) + (IOPATH B2 X (0.147::0.147) (0.165::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5713_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5714_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.127::0.127) (0.203::0.203)) + (IOPATH A2 X (0.163::0.163) (0.251::0.251)) + (IOPATH B1 X (0.149::0.149) (0.201::0.201)) + (IOPATH B2 X (0.143::0.143) (0.204::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5715_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5716_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.064) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5717_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.074::0.074) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5718_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _5719_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.353::0.353)) + (IOPATH B X (0.171::0.171) (0.340::0.340)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5720_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076::0.076) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5721_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _5722_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.355::0.355)) + (IOPATH B X (0.182::0.182) (0.339::0.339)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5723_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.308::0.308)) + (IOPATH B X (0.207::0.207) (0.292::0.292)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _5724_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.255::0.255) (0.056::0.056)) + (IOPATH B Y (0.273::0.273) (0.082::0.082)) + (IOPATH C Y (0.228::0.228) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5725_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.240::0.240)) + (IOPATH B X (0.093::0.093) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE _5726_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5727_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.267::0.267)) + (IOPATH B X (0.155::0.155) (0.241::0.241)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _5728_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _5729_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.350::0.350)) + (IOPATH A2 X (0.166::0.166) (0.338::0.338)) + (IOPATH A3 X (0.198::0.199) (0.296::0.301)) + (IOPATH B1 X (0.181::0.181) (0.214::0.214)) + (IOPATH B2 X (0.192::0.192) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5730_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.043::0.046) (0.029::0.029)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _5731_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.234::0.234) (0.127::0.127)) + (IOPATH A2 Y (0.245::0.245) (0.123::0.123)) + (IOPATH B1 Y (0.211::0.211) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ba_1") + (INSTANCE _5732_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.195::0.195)) + (IOPATH A2 X (0.147::0.150) (0.176::0.185)) + (IOPATH B1_N X (0.211::0.218) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _5733_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.449::0.449)) + (IOPATH B X (0.181::0.181) (0.417::0.417)) + (IOPATH C X (0.190::0.190) (0.395::0.395)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _5734_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.152::0.152) (0.079::0.079)) + (IOPATH A2 Y (0.148::0.157) (0.102::0.105)) + (IOPATH B1 Y (0.149::0.149) (0.094::0.094)) + (IOPATH B2 Y (0.130::0.131) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _5735_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.185::0.185)) + (IOPATH A2 X (0.175::0.175) (0.221::0.221)) + (IOPATH A3 X (0.165::0.165) (0.241::0.241)) + (IOPATH B1 X (0.111::0.111) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_1") + (INSTANCE _5736_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.175::0.175) (0.371::0.371)) + (IOPATH A2 X (0.169::0.169) (0.339::0.339)) + (IOPATH A3 X (0.143::0.143) (0.282::0.282)) + (IOPATH B1 X (0.177::0.178) (0.148::0.148)) + (IOPATH C1 X (0.140::0.142) (0.095::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _5737_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.187) (0.371::0.371)) + (IOPATH B X (0.242::0.242) (0.372::0.372)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _5738_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.390::0.390)) + (IOPATH B X (0.199::0.199) (0.362::0.362)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _5739_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.209::0.209) (0.261::0.261)) + (IOPATH A2 X (0.204::0.204) (0.284::0.284)) + (IOPATH A3 X (0.205::0.205) (0.297::0.297)) + (IOPATH B1 X (0.136::0.136) (0.213::0.213)) + (IOPATH B2 X (0.170::0.170) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5740_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.044::0.045) (0.031::0.032)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5741_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.182::0.182) (0.225::0.225)) + (IOPATH A2 X (0.146::0.146) (0.207::0.207)) + (IOPATH B1 X (0.131::0.131) (0.179::0.179)) + (IOPATH B2 X (0.135::0.135) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5742_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.242::0.242)) + (IOPATH B X (0.115::0.115) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5743_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.178) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5744_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5745_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.180::0.180) (0.199::0.199)) + (IOPATH B2 X (0.132::0.132) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5746_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.219::0.219)) + (IOPATH A2 X (0.164::0.164) (0.251::0.251)) + (IOPATH B1 X (0.190::0.190) (0.217::0.217)) + (IOPATH B2 X (0.139::0.139) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5747_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.213::0.213)) + (IOPATH A2 X (0.165::0.165) (0.251::0.251)) + (IOPATH B1 X (0.195::0.195) (0.250::0.250)) + (IOPATH B2 X (0.140::0.140) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5748_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.212::0.212)) + (IOPATH A2 X (0.160::0.160) (0.247::0.247)) + (IOPATH B1 X (0.184::0.184) (0.213::0.213)) + (IOPATH B2 X (0.134::0.134) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5749_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.215::0.215)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.188::0.188) (0.223::0.223)) + (IOPATH B2 X (0.136::0.136) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _5750_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.063::0.063)) + (IOPATH B Y (0.098::0.099) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _5751_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.751::0.751)) + (IOPATH B X (0.186::0.186) (0.734::0.734)) + (IOPATH C X (0.166::0.166) (0.692::0.692)) + (IOPATH D X (0.178::0.178) (0.630::0.630)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _5752_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.431::0.431)) + (IOPATH B X (0.173::0.173) (0.409::0.409)) + (IOPATH C X (0.173::0.173) (0.372::0.372)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _5753_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.163::0.163)) + (IOPATH B X (0.163::0.163) (0.209::0.210)) + (IOPATH C X (0.169::0.169) (0.204::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _5754_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.231::0.231) (0.248::0.248)) + (IOPATH A2 X (0.230::0.230) (0.258::0.258)) + (IOPATH A3 X (0.247::0.247) (0.281::0.281)) + (IOPATH B1 X (0.175::0.175) (0.229::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5755_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.058) (0.041::0.041)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _5756_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.176::0.176) (0.229::0.229)) + (IOPATH A2 X (0.159::0.160) (0.231::0.235)) + (IOPATH A3 X (0.150::0.150) (0.242::0.242)) + (IOPATH B1 X (0.122::0.122) (0.201::0.201)) + (IOPATH B2 X (0.142::0.143) (0.221::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5757_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.290::0.290)) + (IOPATH B X (0.210::0.210) (0.297::0.297)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5758_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5759_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5760_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.207::0.207)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.216::0.217) (0.284::0.284)) + (IOPATH B2 X (0.147::0.147) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5761_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.222::0.222) (0.292::0.292)) + (IOPATH B2 X (0.149::0.149) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5762_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.226::0.227) (0.306::0.306)) + (IOPATH B2 X (0.150::0.150) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5763_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.208::0.208)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.179::0.179) (0.196::0.196)) + (IOPATH B2 X (0.148::0.148) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5764_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.177::0.177) (0.204::0.204)) + (IOPATH B2 X (0.145::0.145) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5765_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.210::0.210)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.180::0.180) (0.232::0.233)) + (IOPATH B2 X (0.148::0.148) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5766_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.211::0.211)) + (IOPATH A2 X (0.164::0.164) (0.249::0.249)) + (IOPATH B1 X (0.188::0.188) (0.207::0.207)) + (IOPATH B2 X (0.160::0.160) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5767_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.209::0.209)) + (IOPATH A2 X (0.159::0.159) (0.244::0.244)) + (IOPATH B1 X (0.183::0.183) (0.230::0.230)) + (IOPATH B2 X (0.155::0.155) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5768_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.241::0.241)) + (IOPATH B X (0.135::0.135) (0.234::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5769_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5770_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5771_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.214::0.214)) + (IOPATH A2 X (0.159::0.159) (0.247::0.247)) + (IOPATH B1 X (0.177::0.177) (0.200::0.200)) + (IOPATH B2 X (0.130::0.130) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5772_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.211::0.211)) + (IOPATH A2 X (0.161::0.161) (0.249::0.249)) + (IOPATH B1 X (0.183::0.183) (0.210::0.210)) + (IOPATH B2 X (0.132::0.132) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5773_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.211::0.211)) + (IOPATH A2 X (0.171::0.171) (0.257::0.257)) + (IOPATH B1 X (0.199::0.199) (0.262::0.262)) + (IOPATH B2 X (0.142::0.142) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5774_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.211::0.211)) + (IOPATH A2 X (0.161::0.161) (0.249::0.249)) + (IOPATH B1 X (0.182::0.182) (0.219::0.219)) + (IOPATH B2 X (0.132::0.132) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5775_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.222::0.222)) + (IOPATH A2 X (0.177::0.177) (0.262::0.262)) + (IOPATH B1 X (0.200::0.200) (0.236::0.236)) + (IOPATH B2 X (0.148::0.148) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5776_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.247::0.247)) + (IOPATH B X (0.160::0.160) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5777_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.199) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5778_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5779_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.209::0.209)) + (IOPATH A2 X (0.149::0.149) (0.235::0.235)) + (IOPATH B1 X (0.222::0.222) (0.330::0.330)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5780_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.211::0.211)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.225::0.225) (0.328::0.328)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5781_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.208::0.208)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.227::0.227) (0.343::0.343)) + (IOPATH B2 X (0.141::0.141) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5782_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.207::0.207)) + (IOPATH A2 X (0.147::0.147) (0.234::0.234)) + (IOPATH B1 X (0.187::0.187) (0.261::0.261)) + (IOPATH B2 X (0.139::0.139) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5783_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.224::0.224)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.187::0.187) (0.238::0.238)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5784_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.183::0.183) (0.247::0.247)) + (IOPATH B2 X (0.141::0.141) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5785_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.205::0.205)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.188::0.188) (0.252::0.252)) + (IOPATH B2 X (0.145::0.145) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5786_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.206::0.206)) + (IOPATH A2 X (0.153::0.153) (0.239::0.239)) + (IOPATH B1 X (0.191::0.191) (0.249::0.249)) + (IOPATH B2 X (0.146::0.146) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5787_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.065) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _5788_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.226::0.226)) + (IOPATH A2 X (0.177::0.177) (0.263::0.263)) + (IOPATH A3 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.127::0.127) (0.205::0.205)) + (IOPATH B2 X (0.148::0.148) (0.225::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5789_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.244::0.244)) + (IOPATH B X (0.129::0.129) (0.227::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5790_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5791_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5792_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.213::0.213)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.192::0.192) (0.260::0.260)) + (IOPATH B2 X (0.130::0.130) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5793_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.214::0.214)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.191::0.191) (0.262::0.262)) + (IOPATH B2 X (0.128::0.128) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5794_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.206::0.206)) + (IOPATH A2 X (0.158::0.158) (0.244::0.244)) + (IOPATH B1 X (0.192::0.192) (0.265::0.265)) + (IOPATH B2 X (0.131::0.131) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5795_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.209::0.209)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.191::0.191) (0.266::0.266)) + (IOPATH B2 X (0.130::0.130) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5796_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.213::0.213)) + (IOPATH A2 X (0.161::0.161) (0.249::0.249)) + (IOPATH B1 X (0.193::0.193) (0.246::0.246)) + (IOPATH B2 X (0.134::0.134) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5797_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.235::0.235)) + (IOPATH B X (0.150::0.150) (0.251::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5798_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.191) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _5799_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5800_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.221::0.221) (0.304::0.304)) + (IOPATH B2 X (0.134::0.134) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5801_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.213::0.213)) + (IOPATH A2 X (0.154::0.154) (0.240::0.240)) + (IOPATH B1 X (0.229::0.229) (0.311::0.311)) + (IOPATH B2 X (0.139::0.139) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5802_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.208::0.208)) + (IOPATH A2 X (0.146::0.146) (0.233::0.233)) + (IOPATH B1 X (0.222::0.222) (0.320::0.320)) + (IOPATH B2 X (0.130::0.130) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5803_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.216::0.216)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.183::0.183) (0.238::0.238)) + (IOPATH B2 X (0.132::0.132) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5804_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.146::0.146) (0.233::0.233)) + (IOPATH B1 X (0.179::0.179) (0.222::0.222)) + (IOPATH B2 X (0.130::0.130) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5805_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.209::0.209)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.181::0.181) (0.223::0.223)) + (IOPATH B2 X (0.135::0.135) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5806_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.205::0.205)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.185::0.185) (0.236::0.237)) + (IOPATH B2 X (0.137::0.137) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5807_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.232::0.232)) + (IOPATH A2 X (0.152::0.152) (0.237::0.237)) + (IOPATH B1 X (0.185::0.185) (0.223::0.223)) + (IOPATH B2 X (0.137::0.137) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _5808_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.204::0.204) (0.277::0.277)) + (IOPATH A2 X (0.179::0.179) (0.239::0.239)) + (IOPATH B1 X (0.191::0.191) (0.228::0.228)) + (IOPATH B2 X (0.187::0.187) (0.248::0.248)) + (IOPATH C1 X (0.178::0.178) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _5809_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.186::0.186)) + (IOPATH A2 X (0.161::0.161) (0.220::0.220)) + (IOPATH A3 X (0.152::0.152) (0.218::0.218)) + (IOPATH B1 X (0.097::0.097) (0.180::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5810_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.251::0.251)) + (IOPATH B X (0.134::0.134) (0.233::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5811_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5812_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5813_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.212::0.212)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.183::0.183) (0.201::0.201)) + (IOPATH B2 X (0.131::0.131) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5814_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.209::0.209)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.180::0.180) (0.203::0.203)) + (IOPATH B2 X (0.125::0.125) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5815_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.216::0.216)) + (IOPATH A2 X (0.171::0.171) (0.254::0.254)) + (IOPATH B1 X (0.204::0.204) (0.255::0.255)) + (IOPATH B2 X (0.145::0.145) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5816_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.208::0.208)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.178::0.178) (0.205::0.205)) + (IOPATH B2 X (0.124::0.124) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5817_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.227::0.227)) + (IOPATH A2 X (0.166::0.166) (0.251::0.251)) + (IOPATH B1 X (0.196::0.196) (0.230::0.230)) + (IOPATH B2 X (0.140::0.140) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5818_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.233::0.233)) + (IOPATH B X (0.141::0.141) (0.237::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5819_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5820_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.160::0.160) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5821_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.212::0.212)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.225::0.226) (0.319::0.319)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5822_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.212::0.212)) + (IOPATH A2 X (0.151::0.151) (0.237::0.237)) + (IOPATH B1 X (0.223::0.223) (0.317::0.317)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5823_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.154::0.154) (0.240::0.240)) + (IOPATH B1 X (0.231::0.231) (0.337::0.337)) + (IOPATH B2 X (0.149::0.149) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5824_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.215::0.215)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.193::0.193) (0.261::0.261)) + (IOPATH B2 X (0.149::0.149) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5825_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.215::0.215)) + (IOPATH A2 X (0.148::0.148) (0.235::0.235)) + (IOPATH B1 X (0.181::0.181) (0.230::0.230)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5826_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.208::0.208)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.182::0.182) (0.238::0.238)) + (IOPATH B2 X (0.144::0.144) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5827_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.204::0.204)) + (IOPATH A2 X (0.153::0.153) (0.238::0.238)) + (IOPATH B1 X (0.184::0.184) (0.238::0.238)) + (IOPATH B2 X (0.148::0.148) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5828_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.205::0.205)) + (IOPATH A2 X (0.154::0.154) (0.239::0.239)) + (IOPATH B1 X (0.188::0.188) (0.237::0.237)) + (IOPATH B2 X (0.149::0.149) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5829_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.246::0.246)) + (IOPATH B X (0.128::0.128) (0.227::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5830_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.156::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5831_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5832_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.206::0.206)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.186::0.186) (0.255::0.255)) + (IOPATH B2 X (0.124::0.124) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5833_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.212::0.212)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.196::0.196) (0.261::0.261)) + (IOPATH B2 X (0.132::0.132) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5834_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.216::0.216)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.206::0.206) (0.293::0.293)) + (IOPATH B2 X (0.134::0.134) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5835_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.210::0.210)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.194::0.194) (0.267::0.267)) + (IOPATH B2 X (0.130::0.130) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5836_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.136::0.136) (0.207::0.207)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.184::0.184) (0.238::0.238)) + (IOPATH B2 X (0.126::0.126) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5837_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.233::0.233)) + (IOPATH B X (0.148::0.148) (0.247::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5838_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5839_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.155) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5840_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.210::0.210)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.222::0.222) (0.309::0.309)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5841_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.206::0.206)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.219::0.219) (0.309::0.309)) + (IOPATH B2 X (0.140::0.140) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5842_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.209::0.209)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.227::0.227) (0.328::0.328)) + (IOPATH B2 X (0.144::0.144) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5843_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.206::0.206)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.184::0.184) (0.246::0.246)) + (IOPATH B2 X (0.141::0.141) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5844_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.218::0.218)) + (IOPATH A2 X (0.152::0.152) (0.239::0.239)) + (IOPATH B1 X (0.183::0.183) (0.223::0.223)) + (IOPATH B2 X (0.143::0.143) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5845_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.211::0.211)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.184::0.184) (0.233::0.233)) + (IOPATH B2 X (0.146::0.146) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5846_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.204::0.204)) + (IOPATH A2 X (0.154::0.154) (0.239::0.239)) + (IOPATH B1 X (0.183::0.183) (0.234::0.234)) + (IOPATH B2 X (0.146::0.146) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5847_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.207::0.207)) + (IOPATH A2 X (0.157::0.157) (0.243::0.243)) + (IOPATH B1 X (0.191::0.191) (0.238::0.238)) + (IOPATH B2 X (0.150::0.150) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _5848_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.123) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _5849_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5850_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.205::0.205)) + (IOPATH A2 X (0.159::0.160) (0.224::0.224)) + (IOPATH B1 X (0.130::0.132) (0.180::0.180)) + (IOPATH B2 X (0.141::0.141) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5851_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.215::0.215)) + (IOPATH A2 X (0.119::0.121) (0.192::0.192)) + (IOPATH B1 X (0.173::0.173) (0.205::0.205)) + (IOPATH B2 X (0.116::0.116) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5852_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.213::0.213)) + (IOPATH A2 X (0.118::0.119) (0.191::0.191)) + (IOPATH B1 X (0.170::0.171) (0.202::0.203)) + (IOPATH B2 X (0.114::0.114) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5853_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.215::0.215)) + (IOPATH A2 X (0.127::0.128) (0.199::0.199)) + (IOPATH B1 X (0.173::0.174) (0.205::0.206)) + (IOPATH B2 X (0.118::0.118) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5854_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.217::0.217)) + (IOPATH A2 X (0.120::0.122) (0.193::0.193)) + (IOPATH B1 X (0.175::0.176) (0.207::0.208)) + (IOPATH B2 X (0.117::0.117) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5855_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.212::0.212)) + (IOPATH A2 X (0.118::0.119) (0.191::0.191)) + (IOPATH B1 X (0.170::0.170) (0.202::0.202)) + (IOPATH B2 X (0.114::0.114) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5856_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.215::0.215)) + (IOPATH A2 X (0.123::0.124) (0.195::0.196)) + (IOPATH B1 X (0.173::0.173) (0.205::0.205)) + (IOPATH B2 X (0.114::0.114) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5857_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.178::0.178) (0.226::0.226)) + (IOPATH A2 X (0.131::0.133) (0.202::0.202)) + (IOPATH B1 X (0.186::0.187) (0.218::0.218)) + (IOPATH B2 X (0.128::0.128) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5858_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.215::0.215)) + (IOPATH A2 X (0.119::0.120) (0.192::0.192)) + (IOPATH B1 X (0.174::0.174) (0.206::0.206)) + (IOPATH B2 X (0.117::0.117) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5859_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.213::0.213)) + (IOPATH A2 X (0.120::0.122) (0.193::0.193)) + (IOPATH B1 X (0.172::0.172) (0.204::0.204)) + (IOPATH B2 X (0.116::0.116) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5860_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.217::0.217)) + (IOPATH A2 X (0.123::0.124) (0.196::0.196)) + (IOPATH B1 X (0.176::0.176) (0.208::0.208)) + (IOPATH B2 X (0.120::0.120) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5861_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.172::0.172) (0.221::0.221)) + (IOPATH A2 X (0.125::0.126) (0.197::0.197)) + (IOPATH B1 X (0.180::0.180) (0.211::0.212)) + (IOPATH B2 X (0.124::0.124) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5862_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.063::0.063) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _5863_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.249::0.249)) + (IOPATH A2 X (0.170::0.170) (0.266::0.266)) + (IOPATH A3 X (0.152::0.152) (0.242::0.242)) + (IOPATH B1 X (0.123::0.124) (0.216::0.217)) + (IOPATH B2 X (0.126::0.126) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5864_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.269::0.269)) + (IOPATH B X (0.164::0.164) (0.259::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5865_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5866_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5867_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.210::0.210)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.180::0.180) (0.199::0.199)) + (IOPATH B2 X (0.132::0.132) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5868_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.215::0.215)) + (IOPATH A2 X (0.164::0.164) (0.251::0.251)) + (IOPATH B1 X (0.190::0.190) (0.217::0.217)) + (IOPATH B2 X (0.139::0.139) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5869_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.209::0.209)) + (IOPATH A2 X (0.164::0.164) (0.250::0.250)) + (IOPATH B1 X (0.191::0.191) (0.239::0.239)) + (IOPATH B2 X (0.139::0.139) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5870_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.206::0.206)) + (IOPATH A2 X (0.153::0.153) (0.241::0.241)) + (IOPATH B1 X (0.176::0.176) (0.200::0.200)) + (IOPATH B2 X (0.127::0.127) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5871_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.213::0.213)) + (IOPATH A2 X (0.161::0.161) (0.248::0.248)) + (IOPATH B1 X (0.182::0.182) (0.229::0.230)) + (IOPATH B2 X (0.135::0.135) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5872_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.255::0.255)) + (IOPATH B X (0.173::0.173) (0.270::0.270)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5873_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.208) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5874_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5875_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.220::0.220) (0.319::0.319)) + (IOPATH B2 X (0.144::0.144) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5876_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.216::0.216)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.223::0.223) (0.322::0.323)) + (IOPATH B2 X (0.145::0.145) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5877_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.208::0.208)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.226::0.226) (0.343::0.343)) + (IOPATH B2 X (0.144::0.144) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5878_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.214::0.214)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.193::0.193) (0.263::0.263)) + (IOPATH B2 X (0.148::0.148) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5879_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.172::0.172) (0.231::0.231)) + (IOPATH A2 X (0.170::0.170) (0.254::0.254)) + (IOPATH B1 X (0.206::0.206) (0.250::0.250)) + (IOPATH B2 X (0.165::0.165) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5880_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.210::0.210)) + (IOPATH A2 X (0.154::0.154) (0.240::0.240)) + (IOPATH B1 X (0.185::0.185) (0.240::0.240)) + (IOPATH B2 X (0.148::0.148) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5881_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.203::0.203)) + (IOPATH A2 X (0.152::0.152) (0.238::0.238)) + (IOPATH B1 X (0.184::0.184) (0.244::0.244)) + (IOPATH B2 X (0.146::0.146) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5882_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.205::0.205)) + (IOPATH A2 X (0.154::0.154) (0.240::0.240)) + (IOPATH B1 X (0.189::0.189) (0.241::0.241)) + (IOPATH B2 X (0.148::0.148) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5883_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.212::0.212)) + (IOPATH A2 X (0.117::0.119) (0.191::0.191)) + (IOPATH B1 X (0.170::0.170) (0.201::0.202)) + (IOPATH B2 X (0.111::0.111) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5884_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.213::0.213)) + (IOPATH A2 X (0.119::0.120) (0.192::0.192)) + (IOPATH B1 X (0.170::0.171) (0.202::0.203)) + (IOPATH B2 X (0.115::0.115) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5885_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.213::0.213)) + (IOPATH A2 X (0.118::0.119) (0.191::0.192)) + (IOPATH B1 X (0.171::0.171) (0.202::0.203)) + (IOPATH B2 X (0.113::0.113) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5886_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.215::0.215)) + (IOPATH A2 X (0.120::0.122) (0.193::0.194)) + (IOPATH B1 X (0.173::0.173) (0.205::0.205)) + (IOPATH B2 X (0.116::0.116) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5887_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.214::0.214)) + (IOPATH A2 X (0.120::0.121) (0.193::0.193)) + (IOPATH B1 X (0.172::0.172) (0.204::0.204)) + (IOPATH B2 X (0.114::0.114) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5888_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.168::0.168) (0.217::0.217)) + (IOPATH A2 X (0.123::0.125) (0.196::0.196)) + (IOPATH B1 X (0.175::0.176) (0.207::0.207)) + (IOPATH B2 X (0.118::0.118) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5889_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.222::0.222)) + (IOPATH A2 X (0.120::0.121) (0.193::0.193)) + (IOPATH B1 X (0.172::0.172) (0.203::0.204)) + (IOPATH B2 X (0.117::0.117) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5890_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.226::0.226)) + (IOPATH A2 X (0.123::0.124) (0.195::0.196)) + (IOPATH B1 X (0.177::0.177) (0.208::0.209)) + (IOPATH B2 X (0.120::0.120) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5891_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.221::0.221)) + (IOPATH A2 X (0.119::0.120) (0.192::0.192)) + (IOPATH B1 X (0.171::0.171) (0.202::0.203)) + (IOPATH B2 X (0.122::0.122) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5892_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.223::0.223)) + (IOPATH A2 X (0.118::0.120) (0.192::0.192)) + (IOPATH B1 X (0.174::0.174) (0.205::0.206)) + (IOPATH B2 X (0.117::0.117) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5893_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.223::0.223)) + (IOPATH A2 X (0.123::0.124) (0.195::0.196)) + (IOPATH B1 X (0.173::0.173) (0.204::0.205)) + (IOPATH B2 X (0.118::0.118) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5894_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.143) (0.222::0.223)) + (IOPATH A2 X (0.124::0.125) (0.196::0.197)) + (IOPATH B1 X (0.172::0.173) (0.204::0.204)) + (IOPATH B2 X (0.116::0.116) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5895_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.074::0.074) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _5896_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.247::0.248)) + (IOPATH A2 X (0.169::0.169) (0.265::0.265)) + (IOPATH A3 X (0.152::0.152) (0.244::0.244)) + (IOPATH B1 X (0.122::0.122) (0.215::0.215)) + (IOPATH B2 X (0.119::0.119) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5897_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.278::0.278)) + (IOPATH B X (0.167::0.167) (0.263::0.263)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5898_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5899_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5900_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.177::0.177) (0.197::0.197)) + (IOPATH B2 X (0.127::0.127) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5901_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.216::0.216)) + (IOPATH A2 X (0.163::0.163) (0.249::0.249)) + (IOPATH B1 X (0.181::0.182) (0.226::0.227)) + (IOPATH B2 X (0.140::0.140) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5902_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.204::0.204)) + (IOPATH A2 X (0.155::0.155) (0.240::0.240)) + (IOPATH B1 X (0.184::0.184) (0.230::0.230)) + (IOPATH B2 X (0.130::0.130) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5903_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.207::0.207)) + (IOPATH A2 X (0.151::0.151) (0.237::0.237)) + (IOPATH B1 X (0.178::0.178) (0.201::0.201)) + (IOPATH B2 X (0.126::0.126) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5904_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.181::0.182) (0.229::0.230)) + (IOPATH B2 X (0.132::0.132) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5905_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.238::0.238)) + (IOPATH B X (0.146::0.146) (0.242::0.242)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5906_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.197::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5907_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.177::0.177) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5908_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.211::0.211)) + (IOPATH A2 X (0.156::0.156) (0.243::0.242)) + (IOPATH B1 X (0.225::0.225) (0.331::0.331)) + (IOPATH B2 X (0.151::0.151) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5909_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.215::0.215)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.231::0.231) (0.338::0.338)) + (IOPATH B2 X (0.154::0.154) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5910_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.210::0.210)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.228::0.228) (0.341::0.341)) + (IOPATH B2 X (0.150::0.150) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5911_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.208::0.208)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.189::0.189) (0.265::0.265)) + (IOPATH B2 X (0.148::0.148) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5912_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.217::0.217)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.186::0.186) (0.238::0.238)) + (IOPATH B2 X (0.150::0.150) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5913_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.208::0.208)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.182::0.182) (0.243::0.243)) + (IOPATH B2 X (0.147::0.147) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5914_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.206::0.206)) + (IOPATH A2 X (0.158::0.158) (0.243::0.243)) + (IOPATH B1 X (0.191::0.191) (0.259::0.259)) + (IOPATH B2 X (0.153::0.153) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5915_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.205::0.205)) + (IOPATH A2 X (0.156::0.156) (0.242::0.242)) + (IOPATH B1 X (0.188::0.188) (0.244::0.244)) + (IOPATH B2 X (0.151::0.151) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5916_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.246::0.246)) + (IOPATH B X (0.120::0.120) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5917_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5918_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5919_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.209::0.209)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.189::0.189) (0.258::0.258)) + (IOPATH B2 X (0.131::0.131) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5920_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.210::0.210)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.193::0.193) (0.262::0.262)) + (IOPATH B2 X (0.132::0.132) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5921_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.206::0.206)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.194::0.194) (0.266::0.266)) + (IOPATH B2 X (0.134::0.134) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5922_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.217::0.217)) + (IOPATH A2 X (0.165::0.165) (0.251::0.251)) + (IOPATH B1 X (0.198::0.198) (0.272::0.272)) + (IOPATH B2 X (0.140::0.140) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5923_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.160::0.160) (0.248::0.248)) + (IOPATH B1 X (0.191::0.191) (0.243::0.243)) + (IOPATH B2 X (0.135::0.135) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5924_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.074::0.074) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _5925_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.503::0.503)) + (IOPATH B X (0.110::0.110) (0.485::0.485)) + (IOPATH C X (0.100::0.100) (0.449::0.449)) + (IOPATH D_N X (0.147::0.147) (0.420::0.420)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _5926_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.100) (0.497::0.497)) + (IOPATH B X (0.103::0.103) (0.481::0.481)) + (IOPATH C X (0.101::0.101) (0.448::0.448)) + (IOPATH D X (0.097::0.097) (0.379::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _5927_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.492::0.492)) + (IOPATH B X (0.103::0.103) (0.476::0.476)) + (IOPATH C X (0.103::0.103) (0.444::0.444)) + (IOPATH D X (0.096::0.096) (0.374::0.374)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _5928_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.114::0.114) (0.369::0.369)) + (IOPATH B X (0.118::0.118) (0.348::0.348)) + (IOPATH C X (0.091::0.091) (0.317::0.318)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5929_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.274::0.274)) + (IOPATH B X (0.175::0.175) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4bb_1") + (INSTANCE _5930_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.495::0.495)) + (IOPATH B X (0.104::0.104) (0.471::0.471)) + (IOPATH C_N X (0.167::0.167) (0.475::0.475)) + (IOPATH D_N X (0.156::0.156) (0.395::0.395)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _5931_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.376::0.376)) + (IOPATH B X (0.105::0.105) (0.352::0.352)) + (IOPATH C_N X (0.193::0.193) (0.381::0.381)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5932_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.068) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5933_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.094) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5934_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.259::0.259)) + (IOPATH B X (0.150::0.150) (0.246::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _5935_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.145) (0.544::0.545)) + (IOPATH B X (0.112::0.112) (0.525::0.525)) + (IOPATH C X (0.112::0.112) (0.487::0.487)) + (IOPATH D_N X (0.183::0.183) (0.457::0.457)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _5936_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.761::0.761)) + (IOPATH B X (0.162::0.163) (0.742::0.742)) + (IOPATH C X (0.165::0.165) (0.707::0.707)) + (IOPATH D X (0.165::0.166) (0.644::0.644)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _5937_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.157::0.157)) + (IOPATH B X (0.162::0.163) (0.220::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _5938_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.042::0.042)) + (IOPATH B Y (0.093::0.093) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5939_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.247::0.247)) + (IOPATH B X (0.141::0.141) (0.275::0.275)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _5940_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.270::0.270)) + (IOPATH A2 X (0.197::0.197) (0.250::0.250)) + (IOPATH B1 X (0.222::0.222) (0.261::0.261)) + (IOPATH B2 X (0.190::0.190) (0.206::0.207)) + (IOPATH C1 X (0.182::0.182) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5941_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.241::0.241)) + (IOPATH B X (0.117::0.117) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5942_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5943_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5944_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.210::0.210)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.193::0.193) (0.268::0.268)) + (IOPATH B2 X (0.130::0.130) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5945_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.205::0.205)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.188::0.188) (0.259::0.259)) + (IOPATH B2 X (0.126::0.126) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5946_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.211::0.211)) + (IOPATH A2 X (0.162::0.162) (0.247::0.247)) + (IOPATH B1 X (0.199::0.199) (0.271::0.271)) + (IOPATH B2 X (0.138::0.138) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5947_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.186::0.186) (0.261::0.261)) + (IOPATH B2 X (0.127::0.127) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5948_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.214::0.214)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.193::0.193) (0.248::0.248)) + (IOPATH B2 X (0.135::0.135) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5949_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.232::0.232)) + (IOPATH B X (0.154::0.154) (0.260::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5950_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5951_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.156::0.156) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5952_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.208::0.208)) + (IOPATH A2 X (0.150::0.150) (0.236::0.236)) + (IOPATH B1 X (0.220::0.220) (0.317::0.317)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5953_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.137::0.137) (0.208::0.208)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.225::0.225) (0.330::0.330)) + (IOPATH B2 X (0.145::0.145) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5954_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.207::0.207)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.224::0.225) (0.339::0.339)) + (IOPATH B2 X (0.142::0.142) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5955_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.216::0.216)) + (IOPATH A2 X (0.159::0.159) (0.245::0.245)) + (IOPATH B1 X (0.200::0.200) (0.272::0.272)) + (IOPATH B2 X (0.153::0.153) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5956_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.218::0.218)) + (IOPATH A2 X (0.153::0.153) (0.240::0.240)) + (IOPATH B1 X (0.189::0.189) (0.239::0.239)) + (IOPATH B2 X (0.147::0.147) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5957_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.217::0.217)) + (IOPATH A2 X (0.149::0.150) (0.236::0.236)) + (IOPATH B1 X (0.183::0.183) (0.246::0.246)) + (IOPATH B2 X (0.143::0.143) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5958_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.207::0.207)) + (IOPATH A2 X (0.156::0.156) (0.241::0.241)) + (IOPATH B1 X (0.190::0.190) (0.251::0.251)) + (IOPATH B2 X (0.150::0.150) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5959_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.210::0.210)) + (IOPATH A2 X (0.157::0.157) (0.243::0.243)) + (IOPATH B1 X (0.193::0.193) (0.247::0.247)) + (IOPATH B2 X (0.151::0.151) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5960_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.241::0.241)) + (IOPATH B X (0.140::0.140) (0.236::0.236)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _5961_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.176) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5962_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5963_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.224::0.224)) + (IOPATH A2 X (0.163::0.163) (0.249::0.249)) + (IOPATH B1 X (0.197::0.197) (0.261::0.261)) + (IOPATH B2 X (0.137::0.137) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5964_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.214::0.214)) + (IOPATH A2 X (0.154::0.154) (0.242::0.242)) + (IOPATH B1 X (0.188::0.188) (0.253::0.253)) + (IOPATH B2 X (0.128::0.128) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5965_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.204::0.204)) + (IOPATH A2 X (0.157::0.157) (0.242::0.242)) + (IOPATH B1 X (0.199::0.199) (0.287::0.287)) + (IOPATH B2 X (0.131::0.131) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5966_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.222::0.222)) + (IOPATH A2 X (0.162::0.162) (0.249::0.249)) + (IOPATH B1 X (0.199::0.199) (0.270::0.270)) + (IOPATH B2 X (0.136::0.136) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5967_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.214::0.214)) + (IOPATH A2 X (0.161::0.161) (0.248::0.248)) + (IOPATH B1 X (0.192::0.192) (0.243::0.243)) + (IOPATH B2 X (0.136::0.136) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _5968_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.234::0.234)) + (IOPATH B X (0.155::0.155) (0.259::0.259)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _5969_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.211) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5970_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.180::0.180) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5971_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.207::0.207)) + (IOPATH A2 X (0.155::0.155) (0.242::0.242)) + (IOPATH B1 X (0.218::0.218) (0.300::0.300)) + (IOPATH B2 X (0.148::0.148) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5972_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.142::0.142) (0.210::0.210)) + (IOPATH A2 X (0.158::0.158) (0.245::0.245)) + (IOPATH B1 X (0.224::0.224) (0.304::0.305)) + (IOPATH B2 X (0.152::0.152) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5973_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.207::0.207)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.222::0.222) (0.320::0.320)) + (IOPATH B2 X (0.147::0.147) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5974_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.212::0.212)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.189::0.189) (0.247::0.247)) + (IOPATH B2 X (0.153::0.153) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5975_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.219::0.219)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.182::0.182) (0.214::0.214)) + (IOPATH B2 X (0.150::0.150) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5976_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.211::0.211)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.183::0.184) (0.236::0.236)) + (IOPATH B2 X (0.153::0.153) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5977_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.218::0.218)) + (IOPATH A2 X (0.174::0.174) (0.258::0.258)) + (IOPATH B1 X (0.198::0.198) (0.234::0.234)) + (IOPATH B2 X (0.169::0.169) (0.225::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5978_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.213::0.213)) + (IOPATH A2 X (0.159::0.159) (0.244::0.244)) + (IOPATH B1 X (0.184::0.184) (0.219::0.219)) + (IOPATH B2 X (0.152::0.152) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5979_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5980_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.093) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _5981_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.170::0.170)) + (IOPATH B X (0.193::0.194) (0.207::0.207)) + (IOPATH C X (0.199::0.199) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _5982_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.221::0.221)) + (IOPATH A2 X (0.132::0.133) (0.192::0.193)) + (IOPATH B1 X (0.151::0.151) (0.187::0.187)) + (IOPATH B2 X (0.144::0.144) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5983_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5984_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.092::0.092) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _5985_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.072::0.072)) + (IOPATH B Y (0.153::0.153) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ba_1") + (INSTANCE _5986_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.198::0.198)) + (IOPATH A2 X (0.133::0.133) (0.163::0.167)) + (IOPATH B1_N X (0.207::0.208) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5987_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5988_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.093) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _5989_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.142::0.142) (0.071::0.071)) + (IOPATH A2 Y (0.154::0.154) (0.090::0.090)) + (IOPATH B1 Y (0.112::0.116) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5990_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5991_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _5992_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.091::0.091)) + (IOPATH B Y (0.098::0.101) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _5993_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.187::0.187)) + (IOPATH B X (0.202::0.202) (0.208::0.208)) + (IOPATH C X (0.207::0.207) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_4") + (INSTANCE _5994_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.361::0.361) (0.171::0.171)) + (IOPATH A2 Y (0.288::0.289) (0.116::0.116)) + (IOPATH B1 Y (0.151::0.151) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _5995_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.174) (0.112::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5996_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.222::0.222)) + (IOPATH A2 X (0.162::0.199) (0.247::0.258)) + (IOPATH B1 X (0.115::0.117) (0.180::0.181)) + (IOPATH B2 X (0.149::0.149) (0.211::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5997_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _5998_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _5999_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.219::0.219)) + (IOPATH A2 X (0.165::0.202) (0.250::0.260)) + (IOPATH B1 X (0.118::0.119) (0.183::0.183)) + (IOPATH B2 X (0.152::0.152) (0.213::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6000_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6001_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.092::0.092) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6002_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.216::0.216)) + (IOPATH A2 X (0.161::0.198) (0.246::0.257)) + (IOPATH B1 X (0.115::0.116) (0.180::0.180)) + (IOPATH B2 X (0.148::0.148) (0.210::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6003_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6004_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6005_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.213::0.213)) + (IOPATH A2 X (0.156::0.193) (0.242::0.252)) + (IOPATH B1 X (0.116::0.117) (0.180::0.180)) + (IOPATH B2 X (0.143::0.143) (0.206::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6006_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6007_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.092::0.092) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6008_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.215::0.215)) + (IOPATH A2 X (0.160::0.197) (0.245::0.256)) + (IOPATH B1 X (0.114::0.115) (0.179::0.179)) + (IOPATH B2 X (0.147::0.147) (0.209::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6009_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.114::0.114) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6010_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6011_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.219::0.219)) + (IOPATH A2 X (0.160::0.197) (0.246::0.256)) + (IOPATH B1 X (0.113::0.114) (0.178::0.179)) + (IOPATH B2 X (0.147::0.147) (0.209::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6012_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6013_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.093) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6014_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.213::0.213)) + (IOPATH A2 X (0.156::0.193) (0.242::0.252)) + (IOPATH B1 X (0.108::0.109) (0.174::0.174)) + (IOPATH B2 X (0.143::0.143) (0.206::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6015_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6016_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.093) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6017_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.146::0.146) (0.219::0.219)) + (IOPATH A2 X (0.160::0.197) (0.245::0.256)) + (IOPATH B1 X (0.113::0.115) (0.178::0.179)) + (IOPATH B2 X (0.147::0.147) (0.209::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _6018_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.191::0.191) (0.338::0.339)) + (IOPATH A1 X (0.150::0.150) (0.305::0.305)) + (IOPATH S X (0.216::0.217) (0.331::0.331)) + (IOPATH S X (0.146::0.147) (0.326::0.326)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6019_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.083) (0.092::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6020_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.097::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6021_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.089::0.089) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _6022_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.557::0.557)) + (IOPATH B X (0.146::0.146) (0.554::0.554)) + (IOPATH C X (0.150::0.150) (0.524::0.524)) + (IOPATH D X (0.126::0.126) (0.438::0.438)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6023_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6024_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.213::0.213)) + (IOPATH A2 X (0.132::0.133) (0.218::0.218)) + (IOPATH B1 X (0.174::0.174) (0.224::0.224)) + (IOPATH B2 X (0.125::0.125) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6025_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.134::0.134) (0.206::0.206)) + (IOPATH A2 X (0.156::0.156) (0.234::0.234)) + (IOPATH B1 X (0.234::0.234) (0.370::0.370)) + (IOPATH B2 X (0.127::0.127) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6026_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.215::0.215)) + (IOPATH A2 X (0.154::0.154) (0.232::0.232)) + (IOPATH B1 X (0.188::0.188) (0.262::0.262)) + (IOPATH B2 X (0.124::0.124) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _6027_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.282::0.282)) + (IOPATH B X (0.208::0.208) (0.311::0.311)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _6028_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6029_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6030_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.215::0.215)) + (IOPATH A2 X (0.151::0.151) (0.238::0.238)) + (IOPATH B1 X (0.216::0.216) (0.255::0.256)) + (IOPATH B2 X (0.142::0.142) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6031_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.215::0.215)) + (IOPATH A2 X (0.150::0.150) (0.237::0.237)) + (IOPATH B1 X (0.218::0.218) (0.265::0.265)) + (IOPATH B2 X (0.141::0.141) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6032_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.226::0.226)) + (IOPATH A2 X (0.159::0.159) (0.246::0.246)) + (IOPATH B1 X (0.233::0.233) (0.287::0.287)) + (IOPATH B2 X (0.151::0.151) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6033_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.220::0.220)) + (IOPATH A2 X (0.156::0.156) (0.243::0.243)) + (IOPATH B1 X (0.169::0.169) (0.216::0.217)) + (IOPATH B2 X (0.148::0.148) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6034_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.216::0.216)) + (IOPATH A2 X (0.154::0.154) (0.241::0.241)) + (IOPATH B1 X (0.171::0.172) (0.218::0.218)) + (IOPATH B2 X (0.145::0.145) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6035_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.224::0.224)) + (IOPATH A2 X (0.162::0.162) (0.247::0.247)) + (IOPATH B1 X (0.188::0.188) (0.204::0.204)) + (IOPATH B2 X (0.153::0.153) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6036_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.211::0.211)) + (IOPATH A2 X (0.153::0.153) (0.238::0.238)) + (IOPATH B1 X (0.182::0.182) (0.232::0.232)) + (IOPATH B2 X (0.144::0.144) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6037_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.218::0.218)) + (IOPATH A2 X (0.161::0.161) (0.246::0.246)) + (IOPATH B1 X (0.188::0.188) (0.233::0.233)) + (IOPATH B2 X (0.153::0.153) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6038_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.219::0.219)) + (IOPATH A2 X (0.152::0.152) (0.230::0.230)) + (IOPATH B1 X (0.185::0.185) (0.241::0.241)) + (IOPATH B2 X (0.123::0.123) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6039__1) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.058) (0.029::0.029)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6040_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6041_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6042_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.110::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6043_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6044_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.112::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6045_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.093) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6046_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.117) (0.107::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6047_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6048_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.110::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6049_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6050_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.119) (0.109::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _6051_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.092::0.092) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _6052_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.578::0.578)) + (IOPATH B X (0.263::0.263) (0.598::0.598)) + (IOPATH C X (0.244::0.244) (0.534::0.534)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _6053_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6054_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6055_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.213::0.213)) + (IOPATH A2 X (0.149::0.149) (0.236::0.236)) + (IOPATH B1 X (0.186::0.186) (0.243::0.243)) + (IOPATH B2 X (0.122::0.122) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6056_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.215::0.215)) + (IOPATH A2 X (0.144::0.144) (0.232::0.232)) + (IOPATH B1 X (0.183::0.183) (0.258::0.258)) + (IOPATH B2 X (0.118::0.118) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6057_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.203::0.203)) + (IOPATH A2 X (0.145::0.145) (0.232::0.232)) + (IOPATH B1 X (0.183::0.183) (0.262::0.262)) + (IOPATH B2 X (0.118::0.118) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _6058_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.220::0.220)) + (IOPATH A2 X (0.157::0.157) (0.244::0.244)) + (IOPATH B1 X (0.196::0.196) (0.258::0.258)) + (IOPATH B2 X (0.131::0.131) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6059_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6060_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.178::0.178) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6061_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.143::0.143) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6062_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6063_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.174::0.174) (0.257::0.257)) + (IOPATH A2 X (0.187::0.187) (0.239::0.240)) + (IOPATH B1 X (0.157::0.157) (0.188::0.188)) + (IOPATH B2 X (0.187::0.187) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6064_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.195::0.195) (0.284::0.284)) + (IOPATH A2 X (0.244::0.244) (0.298::0.298)) + (IOPATH B1 X (0.214::0.214) (0.239::0.239)) + (IOPATH B2 X (0.227::0.227) (0.262::0.262)) + (IOPATH C1 X (0.161::0.161) (0.112::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6065_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6066_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6067_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6068_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6069_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.168::0.168) (0.223::0.223)) + (IOPATH A2 X (0.207::0.207) (0.264::0.264)) + (IOPATH B1 X (0.164::0.164) (0.217::0.217)) + (IOPATH B2 X (0.205::0.205) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6070_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.305::0.305) (0.354::0.354)) + (IOPATH A2 X (0.347::0.347) (0.358::0.359)) + (IOPATH B1 X (0.306::0.306) (0.336::0.336)) + (IOPATH B2 X (0.340::0.340) (0.322::0.323)) + (IOPATH C1 X (0.276::0.277) (0.182::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _6071_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.072::0.077) (0.065::0.066)) + (IOPATH B Y (0.109::0.117) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6072_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.238::0.238) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6073_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.285::0.285) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6074_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6075_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6076_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.245::0.245)) + (IOPATH A2 X (0.188::0.188) (0.243::0.243)) + (IOPATH B1 X (0.155::0.155) (0.209::0.209)) + (IOPATH B2 X (0.179::0.179) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6077_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.230::0.230) (0.290::0.290)) + (IOPATH A2 X (0.215::0.215) (0.268::0.269)) + (IOPATH B1 X (0.249::0.249) (0.270::0.270)) + (IOPATH B2 X (0.264::0.264) (0.279::0.279)) + (IOPATH C1 X (0.156::0.156) (0.109::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6078_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6079_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.232::0.232) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6080_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _6081_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.253::0.253)) + (IOPATH B X (0.162::0.162) (0.260::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6082_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.472::0.472) (0.484::0.484)) + (IOPATH A2 X (0.516::0.516) (0.483::0.483)) + (IOPATH B1 X (0.503::0.503) (0.439::0.439)) + (IOPATH B2 X (0.541::0.541) (0.460::0.460)) + (IOPATH C1 X (0.424::0.425) (0.257::0.258)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6083_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6084_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6085_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.048::0.048) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _6086_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.275::0.275) (0.350::0.350)) + (IOPATH B X (0.257::0.257) (0.353::0.354)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6087_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.039::0.039) (0.031::0.031)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6088_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.135::0.135) (0.204::0.204)) + (IOPATH A2 X (0.165::0.165) (0.223::0.223)) + (IOPATH B1 X (0.125::0.125) (0.170::0.170)) + (IOPATH B2 X (0.203::0.203) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6089_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.346::0.346) (0.421::0.421)) + (IOPATH A2 X (0.370::0.370) (0.395::0.395)) + (IOPATH B1 X (0.326::0.326) (0.347::0.347)) + (IOPATH B2 X (0.388::0.388) (0.376::0.376)) + (IOPATH C1 X (0.300::0.302) (0.197::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6090_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.210::0.210) (0.235::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6091_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6092_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6093_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.081::0.081) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6094_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.326::0.326) (0.347::0.347)) + (IOPATH A2 X (0.363::0.363) (0.369::0.369)) + (IOPATH B1 X (0.320::0.320) (0.322::0.322)) + (IOPATH B2 X (0.350::0.350) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6095_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.225::0.225) (0.341::0.341)) + (IOPATH A2 X (0.227::0.228) (0.280::0.280)) + (IOPATH B1 X (0.191::0.191) (0.252::0.252)) + (IOPATH B2 X (0.228::0.228) (0.242::0.242)) + (IOPATH C1 X (0.223::0.223) (0.155::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _6096_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.277::0.279) (0.218::0.223)) + (IOPATH B X (0.377::0.377) (0.315::0.325)) + (IOPATH C X (0.333::0.333) (0.297::0.306)) + (IOPATH D X (0.300::0.301) (0.274::0.278)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6097_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6098_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.236::0.236) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6099_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6100_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.097) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6101_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.250::0.250) (0.324::0.324)) + (IOPATH A2 X (0.267::0.267) (0.315::0.315)) + (IOPATH B1 X (0.245::0.245) (0.277::0.277)) + (IOPATH B2 X (0.261::0.262) (0.284::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6102_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.344::0.344) (0.399::0.399)) + (IOPATH A2 X (0.356::0.356) (0.357::0.358)) + (IOPATH B1 X (0.371::0.371) (0.351::0.351)) + (IOPATH B2 X (0.375::0.375) (0.351::0.351)) + (IOPATH C1 X (0.325::0.326) (0.217::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6103_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6104_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.140::0.140) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6105_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.071) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6106_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_4") + (INSTANCE _6107_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.286::0.286) (0.331::0.331)) + (IOPATH A2 X (0.335::0.335) (0.353::0.353)) + (IOPATH B1 X (0.294::0.294) (0.331::0.331)) + (IOPATH B2 X (0.316::0.316) (0.311::0.312)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6108_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.310::0.310)) + (IOPATH A2 X (0.248::0.248) (0.302::0.302)) + (IOPATH B1 X (0.200::0.200) (0.258::0.258)) + (IOPATH B2 X (0.254::0.254) (0.274::0.274)) + (IOPATH C1 X (0.226::0.226) (0.159::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6109_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.203::0.203) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6110_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.254::0.254) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_4") + (INSTANCE _6111_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.386::0.386) (0.568::0.568)) + (IOPATH B X (0.379::0.379) (0.576::0.577)) + (IOPATH C_N X (0.478::0.478) (0.560::0.560)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6112_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.218::0.218) (0.279::0.279)) + (IOPATH A2 X (0.220::0.220) (0.272::0.272)) + (IOPATH B1 X (0.240::0.240) (0.261::0.261)) + (IOPATH B2 X (0.233::0.233) (0.250::0.250)) + (IOPATH C1 X (0.239::0.240) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6113_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.284::0.284) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6114_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6115_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6116_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.274::0.274) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6117_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.408::0.408) (0.392::0.392)) + (IOPATH A2 X (0.428::0.429) (0.400::0.400)) + (IOPATH B1 X (0.476::0.476) (0.415::0.415)) + (IOPATH B2 X (0.457::0.457) (0.407::0.407)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6118_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.272::0.272) (0.328::0.328)) + (IOPATH A2 X (0.269::0.269) (0.315::0.315)) + (IOPATH B1 X (0.220::0.220) (0.274::0.274)) + (IOPATH B2 X (0.309::0.309) (0.318::0.318)) + (IOPATH C1 X (0.274::0.275) (0.198::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6119_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.275::0.276) (0.209::0.217)) + (IOPATH B X (0.236::0.237) (0.200::0.204)) + (IOPATH C X (0.240::0.241) (0.214::0.218)) + (IOPATH D X (0.253::0.254) (0.232::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6120_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6121_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6122_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.202::0.202) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6123_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6124_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.210::0.210) (0.252::0.252)) + (IOPATH A2 X (0.203::0.203) (0.254::0.255)) + (IOPATH B1 X (0.163::0.163) (0.197::0.197)) + (IOPATH B2 X (0.188::0.188) (0.212::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6125_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.288::0.288)) + (IOPATH A2 X (0.228::0.228) (0.284::0.285)) + (IOPATH B1 X (0.180::0.180) (0.241::0.241)) + (IOPATH B2 X (0.226::0.226) (0.244::0.244)) + (IOPATH C1 X (0.156::0.156) (0.109::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6126_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6127_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.097) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6128_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6129_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.134) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6130_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.211::0.211)) + (IOPATH A2 X (0.184::0.184) (0.241::0.241)) + (IOPATH B1 X (0.155::0.155) (0.213::0.213)) + (IOPATH B2 X (0.172::0.172) (0.197::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6131_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.206::0.206) (0.287::0.287)) + (IOPATH A2 X (0.241::0.242) (0.288::0.288)) + (IOPATH B1 X (0.198::0.198) (0.235::0.235)) + (IOPATH B2 X (0.261::0.261) (0.278::0.278)) + (IOPATH C1 X (0.173::0.174) (0.121::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6132_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6133_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _6134_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _6135_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22oi_1") + (INSTANCE _6136_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.181::0.181) (0.111::0.111)) + (IOPATH A2 Y (0.213::0.213) (0.101::0.101)) + (IOPATH B1 Y (0.158::0.158) (0.085::0.085)) + (IOPATH B2 Y (0.188::0.188) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6137_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.324::0.324) (0.373::0.373)) + (IOPATH A2 X (0.351::0.351) (0.382::0.382)) + (IOPATH B1 X (0.321::0.321) (0.342::0.342)) + (IOPATH B2 X (0.382::0.382) (0.373::0.373)) + (IOPATH C1 X (0.324::0.328) (0.202::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6138_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.177::0.177) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6139_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.203::0.203) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6140_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6141_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6142_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.205::0.205)) + (IOPATH A2 X (0.176::0.176) (0.232::0.232)) + (IOPATH B1 X (0.152::0.152) (0.181::0.181)) + (IOPATH B2 X (0.165::0.166) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6143_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.219::0.219) (0.327::0.327)) + (IOPATH A2 X (0.229::0.229) (0.280::0.280)) + (IOPATH B1 X (0.228::0.228) (0.250::0.250)) + (IOPATH B2 X (0.235::0.235) (0.248::0.248)) + (IOPATH C1 X (0.160::0.160) (0.111::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6144_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.266) (0.193::0.198)) + (IOPATH B X (0.285::0.286) (0.227::0.232)) + (IOPATH C X (0.320::0.320) (0.268::0.277)) + (IOPATH D X (0.292::0.293) (0.249::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4b_4") + (INSTANCE _6145_) + (DELAY + (ABSOLUTE + (IOPATH A_N Y (0.475::0.479) (0.654::0.661)) + (IOPATH B Y (0.468::0.471) (0.595::0.596)) + (IOPATH C Y (0.476::0.478) (0.596::0.596)) + (IOPATH D Y (0.455::0.457) (0.608::0.608)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6146_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.152::0.152) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _6147_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.289::0.289)) + (IOPATH B X (0.157::0.157) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _6148_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.222) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6149_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6150_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.229::0.240) (0.422::0.422)) + (IOPATH A2 X (0.136::0.136) (0.199::0.199)) + (IOPATH B1 X (0.141::0.141) (0.172::0.172)) + (IOPATH B2 X (0.125::0.125) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6151_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6152_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6153_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.267::0.267) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6154_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6155_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.212::0.212) (0.260::0.260)) + (IOPATH A2 X (0.213::0.213) (0.298::0.298)) + (IOPATH B1 X (0.152::0.152) (0.207::0.207)) + (IOPATH B2 X (0.179::0.179) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6156_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.239::0.239) (0.318::0.318)) + (IOPATH A2 X (0.281::0.281) (0.321::0.321)) + (IOPATH B1 X (0.226::0.226) (0.259::0.259)) + (IOPATH B2 X (0.278::0.278) (0.284::0.284)) + (IOPATH C1 X (0.202::0.203) (0.140::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6157_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _6158_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.321::0.321) (0.374::0.374)) + (IOPATH B X (0.305::0.305) (0.379::0.380)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6159_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6160_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6161_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.230::0.230)) + (IOPATH A2 X (0.205::0.205) (0.263::0.263)) + (IOPATH B1 X (0.160::0.160) (0.191::0.191)) + (IOPATH B2 X (0.209::0.209) (0.247::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _6162_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.181::0.181) (0.237::0.237)) + (IOPATH A2 X (0.200::0.200) (0.269::0.269)) + (IOPATH B1 X (0.206::0.206) (0.175::0.175)) + (IOPATH C1 X (0.151::0.152) (0.118::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6163_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6164_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.163::0.163) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _6165_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.213::0.213) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22oi_1") + (INSTANCE _6166_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.195::0.195) (0.132::0.132)) + (IOPATH A2 Y (0.206::0.206) (0.116::0.116)) + (IOPATH B1 Y (0.154::0.154) (0.083::0.083)) + (IOPATH B2 Y (0.188::0.188) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6167_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.179::0.179) (0.247::0.247)) + (IOPATH A2 X (0.214::0.214) (0.267::0.268)) + (IOPATH B1 X (0.184::0.184) (0.230::0.230)) + (IOPATH B2 X (0.226::0.226) (0.241::0.242)) + (IOPATH C1 X (0.178::0.182) (0.112::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6168_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.157::0.157) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6169_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.181::0.181) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6170_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6171_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6172_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.226::0.226)) + (IOPATH A2 X (0.191::0.191) (0.252::0.253)) + (IOPATH B1 X (0.170::0.170) (0.194::0.194)) + (IOPATH B2 X (0.172::0.172) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6173_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.278::0.278) (0.319::0.319)) + (IOPATH A2 X (0.331::0.331) (0.361::0.361)) + (IOPATH B1 X (0.287::0.287) (0.296::0.296)) + (IOPATH B2 X (0.310::0.310) (0.309::0.309)) + (IOPATH C1 X (0.228::0.229) (0.155::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6174_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.243::0.244) (0.188::0.194)) + (IOPATH B X (0.241::0.241) (0.205::0.209)) + (IOPATH C X (0.242::0.243) (0.214::0.220)) + (IOPATH D X (0.268::0.269) (0.247::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6175_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6176_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6177_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.239::0.239)) + (IOPATH A2 X (0.177::0.177) (0.232::0.233)) + (IOPATH B1 X (0.148::0.148) (0.203::0.203)) + (IOPATH B2 X (0.166::0.166) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6178_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.267::0.267) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6179_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6180_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.273::0.273) (0.298::0.298)) + (IOPATH A2 X (0.249::0.249) (0.284::0.284)) + (IOPATH B1 X (0.214::0.214) (0.257::0.257)) + (IOPATH B2 X (0.237::0.237) (0.251::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6181_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.216::0.216) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6182_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6183_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6184_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6185_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.276::0.276)) + (IOPATH A2 X (0.230::0.230) (0.273::0.273)) + (IOPATH B1 X (0.193::0.193) (0.240::0.240)) + (IOPATH B2 X (0.224::0.225) (0.250::0.250)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6186_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.220::0.220) (0.280::0.280)) + (IOPATH A2 X (0.218::0.218) (0.270::0.270)) + (IOPATH B1 X (0.184::0.184) (0.244::0.244)) + (IOPATH B2 X (0.219::0.219) (0.234::0.234)) + (IOPATH C1 X (0.170::0.170) (0.118::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _6187_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.170::0.173)) + (IOPATH B X (0.223::0.223) (0.210::0.215)) + (IOPATH C X (0.203::0.204) (0.202::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6188_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6189_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.169) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6190_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _6191_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.385::0.385) (0.323::0.323)) + (IOPATH A2_N X (0.385::0.385) (0.311::0.311)) + (IOPATH B1 X (0.288::0.288) (0.414::0.414)) + (IOPATH B2 X (0.327::0.327) (0.432::0.432)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6192_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.205) (0.307::0.307)) + (IOPATH A2 X (0.237::0.237) (0.298::0.299)) + (IOPATH B1 X (0.203::0.203) (0.231::0.231)) + (IOPATH B2 X (0.237::0.237) (0.258::0.258)) + (IOPATH C1 X (0.201::0.201) (0.142::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6193_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.202::0.202) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6194_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6195_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6196_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.204::0.204) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6197_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.238::0.238)) + (IOPATH A2 X (0.220::0.220) (0.290::0.291)) + (IOPATH B1 X (0.183::0.183) (0.205::0.205)) + (IOPATH B2 X (0.187::0.187) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6198_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.335::0.335) (0.357::0.357)) + (IOPATH A2 X (0.320::0.320) (0.334::0.334)) + (IOPATH B1 X (0.298::0.298) (0.328::0.328)) + (IOPATH B2 X (0.345::0.345) (0.330::0.330)) + (IOPATH C1 X (0.264::0.266) (0.175::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6199_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.209::0.209) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6200_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.250::0.250) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6201_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.153::0.153) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6202_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.270::0.270) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6203_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.258::0.258)) + (IOPATH A2 X (0.183::0.183) (0.239::0.239)) + (IOPATH B1 X (0.202::0.202) (0.223::0.223)) + (IOPATH B2 X (0.179::0.179) (0.209::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6204_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.447::0.447) (0.414::0.414)) + (IOPATH A2 X (0.449::0.449) (0.407::0.407)) + (IOPATH B1 X (0.462::0.462) (0.401::0.401)) + (IOPATH B2 X (0.442::0.442) (0.378::0.378)) + (IOPATH C1 X (0.379::0.379) (0.233::0.236)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6205_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.195::0.195) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6206_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6207_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6208_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6209_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.248::0.248) (0.275::0.275)) + (IOPATH A2 X (0.264::0.264) (0.288::0.288)) + (IOPATH B1 X (0.234::0.234) (0.250::0.250)) + (IOPATH B2 X (0.266::0.266) (0.269::0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6210_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.490::0.490) (0.510::0.510)) + (IOPATH A2 X (0.524::0.524) (0.481::0.482)) + (IOPATH B1 X (0.452::0.452) (0.406::0.406)) + (IOPATH B2 X (0.473::0.473) (0.408::0.409)) + (IOPATH C1 X (0.470::0.470) (0.282::0.287)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6211_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.218) (0.169::0.173)) + (IOPATH B X (0.268::0.268) (0.225::0.232)) + (IOPATH C X (0.299::0.299) (0.272::0.279)) + (IOPATH D X (0.301::0.301) (0.291::0.301)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6212_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6213_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.135) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6214_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6215_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.212::0.212) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6216_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.241::0.241)) + (IOPATH A2 X (0.174::0.174) (0.228::0.229)) + (IOPATH B1 X (0.183::0.183) (0.201::0.201)) + (IOPATH B2 X (0.177::0.177) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6217_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.225::0.225) (0.315::0.315)) + (IOPATH A2 X (0.253::0.253) (0.299::0.299)) + (IOPATH B1 X (0.211::0.211) (0.270::0.270)) + (IOPATH B2 X (0.242::0.242) (0.256::0.256)) + (IOPATH C1 X (0.175::0.176) (0.122::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6218_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.235::0.235) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6219_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.260::0.260) (0.313::0.313)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6220_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6221_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6222_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.254::0.254) (0.283::0.283)) + (IOPATH A2 X (0.274::0.274) (0.295::0.295)) + (IOPATH B1 X (0.261::0.261) (0.290::0.290)) + (IOPATH B2 X (0.267::0.268) (0.269::0.270)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6223_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.226::0.226) (0.286::0.286)) + (IOPATH A2 X (0.234::0.234) (0.291::0.291)) + (IOPATH B1 X (0.249::0.249) (0.354::0.354)) + (IOPATH B2 X (0.206::0.206) (0.226::0.227)) + (IOPATH C1 X (0.197::0.197) (0.139::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6224_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6225_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.337::0.337) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6226_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6227_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6228_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.302::0.302) (0.375::0.375)) + (IOPATH A2 X (0.334::0.334) (0.371::0.371)) + (IOPATH B1 X (0.271::0.271) (0.297::0.297)) + (IOPATH B2 X (0.302::0.302) (0.313::0.314)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6229_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.230::0.230) (0.309::0.309)) + (IOPATH A2 X (0.259::0.259) (0.300::0.300)) + (IOPATH B1 X (0.304::0.304) (0.318::0.318)) + (IOPATH B2 X (0.271::0.271) (0.278::0.278)) + (IOPATH C1 X (0.240::0.240) (0.168::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6230_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.212::0.212) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6231_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6232_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6233_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6234_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.244::0.244)) + (IOPATH A2 X (0.183::0.183) (0.237::0.238)) + (IOPATH B1 X (0.152::0.152) (0.206::0.206)) + (IOPATH B2 X (0.174::0.174) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6235_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.221::0.221) (0.282::0.282)) + (IOPATH A2 X (0.240::0.240) (0.295::0.295)) + (IOPATH B1 X (0.180::0.180) (0.222::0.222)) + (IOPATH B2 X (0.221::0.221) (0.236::0.237)) + (IOPATH C1 X (0.154::0.154) (0.107::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _6236_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.435::0.436) (0.298::0.303)) + (IOPATH B X (0.438::0.439) (0.322::0.328)) + (IOPATH C X (0.457::0.457) (0.352::0.358)) + (IOPATH D X (0.451::0.451) (0.350::0.355)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _6237_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.324::0.326) (0.456::0.456)) + (IOPATH B Y (0.370::0.371) (0.469::0.469)) + (IOPATH C Y (0.383::0.385) (0.473::0.473)) + (IOPATH D Y (0.404::0.406) (0.511::0.511)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6238_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.216::0.216)) + (IOPATH A2 X (0.189::0.197) (0.399::0.400)) + (IOPATH B1 X (0.154::0.154) (0.182::0.182)) + (IOPATH B2 X (0.129::0.129) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6239_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.175::0.175) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6240_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.293::0.293) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6241_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6242_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.264::0.264)) + (IOPATH A2 X (0.187::0.187) (0.246::0.246)) + (IOPATH B1 X (0.167::0.167) (0.192::0.192)) + (IOPATH B2 X (0.183::0.183) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6243_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.162) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6244_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.170::0.170) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6245_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6246_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.283::0.283) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6247_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.278::0.278)) + (IOPATH A2 X (0.215::0.215) (0.259::0.259)) + (IOPATH B1 X (0.263::0.263) (0.273::0.273)) + (IOPATH B2 X (0.220::0.220) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6248_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.399::0.399) (0.415::0.415)) + (IOPATH A2 X (0.415::0.415) (0.414::0.415)) + (IOPATH B1 X (0.405::0.405) (0.427::0.427)) + (IOPATH B2 X (0.470::0.470) (0.424::0.424)) + (IOPATH C1 X (0.370::0.370) (0.233::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6249_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.326::0.326) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6250_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6251_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.165::0.165) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6252_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _6253_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.267::0.269) (0.235::0.235)) + (IOPATH A2_N X (0.274::0.274) (0.234::0.234)) + (IOPATH B1 X (0.173::0.173) (0.334::0.334)) + (IOPATH B2 X (0.176::0.177) (0.309::0.310)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6254_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.351::0.351) (0.418::0.418)) + (IOPATH A2 X (0.313::0.313) (0.359::0.359)) + (IOPATH B1 X (0.273::0.273) (0.314::0.314)) + (IOPATH B2 X (0.319::0.319) (0.326::0.327)) + (IOPATH C1 X (0.250::0.250) (0.170::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _6255_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.280::0.280) (0.302::0.302)) + (IOPATH A2 X (0.270::0.270) (0.313::0.313)) + (IOPATH B1 X (0.237::0.238) (0.169::0.171)) + (IOPATH C1 X (0.289::0.289) (0.202::0.211)) + (IOPATH D1 X (0.244::0.244) (0.162::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6256_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.259::0.259) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6257_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.089) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6258_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.093) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6259_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.054) (0.045::0.045)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6260_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.315::0.315) (0.317::0.317)) + (IOPATH A2 X (0.356::0.356) (0.353::0.353)) + (IOPATH B1 X (0.289::0.289) (0.288::0.288)) + (IOPATH B2 X (0.318::0.318) (0.300::0.301)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6261_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.229::0.229) (0.295::0.295)) + (IOPATH A2 X (0.262::0.262) (0.318::0.319)) + (IOPATH B1 X (0.174::0.174) (0.212::0.212)) + (IOPATH B2 X (0.257::0.257) (0.270::0.271)) + (IOPATH C1 X (0.216::0.216) (0.152::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6262_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.091::0.091) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6263_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6264_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.191::0.191) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6265_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6266_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.253::0.253) (0.280::0.280)) + (IOPATH A2 X (0.259::0.259) (0.301::0.301)) + (IOPATH B1 X (0.206::0.206) (0.248::0.248)) + (IOPATH B2 X (0.239::0.239) (0.254::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6267_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.276::0.276)) + (IOPATH A2 X (0.214::0.214) (0.267::0.268)) + (IOPATH B1 X (0.187::0.187) (0.246::0.246)) + (IOPATH B2 X (0.227::0.227) (0.241::0.242)) + (IOPATH C1 X (0.183::0.183) (0.128::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6268_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6269_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.180::0.180) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6270_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _6271_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6272_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.238::0.238)) + (IOPATH A2 X (0.185::0.185) (0.240::0.240)) + (IOPATH B1 X (0.179::0.179) (0.241::0.241)) + (IOPATH B2 X (0.195::0.195) (0.230::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6273_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.305::0.305) (0.334::0.334)) + (IOPATH A2 X (0.359::0.359) (0.372::0.372)) + (IOPATH B1 X (0.330::0.330) (0.369::0.369)) + (IOPATH B2 X (0.347::0.347) (0.329::0.329)) + (IOPATH C1 X (0.268::0.270) (0.178::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6274_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6275_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.237::0.237) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6276_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.087::0.087) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6277_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6278_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.187::0.187) (0.239::0.239)) + (IOPATH A2 X (0.227::0.227) (0.271::0.272)) + (IOPATH B1 X (0.186::0.186) (0.234::0.234)) + (IOPATH B2 X (0.222::0.222) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6279_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.368::0.368) (0.437::0.437)) + (IOPATH A2 X (0.406::0.406) (0.423::0.423)) + (IOPATH B1 X (0.399::0.399) (0.388::0.388)) + (IOPATH B2 X (0.391::0.391) (0.372::0.372)) + (IOPATH C1 X (0.334::0.335) (0.218::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _6280_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.365::0.367) (0.264::0.268)) + (IOPATH B X (0.379::0.380) (0.296::0.301)) + (IOPATH C X (0.419::0.419) (0.345::0.352)) + (IOPATH D X (0.426::0.427) (0.360::0.369)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6281_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.083::0.083) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6282_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6283_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6284_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6285_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.245::0.245) (0.311::0.311)) + (IOPATH A2 X (0.254::0.254) (0.286::0.286)) + (IOPATH B1 X (0.216::0.216) (0.235::0.235)) + (IOPATH B2 X (0.250::0.250) (0.266::0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6286_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.279::0.279)) + (IOPATH A2 X (0.255::0.255) (0.318::0.318)) + (IOPATH B1 X (0.220::0.220) (0.275::0.275)) + (IOPATH B2 X (0.262::0.262) (0.270::0.270)) + (IOPATH C1 X (0.218::0.218) (0.152::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6287_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6288_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6289_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _6290_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6291_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.214::0.214) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6292_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6293_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.220::0.220) (0.264::0.264)) + (IOPATH A2 X (0.216::0.216) (0.273::0.274)) + (IOPATH B1 X (0.175::0.175) (0.228::0.228)) + (IOPATH B2 X (0.180::0.180) (0.204::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_4") + (INSTANCE _6294_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.351::0.351) (0.393::0.393)) + (IOPATH A2 X (0.368::0.368) (0.374::0.374)) + (IOPATH B1 X (0.371::0.371) (0.389::0.389)) + (IOPATH B2 X (0.402::0.403) (0.376::0.376)) + (IOPATH C1 X (0.324::0.325) (0.193::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6295_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.268::0.268) (0.310::0.310)) + (IOPATH A2 X (0.310::0.310) (0.337::0.338)) + (IOPATH B1 X (0.257::0.257) (0.298::0.298)) + (IOPATH B2 X (0.311::0.311) (0.309::0.310)) + (IOPATH C1 X (0.292::0.292) (0.194::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6296_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.206::0.206) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6297_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.133::0.133) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6298_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _6299_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.296::0.296) (0.258::0.258)) + (IOPATH A2_N X (0.297::0.297) (0.256::0.256)) + (IOPATH B1 X (0.188::0.188) (0.321::0.321)) + (IOPATH B2 X (0.219::0.220) (0.347::0.347)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6300_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.290::0.290) (0.330::0.330)) + (IOPATH A2 X (0.305::0.305) (0.337::0.337)) + (IOPATH B1 X (0.262::0.262) (0.309::0.309)) + (IOPATH B2 X (0.310::0.310) (0.309::0.309)) + (IOPATH C1 X (0.238::0.238) (0.164::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6301_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6302_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6303_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6304_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6305_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.236::0.236)) + (IOPATH A2 X (0.193::0.193) (0.255::0.255)) + (IOPATH B1 X (0.136::0.136) (0.173::0.173)) + (IOPATH B2 X (0.165::0.165) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6306_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.265::0.265)) + (IOPATH A2 X (0.234::0.234) (0.282::0.283)) + (IOPATH B1 X (0.202::0.202) (0.260::0.260)) + (IOPATH B2 X (0.246::0.246) (0.259::0.259)) + (IOPATH C1 X (0.166::0.167) (0.116::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6307_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.248::0.249) (0.189::0.194)) + (IOPATH B X (0.274::0.274) (0.226::0.232)) + (IOPATH C X (0.274::0.275) (0.240::0.247)) + (IOPATH D X (0.263::0.264) (0.234::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6308_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6309_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.144) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6310_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6311_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6312_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.171::0.171) (0.246::0.246)) + (IOPATH A2 X (0.202::0.202) (0.254::0.254)) + (IOPATH B1 X (0.166::0.166) (0.197::0.197)) + (IOPATH B2 X (0.188::0.189) (0.212::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6313_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.264::0.264) (0.336::0.336)) + (IOPATH A2 X (0.296::0.296) (0.326::0.327)) + (IOPATH B1 X (0.267::0.267) (0.313::0.313)) + (IOPATH B2 X (0.299::0.299) (0.297::0.297)) + (IOPATH C1 X (0.231::0.231) (0.158::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6314_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.083::0.083) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6315_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6316_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6317_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6318_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.261::0.261)) + (IOPATH A2 X (0.201::0.201) (0.251::0.251)) + (IOPATH B1 X (0.161::0.161) (0.194::0.194)) + (IOPATH B2 X (0.176::0.176) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6319_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.176::0.176) (0.246::0.246)) + (IOPATH A2 X (0.229::0.229) (0.284::0.284)) + (IOPATH B1 X (0.187::0.187) (0.248::0.248)) + (IOPATH B2 X (0.237::0.237) (0.258::0.259)) + (IOPATH C1 X (0.159::0.159) (0.111::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6320_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6321_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6322_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.169) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22oi_4") + (INSTANCE _6323_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.319::0.319) (0.156::0.156)) + (IOPATH A2 Y (0.373::0.373) (0.148::0.148)) + (IOPATH B1 Y (0.274::0.274) (0.124::0.124)) + (IOPATH B2 Y (0.303::0.303) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6324_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.198::0.198) (0.263::0.263)) + (IOPATH A2 X (0.224::0.225) (0.275::0.276)) + (IOPATH B1 X (0.192::0.192) (0.251::0.251)) + (IOPATH B2 X (0.258::0.258) (0.277::0.277)) + (IOPATH C1 X (0.233::0.237) (0.141::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_2") + (INSTANCE _6325_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.300::0.300) (0.253::0.260)) + (IOPATH B X (0.277::0.278) (0.256::0.262)) + (IOPATH C X (0.285::0.286) (0.274::0.279)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _6326_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.304::0.309) (0.434::0.435)) + (IOPATH B Y (0.375::0.378) (0.486::0.486)) + (IOPATH C Y (0.369::0.371) (0.458::0.458)) + (IOPATH D Y (0.360::0.361) (0.466::0.466)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6327_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.211::0.211)) + (IOPATH A2 X (0.184::0.192) (0.396::0.397)) + (IOPATH B1 X (0.150::0.150) (0.177::0.177)) + (IOPATH B2 X (0.123::0.123) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6328_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.309::0.309) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6329_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6330_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6331_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.260::0.260) (0.306::0.306)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6332_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.247::0.247)) + (IOPATH A2 X (0.169::0.169) (0.228::0.228)) + (IOPATH B1 X (0.225::0.225) (0.314::0.314)) + (IOPATH B2 X (0.160::0.160) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6333_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.247::0.247) (0.312::0.312)) + (IOPATH A2 X (0.230::0.231) (0.283::0.283)) + (IOPATH B1 X (0.221::0.221) (0.292::0.292)) + (IOPATH B2 X (0.236::0.236) (0.251::0.252)) + (IOPATH C1 X (0.164::0.164) (0.114::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6334_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.186::0.186) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6335_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6336_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.186::0.186) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6337_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6338_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.198::0.198) (0.242::0.242)) + (IOPATH A2 X (0.176::0.176) (0.231::0.231)) + (IOPATH B1 X (0.159::0.159) (0.213::0.213)) + (IOPATH B2 X (0.177::0.177) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6339_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.269::0.269)) + (IOPATH A2 X (0.233::0.233) (0.290::0.290)) + (IOPATH B1 X (0.172::0.172) (0.212::0.212)) + (IOPATH B2 X (0.187::0.188) (0.215::0.215)) + (IOPATH C1 X (0.152::0.152) (0.106::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6340_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.169) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6341_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6342_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6343_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.070) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6344_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.256::0.256)) + (IOPATH A2 X (0.186::0.186) (0.239::0.239)) + (IOPATH B1 X (0.160::0.160) (0.198::0.198)) + (IOPATH B2 X (0.169::0.169) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6345_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.359::0.359) (0.365::0.365)) + (IOPATH A2 X (0.382::0.382) (0.377::0.377)) + (IOPATH B1 X (0.334::0.334) (0.347::0.347)) + (IOPATH B2 X (0.347::0.348) (0.328::0.328)) + (IOPATH C1 X (0.318::0.318) (0.207::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6346_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.372::0.372) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6347_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6348_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6349_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6350_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.180::0.180) (0.252::0.252)) + (IOPATH A2 X (0.185::0.186) (0.239::0.239)) + (IOPATH B1 X (0.197::0.197) (0.254::0.254)) + (IOPATH B2 X (0.222::0.222) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6351_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.257::0.257) (0.328::0.328)) + (IOPATH A2 X (0.217::0.217) (0.269::0.269)) + (IOPATH B1 X (0.186::0.186) (0.247::0.247)) + (IOPATH B2 X (0.193::0.193) (0.218::0.218)) + (IOPATH C1 X (0.162::0.163) (0.113::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6352_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.208) (0.165::0.170)) + (IOPATH B X (0.216::0.217) (0.188::0.193)) + (IOPATH C X (0.269::0.269) (0.246::0.253)) + (IOPATH D X (0.229::0.230) (0.214::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6353_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.146::0.146) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6354_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.191::0.191) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _6355_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.270::0.270) (0.308::0.308)) + (IOPATH A2 X (0.246::0.246) (0.292::0.292)) + (IOPATH B1 X (0.246::0.246) (0.200::0.200)) + (IOPATH C1 X (0.272::0.272) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6356_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.160::0.160) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6357_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.317::0.317) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _6358_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.297::0.297) (0.258::0.258)) + (IOPATH A2_N X (0.297::0.297) (0.252::0.252)) + (IOPATH B1 X (0.249::0.249) (0.370::0.370)) + (IOPATH B2 X (0.216::0.216) (0.345::0.345)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6359_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.178::0.178) (0.272::0.272)) + (IOPATH A2 X (0.232::0.232) (0.322::0.322)) + (IOPATH B1 X (0.220::0.220) (0.300::0.300)) + (IOPATH B2 X (0.252::0.252) (0.277::0.277)) + (IOPATH C1 X (0.175::0.176) (0.124::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6360_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6361_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6362_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.137::0.137) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6363_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6364_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.284::0.284)) + (IOPATH A2 X (0.197::0.197) (0.248::0.248)) + (IOPATH B1 X (0.213::0.213) (0.268::0.268)) + (IOPATH B2 X (0.187::0.187) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6365_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.298::0.298) (0.381::0.381)) + (IOPATH A2 X (0.326::0.326) (0.368::0.368)) + (IOPATH B1 X (0.296::0.296) (0.350::0.350)) + (IOPATH B2 X (0.322::0.322) (0.329::0.330)) + (IOPATH C1 X (0.281::0.281) (0.189::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_2") + (INSTANCE _6366_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.231::0.231) (0.310::0.310)) + (IOPATH A2 X (0.227::0.227) (0.288::0.288)) + (IOPATH B1 X (0.223::0.223) (0.177::0.181)) + (IOPATH C1 X (0.190::0.191) (0.138::0.145)) + (IOPATH D1 X (0.214::0.214) (0.146::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6367_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6368_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.188::0.188) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6369_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.190::0.190) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6370_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.231::0.231)) + (IOPATH A2 X (0.181::0.181) (0.237::0.237)) + (IOPATH B1 X (0.178::0.178) (0.198::0.198)) + (IOPATH B2 X (0.151::0.151) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6371_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.235::0.235) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6372_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6373_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6374_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6375_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.179::0.179) (0.253::0.253)) + (IOPATH A2 X (0.185::0.185) (0.239::0.239)) + (IOPATH B1 X (0.173::0.173) (0.204::0.204)) + (IOPATH B2 X (0.187::0.187) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6376_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.261::0.261) (0.314::0.314)) + (IOPATH A2 X (0.257::0.257) (0.300::0.300)) + (IOPATH B1 X (0.220::0.220) (0.276::0.276)) + (IOPATH B2 X (0.254::0.255) (0.263::0.264)) + (IOPATH C1 X (0.194::0.195) (0.135::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6377_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6378_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6379_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6380_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.182::0.182) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6381_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.243::0.243)) + (IOPATH A2 X (0.158::0.159) (0.218::0.218)) + (IOPATH B1 X (0.173::0.173) (0.235::0.235)) + (IOPATH B2 X (0.171::0.171) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6382_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.328::0.328) (0.378::0.378)) + (IOPATH A2 X (0.347::0.347) (0.382::0.382)) + (IOPATH B1 X (0.323::0.323) (0.342::0.342)) + (IOPATH B2 X (0.323::0.323) (0.333::0.333)) + (IOPATH C1 X (0.276::0.276) (0.184::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _6383_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.249::0.249) (0.300::0.300)) + (IOPATH A2 X (0.261::0.261) (0.310::0.310)) + (IOPATH B1 X (0.225::0.226) (0.161::0.163)) + (IOPATH C1 X (0.227::0.228) (0.156::0.163)) + (IOPATH D1 X (0.242::0.243) (0.163::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6384_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.054) (0.041::0.041)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6385_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6386_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.085) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6387_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.264::0.264) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6388_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.206::0.206)) + (IOPATH A2 X (0.156::0.157) (0.216::0.216)) + (IOPATH B1 X (0.200::0.200) (0.218::0.218)) + (IOPATH B2 X (0.174::0.174) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6389_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.456::0.456) (0.431::0.431)) + (IOPATH A2 X (0.497::0.497) (0.448::0.448)) + (IOPATH B1 X (0.470::0.470) (0.413::0.413)) + (IOPATH B2 X (0.505::0.505) (0.425::0.426)) + (IOPATH C1 X (0.441::0.441) (0.257::0.259)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6390_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6391_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6392_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.198::0.198) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6393_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6394_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.232::0.232)) + (IOPATH A2 X (0.181::0.181) (0.238::0.238)) + (IOPATH B1 X (0.150::0.150) (0.179::0.179)) + (IOPATH B2 X (0.173::0.173) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6395_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.318::0.318) (0.364::0.364)) + (IOPATH A2 X (0.399::0.399) (0.409::0.409)) + (IOPATH B1 X (0.309::0.309) (0.333::0.333)) + (IOPATH B2 X (0.349::0.350) (0.330::0.330)) + (IOPATH C1 X (0.278::0.278) (0.183::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6396_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.233::0.233) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6397_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6398_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.186::0.186) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6399_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6400_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.186::0.186) (0.234::0.234)) + (IOPATH A2 X (0.192::0.192) (0.251::0.251)) + (IOPATH B1 X (0.149::0.149) (0.182::0.182)) + (IOPATH B2 X (0.149::0.149) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6401_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.251::0.251) (0.313::0.313)) + (IOPATH A2 X (0.259::0.259) (0.312::0.312)) + (IOPATH B1 X (0.205::0.205) (0.238::0.238)) + (IOPATH B2 X (0.232::0.232) (0.248::0.248)) + (IOPATH C1 X (0.172::0.173) (0.120::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6402_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.199::0.199) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6403_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.167::0.167) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6404_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6405_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6406_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.245::0.245) (0.275::0.275)) + (IOPATH A2 X (0.227::0.227) (0.268::0.268)) + (IOPATH B1 X (0.210::0.210) (0.234::0.234)) + (IOPATH B2 X (0.243::0.243) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6407_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.347::0.347) (0.391::0.391)) + (IOPATH A2 X (0.355::0.355) (0.386::0.386)) + (IOPATH B1 X (0.338::0.338) (0.349::0.349)) + (IOPATH B2 X (0.379::0.379) (0.371::0.372)) + (IOPATH C1 X (0.315::0.315) (0.209::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6408_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.240::0.240) (0.202::0.212)) + (IOPATH B X (0.202::0.203) (0.188::0.196)) + (IOPATH C X (0.170::0.171) (0.173::0.178)) + (IOPATH D X (0.199::0.200) (0.209::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6409_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6410_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.332::0.332) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6411_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6412_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6413_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.278::0.278) (0.344::0.344)) + (IOPATH A2 X (0.283::0.283) (0.326::0.326)) + (IOPATH B1 X (0.296::0.296) (0.310::0.310)) + (IOPATH B2 X (0.264::0.264) (0.291::0.291)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6414_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.187::0.187) (0.279::0.279)) + (IOPATH A2 X (0.200::0.200) (0.257::0.257)) + (IOPATH B1 X (0.258::0.258) (0.282::0.282)) + (IOPATH B2 X (0.218::0.218) (0.234::0.234)) + (IOPATH C1 X (0.193::0.193) (0.136::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6415_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6416_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6417_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6418_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.168::0.168) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6419_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.283::0.283) (0.323::0.323)) + (IOPATH A2 X (0.292::0.292) (0.330::0.330)) + (IOPATH B1 X (0.322::0.322) (0.325::0.325)) + (IOPATH B2 X (0.298::0.298) (0.309::0.310)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6420_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.282::0.282)) + (IOPATH A2 X (0.234::0.234) (0.289::0.290)) + (IOPATH B1 X (0.175::0.175) (0.215::0.215)) + (IOPATH B2 X (0.186::0.186) (0.217::0.217)) + (IOPATH C1 X (0.204::0.204) (0.143::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6421_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6422_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6423_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6424_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6425_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.281::0.281) (0.319::0.319)) + (IOPATH A2 X (0.303::0.303) (0.316::0.316)) + (IOPATH B1 X (0.267::0.267) (0.290::0.290)) + (IOPATH B2 X (0.271::0.272) (0.272::0.272)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6426_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.218::0.218) (0.278::0.278)) + (IOPATH A2 X (0.260::0.260) (0.303::0.303)) + (IOPATH B1 X (0.220::0.220) (0.274::0.274)) + (IOPATH B2 X (0.249::0.249) (0.261::0.261)) + (IOPATH C1 X (0.238::0.238) (0.168::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6427_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.177::0.177) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6428_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.203::0.203) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6429_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.307::0.307) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6430_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6431_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.282::0.282) (0.308::0.308)) + (IOPATH A2 X (0.250::0.250) (0.291::0.291)) + (IOPATH B1 X (0.225::0.225) (0.268::0.268)) + (IOPATH B2 X (0.250::0.250) (0.276::0.277)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6432_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.270::0.270) (0.315::0.315)) + (IOPATH A2 X (0.320::0.320) (0.356::0.356)) + (IOPATH B1 X (0.282::0.282) (0.293::0.293)) + (IOPATH B2 X (0.306::0.306) (0.308::0.308)) + (IOPATH C1 X (0.241::0.241) (0.166::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6433_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.169) (0.142::0.147)) + (IOPATH B X (0.179::0.180) (0.167::0.172)) + (IOPATH C X (0.196::0.196) (0.192::0.198)) + (IOPATH D X (0.208::0.208) (0.209::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6434_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.187::0.187) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6435_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6436_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.302::0.302) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6437_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.249::0.249) (0.283::0.283)) + (IOPATH A2 X (0.271::0.271) (0.295::0.296)) + (IOPATH B1 X (0.308::0.308) (0.309::0.309)) + (IOPATH B2 X (0.282::0.282) (0.299::0.299)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6438_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6439_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.238::0.238) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6440_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141::0.141) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6441_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6442_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.311::0.311) (0.372::0.372)) + (IOPATH A2 X (0.303::0.303) (0.337::0.337)) + (IOPATH B1 X (0.288::0.288) (0.307::0.307)) + (IOPATH B2 X (0.303::0.303) (0.313::0.313)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6443_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.295::0.295)) + (IOPATH A2 X (0.212::0.212) (0.265::0.265)) + (IOPATH B1 X (0.236::0.236) (0.255::0.255)) + (IOPATH B2 X (0.239::0.239) (0.253::0.253)) + (IOPATH C1 X (0.210::0.210) (0.147::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6444_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6445_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.285::0.285) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22oi_4") + (INSTANCE _6446_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.678::0.678) (0.255::0.255)) + (IOPATH A2 Y (0.703::0.703) (0.254::0.254)) + (IOPATH B1 Y (0.649::0.649) (0.252::0.252)) + (IOPATH B2 Y (0.699::0.699) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6447_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.300::0.300)) + (IOPATH A2 X (0.239::0.239) (0.285::0.286)) + (IOPATH B1 X (0.274::0.274) (0.292::0.292)) + (IOPATH B2 X (0.222::0.222) (0.242::0.242)) + (IOPATH C1 X (0.325::0.326) (0.224::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _6448_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.267::0.267) (0.296::0.296)) + (IOPATH A2 X (0.243::0.244) (0.291::0.291)) + (IOPATH B1 X (0.250::0.251) (0.189::0.195)) + (IOPATH C1 X (0.214::0.215) (0.147::0.152)) + (IOPATH D1 X (0.209::0.210) (0.141::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6449_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6450_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.140::0.140) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6451_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.085) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6452_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.060::0.060) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6453_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.245::0.245) (0.300::0.300)) + (IOPATH A2 X (0.284::0.284) (0.329::0.329)) + (IOPATH B1 X (0.219::0.219) (0.268::0.268)) + (IOPATH B2 X (0.281::0.281) (0.300::0.300)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6454_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.318::0.318) (0.381::0.381)) + (IOPATH A2 X (0.350::0.350) (0.361::0.362)) + (IOPATH B1 X (0.309::0.309) (0.338::0.338)) + (IOPATH B2 X (0.328::0.328) (0.306::0.306)) + (IOPATH C1 X (0.303::0.303) (0.204::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6455_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141::0.141) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6456_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6457_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.215::0.215) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6458_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6459_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.235::0.235)) + (IOPATH A2 X (0.183::0.183) (0.241::0.241)) + (IOPATH B1 X (0.171::0.171) (0.234::0.234)) + (IOPATH B2 X (0.165::0.165) (0.192::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6460_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.233::0.233) (0.319::0.319)) + (IOPATH A2 X (0.238::0.238) (0.275::0.275)) + (IOPATH B1 X (0.221::0.221) (0.275::0.275)) + (IOPATH B2 X (0.275::0.275) (0.288::0.288)) + (IOPATH C1 X (0.186::0.187) (0.129::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6461_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.314::0.314) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6462_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6463_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6464_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6465_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.242::0.242)) + (IOPATH A2 X (0.200::0.200) (0.250::0.251)) + (IOPATH B1 X (0.165::0.165) (0.195::0.195)) + (IOPATH B2 X (0.168::0.169) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6466_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.253::0.253) (0.319::0.319)) + (IOPATH A2 X (0.237::0.237) (0.289::0.289)) + (IOPATH B1 X (0.193::0.193) (0.253::0.253)) + (IOPATH B2 X (0.244::0.244) (0.259::0.259)) + (IOPATH C1 X (0.166::0.166) (0.116::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6467_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.254::0.254) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6468_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.210::0.210) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6469_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6470_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.062) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6471_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.336::0.336) (0.354::0.354)) + (IOPATH A2 X (0.385::0.385) (0.395::0.395)) + (IOPATH B1 X (0.309::0.309) (0.317::0.317)) + (IOPATH B2 X (0.395::0.396) (0.389::0.389)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6472_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.228::0.228) (0.290::0.290)) + (IOPATH A2 X (0.196::0.196) (0.254::0.254)) + (IOPATH B1 X (0.219::0.219) (0.242::0.242)) + (IOPATH B2 X (0.229::0.229) (0.244::0.244)) + (IOPATH C1 X (0.219::0.219) (0.152::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6473_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.173::0.180)) + (IOPATH B X (0.190::0.191) (0.176::0.182)) + (IOPATH C X (0.187::0.188) (0.183::0.188)) + (IOPATH D X (0.190::0.191) (0.190::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6474_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.178) (0.145::0.147)) + (IOPATH B X (0.193::0.193) (0.173::0.176)) + (IOPATH C X (0.207::0.207) (0.198::0.202)) + (IOPATH D X (0.204::0.204) (0.198::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_2") + (INSTANCE _6475_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.442::0.444) (0.610::0.610)) + (IOPATH B Y (0.479::0.485) (0.614::0.615)) + (IOPATH C Y (0.497::0.501) (0.634::0.635)) + (IOPATH D Y (0.449::0.452) (0.630::0.630)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6476_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.239::0.239)) + (IOPATH A2 X (0.222::0.230) (0.500::0.501)) + (IOPATH B1 X (0.159::0.159) (0.202::0.202)) + (IOPATH B2 X (0.132::0.132) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6477_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6478_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6479_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6480_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.087::0.087) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6481_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.269::0.269) (0.288::0.288)) + (IOPATH A2 X (0.264::0.264) (0.289::0.289)) + (IOPATH B1 X (0.255::0.255) (0.263::0.263)) + (IOPATH B2 X (0.255::0.255) (0.261::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6482_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.197) (0.285::0.285)) + (IOPATH A2 X (0.220::0.220) (0.274::0.274)) + (IOPATH B1 X (0.220::0.220) (0.244::0.244)) + (IOPATH B2 X (0.235::0.235) (0.249::0.249)) + (IOPATH C1 X (0.209::0.209) (0.148::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6483_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.162) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6484_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.198::0.198) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6485_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.281::0.281) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6486_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.189) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6487_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.209::0.209) (0.253::0.253)) + (IOPATH A2 X (0.176::0.176) (0.231::0.231)) + (IOPATH B1 X (0.177::0.177) (0.198::0.198)) + (IOPATH B2 X (0.160::0.160) (0.187::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6488_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.209::0.209) (0.270::0.270)) + (IOPATH A2 X (0.222::0.222) (0.274::0.274)) + (IOPATH B1 X (0.219::0.219) (0.243::0.243)) + (IOPATH B2 X (0.211::0.211) (0.230::0.230)) + (IOPATH C1 X (0.153::0.154) (0.106::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6489_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.163::0.163) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6490_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.177::0.177) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6491_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6492_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.170::0.170) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6493_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.208::0.208)) + (IOPATH A2 X (0.185::0.185) (0.244::0.244)) + (IOPATH B1 X (0.167::0.167) (0.187::0.187)) + (IOPATH B2 X (0.174::0.174) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6494_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.364::0.364) (0.397::0.397)) + (IOPATH A2 X (0.389::0.390) (0.408::0.409)) + (IOPATH B1 X (0.372::0.372) (0.367::0.367)) + (IOPATH B2 X (0.414::0.414) (0.393::0.394)) + (IOPATH C1 X (0.312::0.313) (0.203::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6495_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.290::0.290) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6496_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141::0.141) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6497_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6498_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6499_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.178::0.178) (0.256::0.256)) + (IOPATH A2 X (0.166::0.166) (0.226::0.226)) + (IOPATH B1 X (0.172::0.172) (0.226::0.226)) + (IOPATH B2 X (0.170::0.170) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6500_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.271::0.271) (0.329::0.329)) + (IOPATH A2 X (0.227::0.227) (0.277::0.277)) + (IOPATH B1 X (0.221::0.221) (0.275::0.275)) + (IOPATH B2 X (0.246::0.246) (0.258::0.258)) + (IOPATH C1 X (0.188::0.188) (0.131::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6501_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.172::0.177)) + (IOPATH B X (0.228::0.228) (0.195::0.200)) + (IOPATH C X (0.274::0.275) (0.246::0.255)) + (IOPATH D X (0.247::0.247) (0.229::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6502_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6503_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6504_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.303::0.303) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6505_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.080::0.080) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6506_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.263::0.263)) + (IOPATH A2 X (0.155::0.155) (0.215::0.215)) + (IOPATH B1 X (0.123::0.123) (0.174::0.174)) + (IOPATH B2 X (0.151::0.151) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6507_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.233::0.233) (0.288::0.288)) + (IOPATH A2 X (0.246::0.246) (0.293::0.293)) + (IOPATH B1 X (0.198::0.198) (0.238::0.238)) + (IOPATH B2 X (0.215::0.216) (0.239::0.239)) + (IOPATH C1 X (0.174::0.175) (0.121::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6508_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.187::0.187) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _6509_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6510_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.170::0.170) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6511_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.196::0.196) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6512_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.178::0.178) (0.227::0.227)) + (IOPATH A2 X (0.161::0.162) (0.220::0.220)) + (IOPATH B1 X (0.178::0.178) (0.201::0.201)) + (IOPATH B2 X (0.172::0.173) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6513_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.291::0.291) (0.328::0.328)) + (IOPATH A2 X (0.266::0.266) (0.302::0.302)) + (IOPATH B1 X (0.267::0.267) (0.304::0.304)) + (IOPATH B2 X (0.297::0.297) (0.294::0.295)) + (IOPATH C1 X (0.232::0.232) (0.157::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6514_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6515_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.219::0.219) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6516_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.143::0.143) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6517_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.189) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6518_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.248::0.248)) + (IOPATH A2 X (0.176::0.176) (0.233::0.234)) + (IOPATH B1 X (0.178::0.178) (0.199::0.199)) + (IOPATH B2 X (0.178::0.178) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6519_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.228::0.228) (0.305::0.305)) + (IOPATH A2 X (0.260::0.261) (0.302::0.302)) + (IOPATH B1 X (0.270::0.270) (0.283::0.283)) + (IOPATH B2 X (0.265::0.265) (0.272::0.272)) + (IOPATH C1 X (0.193::0.194) (0.134::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6520_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6521_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6522_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.138::0.138) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6523_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.189) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6524_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.168::0.168) (0.253::0.253)) + (IOPATH A2 X (0.185::0.185) (0.241::0.241)) + (IOPATH B1 X (0.175::0.175) (0.196::0.196)) + (IOPATH B2 X (0.175::0.175) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6525_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.239::0.239) (0.311::0.311)) + (IOPATH A2 X (0.279::0.279) (0.319::0.319)) + (IOPATH B1 X (0.246::0.246) (0.300::0.300)) + (IOPATH B2 X (0.260::0.261) (0.262::0.262)) + (IOPATH C1 X (0.202::0.203) (0.140::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6526_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.176::0.181)) + (IOPATH B X (0.255::0.255) (0.216::0.223)) + (IOPATH C X (0.246::0.246) (0.223::0.229)) + (IOPATH D X (0.253::0.253) (0.234::0.241)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6527_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6528_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6529_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6530_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.297::0.297) (0.305::0.305)) + (IOPATH A2 X (0.285::0.285) (0.302::0.303)) + (IOPATH B1 X (0.275::0.275) (0.298::0.298)) + (IOPATH B2 X (0.271::0.272) (0.272::0.272)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6531_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141::0.141) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6532_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6533_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.097) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6534_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.157::0.157) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6535_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.282::0.282) (0.297::0.297)) + (IOPATH A2 X (0.294::0.294) (0.307::0.308)) + (IOPATH B1 X (0.300::0.300) (0.296::0.296)) + (IOPATH B2 X (0.300::0.301) (0.294::0.294)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6536_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.305::0.305)) + (IOPATH A2 X (0.209::0.209) (0.255::0.255)) + (IOPATH B1 X (0.187::0.187) (0.241::0.241)) + (IOPATH B2 X (0.236::0.236) (0.250::0.250)) + (IOPATH C1 X (0.212::0.212) (0.148::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6537_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6538_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6539_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _6540_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.373::0.373) (0.318::0.318)) + (IOPATH A2_N X (0.365::0.365) (0.316::0.316)) + (IOPATH B1 X (0.264::0.264) (0.373::0.373)) + (IOPATH B2 X (0.270::0.270) (0.383::0.383)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6541_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.267::0.267)) + (IOPATH A2 X (0.237::0.238) (0.295::0.296)) + (IOPATH B1 X (0.197::0.197) (0.258::0.258)) + (IOPATH B2 X (0.207::0.207) (0.228::0.228)) + (IOPATH C1 X (0.203::0.203) (0.143::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _6542_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.326::0.326) (0.328::0.328)) + (IOPATH A2 X (0.341::0.341) (0.374::0.374)) + (IOPATH B1 X (0.330::0.330) (0.238::0.244)) + (IOPATH C1 X (0.285::0.286) (0.187::0.192)) + (IOPATH D1 X (0.273::0.274) (0.172::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6543_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6544_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6545_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6546_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.049::0.049) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6547_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.256::0.256) (0.312::0.312)) + (IOPATH A2 X (0.271::0.271) (0.318::0.319)) + (IOPATH B1 X (0.235::0.235) (0.278::0.278)) + (IOPATH B2 X (0.291::0.291) (0.305::0.305)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6548_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.231::0.231) (0.312::0.312)) + (IOPATH A2 X (0.259::0.259) (0.301::0.301)) + (IOPATH B1 X (0.231::0.231) (0.291::0.291)) + (IOPATH B2 X (0.259::0.259) (0.268::0.268)) + (IOPATH C1 X (0.232::0.232) (0.165::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6549_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.204::0.204) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6550_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6551_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.244::0.244) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6552_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6553_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.246::0.246)) + (IOPATH A2 X (0.157::0.157) (0.217::0.217)) + (IOPATH B1 X (0.148::0.148) (0.177::0.177)) + (IOPATH B2 X (0.156::0.156) (0.183::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6554_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.286::0.286) (0.326::0.326)) + (IOPATH A2 X (0.294::0.294) (0.326::0.326)) + (IOPATH B1 X (0.272::0.272) (0.286::0.286)) + (IOPATH B2 X (0.298::0.298) (0.298::0.298)) + (IOPATH C1 X (0.219::0.220) (0.150::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6555_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6556_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6557_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6558_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6559_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.215::0.215)) + (IOPATH A2 X (0.184::0.184) (0.238::0.238)) + (IOPATH B1 X (0.151::0.151) (0.206::0.206)) + (IOPATH B2 X (0.164::0.164) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6560_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.289::0.289) (0.344::0.344)) + (IOPATH A2 X (0.299::0.299) (0.322::0.322)) + (IOPATH B1 X (0.288::0.288) (0.322::0.322)) + (IOPATH B2 X (0.298::0.298) (0.297::0.297)) + (IOPATH C1 X (0.256::0.257) (0.171::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6561_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _6562_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _6563_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.223::0.223) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6564_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.162) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6565_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.226::0.226) (0.297::0.297)) + (IOPATH A2 X (0.199::0.199) (0.262::0.262)) + (IOPATH B1 X (0.179::0.179) (0.200::0.200)) + (IOPATH B2 X (0.179::0.179) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6566_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.283::0.283)) + (IOPATH A2 X (0.226::0.226) (0.276::0.276)) + (IOPATH B1 X (0.243::0.243) (0.281::0.281)) + (IOPATH B2 X (0.286::0.287) (0.298::0.299)) + (IOPATH C1 X (0.165::0.165) (0.115::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6567_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.248::0.249) (0.191::0.197)) + (IOPATH B X (0.269::0.269) (0.225::0.231)) + (IOPATH C X (0.282::0.282) (0.250::0.257)) + (IOPATH D X (0.260::0.262) (0.235::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6568_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.187::0.187) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6569_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.192::0.192) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6570_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6571_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.225::0.225) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6572_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.242::0.242)) + (IOPATH A2 X (0.176::0.176) (0.231::0.231)) + (IOPATH B1 X (0.202::0.202) (0.223::0.223)) + (IOPATH B2 X (0.188::0.188) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6573_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.258::0.258) (0.307::0.307)) + (IOPATH A2 X (0.309::0.309) (0.347::0.347)) + (IOPATH B1 X (0.267::0.267) (0.284::0.284)) + (IOPATH B2 X (0.286::0.286) (0.292::0.293)) + (IOPATH C1 X (0.205::0.205) (0.142::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6574_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6575_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.181::0.181) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _6576_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6577_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6578_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.176::0.176) (0.254::0.254)) + (IOPATH A2 X (0.186::0.186) (0.238::0.239)) + (IOPATH B1 X (0.147::0.147) (0.182::0.182)) + (IOPATH B2 X (0.146::0.146) (0.179::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6579_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.332::0.332) (0.346::0.346)) + (IOPATH A2 X (0.362::0.362) (0.362::0.362)) + (IOPATH B1 X (0.358::0.358) (0.338::0.338)) + (IOPATH B2 X (0.377::0.377) (0.348::0.348)) + (IOPATH C1 X (0.303::0.304) (0.198::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6580_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6581_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6582_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.214::0.214) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6583_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6584_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.541::0.541) (0.455::0.455)) + (IOPATH A2 X (0.539::0.539) (0.466::0.466)) + (IOPATH B1 X (0.516::0.516) (0.423::0.423)) + (IOPATH B2 X (0.497::0.497) (0.412::0.412)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6585_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.210::0.210) (0.297::0.297)) + (IOPATH A2 X (0.249::0.249) (0.299::0.299)) + (IOPATH B1 X (0.191::0.191) (0.230::0.230)) + (IOPATH B2 X (0.215::0.215) (0.236::0.236)) + (IOPATH C1 X (0.281::0.281) (0.210::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _6586_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.157) (0.147::0.153)) + (IOPATH B X (0.193::0.193) (0.192::0.199)) + (IOPATH C X (0.153::0.154) (0.174::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6587_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.198::0.198) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6588_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.216::0.216) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6589_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6590_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.319::0.319) (0.352::0.352)) + (IOPATH A2 X (0.312::0.312) (0.351::0.352)) + (IOPATH B1 X (0.261::0.261) (0.291::0.291)) + (IOPATH B2 X (0.266::0.266) (0.294::0.294)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6591_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.054) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6592_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6593_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6594_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.153::0.153) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_4") + (INSTANCE _6595_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.361::0.361) (0.395::0.395)) + (IOPATH A2 X (0.399::0.399) (0.398::0.398)) + (IOPATH B1 X (0.376::0.376) (0.361::0.361)) + (IOPATH B2 X (0.413::0.413) (0.383::0.384)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6596_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.256::0.256) (0.302::0.302)) + (IOPATH A2 X (0.294::0.295) (0.320::0.320)) + (IOPATH B1 X (0.261::0.261) (0.280::0.280)) + (IOPATH B2 X (0.287::0.287) (0.289::0.289)) + (IOPATH C1 X (0.318::0.318) (0.215::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6597_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _6598_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.189) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6599_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _6600_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.274::0.274) (0.243::0.243)) + (IOPATH A2_N X (0.283::0.283) (0.242::0.242)) + (IOPATH B1 X (0.163::0.163) (0.303::0.303)) + (IOPATH B2 X (0.204::0.204) (0.334::0.334)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6601_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.233::0.233) (0.310::0.310)) + (IOPATH A2 X (0.234::0.235) (0.284::0.284)) + (IOPATH B1 X (0.268::0.268) (0.277::0.277)) + (IOPATH B2 X (0.270::0.270) (0.276::0.276)) + (IOPATH C1 X (0.204::0.204) (0.142::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _6602_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.317::0.317) (0.328::0.328)) + (IOPATH A2 X (0.276::0.276) (0.311::0.311)) + (IOPATH B1 X (0.300::0.300) (0.221::0.227)) + (IOPATH C1 X (0.290::0.290) (0.199::0.206)) + (IOPATH D1 X (0.264::0.265) (0.173::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _6603_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6604_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _6605_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.195::0.195) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6606_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6607_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.232::0.232)) + (IOPATH A2 X (0.187::0.187) (0.243::0.243)) + (IOPATH B1 X (0.155::0.155) (0.211::0.211)) + (IOPATH B2 X (0.143::0.143) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6608_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.219::0.219) (0.312::0.312)) + (IOPATH A2 X (0.254::0.254) (0.308::0.308)) + (IOPATH B1 X (0.192::0.192) (0.248::0.248)) + (IOPATH B2 X (0.209::0.209) (0.233::0.233)) + (IOPATH C1 X (0.167::0.167) (0.117::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6609_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _6610_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6611_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.185::0.185) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6612_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6613_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.284::0.284) (0.298::0.298)) + (IOPATH A2 X (0.291::0.291) (0.312::0.312)) + (IOPATH B1 X (0.259::0.259) (0.288::0.288)) + (IOPATH B2 X (0.263::0.263) (0.266::0.267)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6614_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.276::0.276)) + (IOPATH A2 X (0.198::0.198) (0.256::0.256)) + (IOPATH B1 X (0.191::0.191) (0.246::0.246)) + (IOPATH B2 X (0.237::0.237) (0.253::0.253)) + (IOPATH C1 X (0.200::0.200) (0.141::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6615_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.156::0.156) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _6616_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.190::0.190) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6617_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.058) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6618_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.048::0.048) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6619_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.241::0.241) (0.275::0.275)) + (IOPATH A2 X (0.271::0.271) (0.293::0.294)) + (IOPATH B1 X (0.231::0.231) (0.249::0.249)) + (IOPATH B2 X (0.307::0.307) (0.323::0.323)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6620_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.256::0.256) (0.335::0.335)) + (IOPATH A2 X (0.286::0.286) (0.322::0.322)) + (IOPATH B1 X (0.276::0.276) (0.284::0.284)) + (IOPATH B2 X (0.273::0.273) (0.278::0.279)) + (IOPATH C1 X (0.255::0.256) (0.178::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6621_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _6622_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _6623_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6624_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6625_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.217::0.217) (0.281::0.281)) + (IOPATH A2 X (0.245::0.245) (0.291::0.291)) + (IOPATH B1 X (0.200::0.200) (0.247::0.247)) + (IOPATH B2 X (0.204::0.204) (0.226::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6626_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.250::0.250) (0.301::0.301)) + (IOPATH A2 X (0.303::0.303) (0.339::0.339)) + (IOPATH B1 X (0.277::0.277) (0.293::0.293)) + (IOPATH B2 X (0.320::0.320) (0.317::0.318)) + (IOPATH C1 X (0.234::0.234) (0.161::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6627_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.164) (0.140::0.145)) + (IOPATH B X (0.171::0.172) (0.161::0.166)) + (IOPATH C X (0.193::0.193) (0.191::0.197)) + (IOPATH D X (0.198::0.199) (0.201::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _6628_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.340::0.340) (0.254::0.256)) + (IOPATH B X (0.324::0.324) (0.267::0.268)) + (IOPATH C X (0.356::0.356) (0.309::0.313)) + (IOPATH D X (0.336::0.336) (0.293::0.295)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _6629_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.272::0.274) (0.381::0.382)) + (IOPATH B Y (0.314::0.316) (0.397::0.397)) + (IOPATH C Y (0.346::0.351) (0.418::0.418)) + (IOPATH D Y (0.323::0.326) (0.415::0.415)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6630_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.211::0.211)) + (IOPATH A2 X (0.175::0.182) (0.356::0.356)) + (IOPATH B1 X (0.141::0.141) (0.171::0.171)) + (IOPATH B2 X (0.123::0.123) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6631_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.226::0.226) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6632_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.144) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6633_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6634_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6635_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.237::0.237)) + (IOPATH A2 X (0.186::0.186) (0.239::0.240)) + (IOPATH B1 X (0.162::0.162) (0.219::0.219)) + (IOPATH B2 X (0.158::0.158) (0.187::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6636_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.263::0.263) (0.314::0.314)) + (IOPATH A2 X (0.258::0.258) (0.301::0.302)) + (IOPATH B1 X (0.236::0.236) (0.261::0.261)) + (IOPATH B2 X (0.247::0.247) (0.260::0.260)) + (IOPATH C1 X (0.191::0.192) (0.133::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6637_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6638_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6639_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.138::0.138) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6640_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6641_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.255::0.255) (0.311::0.311)) + (IOPATH A2 X (0.268::0.269) (0.294::0.294)) + (IOPATH B1 X (0.246::0.246) (0.283::0.283)) + (IOPATH B2 X (0.242::0.242) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6642_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.224::0.224) (0.282::0.282)) + (IOPATH A2 X (0.246::0.246) (0.297::0.298)) + (IOPATH B1 X (0.206::0.206) (0.265::0.265)) + (IOPATH B2 X (0.229::0.229) (0.247::0.247)) + (IOPATH C1 X (0.206::0.206) (0.145::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6643_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6644_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.210::0.210) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6645_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6646_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6647_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.267::0.267)) + (IOPATH A2 X (0.197::0.197) (0.248::0.248)) + (IOPATH B1 X (0.200::0.200) (0.248::0.248)) + (IOPATH B2 X (0.200::0.200) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6648_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.212::0.212) (0.301::0.301)) + (IOPATH A2 X (0.241::0.241) (0.290::0.290)) + (IOPATH B1 X (0.240::0.240) (0.259::0.259)) + (IOPATH B2 X (0.238::0.239) (0.251::0.252)) + (IOPATH C1 X (0.190::0.190) (0.132::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _6649_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.261::0.262) (0.208::0.214)) + (IOPATH B X (0.259::0.260) (0.224::0.229)) + (IOPATH C X (0.264::0.264) (0.239::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6650_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6651_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.251::0.251) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6652_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6653_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076::0.076) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6654_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.174::0.174) (0.258::0.258)) + (IOPATH A2 X (0.188::0.188) (0.248::0.248)) + (IOPATH B1 X (0.130::0.130) (0.178::0.178)) + (IOPATH B2 X (0.160::0.160) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6655_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.247::0.247) (0.322::0.322)) + (IOPATH A2 X (0.297::0.297) (0.340::0.340)) + (IOPATH B1 X (0.288::0.288) (0.301::0.301)) + (IOPATH B2 X (0.285::0.286) (0.290::0.290)) + (IOPATH C1 X (0.210::0.210) (0.145::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6656_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.187::0.187) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6657_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.062) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6658_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.143::0.143) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6659_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.261::0.261) (0.337::0.338)) + (IOPATH A2 X (0.263::0.263) (0.293::0.293)) + (IOPATH B1 X (0.252::0.252) (0.303::0.303)) + (IOPATH B2 X (0.296::0.296) (0.301::0.301)) + (IOPATH C1 X (0.269::0.269) (0.186::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6660_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.258::0.258) (0.308::0.308)) + (IOPATH A2 X (0.293::0.293) (0.334::0.334)) + (IOPATH B1 X (0.214::0.214) (0.251::0.251)) + (IOPATH B2 X (0.232::0.233) (0.255::0.255)) + (IOPATH C1 X (0.223::0.224) (0.152::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6661_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.182::0.182) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6662_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.089) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6663_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6664_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6665_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.226::0.226)) + (IOPATH A2 X (0.160::0.160) (0.219::0.220)) + (IOPATH B1 X (0.159::0.159) (0.214::0.214)) + (IOPATH B2 X (0.176::0.176) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6666_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.296::0.296) (0.330::0.330)) + (IOPATH A2 X (0.303::0.303) (0.327::0.327)) + (IOPATH B1 X (0.262::0.262) (0.298::0.298)) + (IOPATH B2 X (0.269::0.269) (0.279::0.279)) + (IOPATH C1 X (0.239::0.239) (0.161::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6667_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.195::0.195) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6668_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.089) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6669_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6670_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6671_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.258::0.258) (0.281::0.281)) + (IOPATH A2 X (0.279::0.279) (0.299::0.299)) + (IOPATH B1 X (0.266::0.266) (0.304::0.304)) + (IOPATH B2 X (0.282::0.282) (0.288::0.288)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6672_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.356::0.356) (0.366::0.366)) + (IOPATH A2 X (0.368::0.368) (0.367::0.368)) + (IOPATH B1 X (0.317::0.317) (0.318::0.318)) + (IOPATH B2 X (0.335::0.335) (0.321::0.322)) + (IOPATH C1 X (0.338::0.338) (0.224::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6673_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6674_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.179::0.179) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6675_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.157::0.157) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6676_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.242::0.242) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6677_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.402::0.402) (0.388::0.388)) + (IOPATH A2 X (0.385::0.386) (0.376::0.376)) + (IOPATH B1 X (0.439::0.439) (0.390::0.390)) + (IOPATH B2 X (0.414::0.414) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6678_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.227::0.227) (0.314::0.314)) + (IOPATH A2 X (0.273::0.273) (0.319::0.319)) + (IOPATH B1 X (0.246::0.246) (0.266::0.266)) + (IOPATH B2 X (0.245::0.245) (0.259::0.259)) + (IOPATH C1 X (0.269::0.269) (0.192::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6679_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.176::0.176) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6680_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.140::0.140) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6681_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.144) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6682_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6683_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.180::0.180) (0.262::0.262)) + (IOPATH A2 X (0.204::0.204) (0.261::0.261)) + (IOPATH B1 X (0.167::0.167) (0.220::0.220)) + (IOPATH B2 X (0.164::0.164) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6684_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.238::0.238) (0.291::0.291)) + (IOPATH A2 X (0.254::0.254) (0.299::0.299)) + (IOPATH B1 X (0.231::0.231) (0.291::0.291)) + (IOPATH B2 X (0.234::0.234) (0.242::0.242)) + (IOPATH C1 X (0.187::0.187) (0.130::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6685_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6686_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.163::0.163) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6687_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _6688_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.375::0.375) (0.316::0.316)) + (IOPATH A2_N X (0.380::0.380) (0.307::0.307)) + (IOPATH B1 X (0.280::0.280) (0.408::0.408)) + (IOPATH B2 X (0.312::0.312) (0.416::0.416)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6689_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.317::0.317) (0.364::0.364)) + (IOPATH A2 X (0.326::0.326) (0.338::0.338)) + (IOPATH B1 X (0.332::0.332) (0.324::0.324)) + (IOPATH B2 X (0.379::0.379) (0.362::0.362)) + (IOPATH C1 X (0.329::0.329) (0.219::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6690_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.269::0.269) (0.207::0.214)) + (IOPATH B X (0.237::0.237) (0.205::0.210)) + (IOPATH C X (0.240::0.241) (0.219::0.225)) + (IOPATH D X (0.270::0.271) (0.256::0.264)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6691_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.186::0.192)) + (IOPATH B X (0.248::0.248) (0.210::0.216)) + (IOPATH C X (0.263::0.263) (0.235::0.242)) + (IOPATH D X (0.258::0.258) (0.235::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6692_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.205::0.205) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6693_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6694_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.193::0.193) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6695_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6696_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.232::0.232)) + (IOPATH A2 X (0.180::0.180) (0.234::0.234)) + (IOPATH B1 X (0.148::0.148) (0.203::0.203)) + (IOPATH B2 X (0.156::0.156) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6697_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.233::0.233) (0.291::0.291)) + (IOPATH A2 X (0.251::0.251) (0.306::0.306)) + (IOPATH B1 X (0.206::0.206) (0.268::0.268)) + (IOPATH B2 X (0.248::0.248) (0.261::0.262)) + (IOPATH C1 X (0.166::0.167) (0.116::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6698_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6699_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.070) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6700_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.200::0.200) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6701_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.085) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6702_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.270::0.270) (0.316::0.316)) + (IOPATH A2 X (0.231::0.231) (0.295::0.295)) + (IOPATH B1 X (0.212::0.212) (0.257::0.257)) + (IOPATH B2 X (0.218::0.218) (0.257::0.257)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_4") + (INSTANCE _6703_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.398::0.398) (0.416::0.416)) + (IOPATH A2 X (0.423::0.423) (0.402::0.402)) + (IOPATH B1 X (0.374::0.374) (0.351::0.351)) + (IOPATH B2 X (0.423::0.423) (0.370::0.370)) + (IOPATH C1 X (0.387::0.387) (0.224::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6704_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.222::0.222) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6705_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6706_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6707_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.094) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6708_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.175::0.175) (0.259::0.259)) + (IOPATH A2 X (0.215::0.215) (0.299::0.299)) + (IOPATH B1 X (0.141::0.141) (0.178::0.178)) + (IOPATH B2 X (0.178::0.178) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6709_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.248::0.248) (0.302::0.302)) + (IOPATH A2 X (0.293::0.294) (0.344::0.344)) + (IOPATH B1 X (0.230::0.230) (0.294::0.294)) + (IOPATH B2 X (0.255::0.255) (0.266::0.267)) + (IOPATH C1 X (0.177::0.178) (0.123::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6710_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6711_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.214::0.214) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6712_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6713_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.182::0.182) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6714_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.205) (0.269::0.269)) + (IOPATH A2 X (0.233::0.233) (0.274::0.274)) + (IOPATH B1 X (0.231::0.231) (0.244::0.244)) + (IOPATH B2 X (0.237::0.237) (0.261::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6715_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.274::0.274)) + (IOPATH A2 X (0.233::0.234) (0.286::0.286)) + (IOPATH B1 X (0.235::0.235) (0.255::0.255)) + (IOPATH B2 X (0.238::0.238) (0.252::0.253)) + (IOPATH C1 X (0.184::0.184) (0.128::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6716_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.155) (0.134::0.140)) + (IOPATH B X (0.228::0.229) (0.210::0.220)) + (IOPATH C X (0.174::0.175) (0.176::0.181)) + (IOPATH D X (0.175::0.176) (0.181::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6717_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6718_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6719_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6720_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6721_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.172::0.172) (0.246::0.246)) + (IOPATH A2 X (0.199::0.200) (0.250::0.250)) + (IOPATH B1 X (0.170::0.170) (0.222::0.222)) + (IOPATH B2 X (0.205::0.205) (0.237::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6722_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.292::0.292)) + (IOPATH A2 X (0.265::0.265) (0.319::0.319)) + (IOPATH B1 X (0.196::0.196) (0.257::0.257)) + (IOPATH B2 X (0.202::0.202) (0.227::0.227)) + (IOPATH C1 X (0.169::0.169) (0.118::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6723_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6724_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6725_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6726_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6727_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.376::0.376) (0.393::0.393)) + (IOPATH A2 X (0.372::0.372) (0.373::0.373)) + (IOPATH B1 X (0.381::0.381) (0.387::0.387)) + (IOPATH B2 X (0.379::0.379) (0.354::0.354)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6728_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.257::0.257)) + (IOPATH A2 X (0.195::0.195) (0.254::0.254)) + (IOPATH B1 X (0.190::0.190) (0.253::0.253)) + (IOPATH B2 X (0.225::0.225) (0.240::0.240)) + (IOPATH C1 X (0.229::0.229) (0.162::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6729_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6730_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6731_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.214::0.214) (0.261::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6732_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6733_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.317::0.317) (0.387::0.387)) + (IOPATH A2 X (0.292::0.292) (0.309::0.309)) + (IOPATH B1 X (0.260::0.260) (0.266::0.266)) + (IOPATH B2 X (0.266::0.266) (0.269::0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6734_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.275::0.275)) + (IOPATH A2 X (0.222::0.222) (0.277::0.277)) + (IOPATH B1 X (0.214::0.214) (0.270::0.270)) + (IOPATH B2 X (0.243::0.243) (0.257::0.257)) + (IOPATH C1 X (0.229::0.229) (0.161::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6735_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.157::0.157) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6736_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6737_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6738_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6739_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.218::0.218)) + (IOPATH A2 X (0.192::0.192) (0.251::0.251)) + (IOPATH B1 X (0.148::0.148) (0.181::0.181)) + (IOPATH B2 X (0.174::0.174) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6740_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.349::0.349) (0.360::0.360)) + (IOPATH A2 X (0.403::0.403) (0.402::0.402)) + (IOPATH B1 X (0.333::0.333) (0.350::0.350)) + (IOPATH B2 X (0.394::0.394) (0.371::0.371)) + (IOPATH C1 X (0.300::0.301) (0.195::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6741_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.173) (0.145::0.150)) + (IOPATH B X (0.180::0.181) (0.168::0.172)) + (IOPATH C X (0.195::0.196) (0.191::0.197)) + (IOPATH D X (0.230::0.230) (0.232::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6742_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6743_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6744_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6745_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.156::0.156) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6746_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.276::0.276) (0.292::0.292)) + (IOPATH A2 X (0.288::0.288) (0.304::0.304)) + (IOPATH B1 X (0.287::0.287) (0.316::0.316)) + (IOPATH B2 X (0.273::0.273) (0.273::0.274)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6747_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.371::0.371) (0.401::0.401)) + (IOPATH A2 X (0.415::0.415) (0.417::0.417)) + (IOPATH B1 X (0.378::0.378) (0.394::0.394)) + (IOPATH B2 X (0.382::0.382) (0.367::0.367)) + (IOPATH C1 X (0.399::0.399) (0.256::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6748_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6749_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6750_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.170::0.170) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _6751_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.279::0.279) (0.246::0.246)) + (IOPATH A2_N X (0.279::0.279) (0.243::0.243)) + (IOPATH B1 X (0.201::0.201) (0.325::0.325)) + (IOPATH B2 X (0.188::0.188) (0.322::0.322)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6752_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.223::0.223) (0.282::0.282)) + (IOPATH A2 X (0.249::0.249) (0.300::0.301)) + (IOPATH B1 X (0.212::0.212) (0.274::0.274)) + (IOPATH B2 X (0.234::0.234) (0.251::0.251)) + (IOPATH C1 X (0.177::0.178) (0.124::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6753_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.176::0.176) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6754_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6755_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.201::0.201) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6756_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6757_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.209::0.209) (0.250::0.250)) + (IOPATH A2 X (0.207::0.207) (0.259::0.259)) + (IOPATH B1 X (0.174::0.174) (0.228::0.228)) + (IOPATH B2 X (0.170::0.171) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6758_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.277::0.277)) + (IOPATH A2 X (0.231::0.231) (0.282::0.282)) + (IOPATH B1 X (0.197::0.197) (0.258::0.258)) + (IOPATH B2 X (0.224::0.224) (0.239::0.239)) + (IOPATH C1 X (0.167::0.168) (0.116::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _6759_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.262::0.263) (0.214::0.223)) + (IOPATH B X (0.209::0.210) (0.197::0.202)) + (IOPATH C X (0.211::0.212) (0.209::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6760_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6761_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.186::0.186) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6762_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6763_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6764_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.241::0.241)) + (IOPATH A2 X (0.162::0.162) (0.221::0.221)) + (IOPATH B1 X (0.180::0.180) (0.241::0.241)) + (IOPATH B2 X (0.172::0.172) (0.197::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6765_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.205) (0.293::0.293)) + (IOPATH A2 X (0.242::0.242) (0.293::0.293)) + (IOPATH B1 X (0.226::0.226) (0.250::0.250)) + (IOPATH B2 X (0.236::0.236) (0.249::0.250)) + (IOPATH C1 X (0.167::0.168) (0.117::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6766_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.087::0.087) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6767_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.177::0.177) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6768_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.057) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6769_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.057) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6770_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.244::0.244)) + (IOPATH A2 X (0.224::0.224) (0.265::0.265)) + (IOPATH B1 X (0.185::0.185) (0.217::0.217)) + (IOPATH B2 X (0.210::0.210) (0.230::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6771_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.290::0.290) (0.341::0.341)) + (IOPATH A2 X (0.319::0.319) (0.336::0.336)) + (IOPATH B1 X (0.321::0.321) (0.364::0.364)) + (IOPATH B2 X (0.339::0.339) (0.324::0.324)) + (IOPATH C1 X (0.285::0.285) (0.190::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6772_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6773_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.291::0.291) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6774_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6775_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6776_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.222::0.222)) + (IOPATH A2 X (0.173::0.173) (0.229::0.229)) + (IOPATH B1 X (0.166::0.166) (0.220::0.220)) + (IOPATH B2 X (0.192::0.192) (0.225::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6777_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.251::0.251)) + (IOPATH A2 X (0.194::0.194) (0.255::0.255)) + (IOPATH B1 X (0.244::0.244) (0.270::0.270)) + (IOPATH B2 X (0.237::0.237) (0.252::0.253)) + (IOPATH C1 X (0.160::0.160) (0.111::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6778_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6779_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6780_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6781_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.207::0.207)) + (IOPATH A2 X (0.146::0.146) (0.209::0.209)) + (IOPATH B1 X (0.145::0.145) (0.176::0.176)) + (IOPATH B2 X (0.144::0.144) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6782_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.303::0.303) (0.336::0.336)) + (IOPATH A2 X (0.324::0.324) (0.337::0.337)) + (IOPATH B1 X (0.316::0.316) (0.329::0.329)) + (IOPATH B2 X (0.322::0.322) (0.298::0.298)) + (IOPATH C1 X (0.267::0.267) (0.176::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _6783_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.316::0.316) (0.241::0.246)) + (IOPATH B X (0.361::0.362) (0.297::0.304)) + (IOPATH C X (0.330::0.331) (0.285::0.290)) + (IOPATH D X (0.365::0.365) (0.327::0.335)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6784_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.223) (0.170::0.172)) + (IOPATH B X (0.238::0.239) (0.199::0.202)) + (IOPATH C X (0.259::0.259) (0.229::0.231)) + (IOPATH D X (0.273::0.273) (0.251::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _6785_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.287::0.289) (0.345::0.345)) + (IOPATH B Y (0.305::0.308) (0.325::0.325)) + (IOPATH C Y (0.306::0.308) (0.328::0.328)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6786_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.214::0.214)) + (IOPATH A2 X (0.179::0.184) (0.327::0.328)) + (IOPATH B1 X (0.154::0.154) (0.181::0.181)) + (IOPATH B2 X (0.126::0.126) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6787_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6788_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.213::0.213) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6789_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.067) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6790_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.071) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_4") + (INSTANCE _6791_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.279::0.279) (0.331::0.331)) + (IOPATH A2 X (0.337::0.337) (0.361::0.361)) + (IOPATH B1 X (0.271::0.271) (0.304::0.304)) + (IOPATH B2 X (0.354::0.354) (0.350::0.351)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6792_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.276::0.276) (0.343::0.343)) + (IOPATH A2 X (0.354::0.354) (0.382::0.382)) + (IOPATH B1 X (0.307::0.307) (0.309::0.309)) + (IOPATH B2 X (0.304::0.305) (0.299::0.299)) + (IOPATH C1 X (0.293::0.293) (0.199::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6793_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6794_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6795_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6796_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.052::0.052) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6797_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.236::0.236) (0.299::0.299)) + (IOPATH A2 X (0.232::0.232) (0.300::0.301)) + (IOPATH B1 X (0.205::0.205) (0.256::0.256)) + (IOPATH B2 X (0.245::0.245) (0.273::0.273)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6798_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.215::0.215) (0.311::0.311)) + (IOPATH A2 X (0.242::0.243) (0.294::0.294)) + (IOPATH B1 X (0.214::0.214) (0.241::0.241)) + (IOPATH B2 X (0.223::0.223) (0.240::0.241)) + (IOPATH C1 X (0.192::0.192) (0.136::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6799_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6800_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.091::0.091) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6801_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6802_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6803_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.249::0.249) (0.299::0.299)) + (IOPATH A2 X (0.253::0.254) (0.296::0.296)) + (IOPATH B1 X (0.245::0.245) (0.296::0.296)) + (IOPATH B2 X (0.287::0.288) (0.290::0.290)) + (IOPATH C1 X (0.271::0.271) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6804_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.273::0.273) (0.316::0.316)) + (IOPATH A2 X (0.285::0.285) (0.316::0.316)) + (IOPATH B1 X (0.241::0.241) (0.269::0.269)) + (IOPATH B2 X (0.257::0.257) (0.272::0.272)) + (IOPATH C1 X (0.242::0.243) (0.163::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6805_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6806_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6807_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.219::0.219) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6808_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6809_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.197) (0.247::0.247)) + (IOPATH A2 X (0.195::0.195) (0.255::0.255)) + (IOPATH B1 X (0.149::0.149) (0.206::0.206)) + (IOPATH B2 X (0.161::0.161) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6810_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.193) (0.287::0.287)) + (IOPATH A2 X (0.187::0.187) (0.249::0.249)) + (IOPATH B1 X (0.180::0.180) (0.239::0.239)) + (IOPATH B2 X (0.205::0.205) (0.226::0.226)) + (IOPATH C1 X (0.152::0.153) (0.106::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6811_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6812_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141::0.141) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6813_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6814_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6815_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.204::0.204) (0.250::0.250)) + (IOPATH A2 X (0.276::0.276) (0.327::0.327)) + (IOPATH B1 X (0.201::0.201) (0.228::0.228)) + (IOPATH B2 X (0.208::0.208) (0.236::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6816_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.267::0.267) (0.309::0.309)) + (IOPATH A2 X (0.285::0.285) (0.314::0.315)) + (IOPATH B1 X (0.282::0.282) (0.321::0.321)) + (IOPATH B2 X (0.318::0.318) (0.310::0.311)) + (IOPATH C1 X (0.268::0.269) (0.181::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6817_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6818_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.167::0.167) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6819_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.273::0.273) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6820_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6821_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.253::0.253)) + (IOPATH A2 X (0.190::0.190) (0.252::0.252)) + (IOPATH B1 X (0.165::0.165) (0.188::0.188)) + (IOPATH B2 X (0.169::0.169) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6822_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.287::0.287)) + (IOPATH A2 X (0.220::0.221) (0.272::0.272)) + (IOPATH B1 X (0.210::0.210) (0.276::0.276)) + (IOPATH B2 X (0.219::0.219) (0.236::0.236)) + (IOPATH C1 X (0.156::0.157) (0.108::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6823_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6824_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6825_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6826_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6827_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.205) (0.278::0.278)) + (IOPATH A2 X (0.252::0.252) (0.306::0.306)) + (IOPATH B1 X (0.170::0.170) (0.207::0.207)) + (IOPATH B2 X (0.181::0.181) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6828_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.319::0.319) (0.395::0.395)) + (IOPATH A2 X (0.373::0.373) (0.410::0.410)) + (IOPATH B1 X (0.335::0.335) (0.387::0.387)) + (IOPATH B2 X (0.365::0.365) (0.359::0.360)) + (IOPATH C1 X (0.297::0.298) (0.198::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6829_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.214) (0.169::0.174)) + (IOPATH B X (0.256::0.256) (0.219::0.226)) + (IOPATH C X (0.233::0.233) (0.211::0.217)) + (IOPATH D X (0.265::0.265) (0.251::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6830_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.247::0.248) (0.191::0.198)) + (IOPATH B X (0.230::0.231) (0.198::0.203)) + (IOPATH C X (0.253::0.253) (0.229::0.235)) + (IOPATH D X (0.253::0.253) (0.234::0.236)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6831_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _6832_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.233::0.233) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6833_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.183::0.183) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6834_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.083::0.083) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6835_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.287::0.287) (0.300::0.300)) + (IOPATH A2 X (0.266::0.266) (0.290::0.290)) + (IOPATH B1 X (0.239::0.239) (0.257::0.257)) + (IOPATH B2 X (0.285::0.285) (0.290::0.290)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6836_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.246::0.246) (0.319::0.319)) + (IOPATH A2 X (0.260::0.260) (0.299::0.300)) + (IOPATH B1 X (0.298::0.298) (0.312::0.312)) + (IOPATH B2 X (0.319::0.319) (0.318::0.318)) + (IOPATH C1 X (0.256::0.256) (0.178::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6837_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6838_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.060::0.060) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6839_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6840_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.184::0.184) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6841_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.229::0.229)) + (IOPATH A2 X (0.172::0.172) (0.235::0.235)) + (IOPATH B1 X (0.204::0.204) (0.223::0.223)) + (IOPATH B2 X (0.198::0.198) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6842_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.270::0.270) (0.313::0.313)) + (IOPATH A2 X (0.299::0.299) (0.323::0.323)) + (IOPATH B1 X (0.256::0.256) (0.282::0.282)) + (IOPATH B2 X (0.316::0.316) (0.307::0.307)) + (IOPATH C1 X (0.259::0.260) (0.174::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6843_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6844_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.225::0.225) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6845_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.183::0.183) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6846_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.199::0.199) (0.242::0.242)) + (IOPATH A2 X (0.194::0.194) (0.254::0.255)) + (IOPATH B1 X (0.178::0.178) (0.199::0.199)) + (IOPATH B2 X (0.177::0.177) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6847_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.264::0.264) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6848_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6849_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.053) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2") + (INSTANCE _6850_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.377::0.377) (0.299::0.299)) + (IOPATH A2_N X (0.381::0.381) (0.296::0.296)) + (IOPATH B1 X (0.265::0.265) (0.325::0.325)) + (IOPATH B2 X (0.287::0.287) (0.346::0.346)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6851_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.251::0.251) (0.314::0.314)) + (IOPATH A2 X (0.238::0.238) (0.289::0.289)) + (IOPATH B1 X (0.202::0.202) (0.261::0.261)) + (IOPATH B2 X (0.226::0.226) (0.242::0.243)) + (IOPATH C1 X (0.202::0.203) (0.140::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _6852_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.265::0.265)) + (IOPATH A2 X (0.175::0.175) (0.240::0.240)) + (IOPATH B1 X (0.157::0.158) (0.132::0.135)) + (IOPATH C1 X (0.153::0.153) (0.116::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6853_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.163::0.163) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6854_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.163::0.163) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6855_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6856_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6857_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.235::0.235)) + (IOPATH A2 X (0.184::0.184) (0.243::0.243)) + (IOPATH B1 X (0.157::0.157) (0.215::0.215)) + (IOPATH B2 X (0.172::0.172) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _6858_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.550::0.550) (0.482::0.482)) + (IOPATH A2 X (0.587::0.587) (0.503::0.503)) + (IOPATH B1 X (0.552::0.552) (0.455::0.455)) + (IOPATH B2 X (0.584::0.584) (0.471::0.471)) + (IOPATH C1 X (0.496::0.496) (0.280::0.283)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6859_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.221::0.221) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6860_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.191::0.191) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6861_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.094) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6862_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.152::0.152) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6863_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.271::0.271) (0.321::0.321)) + (IOPATH A2 X (0.304::0.304) (0.340::0.340)) + (IOPATH B1 X (0.291::0.291) (0.345::0.345)) + (IOPATH B2 X (0.268::0.268) (0.294::0.294)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6864_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.237::0.237) (0.294::0.294)) + (IOPATH A2 X (0.237::0.237) (0.287::0.287)) + (IOPATH B1 X (0.230::0.230) (0.252::0.252)) + (IOPATH B2 X (0.237::0.237) (0.250::0.250)) + (IOPATH C1 X (0.209::0.209) (0.147::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6865_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.180::0.180) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6866_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6867_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6868_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6869_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.240::0.240)) + (IOPATH A2 X (0.169::0.169) (0.226::0.227)) + (IOPATH B1 X (0.165::0.165) (0.224::0.224)) + (IOPATH B2 X (0.153::0.154) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6870_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.257::0.257) (0.308::0.308)) + (IOPATH A2 X (0.285::0.285) (0.329::0.330)) + (IOPATH B1 X (0.227::0.227) (0.279::0.279)) + (IOPATH B2 X (0.235::0.235) (0.257::0.257)) + (IOPATH C1 X (0.198::0.198) (0.138::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6871_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.323::0.323) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6872_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6873_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6874_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6875_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.235::0.235)) + (IOPATH A2 X (0.160::0.160) (0.219::0.220)) + (IOPATH B1 X (0.156::0.156) (0.185::0.185)) + (IOPATH B2 X (0.145::0.145) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6876_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.322::0.322) (0.366::0.366)) + (IOPATH A2 X (0.300::0.300) (0.329::0.329)) + (IOPATH B1 X (0.262::0.262) (0.308::0.308)) + (IOPATH B2 X (0.262::0.262) (0.276::0.276)) + (IOPATH C1 X (0.224::0.225) (0.153::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6877_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.375::0.375) (0.286::0.296)) + (IOPATH B X (0.281::0.282) (0.227::0.232)) + (IOPATH C X (0.296::0.297) (0.252::0.258)) + (IOPATH D X (0.308::0.308) (0.269::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6878_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.238) (0.184::0.190)) + (IOPATH B X (0.259::0.259) (0.219::0.226)) + (IOPATH C X (0.236::0.237) (0.214::0.218)) + (IOPATH D X (0.265::0.266) (0.247::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6879_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6880_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.218::0.218) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22oi_1") + (INSTANCE _6881_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.199::0.199) (0.119::0.119)) + (IOPATH A2 Y (0.207::0.207) (0.116::0.116)) + (IOPATH B1 Y (0.156::0.156) (0.083::0.083)) + (IOPATH B2 Y (0.173::0.173) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6882_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.353::0.353) (0.362::0.362)) + (IOPATH A2 X (0.377::0.377) (0.378::0.378)) + (IOPATH B1 X (0.377::0.377) (0.355::0.355)) + (IOPATH B2 X (0.371::0.371) (0.343::0.344)) + (IOPATH C1 X (0.329::0.333) (0.205::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6883_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.342::0.342) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6884_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6885_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6886_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.175::0.175) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6887_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.264::0.264) (0.285::0.285)) + (IOPATH A2 X (0.260::0.260) (0.286::0.286)) + (IOPATH B1 X (0.288::0.288) (0.283::0.283)) + (IOPATH B2 X (0.279::0.279) (0.279::0.279)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6888_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.358::0.358) (0.393::0.393)) + (IOPATH A2 X (0.300::0.300) (0.324::0.324)) + (IOPATH B1 X (0.289::0.289) (0.325::0.325)) + (IOPATH B2 X (0.323::0.323) (0.311::0.311)) + (IOPATH C1 X (0.300::0.300) (0.204::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6889_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.140::0.140) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6890_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6891_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6892_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6893_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.247::0.247)) + (IOPATH A2 X (0.190::0.190) (0.243::0.243)) + (IOPATH B1 X (0.163::0.163) (0.220::0.220)) + (IOPATH B2 X (0.154::0.154) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6894_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.240::0.240) (0.329::0.329)) + (IOPATH A2 X (0.270::0.270) (0.312::0.312)) + (IOPATH B1 X (0.235::0.235) (0.293::0.293)) + (IOPATH B2 X (0.263::0.263) (0.271::0.271)) + (IOPATH C1 X (0.196::0.196) (0.136::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6895_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6896_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6897_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.204::0.204) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6898_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141::0.141) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6899_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.197) (0.241::0.241)) + (IOPATH A2 X (0.169::0.169) (0.226::0.227)) + (IOPATH B1 X (0.169::0.169) (0.228::0.228)) + (IOPATH B2 X (0.147::0.148) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6900_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.243::0.243) (0.327::0.327)) + (IOPATH A2 X (0.263::0.263) (0.303::0.303)) + (IOPATH B1 X (0.230::0.230) (0.282::0.282)) + (IOPATH B2 X (0.250::0.250) (0.265::0.265)) + (IOPATH C1 X (0.202::0.203) (0.141::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6901_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.170::0.177)) + (IOPATH B X (0.197::0.198) (0.184::0.191)) + (IOPATH C X (0.181::0.181) (0.182::0.189)) + (IOPATH D X (0.187::0.187) (0.193::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _6902_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.181::0.181) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6903_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.143::0.143) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6904_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.239::0.239) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6905_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6906_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.245::0.245)) + (IOPATH A2 X (0.159::0.159) (0.219::0.219)) + (IOPATH B1 X (0.150::0.150) (0.205::0.205)) + (IOPATH B2 X (0.176::0.176) (0.203::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6907_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.306::0.306) (0.336::0.336)) + (IOPATH A2 X (0.295::0.295) (0.320::0.321)) + (IOPATH B1 X (0.296::0.296) (0.302::0.302)) + (IOPATH B2 X (0.308::0.308) (0.294::0.294)) + (IOPATH C1 X (0.249::0.249) (0.167::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6908_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6909_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.224::0.224) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6910_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.217::0.217) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _6911_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.356::0.356) (0.296::0.296)) + (IOPATH A2_N X (0.373::0.373) (0.301::0.301)) + (IOPATH B1 X (0.292::0.292) (0.392::0.392)) + (IOPATH B2 X (0.284::0.284) (0.393::0.393)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6912_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.255::0.255)) + (IOPATH A2 X (0.225::0.226) (0.281::0.282)) + (IOPATH B1 X (0.222::0.222) (0.243::0.243)) + (IOPATH B2 X (0.206::0.207) (0.226::0.227)) + (IOPATH C1 X (0.187::0.187) (0.131::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6913_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6914_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6915_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6916_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.083::0.083) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6917_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.233::0.233)) + (IOPATH A2 X (0.197::0.197) (0.266::0.266)) + (IOPATH B1 X (0.135::0.135) (0.172::0.172)) + (IOPATH B2 X (0.176::0.177) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6918_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.275::0.275) (0.338::0.338)) + (IOPATH A2 X (0.327::0.327) (0.353::0.353)) + (IOPATH B1 X (0.262::0.262) (0.299::0.299)) + (IOPATH B2 X (0.324::0.324) (0.319::0.320)) + (IOPATH C1 X (0.238::0.239) (0.160::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6919_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6920_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6921_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.176::0.176) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6922_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6923_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.270::0.270) (0.316::0.316)) + (IOPATH A2 X (0.284::0.284) (0.335::0.335)) + (IOPATH B1 X (0.234::0.234) (0.291::0.291)) + (IOPATH B2 X (0.228::0.228) (0.265::0.265)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6924_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.293::0.293)) + (IOPATH A2 X (0.245::0.245) (0.303::0.303)) + (IOPATH B1 X (0.198::0.198) (0.258::0.258)) + (IOPATH B2 X (0.241::0.241) (0.256::0.256)) + (IOPATH C1 X (0.191::0.191) (0.135::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _6925_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.164::0.171)) + (IOPATH B X (0.172::0.172) (0.161::0.166)) + (IOPATH C X (0.204::0.205) (0.201::0.208)) + (IOPATH D X (0.187::0.188) (0.189::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6926_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6927_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6928_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _6929_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.192::0.192) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6930_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.215::0.215)) + (IOPATH A2 X (0.160::0.160) (0.219::0.219)) + (IOPATH B1 X (0.190::0.190) (0.260::0.260)) + (IOPATH B2 X (0.169::0.170) (0.197::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6931_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.247::0.247) (0.321::0.321)) + (IOPATH A2 X (0.279::0.279) (0.314::0.314)) + (IOPATH B1 X (0.244::0.244) (0.294::0.294)) + (IOPATH B2 X (0.243::0.243) (0.263::0.263)) + (IOPATH C1 X (0.210::0.210) (0.145::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6932_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.190::0.190) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6933_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6934_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6935_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.144) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6936_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.231::0.231) (0.285::0.285)) + (IOPATH A2 X (0.235::0.236) (0.271::0.272)) + (IOPATH B1 X (0.239::0.239) (0.282::0.282)) + (IOPATH B2 X (0.241::0.241) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6937_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.212::0.212) (0.273::0.273)) + (IOPATH A2 X (0.198::0.198) (0.257::0.257)) + (IOPATH B1 X (0.207::0.207) (0.233::0.233)) + (IOPATH B2 X (0.218::0.218) (0.234::0.234)) + (IOPATH C1 X (0.182::0.182) (0.127::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6938_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.168::0.168) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6939_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6940_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.144) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6941_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.134) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6942_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.212::0.212) (0.285::0.285)) + (IOPATH A2 X (0.206::0.206) (0.253::0.254)) + (IOPATH B1 X (0.208::0.208) (0.227::0.227)) + (IOPATH B2 X (0.201::0.201) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _6943_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.203::0.203) (0.266::0.266)) + (IOPATH A2 X (0.206::0.206) (0.261::0.261)) + (IOPATH B1 X (0.197::0.197) (0.227::0.227)) + (IOPATH B2 X (0.223::0.223) (0.238::0.239)) + (IOPATH C1 X (0.169::0.169) (0.117::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _6944_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.149::0.156)) + (IOPATH B X (0.144::0.145) (0.153::0.158)) + (IOPATH C X (0.149::0.150) (0.168::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_2") + (INSTANCE _6945_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.417::0.417) (0.310::0.312)) + (IOPATH B X (0.426::0.426) (0.335::0.337)) + (IOPATH C X (0.430::0.430) (0.350::0.351)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _6946_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.291::0.294) (0.344::0.344)) + (IOPATH B Y (0.327::0.330) (0.349::0.349)) + (IOPATH C Y (0.388::0.390) (0.402::0.402)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6947_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.212::0.212)) + (IOPATH A2 X (0.181::0.186) (0.337::0.341)) + (IOPATH B1 X (0.146::0.146) (0.174::0.174)) + (IOPATH B2 X (0.125::0.125) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6948_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.217::0.224) (0.358::0.360)) + (IOPATH A2 X (0.140::0.140) (0.202::0.202)) + (IOPATH B1 X (0.163::0.163) (0.188::0.188)) + (IOPATH B2 X (0.129::0.129) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6949_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.067) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _6950_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.290::0.290)) + (IOPATH B X (0.158::0.158) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _6951_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _6952_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6953_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.233::0.244) (0.425::0.425)) + (IOPATH A2 X (0.152::0.152) (0.204::0.204)) + (IOPATH B1 X (0.150::0.150) (0.179::0.179)) + (IOPATH B2 X (0.128::0.128) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6954_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.209::0.220) (0.379::0.380)) + (IOPATH A2 X (0.150::0.150) (0.203::0.203)) + (IOPATH B1 X (0.150::0.150) (0.178::0.178)) + (IOPATH B2 X (0.126::0.126) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6955_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.224) (0.384::0.385)) + (IOPATH A2 X (0.153::0.153) (0.205::0.205)) + (IOPATH B1 X (0.151::0.151) (0.180::0.180)) + (IOPATH B2 X (0.129::0.129) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6956_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.249::0.258) (0.471::0.472)) + (IOPATH A2 X (0.155::0.155) (0.225::0.225)) + (IOPATH B1 X (0.151::0.151) (0.197::0.197)) + (IOPATH B2 X (0.130::0.130) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6957_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.205) (0.345::0.345)) + (IOPATH A2 X (0.147::0.147) (0.200::0.200)) + (IOPATH B1 X (0.149::0.149) (0.177::0.177)) + (IOPATH B2 X (0.123::0.123) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6958_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.207) (0.323::0.324)) + (IOPATH A2 X (0.151::0.151) (0.204::0.204)) + (IOPATH B1 X (0.145::0.145) (0.175::0.175)) + (IOPATH B2 X (0.127::0.127) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6959_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.211) (0.332::0.335)) + (IOPATH A2 X (0.151::0.151) (0.203::0.203)) + (IOPATH B1 X (0.152::0.152) (0.180::0.180)) + (IOPATH B2 X (0.127::0.127) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6960_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.221) (0.355::0.357)) + (IOPATH A2 X (0.149::0.149) (0.202::0.202)) + (IOPATH B1 X (0.149::0.149) (0.177::0.177)) + (IOPATH B2 X (0.126::0.126) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6961_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.083::0.083) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _6962_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.393::0.393)) + (IOPATH B X (0.202::0.202) (0.358::0.358)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _6963_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.259::0.259) (0.237::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6964_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6965_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.227::0.238) (0.420::0.420)) + (IOPATH A2 X (0.144::0.144) (0.209::0.209)) + (IOPATH B1 X (0.126::0.126) (0.163::0.163)) + (IOPATH B2 X (0.137::0.137) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6966_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.206::0.216) (0.376::0.376)) + (IOPATH A2 X (0.144::0.144) (0.209::0.209)) + (IOPATH B1 X (0.124::0.124) (0.162::0.162)) + (IOPATH B2 X (0.137::0.137) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6967_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.209::0.219) (0.379::0.379)) + (IOPATH A2 X (0.145::0.145) (0.210::0.210)) + (IOPATH B1 X (0.126::0.126) (0.164::0.164)) + (IOPATH B2 X (0.138::0.138) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6968_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.247::0.256) (0.470::0.470)) + (IOPATH A2 X (0.150::0.150) (0.233::0.233)) + (IOPATH B1 X (0.134::0.134) (0.188::0.188)) + (IOPATH B2 X (0.145::0.145) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6969_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.195::0.205) (0.345::0.345)) + (IOPATH A2 X (0.144::0.144) (0.209::0.209)) + (IOPATH B1 X (0.133::0.133) (0.167::0.167)) + (IOPATH B2 X (0.137::0.137) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6970_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.203) (0.319::0.320)) + (IOPATH A2 X (0.145::0.145) (0.210::0.210)) + (IOPATH B1 X (0.137::0.137) (0.169::0.169)) + (IOPATH B2 X (0.138::0.138) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6971_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.222::0.228) (0.345::0.349)) + (IOPATH A2 X (0.164::0.164) (0.226::0.226)) + (IOPATH B1 X (0.170::0.170) (0.197::0.197)) + (IOPATH B2 X (0.157::0.157) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6972_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.212::0.219) (0.353::0.355)) + (IOPATH A2 X (0.144::0.144) (0.210::0.210)) + (IOPATH B1 X (0.144::0.144) (0.173::0.173)) + (IOPATH B2 X (0.137::0.137) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6973_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.070) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _6974_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.279::0.279)) + (IOPATH B X (0.185::0.185) (0.279::0.279)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _6975_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.238::0.238) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6976_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.157::0.157) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6977_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.231::0.242) (0.423::0.423)) + (IOPATH A2 X (0.141::0.141) (0.205::0.205)) + (IOPATH B1 X (0.133::0.133) (0.169::0.169)) + (IOPATH B2 X (0.138::0.138) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6978_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.204::0.215) (0.374::0.375)) + (IOPATH A2 X (0.137::0.137) (0.200::0.200)) + (IOPATH B1 X (0.141::0.141) (0.170::0.170)) + (IOPATH B2 X (0.134::0.134) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6979_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.217) (0.377::0.378)) + (IOPATH A2 X (0.137::0.137) (0.201::0.201)) + (IOPATH B1 X (0.145::0.145) (0.173::0.173)) + (IOPATH B2 X (0.134::0.134) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _6980_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.247::0.256) (0.470::0.470)) + (IOPATH A2 X (0.144::0.144) (0.226::0.226)) + (IOPATH B1 X (0.141::0.141) (0.191::0.191)) + (IOPATH B2 X (0.143::0.143) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6981_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.206) (0.346::0.346)) + (IOPATH A2 X (0.139::0.139) (0.203::0.203)) + (IOPATH B1 X (0.128::0.128) (0.165::0.165)) + (IOPATH B2 X (0.136::0.136) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6982_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.203) (0.319::0.320)) + (IOPATH A2 X (0.139::0.139) (0.202::0.202)) + (IOPATH B1 X (0.124::0.124) (0.162::0.162)) + (IOPATH B2 X (0.136::0.136) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6983_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.208) (0.329::0.333)) + (IOPATH A2 X (0.139::0.139) (0.203::0.203)) + (IOPATH B1 X (0.141::0.141) (0.171::0.171)) + (IOPATH B2 X (0.136::0.136) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _6984_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.220) (0.354::0.356)) + (IOPATH A2 X (0.140::0.140) (0.203::0.203)) + (IOPATH B1 X (0.135::0.135) (0.169::0.169)) + (IOPATH B2 X (0.137::0.137) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_4") + (INSTANCE _6985_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.521::0.521) (0.213::0.213)) + (IOPATH A2 Y (0.476::0.476) (0.173::0.173)) + (IOPATH B1 Y (0.256::0.256) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _6986_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.129::0.129) (0.087::0.087)) + (IOPATH A2 Y (0.164::0.167) (0.087::0.100)) + (IOPATH B1 Y (0.074::0.074) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _6987_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.124::0.124) (0.071::0.071)) + (IOPATH A2 Y (0.166::0.169) (0.088::0.102)) + (IOPATH B1 Y (0.060::0.060) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _6988_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.133::0.133) (0.074::0.074)) + (IOPATH A2 Y (0.175::0.178) (0.095::0.111)) + (IOPATH B1 Y (0.069::0.069) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _6989_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.126::0.126) (0.072::0.072)) + (IOPATH A2 Y (0.167::0.170) (0.089::0.102)) + (IOPATH B1 Y (0.060::0.060) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _6990_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.190::0.190)) + (IOPATH A2 X (0.145::0.145) (0.203::0.203)) + (IOPATH A3 X (0.145::0.145) (0.214::0.214)) + (IOPATH B1 X (0.112::0.112) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6991_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") + (INSTANCE _6992_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.263::0.263) (0.297::0.297)) + (IOPATH A2_N X (0.287::0.287) (0.293::0.293)) + (IOPATH B1 X (0.163::0.163) (0.291::0.291)) + (IOPATH B2 X (0.127::0.127) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _6993_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.230::0.230) (0.368::0.368)) + (IOPATH A2 X (0.146::0.147) (0.346::0.347)) + (IOPATH B1 X (0.214::0.214) (0.348::0.348)) + (IOPATH B2 X (0.149::0.149) (0.343::0.344)) + (IOPATH C1 X (0.133::0.133) (0.277::0.277)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _6994_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.298::0.298)) + (IOPATH B X (0.215::0.215) (0.297::0.297)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _6995_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.449::0.449)) + (IOPATH B X (0.184::0.184) (0.417::0.417)) + (IOPATH C X (0.201::0.201) (0.400::0.400)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _6996_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.237::0.237) (0.091::0.091)) + (IOPATH B Y (0.226::0.226) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _6997_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.442::0.442)) + (IOPATH B X (0.167::0.167) (0.407::0.409)) + (IOPATH C X (0.173::0.173) (0.392::0.392)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _6998_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.180::0.180) (0.089::0.089)) + (IOPATH A2 Y (0.161::0.161) (0.075::0.075)) + (IOPATH B1 Y (0.152::0.153) (0.133::0.133)) + (IOPATH B2 Y (0.151::0.151) (0.087::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _6999_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.063) (0.035::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _7000_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.145::0.145) (0.216::0.216)) + (IOPATH A2 X (0.140::0.140) (0.261::0.261)) + (IOPATH B1 X (0.157::0.157) (0.176::0.177)) + (IOPATH B2 X (0.107::0.108) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7001_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.229::0.229)) + (IOPATH B X (0.099::0.099) (0.199::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7002_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.086::0.086) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4bb_1") + (INSTANCE _7003_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.289::0.291) (0.220::0.220)) + (IOPATH B_N X (0.294::0.298) (0.249::0.249)) + (IOPATH C X (0.235::0.235) (0.243::0.243)) + (IOPATH D X (0.226::0.227) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _7004_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.182::0.182) (0.365::0.365)) + (IOPATH A2 X (0.200::0.200) (0.365::0.365)) + (IOPATH A3 X (0.176::0.177) (0.317::0.317)) + (IOPATH B1 X (0.180::0.180) (0.210::0.210)) + (IOPATH B2 X (0.174::0.174) (0.181::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7005_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.049::0.051) (0.033::0.033)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _7006_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.190::0.190)) + (IOPATH A2 X (0.150::0.150) (0.225::0.225)) + (IOPATH A3 X (0.171::0.171) (0.238::0.238)) + (IOPATH B1 X (0.126::0.126) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _7007_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.214::0.214)) + (IOPATH A2 X (0.160::0.160) (0.242::0.242)) + (IOPATH A3 X (0.168::0.168) (0.252::0.253)) + (IOPATH B1 X (0.182::0.182) (0.260::0.260)) + (IOPATH B2 X (0.146::0.146) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7008_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.231::0.231)) + (IOPATH B X (0.101::0.102) (0.206::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _7009_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.251::0.251)) + (IOPATH A2 X (0.136::0.136) (0.238::0.238)) + (IOPATH B1 X (0.138::0.138) (0.187::0.187)) + (IOPATH B2 X (0.109::0.109) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7010_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.065::0.065)) + (IOPATH B Y (0.125::0.125) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7011_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.137::0.137) (0.074::0.074)) + (IOPATH B Y (0.136::0.136) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _7012_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.116) (0.236::0.236)) + (IOPATH B_N X (0.176::0.176) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7013_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.127) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7014_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.045::0.045) (0.035::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7015_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.245::0.245)) + (IOPATH B X (0.128::0.128) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7016_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7017_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.043::0.043) (0.033::0.033)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE _7018_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.233::0.233) (0.210::0.210)) + (IOPATH B X (0.139::0.139) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7019_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE _7020_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.242::0.242) (0.217::0.217)) + (IOPATH B X (0.165::0.165) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE _7021_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.265) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _7022_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.050::0.050)) + (IOPATH B Y (0.215::0.215) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7023_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.310::0.310)) + (IOPATH B X (0.221::0.221) (0.293::0.293)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7024_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.584::0.584)) + (IOPATH B X (0.198::0.198) (0.571::0.571)) + (IOPATH C X (0.184::0.184) (0.541::0.541)) + (IOPATH D X (0.167::0.167) (0.465::0.465)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE _7025_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.228::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7026_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.245::0.245)) + (IOPATH B X (0.134::0.134) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7027_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7028_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.308::0.308)) + (IOPATH B X (0.216::0.216) (0.297::0.297)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7029_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.266::0.266)) + (IOPATH B X (0.153::0.153) (0.250::0.250)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7030_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7031_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.286::0.287)) + (IOPATH B X (0.173::0.173) (0.268::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7032_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.265::0.265)) + (IOPATH B X (0.138::0.138) (0.238::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7033_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7034_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.284::0.284)) + (IOPATH B X (0.171::0.171) (0.267::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7035_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.137) (0.255::0.255)) + (IOPATH B X (0.147::0.147) (0.246::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7036_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7037_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.382::0.382)) + (IOPATH B X (0.232::0.232) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7038_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.582::0.582)) + (IOPATH B X (0.194::0.194) (0.569::0.569)) + (IOPATH C X (0.178::0.178) (0.543::0.543)) + (IOPATH D X (0.169::0.169) (0.465::0.465)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7039_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.234) (0.209::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7040_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.165::0.165)) + (IOPATH B X (0.221::0.221) (0.197::0.197)) + (IOPATH C X (0.205::0.205) (0.197::0.197)) + (IOPATH D X (0.214::0.214) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _7041_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.406::0.406) (0.420::0.420)) + (IOPATH B X (0.398::0.398) (0.397::0.397)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7042_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.407::0.408)) + (IOPATH B X (0.152::0.152) (0.388::0.388)) + (IOPATH C X (0.143::0.143) (0.340::0.340)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7043_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.223) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7044_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.263::0.263)) + (IOPATH B X (0.138::0.138) (0.239::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7045_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.218::0.218) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7046_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.421::0.421)) + (IOPATH B X (0.168::0.168) (0.403::0.403)) + (IOPATH C X (0.159::0.159) (0.355::0.355)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE _7047_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7048_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.256::0.256) (0.217::0.217)) + (IOPATH B X (0.224::0.224) (0.196::0.196)) + (IOPATH C X (0.219::0.219) (0.208::0.208)) + (IOPATH D X (0.212::0.212) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7049_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.423::0.423)) + (IOPATH B X (0.165::0.166) (0.405::0.405)) + (IOPATH C X (0.162::0.162) (0.361::0.361)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7050_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7051_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.467::0.467)) + (IOPATH B X (0.204::0.204) (0.435::0.435)) + (IOPATH C X (0.222::0.222) (0.420::0.421)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7052_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.264::0.264)) + (IOPATH B X (0.156::0.156) (0.265::0.265)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7053_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7054_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.260::0.260)) + (IOPATH B X (0.134::0.134) (0.234::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7055_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.177::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _7056_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.742::0.742)) + (IOPATH B X (0.166::0.166) (0.716::0.716)) + (IOPATH C X (0.198::0.198) (0.692::0.692)) + (IOPATH D X (0.207::0.207) (0.627::0.627)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7057_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.267::0.267)) + (IOPATH B X (0.135::0.135) (0.267::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7058_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7059_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.172::0.172)) + (IOPATH B X (0.215::0.215) (0.191::0.191)) + (IOPATH C X (0.208::0.208) (0.200::0.200)) + (IOPATH D X (0.212::0.212) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7060_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.418::0.418)) + (IOPATH B X (0.168::0.168) (0.405::0.405)) + (IOPATH C X (0.159::0.159) (0.358::0.358)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7061_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.207) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7062_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.270::0.270)) + (IOPATH B X (0.141::0.142) (0.273::0.273)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7063_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7064_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.264::0.264)) + (IOPATH B X (0.140::0.140) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7065_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7066_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.575::0.575)) + (IOPATH B X (0.192::0.192) (0.562::0.562)) + (IOPATH C X (0.164::0.164) (0.528::0.528)) + (IOPATH D X (0.166::0.166) (0.458::0.458)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE _7067_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.229) (0.237::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7068_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.194) (0.157::0.157)) + (IOPATH B X (0.207::0.207) (0.185::0.185)) + (IOPATH C X (0.210::0.210) (0.203::0.203)) + (IOPATH D X (0.202::0.202) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7069_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.254::0.254)) + (IOPATH B X (0.141::0.141) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7070_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7071_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.288::0.288)) + (IOPATH B X (0.167::0.167) (0.262::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7072_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7073_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.417::0.417)) + (IOPATH B X (0.162::0.162) (0.399::0.399)) + (IOPATH C X (0.157::0.157) (0.355::0.355)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7074_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7075_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.267::0.267)) + (IOPATH B X (0.157::0.157) (0.254::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7076_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7077_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.169::0.169)) + (IOPATH B X (0.223::0.223) (0.197::0.197)) + (IOPATH C X (0.217::0.217) (0.206::0.206)) + (IOPATH D X (0.218::0.218) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7078_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.163) (0.138::0.140)) + (IOPATH B X (0.170::0.170) (0.160::0.162)) + (IOPATH C X (0.176::0.176) (0.174::0.176)) + (IOPATH D X (0.184::0.184) (0.185::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _7079_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.331::0.331) (0.248::0.248)) + (IOPATH B X (0.333::0.333) (0.285::0.285)) + (IOPATH C X (0.309::0.309) (0.270::0.272)) + (IOPATH D X (0.315::0.315) (0.280::0.283)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7080_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.181::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7081_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.413::0.413)) + (IOPATH B X (0.159::0.159) (0.396::0.396)) + (IOPATH C X (0.160::0.160) (0.347::0.347)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7082_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7083_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.422::0.422)) + (IOPATH B X (0.164::0.165) (0.403::0.403)) + (IOPATH C X (0.167::0.167) (0.355::0.355)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7084_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7085_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.267::0.267)) + (IOPATH B X (0.163::0.163) (0.271::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7086_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7087_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.266::0.266)) + (IOPATH B X (0.140::0.141) (0.272::0.273)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7088_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7089_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.193) (0.238::0.238)) + (IOPATH A2 X (0.157::0.157) (0.209::0.209)) + (IOPATH B1 X (0.145::0.145) (0.199::0.199)) + (IOPATH B2 X (0.151::0.151) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7090_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.198::0.198) (0.288::0.288)) + (IOPATH A2 X (0.210::0.210) (0.263::0.263)) + (IOPATH B1 X (0.178::0.178) (0.218::0.218)) + (IOPATH B2 X (0.208::0.208) (0.226::0.226)) + (IOPATH C1 X (0.156::0.156) (0.108::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7091_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.228::0.228) (0.318::0.318)) + (IOPATH A2 X (0.229::0.229) (0.275::0.275)) + (IOPATH B1 X (0.246::0.246) (0.264::0.264)) + (IOPATH B2 X (0.232::0.232) (0.243::0.243)) + (IOPATH C1 X (0.181::0.181) (0.122::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7092_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.206::0.206) (0.248::0.248)) + (IOPATH A2 X (0.187::0.187) (0.229::0.229)) + (IOPATH B1 X (0.187::0.187) (0.243::0.243)) + (IOPATH B2 X (0.180::0.180) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7093_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.290::0.290) (0.356::0.356)) + (IOPATH A2 X (0.301::0.301) (0.316::0.316)) + (IOPATH B1 X (0.316::0.316) (0.315::0.315)) + (IOPATH B2 X (0.300::0.300) (0.288::0.288)) + (IOPATH C1 X (0.256::0.256) (0.173::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7094_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.274::0.274)) + (IOPATH B X (0.165::0.165) (0.270::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7095_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.253::0.253) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7096_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.266::0.266)) + (IOPATH B X (0.155::0.155) (0.262::0.263)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7097_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.293::0.293) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7098_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.573::0.573)) + (IOPATH B X (0.188::0.188) (0.561::0.561)) + (IOPATH C X (0.161::0.161) (0.526::0.526)) + (IOPATH D X (0.169::0.169) (0.452::0.452)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7099_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7100_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.234::0.234)) + (IOPATH A2 X (0.185::0.185) (0.235::0.235)) + (IOPATH B1 X (0.188::0.188) (0.208::0.208)) + (IOPATH B2 X (0.158::0.158) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7101_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.197) (0.285::0.285)) + (IOPATH A2 X (0.227::0.227) (0.275::0.275)) + (IOPATH B1 X (0.192::0.192) (0.251::0.251)) + (IOPATH B2 X (0.202::0.202) (0.231::0.231)) + (IOPATH C1 X (0.160::0.160) (0.111::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7102_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.221::0.221) (0.258::0.258)) + (IOPATH A2 X (0.180::0.180) (0.243::0.243)) + (IOPATH B1 X (0.168::0.168) (0.220::0.220)) + (IOPATH B2 X (0.188::0.188) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7103_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.285::0.285)) + (IOPATH A2 X (0.223::0.223) (0.271::0.271)) + (IOPATH B1 X (0.244::0.244) (0.263::0.263)) + (IOPATH B2 X (0.223::0.223) (0.238::0.238)) + (IOPATH C1 X (0.174::0.175) (0.122::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7104_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.401::0.401)) + (IOPATH B X (0.153::0.153) (0.388::0.388)) + (IOPATH C X (0.150::0.150) (0.336::0.336)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7105_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.192::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7106_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.286::0.286) (0.300::0.300)) + (IOPATH A2 X (0.260::0.260) (0.278::0.278)) + (IOPATH B1 X (0.277::0.277) (0.316::0.316)) + (IOPATH B2 X (0.260::0.260) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7107_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.262::0.262)) + (IOPATH A2 X (0.193::0.193) (0.255::0.255)) + (IOPATH B1 X (0.177::0.177) (0.226::0.226)) + (IOPATH B2 X (0.217::0.217) (0.229::0.229)) + (IOPATH C1 X (0.194::0.195) (0.137::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7108_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.172::0.179)) + (IOPATH B X (0.192::0.192) (0.175::0.180)) + (IOPATH C X (0.199::0.200) (0.191::0.196)) + (IOPATH D X (0.201::0.202) (0.197::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7109_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.261::0.261)) + (IOPATH B X (0.139::0.139) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7110_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7111_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.587::0.587)) + (IOPATH B X (0.198::0.198) (0.574::0.574)) + (IOPATH C X (0.184::0.185) (0.544::0.544)) + (IOPATH D X (0.178::0.178) (0.465::0.465)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7112_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.198::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7113_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.226::0.226)) + (IOPATH A2 X (0.160::0.160) (0.213::0.213)) + (IOPATH B1 X (0.172::0.172) (0.195::0.195)) + (IOPATH B2 X (0.159::0.159) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7114_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.299::0.299)) + (IOPATH A2 X (0.222::0.222) (0.268::0.268)) + (IOPATH B1 X (0.222::0.222) (0.289::0.289)) + (IOPATH B2 X (0.219::0.219) (0.236::0.236)) + (IOPATH C1 X (0.164::0.164) (0.115::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7115_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.250::0.250)) + (IOPATH B X (0.124::0.124) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7116_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7117_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.580::0.580)) + (IOPATH B X (0.193::0.193) (0.567::0.567)) + (IOPATH C X (0.176::0.176) (0.541::0.541)) + (IOPATH D X (0.173::0.173) (0.458::0.458)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7118_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.207::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7119_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.238::0.238)) + (IOPATH A2 X (0.164::0.164) (0.214::0.214)) + (IOPATH B1 X (0.203::0.203) (0.223::0.223)) + (IOPATH B2 X (0.155::0.155) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7120_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.235::0.235) (0.321::0.321)) + (IOPATH A2 X (0.246::0.246) (0.283::0.283)) + (IOPATH B1 X (0.249::0.249) (0.269::0.269)) + (IOPATH B2 X (0.248::0.248) (0.251::0.251)) + (IOPATH C1 X (0.192::0.193) (0.134::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7121_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.253::0.253)) + (IOPATH B X (0.156::0.156) (0.262::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7122_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.262::0.262) (0.327::0.327)) + (IOPATH A2 X (0.235::0.235) (0.278::0.278)) + (IOPATH B1 X (0.267::0.267) (0.285::0.285)) + (IOPATH B2 X (0.231::0.231) (0.241::0.241)) + (IOPATH C1 X (0.174::0.176) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7123_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.262::0.262)) + (IOPATH B X (0.154::0.154) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7124_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.218::0.218) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7125_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.164) (0.422::0.422)) + (IOPATH B X (0.166::0.166) (0.403::0.403)) + (IOPATH C X (0.163::0.163) (0.351::0.351)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7126_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7127_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.422::0.422)) + (IOPATH B X (0.165::0.165) (0.402::0.402)) + (IOPATH C X (0.162::0.162) (0.350::0.350)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7128_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.176::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7129_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.280::0.280) (0.359::0.359)) + (IOPATH A2 X (0.241::0.241) (0.268::0.268)) + (IOPATH B1 X (0.316::0.316) (0.331::0.331)) + (IOPATH B2 X (0.241::0.241) (0.257::0.257)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7130_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.257::0.257) (0.324::0.324)) + (IOPATH A2 X (0.210::0.210) (0.259::0.259)) + (IOPATH B1 X (0.194::0.194) (0.227::0.227)) + (IOPATH B2 X (0.208::0.208) (0.220::0.220)) + (IOPATH C1 X (0.189::0.190) (0.132::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7131_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.169::0.174)) + (IOPATH B X (0.233::0.233) (0.202::0.208)) + (IOPATH C X (0.233::0.234) (0.213::0.219)) + (IOPATH D X (0.234::0.234) (0.218::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_4") + (INSTANCE _7132_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.366::0.367) (0.240::0.246)) + (IOPATH B X (0.371::0.371) (0.260::0.262)) + (IOPATH C X (0.381::0.381) (0.279::0.282)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7133_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.132::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7134_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.174::0.174) (0.224::0.224)) + (IOPATH A2 X (0.158::0.158) (0.211::0.211)) + (IOPATH B1 X (0.145::0.145) (0.200::0.200)) + (IOPATH B2 X (0.152::0.152) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7135_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.198::0.198) (0.288::0.288)) + (IOPATH A2 X (0.210::0.210) (0.263::0.263)) + (IOPATH B1 X (0.178::0.178) (0.218::0.218)) + (IOPATH B2 X (0.208::0.208) (0.226::0.226)) + (IOPATH C1 X (0.156::0.156) (0.108::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7136_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.283::0.283) (0.349::0.349)) + (IOPATH A2 X (0.291::0.291) (0.313::0.313)) + (IOPATH B1 X (0.278::0.278) (0.317::0.317)) + (IOPATH B2 X (0.294::0.294) (0.286::0.286)) + (IOPATH C1 X (0.242::0.242) (0.158::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7137_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.239::0.239)) + (IOPATH A2 X (0.159::0.159) (0.208::0.208)) + (IOPATH B1 X (0.169::0.169) (0.192::0.192)) + (IOPATH B2 X (0.152::0.152) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7138_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.336::0.336) (0.353::0.353)) + (IOPATH A2 X (0.335::0.335) (0.336::0.336)) + (IOPATH B1 X (0.339::0.339) (0.329::0.329)) + (IOPATH B2 X (0.334::0.334) (0.310::0.310)) + (IOPATH C1 X (0.279::0.279) (0.183::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7139_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.175::0.175) (0.224::0.224)) + (IOPATH A2 X (0.184::0.184) (0.235::0.235)) + (IOPATH B1 X (0.197::0.197) (0.217::0.217)) + (IOPATH B2 X (0.158::0.158) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7140_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.211::0.211) (0.273::0.273)) + (IOPATH A2 X (0.217::0.217) (0.267::0.267)) + (IOPATH B1 X (0.198::0.198) (0.229::0.229)) + (IOPATH B2 X (0.192::0.192) (0.222::0.222)) + (IOPATH C1 X (0.150::0.150) (0.104::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7141_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.268::0.268) (0.296::0.296)) + (IOPATH A2 X (0.214::0.214) (0.266::0.266)) + (IOPATH B1 X (0.205::0.205) (0.251::0.251)) + (IOPATH B2 X (0.221::0.221) (0.234::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7142_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.294::0.294)) + (IOPATH A2 X (0.244::0.244) (0.286::0.286)) + (IOPATH B1 X (0.217::0.217) (0.272::0.272)) + (IOPATH B2 X (0.243::0.243) (0.255::0.255)) + (IOPATH C1 X (0.209::0.210) (0.146::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7143_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.286::0.286) (0.330::0.330)) + (IOPATH A2 X (0.280::0.280) (0.290::0.290)) + (IOPATH B1 X (0.303::0.303) (0.292::0.292)) + (IOPATH B2 X (0.279::0.279) (0.269::0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7144_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.264::0.264)) + (IOPATH A2 X (0.188::0.188) (0.250::0.250)) + (IOPATH B1 X (0.177::0.177) (0.219::0.219)) + (IOPATH B2 X (0.211::0.211) (0.225::0.225)) + (IOPATH C1 X (0.197::0.197) (0.139::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7145_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.269::0.269) (0.205::0.213)) + (IOPATH B X (0.231::0.231) (0.195::0.200)) + (IOPATH C X (0.247::0.247) (0.221::0.227)) + (IOPATH D X (0.242::0.243) (0.220::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7146_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.246::0.246)) + (IOPATH A2 X (0.159::0.159) (0.212::0.212)) + (IOPATH B1 X (0.161::0.161) (0.221::0.221)) + (IOPATH B2 X (0.159::0.159) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7147_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.288::0.288)) + (IOPATH A2 X (0.213::0.213) (0.261::0.261)) + (IOPATH B1 X (0.204::0.204) (0.268::0.268)) + (IOPATH B2 X (0.210::0.210) (0.228::0.228)) + (IOPATH C1 X (0.155::0.155) (0.108::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7148_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.236::0.236)) + (IOPATH A2 X (0.162::0.162) (0.211::0.211)) + (IOPATH B1 X (0.147::0.147) (0.202::0.202)) + (IOPATH B2 X (0.153::0.153) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7149_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.297::0.297)) + (IOPATH A2 X (0.221::0.221) (0.265::0.265)) + (IOPATH B1 X (0.243::0.243) (0.261::0.261)) + (IOPATH B2 X (0.223::0.223) (0.231::0.231)) + (IOPATH C1 X (0.167::0.167) (0.116::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7150_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.270::0.270)) + (IOPATH B X (0.174::0.174) (0.280::0.280)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7151_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.223::0.223) (0.320::0.320)) + (IOPATH A2 X (0.226::0.226) (0.271::0.271)) + (IOPATH B1 X (0.201::0.201) (0.259::0.259)) + (IOPATH B2 X (0.223::0.223) (0.234::0.234)) + (IOPATH C1 X (0.173::0.174) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7152_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.241::0.241)) + (IOPATH A2 X (0.169::0.169) (0.223::0.223)) + (IOPATH B1 X (0.198::0.198) (0.213::0.213)) + (IOPATH B2 X (0.169::0.169) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7153_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.278::0.278) (0.338::0.338)) + (IOPATH A2 X (0.232::0.232) (0.275::0.275)) + (IOPATH B1 X (0.215::0.215) (0.272::0.272)) + (IOPATH B2 X (0.230::0.230) (0.239::0.239)) + (IOPATH C1 X (0.180::0.181) (0.125::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7154_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.275::0.276) (0.200::0.204)) + (IOPATH B X (0.290::0.290) (0.229::0.234)) + (IOPATH C X (0.296::0.297) (0.245::0.250)) + (IOPATH D X (0.304::0.305) (0.258::0.264)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7155_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.209::0.216) (0.252::0.253)) + (IOPATH B Y (0.229::0.232) (0.245::0.245)) + (IOPATH C Y (0.247::0.249) (0.260::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7156_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.182::0.182) (0.230::0.230)) + (IOPATH A2 X (0.162::0.162) (0.214::0.214)) + (IOPATH B1 X (0.170::0.170) (0.193::0.193)) + (IOPATH B2 X (0.155::0.155) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7157_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.199::0.199) (0.290::0.290)) + (IOPATH A2 X (0.209::0.209) (0.262::0.262)) + (IOPATH B1 X (0.196::0.196) (0.259::0.259)) + (IOPATH B2 X (0.207::0.207) (0.225::0.225)) + (IOPATH C1 X (0.156::0.156) (0.109::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7158_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.316::0.316) (0.365::0.365)) + (IOPATH A2 X (0.327::0.327) (0.334::0.334)) + (IOPATH B1 X (0.353::0.353) (0.337::0.337)) + (IOPATH B2 X (0.331::0.331) (0.310::0.310)) + (IOPATH C1 X (0.278::0.278) (0.178::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7159_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.184::0.184) (0.231::0.231)) + (IOPATH A2 X (0.159::0.159) (0.208::0.208)) + (IOPATH B1 X (0.156::0.156) (0.213::0.213)) + (IOPATH B2 X (0.153::0.153) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7160_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.353::0.353) (0.366::0.366)) + (IOPATH A2 X (0.342::0.342) (0.340::0.340)) + (IOPATH B1 X (0.330::0.330) (0.357::0.357)) + (IOPATH B2 X (0.341::0.341) (0.314::0.314)) + (IOPATH C1 X (0.286::0.287) (0.187::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7161_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.239::0.239)) + (IOPATH A2 X (0.187::0.187) (0.236::0.236)) + (IOPATH B1 X (0.167::0.167) (0.192::0.192)) + (IOPATH B2 X (0.160::0.160) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7162_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.283::0.283)) + (IOPATH A2 X (0.222::0.222) (0.272::0.272)) + (IOPATH B1 X (0.194::0.194) (0.255::0.255)) + (IOPATH B2 X (0.197::0.197) (0.226::0.226)) + (IOPATH C1 X (0.156::0.156) (0.109::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7163_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.227::0.227) (0.284::0.284)) + (IOPATH A2 X (0.228::0.228) (0.274::0.274)) + (IOPATH B1 X (0.217::0.217) (0.257::0.257)) + (IOPATH B2 X (0.236::0.236) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7164_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.203::0.203) (0.265::0.265)) + (IOPATH A2 X (0.206::0.206) (0.257::0.257)) + (IOPATH B1 X (0.207::0.207) (0.233::0.233)) + (IOPATH B2 X (0.205::0.205) (0.223::0.223)) + (IOPATH C1 X (0.178::0.178) (0.124::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7165_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.292::0.292) (0.333::0.333)) + (IOPATH A2 X (0.284::0.284) (0.292::0.292)) + (IOPATH B1 X (0.304::0.304) (0.293::0.293)) + (IOPATH B2 X (0.284::0.284) (0.272::0.272)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7166_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.276::0.276)) + (IOPATH A2 X (0.202::0.202) (0.262::0.262)) + (IOPATH B1 X (0.250::0.250) (0.274::0.274)) + (IOPATH B2 X (0.226::0.226) (0.238::0.238)) + (IOPATH C1 X (0.213::0.213) (0.151::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7167_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.265) (0.204::0.212)) + (IOPATH B X (0.226::0.226) (0.193::0.199)) + (IOPATH C X (0.230::0.231) (0.207::0.212)) + (IOPATH D X (0.239::0.240) (0.221::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7168_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.180::0.180) (0.229::0.229)) + (IOPATH A2 X (0.161::0.161) (0.213::0.213)) + (IOPATH B1 X (0.184::0.184) (0.204::0.204)) + (IOPATH B2 X (0.161::0.161) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7169_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.292::0.292)) + (IOPATH A2 X (0.220::0.220) (0.266::0.266)) + (IOPATH B1 X (0.199::0.199) (0.233::0.233)) + (IOPATH B2 X (0.218::0.218) (0.235::0.235)) + (IOPATH C1 X (0.163::0.163) (0.114::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7170_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.182::0.182) (0.230::0.230)) + (IOPATH A2 X (0.161::0.161) (0.211::0.211)) + (IOPATH B1 X (0.168::0.168) (0.191::0.191)) + (IOPATH B2 X (0.152::0.152) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7171_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.236::0.236) (0.317::0.317)) + (IOPATH A2 X (0.249::0.249) (0.286::0.286)) + (IOPATH B1 X (0.235::0.235) (0.291::0.291)) + (IOPATH B2 X (0.251::0.251) (0.254::0.254)) + (IOPATH C1 X (0.195::0.195) (0.135::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7172_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.256::0.256)) + (IOPATH B X (0.159::0.160) (0.266::0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7173_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.220::0.220) (0.320::0.320)) + (IOPATH A2 X (0.220::0.220) (0.267::0.267)) + (IOPATH B1 X (0.202::0.202) (0.263::0.263)) + (IOPATH B2 X (0.217::0.217) (0.229::0.229)) + (IOPATH C1 X (0.162::0.163) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7174_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.195::0.195) (0.265::0.265)) + (IOPATH A2 X (0.202::0.202) (0.248::0.248)) + (IOPATH B1 X (0.223::0.223) (0.238::0.238)) + (IOPATH B2 X (0.202::0.202) (0.232::0.232)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7175_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.223::0.223) (0.331::0.331)) + (IOPATH A2 X (0.212::0.212) (0.261::0.261)) + (IOPATH B1 X (0.187::0.187) (0.224::0.224)) + (IOPATH B2 X (0.210::0.210) (0.222::0.222)) + (IOPATH C1 X (0.174::0.175) (0.121::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7176_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.182::0.187)) + (IOPATH B X (0.263::0.264) (0.217::0.223)) + (IOPATH C X (0.259::0.259) (0.224::0.229)) + (IOPATH D X (0.263::0.264) (0.233::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7177_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.207::0.214) (0.255::0.255)) + (IOPATH B Y (0.214::0.217) (0.229::0.229)) + (IOPATH C Y (0.224::0.226) (0.237::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7178_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.253::0.253)) + (IOPATH A2 X (0.176::0.176) (0.225::0.225)) + (IOPATH B1 X (0.186::0.186) (0.208::0.208)) + (IOPATH B2 X (0.169::0.169) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7179_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.272::0.272)) + (IOPATH A2 X (0.209::0.209) (0.262::0.262)) + (IOPATH B1 X (0.182::0.182) (0.220::0.220)) + (IOPATH B2 X (0.207::0.207) (0.225::0.225)) + (IOPATH C1 X (0.161::0.162) (0.112::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7180_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.423::0.423) (0.425::0.425)) + (IOPATH A2 X (0.421::0.421) (0.410::0.410)) + (IOPATH B1 X (0.445::0.445) (0.420::0.420)) + (IOPATH B2 X (0.426::0.426) (0.381::0.381)) + (IOPATH C1 X (0.370::0.370) (0.223::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7181_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.225::0.225)) + (IOPATH A2 X (0.157::0.157) (0.206::0.206)) + (IOPATH B1 X (0.155::0.155) (0.208::0.208)) + (IOPATH B2 X (0.151::0.151) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7182_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.184::0.184) (0.271::0.271)) + (IOPATH A2 X (0.203::0.203) (0.250::0.250)) + (IOPATH B1 X (0.189::0.189) (0.248::0.248)) + (IOPATH B2 X (0.202::0.202) (0.215::0.215)) + (IOPATH C1 X (0.148::0.149) (0.103::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7183_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.242::0.242)) + (IOPATH A2 X (0.185::0.185) (0.233::0.233)) + (IOPATH B1 X (0.180::0.180) (0.203::0.203)) + (IOPATH B2 X (0.158::0.158) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7184_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.303::0.303)) + (IOPATH A2 X (0.222::0.222) (0.269::0.269)) + (IOPATH B1 X (0.215::0.215) (0.238::0.238)) + (IOPATH B2 X (0.197::0.197) (0.226::0.226)) + (IOPATH C1 X (0.156::0.156) (0.108::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7185_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.171::0.171) (0.222::0.222)) + (IOPATH A2 X (0.156::0.156) (0.225::0.225)) + (IOPATH B1 X (0.189::0.189) (0.204::0.204)) + (IOPATH B2 X (0.163::0.163) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7186_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.426::0.426) (0.433::0.433)) + (IOPATH A2 X (0.417::0.417) (0.411::0.411)) + (IOPATH B1 X (0.407::0.407) (0.386::0.386)) + (IOPATH B2 X (0.418::0.418) (0.382::0.382)) + (IOPATH C1 X (0.355::0.356) (0.221::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7187_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.232::0.232)) + (IOPATH A2 X (0.161::0.161) (0.212::0.212)) + (IOPATH B1 X (0.191::0.191) (0.209::0.209)) + (IOPATH B2 X (0.161::0.161) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7188_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.215::0.215) (0.272::0.272)) + (IOPATH A2 X (0.201::0.201) (0.260::0.260)) + (IOPATH B1 X (0.224::0.224) (0.248::0.248)) + (IOPATH B2 X (0.226::0.226) (0.236::0.236)) + (IOPATH C1 X (0.162::0.163) (0.113::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7189_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.221) (0.170::0.175)) + (IOPATH B X (0.234::0.234) (0.198::0.203)) + (IOPATH C X (0.293::0.294) (0.261::0.271)) + (IOPATH D X (0.247::0.247) (0.225::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7190_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.232::0.232)) + (IOPATH A2 X (0.157::0.157) (0.207::0.207)) + (IOPATH B1 X (0.144::0.144) (0.195::0.195)) + (IOPATH B2 X (0.157::0.157) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7191_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.280::0.280)) + (IOPATH A2 X (0.215::0.215) (0.260::0.260)) + (IOPATH B1 X (0.194::0.194) (0.249::0.249)) + (IOPATH B2 X (0.211::0.211) (0.223::0.223)) + (IOPATH C1 X (0.158::0.158) (0.110::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7192_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.176::0.176) (0.254::0.254)) + (IOPATH A2 X (0.171::0.171) (0.218::0.218)) + (IOPATH B1 X (0.169::0.169) (0.195::0.195)) + (IOPATH B2 X (0.161::0.161) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7193_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.262::0.262)) + (IOPATH A2 X (0.201::0.201) (0.249::0.249)) + (IOPATH B1 X (0.206::0.206) (0.228::0.228)) + (IOPATH B2 X (0.203::0.203) (0.214::0.214)) + (IOPATH C1 X (0.151::0.151) (0.105::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7194_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.241::0.241)) + (IOPATH B X (0.161::0.161) (0.265::0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7195_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.275::0.275)) + (IOPATH A2 X (0.205::0.205) (0.252::0.252)) + (IOPATH B1 X (0.208::0.208) (0.234::0.234)) + (IOPATH B2 X (0.203::0.203) (0.216::0.216)) + (IOPATH C1 X (0.148::0.150) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7196_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.281::0.281)) + (IOPATH A2 X (0.163::0.163) (0.214::0.214)) + (IOPATH B1 X (0.159::0.159) (0.212::0.212)) + (IOPATH B2 X (0.163::0.163) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7197_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.275::0.275) (0.320::0.320)) + (IOPATH A2 X (0.263::0.263) (0.295::0.295)) + (IOPATH B1 X (0.304::0.304) (0.311::0.311)) + (IOPATH B2 X (0.260::0.260) (0.262::0.262)) + (IOPATH C1 X (0.208::0.209) (0.144::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7198_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.230) (0.175::0.180)) + (IOPATH B X (0.238::0.238) (0.198::0.203)) + (IOPATH C X (0.244::0.245) (0.213::0.219)) + (IOPATH D X (0.265::0.266) (0.240::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7199_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.163) (0.195::0.195)) + (IOPATH B Y (0.138::0.141) (0.147::0.148)) + (IOPATH C Y (0.145::0.147) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7200_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.239::0.239)) + (IOPATH A2 X (0.161::0.161) (0.213::0.213)) + (IOPATH B1 X (0.214::0.214) (0.235::0.235)) + (IOPATH B2 X (0.154::0.154) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7201_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.239::0.239) (0.297::0.297)) + (IOPATH A2 X (0.216::0.216) (0.268::0.268)) + (IOPATH B1 X (0.265::0.265) (0.285::0.285)) + (IOPATH B2 X (0.214::0.214) (0.231::0.231)) + (IOPATH C1 X (0.162::0.163) (0.113::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7202_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.356::0.356) (0.395::0.395)) + (IOPATH A2 X (0.352::0.352) (0.379::0.379)) + (IOPATH B1 X (0.335::0.335) (0.374::0.374)) + (IOPATH B2 X (0.357::0.357) (0.347::0.348)) + (IOPATH C1 X (0.303::0.304) (0.196::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7203_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.228::0.228)) + (IOPATH A2 X (0.158::0.158) (0.207::0.207)) + (IOPATH B1 X (0.148::0.148) (0.203::0.203)) + (IOPATH B2 X (0.152::0.152) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7204_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.249::0.249) (0.334::0.334)) + (IOPATH A2 X (0.258::0.258) (0.290::0.290)) + (IOPATH B1 X (0.238::0.238) (0.290::0.290)) + (IOPATH B2 X (0.257::0.257) (0.260::0.260)) + (IOPATH C1 X (0.203::0.203) (0.141::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7205_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.277::0.277)) + (IOPATH A2 X (0.185::0.185) (0.234::0.234)) + (IOPATH B1 X (0.168::0.168) (0.228::0.228)) + (IOPATH B2 X (0.158::0.158) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7206_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.237::0.237) (0.301::0.301)) + (IOPATH A2 X (0.222::0.222) (0.269::0.269)) + (IOPATH B1 X (0.219::0.219) (0.244::0.244)) + (IOPATH B2 X (0.198::0.198) (0.227::0.227)) + (IOPATH C1 X (0.156::0.157) (0.109::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7207_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.193) (0.239::0.239)) + (IOPATH A2 X (0.161::0.161) (0.224::0.224)) + (IOPATH B1 X (0.173::0.173) (0.197::0.197)) + (IOPATH B2 X (0.170::0.170) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7208_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.298::0.298) (0.370::0.370)) + (IOPATH A2 X (0.301::0.301) (0.321::0.321)) + (IOPATH B1 X (0.310::0.310) (0.311::0.311)) + (IOPATH B2 X (0.300::0.300) (0.294::0.294)) + (IOPATH C1 X (0.245::0.245) (0.165::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7209_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.267::0.267)) + (IOPATH A2 X (0.194::0.194) (0.236::0.236)) + (IOPATH B1 X (0.218::0.218) (0.234::0.234)) + (IOPATH B2 X (0.193::0.193) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7210_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.186::0.186) (0.274::0.274)) + (IOPATH A2 X (0.189::0.189) (0.249::0.249)) + (IOPATH B1 X (0.228::0.228) (0.248::0.248)) + (IOPATH B2 X (0.213::0.213) (0.224::0.224)) + (IOPATH C1 X (0.163::0.164) (0.114::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7211_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.182::0.188)) + (IOPATH B X (0.228::0.228) (0.194::0.199)) + (IOPATH C X (0.259::0.259) (0.234::0.241)) + (IOPATH D X (0.238::0.238) (0.218::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7212_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.233::0.233)) + (IOPATH A2 X (0.156::0.156) (0.206::0.206)) + (IOPATH B1 X (0.182::0.182) (0.201::0.201)) + (IOPATH B2 X (0.156::0.156) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7213_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.229::0.229) (0.288::0.288)) + (IOPATH A2 X (0.216::0.216) (0.261::0.261)) + (IOPATH B1 X (0.222::0.222) (0.247::0.247)) + (IOPATH B2 X (0.212::0.212) (0.224::0.224)) + (IOPATH C1 X (0.158::0.159) (0.111::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7214_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.231::0.231) (0.282::0.282)) + (IOPATH A2 X (0.161::0.161) (0.211::0.211)) + (IOPATH B1 X (0.211::0.211) (0.234::0.234)) + (IOPATH B2 X (0.152::0.152) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7215_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.198::0.198) (0.287::0.287)) + (IOPATH A2 X (0.213::0.213) (0.258::0.258)) + (IOPATH B1 X (0.185::0.185) (0.224::0.224)) + (IOPATH B2 X (0.215::0.215) (0.224::0.224)) + (IOPATH C1 X (0.159::0.160) (0.111::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7216_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.288::0.288)) + (IOPATH B X (0.165::0.165) (0.270::0.270)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7217_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.279::0.279)) + (IOPATH A2 X (0.207::0.207) (0.254::0.254)) + (IOPATH B1 X (0.211::0.211) (0.237::0.237)) + (IOPATH B2 X (0.204::0.204) (0.217::0.217)) + (IOPATH C1 X (0.152::0.153) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7218_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.246::0.246)) + (IOPATH A2 X (0.164::0.164) (0.215::0.215)) + (IOPATH B1 X (0.170::0.170) (0.193::0.193)) + (IOPATH B2 X (0.164::0.164) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7219_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.263::0.263) (0.323::0.323)) + (IOPATH A2 X (0.224::0.224) (0.269::0.269)) + (IOPATH B1 X (0.281::0.281) (0.301::0.301)) + (IOPATH B2 X (0.222::0.222) (0.232::0.232)) + (IOPATH C1 X (0.171::0.171) (0.119::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7220_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.174::0.179)) + (IOPATH B X (0.238::0.238) (0.199::0.204)) + (IOPATH C X (0.242::0.242) (0.212::0.218)) + (IOPATH D X (0.252::0.253) (0.228::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7221_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.160) (0.188::0.189)) + (IOPATH B Y (0.154::0.157) (0.164::0.164)) + (IOPATH C Y (0.161::0.164) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7222_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.171::0.171) (0.257::0.257)) + (IOPATH A2 X (0.160::0.160) (0.212::0.212)) + (IOPATH B1 X (0.172::0.172) (0.194::0.194)) + (IOPATH B2 X (0.153::0.153) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7223_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.199::0.199) (0.292::0.292)) + (IOPATH A2 X (0.206::0.206) (0.260::0.260)) + (IOPATH B1 X (0.187::0.187) (0.222::0.222)) + (IOPATH B2 X (0.204::0.204) (0.223::0.223)) + (IOPATH C1 X (0.152::0.153) (0.106::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7224_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.303::0.303) (0.373::0.373)) + (IOPATH A2 X (0.299::0.299) (0.318::0.318)) + (IOPATH B1 X (0.336::0.336) (0.328::0.328)) + (IOPATH B2 X (0.302::0.302) (0.291::0.291)) + (IOPATH C1 X (0.248::0.248) (0.161::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7225_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.257::0.257)) + (IOPATH A2 X (0.186::0.186) (0.229::0.229)) + (IOPATH B1 X (0.164::0.164) (0.199::0.199)) + (IOPATH B2 X (0.179::0.179) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7226_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.239::0.239) (0.292::0.292)) + (IOPATH A2 X (0.263::0.263) (0.294::0.294)) + (IOPATH B1 X (0.246::0.246) (0.299::0.299)) + (IOPATH B2 X (0.262::0.262) (0.264::0.264)) + (IOPATH C1 X (0.218::0.218) (0.152::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7227_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.219::0.219) (0.267::0.267)) + (IOPATH A2 X (0.189::0.189) (0.238::0.238)) + (IOPATH B1 X (0.175::0.175) (0.234::0.234)) + (IOPATH B2 X (0.163::0.163) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7228_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.205) (0.302::0.302)) + (IOPATH A2 X (0.220::0.220) (0.270::0.270)) + (IOPATH B1 X (0.190::0.190) (0.252::0.252)) + (IOPATH B2 X (0.195::0.195) (0.224::0.224)) + (IOPATH C1 X (0.154::0.155) (0.108::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7229_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.186::0.186) (0.231::0.231)) + (IOPATH A2 X (0.155::0.155) (0.224::0.224)) + (IOPATH B1 X (0.153::0.153) (0.211::0.211)) + (IOPATH B2 X (0.162::0.162) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7230_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.287::0.287) (0.327::0.327)) + (IOPATH A2 X (0.278::0.278) (0.308::0.308)) + (IOPATH B1 X (0.248::0.248) (0.293::0.293)) + (IOPATH B2 X (0.277::0.277) (0.280::0.280)) + (IOPATH C1 X (0.218::0.219) (0.149::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7231_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.303::0.303) (0.308::0.308)) + (IOPATH A2 X (0.307::0.307) (0.306::0.306)) + (IOPATH B1 X (0.298::0.298) (0.312::0.312)) + (IOPATH B2 X (0.307::0.307) (0.286::0.286)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7232_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.272::0.272)) + (IOPATH A2 X (0.193::0.193) (0.255::0.255)) + (IOPATH B1 X (0.170::0.170) (0.212::0.212)) + (IOPATH B2 X (0.217::0.217) (0.230::0.230)) + (IOPATH C1 X (0.213::0.213) (0.149::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7233_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.183::0.190)) + (IOPATH B X (0.228::0.228) (0.194::0.199)) + (IOPATH C X (0.254::0.254) (0.228::0.235)) + (IOPATH D X (0.240::0.240) (0.220::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7234_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.211::0.211)) + (IOPATH A2 X (0.157::0.157) (0.209::0.209)) + (IOPATH B1 X (0.150::0.150) (0.205::0.205)) + (IOPATH B2 X (0.157::0.157) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7235_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.256::0.256)) + (IOPATH A2 X (0.214::0.214) (0.261::0.261)) + (IOPATH B1 X (0.184::0.184) (0.241::0.241)) + (IOPATH B2 X (0.212::0.212) (0.230::0.230)) + (IOPATH C1 X (0.156::0.157) (0.109::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7236_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.244::0.244)) + (IOPATH A2 X (0.164::0.164) (0.214::0.214)) + (IOPATH B1 X (0.150::0.150) (0.205::0.205)) + (IOPATH B2 X (0.155::0.155) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7237_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.227::0.227) (0.311::0.311)) + (IOPATH A2 X (0.241::0.241) (0.279::0.279)) + (IOPATH B1 X (0.207::0.207) (0.243::0.243)) + (IOPATH B2 X (0.243::0.243) (0.247::0.247)) + (IOPATH C1 X (0.188::0.188) (0.131::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7238_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.277::0.277)) + (IOPATH B X (0.161::0.162) (0.267::0.267)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7239_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.235::0.235) (0.299::0.299)) + (IOPATH A2 X (0.205::0.205) (0.254::0.254)) + (IOPATH B1 X (0.182::0.182) (0.243::0.243)) + (IOPATH B2 X (0.202::0.202) (0.216::0.216)) + (IOPATH C1 X (0.148::0.149) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7240_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.259::0.259) (0.288::0.288)) + (IOPATH A2 X (0.226::0.226) (0.262::0.262)) + (IOPATH B1 X (0.228::0.228) (0.242::0.242)) + (IOPATH B2 X (0.226::0.226) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7241_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.250::0.250) (0.350::0.350)) + (IOPATH A2 X (0.239::0.239) (0.280::0.280)) + (IOPATH B1 X (0.216::0.216) (0.248::0.248)) + (IOPATH B2 X (0.237::0.237) (0.244::0.244)) + (IOPATH C1 X (0.211::0.212) (0.147::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7242_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.236) (0.178::0.183)) + (IOPATH B X (0.256::0.257) (0.212::0.218)) + (IOPATH C X (0.250::0.251) (0.217::0.222)) + (IOPATH D X (0.265::0.266) (0.238::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7243_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.210::0.216) (0.252::0.253)) + (IOPATH B Y (0.225::0.227) (0.240::0.240)) + (IOPATH C Y (0.232::0.234) (0.246::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7244_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.218::0.218)) + (IOPATH A2 X (0.159::0.159) (0.211::0.211)) + (IOPATH B1 X (0.215::0.215) (0.238::0.238)) + (IOPATH B2 X (0.152::0.152) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7245_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.234::0.234) (0.296::0.296)) + (IOPATH A2 X (0.206::0.206) (0.260::0.260)) + (IOPATH B1 X (0.186::0.186) (0.246::0.246)) + (IOPATH B2 X (0.204::0.204) (0.222::0.222)) + (IOPATH C1 X (0.152::0.153) (0.105::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7246_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.265::0.265) (0.306::0.306)) + (IOPATH A2 X (0.280::0.280) (0.308::0.308)) + (IOPATH B1 X (0.262::0.262) (0.303::0.303)) + (IOPATH B2 X (0.284::0.284) (0.280::0.280)) + (IOPATH C1 X (0.230::0.230) (0.151::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7247_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.241::0.241)) + (IOPATH A2 X (0.156::0.156) (0.205::0.205)) + (IOPATH B1 X (0.144::0.144) (0.199::0.199)) + (IOPATH B2 X (0.149::0.149) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7248_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.311::0.311) (0.361::0.361)) + (IOPATH A2 X (0.328::0.328) (0.332::0.332)) + (IOPATH B1 X (0.343::0.343) (0.333::0.333)) + (IOPATH B2 X (0.327::0.327) (0.306::0.306)) + (IOPATH C1 X (0.271::0.271) (0.179::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7249_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.180::0.180) (0.229::0.229)) + (IOPATH A2 X (0.186::0.186) (0.236::0.236)) + (IOPATH B1 X (0.166::0.166) (0.191::0.191)) + (IOPATH B2 X (0.159::0.159) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7250_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.225::0.225) (0.286::0.286)) + (IOPATH A2 X (0.229::0.229) (0.278::0.278)) + (IOPATH B1 X (0.199::0.199) (0.259::0.259)) + (IOPATH B2 X (0.204::0.204) (0.232::0.232)) + (IOPATH C1 X (0.162::0.163) (0.113::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7251_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.242::0.242)) + (IOPATH A2 X (0.159::0.159) (0.228::0.228)) + (IOPATH B1 X (0.149::0.149) (0.204::0.204)) + (IOPATH B2 X (0.166::0.166) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7252_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.226::0.226) (0.300::0.300)) + (IOPATH A2 X (0.255::0.255) (0.294::0.294)) + (IOPATH B1 X (0.246::0.246) (0.303::0.303)) + (IOPATH B2 X (0.254::0.254) (0.264::0.264)) + (IOPATH C1 X (0.196::0.197) (0.137::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7253_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.279::0.279) (0.318::0.318)) + (IOPATH A2 X (0.280::0.280) (0.290::0.290)) + (IOPATH B1 X (0.310::0.310) (0.298::0.298)) + (IOPATH B2 X (0.280::0.280) (0.269::0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7254_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.276::0.276)) + (IOPATH A2 X (0.196::0.196) (0.257::0.257)) + (IOPATH B1 X (0.188::0.188) (0.223::0.223)) + (IOPATH B2 X (0.220::0.220) (0.232::0.232)) + (IOPATH C1 X (0.205::0.205) (0.145::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7255_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.259::0.259) (0.199::0.207)) + (IOPATH B X (0.227::0.228) (0.195::0.200)) + (IOPATH C X (0.243::0.243) (0.220::0.227)) + (IOPATH D X (0.237::0.238) (0.218::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7256_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.237::0.237)) + (IOPATH A2 X (0.162::0.162) (0.214::0.214)) + (IOPATH B1 X (0.165::0.165) (0.223::0.223)) + (IOPATH B2 X (0.162::0.162) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7257_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.205) (0.293::0.293)) + (IOPATH A2 X (0.224::0.224) (0.269::0.269)) + (IOPATH B1 X (0.201::0.201) (0.260::0.260)) + (IOPATH B2 X (0.222::0.222) (0.238::0.238)) + (IOPATH C1 X (0.168::0.168) (0.117::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7258_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.172::0.172) (0.223::0.223)) + (IOPATH A2 X (0.162::0.162) (0.211::0.211)) + (IOPATH B1 X (0.182::0.182) (0.249::0.249)) + (IOPATH B2 X (0.153::0.153) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7259_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.291::0.291) (0.331::0.331)) + (IOPATH A2 X (0.270::0.270) (0.298::0.298)) + (IOPATH B1 X (0.240::0.240) (0.268::0.268)) + (IOPATH B2 X (0.272::0.272) (0.268::0.268)) + (IOPATH C1 X (0.215::0.216) (0.148::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7260_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.256::0.256)) + (IOPATH B X (0.163::0.163) (0.268::0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7261_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.235::0.235) (0.295::0.295)) + (IOPATH A2 X (0.214::0.214) (0.262::0.262)) + (IOPATH B1 X (0.228::0.228) (0.250::0.250)) + (IOPATH B2 X (0.211::0.211) (0.224::0.224)) + (IOPATH C1 X (0.157::0.158) (0.114::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7262_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.276::0.276)) + (IOPATH A2 X (0.182::0.182) (0.232::0.232)) + (IOPATH B1 X (0.186::0.186) (0.208::0.208)) + (IOPATH B2 X (0.182::0.182) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7263_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.222::0.222) (0.291::0.291)) + (IOPATH A2 X (0.235::0.235) (0.277::0.277)) + (IOPATH B1 X (0.206::0.206) (0.241::0.241)) + (IOPATH B2 X (0.232::0.232) (0.241::0.241)) + (IOPATH C1 X (0.188::0.188) (0.131::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7264_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.246::0.247) (0.185::0.190)) + (IOPATH B X (0.274::0.274) (0.224::0.230)) + (IOPATH C X (0.260::0.261) (0.224::0.229)) + (IOPATH D X (0.272::0.272) (0.241::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7265_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.191::0.197) (0.228::0.229)) + (IOPATH B Y (0.210::0.212) (0.224::0.224)) + (IOPATH C Y (0.221::0.223) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7266_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.215::0.215) (0.262::0.262)) + (IOPATH A2 X (0.164::0.164) (0.216::0.216)) + (IOPATH B1 X (0.158::0.158) (0.213::0.213)) + (IOPATH B2 X (0.158::0.158) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7267_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.227::0.227) (0.286::0.286)) + (IOPATH A2 X (0.216::0.216) (0.268::0.268)) + (IOPATH B1 X (0.190::0.190) (0.227::0.227)) + (IOPATH B2 X (0.214::0.214) (0.231::0.231)) + (IOPATH C1 X (0.163::0.164) (0.114::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7268_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.313::0.313) (0.352::0.352)) + (IOPATH A2 X (0.276::0.276) (0.305::0.305)) + (IOPATH B1 X (0.261::0.261) (0.304::0.304)) + (IOPATH B2 X (0.280::0.280) (0.277::0.277)) + (IOPATH C1 X (0.229::0.229) (0.151::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7269_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.268::0.268)) + (IOPATH A2 X (0.188::0.188) (0.230::0.230)) + (IOPATH B1 X (0.171::0.171) (0.204::0.204)) + (IOPATH B2 X (0.182::0.182) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7270_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.303::0.303) (0.330::0.330)) + (IOPATH A2 X (0.332::0.332) (0.335::0.335)) + (IOPATH B1 X (0.317::0.317) (0.347::0.347)) + (IOPATH B2 X (0.331::0.331) (0.308::0.308)) + (IOPATH C1 X (0.288::0.288) (0.191::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7271_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.204::0.204) (0.250::0.250)) + (IOPATH A2 X (0.186::0.186) (0.236::0.236)) + (IOPATH B1 X (0.195::0.195) (0.212::0.212)) + (IOPATH B2 X (0.159::0.159) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7272_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.204::0.204) (0.303::0.303)) + (IOPATH A2 X (0.220::0.220) (0.270::0.270)) + (IOPATH B1 X (0.221::0.221) (0.244::0.244)) + (IOPATH B2 X (0.194::0.194) (0.224::0.224)) + (IOPATH C1 X (0.153::0.153) (0.106::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7273_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.257::0.257)) + (IOPATH A2 X (0.160::0.160) (0.229::0.229)) + (IOPATH B1 X (0.157::0.157) (0.214::0.214)) + (IOPATH B2 X (0.168::0.168) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7274_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.215::0.215) (0.275::0.275)) + (IOPATH A2 X (0.241::0.241) (0.284::0.284)) + (IOPATH B1 X (0.208::0.208) (0.261::0.261)) + (IOPATH B2 X (0.240::0.240) (0.253::0.253)) + (IOPATH C1 X (0.183::0.184) (0.128::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7275_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.285::0.285) (0.315::0.315)) + (IOPATH A2 X (0.294::0.294) (0.298::0.298)) + (IOPATH B1 X (0.301::0.301) (0.325::0.325)) + (IOPATH B2 X (0.293::0.293) (0.279::0.279)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7276_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.288::0.288)) + (IOPATH A2 X (0.204::0.204) (0.263::0.263)) + (IOPATH B1 X (0.194::0.194) (0.229::0.229)) + (IOPATH B2 X (0.228::0.228) (0.239::0.239)) + (IOPATH C1 X (0.218::0.218) (0.153::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7277_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.261::0.262) (0.201::0.208)) + (IOPATH B X (0.226::0.226) (0.193::0.198)) + (IOPATH C X (0.240::0.241) (0.217::0.223)) + (IOPATH D X (0.240::0.241) (0.221::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7278_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.212::0.212)) + (IOPATH A2 X (0.157::0.157) (0.208::0.208)) + (IOPATH B1 X (0.145::0.145) (0.177::0.177)) + (IOPATH B2 X (0.157::0.157) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7279_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.197) (0.286::0.286)) + (IOPATH A2 X (0.217::0.217) (0.262::0.262)) + (IOPATH B1 X (0.239::0.239) (0.258::0.258)) + (IOPATH B2 X (0.215::0.215) (0.233::0.233)) + (IOPATH C1 X (0.159::0.159) (0.111::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7280_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.197) (0.242::0.242)) + (IOPATH A2 X (0.172::0.172) (0.220::0.220)) + (IOPATH B1 X (0.156::0.156) (0.210::0.210)) + (IOPATH B2 X (0.163::0.163) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7281_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.265::0.265)) + (IOPATH A2 X (0.224::0.224) (0.268::0.268)) + (IOPATH B1 X (0.209::0.209) (0.268::0.268)) + (IOPATH B2 X (0.226::0.226) (0.234::0.234)) + (IOPATH C1 X (0.175::0.175) (0.122::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7282_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.287::0.287)) + (IOPATH B X (0.158::0.158) (0.263::0.263)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7283_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.229::0.229) (0.289::0.289)) + (IOPATH A2 X (0.210::0.210) (0.257::0.257)) + (IOPATH B1 X (0.186::0.186) (0.246::0.246)) + (IOPATH B2 X (0.207::0.207) (0.220::0.220)) + (IOPATH C1 X (0.151::0.153) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7284_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.237::0.237)) + (IOPATH A2 X (0.162::0.162) (0.216::0.216)) + (IOPATH B1 X (0.200::0.200) (0.220::0.220)) + (IOPATH B2 X (0.162::0.162) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7285_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.248::0.248) (0.358::0.358)) + (IOPATH A2 X (0.228::0.228) (0.272::0.272)) + (IOPATH B1 X (0.218::0.218) (0.279::0.279)) + (IOPATH B2 X (0.226::0.226) (0.235::0.235)) + (IOPATH C1 X (0.173::0.174) (0.120::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7286_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.305::0.306) (0.216::0.221)) + (IOPATH B X (0.320::0.320) (0.245::0.251)) + (IOPATH C X (0.321::0.321) (0.256::0.262)) + (IOPATH D X (0.332::0.332) (0.273::0.278)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7287_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.182::0.188) (0.216::0.217)) + (IOPATH B Y (0.201::0.203) (0.214::0.214)) + (IOPATH C Y (0.229::0.232) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7288_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.240::0.240)) + (IOPATH A2 X (0.161::0.161) (0.211::0.211)) + (IOPATH B1 X (0.155::0.155) (0.212::0.212)) + (IOPATH B2 X (0.154::0.154) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7289_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.289::0.289) (0.362::0.362)) + (IOPATH A2 X (0.291::0.291) (0.313::0.313)) + (IOPATH B1 X (0.305::0.305) (0.307::0.307)) + (IOPATH B2 X (0.289::0.289) (0.283::0.283)) + (IOPATH C1 X (0.239::0.239) (0.161::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7290_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.266::0.266) (0.310::0.310)) + (IOPATH A2 X (0.262::0.262) (0.292::0.292)) + (IOPATH B1 X (0.268::0.268) (0.282::0.282)) + (IOPATH B2 X (0.266::0.266) (0.264::0.264)) + (IOPATH C1 X (0.247::0.248) (0.165::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7291_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.184::0.184) (0.232::0.232)) + (IOPATH A2 X (0.161::0.161) (0.210::0.210)) + (IOPATH B1 X (0.153::0.153) (0.208::0.208)) + (IOPATH B2 X (0.155::0.155) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7292_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.301::0.301) (0.348::0.348)) + (IOPATH A2 X (0.266::0.266) (0.295::0.295)) + (IOPATH B1 X (0.230::0.230) (0.261::0.261)) + (IOPATH B2 X (0.266::0.266) (0.265::0.265)) + (IOPATH C1 X (0.212::0.212) (0.146::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7293_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.209::0.209)) + (IOPATH A2 X (0.186::0.186) (0.252::0.252)) + (IOPATH B1 X (0.199::0.199) (0.218::0.218)) + (IOPATH B2 X (0.156::0.156) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7294_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.188::0.188) (0.277::0.277)) + (IOPATH A2 X (0.217::0.217) (0.263::0.263)) + (IOPATH B1 X (0.221::0.221) (0.244::0.244)) + (IOPATH B2 X (0.194::0.194) (0.224::0.224)) + (IOPATH C1 X (0.151::0.152) (0.105::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7295_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.203::0.203)) + (IOPATH A2 X (0.154::0.154) (0.220::0.220)) + (IOPATH B1 X (0.150::0.150) (0.205::0.205)) + (IOPATH B2 X (0.162::0.162) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7296_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.384::0.384) (0.428::0.428)) + (IOPATH A2 X (0.406::0.406) (0.404::0.404)) + (IOPATH B1 X (0.426::0.426) (0.399::0.399)) + (IOPATH B2 X (0.406::0.406) (0.373::0.373)) + (IOPATH C1 X (0.345::0.345) (0.216::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7297_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.315::0.315) (0.357::0.357)) + (IOPATH A2 X (0.213::0.213) (0.248::0.248)) + (IOPATH B1 X (0.202::0.202) (0.248::0.248)) + (IOPATH B2 X (0.212::0.212) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7298_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.193) (0.280::0.280)) + (IOPATH A2 X (0.196::0.196) (0.256::0.256)) + (IOPATH B1 X (0.256::0.256) (0.277::0.277)) + (IOPATH B2 X (0.220::0.220) (0.230::0.230)) + (IOPATH C1 X (0.178::0.180) (0.124::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7299_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.248::0.248) (0.189::0.196)) + (IOPATH B X (0.237::0.238) (0.199::0.204)) + (IOPATH C X (0.296::0.296) (0.261::0.271)) + (IOPATH D X (0.250::0.251) (0.226::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7300_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.240::0.240)) + (IOPATH A2 X (0.161::0.161) (0.214::0.214)) + (IOPATH B1 X (0.165::0.165) (0.191::0.191)) + (IOPATH B2 X (0.161::0.161) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7301_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.491::0.491) (0.469::0.469)) + (IOPATH A2 X (0.477::0.477) (0.439::0.439)) + (IOPATH B1 X (0.458::0.458) (0.444::0.444)) + (IOPATH B2 X (0.475::0.475) (0.410::0.410)) + (IOPATH C1 X (0.416::0.417) (0.251::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7302_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.227::0.227)) + (IOPATH A2 X (0.159::0.159) (0.209::0.209)) + (IOPATH B1 X (0.132::0.132) (0.170::0.170)) + (IOPATH B2 X (0.150::0.150) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7303_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.281::0.281)) + (IOPATH A2 X (0.213::0.213) (0.259::0.259)) + (IOPATH B1 X (0.197::0.197) (0.258::0.258)) + (IOPATH B2 X (0.215::0.215) (0.224::0.224)) + (IOPATH C1 X (0.158::0.158) (0.110::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7304_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.233::0.233)) + (IOPATH B X (0.156::0.156) (0.262::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7305_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.310::0.310) (0.400::0.400)) + (IOPATH A2 X (0.321::0.321) (0.359::0.359)) + (IOPATH B1 X (0.293::0.293) (0.344::0.344)) + (IOPATH B2 X (0.319::0.319) (0.321::0.321)) + (IOPATH C1 X (0.258::0.260) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7306_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.256::0.256) (0.305::0.305)) + (IOPATH A2 X (0.175::0.175) (0.224::0.224)) + (IOPATH B1 X (0.164::0.164) (0.219::0.219)) + (IOPATH B2 X (0.172::0.172) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7307_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.228::0.228) (0.308::0.308)) + (IOPATH A2 X (0.243::0.243) (0.279::0.279)) + (IOPATH B1 X (0.206::0.206) (0.244::0.244)) + (IOPATH B2 X (0.242::0.242) (0.248::0.248)) + (IOPATH C1 X (0.195::0.196) (0.135::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7308_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.303::0.304) (0.230::0.239)) + (IOPATH B X (0.232::0.232) (0.196::0.201)) + (IOPATH C X (0.262::0.262) (0.234::0.242)) + (IOPATH D X (0.251::0.252) (0.231::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7309_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.186::0.193) (0.222::0.222)) + (IOPATH B Y (0.214::0.216) (0.229::0.229)) + (IOPATH C Y (0.215::0.217) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7310_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.232::0.232)) + (IOPATH A2 X (0.159::0.159) (0.209::0.209)) + (IOPATH B1 X (0.147::0.147) (0.202::0.202)) + (IOPATH B2 X (0.152::0.152) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7311_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.271::0.271) (0.337::0.337)) + (IOPATH A2 X (0.284::0.284) (0.308::0.308)) + (IOPATH B1 X (0.313::0.313) (0.314::0.314)) + (IOPATH B2 X (0.282::0.282) (0.279::0.279)) + (IOPATH C1 X (0.231::0.232) (0.156::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7312_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.250::0.250) (0.326::0.326)) + (IOPATH A2 X (0.258::0.258) (0.289::0.289)) + (IOPATH B1 X (0.264::0.264) (0.318::0.318)) + (IOPATH B2 X (0.262::0.262) (0.262::0.262)) + (IOPATH C1 X (0.241::0.241) (0.161::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7313_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.249::0.249)) + (IOPATH A2 X (0.157::0.157) (0.206::0.206)) + (IOPATH B1 X (0.144::0.144) (0.198::0.198)) + (IOPATH B2 X (0.150::0.150) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7314_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.344::0.344) (0.385::0.385)) + (IOPATH A2 X (0.295::0.295) (0.312::0.312)) + (IOPATH B1 X (0.260::0.260) (0.281::0.281)) + (IOPATH B2 X (0.294::0.294) (0.284::0.284)) + (IOPATH C1 X (0.239::0.239) (0.161::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7315_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.242::0.242)) + (IOPATH A2 X (0.193::0.193) (0.258::0.258)) + (IOPATH B1 X (0.180::0.180) (0.202::0.202)) + (IOPATH B2 X (0.163::0.163) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7316_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.273::0.273)) + (IOPATH A2 X (0.211::0.211) (0.259::0.259)) + (IOPATH B1 X (0.190::0.190) (0.255::0.255)) + (IOPATH B2 X (0.188::0.188) (0.219::0.219)) + (IOPATH C1 X (0.148::0.149) (0.103::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7317_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.290::0.290) (0.301::0.301)) + (IOPATH A2 X (0.310::0.310) (0.322::0.322)) + (IOPATH B1 X (0.307::0.307) (0.294::0.294)) + (IOPATH B2 X (0.318::0.318) (0.296::0.296)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7318_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.301::0.301)) + (IOPATH A2 X (0.230::0.230) (0.272::0.272)) + (IOPATH B1 X (0.233::0.233) (0.256::0.256)) + (IOPATH B2 X (0.229::0.229) (0.239::0.239)) + (IOPATH C1 X (0.237::0.237) (0.166::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7319_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.232::0.232) (0.267::0.267)) + (IOPATH A2 X (0.206::0.206) (0.244::0.244)) + (IOPATH B1 X (0.204::0.204) (0.254::0.254)) + (IOPATH B2 X (0.206::0.206) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7320_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.223::0.223) (0.282::0.282)) + (IOPATH A2 X (0.198::0.198) (0.258::0.258)) + (IOPATH B1 X (0.218::0.218) (0.242::0.242)) + (IOPATH B2 X (0.222::0.222) (0.231::0.231)) + (IOPATH C1 X (0.178::0.178) (0.124::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7321_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.266) (0.200::0.207)) + (IOPATH B X (0.242::0.242) (0.201::0.206)) + (IOPATH C X (0.255::0.256) (0.225::0.230)) + (IOPATH D X (0.257::0.257) (0.230::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7322_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.175::0.175) (0.225::0.225)) + (IOPATH A2 X (0.161::0.161) (0.215::0.215)) + (IOPATH B1 X (0.149::0.149) (0.203::0.203)) + (IOPATH B2 X (0.161::0.161) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7323_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.380::0.380) (0.381::0.381)) + (IOPATH A2 X (0.368::0.368) (0.357::0.357)) + (IOPATH B1 X (0.351::0.351) (0.370::0.370)) + (IOPATH B2 X (0.364::0.364) (0.333::0.333)) + (IOPATH C1 X (0.309::0.309) (0.199::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7324_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.215::0.215)) + (IOPATH A2 X (0.163::0.163) (0.213::0.213)) + (IOPATH B1 X (0.156::0.156) (0.214::0.214)) + (IOPATH B2 X (0.154::0.154) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7325_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.229::0.229) (0.341::0.341)) + (IOPATH A2 X (0.212::0.212) (0.258::0.258)) + (IOPATH B1 X (0.195::0.195) (0.230::0.230)) + (IOPATH B2 X (0.214::0.214) (0.224::0.224)) + (IOPATH C1 X (0.159::0.159) (0.111::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7326_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.243::0.243)) + (IOPATH B X (0.158::0.158) (0.264::0.264)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7327_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.305::0.305) (0.392::0.392)) + (IOPATH A2 X (0.323::0.323) (0.360::0.360)) + (IOPATH B1 X (0.322::0.322) (0.339::0.339)) + (IOPATH B2 X (0.321::0.321) (0.323::0.323)) + (IOPATH C1 X (0.261::0.262) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7328_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.255::0.255)) + (IOPATH A2 X (0.178::0.178) (0.226::0.226)) + (IOPATH B1 X (0.173::0.173) (0.230::0.230)) + (IOPATH B2 X (0.175::0.175) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7329_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.243::0.243) (0.324::0.324)) + (IOPATH A2 X (0.254::0.254) (0.287::0.287)) + (IOPATH B1 X (0.229::0.229) (0.259::0.259)) + (IOPATH B2 X (0.253::0.253) (0.257::0.257)) + (IOPATH C1 X (0.207::0.207) (0.144::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7330_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.302::0.302) (0.222::0.229)) + (IOPATH B X (0.255::0.255) (0.209::0.214)) + (IOPATH C X (0.285::0.286) (0.247::0.256)) + (IOPATH D X (0.277::0.277) (0.246::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7331_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.124) (0.139::0.139)) + (IOPATH B Y (0.140::0.142) (0.149::0.149)) + (IOPATH C Y (0.147::0.150) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7332_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.217::0.217)) + (IOPATH A2 X (0.162::0.162) (0.212::0.212)) + (IOPATH B1 X (0.148::0.148) (0.203::0.203)) + (IOPATH B2 X (0.155::0.155) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7333_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.193) (0.280::0.280)) + (IOPATH A2 X (0.211::0.211) (0.262::0.262)) + (IOPATH B1 X (0.195::0.195) (0.255::0.255)) + (IOPATH B2 X (0.210::0.210) (0.225::0.225)) + (IOPATH C1 X (0.160::0.160) (0.111::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7334_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.248::0.248) (0.322::0.322)) + (IOPATH A2 X (0.260::0.260) (0.293::0.293)) + (IOPATH B1 X (0.265::0.265) (0.319::0.319)) + (IOPATH B2 X (0.264::0.264) (0.264::0.264)) + (IOPATH C1 X (0.213::0.214) (0.142::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7335_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.210::0.210)) + (IOPATH A2 X (0.157::0.157) (0.206::0.206)) + (IOPATH B1 X (0.146::0.146) (0.200::0.200)) + (IOPATH B2 X (0.151::0.151) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7336_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.328::0.328) (0.402::0.402)) + (IOPATH A2 X (0.346::0.346) (0.370::0.370)) + (IOPATH B1 X (0.310::0.310) (0.335::0.335)) + (IOPATH B2 X (0.347::0.347) (0.338::0.338)) + (IOPATH C1 X (0.289::0.289) (0.191::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7337_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.301::0.301) (0.307::0.307)) + (IOPATH A2 X (0.335::0.335) (0.349::0.349)) + (IOPATH B1 X (0.337::0.337) (0.315::0.315)) + (IOPATH B2 X (0.305::0.305) (0.285::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7338_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.266::0.266)) + (IOPATH A2 X (0.234::0.234) (0.278::0.278)) + (IOPATH B1 X (0.205::0.205) (0.265::0.265)) + (IOPATH B2 X (0.210::0.210) (0.237::0.237)) + (IOPATH C1 X (0.228::0.228) (0.160::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7339_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.134::0.134) (0.200::0.200)) + (IOPATH A2 X (0.154::0.154) (0.220::0.220)) + (IOPATH B1 X (0.154::0.154) (0.211::0.211)) + (IOPATH B2 X (0.163::0.163) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7340_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.274::0.274)) + (IOPATH A2 X (0.241::0.241) (0.282::0.282)) + (IOPATH B1 X (0.212::0.212) (0.266::0.266)) + (IOPATH B2 X (0.240::0.240) (0.250::0.250)) + (IOPATH C1 X (0.183::0.184) (0.128::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _7341_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.335::0.335) (0.380::0.380)) + (IOPATH A2 X (0.332::0.332) (0.342::0.342)) + (IOPATH B1 X (0.317::0.317) (0.343::0.343)) + (IOPATH B2 X (0.335::0.335) (0.320::0.320)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7342_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.282::0.282)) + (IOPATH A2 X (0.194::0.194) (0.254::0.254)) + (IOPATH B1 X (0.202::0.202) (0.265::0.265)) + (IOPATH B2 X (0.218::0.218) (0.229::0.229)) + (IOPATH C1 X (0.217::0.218) (0.151::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7343_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.255::0.255) (0.195::0.204)) + (IOPATH B X (0.227::0.227) (0.195::0.200)) + (IOPATH C X (0.237::0.238) (0.215::0.221)) + (IOPATH D X (0.235::0.235) (0.217::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7344_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.220::0.220)) + (IOPATH A2 X (0.159::0.159) (0.212::0.212)) + (IOPATH B1 X (0.172::0.172) (0.194::0.194)) + (IOPATH B2 X (0.158::0.158) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7345_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.282::0.282) (0.322::0.322)) + (IOPATH A2 X (0.281::0.281) (0.308::0.308)) + (IOPATH B1 X (0.246::0.246) (0.288::0.288)) + (IOPATH B2 X (0.278::0.278) (0.279::0.279)) + (IOPATH C1 X (0.222::0.222) (0.151::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7346_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.206::0.206)) + (IOPATH A2 X (0.157::0.157) (0.207::0.207)) + (IOPATH B1 X (0.133::0.133) (0.170::0.170)) + (IOPATH B2 X (0.148::0.148) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7347_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.376::0.376) (0.454::0.454)) + (IOPATH A2 X (0.353::0.353) (0.347::0.347)) + (IOPATH B1 X (0.334::0.334) (0.354::0.354)) + (IOPATH B2 X (0.354::0.354) (0.322::0.322)) + (IOPATH C1 X (0.296::0.296) (0.193::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7348_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.238::0.238)) + (IOPATH B X (0.160::0.160) (0.266::0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7349_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.235::0.235) (0.310::0.310)) + (IOPATH A2 X (0.260::0.260) (0.296::0.296)) + (IOPATH B1 X (0.236::0.236) (0.289::0.289)) + (IOPATH B2 X (0.257::0.257) (0.262::0.262)) + (IOPATH C1 X (0.200::0.202) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7350_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.251::0.251)) + (IOPATH A2 X (0.161::0.161) (0.212::0.212)) + (IOPATH B1 X (0.145::0.145) (0.200::0.200)) + (IOPATH B2 X (0.158::0.158) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7351_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.341::0.341) (0.389::0.389)) + (IOPATH A2 X (0.329::0.329) (0.360::0.360)) + (IOPATH B1 X (0.294::0.294) (0.324::0.324)) + (IOPATH B2 X (0.329::0.329) (0.326::0.326)) + (IOPATH C1 X (0.274::0.274) (0.182::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7352_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.187::0.193)) + (IOPATH B X (0.279::0.279) (0.232::0.239)) + (IOPATH C X (0.249::0.249) (0.223::0.229)) + (IOPATH D X (0.266::0.267) (0.247::0.257)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7353_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.199::0.206) (0.236::0.236)) + (IOPATH B Y (0.224::0.227) (0.239::0.239)) + (IOPATH C Y (0.228::0.231) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7354_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.236::0.236)) + (IOPATH A2 X (0.159::0.159) (0.209::0.209)) + (IOPATH B1 X (0.149::0.149) (0.204::0.204)) + (IOPATH B2 X (0.152::0.152) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7355_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.221::0.221) (0.307::0.307)) + (IOPATH A2 X (0.232::0.232) (0.276::0.276)) + (IOPATH B1 X (0.232::0.232) (0.255::0.255)) + (IOPATH B2 X (0.230::0.230) (0.242::0.242)) + (IOPATH C1 X (0.179::0.179) (0.124::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7356_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.245::0.245) (0.294::0.294)) + (IOPATH A2 X (0.262::0.262) (0.293::0.293)) + (IOPATH B1 X (0.257::0.257) (0.305::0.305)) + (IOPATH B2 X (0.266::0.266) (0.265::0.265)) + (IOPATH C1 X (0.223::0.223) (0.149::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7357_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.210::0.210)) + (IOPATH A2 X (0.162::0.162) (0.210::0.210)) + (IOPATH B1 X (0.148::0.148) (0.181::0.181)) + (IOPATH B2 X (0.155::0.155) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7358_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.359::0.359) (0.415::0.415)) + (IOPATH A2 X (0.321::0.321) (0.355::0.355)) + (IOPATH B1 X (0.287::0.287) (0.319::0.319)) + (IOPATH B2 X (0.322::0.322) (0.321::0.321)) + (IOPATH C1 X (0.265::0.266) (0.179::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7359_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.254::0.254) (0.297::0.297)) + (IOPATH A2 X (0.293::0.293) (0.324::0.324)) + (IOPATH B1 X (0.273::0.273) (0.272::0.272)) + (IOPATH B2 X (0.263::0.263) (0.258::0.258)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7360_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.193) (0.259::0.259)) + (IOPATH A2 X (0.228::0.228) (0.274::0.274)) + (IOPATH B1 X (0.193::0.193) (0.251::0.251)) + (IOPATH B2 X (0.204::0.204) (0.233::0.233)) + (IOPATH C1 X (0.205::0.206) (0.145::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7361_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.209::0.209)) + (IOPATH A2 X (0.157::0.157) (0.223::0.223)) + (IOPATH B1 X (0.172::0.172) (0.195::0.195)) + (IOPATH B2 X (0.166::0.166) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7362_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.234::0.234) (0.324::0.324)) + (IOPATH A2 X (0.244::0.244) (0.283::0.283)) + (IOPATH B1 X (0.214::0.214) (0.247::0.247)) + (IOPATH B2 X (0.243::0.243) (0.251::0.251)) + (IOPATH C1 X (0.187::0.187) (0.130::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7363_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.284::0.284) (0.300::0.300)) + (IOPATH A2 X (0.296::0.296) (0.299::0.299)) + (IOPATH B1 X (0.284::0.284) (0.303::0.303)) + (IOPATH B2 X (0.295::0.295) (0.279::0.279)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7364_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.285::0.285)) + (IOPATH A2 X (0.194::0.194) (0.254::0.254)) + (IOPATH B1 X (0.239::0.239) (0.259::0.259)) + (IOPATH B2 X (0.218::0.218) (0.228::0.228)) + (IOPATH C1 X (0.210::0.210) (0.147::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7365_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.248::0.248) (0.191::0.200)) + (IOPATH B X (0.227::0.228) (0.195::0.200)) + (IOPATH C X (0.240::0.241) (0.218::0.224)) + (IOPATH D X (0.237::0.238) (0.218::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7366_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.187::0.187) (0.234::0.234)) + (IOPATH A2 X (0.162::0.162) (0.216::0.216)) + (IOPATH B1 X (0.171::0.171) (0.193::0.193)) + (IOPATH B2 X (0.162::0.162) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7367_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.222::0.222) (0.282::0.282)) + (IOPATH A2 X (0.218::0.218) (0.266::0.266)) + (IOPATH B1 X (0.222::0.222) (0.246::0.246)) + (IOPATH B2 X (0.214::0.214) (0.229::0.229)) + (IOPATH C1 X (0.161::0.161) (0.113::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7368_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.240::0.240)) + (IOPATH A2 X (0.162::0.162) (0.212::0.212)) + (IOPATH B1 X (0.138::0.138) (0.177::0.177)) + (IOPATH B2 X (0.153::0.153) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7369_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.218::0.218) (0.309::0.309)) + (IOPATH A2 X (0.225::0.225) (0.268::0.268)) + (IOPATH B1 X (0.202::0.202) (0.258::0.258)) + (IOPATH B2 X (0.227::0.227) (0.235::0.235)) + (IOPATH C1 X (0.171::0.172) (0.120::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7370_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.281::0.281)) + (IOPATH B X (0.164::0.164) (0.271::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7371_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.203::0.203) (0.291::0.291)) + (IOPATH A2 X (0.220::0.220) (0.268::0.268)) + (IOPATH B1 X (0.197::0.197) (0.256::0.256)) + (IOPATH B2 X (0.216::0.216) (0.228::0.228)) + (IOPATH C1 X (0.164::0.164) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7372_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.236::0.236)) + (IOPATH A2 X (0.166::0.166) (0.217::0.217)) + (IOPATH B1 X (0.146::0.146) (0.200::0.200)) + (IOPATH B2 X (0.163::0.163) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7373_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.337::0.337) (0.355::0.355)) + (IOPATH A2 X (0.328::0.328) (0.330::0.330)) + (IOPATH B1 X (0.297::0.297) (0.304::0.304)) + (IOPATH B2 X (0.326::0.326) (0.304::0.304)) + (IOPATH C1 X (0.275::0.276) (0.181::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7374_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.222) (0.171::0.176)) + (IOPATH B X (0.236::0.237) (0.200::0.205)) + (IOPATH C X (0.239::0.240) (0.213::0.218)) + (IOPATH D X (0.273::0.273) (0.254::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7375_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.224::0.231) (0.265::0.266)) + (IOPATH B Y (0.251::0.253) (0.268::0.268)) + (IOPATH C Y (0.254::0.256) (0.272::0.272)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7376_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.234::0.234)) + (IOPATH A2 X (0.164::0.164) (0.213::0.213)) + (IOPATH B1 X (0.155::0.155) (0.210::0.210)) + (IOPATH B2 X (0.157::0.157) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7377_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.308::0.308)) + (IOPATH A2 X (0.224::0.224) (0.269::0.269)) + (IOPATH B1 X (0.215::0.215) (0.277::0.277)) + (IOPATH B2 X (0.222::0.222) (0.235::0.235)) + (IOPATH C1 X (0.173::0.174) (0.121::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7378_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.256::0.256) (0.301::0.301)) + (IOPATH A2 X (0.266::0.266) (0.295::0.295)) + (IOPATH B1 X (0.307::0.307) (0.312::0.312)) + (IOPATH B2 X (0.270::0.270) (0.268::0.268)) + (IOPATH C1 X (0.225::0.225) (0.150::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7379_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.212::0.212)) + (IOPATH A2 X (0.159::0.159) (0.208::0.208)) + (IOPATH B1 X (0.204::0.204) (0.295::0.295)) + (IOPATH B2 X (0.153::0.153) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7380_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.359::0.359) (0.375::0.375)) + (IOPATH A2 X (0.335::0.335) (0.335::0.335)) + (IOPATH B1 X (0.304::0.304) (0.309::0.309)) + (IOPATH B2 X (0.334::0.334) (0.310::0.310)) + (IOPATH C1 X (0.280::0.281) (0.184::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7381_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.240::0.240)) + (IOPATH A2 X (0.189::0.189) (0.255::0.255)) + (IOPATH B1 X (0.170::0.170) (0.193::0.193)) + (IOPATH B2 X (0.159::0.159) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7382_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.195::0.195) (0.261::0.261)) + (IOPATH A2 X (0.227::0.227) (0.272::0.272)) + (IOPATH B1 X (0.193::0.193) (0.230::0.230)) + (IOPATH B2 X (0.203::0.203) (0.232::0.232)) + (IOPATH C1 X (0.161::0.162) (0.113::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7383_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.133::0.133) (0.199::0.199)) + (IOPATH A2 X (0.153::0.153) (0.220::0.220)) + (IOPATH B1 X (0.152::0.152) (0.209::0.209)) + (IOPATH B2 X (0.161::0.161) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7384_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.317::0.317) (0.338::0.338)) + (IOPATH A2 X (0.337::0.337) (0.341::0.341)) + (IOPATH B1 X (0.312::0.312) (0.337::0.337)) + (IOPATH B2 X (0.335::0.335) (0.315::0.315)) + (IOPATH C1 X (0.277::0.277) (0.182::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7385_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.262::0.262)) + (IOPATH A2 X (0.194::0.194) (0.236::0.236)) + (IOPATH B1 X (0.206::0.206) (0.225::0.225)) + (IOPATH B2 X (0.194::0.194) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7386_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.276::0.276)) + (IOPATH A2 X (0.196::0.196) (0.256::0.256)) + (IOPATH B1 X (0.254::0.254) (0.276::0.276)) + (IOPATH B2 X (0.220::0.220) (0.230::0.230)) + (IOPATH C1 X (0.170::0.170) (0.119::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7387_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.264::0.264) (0.203::0.210)) + (IOPATH B X (0.229::0.229) (0.196::0.201)) + (IOPATH C X (0.270::0.270) (0.244::0.252)) + (IOPATH D X (0.239::0.240) (0.220::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7388_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.237::0.237)) + (IOPATH A2 X (0.157::0.157) (0.211::0.211)) + (IOPATH B1 X (0.139::0.139) (0.174::0.174)) + (IOPATH B2 X (0.157::0.157) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7389_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.318::0.318) (0.374::0.374)) + (IOPATH A2 X (0.318::0.318) (0.357::0.357)) + (IOPATH B1 X (0.306::0.306) (0.366::0.366)) + (IOPATH B2 X (0.316::0.316) (0.323::0.323)) + (IOPATH C1 X (0.257::0.257) (0.173::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7390_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.229::0.229)) + (IOPATH A2 X (0.160::0.160) (0.209::0.209)) + (IOPATH B1 X (0.137::0.137) (0.172::0.172)) + (IOPATH B2 X (0.151::0.151) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7391_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.217::0.217) (0.319::0.319)) + (IOPATH A2 X (0.213::0.213) (0.259::0.259)) + (IOPATH B1 X (0.187::0.187) (0.244::0.244)) + (IOPATH B2 X (0.215::0.215) (0.225::0.225)) + (IOPATH C1 X (0.159::0.159) (0.111::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7392_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.238::0.238)) + (IOPATH B X (0.162::0.163) (0.269::0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7393_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.333::0.333) (0.370::0.370)) + (IOPATH A2 X (0.357::0.357) (0.352::0.352)) + (IOPATH B1 X (0.353::0.353) (0.339::0.339)) + (IOPATH B2 X (0.353::0.353) (0.324::0.324)) + (IOPATH C1 X (0.298::0.299) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7394_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.212::0.212) (0.259::0.259)) + (IOPATH A2 X (0.161::0.161) (0.213::0.213)) + (IOPATH B1 X (0.141::0.141) (0.195::0.195)) + (IOPATH B2 X (0.159::0.159) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7395_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.307::0.307) (0.335::0.335)) + (IOPATH A2 X (0.310::0.310) (0.320::0.320)) + (IOPATH B1 X (0.272::0.272) (0.289::0.289)) + (IOPATH B2 X (0.308::0.308) (0.293::0.293)) + (IOPATH C1 X (0.256::0.257) (0.170::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7396_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.266) (0.199::0.208)) + (IOPATH B X (0.247::0.247) (0.204::0.209)) + (IOPATH C X (0.294::0.294) (0.257::0.264)) + (IOPATH D X (0.283::0.283) (0.257::0.264)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3_4") + (INSTANCE _7397_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.151) (0.172::0.172)) + (IOPATH B Y (0.163::0.165) (0.174::0.174)) + (IOPATH C Y (0.172::0.175) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_4") + (INSTANCE _7398_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.709::0.709) (0.138::0.138)) + (IOPATH B Y (0.687::0.687) (0.149::0.149)) + (IOPATH C Y (0.648::0.648) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7399_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.554::0.554)) + (IOPATH B X (0.162::0.162) (0.545::0.545)) + (IOPATH C X (0.146::0.146) (0.500::0.500)) + (IOPATH D X (0.132::0.132) (0.437::0.437)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7400_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _7401_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.314::0.314)) + (IOPATH B X (0.181::0.181) (0.285::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7402_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073::0.073) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _7403_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.303::0.303)) + (IOPATH B X (0.189::0.189) (0.286::0.286)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7404_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.392::0.392)) + (IOPATH B X (0.139::0.139) (0.371::0.371)) + (IOPATH C X (0.151::0.151) (0.338::0.338)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7405_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.223) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _7406_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.321::0.321)) + (IOPATH B X (0.183::0.183) (0.282::0.282)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7407_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.551::0.551)) + (IOPATH B X (0.160::0.160) (0.542::0.542)) + (IOPATH C X (0.140::0.140) (0.495::0.495)) + (IOPATH D X (0.130::0.130) (0.434::0.434)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7408_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7409_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.394::0.394)) + (IOPATH B X (0.140::0.140) (0.372::0.372)) + (IOPATH C X (0.150::0.150) (0.338::0.338)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7410_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.200) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7411_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.240::0.240)) + (IOPATH A2 X (0.173::0.173) (0.220::0.220)) + (IOPATH B1 X (0.211::0.211) (0.230::0.230)) + (IOPATH B2 X (0.162::0.162) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7412_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.220::0.220) (0.279::0.279)) + (IOPATH A2 X (0.221::0.221) (0.263::0.263)) + (IOPATH B1 X (0.199::0.199) (0.259::0.259)) + (IOPATH B2 X (0.216::0.216) (0.227::0.227)) + (IOPATH C1 X (0.165::0.166) (0.115::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _7413_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.301::0.301)) + (IOPATH B X (0.206::0.206) (0.297::0.297)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7414_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.404::0.404)) + (IOPATH B X (0.149::0.149) (0.382::0.382)) + (IOPATH C X (0.159::0.159) (0.348::0.348)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7415_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7416_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.397::0.397)) + (IOPATH B X (0.141::0.141) (0.375::0.375)) + (IOPATH C X (0.155::0.155) (0.343::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7417_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7418_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.550::0.550)) + (IOPATH B X (0.159::0.159) (0.541::0.541)) + (IOPATH C X (0.144::0.144) (0.496::0.496)) + (IOPATH D X (0.154::0.154) (0.431::0.431)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7419_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.213) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7420_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.397::0.397)) + (IOPATH B X (0.138::0.138) (0.372::0.372)) + (IOPATH C X (0.129::0.129) (0.344::0.344)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7421_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7422_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.183::0.183) (0.231::0.231)) + (IOPATH A2 X (0.167::0.167) (0.214::0.214)) + (IOPATH B1 X (0.243::0.243) (0.274::0.274)) + (IOPATH B2 X (0.158::0.158) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7423_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.271::0.271) (0.316::0.316)) + (IOPATH A2 X (0.257::0.257) (0.288::0.288)) + (IOPATH B1 X (0.281::0.281) (0.292::0.292)) + (IOPATH B2 X (0.256::0.256) (0.259::0.259)) + (IOPATH C1 X (0.211::0.213) (0.145::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7424_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.400::0.400)) + (IOPATH B X (0.143::0.143) (0.378::0.378)) + (IOPATH C X (0.157::0.157) (0.346::0.346)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7425_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.216) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7426_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.407::0.407)) + (IOPATH B X (0.148::0.148) (0.382::0.382)) + (IOPATH C X (0.136::0.136) (0.352::0.352)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7427_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7428_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.236::0.236) (0.282::0.282)) + (IOPATH A2 X (0.191::0.191) (0.236::0.236)) + (IOPATH B1 X (0.200::0.200) (0.219::0.219)) + (IOPATH B2 X (0.187::0.187) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7429_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.557::0.557)) + (IOPATH B X (0.164::0.164) (0.548::0.548)) + (IOPATH C X (0.145::0.145) (0.501::0.501)) + (IOPATH D X (0.159::0.159) (0.438::0.438)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7430_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.200::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7431_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.400::0.400)) + (IOPATH B X (0.146::0.146) (0.378::0.378)) + (IOPATH C X (0.132::0.132) (0.347::0.347)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7432_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7433_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.393::0.393)) + (IOPATH B X (0.140::0.140) (0.372::0.372)) + (IOPATH C X (0.128::0.128) (0.343::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7434_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.216) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7435_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.398::0.398)) + (IOPATH B X (0.139::0.139) (0.372::0.372)) + (IOPATH C X (0.129::0.129) (0.343::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7436_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7437_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.238::0.238)) + (IOPATH A2 X (0.167::0.167) (0.217::0.217)) + (IOPATH B1 X (0.152::0.152) (0.206::0.206)) + (IOPATH B2 X (0.157::0.157) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7438_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.308::0.308)) + (IOPATH A2 X (0.225::0.225) (0.266::0.266)) + (IOPATH B1 X (0.230::0.230) (0.294::0.294)) + (IOPATH B2 X (0.231::0.231) (0.242::0.242)) + (IOPATH C1 X (0.172::0.172) (0.119::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7439_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.176::0.176) (0.261::0.261)) + (IOPATH A2 X (0.167::0.167) (0.223::0.223)) + (IOPATH B1 X (0.153::0.153) (0.129::0.134)) + (IOPATH C1 X (0.142::0.143) (0.108::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7440_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.415::0.415)) + (IOPATH B X (0.159::0.159) (0.394::0.394)) + (IOPATH C X (0.171::0.171) (0.361::0.361)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7441_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.240::0.241) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7442_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.574::0.574)) + (IOPATH B X (0.177::0.177) (0.564::0.564)) + (IOPATH C X (0.159::0.159) (0.519::0.519)) + (IOPATH D X (0.147::0.147) (0.457::0.457)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7443_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7444_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.426::0.426)) + (IOPATH B X (0.167::0.167) (0.404::0.404)) + (IOPATH C X (0.157::0.157) (0.375::0.375)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7445_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7446_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.430::0.430)) + (IOPATH B X (0.172::0.172) (0.407::0.407)) + (IOPATH C X (0.158::0.158) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7447_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.185::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7448_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.241::0.241)) + (IOPATH A2 X (0.173::0.173) (0.221::0.221)) + (IOPATH B1 X (0.229::0.229) (0.253::0.253)) + (IOPATH B2 X (0.164::0.164) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7449_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.187::0.187) (0.277::0.277)) + (IOPATH A2 X (0.206::0.206) (0.252::0.252)) + (IOPATH B1 X (0.217::0.217) (0.240::0.240)) + (IOPATH B2 X (0.206::0.206) (0.219::0.219)) + (IOPATH C1 X (0.154::0.154) (0.107::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7450_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.413::0.413)) + (IOPATH B X (0.153::0.153) (0.388::0.388)) + (IOPATH C X (0.168::0.168) (0.357::0.357)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7451_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.240::0.240) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7452_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.158) (0.414::0.414)) + (IOPATH B X (0.157::0.157) (0.392::0.392)) + (IOPATH C X (0.146::0.146) (0.363::0.363)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7453_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.188::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7454_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.419::0.419)) + (IOPATH B X (0.157::0.157) (0.393::0.393)) + (IOPATH C X (0.148::0.148) (0.365::0.365)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7455_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7456_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.413::0.413)) + (IOPATH B X (0.152::0.152) (0.387::0.387)) + (IOPATH C X (0.167::0.167) (0.357::0.357)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7457_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.222) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7458_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.188::0.188) (0.274::0.274)) + (IOPATH A2 X (0.176::0.176) (0.222::0.222)) + (IOPATH B1 X (0.150::0.150) (0.194::0.194)) + (IOPATH B2 X (0.171::0.171) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7459_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.209::0.209) (0.304::0.304)) + (IOPATH A2 X (0.223::0.223) (0.266::0.266)) + (IOPATH B1 X (0.243::0.243) (0.262::0.262)) + (IOPATH B2 X (0.220::0.220) (0.235::0.235)) + (IOPATH C1 X (0.168::0.168) (0.117::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7460_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.419::0.419)) + (IOPATH B X (0.162::0.162) (0.398::0.398)) + (IOPATH C X (0.175::0.175) (0.366::0.366)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7461_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.194::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7462_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.412::0.412)) + (IOPATH B X (0.157::0.157) (0.392::0.392)) + (IOPATH C X (0.145::0.145) (0.361::0.361)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7463_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7464_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.576::0.576)) + (IOPATH B X (0.179::0.179) (0.567::0.567)) + (IOPATH C X (0.160::0.160) (0.521::0.521)) + (IOPATH D X (0.173::0.173) (0.457::0.457)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7465_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.222) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7466_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.163) (0.420::0.420)) + (IOPATH B X (0.164::0.164) (0.399::0.399)) + (IOPATH C X (0.152::0.152) (0.369::0.369)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7467_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.232) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7468_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.220::0.220)) + (IOPATH A2 X (0.171::0.171) (0.225::0.225)) + (IOPATH B1 X (0.165::0.165) (0.222::0.222)) + (IOPATH B2 X (0.162::0.162) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7469_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.206::0.206) (0.298::0.298)) + (IOPATH A2 X (0.222::0.222) (0.268::0.268)) + (IOPATH B1 X (0.238::0.238) (0.260::0.260)) + (IOPATH B2 X (0.223::0.223) (0.236::0.236)) + (IOPATH C1 X (0.164::0.164) (0.115::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7470_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.422::0.422)) + (IOPATH B X (0.160::0.160) (0.396::0.396)) + (IOPATH C X (0.174::0.174) (0.365::0.365)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7471_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7472_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.420::0.420)) + (IOPATH B X (0.161::0.161) (0.396::0.396)) + (IOPATH C X (0.173::0.173) (0.363::0.363)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7473_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7474_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.576::0.576)) + (IOPATH B X (0.179::0.179) (0.567::0.567)) + (IOPATH C X (0.160::0.160) (0.521::0.521)) + (IOPATH D X (0.149::0.149) (0.459::0.459)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7475_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.197::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7476_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.559::0.559)) + (IOPATH B X (0.166::0.166) (0.550::0.550)) + (IOPATH C X (0.148::0.148) (0.504::0.504)) + (IOPATH D X (0.160::0.160) (0.440::0.440)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7477_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.221) (0.198::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7478_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.310::0.310)) + (IOPATH A2 X (0.166::0.166) (0.216::0.216)) + (IOPATH B1 X (0.150::0.150) (0.204::0.204)) + (IOPATH B2 X (0.163::0.163) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7479_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.275::0.275)) + (IOPATH A2 X (0.206::0.206) (0.257::0.257)) + (IOPATH B1 X (0.196::0.196) (0.256::0.256)) + (IOPATH B2 X (0.205::0.205) (0.222::0.222)) + (IOPATH C1 X (0.159::0.160) (0.111::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7480_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.183::0.188)) + (IOPATH B X (0.256::0.257) (0.212::0.217)) + (IOPATH C X (0.262::0.262) (0.228::0.233)) + (IOPATH D X (0.266::0.266) (0.236::0.241)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_4") + (INSTANCE _7481_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.345::0.346) (0.213::0.219)) + (IOPATH B X (0.371::0.371) (0.250::0.256)) + (IOPATH C X (0.358::0.358) (0.248::0.253)) + (IOPATH D X (0.384::0.384) (0.280::0.282)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7482_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.107::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7483_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.233::0.233)) + (IOPATH A2 X (0.169::0.169) (0.217::0.217)) + (IOPATH B1 X (0.152::0.152) (0.207::0.207)) + (IOPATH B2 X (0.158::0.158) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7484_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.280::0.280) (0.323::0.323)) + (IOPATH A2 X (0.267::0.267) (0.294::0.294)) + (IOPATH B1 X (0.245::0.245) (0.294::0.294)) + (IOPATH B2 X (0.262::0.262) (0.262::0.262)) + (IOPATH C1 X (0.209::0.209) (0.143::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7485_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.235::0.235)) + (IOPATH A2 X (0.158::0.158) (0.207::0.207)) + (IOPATH B1 X (0.190::0.190) (0.205::0.205)) + (IOPATH B2 X (0.149::0.149) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7486_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.283::0.283) (0.351::0.351)) + (IOPATH A2 X (0.285::0.285) (0.303::0.303)) + (IOPATH B1 X (0.297::0.297) (0.301::0.301)) + (IOPATH B2 X (0.284::0.284) (0.276::0.276)) + (IOPATH C1 X (0.236::0.237) (0.158::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7487_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.282::0.282)) + (IOPATH A2 X (0.191::0.191) (0.236::0.236)) + (IOPATH B1 X (0.185::0.185) (0.241::0.241)) + (IOPATH B2 X (0.188::0.188) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7488_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.195::0.195) (0.239::0.239)) + (IOPATH A2 X (0.169::0.169) (0.218::0.218)) + (IOPATH B1 X (0.175::0.175) (0.197::0.197)) + (IOPATH B2 X (0.159::0.159) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7489_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.220::0.220) (0.279::0.279)) + (IOPATH A2 X (0.220::0.220) (0.263::0.263)) + (IOPATH B1 X (0.232::0.232) (0.253::0.253)) + (IOPATH B2 X (0.226::0.226) (0.238::0.238)) + (IOPATH C1 X (0.168::0.168) (0.117::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7490_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.287::0.287)) + (IOPATH A2 X (0.208::0.208) (0.254::0.254)) + (IOPATH B1 X (0.194::0.194) (0.158::0.162)) + (IOPATH C1 X (0.181::0.182) (0.134::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7491_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.237::0.237)) + (IOPATH A2 X (0.168::0.168) (0.217::0.217)) + (IOPATH B1 X (0.221::0.221) (0.246::0.246)) + (IOPATH B2 X (0.159::0.159) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7492_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.206::0.206) (0.269::0.269)) + (IOPATH A2 X (0.212::0.212) (0.257::0.257)) + (IOPATH B1 X (0.195::0.195) (0.255::0.255)) + (IOPATH B2 X (0.212::0.212) (0.224::0.224)) + (IOPATH C1 X (0.157::0.158) (0.110::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7493_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.252::0.252)) + (IOPATH A2 X (0.164::0.164) (0.212::0.212)) + (IOPATH B1 X (0.143::0.143) (0.181::0.181)) + (IOPATH B2 X (0.159::0.159) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7494_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.206::0.206) (0.268::0.268)) + (IOPATH A2 X (0.207::0.207) (0.254::0.254)) + (IOPATH B1 X (0.204::0.204) (0.232::0.232)) + (IOPATH B2 X (0.204::0.204) (0.222::0.222)) + (IOPATH C1 X (0.148::0.149) (0.103::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7495_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.184::0.184) (0.232::0.232)) + (IOPATH A2 X (0.179::0.179) (0.232::0.232)) + (IOPATH B1 X (0.166::0.166) (0.223::0.223)) + (IOPATH B2 X (0.170::0.170) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7496_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.296::0.296)) + (IOPATH A2 X (0.224::0.224) (0.271::0.271)) + (IOPATH B1 X (0.251::0.251) (0.272::0.272)) + (IOPATH B2 X (0.226::0.226) (0.238::0.238)) + (IOPATH C1 X (0.170::0.170) (0.118::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7497_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.274::0.274)) + (IOPATH A2 X (0.210::0.210) (0.248::0.248)) + (IOPATH B1 X (0.198::0.198) (0.246::0.246)) + (IOPATH B2 X (0.207::0.207) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7498_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.199::0.199) (0.297::0.297)) + (IOPATH A2 X (0.200::0.200) (0.253::0.253)) + (IOPATH B1 X (0.230::0.230) (0.250::0.250)) + (IOPATH B2 X (0.199::0.199) (0.218::0.218)) + (IOPATH C1 X (0.172::0.172) (0.120::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7499_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.281::0.282) (0.203::0.208)) + (IOPATH B X (0.291::0.291) (0.227::0.232)) + (IOPATH C X (0.302::0.302) (0.248::0.253)) + (IOPATH D X (0.303::0.304) (0.254::0.259)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7500_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.211::0.218) (0.295::0.295)) + (IOPATH B Y (0.255::0.261) (0.322::0.322)) + (IOPATH C Y (0.258::0.262) (0.312::0.312)) + (IOPATH D Y (0.263::0.265) (0.332::0.332)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7501_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.240::0.240)) + (IOPATH A2 X (0.170::0.170) (0.219::0.219)) + (IOPATH B1 X (0.160::0.160) (0.217::0.217)) + (IOPATH B2 X (0.160::0.160) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7502_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.270::0.270) (0.341::0.341)) + (IOPATH A2 X (0.287::0.287) (0.306::0.306)) + (IOPATH B1 X (0.268::0.268) (0.310::0.310)) + (IOPATH B2 X (0.282::0.282) (0.275::0.275)) + (IOPATH C1 X (0.229::0.229) (0.155::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7503_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.180::0.180) (0.229::0.229)) + (IOPATH A2 X (0.159::0.159) (0.208::0.208)) + (IOPATH B1 X (0.183::0.183) (0.201::0.201)) + (IOPATH B2 X (0.150::0.150) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7504_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.283::0.283) (0.352::0.352)) + (IOPATH A2 X (0.284::0.284) (0.302::0.302)) + (IOPATH B1 X (0.280::0.280) (0.324::0.324)) + (IOPATH B2 X (0.284::0.284) (0.275::0.275)) + (IOPATH C1 X (0.236::0.236) (0.158::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7505_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.237::0.237) (0.307::0.307)) + (IOPATH A2 X (0.223::0.223) (0.257::0.257)) + (IOPATH B1 X (0.234::0.234) (0.245::0.245)) + (IOPATH B2 X (0.219::0.219) (0.232::0.232)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7506_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.232::0.232)) + (IOPATH A2 X (0.167::0.167) (0.217::0.217)) + (IOPATH B1 X (0.154::0.154) (0.209::0.209)) + (IOPATH B2 X (0.157::0.157) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7507_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.298::0.298)) + (IOPATH A2 X (0.219::0.219) (0.262::0.262)) + (IOPATH B1 X (0.227::0.227) (0.250::0.250)) + (IOPATH B2 X (0.225::0.225) (0.238::0.238)) + (IOPATH C1 X (0.166::0.166) (0.115::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7508_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.215::0.215) (0.287::0.287)) + (IOPATH A2 X (0.208::0.208) (0.255::0.255)) + (IOPATH B1 X (0.207::0.207) (0.168::0.172)) + (IOPATH C1 X (0.182::0.182) (0.134::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7509_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.238::0.238)) + (IOPATH A2 X (0.183::0.183) (0.229::0.229)) + (IOPATH B1 X (0.201::0.201) (0.267::0.267)) + (IOPATH B2 X (0.174::0.174) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7510_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.298::0.298)) + (IOPATH A2 X (0.222::0.222) (0.265::0.265)) + (IOPATH B1 X (0.241::0.241) (0.260::0.260)) + (IOPATH B2 X (0.221::0.221) (0.233::0.233)) + (IOPATH C1 X (0.173::0.173) (0.121::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7511_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.175::0.175) (0.227::0.227)) + (IOPATH A2 X (0.183::0.183) (0.227::0.227)) + (IOPATH B1 X (0.218::0.218) (0.240::0.240)) + (IOPATH B2 X (0.179::0.179) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7512_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.275::0.275)) + (IOPATH A2 X (0.205::0.205) (0.252::0.252)) + (IOPATH B1 X (0.208::0.208) (0.234::0.234)) + (IOPATH B2 X (0.202::0.202) (0.220::0.220)) + (IOPATH C1 X (0.154::0.154) (0.107::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7513_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.178::0.178) (0.227::0.227)) + (IOPATH A2 X (0.172::0.172) (0.227::0.227)) + (IOPATH B1 X (0.156::0.156) (0.212::0.212)) + (IOPATH B2 X (0.164::0.164) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7514_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.296::0.296)) + (IOPATH A2 X (0.227::0.227) (0.272::0.272)) + (IOPATH B1 X (0.219::0.219) (0.247::0.247)) + (IOPATH B2 X (0.228::0.228) (0.240::0.240)) + (IOPATH C1 X (0.169::0.170) (0.118::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7515_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.228::0.228) (0.286::0.286)) + (IOPATH A2 X (0.231::0.231) (0.261::0.261)) + (IOPATH B1 X (0.216::0.216) (0.257::0.257)) + (IOPATH B2 X (0.228::0.228) (0.235::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7516_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.224::0.224) (0.283::0.283)) + (IOPATH A2 X (0.207::0.207) (0.258::0.258)) + (IOPATH B1 X (0.237::0.237) (0.256::0.256)) + (IOPATH B2 X (0.206::0.206) (0.224::0.224)) + (IOPATH C1 X (0.188::0.188) (0.131::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _7517_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.292::0.293) (0.226::0.231)) + (IOPATH B X (0.298::0.299) (0.251::0.256)) + (IOPATH C X (0.310::0.311) (0.273::0.279)) + (IOPATH D X (0.313::0.313) (0.280::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7518_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.212::0.219) (0.296::0.297)) + (IOPATH B Y (0.250::0.256) (0.315::0.316)) + (IOPATH C Y (0.253::0.257) (0.305::0.306)) + (IOPATH D Y (0.250::0.253) (0.318::0.318)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7519_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.205) (0.244::0.244)) + (IOPATH A2 X (0.195::0.195) (0.235::0.235)) + (IOPATH B1 X (0.202::0.202) (0.219::0.219)) + (IOPATH B2 X (0.183::0.183) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7520_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.260::0.260) (0.340::0.340)) + (IOPATH A2 X (0.262::0.262) (0.291::0.291)) + (IOPATH B1 X (0.267::0.267) (0.282::0.282)) + (IOPATH B2 X (0.258::0.258) (0.260::0.260)) + (IOPATH C1 X (0.218::0.219) (0.150::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7521_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.179::0.179) (0.226::0.226)) + (IOPATH A2 X (0.162::0.162) (0.210::0.210)) + (IOPATH B1 X (0.160::0.160) (0.213::0.213)) + (IOPATH B2 X (0.153::0.153) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7522_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.275::0.275) (0.317::0.317)) + (IOPATH A2 X (0.264::0.264) (0.290::0.290)) + (IOPATH B1 X (0.256::0.256) (0.300::0.300)) + (IOPATH B2 X (0.263::0.263) (0.262::0.262)) + (IOPATH C1 X (0.216::0.216) (0.147::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7523_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.243::0.243)) + (IOPATH A2 X (0.176::0.176) (0.221::0.221)) + (IOPATH B1 X (0.166::0.166) (0.215::0.215)) + (IOPATH B2 X (0.173::0.173) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7524_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.263::0.263)) + (IOPATH A2 X (0.186::0.186) (0.231::0.231)) + (IOPATH B1 X (0.212::0.212) (0.231::0.231)) + (IOPATH B2 X (0.176::0.176) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7525_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.295::0.295)) + (IOPATH A2 X (0.217::0.217) (0.261::0.261)) + (IOPATH B1 X (0.236::0.236) (0.256::0.256)) + (IOPATH B2 X (0.222::0.222) (0.233::0.233)) + (IOPATH C1 X (0.172::0.173) (0.120::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7526_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.227::0.227) (0.270::0.270)) + (IOPATH A2 X (0.210::0.210) (0.255::0.255)) + (IOPATH B1 X (0.191::0.191) (0.155::0.158)) + (IOPATH C1 X (0.182::0.183) (0.135::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7527_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.228::0.228)) + (IOPATH A2 X (0.165::0.165) (0.215::0.215)) + (IOPATH B1 X (0.186::0.186) (0.205::0.205)) + (IOPATH B2 X (0.155::0.155) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7528_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.269::0.269)) + (IOPATH A2 X (0.206::0.206) (0.252::0.252)) + (IOPATH B1 X (0.226::0.226) (0.260::0.260)) + (IOPATH B2 X (0.206::0.206) (0.218::0.218)) + (IOPATH C1 X (0.151::0.151) (0.105::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7529_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.232::0.232)) + (IOPATH A2 X (0.165::0.165) (0.213::0.213)) + (IOPATH B1 X (0.176::0.176) (0.197::0.197)) + (IOPATH B2 X (0.158::0.158) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7530_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.275::0.275)) + (IOPATH A2 X (0.212::0.212) (0.257::0.257)) + (IOPATH B1 X (0.235::0.235) (0.259::0.259)) + (IOPATH B2 X (0.207::0.207) (0.220::0.220)) + (IOPATH C1 X (0.154::0.154) (0.107::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7531_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.220::0.220)) + (IOPATH A2 X (0.166::0.166) (0.214::0.214)) + (IOPATH B1 X (0.170::0.170) (0.193::0.193)) + (IOPATH B2 X (0.159::0.159) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7532_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.187::0.187) (0.272::0.272)) + (IOPATH A2 X (0.206::0.206) (0.253::0.253)) + (IOPATH B1 X (0.215::0.215) (0.242::0.242)) + (IOPATH B2 X (0.207::0.207) (0.219::0.219)) + (IOPATH C1 X (0.149::0.150) (0.104::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7533_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.215::0.215) (0.280::0.280)) + (IOPATH A2 X (0.157::0.157) (0.207::0.207)) + (IOPATH B1 X (0.188::0.188) (0.204::0.204)) + (IOPATH B2 X (0.154::0.154) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7534_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.211::0.211) (0.291::0.291)) + (IOPATH A2 X (0.223::0.223) (0.265::0.265)) + (IOPATH B1 X (0.231::0.231) (0.254::0.254)) + (IOPATH B2 X (0.221::0.221) (0.233::0.233)) + (IOPATH C1 X (0.175::0.176) (0.121::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7535_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.178::0.183)) + (IOPATH B X (0.249::0.250) (0.205::0.210)) + (IOPATH C X (0.254::0.255) (0.219::0.224)) + (IOPATH D X (0.266::0.267) (0.237::0.242)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7536_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.141) (0.181::0.181)) + (IOPATH B Y (0.163::0.170) (0.201::0.201)) + (IOPATH C Y (0.172::0.175) (0.199::0.200)) + (IOPATH D Y (0.171::0.173) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7537_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.217::0.217) (0.263::0.263)) + (IOPATH A2 X (0.178::0.178) (0.223::0.223)) + (IOPATH B1 X (0.189::0.189) (0.210::0.210)) + (IOPATH B2 X (0.167::0.167) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7538_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.251::0.251) (0.299::0.299)) + (IOPATH A2 X (0.279::0.279) (0.301::0.301)) + (IOPATH B1 X (0.303::0.303) (0.307::0.307)) + (IOPATH B2 X (0.274::0.274) (0.269::0.269)) + (IOPATH C1 X (0.225::0.226) (0.153::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7539_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.232::0.232)) + (IOPATH A2 X (0.166::0.166) (0.213::0.213)) + (IOPATH B1 X (0.175::0.175) (0.197::0.197)) + (IOPATH B2 X (0.157::0.157) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7540_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.246::0.246) (0.322::0.322)) + (IOPATH A2 X (0.253::0.253) (0.284::0.284)) + (IOPATH B1 X (0.240::0.240) (0.290::0.290)) + (IOPATH B2 X (0.252::0.252) (0.255::0.255)) + (IOPATH C1 X (0.207::0.207) (0.142::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7541_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.242::0.242)) + (IOPATH A2 X (0.171::0.171) (0.216::0.216)) + (IOPATH B1 X (0.159::0.159) (0.211::0.211)) + (IOPATH B2 X (0.168::0.168) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7542_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.235::0.235) (0.285::0.285)) + (IOPATH A2 X (0.168::0.168) (0.217::0.217)) + (IOPATH B1 X (0.184::0.184) (0.250::0.250)) + (IOPATH B2 X (0.158::0.158) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7543_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.293::0.293)) + (IOPATH A2 X (0.224::0.224) (0.265::0.265)) + (IOPATH B1 X (0.237::0.237) (0.258::0.258)) + (IOPATH B2 X (0.228::0.228) (0.238::0.238)) + (IOPATH C1 X (0.172::0.173) (0.119::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7544_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.210::0.210) (0.282::0.282)) + (IOPATH A2 X (0.206::0.206) (0.253::0.253)) + (IOPATH B1 X (0.186::0.186) (0.151::0.154)) + (IOPATH C1 X (0.182::0.182) (0.134::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7545_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.244::0.244) (0.286::0.286)) + (IOPATH A2 X (0.189::0.189) (0.233::0.233)) + (IOPATH B1 X (0.237::0.237) (0.256::0.256)) + (IOPATH B2 X (0.179::0.179) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7546_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.270::0.270)) + (IOPATH A2 X (0.202::0.202) (0.249::0.249)) + (IOPATH B1 X (0.182::0.182) (0.242::0.242)) + (IOPATH B2 X (0.202::0.202) (0.215::0.215)) + (IOPATH C1 X (0.157::0.157) (0.109::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7547_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.179::0.179) (0.228::0.228)) + (IOPATH A2 X (0.163::0.163) (0.212::0.212)) + (IOPATH B1 X (0.191::0.191) (0.208::0.208)) + (IOPATH B2 X (0.159::0.159) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7548_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.294::0.294)) + (IOPATH A2 X (0.208::0.208) (0.254::0.254)) + (IOPATH B1 X (0.187::0.187) (0.247::0.247)) + (IOPATH B2 X (0.203::0.203) (0.216::0.216)) + (IOPATH C1 X (0.149::0.150) (0.104::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7549_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.230::0.230)) + (IOPATH A2 X (0.165::0.165) (0.213::0.213)) + (IOPATH B1 X (0.171::0.171) (0.192::0.192)) + (IOPATH B2 X (0.157::0.157) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7550_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.231::0.231) (0.290::0.290)) + (IOPATH A2 X (0.218::0.218) (0.264::0.264)) + (IOPATH B1 X (0.214::0.214) (0.278::0.278)) + (IOPATH B2 X (0.220::0.220) (0.230::0.230)) + (IOPATH C1 X (0.160::0.161) (0.112::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7551_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.265::0.265)) + (IOPATH A2 X (0.187::0.187) (0.233::0.233)) + (IOPATH B1 X (0.194::0.194) (0.215::0.215)) + (IOPATH B2 X (0.183::0.183) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7552_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.223::0.223) (0.283::0.283)) + (IOPATH A2 X (0.204::0.204) (0.251::0.251)) + (IOPATH B1 X (0.215::0.215) (0.281::0.281)) + (IOPATH B2 X (0.203::0.203) (0.218::0.218)) + (IOPATH C1 X (0.168::0.168) (0.117::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7553_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.282::0.282) (0.202::0.207)) + (IOPATH B X (0.294::0.295) (0.229::0.234)) + (IOPATH C X (0.303::0.304) (0.248::0.253)) + (IOPATH D X (0.308::0.308) (0.257::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7554_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.120) (0.149::0.150)) + (IOPATH B Y (0.133::0.139) (0.160::0.161)) + (IOPATH C Y (0.142::0.146) (0.162::0.163)) + (IOPATH D Y (0.158::0.160) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7555_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.171::0.171) (0.255::0.255)) + (IOPATH A2 X (0.163::0.163) (0.213::0.213)) + (IOPATH B1 X (0.148::0.148) (0.203::0.203)) + (IOPATH B2 X (0.152::0.152) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7556_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.239::0.239) (0.290::0.290)) + (IOPATH A2 X (0.271::0.271) (0.296::0.296)) + (IOPATH B1 X (0.256::0.256) (0.306::0.306)) + (IOPATH B2 X (0.266::0.266) (0.265::0.265)) + (IOPATH C1 X (0.211::0.211) (0.144::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7557_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.241::0.241)) + (IOPATH A2 X (0.167::0.167) (0.214::0.214)) + (IOPATH B1 X (0.172::0.172) (0.196::0.196)) + (IOPATH B2 X (0.158::0.158) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7558_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.254::0.254) (0.300::0.300)) + (IOPATH A2 X (0.266::0.266) (0.291::0.291)) + (IOPATH B1 X (0.257::0.257) (0.305::0.305)) + (IOPATH B2 X (0.265::0.265) (0.263::0.263)) + (IOPATH C1 X (0.220::0.220) (0.149::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7559_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.280::0.280) (0.305::0.305)) + (IOPATH A2 X (0.233::0.233) (0.262::0.262)) + (IOPATH B1 X (0.218::0.218) (0.236::0.236)) + (IOPATH B2 X (0.229::0.229) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7560_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.256::0.256)) + (IOPATH A2 X (0.183::0.183) (0.229::0.229)) + (IOPATH B1 X (0.228::0.228) (0.249::0.249)) + (IOPATH B2 X (0.173::0.173) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7561_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.198::0.198) (0.263::0.263)) + (IOPATH A2 X (0.223::0.223) (0.265::0.265)) + (IOPATH B1 X (0.202::0.202) (0.258::0.258)) + (IOPATH B2 X (0.228::0.228) (0.239::0.239)) + (IOPATH C1 X (0.177::0.177) (0.123::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7562_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.286::0.286)) + (IOPATH A2 X (0.206::0.206) (0.253::0.253)) + (IOPATH B1 X (0.208::0.208) (0.169::0.174)) + (IOPATH C1 X (0.181::0.182) (0.134::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7563_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.236::0.236)) + (IOPATH A2 X (0.175::0.175) (0.223::0.223)) + (IOPATH B1 X (0.193::0.193) (0.259::0.259)) + (IOPATH B2 X (0.166::0.166) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7564_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.292::0.292)) + (IOPATH A2 X (0.215::0.215) (0.260::0.260)) + (IOPATH B1 X (0.247::0.247) (0.266::0.266)) + (IOPATH B2 X (0.215::0.215) (0.228::0.228)) + (IOPATH C1 X (0.163::0.164) (0.114::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7565_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.239::0.239)) + (IOPATH A2 X (0.182::0.182) (0.226::0.226)) + (IOPATH B1 X (0.147::0.147) (0.186::0.186)) + (IOPATH B2 X (0.177::0.178) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7566_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.246::0.246)) + (IOPATH A2 X (0.204::0.204) (0.251::0.251)) + (IOPATH B1 X (0.198::0.198) (0.263::0.263)) + (IOPATH B2 X (0.201::0.201) (0.219::0.219)) + (IOPATH C1 X (0.152::0.152) (0.106::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7567_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.229::0.229)) + (IOPATH A2 X (0.170::0.170) (0.225::0.225)) + (IOPATH B1 X (0.173::0.173) (0.234::0.234)) + (IOPATH B2 X (0.162::0.162) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7568_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.260::0.260)) + (IOPATH A2 X (0.220::0.220) (0.268::0.268)) + (IOPATH B1 X (0.217::0.217) (0.280::0.280)) + (IOPATH B2 X (0.221::0.221) (0.235::0.235)) + (IOPATH C1 X (0.162::0.162) (0.113::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7569_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.211::0.211) (0.252::0.252)) + (IOPATH A2 X (0.175::0.175) (0.222::0.222)) + (IOPATH B1 X (0.171::0.171) (0.227::0.227)) + (IOPATH B2 X (0.172::0.172) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7570_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.193::0.193) (0.284::0.284)) + (IOPATH A2 X (0.202::0.202) (0.255::0.255)) + (IOPATH B1 X (0.204::0.204) (0.272::0.272)) + (IOPATH B2 X (0.201::0.201) (0.220::0.220)) + (IOPATH C1 X (0.160::0.160) (0.111::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _7571_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.284::0.285) (0.222::0.227)) + (IOPATH B X (0.292::0.293) (0.247::0.252)) + (IOPATH C X (0.303::0.303) (0.268::0.274)) + (IOPATH D X (0.306::0.306) (0.276::0.281)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7572_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.185::0.192) (0.255::0.255)) + (IOPATH B Y (0.219::0.225) (0.273::0.274)) + (IOPATH C Y (0.226::0.230) (0.270::0.270)) + (IOPATH D Y (0.224::0.227) (0.282::0.282)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7573_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.188::0.188) (0.237::0.237)) + (IOPATH A2 X (0.170::0.170) (0.218::0.218)) + (IOPATH B1 X (0.191::0.191) (0.209::0.209)) + (IOPATH B2 X (0.159::0.159) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7574_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.264::0.264) (0.306::0.306)) + (IOPATH A2 X (0.293::0.293) (0.309::0.309)) + (IOPATH B1 X (0.323::0.323) (0.323::0.323)) + (IOPATH B2 X (0.288::0.288) (0.279::0.279)) + (IOPATH C1 X (0.235::0.235) (0.158::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7575_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.244::0.244)) + (IOPATH A2 X (0.162::0.162) (0.211::0.211)) + (IOPATH B1 X (0.170::0.170) (0.193::0.193)) + (IOPATH B2 X (0.153::0.153) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7576_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.274::0.274) (0.337::0.337)) + (IOPATH A2 X (0.283::0.283) (0.302::0.302)) + (IOPATH B1 X (0.307::0.307) (0.307::0.307)) + (IOPATH B2 X (0.282::0.282) (0.275::0.275)) + (IOPATH C1 X (0.236::0.236) (0.158::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7577_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.222::0.222) (0.262::0.262)) + (IOPATH A2 X (0.185::0.185) (0.229::0.229)) + (IOPATH B1 X (0.169::0.169) (0.222::0.222)) + (IOPATH B2 X (0.181::0.181) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7578_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.176::0.176) (0.226::0.226)) + (IOPATH A2 X (0.169::0.169) (0.218::0.218)) + (IOPATH B1 X (0.179::0.179) (0.200::0.200)) + (IOPATH B2 X (0.159::0.159) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7579_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.284::0.284)) + (IOPATH A2 X (0.214::0.214) (0.258::0.258)) + (IOPATH B1 X (0.233::0.233) (0.253::0.253)) + (IOPATH B2 X (0.219::0.219) (0.233::0.233)) + (IOPATH C1 X (0.162::0.162) (0.112::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7580_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.248::0.248) (0.288::0.288)) + (IOPATH A2 X (0.208::0.208) (0.255::0.255)) + (IOPATH B1 X (0.192::0.192) (0.156::0.160)) + (IOPATH C1 X (0.180::0.180) (0.133::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7581_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.237::0.237) (0.282::0.282)) + (IOPATH A2 X (0.178::0.178) (0.225::0.225)) + (IOPATH B1 X (0.167::0.167) (0.206::0.206)) + (IOPATH B2 X (0.169::0.169) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7582_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.291::0.291)) + (IOPATH A2 X (0.215::0.215) (0.260::0.260)) + (IOPATH B1 X (0.192::0.192) (0.251::0.251)) + (IOPATH B2 X (0.215::0.215) (0.227::0.227)) + (IOPATH C1 X (0.165::0.165) (0.115::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7583_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.237::0.237)) + (IOPATH A2 X (0.167::0.167) (0.214::0.214)) + (IOPATH B1 X (0.149::0.149) (0.180::0.180)) + (IOPATH B2 X (0.162::0.162) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7584_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.188::0.188) (0.280::0.280)) + (IOPATH A2 X (0.207::0.207) (0.254::0.254)) + (IOPATH B1 X (0.192::0.192) (0.225::0.225)) + (IOPATH B2 X (0.204::0.204) (0.222::0.222)) + (IOPATH C1 X (0.149::0.149) (0.104::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7585_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.229::0.229)) + (IOPATH A2 X (0.169::0.169) (0.224::0.224)) + (IOPATH B1 X (0.146::0.146) (0.177::0.177)) + (IOPATH B2 X (0.160::0.160) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7586_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.228::0.228) (0.310::0.310)) + (IOPATH A2 X (0.248::0.248) (0.287::0.287)) + (IOPATH B1 X (0.239::0.239) (0.263::0.263)) + (IOPATH B2 X (0.249::0.249) (0.257::0.257)) + (IOPATH C1 X (0.189::0.189) (0.131::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7587_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.184::0.184) (0.269::0.269)) + (IOPATH A2 X (0.168::0.168) (0.216::0.216)) + (IOPATH B1 X (0.156::0.156) (0.210::0.210)) + (IOPATH B2 X (0.165::0.165) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7588_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.297::0.297)) + (IOPATH A2 X (0.202::0.202) (0.255::0.255)) + (IOPATH B1 X (0.228::0.228) (0.248::0.248)) + (IOPATH B2 X (0.201::0.201) (0.220::0.220)) + (IOPATH C1 X (0.157::0.157) (0.109::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7589_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.290::0.290) (0.208::0.213)) + (IOPATH B X (0.298::0.298) (0.231::0.236)) + (IOPATH C X (0.315::0.316) (0.259::0.265)) + (IOPATH D X (0.311::0.312) (0.258::0.263)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7590_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.194::0.201) (0.269::0.270)) + (IOPATH B Y (0.227::0.234) (0.286::0.286)) + (IOPATH C Y (0.231::0.235) (0.276::0.277)) + (IOPATH D Y (0.240::0.243) (0.298::0.298)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7591_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.172::0.172) (0.257::0.257)) + (IOPATH A2 X (0.166::0.166) (0.215::0.215)) + (IOPATH B1 X (0.149::0.149) (0.204::0.204)) + (IOPATH B2 X (0.155::0.155) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7592_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.258::0.258) (0.332::0.332)) + (IOPATH A2 X (0.276::0.276) (0.299::0.299)) + (IOPATH B1 X (0.285::0.285) (0.294::0.294)) + (IOPATH B2 X (0.271::0.271) (0.268::0.268)) + (IOPATH C1 X (0.216::0.216) (0.147::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7593_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.166::0.166) (0.249::0.249)) + (IOPATH A2 X (0.165::0.165) (0.213::0.213)) + (IOPATH B1 X (0.208::0.208) (0.227::0.227)) + (IOPATH B2 X (0.156::0.156) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7594_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.272::0.272) (0.329::0.329)) + (IOPATH A2 X (0.288::0.288) (0.305::0.305)) + (IOPATH B1 X (0.282::0.282) (0.322::0.322)) + (IOPATH B2 X (0.287::0.287) (0.278::0.278)) + (IOPATH C1 X (0.242::0.243) (0.162::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7595_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.244::0.244)) + (IOPATH A2 X (0.164::0.164) (0.212::0.212)) + (IOPATH B1 X (0.154::0.154) (0.183::0.183)) + (IOPATH B2 X (0.161::0.161) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7596_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.237::0.237)) + (IOPATH A2 X (0.169::0.169) (0.219::0.219)) + (IOPATH B1 X (0.204::0.204) (0.223::0.223)) + (IOPATH B2 X (0.159::0.159) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7597_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.206::0.206) (0.268::0.268)) + (IOPATH A2 X (0.227::0.227) (0.268::0.268)) + (IOPATH B1 X (0.222::0.222) (0.283::0.283)) + (IOPATH B2 X (0.233::0.233) (0.243::0.243)) + (IOPATH C1 X (0.175::0.176) (0.122::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7598_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.203::0.203) (0.254::0.254)) + (IOPATH A2 X (0.207::0.207) (0.254::0.254)) + (IOPATH B1 X (0.184::0.184) (0.149::0.152)) + (IOPATH C1 X (0.183::0.184) (0.135::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7599_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.241::0.241)) + (IOPATH A2 X (0.164::0.164) (0.214::0.214)) + (IOPATH B1 X (0.193::0.193) (0.264::0.264)) + (IOPATH B2 X (0.155::0.155) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7600_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.215::0.215) (0.277::0.277)) + (IOPATH A2 X (0.205::0.205) (0.252::0.252)) + (IOPATH B1 X (0.186::0.186) (0.246::0.246)) + (IOPATH B2 X (0.205::0.205) (0.219::0.219)) + (IOPATH C1 X (0.149::0.150) (0.104::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7601_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.240::0.240)) + (IOPATH A2 X (0.164::0.164) (0.212::0.212)) + (IOPATH B1 X (0.146::0.146) (0.178::0.178)) + (IOPATH B2 X (0.160::0.160) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7602_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.181::0.181) (0.250::0.250)) + (IOPATH A2 X (0.211::0.211) (0.257::0.257)) + (IOPATH B1 X (0.249::0.249) (0.271::0.271)) + (IOPATH B2 X (0.208::0.208) (0.226::0.226)) + (IOPATH C1 X (0.152::0.153) (0.106::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7603_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.234::0.234)) + (IOPATH A2 X (0.169::0.169) (0.224::0.224)) + (IOPATH B1 X (0.205::0.205) (0.225::0.225)) + (IOPATH B2 X (0.160::0.160) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7604_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.243::0.243) (0.319::0.319)) + (IOPATH A2 X (0.264::0.264) (0.299::0.299)) + (IOPATH B1 X (0.286::0.286) (0.297::0.297)) + (IOPATH B2 X (0.266::0.266) (0.270::0.270)) + (IOPATH C1 X (0.205::0.206) (0.142::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7605_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.174::0.174) (0.251::0.251)) + (IOPATH A2 X (0.173::0.173) (0.220::0.220)) + (IOPATH B1 X (0.168::0.168) (0.223::0.223)) + (IOPATH B2 X (0.170::0.170) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7606_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.197) (0.262::0.262)) + (IOPATH A2 X (0.209::0.209) (0.260::0.260)) + (IOPATH B1 X (0.220::0.220) (0.292::0.292)) + (IOPATH B2 X (0.208::0.208) (0.226::0.226)) + (IOPATH C1 X (0.166::0.166) (0.115::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7607_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.254::0.254) (0.187::0.192)) + (IOPATH B X (0.266::0.267) (0.214::0.219)) + (IOPATH C X (0.287::0.288) (0.244::0.251)) + (IOPATH D X (0.280::0.280) (0.242::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7608_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.181::0.188) (0.251::0.251)) + (IOPATH B Y (0.220::0.227) (0.276::0.277)) + (IOPATH C Y (0.222::0.225) (0.264::0.265)) + (IOPATH D Y (0.222::0.225) (0.280::0.280)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7609_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.231::0.231)) + (IOPATH A2 X (0.163::0.163) (0.211::0.211)) + (IOPATH B1 X (0.146::0.146) (0.199::0.199)) + (IOPATH B2 X (0.152::0.152) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7610_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.325::0.325) (0.371::0.371)) + (IOPATH A2 X (0.343::0.343) (0.338::0.338)) + (IOPATH B1 X (0.333::0.333) (0.363::0.363)) + (IOPATH B2 X (0.338::0.338) (0.311::0.311)) + (IOPATH C1 X (0.283::0.283) (0.184::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7611_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.254::0.254)) + (IOPATH A2 X (0.194::0.194) (0.234::0.234)) + (IOPATH B1 X (0.186::0.186) (0.239::0.239)) + (IOPATH B2 X (0.184::0.184) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7612_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.374::0.374) (0.427::0.427)) + (IOPATH A2 X (0.285::0.285) (0.303::0.303)) + (IOPATH B1 X (0.257::0.257) (0.276::0.276)) + (IOPATH B2 X (0.284::0.284) (0.276::0.276)) + (IOPATH C1 X (0.251::0.251) (0.169::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _7613_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.377::0.377) (0.405::0.405)) + (IOPATH A2 X (0.373::0.373) (0.366::0.366)) + (IOPATH B1 X (0.360::0.360) (0.370::0.370)) + (IOPATH B2 X (0.373::0.373) (0.345::0.345)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7614_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.231::0.231)) + (IOPATH A2 X (0.167::0.167) (0.215::0.215)) + (IOPATH B1 X (0.148::0.148) (0.180::0.180)) + (IOPATH B2 X (0.157::0.157) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7615_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.298::0.298)) + (IOPATH A2 X (0.228::0.228) (0.268::0.268)) + (IOPATH B1 X (0.205::0.205) (0.261::0.261)) + (IOPATH B2 X (0.232::0.232) (0.239::0.239)) + (IOPATH C1 X (0.175::0.175) (0.122::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7616_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.278::0.278)) + (IOPATH A2 X (0.208::0.208) (0.254::0.254)) + (IOPATH B1 X (0.249::0.249) (0.208::0.212)) + (IOPATH C1 X (0.184::0.185) (0.136::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7617_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.158::0.158) (0.240::0.240)) + (IOPATH A2 X (0.162::0.162) (0.213::0.213)) + (IOPATH B1 X (0.148::0.148) (0.202::0.202)) + (IOPATH B2 X (0.152::0.152) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7618_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.230::0.230) (0.289::0.289)) + (IOPATH A2 X (0.220::0.220) (0.263::0.263)) + (IOPATH B1 X (0.218::0.218) (0.245::0.245)) + (IOPATH B2 X (0.220::0.220) (0.231::0.231)) + (IOPATH C1 X (0.163::0.163) (0.114::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7619_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.245::0.245)) + (IOPATH A2 X (0.164::0.164) (0.212::0.212)) + (IOPATH B1 X (0.208::0.208) (0.230::0.230)) + (IOPATH B2 X (0.158::0.158) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7620_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.289::0.289) (0.340::0.340)) + (IOPATH A2 X (0.258::0.258) (0.291::0.291)) + (IOPATH B1 X (0.234::0.234) (0.288::0.288)) + (IOPATH B2 X (0.254::0.254) (0.260::0.260)) + (IOPATH C1 X (0.198::0.199) (0.137::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7621_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.232::0.232)) + (IOPATH A2 X (0.168::0.168) (0.222::0.222)) + (IOPATH B1 X (0.167::0.167) (0.190::0.190)) + (IOPATH B2 X (0.160::0.160) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7622_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.230::0.230) (0.290::0.290)) + (IOPATH A2 X (0.213::0.213) (0.260::0.260)) + (IOPATH B1 X (0.244::0.244) (0.264::0.264)) + (IOPATH B2 X (0.214::0.214) (0.227::0.227)) + (IOPATH C1 X (0.155::0.155) (0.108::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7623_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.244::0.244) (0.298::0.298)) + (IOPATH A2 X (0.160::0.160) (0.212::0.212)) + (IOPATH B1 X (0.151::0.151) (0.206::0.206)) + (IOPATH B2 X (0.156::0.156) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7624_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.318::0.318) (0.342::0.342)) + (IOPATH A2 X (0.317::0.317) (0.326::0.326)) + (IOPATH B1 X (0.319::0.319) (0.316::0.316)) + (IOPATH B2 X (0.316::0.316) (0.301::0.301)) + (IOPATH C1 X (0.268::0.270) (0.177::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7625_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.271::0.272) (0.198::0.203)) + (IOPATH B X (0.294::0.294) (0.234::0.240)) + (IOPATH C X (0.286::0.286) (0.237::0.243)) + (IOPATH D X (0.320::0.320) (0.279::0.287)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7626_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.190::0.198) (0.262::0.262)) + (IOPATH B Y (0.207::0.213) (0.258::0.259)) + (IOPATH C Y (0.209::0.213) (0.247::0.248)) + (IOPATH D Y (0.214::0.217) (0.265::0.265)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7627_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.231::0.231)) + (IOPATH A2 X (0.162::0.162) (0.210::0.210)) + (IOPATH B1 X (0.171::0.171) (0.193::0.193)) + (IOPATH B2 X (0.151::0.151) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7628_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.291::0.291) (0.323::0.323)) + (IOPATH A2 X (0.310::0.310) (0.319::0.319)) + (IOPATH B1 X (0.286::0.286) (0.320::0.320)) + (IOPATH B2 X (0.305::0.305) (0.289::0.289)) + (IOPATH C1 X (0.250::0.250) (0.166::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7629_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.168::0.168) (0.252::0.252)) + (IOPATH A2 X (0.164::0.164) (0.212::0.212)) + (IOPATH B1 X (0.161::0.161) (0.220::0.220)) + (IOPATH B2 X (0.155::0.155) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7630_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.276::0.276) (0.318::0.318)) + (IOPATH A2 X (0.261::0.261) (0.288::0.288)) + (IOPATH B1 X (0.234::0.234) (0.263::0.263)) + (IOPATH B2 X (0.260::0.260) (0.260::0.260)) + (IOPATH C1 X (0.215::0.215) (0.146::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7631_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.308::0.308) (0.339::0.339)) + (IOPATH A2 X (0.311::0.311) (0.312::0.312)) + (IOPATH B1 X (0.317::0.317) (0.301::0.301)) + (IOPATH B2 X (0.307::0.307) (0.291::0.291)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7632_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.217::0.217)) + (IOPATH A2 X (0.168::0.168) (0.216::0.216)) + (IOPATH B1 X (0.155::0.155) (0.210::0.210)) + (IOPATH B2 X (0.158::0.158) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7633_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.223::0.223) (0.302::0.302)) + (IOPATH A2 X (0.242::0.242) (0.278::0.278)) + (IOPATH B1 X (0.226::0.226) (0.283::0.283)) + (IOPATH B2 X (0.246::0.246) (0.250::0.250)) + (IOPATH C1 X (0.189::0.189) (0.131::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7634_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.244::0.244) (0.335::0.335)) + (IOPATH A2 X (0.208::0.208) (0.255::0.255)) + (IOPATH B1 X (0.236::0.236) (0.194::0.199)) + (IOPATH C1 X (0.190::0.191) (0.141::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7635_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.235::0.235)) + (IOPATH A2 X (0.165::0.165) (0.215::0.215)) + (IOPATH B1 X (0.156::0.156) (0.213::0.213)) + (IOPATH B2 X (0.155::0.155) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7636_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.197) (0.295::0.295)) + (IOPATH A2 X (0.208::0.208) (0.253::0.253)) + (IOPATH B1 X (0.206::0.206) (0.273::0.273)) + (IOPATH B2 X (0.207::0.207) (0.220::0.220)) + (IOPATH C1 X (0.152::0.152) (0.106::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7637_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.246::0.246)) + (IOPATH A2 X (0.163::0.163) (0.211::0.211)) + (IOPATH B1 X (0.172::0.172) (0.193::0.193)) + (IOPATH B2 X (0.157::0.157) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7638_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.269::0.269) (0.336::0.336)) + (IOPATH A2 X (0.223::0.223) (0.266::0.266)) + (IOPATH B1 X (0.195::0.195) (0.253::0.253)) + (IOPATH B2 X (0.219::0.219) (0.232::0.232)) + (IOPATH C1 X (0.164::0.164) (0.114::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7639_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.237::0.237)) + (IOPATH A2 X (0.173::0.173) (0.226::0.226)) + (IOPATH B1 X (0.157::0.157) (0.213::0.213)) + (IOPATH B2 X (0.165::0.165) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7640_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.230::0.230) (0.289::0.289)) + (IOPATH A2 X (0.216::0.216) (0.264::0.264)) + (IOPATH B1 X (0.219::0.219) (0.244::0.244)) + (IOPATH B2 X (0.218::0.218) (0.230::0.230)) + (IOPATH C1 X (0.161::0.161) (0.112::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7641_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.243::0.243)) + (IOPATH A2 X (0.159::0.159) (0.211::0.211)) + (IOPATH B1 X (0.150::0.150) (0.180::0.180)) + (IOPATH B2 X (0.156::0.156) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7642_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.329::0.329) (0.368::0.368)) + (IOPATH A2 X (0.342::0.342) (0.341::0.341)) + (IOPATH B1 X (0.322::0.322) (0.341::0.341)) + (IOPATH B2 X (0.341::0.341) (0.317::0.317)) + (IOPATH C1 X (0.292::0.293) (0.191::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7643_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.261::0.261) (0.191::0.196)) + (IOPATH B X (0.276::0.277) (0.221::0.226)) + (IOPATH C X (0.281::0.281) (0.235::0.240)) + (IOPATH D X (0.320::0.320) (0.282::0.290)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7644_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.151) (0.194::0.195)) + (IOPATH B Y (0.159::0.166) (0.195::0.196)) + (IOPATH C Y (0.168::0.172) (0.195::0.195)) + (IOPATH D Y (0.174::0.177) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7645_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.152::0.152) (0.210::0.210)) + (IOPATH A2 X (0.165::0.165) (0.214::0.214)) + (IOPATH B1 X (0.151::0.151) (0.206::0.206)) + (IOPATH B2 X (0.154::0.154) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7646_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.328::0.328) (0.403::0.403)) + (IOPATH A2 X (0.349::0.349) (0.371::0.371)) + (IOPATH B1 X (0.317::0.317) (0.359::0.359)) + (IOPATH B2 X (0.345::0.345) (0.336::0.336)) + (IOPATH C1 X (0.288::0.288) (0.191::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7647_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.217::0.217)) + (IOPATH A2 X (0.169::0.169) (0.216::0.216)) + (IOPATH B1 X (0.156::0.156) (0.211::0.211)) + (IOPATH B2 X (0.160::0.160) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7648_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.288::0.288) (0.350::0.350)) + (IOPATH A2 X (0.294::0.294) (0.308::0.308)) + (IOPATH B1 X (0.267::0.267) (0.283::0.283)) + (IOPATH B2 X (0.293::0.293) (0.281::0.281)) + (IOPATH C1 X (0.249::0.249) (0.166::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _7649_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.258::0.258) (0.329::0.329)) + (IOPATH A2 X (0.273::0.273) (0.314::0.314)) + (IOPATH B1 X (0.273::0.273) (0.295::0.295)) + (IOPATH B2 X (0.273::0.273) (0.289::0.289)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7650_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.153::0.153) (0.211::0.211)) + (IOPATH A2 X (0.166::0.166) (0.214::0.214)) + (IOPATH B1 X (0.150::0.150) (0.181::0.181)) + (IOPATH B2 X (0.157::0.157) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7651_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.209::0.209) (0.293::0.293)) + (IOPATH A2 X (0.226::0.226) (0.267::0.267)) + (IOPATH B1 X (0.201::0.201) (0.256::0.256)) + (IOPATH B2 X (0.230::0.230) (0.237::0.237)) + (IOPATH C1 X (0.173::0.173) (0.120::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7652_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.250::0.250) (0.355::0.355)) + (IOPATH A2 X (0.207::0.207) (0.254::0.254)) + (IOPATH B1 X (0.216::0.216) (0.178::0.183)) + (IOPATH C1 X (0.183::0.184) (0.136::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7653_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.156::0.156) (0.235::0.235)) + (IOPATH A2 X (0.167::0.167) (0.217::0.217)) + (IOPATH B1 X (0.189::0.189) (0.207::0.207)) + (IOPATH B2 X (0.158::0.158) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7654_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.287::0.287)) + (IOPATH A2 X (0.209::0.209) (0.255::0.255)) + (IOPATH B1 X (0.207::0.207) (0.274::0.274)) + (IOPATH B2 X (0.209::0.209) (0.222::0.222)) + (IOPATH C1 X (0.154::0.154) (0.107::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7655_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.148::0.148) (0.226::0.226)) + (IOPATH A2 X (0.169::0.169) (0.216::0.216)) + (IOPATH B1 X (0.167::0.167) (0.226::0.226)) + (IOPATH B2 X (0.164::0.164) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7656_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.203::0.203) (0.292::0.292)) + (IOPATH A2 X (0.222::0.222) (0.265::0.265)) + (IOPATH B1 X (0.202::0.202) (0.234::0.234)) + (IOPATH B2 X (0.218::0.218) (0.232::0.232)) + (IOPATH C1 X (0.164::0.164) (0.114::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7657_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.234::0.234)) + (IOPATH A2 X (0.167::0.167) (0.220::0.220)) + (IOPATH B1 X (0.147::0.147) (0.202::0.202)) + (IOPATH B2 X (0.158::0.158) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7658_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.219::0.219) (0.279::0.279)) + (IOPATH A2 X (0.216::0.216) (0.263::0.263)) + (IOPATH B1 X (0.234::0.234) (0.254::0.254)) + (IOPATH B2 X (0.218::0.218) (0.230::0.230)) + (IOPATH C1 X (0.158::0.158) (0.110::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7659_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.171::0.171) (0.255::0.255)) + (IOPATH A2 X (0.162::0.162) (0.213::0.213)) + (IOPATH B1 X (0.156::0.156) (0.213::0.213)) + (IOPATH B2 X (0.158::0.158) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7660_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.248::0.248) (0.300::0.300)) + (IOPATH A2 X (0.239::0.239) (0.279::0.279)) + (IOPATH B1 X (0.240::0.240) (0.264::0.264)) + (IOPATH B2 X (0.238::0.238) (0.249::0.249)) + (IOPATH C1 X (0.191::0.191) (0.132::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _7661_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.395::0.396) (0.277::0.282)) + (IOPATH B X (0.410::0.410) (0.311::0.316)) + (IOPATH C X (0.414::0.415) (0.327::0.332)) + (IOPATH D X (0.428::0.428) (0.346::0.353)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7662_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.170::0.180) (0.232::0.233)) + (IOPATH B Y (0.192::0.199) (0.239::0.239)) + (IOPATH C Y (0.192::0.196) (0.225::0.226)) + (IOPATH D Y (0.219::0.222) (0.259::0.259)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7663_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.147::0.147) (0.208::0.208)) + (IOPATH A2 X (0.165::0.165) (0.213::0.213)) + (IOPATH B1 X (0.151::0.151) (0.205::0.205)) + (IOPATH B2 X (0.154::0.154) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _7664_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.306::0.306) (0.383::0.383)) + (IOPATH A2 X (0.331::0.331) (0.360::0.360)) + (IOPATH B1 X (0.308::0.308) (0.359::0.359)) + (IOPATH B2 X (0.328::0.328) (0.324::0.324)) + (IOPATH C1 X (0.270::0.271) (0.180::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7665_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.162::0.162) (0.220::0.220)) + (IOPATH A2 X (0.176::0.176) (0.221::0.221)) + (IOPATH B1 X (0.159::0.159) (0.211::0.211)) + (IOPATH B2 X (0.167::0.167) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7666_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.239::0.239) (0.292::0.292)) + (IOPATH A2 X (0.256::0.256) (0.286::0.286)) + (IOPATH B1 X (0.231::0.231) (0.260::0.260)) + (IOPATH B2 X (0.256::0.256) (0.257::0.257)) + (IOPATH C1 X (0.215::0.215) (0.147::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7667_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.285::0.285) (0.321::0.321)) + (IOPATH A2 X (0.290::0.290) (0.299::0.299)) + (IOPATH B1 X (0.308::0.308) (0.295::0.295)) + (IOPATH B2 X (0.286::0.286) (0.276::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7668_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.164::0.164) (0.245::0.245)) + (IOPATH A2 X (0.171::0.171) (0.218::0.218)) + (IOPATH B1 X (0.148::0.148) (0.201::0.201)) + (IOPATH B2 X (0.161::0.161) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7669_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.256::0.256)) + (IOPATH A2 X (0.209::0.209) (0.254::0.254)) + (IOPATH B1 X (0.186::0.186) (0.244::0.244)) + (IOPATH B2 X (0.213::0.213) (0.223::0.223)) + (IOPATH C1 X (0.158::0.158) (0.110::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7670_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.220::0.220) (0.295::0.295)) + (IOPATH A2 X (0.208::0.208) (0.254::0.254)) + (IOPATH B1 X (0.229::0.229) (0.189::0.195)) + (IOPATH C1 X (0.178::0.178) (0.131::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7671_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.239::0.239)) + (IOPATH A2 X (0.167::0.167) (0.217::0.217)) + (IOPATH B1 X (0.184::0.184) (0.204::0.204)) + (IOPATH B2 X (0.157::0.157) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7672_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.275::0.275)) + (IOPATH A2 X (0.205::0.205) (0.251::0.251)) + (IOPATH B1 X (0.193::0.193) (0.255::0.255)) + (IOPATH B2 X (0.204::0.204) (0.217::0.217)) + (IOPATH C1 X (0.150::0.150) (0.104::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7673_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.182::0.182) (0.230::0.230)) + (IOPATH A2 X (0.167::0.167) (0.215::0.215)) + (IOPATH B1 X (0.200::0.200) (0.218::0.218)) + (IOPATH B2 X (0.162::0.162) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7674_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.254::0.254) (0.319::0.319)) + (IOPATH A2 X (0.220::0.220) (0.264::0.264)) + (IOPATH B1 X (0.195::0.195) (0.254::0.254)) + (IOPATH B2 X (0.216::0.216) (0.230::0.230)) + (IOPATH C1 X (0.162::0.162) (0.113::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7675_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.238::0.238)) + (IOPATH A2 X (0.168::0.168) (0.221::0.221)) + (IOPATH B1 X (0.140::0.140) (0.174::0.174)) + (IOPATH B2 X (0.159::0.159) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7676_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.226::0.226) (0.285::0.285)) + (IOPATH A2 X (0.222::0.222) (0.268::0.268)) + (IOPATH B1 X (0.216::0.216) (0.244::0.244)) + (IOPATH B2 X (0.223::0.223) (0.235::0.235)) + (IOPATH C1 X (0.164::0.164) (0.114::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7677_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.186::0.186) (0.233::0.233)) + (IOPATH A2 X (0.160::0.160) (0.212::0.212)) + (IOPATH B1 X (0.169::0.169) (0.192::0.192)) + (IOPATH B2 X (0.156::0.156) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7678_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.218::0.218) (0.277::0.277)) + (IOPATH A2 X (0.211::0.211) (0.259::0.259)) + (IOPATH B1 X (0.262::0.262) (0.282::0.282)) + (IOPATH B2 X (0.210::0.210) (0.226::0.226)) + (IOPATH C1 X (0.164::0.164) (0.114::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_2") + (INSTANCE _7679_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.336::0.336) (0.249::0.254)) + (IOPATH B X (0.351::0.351) (0.282::0.288)) + (IOPATH C X (0.357::0.358) (0.300::0.306)) + (IOPATH D X (0.363::0.363) (0.310::0.315)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7680_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.157::0.166) (0.212::0.213)) + (IOPATH B Y (0.172::0.178) (0.211::0.212)) + (IOPATH C Y (0.181::0.185) (0.212::0.212)) + (IOPATH D Y (0.194::0.197) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7681_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.149::0.149) (0.209::0.209)) + (IOPATH A2 X (0.163::0.163) (0.211::0.211)) + (IOPATH B1 X (0.170::0.170) (0.193::0.193)) + (IOPATH B2 X (0.152::0.152) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7682_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.327::0.327) (0.363::0.363)) + (IOPATH A2 X (0.355::0.355) (0.345::0.345)) + (IOPATH B1 X (0.337::0.337) (0.359::0.359)) + (IOPATH B2 X (0.349::0.349) (0.318::0.318)) + (IOPATH C1 X (0.295::0.295) (0.191::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7683_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.205::0.205) (0.249::0.249)) + (IOPATH A2 X (0.213::0.213) (0.247::0.247)) + (IOPATH B1 X (0.196::0.196) (0.242::0.242)) + (IOPATH B2 X (0.204::0.204) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7684_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.244::0.244) (0.317::0.317)) + (IOPATH A2 X (0.255::0.255) (0.285::0.285)) + (IOPATH B1 X (0.232::0.232) (0.260::0.260)) + (IOPATH B2 X (0.254::0.254) (0.256::0.256)) + (IOPATH C1 X (0.229::0.229) (0.157::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_2") + (INSTANCE _7685_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.237::0.237) (0.317::0.317)) + (IOPATH A2 X (0.250::0.250) (0.298::0.298)) + (IOPATH B1 X (0.236::0.236) (0.297::0.297)) + (IOPATH B2 X (0.249::0.249) (0.271::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7686_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.151::0.151) (0.231::0.231)) + (IOPATH A2 X (0.164::0.164) (0.213::0.213)) + (IOPATH B1 X (0.152::0.152) (0.207::0.207)) + (IOPATH B2 X (0.154::0.154) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7687_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.244::0.244) (0.384::0.384)) + (IOPATH A2 X (0.213::0.213) (0.257::0.257)) + (IOPATH B1 X (0.213::0.213) (0.240::0.240)) + (IOPATH B2 X (0.217::0.217) (0.228::0.228)) + (IOPATH C1 X (0.159::0.159) (0.111::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7688_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.231::0.231) (0.313::0.313)) + (IOPATH A2 X (0.208::0.208) (0.254::0.254)) + (IOPATH B1 X (0.208::0.209) (0.171::0.176)) + (IOPATH C1 X (0.179::0.180) (0.132::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7689_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.160::0.160) (0.240::0.240)) + (IOPATH A2 X (0.168::0.168) (0.217::0.217)) + (IOPATH B1 X (0.169::0.169) (0.195::0.195)) + (IOPATH B2 X (0.158::0.158) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7690_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.185::0.185) (0.253::0.253)) + (IOPATH A2 X (0.204::0.204) (0.251::0.251)) + (IOPATH B1 X (0.237::0.237) (0.258::0.258)) + (IOPATH B2 X (0.204::0.204) (0.217::0.217)) + (IOPATH C1 X (0.150::0.150) (0.104::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7691_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.170::0.170) (0.257::0.257)) + (IOPATH A2 X (0.168::0.168) (0.216::0.216)) + (IOPATH B1 X (0.211::0.211) (0.234::0.234)) + (IOPATH B2 X (0.163::0.163) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7692_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.243::0.243) (0.302::0.302)) + (IOPATH A2 X (0.222::0.222) (0.266::0.266)) + (IOPATH B1 X (0.193::0.193) (0.249::0.249)) + (IOPATH B2 X (0.218::0.218) (0.232::0.232)) + (IOPATH C1 X (0.165::0.166) (0.115::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7693_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.178::0.178) (0.226::0.226)) + (IOPATH A2 X (0.166::0.166) (0.219::0.219)) + (IOPATH B1 X (0.148::0.148) (0.177::0.177)) + (IOPATH B2 X (0.157::0.157) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7694_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.221::0.221) (0.281::0.281)) + (IOPATH A2 X (0.218::0.218) (0.265::0.265)) + (IOPATH B1 X (0.214::0.214) (0.241::0.241)) + (IOPATH B2 X (0.219::0.219) (0.232::0.232)) + (IOPATH C1 X (0.159::0.160) (0.111::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7695_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.261::0.261)) + (IOPATH A2 X (0.160::0.160) (0.212::0.212)) + (IOPATH B1 X (0.154::0.154) (0.211::0.211)) + (IOPATH B2 X (0.157::0.157) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _7696_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.371::0.371) (0.366::0.366)) + (IOPATH A2 X (0.389::0.389) (0.365::0.365)) + (IOPATH B1 X (0.386::0.386) (0.355::0.355)) + (IOPATH B2 X (0.387::0.387) (0.343::0.343)) + (IOPATH C1 X (0.339::0.340) (0.214::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4_1") + (INSTANCE _7697_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.302::0.303) (0.213::0.218)) + (IOPATH B X (0.318::0.318) (0.244::0.249)) + (IOPATH C X (0.323::0.323) (0.259::0.264)) + (IOPATH D X (0.373::0.373) (0.318::0.325)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_4") + (INSTANCE _7698_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.176) (0.232::0.232)) + (IOPATH B Y (0.172::0.178) (0.211::0.212)) + (IOPATH C Y (0.182::0.186) (0.212::0.213)) + (IOPATH D Y (0.200::0.203) (0.237::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7699_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7700_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.083) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7701_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7702_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075::0.075) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7703_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.114::0.114) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7704_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.077) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7705_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7706_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.079) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7707_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.180::0.180) (0.079::0.079)) + (IOPATH B Y (0.175::0.175) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _7708_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.049::0.049) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _7709_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.098::0.098)) + (IOPATH B Y (0.118::0.118) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _7710_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.131::0.131) (0.189::0.189)) + (IOPATH A2 X (0.113::0.113) (0.175::0.175)) + (IOPATH B1 X (0.117::0.118) (0.105::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7711_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.082::0.084) (0.057::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _7712_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.250::0.250) (0.225::0.225)) + (IOPATH A2_N X (0.214::0.214) (0.206::0.206)) + (IOPATH B1 X (0.160::0.160) (0.297::0.297)) + (IOPATH B2 X (0.130::0.131) (0.269::0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _7713_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.218::0.218) (0.191::0.191)) + (IOPATH B X (0.202::0.202) (0.190::0.191)) + (IOPATH C X (0.218::0.218) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _7714_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.137::0.137) (0.079::0.079)) + (IOPATH A2 Y (0.135::0.135) (0.063::0.063)) + (IOPATH B1 Y (0.123::0.123) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7715_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.095) (0.056::0.056)) + (IOPATH B Y (0.070::0.075) (0.042::0.045)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _7716_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.096::0.096)) + (IOPATH B Y (0.105::0.106) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _7717_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.130::0.130) (0.188::0.188)) + (IOPATH A2 X (0.114::0.114) (0.164::0.165)) + (IOPATH B1 X (0.116::0.117) (0.104::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7718_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.066) (0.044::0.045)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _7719_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.101::0.101)) + (IOPATH B Y (0.096::0.097) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _7720_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.127::0.127) (0.185::0.185)) + (IOPATH A2 X (0.092::0.093) (0.150::0.151)) + (IOPATH B1 X (0.117::0.118) (0.104::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7721_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.072::0.074) (0.050::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _7722_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.089) (0.080::0.080)) + (IOPATH B Y (0.078::0.078) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _7723_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.131::0.131) (0.189::0.189)) + (IOPATH A2 X (0.100::0.101) (0.157::0.157)) + (IOPATH B1 X (0.113::0.114) (0.098::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7724_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.058) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _7725_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.221::0.221)) + (IOPATH A2 X (0.147::0.148) (0.229::0.230)) + (IOPATH A3 X (0.147::0.147) (0.239::0.239)) + (IOPATH B1 X (0.136::0.136) (0.211::0.211)) + (IOPATH B2 X (0.117::0.118) (0.205::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _7726_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7727_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _7728_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.124::0.124) (0.200::0.200)) + (IOPATH A2 X (0.124::0.124) (0.219::0.219)) + (IOPATH B1 X (0.144::0.144) (0.191::0.191)) + (IOPATH B2 X (0.130::0.130) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _7729_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.118::0.118) (0.057::0.057)) + (IOPATH A2 Y (0.133::0.133) (0.055::0.055)) + (IOPATH B1 Y (0.120::0.120) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31oi_1") + (INSTANCE _7730_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.118::0.118) (0.066::0.066)) + (IOPATH A2 Y (0.146::0.146) (0.068::0.068)) + (IOPATH A3 Y (0.162::0.162) (0.090::0.090)) + (IOPATH B1 Y (0.123::0.125) (0.044::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7731_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.139::0.139)) + (IOPATH B X (0.147::0.147) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7732_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.089::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _7733_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.165::0.165)) + (IOPATH B X (0.179::0.179) (0.194::0.194)) + (IOPATH C X (0.174::0.174) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _7734_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.174::0.174)) + (IOPATH B X (0.185::0.185) (0.184::0.184)) + (IOPATH C X (0.178::0.178) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_1") + (INSTANCE _7735_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.287::0.287)) + (IOPATH A2 X (0.139::0.139) (0.298::0.299)) + (IOPATH B1 X (0.100::0.100) (0.265::0.266)) + (IOPATH C1 X (0.097::0.097) (0.226::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7736_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.163::0.163)) + (IOPATH B X (0.126::0.126) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _7737_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.174::0.174) (0.334::0.334)) + (IOPATH A2 X (0.159::0.159) (0.344::0.344)) + (IOPATH B1 X (0.165::0.165) (0.312::0.312)) + (IOPATH B2 X (0.132::0.132) (0.307::0.307)) + (IOPATH C1 X (0.098::0.098) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7738_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.152::0.152) (0.168::0.168)) + (IOPATH B X (0.126::0.126) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _7739_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.365::0.365)) + (IOPATH A2 X (0.193::0.193) (0.377::0.377)) + (IOPATH B1 X (0.199::0.199) (0.343::0.343)) + (IOPATH B2 X (0.166::0.166) (0.339::0.339)) + (IOPATH C1 X (0.132::0.132) (0.284::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7740_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.177::0.177)) + (IOPATH B X (0.135::0.135) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _7741_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.359::0.359)) + (IOPATH A2 X (0.186::0.186) (0.371::0.371)) + (IOPATH B1 X (0.192::0.192) (0.338::0.338)) + (IOPATH B2 X (0.161::0.161) (0.334::0.334)) + (IOPATH C1 X (0.132::0.133) (0.281::0.281)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7742_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.183::0.183)) + (IOPATH B X (0.144::0.144) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _7743_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.360::0.360)) + (IOPATH A2 X (0.188::0.188) (0.372::0.372)) + (IOPATH B1 X (0.193::0.193) (0.338::0.338)) + (IOPATH B2 X (0.158::0.158) (0.332::0.332)) + (IOPATH C1 X (0.137::0.137) (0.283::0.283)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7744_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.181::0.181)) + (IOPATH B X (0.140::0.140) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _7745_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.359::0.359)) + (IOPATH A2 X (0.186::0.186) (0.370::0.370)) + (IOPATH B1 X (0.192::0.192) (0.337::0.337)) + (IOPATH B2 X (0.161::0.161) (0.333::0.333)) + (IOPATH C1 X (0.134::0.135) (0.281::0.282)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7746_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.171::0.171)) + (IOPATH B X (0.130::0.130) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _7747_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.365::0.365)) + (IOPATH A2 X (0.185::0.185) (0.372::0.372)) + (IOPATH B1 X (0.199::0.199) (0.343::0.343)) + (IOPATH B2 X (0.173::0.173) (0.343::0.343)) + (IOPATH C1 X (0.134::0.135) (0.285::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7748_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.178::0.178)) + (IOPATH B X (0.140::0.140) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _7749_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.211::0.211) (0.368::0.368)) + (IOPATH A2 X (0.198::0.198) (0.381::0.381)) + (IOPATH B1 X (0.202::0.202) (0.346::0.346)) + (IOPATH B2 X (0.172::0.172) (0.343::0.343)) + (IOPATH C1 X (0.142::0.142) (0.290::0.290)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7750_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.178::0.178)) + (IOPATH B X (0.141::0.141) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _7751_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.371::0.371)) + (IOPATH A2 X (0.191::0.191) (0.377::0.377)) + (IOPATH B1 X (0.205::0.205) (0.349::0.349)) + (IOPATH B2 X (0.169::0.169) (0.343::0.343)) + (IOPATH C1 X (0.145::0.145) (0.292::0.293)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7752_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.183::0.183) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7753_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.364::0.364)) + (IOPATH B X (0.195::0.195) (0.350::0.350)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_4") + (INSTANCE _7754_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.292::0.292) (0.518::0.518)) + (IOPATH B X (0.300::0.300) (0.507::0.507)) + (IOPATH C X (0.275::0.275) (0.466::0.466)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7755_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.143) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7756_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.376::0.376)) + (IOPATH B X (0.111::0.111) (0.347::0.347)) + (IOPATH C X (0.127::0.127) (0.322::0.323)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE _7757_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.188) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _7758_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _7759_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.638::0.638)) + (IOPATH B X (0.231::0.231) (0.616::0.616)) + (IOPATH C X (0.234::0.234) (0.585::0.585)) + (IOPATH D X (0.227::0.227) (0.517::0.517)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7760_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_2") + (INSTANCE _7761_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.278::0.278) (0.248::0.248)) + (IOPATH B X (0.282::0.282) (0.286::0.286)) + (IOPATH C X (0.283::0.283) (0.276::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _7762_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7763_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.415::0.415)) + (IOPATH B X (0.167::0.167) (0.387::0.387)) + (IOPATH C X (0.149::0.149) (0.352::0.352)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE _7764_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.206) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _7765_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7766_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.091::0.091) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _7767_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.560::0.560)) + (IOPATH B X (0.206::0.206) (0.536::0.536)) + (IOPATH C X (0.161::0.161) (0.478::0.478)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_1") + (INSTANCE _7768_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.074::0.074) (0.088::0.088)) + (IOPATH B Y (0.088::0.088) (0.098::0.098)) + (IOPATH C Y (0.099::0.099) (0.107::0.107)) + (IOPATH D Y (0.094::0.094) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _7769_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _7770_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.046::0.046) (0.045::0.045)) + (IOPATH B Y (0.054::0.054) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4_1") + (INSTANCE _7771_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.064) (0.077::0.077)) + (IOPATH B Y (0.080::0.080) (0.090::0.090)) + (IOPATH C Y (0.088::0.088) (0.097::0.097)) + (IOPATH D Y (0.083::0.083) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7772_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.177::0.177) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _7773_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.146::0.146) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand4bb_1") + (INSTANCE _7774_) + (DELAY + (ABSOLUTE + (IOPATH A_N Y (0.148::0.148) (0.190::0.190)) + (IOPATH B_N Y (0.147::0.147) (0.194::0.194)) + (IOPATH C Y (0.086::0.086) (0.098::0.098)) + (IOPATH D Y (0.093::0.093) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7775_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.544::0.544)) + (IOPATH B X (0.103::0.105) (0.505::0.505)) + (IOPATH C X (0.109::0.116) (0.480::0.481)) + (IOPATH D X (0.107::0.115) (0.413::0.413)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7776_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.590::0.590)) + (IOPATH B X (0.174::0.174) (0.587::0.587)) + (IOPATH C X (0.158::0.165) (0.540::0.540)) + (IOPATH D X (0.150::0.151) (0.475::0.475)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7777_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.085) (0.046::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7778_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.244::0.244)) + (IOPATH A2 X (0.174::0.174) (0.222::0.222)) + (IOPATH B1 X (0.206::0.206) (0.225::0.225)) + (IOPATH B2 X (0.183::0.183) (0.228::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _7779_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.237::0.237) (0.114::0.114)) + (IOPATH A2 Y (0.217::0.217) (0.106::0.106)) + (IOPATH B1 Y (0.116::0.117) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7780_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.074::0.075) (0.054::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _7781_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.056) (0.055::0.055)) + (IOPATH B Y (0.064::0.064) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_2") + (INSTANCE _7782_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.267::0.267) (0.299::0.299)) + (IOPATH A2 X (0.274::0.274) (0.324::0.324)) + (IOPATH A3 X (0.249::0.251) (0.306::0.307)) + (IOPATH B1 X (0.227::0.227) (0.285::0.285)) + (IOPATH B2 X (0.220::0.220) (0.293::0.293)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _7783_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.173::0.173) (0.339::0.346)) + (IOPATH A2 X (0.177::0.177) (0.368::0.368)) + (IOPATH B1 X (0.197::0.197) (0.330::0.330)) + (IOPATH B2 X (0.189::0.190) (0.379::0.380)) + (IOPATH C1 X (0.168::0.169) (0.302::0.303)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7784_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.293::0.297)) + (IOPATH B X (0.189::0.191) (0.278::0.279)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7785_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.407::0.407)) + (IOPATH B X (0.155::0.155) (0.381::0.381)) + (IOPATH C X (0.139::0.139) (0.358::0.358)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7786_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7787_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.272::0.272)) + (IOPATH B X (0.135::0.136) (0.248::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _7788_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.234::0.234) (0.117::0.117)) + (IOPATH A2 Y (0.197::0.197) (0.087::0.087)) + (IOPATH B1 Y (0.109::0.109) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _7789_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.202::0.202) (0.242::0.242)) + (IOPATH A2 X (0.183::0.183) (0.251::0.251)) + (IOPATH A3 X (0.203::0.203) (0.276::0.276)) + (IOPATH B1 X (0.150::0.150) (0.235::0.235)) + (IOPATH B2 X (0.149::0.150) (0.232::0.232)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _7790_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.164) (0.137::0.171)) + (IOPATH B Y (0.158::0.159) (0.129::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7791_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.152::0.152) (0.081::0.081)) + (IOPATH B Y (0.154::0.154) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7792_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.175::0.175) (0.095::0.095)) + (IOPATH B Y (0.146::0.150) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_2") + (INSTANCE _7793_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.245::0.245) (0.265::0.265)) + (IOPATH A2 X (0.235::0.235) (0.307::0.307)) + (IOPATH B1 X (0.209::0.210) (0.253::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _7794_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.198::0.198) (0.087::0.087)) + (IOPATH A2 Y (0.182::0.186) (0.097::0.097)) + (IOPATH B1 Y (0.111::0.112) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7795_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.238) (0.407::0.413)) + (IOPATH B X (0.213::0.240) (0.363::0.368)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7796_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.255::0.255)) + (IOPATH B X (0.136::0.136) (0.244::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _7797_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _7798_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.219::0.219) (0.089::0.089)) + (IOPATH B Y (0.189::0.189) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _7799_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.077::0.077) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_4") + (INSTANCE _7800_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.447::0.447)) + (IOPATH B X (0.207::0.207) (0.447::0.447)) + (IOPATH C X (0.214::0.214) (0.405::0.405)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7801_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.254::0.254)) + (IOPATH B X (0.125::0.126) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7802_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7803_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.248::0.248) (0.114::0.114)) + (IOPATH B Y (0.238::0.238) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_4") + (INSTANCE _7804_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.470::0.470)) + (IOPATH B X (0.217::0.217) (0.474::0.474)) + (IOPATH C X (0.242::0.242) (0.429::0.429)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7805_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.268::0.268)) + (IOPATH B X (0.156::0.156) (0.266::0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7806_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7807_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.218::0.219) (0.408::0.409)) + (IOPATH B X (0.227::0.227) (0.364::0.364)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _7808_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.236::0.236) (0.097::0.097)) + (IOPATH B Y (0.226::0.226) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7809_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.532::0.532)) + (IOPATH B X (0.142::0.142) (0.512::0.512)) + (IOPATH C X (0.143::0.143) (0.480::0.480)) + (IOPATH D X (0.133::0.133) (0.410::0.410)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7810_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.230::0.230)) + (IOPATH B X (0.088::0.088) (0.214::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE _7811_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.211) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7812_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.408::0.408)) + (IOPATH B X (0.167::0.167) (0.387::0.387)) + (IOPATH C X (0.149::0.149) (0.352::0.352)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE _7813_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7814_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.573::0.573)) + (IOPATH B X (0.177::0.177) (0.571::0.571)) + (IOPATH C X (0.162::0.162) (0.540::0.540)) + (IOPATH D X (0.184::0.184) (0.472::0.472)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _7815_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.207) (0.201::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7816_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.270::0.270)) + (IOPATH B X (0.167::0.167) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7817_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.093) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7818_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.524::0.524)) + (IOPATH B X (0.137::0.137) (0.503::0.503)) + (IOPATH C X (0.133::0.133) (0.474::0.474)) + (IOPATH D X (0.128::0.128) (0.403::0.403)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7819_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7820_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_4") + (INSTANCE _7821_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.483::0.483)) + (IOPATH B X (0.240::0.240) (0.464::0.464)) + (IOPATH C X (0.215::0.215) (0.423::0.423)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_4") + (INSTANCE _7822_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.228::0.228) (0.097::0.097)) + (IOPATH B Y (0.218::0.218) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _7823_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.390::0.390)) + (IOPATH B X (0.152::0.152) (0.370::0.373)) + (IOPATH C_N X (0.198::0.199) (0.386::0.386)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7824_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.132) (0.380::0.385)) + (IOPATH B X (0.097::0.097) (0.342::0.343)) + (IOPATH C X (0.121::0.121) (0.312::0.312)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _7825_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.217) (0.194::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7826_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.266::0.266)) + (IOPATH B X (0.148::0.148) (0.258::0.258)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7827_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.071) (0.047::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7828_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.433::0.433)) + (IOPATH B X (0.175::0.175) (0.410::0.410)) + (IOPATH C X (0.176::0.176) (0.370::0.370)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7829_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.072::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _7830_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.272::0.272) (0.671::0.671)) + (IOPATH B X (0.265::0.265) (0.646::0.646)) + (IOPATH C X (0.261::0.261) (0.618::0.618)) + (IOPATH D X (0.256::0.256) (0.550::0.550)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7831_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.096) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7832_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.410::0.410)) + (IOPATH B X (0.161::0.161) (0.387::0.387)) + (IOPATH C X (0.158::0.158) (0.356::0.356)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7833_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.205) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE _7834_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.260::0.260) (0.234::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _7835_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.189) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _7836_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.188::0.188)) + (IOPATH B X (0.255::0.255) (0.226::0.226)) + (IOPATH C X (0.225::0.225) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_4") + (INSTANCE _7837_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.445::0.445)) + (IOPATH B X (0.207::0.207) (0.439::0.439)) + (IOPATH C X (0.204::0.204) (0.407::0.408)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7838_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.584::0.584)) + (IOPATH B X (0.171::0.171) (0.571::0.571)) + (IOPATH C X (0.173::0.173) (0.555::0.555)) + (IOPATH D X (0.162::0.162) (0.473::0.473)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7839_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.191::0.191) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7840_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.590::0.590)) + (IOPATH B X (0.197::0.197) (0.580::0.580)) + (IOPATH C X (0.183::0.183) (0.539::0.539)) + (IOPATH D X (0.192::0.192) (0.476::0.476)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _7841_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.196::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7842_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.384::0.384)) + (IOPATH B X (0.217::0.217) (0.367::0.367)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7843_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.318::0.318)) + (IOPATH B X (0.215::0.216) (0.302::0.302)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7844_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.583::0.583)) + (IOPATH B X (0.180::0.180) (0.561::0.561)) + (IOPATH C X (0.170::0.170) (0.524::0.524)) + (IOPATH D X (0.178::0.178) (0.462::0.462)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7845_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7846_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.606::0.606)) + (IOPATH B X (0.206::0.206) (0.588::0.588)) + (IOPATH C X (0.192::0.192) (0.547::0.547)) + (IOPATH D X (0.200::0.200) (0.484::0.484)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _7847_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.215) (0.207::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7848_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.256::0.256)) + (IOPATH B X (0.140::0.140) (0.232::0.232)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7849_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.187) (0.586::0.586)) + (IOPATH B X (0.189::0.189) (0.571::0.571)) + (IOPATH C X (0.179::0.179) (0.534::0.534)) + (IOPATH D X (0.187::0.187) (0.471::0.471)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _7850_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.192::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7851_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.262::0.262)) + (IOPATH B X (0.116::0.116) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE _7852_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7853_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.266::0.266)) + (IOPATH B X (0.149::0.149) (0.248::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7854_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.182::0.182) (0.099::0.099)) + (IOPATH B Y (0.163::0.163) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7855_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.091::0.091)) + (IOPATH B Y (0.147::0.147) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _7856_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.286::0.286) (0.679::0.679)) + (IOPATH B X (0.276::0.276) (0.657::0.657)) + (IOPATH C X (0.284::0.284) (0.632::0.632)) + (IOPATH D X (0.271::0.271) (0.558::0.558)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7857_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.119) (0.235::0.235)) + (IOPATH B X (0.125::0.125) (0.248::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE _7858_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7859_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.314::0.314) (0.141::0.141)) + (IOPATH B Y (0.293::0.293) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7860_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.252::0.252) (0.124::0.124)) + (IOPATH B Y (0.228::0.228) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7861_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.201::0.201) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7862_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.152) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7863_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.253::0.253)) + (IOPATH B X (0.147::0.147) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7864_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _7865_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.628::0.628)) + (IOPATH B X (0.214::0.214) (0.597::0.597)) + (IOPATH C X (0.216::0.216) (0.567::0.567)) + (IOPATH D X (0.204::0.204) (0.501::0.501)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _7866_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.217::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _7867_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.624::0.624)) + (IOPATH B X (0.211::0.211) (0.593::0.593)) + (IOPATH C X (0.207::0.207) (0.565::0.565)) + (IOPATH D X (0.202::0.202) (0.498::0.498)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7868_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.217) (0.225::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7869_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.254::0.254)) + (IOPATH B X (0.114::0.114) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7870_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _7871_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.270::0.270) (0.116::0.116)) + (IOPATH B Y (0.231::0.231) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _7872_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.617::0.617)) + (IOPATH B X (0.206::0.206) (0.593::0.593)) + (IOPATH C X (0.216::0.216) (0.569::0.569)) + (IOPATH D X (0.203::0.203) (0.495::0.495)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _7873_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7874_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.387::0.387)) + (IOPATH B X (0.254::0.254) (0.391::0.391)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _7875_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.274::0.274) (0.670::0.670)) + (IOPATH B X (0.263::0.263) (0.648::0.648)) + (IOPATH C X (0.266::0.266) (0.617::0.617)) + (IOPATH D X (0.240::0.240) (0.559::0.559)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7876_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.256::0.256) (0.414::0.414)) + (IOPATH B X (0.240::0.240) (0.406::0.407)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7877_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.377::0.377)) + (IOPATH B X (0.196::0.196) (0.358::0.359)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7878_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.168) (0.372::0.372)) + (IOPATH B X (0.201::0.201) (0.356::0.356)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7879_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.204::0.204) (0.084::0.084)) + (IOPATH B Y (0.186::0.186) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7880_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.398::0.399)) + (IOPATH B X (0.202::0.202) (0.368::0.368)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7881_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _7882_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.201) (0.336::0.336)) + (IOPATH B X (0.210::0.210) (0.292::0.292)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7883_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _7884_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.568::0.568)) + (IOPATH B X (0.191::0.191) (0.551::0.551)) + (IOPATH C X (0.207::0.207) (0.506::0.506)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7885_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.272::0.272)) + (IOPATH B X (0.154::0.154) (0.270::0.270)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4bb_1") + (INSTANCE _7886_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.529::0.529)) + (IOPATH B X (0.133::0.133) (0.506::0.506)) + (IOPATH C_N X (0.224::0.224) (0.525::0.525)) + (IOPATH D_N X (0.199::0.199) (0.436::0.436)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _7887_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.223::0.223) (0.120::0.120)) + (IOPATH A2 Y (0.186::0.187) (0.106::0.107)) + (IOPATH B1 Y (0.117::0.117) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7888_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.284::0.284)) + (IOPATH B X (0.180::0.180) (0.268::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7889_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.520::0.520)) + (IOPATH B X (0.133::0.133) (0.501::0.501)) + (IOPATH C X (0.135::0.135) (0.469::0.469)) + (IOPATH D X (0.112::0.112) (0.407::0.407)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE _7890_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7891_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.305::0.306)) + (IOPATH B X (0.221::0.221) (0.291::0.291)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_1") + (INSTANCE _7892_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.168::0.168) (0.291::0.291)) + (IOPATH A2 X (0.170::0.170) (0.323::0.323)) + (IOPATH B1 X (0.136::0.136) (0.295::0.295)) + (IOPATH C1 X (0.139::0.139) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _7893_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.225::0.225) (0.272::0.272)) + (IOPATH A2 X (0.198::0.198) (0.266::0.266)) + (IOPATH B1 X (0.215::0.215) (0.183::0.183)) + (IOPATH C1 X (0.177::0.178) (0.141::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7894_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.557::0.557)) + (IOPATH B X (0.158::0.158) (0.537::0.537)) + (IOPATH C X (0.159::0.159) (0.505::0.505)) + (IOPATH D X (0.136::0.136) (0.443::0.443)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _7895_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.185) (0.185::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7896_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.187) (0.293::0.293)) + (IOPATH B X (0.194::0.194) (0.271::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7897_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.063::0.066) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _7898_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.200) (0.309::0.309)) + (IOPATH B X (0.216::0.217) (0.307::0.308)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7899_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.376::0.376)) + (IOPATH B X (0.212::0.212) (0.365::0.365)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_2") + (INSTANCE _7900_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.192) (0.367::0.368)) + (IOPATH B_N X (0.248::0.253) (0.383::0.398)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7901_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.200) (0.306::0.306)) + (IOPATH B X (0.199::0.199) (0.291::0.291)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7902_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.285::0.285)) + (IOPATH B X (0.174::0.174) (0.269::0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7903_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.521::0.521)) + (IOPATH B X (0.132::0.132) (0.500::0.500)) + (IOPATH C X (0.134::0.134) (0.469::0.469)) + (IOPATH D X (0.111::0.111) (0.407::0.407)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7904_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.211) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _7905_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.197::0.197) (0.376::0.376)) + (IOPATH A2 X (0.202::0.202) (0.359::0.359)) + (IOPATH A3 X (0.181::0.181) (0.309::0.309)) + (IOPATH B1 X (0.181::0.181) (0.199::0.199)) + (IOPATH B2 X (0.177::0.177) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _7906_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.219::0.219) (0.255::0.255)) + (IOPATH A2 X (0.184::0.185) (0.263::0.263)) + (IOPATH B1 X (0.204::0.204) (0.160::0.161)) + (IOPATH C1 X (0.192::0.192) (0.145::0.145)) + (IOPATH D1 X (0.170::0.171) (0.120::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _7907_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.368::0.368) (0.354::0.354)) + (IOPATH A2 X (0.343::0.343) (0.377::0.377)) + (IOPATH B1 X (0.344::0.364) (0.237::0.242)) + (IOPATH C1 X (0.335::0.336) (0.223::0.227)) + (IOPATH D1 X (0.312::0.313) (0.197::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7908_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.275::0.275)) + (IOPATH B X (0.156::0.156) (0.260::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _7909_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.249::0.249) (0.284::0.284)) + (IOPATH A2 X (0.216::0.216) (0.274::0.274)) + (IOPATH B1 X (0.222::0.222) (0.176::0.177)) + (IOPATH C1 X (0.250::0.250) (0.182::0.186)) + (IOPATH D1 X (0.198::0.198) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7910_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.321::0.321)) + (IOPATH B X (0.217::0.217) (0.302::0.302)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7911_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.328::0.328)) + (IOPATH B X (0.231::0.231) (0.311::0.311)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _7912_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.235::0.235) (0.271::0.271)) + (IOPATH A2 X (0.210::0.210) (0.253::0.253)) + (IOPATH B1 X (0.208::0.209) (0.150::0.155)) + (IOPATH C1 X (0.225::0.225) (0.169::0.169)) + (IOPATH D1 X (0.221::0.221) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_1") + (INSTANCE _7913_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.231::0.231) (0.166::0.166)) + (IOPATH A2 Y (0.208::0.208) (0.136::0.136)) + (IOPATH B1 Y (0.200::0.200) (0.157::0.157)) + (IOPATH B2 Y (0.184::0.184) (0.128::0.128)) + (IOPATH C1 Y (0.077::0.082) (0.119::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7914_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.084::0.084)) + (IOPATH B Y (0.127::0.127) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7915_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.312::0.312)) + (IOPATH B X (0.197::0.197) (0.274::0.274)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7916_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.096::0.096)) + (IOPATH B Y (0.153::0.153) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor4_1") + (INSTANCE _7917_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.306::0.312) (0.108::0.108)) + (IOPATH B Y (0.302::0.307) (0.065::0.089)) + (IOPATH C Y (0.254::0.258) (0.071::0.071)) + (IOPATH D Y (0.201::0.205) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7918_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.278::0.278)) + (IOPATH B X (0.152::0.152) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7919_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.280::0.280)) + (IOPATH B X (0.157::0.157) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _7920_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.239::0.239) (0.271::0.271)) + (IOPATH A2 X (0.214::0.214) (0.260::0.260)) + (IOPATH B1 X (0.251::0.251) (0.150::0.166)) + (IOPATH C1 X (0.205::0.205) (0.152::0.152)) + (IOPATH D1 X (0.196::0.196) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _7921_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.184::0.184) (0.115::0.115)) + (IOPATH A2 Y (0.167::0.167) (0.100::0.100)) + (IOPATH B1 Y (0.095::0.099) (0.081::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _7922_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.159::0.159) (0.186::0.186)) + (IOPATH A2 X (0.167::0.167) (0.224::0.224)) + (IOPATH A3 X (0.146::0.146) (0.216::0.216)) + (IOPATH B1 X (0.103::0.112) (0.180::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7923_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.228::0.228)) + (IOPATH B X (0.080::0.081) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7924_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.303::0.303)) + (IOPATH B X (0.162::0.163) (0.263::0.263)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7925_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.107) (0.066::0.066)) + (IOPATH B Y (0.107::0.107) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _7926_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.194::0.194) (0.123::0.123)) + (IOPATH A2 Y (0.180::0.180) (0.099::0.099)) + (IOPATH B1 Y (0.099::0.100) (0.087::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7927_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.114) (0.070::0.070)) + (IOPATH B Y (0.096::0.103) (0.056::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7928_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.149::0.149)) + (IOPATH B X (0.140::0.140) (0.155::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _7929_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.068) (0.056::0.056)) + (IOPATH B Y (0.069::0.069) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ba_1") + (INSTANCE _7930_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.196::0.196)) + (IOPATH A2 X (0.136::0.136) (0.180::0.180)) + (IOPATH B1_N X (0.196::0.196) (0.132::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _7931_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.334::0.334) (0.267::0.267)) + (IOPATH B X (0.297::0.297) (0.246::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _7932_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.147::0.147) (0.096::0.096)) + (IOPATH A2 Y (0.141::0.142) (0.065::0.065)) + (IOPATH B1 Y (0.114::0.114) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7933_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.231::0.233)) + (IOPATH B X (0.105::0.109) (0.199::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _7934_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.141::0.141) (0.197::0.197)) + (IOPATH A2 X (0.137::0.137) (0.201::0.201)) + (IOPATH A3 X (0.139::0.139) (0.208::0.208)) + (IOPATH B1 X (0.087::0.088) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7935_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.212::0.212)) + (IOPATH B X (0.078::0.080) (0.187::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7936_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.218::0.218)) + (IOPATH B X (0.087::0.087) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a311oi_1") + (INSTANCE _7937_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.239::0.239) (0.092::0.092)) + (IOPATH A2 Y (0.255::0.255) (0.086::0.086)) + (IOPATH A3 Y (0.266::0.266) (0.095::0.095)) + (IOPATH B1 Y (0.247::0.252) (0.079::0.079)) + (IOPATH C1 Y (0.202::0.203) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _7938_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.060::0.061) (0.051::0.051)) + (IOPATH B Y (0.049::0.068) (0.067::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ba_1") + (INSTANCE _7939_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.157::0.157) (0.194::0.194)) + (IOPATH A2 X (0.134::0.134) (0.184::0.184)) + (IOPATH B1_N X (0.202::0.207) (0.133::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _7940_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.131::0.131) (0.089::0.089)) + (IOPATH A2 Y (0.124::0.124) (0.069::0.069)) + (IOPATH B1 Y (0.057::0.059) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7941_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.226::0.226)) + (IOPATH B X (0.094::0.102) (0.196::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7942_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.361::0.362)) + (IOPATH B X (0.149::0.149) (0.345::0.347)) + (IOPATH C X (0.086::0.087) (0.296::0.296)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7943_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.237::0.238)) + (IOPATH B X (0.085::0.086) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _7944_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.083) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _7945_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.236::0.236) (0.141::0.141)) + (IOPATH A2 Y (0.220::0.220) (0.119::0.119)) + (IOPATH B1 Y (0.170::0.170) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7946_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.240::0.240)) + (IOPATH B X (0.155::0.155) (0.244::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7947_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.423::0.423)) + (IOPATH B X (0.179::0.179) (0.402::0.402)) + (IOPATH C X (0.146::0.146) (0.356::0.356)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _7948_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.182::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7949_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.258::0.258)) + (IOPATH B X (0.153::0.153) (0.242::0.242)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7950_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.455::0.455)) + (IOPATH B X (0.188::0.188) (0.411::0.411)) + (IOPATH C X (0.178::0.178) (0.371::0.371)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _7951_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.535::0.535)) + (IOPATH B X (0.145::0.146) (0.521::0.522)) + (IOPATH C X (0.143::0.144) (0.497::0.502)) + (IOPATH D X (0.136::0.136) (0.421::0.421)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7952_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.213) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7953_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.269::0.269)) + (IOPATH B X (0.156::0.156) (0.264::0.264)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE _7954_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _7955_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.301::0.301) (0.125::0.125)) + (IOPATH B Y (0.286::0.286) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7956_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.430::0.430)) + (IOPATH B X (0.180::0.180) (0.428::0.428)) + (IOPATH C X (0.202::0.202) (0.390::0.390)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7957_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.426::0.426)) + (IOPATH B X (0.173::0.173) (0.400::0.400)) + (IOPATH C X (0.166::0.166) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7958_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.286::0.286)) + (IOPATH B X (0.186::0.186) (0.284::0.284)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _7959_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.553::0.553)) + (IOPATH B X (0.189::0.189) (0.522::0.522)) + (IOPATH C X (0.182::0.182) (0.486::0.486)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7960_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _7961_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.228::0.228) (0.128::0.128)) + (IOPATH A2 Y (0.245::0.246) (0.105::0.105)) + (IOPATH B1 Y (0.194::0.194) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _7962_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.245::0.245) (0.266::0.266)) + (IOPATH A2 X (0.203::0.203) (0.237::0.237)) + (IOPATH B1 X (0.222::0.222) (0.254::0.254)) + (IOPATH B2 X (0.205::0.205) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _7963_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.255::0.260) (0.322::0.340)) + (IOPATH B X (0.237::0.237) (0.316::0.320)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_2") + (INSTANCE _7964_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.259::0.259) (0.272::0.272)) + (IOPATH A2 X (0.257::0.257) (0.310::0.310)) + (IOPATH B1_N X (0.314::0.314) (0.311::0.311)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _7965_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.367::0.367)) + (IOPATH B X (0.204::0.205) (0.352::0.353)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _7966_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.161) (0.429::0.429)) + (IOPATH B X (0.175::0.175) (0.410::0.410)) + (IOPATH C X (0.144::0.145) (0.372::0.372)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2bb2a_1") + (INSTANCE _7967_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.266::0.266) (0.236::0.236)) + (IOPATH A2_N X (0.274::0.274) (0.243::0.243)) + (IOPATH B1 X (0.176::0.176) (0.313::0.313)) + (IOPATH B2 X (0.173::0.173) (0.321::0.321)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7968_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.119) (0.238::0.242)) + (IOPATH B X (0.135::0.136) (0.227::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7969_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.222::0.229)) + (IOPATH B X (0.099::0.099) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE _7970_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7971_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.148) (0.268::0.268)) + (IOPATH B X (0.154::0.154) (0.245::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7972_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.248::0.249)) + (IOPATH B X (0.108::0.108) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7973_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7974_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.272::0.272)) + (IOPATH B X (0.153::0.153) (0.262::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _7975_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21bo_1") + (INSTANCE _7976_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.224::0.224)) + (IOPATH A2 X (0.193::0.193) (0.250::0.250)) + (IOPATH B1_N X (0.262::0.262) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_4") + (INSTANCE _7977_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.178::0.179) (0.157::0.158)) + (IOPATH B Y (0.177::0.178) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7978_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.156) (0.276::0.279)) + (IOPATH B X (0.146::0.146) (0.239::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7979_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073::0.093) (0.078::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _7980_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.326::0.326)) + (IOPATH B X (0.201::0.202) (0.302::0.303)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7981_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.285::0.285)) + (IOPATH B X (0.193::0.193) (0.284::0.284)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7982_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _7983_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _7984_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.549::0.549)) + (IOPATH B X (0.196::0.197) (0.530::0.531)) + (IOPATH C X (0.187::0.187) (0.486::0.486)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7985_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.208) (0.325::0.325)) + (IOPATH B X (0.222::0.223) (0.305::0.305)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _7986_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.251::0.251) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _7987_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_2") + (INSTANCE _7988_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.188) (0.360::0.361)) + (IOPATH B_N X (0.241::0.242) (0.377::0.377)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7989_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.287::0.287)) + (IOPATH B X (0.176::0.177) (0.275::0.275)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7990_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.220) (0.314::0.315)) + (IOPATH B X (0.223::0.223) (0.304::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7991_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.250::0.250) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7992_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.293::0.293)) + (IOPATH B X (0.202::0.202) (0.290::0.291)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE _7993_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_4") + (INSTANCE _7994_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.146) (0.106::0.108)) + (IOPATH B Y (0.164::0.169) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7995_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.210) (0.313::0.315)) + (IOPATH B X (0.184::0.184) (0.275::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7996_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.252::0.252) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7997_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.131) (0.251::0.254)) + (IOPATH B X (0.110::0.110) (0.235::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE _7998_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _7999_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.149) (0.266::0.269)) + (IOPATH B X (0.140::0.140) (0.239::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _8000_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.170::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8001_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.204::0.204) (0.107::0.107)) + (IOPATH B Y (0.183::0.183) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8002_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.324::0.324)) + (IOPATH B X (0.212::0.212) (0.284::0.284)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8003_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.085) (0.059::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8004_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.300::0.300)) + (IOPATH B X (0.168::0.168) (0.261::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8005_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.185::0.185) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _8006_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _8007_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.205::0.205)) + (IOPATH A2 X (0.174::0.174) (0.211::0.211)) + (IOPATH B1 X (0.160::0.160) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_4") + (INSTANCE _8008_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.228) (0.477::0.478)) + (IOPATH B X (0.216::0.249) (0.457::0.457)) + (IOPATH C X (0.186::0.187) (0.405::0.405)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8009_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.286::0.286)) + (IOPATH B X (0.170::0.170) (0.278::0.278)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8010_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.189::0.189) (0.117::0.117)) + (IOPATH A2 Y (0.192::0.192) (0.101::0.101)) + (IOPATH B1 Y (0.122::0.122) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8011_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.567::0.567)) + (IOPATH B X (0.209::0.210) (0.546::0.547)) + (IOPATH C X (0.206::0.206) (0.510::0.510)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8012_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.304::0.305)) + (IOPATH B X (0.184::0.184) (0.280::0.280)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8013_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.268::0.268)) + (IOPATH B X (0.149::0.149) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8014_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.202::0.202) (0.123::0.123)) + (IOPATH A2 Y (0.187::0.187) (0.107::0.107)) + (IOPATH B1 Y (0.124::0.124) (0.094::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _8015_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.139::0.139) (0.082::0.082)) + (IOPATH A2 Y (0.152::0.152) (0.081::0.081)) + (IOPATH B1 Y (0.134::0.134) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8016_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.187) (0.605::0.607)) + (IOPATH B X (0.199::0.209) (0.591::0.594)) + (IOPATH C X (0.198::0.209) (0.559::0.563)) + (IOPATH D X (0.179::0.185) (0.483::0.486)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8017_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.273::0.273)) + (IOPATH B X (0.166::0.166) (0.264::0.264)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8018_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.263::0.263)) + (IOPATH B X (0.148::0.148) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4bb_1") + (INSTANCE _8019_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.312::0.315) (0.245::0.245)) + (IOPATH B_N X (0.364::0.364) (0.265::0.265)) + (IOPATH C X (0.256::0.256) (0.236::0.236)) + (IOPATH D X (0.258::0.258) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _8020_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.214::0.214) (0.285::0.285)) + (IOPATH A2 X (0.214::0.214) (0.260::0.260)) + (IOPATH B1 X (0.220::0.220) (0.247::0.247)) + (IOPATH B2 X (0.205::0.205) (0.233::0.233)) + (IOPATH C1 X (0.192::0.192) (0.128::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8021_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.273::0.273)) + (IOPATH B X (0.163::0.163) (0.260::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8022_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.269::0.269)) + (IOPATH B X (0.152::0.152) (0.250::0.250)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _8023_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.245::0.245) (0.277::0.277)) + (IOPATH A2 X (0.212::0.212) (0.265::0.265)) + (IOPATH B1 X (0.209::0.209) (0.149::0.154)) + (IOPATH C1 X (0.210::0.210) (0.155::0.156)) + (IOPATH D1 X (0.195::0.195) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8024_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.269::0.269)) + (IOPATH B X (0.158::0.158) (0.247::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8025_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.284::0.284)) + (IOPATH B X (0.170::0.170) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _8026_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.226::0.226) (0.266::0.266)) + (IOPATH A2 X (0.193::0.193) (0.251::0.251)) + (IOPATH B1 X (0.194::0.194) (0.140::0.145)) + (IOPATH C1 X (0.190::0.190) (0.142::0.142)) + (IOPATH D1 X (0.184::0.184) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _8027_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.210::0.210) (0.271::0.271)) + (IOPATH A2 X (0.195::0.195) (0.246::0.246)) + (IOPATH B1 X (0.210::0.210) (0.239::0.239)) + (IOPATH B2 X (0.192::0.192) (0.212::0.212)) + (IOPATH C1 X (0.160::0.161) (0.107::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8028_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.268::0.268)) + (IOPATH B X (0.155::0.155) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8029_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.294::0.294)) + (IOPATH B X (0.183::0.183) (0.272::0.272)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _8030_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.216::0.216) (0.256::0.256)) + (IOPATH A2 X (0.181::0.181) (0.240::0.240)) + (IOPATH B1 X (0.178::0.178) (0.127::0.132)) + (IOPATH C1 X (0.180::0.180) (0.136::0.136)) + (IOPATH D1 X (0.181::0.181) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8031_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.149::0.149) (0.097::0.097)) + (IOPATH A2 Y (0.132::0.132) (0.074::0.074)) + (IOPATH B1 Y (0.065::0.070) (0.057::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8032_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.243::0.243) (0.118::0.118)) + (IOPATH B Y (0.230::0.230) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8033_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.103) (0.050::0.058)) + (IOPATH B Y (0.099::0.099) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8034_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.267::0.267)) + (IOPATH B X (0.156::0.156) (0.244::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _8035_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.119) (0.123::0.134)) + (IOPATH B X (0.121::0.121) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8036_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.150::0.150) (0.099::0.099)) + (IOPATH A2 Y (0.136::0.136) (0.082::0.082)) + (IOPATH B1 Y (0.068::0.069) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _8037_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.173::0.173) (0.087::0.087)) + (IOPATH A2 Y (0.158::0.158) (0.077::0.077)) + (IOPATH B1 Y (0.123::0.128) (0.049::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8038_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.263::0.263)) + (IOPATH B X (0.152::0.152) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8039_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.066) (0.066::0.071)) + (IOPATH B Y (0.078::0.078) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8040_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.185::0.185) (0.103::0.103)) + (IOPATH B Y (0.169::0.169) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8041_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.102) (0.041::0.044)) + (IOPATH B Y (0.089::0.094) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _8042_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.150::0.150) (0.196::0.196)) + (IOPATH A2 X (0.124::0.124) (0.182::0.182)) + (IOPATH B1 X (0.118::0.118) (0.089::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8043_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.164::0.164) (0.105::0.105)) + (IOPATH A2 Y (0.149::0.149) (0.083::0.083)) + (IOPATH B1 Y (0.075::0.077) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8044_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.280::0.280) (0.130::0.130)) + (IOPATH B Y (0.274::0.274) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8045_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.108) (0.055::0.064)) + (IOPATH B Y (0.103::0.105) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8046_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.266::0.266)) + (IOPATH B X (0.152::0.152) (0.251::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _8047_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.140) (0.137::0.151)) + (IOPATH B X (0.141::0.141) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _8048_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.235::0.235) (0.117::0.117)) + (IOPATH A2 Y (0.208::0.208) (0.110::0.110)) + (IOPATH B1 Y (0.091::0.092) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ba_1") + (INSTANCE _8049_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.195::0.195)) + (IOPATH A2 X (0.134::0.134) (0.186::0.186)) + (IOPATH B1_N X (0.214::0.218) (0.151::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8050_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.239::0.240)) + (IOPATH B X (0.113::0.113) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE _8051_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8052_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.262::0.262)) + (IOPATH B X (0.153::0.153) (0.241::0.241)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8053_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.055) (0.047::0.048)) + (IOPATH B Y (0.078::0.078) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8054_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.272::0.272) (0.133::0.133)) + (IOPATH B Y (0.250::0.250) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8055_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.153::0.154) (0.056::0.059)) + (IOPATH B Y (0.156::0.161) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8056_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.271::0.271)) + (IOPATH B X (0.161::0.161) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8057_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.051::0.068) (0.065::0.065)) + (IOPATH B Y (0.073::0.073) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8058_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.071) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8059_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8060_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.091::0.091) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _8061_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.152::0.152)) + (IOPATH B X (0.174::0.174) (0.178::0.178)) + (IOPATH C X (0.176::0.176) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8062_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.093) (0.219::0.224)) + (IOPATH B X (0.103::0.103) (0.204::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _8063_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _8064_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.222::0.222)) + (IOPATH B X (0.229::0.229) (0.210::0.211)) + (IOPATH C X (0.238::0.238) (0.247::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8065_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.274::0.274)) + (IOPATH B X (0.183::0.183) (0.267::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _8066_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.273::0.273) (0.214::0.214)) + (IOPATH B X (0.285::0.285) (0.267::0.267)) + (IOPATH C X (0.284::0.284) (0.276::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8067_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.065::0.065)) + (IOPATH B Y (0.110::0.112) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8068_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.268::0.268)) + (IOPATH B X (0.153::0.153) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8069_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.050::0.054) (0.052::0.052)) + (IOPATH B Y (0.070::0.070) (0.055::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _8070_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.139::0.139) (0.094::0.094)) + (IOPATH A2 Y (0.123::0.123) (0.076::0.076)) + (IOPATH B1_N Y (0.085::0.088) (0.135::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_4") + (INSTANCE _8071_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.259::0.259) (0.105::0.105)) + (IOPATH B Y (0.236::0.236) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8072_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.079::0.079)) + (IOPATH B Y (0.116::0.116) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8073_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.278::0.278)) + (IOPATH B X (0.161::0.161) (0.248::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8074_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.105) (0.215::0.218)) + (IOPATH B X (0.110::0.110) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8075_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.110) (0.085::0.085)) + (IOPATH B Y (0.077::0.077) (0.032::0.032)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8076_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.149::0.149) (0.099::0.099)) + (IOPATH A2 Y (0.139::0.139) (0.078::0.078)) + (IOPATH B1 Y (0.064::0.084) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8077_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.254::0.254) (0.124::0.124)) + (IOPATH B Y (0.243::0.243) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8078_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.093) (0.048::0.055)) + (IOPATH B Y (0.087::0.091) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8079_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.414::0.414)) + (IOPATH B X (0.174::0.174) (0.399::0.399)) + (IOPATH C X (0.138::0.138) (0.347::0.347)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE _8080_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8081_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.263::0.263)) + (IOPATH B X (0.175::0.175) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _8082_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.113) (0.120::0.131)) + (IOPATH B X (0.122::0.122) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _8083_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.147::0.147)) + (IOPATH B X (0.159::0.159) (0.184::0.185)) + (IOPATH C X (0.144::0.144) (0.165::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8084_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.245::0.245)) + (IOPATH B X (0.128::0.128) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8085_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.305::0.305)) + (IOPATH B X (0.159::0.160) (0.260::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8086_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.043::0.044) (0.040::0.040)) + (IOPATH B Y (0.077::0.077) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _8087_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.136) (0.232::0.239)) + (IOPATH B_N X (0.140::0.144) (0.216::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8088_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.134) (0.077::0.077)) + (IOPATH B Y (0.122::0.122) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8089_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.173::0.202) (0.321::0.322)) + (IOPATH A1 X (0.153::0.153) (0.320::0.320)) + (IOPATH S X (0.251::0.251) (0.360::0.360)) + (IOPATH S X (0.175::0.175) (0.359::0.359)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4bb_4") + (INSTANCE _8090_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.229) (0.636::0.637)) + (IOPATH B X (0.232::0.232) (0.623::0.623)) + (IOPATH C_N X (0.295::0.300) (0.621::0.622)) + (IOPATH D_N X (0.288::0.288) (0.551::0.551)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8091_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.428::0.428)) + (IOPATH B X (0.183::0.184) (0.411::0.412)) + (IOPATH C X (0.168::0.169) (0.389::0.389)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8092_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.077::0.077) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8093_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.232::0.233) (0.093::0.093)) + (IOPATH B Y (0.216::0.216) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8094_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.153::0.153) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8095_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.081::0.081)) + (IOPATH B Y (0.129::0.129) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8096_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.139) (0.261::0.264)) + (IOPATH B X (0.132::0.132) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8097_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.241::0.242)) + (IOPATH B X (0.149::0.149) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8098_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.205::0.205) (0.100::0.100)) + (IOPATH B Y (0.181::0.181) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8099_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.280::0.280)) + (IOPATH B X (0.164::0.164) (0.268::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE _8100_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.175::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _8101_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.137::0.137) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8102_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.290::0.290)) + (IOPATH B X (0.171::0.172) (0.273::0.273)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8103_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a22o_1") + (INSTANCE _8104_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.238::0.238)) + (IOPATH A2 X (0.186::0.186) (0.266::0.266)) + (IOPATH B1 X (0.150::0.150) (0.198::0.204)) + (IOPATH B2 X (0.151::0.151) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _8105_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.203::0.203) (0.250::0.250)) + (IOPATH A2 X (0.181::0.181) (0.228::0.228)) + (IOPATH B1 X (0.216::0.216) (0.231::0.231)) + (IOPATH B2 X (0.196::0.196) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8106_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.060) (0.041::0.041)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8107_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.147) (0.391::0.392)) + (IOPATH B X (0.125::0.126) (0.362::0.362)) + (IOPATH C X (0.103::0.103) (0.316::0.316)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _8108_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.179) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8109_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_2") + (INSTANCE _8110_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.294::0.294) (0.287::0.287)) + (IOPATH B X (0.285::0.285) (0.266::0.266)) + (IOPATH C X (0.288::0.288) (0.281::0.281)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _8111_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _8112_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.248::0.248) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8113_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.078::0.078)) + (IOPATH B Y (0.129::0.129) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8114_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076::0.076) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8115_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8116_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.366::0.366)) + (IOPATH B X (0.206::0.208) (0.363::0.366)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE _8117_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.133::0.133)) + (IOPATH B Y (0.155::0.155) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _8118_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.383::0.383)) + (IOPATH B X (0.135::0.135) (0.355::0.355)) + (IOPATH C_N X (0.169::0.169) (0.359::0.359)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8119_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.085) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _8120_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.234) (0.341::0.342)) + (IOPATH B X (0.222::0.223) (0.313::0.313)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8121_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.271::0.271) (0.139::0.139)) + (IOPATH A2 Y (0.272::0.272) (0.146::0.146)) + (IOPATH B1 Y (0.179::0.179) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31a_1") + (INSTANCE _8122_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.314::0.314)) + (IOPATH A2 X (0.163::0.163) (0.296::0.296)) + (IOPATH A3 X (0.147::0.147) (0.259::0.259)) + (IOPATH B1 X (0.203::0.221) (0.148::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a41o_2") + (INSTANCE _8123_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.311::0.311) (0.300::0.300)) + (IOPATH A2 X (0.314::0.314) (0.337::0.337)) + (IOPATH A3 X (0.316::0.317) (0.365::0.370)) + (IOPATH A4 X (0.299::0.299) (0.353::0.353)) + (IOPATH B1 X (0.209::0.210) (0.308::0.314)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a221o_1") + (INSTANCE _8124_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.326::0.326)) + (IOPATH A2 X (0.174::0.174) (0.367::0.367)) + (IOPATH B1 X (0.167::0.167) (0.315::0.315)) + (IOPATH B2 X (0.207::0.207) (0.371::0.371)) + (IOPATH C1 X (0.155::0.157) (0.298::0.300)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8125_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.197::0.197) (0.109::0.109)) + (IOPATH B Y (0.167::0.167) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8126_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.162) (0.083::0.083)) + (IOPATH B Y (0.143::0.143) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8127_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.540::0.540)) + (IOPATH B X (0.126::0.128) (0.527::0.527)) + (IOPATH C X (0.150::0.150) (0.491::0.496)) + (IOPATH D X (0.140::0.140) (0.421::0.421)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8128_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.076::0.076)) + (IOPATH B Y (0.147::0.147) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8129_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.078::0.078)) + (IOPATH B Y (0.133::0.133) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8130_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.210::0.210) (0.114::0.114)) + (IOPATH B Y (0.176::0.176) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8131_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.542::0.542)) + (IOPATH B X (0.131::0.133) (0.509::0.514)) + (IOPATH C X (0.130::0.130) (0.476::0.476)) + (IOPATH D X (0.141::0.141) (0.410::0.416)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8132_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.294::0.294)) + (IOPATH B X (0.180::0.180) (0.263::0.263)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8133_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.068) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8134_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.072::0.072)) + (IOPATH B Y (0.141::0.141) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8135_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.076::0.076)) + (IOPATH B Y (0.131::0.131) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8136_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.541::0.541)) + (IOPATH B X (0.107::0.107) (0.508::0.508)) + (IOPATH C X (0.126::0.129) (0.477::0.481)) + (IOPATH D X (0.127::0.127) (0.409::0.410)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8137_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.249::0.249) (0.129::0.129)) + (IOPATH B Y (0.205::0.205) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8138_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.078::0.078)) + (IOPATH B Y (0.126::0.126) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8139_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.070::0.070)) + (IOPATH B Y (0.139::0.139) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8140_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.107::0.108) (0.538::0.538)) + (IOPATH B X (0.159::0.160) (0.513::0.520)) + (IOPATH C X (0.127::0.128) (0.473::0.474)) + (IOPATH D X (0.119::0.122) (0.404::0.408)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8141_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.078::0.078)) + (IOPATH B Y (0.140::0.140) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8142_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.238::0.238) (0.125::0.125)) + (IOPATH B Y (0.200::0.200) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8143_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.256::0.256) (0.115::0.115)) + (IOPATH B Y (0.234::0.234) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8144_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.101) (0.525::0.525)) + (IOPATH B X (0.129::0.129) (0.495::0.497)) + (IOPATH C X (0.146::0.146) (0.466::0.473)) + (IOPATH D X (0.152::0.153) (0.402::0.403)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (INSTANCE _8145_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.117::0.117) (0.160::0.160)) + (IOPATH A2 X (0.160::0.160) (0.210::0.210)) + (IOPATH B1 X (0.078::0.079) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (INSTANCE _8146_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.121::0.121) (0.165::0.165)) + (IOPATH A2 X (0.139::0.139) (0.218::0.218)) + (IOPATH B1 X (0.081::0.083) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8147_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.202::0.202) (0.111::0.111)) + (IOPATH B Y (0.164::0.164) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8148_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.086::0.087) (0.211::0.212)) + (IOPATH B X (0.117::0.118) (0.203::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8149_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.208::0.208) (0.099::0.099)) + (IOPATH B Y (0.188::0.188) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8150_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.086::0.087) (0.218::0.218)) + (IOPATH B X (0.127::0.127) (0.206::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8151_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.079::0.079)) + (IOPATH B Y (0.157::0.157) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8152_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.082) (0.212::0.212)) + (IOPATH B X (0.107::0.108) (0.195::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8153_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.077::0.077)) + (IOPATH B Y (0.134::0.134) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8154_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.080) (0.211::0.211)) + (IOPATH B X (0.106::0.106) (0.195::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8155_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.252::0.252) (0.131::0.131)) + (IOPATH B Y (0.216::0.216) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8156_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.107::0.108) (0.239::0.240)) + (IOPATH B X (0.157::0.157) (0.231::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8157_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.169) (0.086::0.086)) + (IOPATH B Y (0.154::0.154) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8158_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.234::0.234)) + (IOPATH B X (0.125::0.125) (0.212::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8159_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.081::0.081)) + (IOPATH B Y (0.150::0.150) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8160_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.227::0.227)) + (IOPATH B X (0.116::0.118) (0.208::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8161_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.137::0.137) (0.075::0.075)) + (IOPATH B Y (0.118::0.118) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8162_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.221::0.221)) + (IOPATH B X (0.105::0.106) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8163_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.270::0.271)) + (IOPATH B X (0.120::0.120) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8164_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.233::0.233) (0.107::0.107)) + (IOPATH B Y (0.209::0.209) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8165_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.099) (0.223::0.223)) + (IOPATH B X (0.129::0.129) (0.204::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8166_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.165::0.165) (0.088::0.088)) + (IOPATH B Y (0.175::0.175) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8167_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.114) (0.244::0.244)) + (IOPATH B X (0.147::0.147) (0.231::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8168_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.261::0.261)) + (IOPATH B X (0.157::0.157) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8169_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.057) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8170_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.138) (0.261::0.261)) + (IOPATH B X (0.172::0.172) (0.257::0.257)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8171_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.247::0.247)) + (IOPATH B X (0.144::0.144) (0.244::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _8172_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.374::0.374)) + (IOPATH B X (0.092::0.092) (0.338::0.339)) + (IOPATH C_N X (0.162::0.163) (0.342::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8173_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.220) (0.290::0.296)) + (IOPATH B X (0.174::0.174) (0.277::0.277)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8174_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.057::0.057)) + (IOPATH B Y (0.117::0.117) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8175_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.063::0.063) (0.053::0.053)) + (IOPATH B Y (0.056::0.061) (0.058::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _8176_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.133::0.133) (0.088::0.088)) + (IOPATH A2 Y (0.124::0.124) (0.076::0.076)) + (IOPATH B1_N Y (0.086::0.087) (0.135::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8177_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.364::0.364)) + (IOPATH B X (0.112::0.113) (0.330::0.332)) + (IOPATH C X (0.087::0.102) (0.291::0.292)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8178_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.140) (0.227::0.231)) + (IOPATH B X (0.086::0.087) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (INSTANCE _8179_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.138::0.138) (0.169::0.169)) + (IOPATH A2 X (0.152::0.152) (0.178::0.180)) + (IOPATH B1 X (0.078::0.079) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _8180_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.164::0.164) (0.084::0.084)) + (IOPATH A2 Y (0.135::0.135) (0.061::0.061)) + (IOPATH B1 Y (0.111::0.111) (0.035::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _8181_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.516::0.516)) + (IOPATH B X (0.163::0.163) (0.504::0.507)) + (IOPATH C X (0.134::0.134) (0.473::0.474)) + (IOPATH D_N X (0.149::0.162) (0.436::0.439)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8182_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.100) (0.058::0.058)) + (IOPATH B Y (0.096::0.096) (0.034::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o31ai_4") + (INSTANCE _8183_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.409::0.412) (0.135::0.136)) + (IOPATH A2 Y (0.389::0.389) (0.118::0.118)) + (IOPATH A3 Y (0.324::0.324) (0.111::0.111)) + (IOPATH B1 Y (0.125::0.125) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8184_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.206::0.212)) + (IOPATH B X (0.103::0.147) (0.207::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8185_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _8186_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8187_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.124) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _8188_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.227::0.227) (0.104::0.104)) + (IOPATH B Y (0.198::0.198) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8189_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.144) (0.078::0.078)) + (IOPATH B Y (0.134::0.134) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _8190_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.226::0.226) (0.120::0.120)) + (IOPATH A2 Y (0.250::0.250) (0.137::0.137)) + (IOPATH B1 Y (0.210::0.213) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8191_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.080) (0.066::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _8192_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.189::0.189) (0.223::0.223)) + (IOPATH A2 X (0.148::0.148) (0.215::0.215)) + (IOPATH B1 X (0.160::0.160) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_4") + (INSTANCE _8193_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.452::0.452)) + (IOPATH B X (0.172::0.172) (0.436::0.436)) + (IOPATH C X (0.204::0.204) (0.392::0.392)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8194_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.077::0.077) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8195_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.068::0.068)) + (IOPATH B Y (0.095::0.096) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") + (INSTANCE _8196_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.289::0.289) (0.325::0.325)) + (IOPATH A2_N X (0.256::0.262) (0.300::0.301)) + (IOPATH B1 X (0.176::0.176) (0.312::0.312)) + (IOPATH B2 X (0.149::0.149) (0.257::0.257)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _8197_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.198::0.199) (0.088::0.089)) + (IOPATH A2 Y (0.169::0.169) (0.071::0.071)) + (IOPATH B1 Y (0.094::0.096) (0.079::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8198_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.416::0.416)) + (IOPATH B X (0.135::0.136) (0.383::0.384)) + (IOPATH C X (0.146::0.170) (0.346::0.348)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinvlp_2") + (INSTANCE _8199_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.137::0.138) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8200_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.192) (0.547::0.548)) + (IOPATH B X (0.168::0.169) (0.516::0.517)) + (IOPATH C X (0.179::0.179) (0.482::0.485)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8201_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.066::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_4") + (INSTANCE _8202_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.258::0.258) (0.105::0.105)) + (IOPATH B Y (0.237::0.237) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8203_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.058) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8204_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.185::0.185) (0.072::0.072)) + (IOPATH B Y (0.161::0.161) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_2") + (INSTANCE _8205_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.325::0.325) (0.096::0.096)) + (IOPATH B Y (0.297::0.297) (0.102::0.102)) + (IOPATH C Y (0.267::0.267) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8206_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.261::0.261)) + (IOPATH B X (0.152::0.152) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _8207_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.170::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8208_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.263::0.263) (0.128::0.128)) + (IOPATH B Y (0.244::0.244) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8209_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.142) (0.264::0.264)) + (IOPATH B X (0.140::0.140) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE _8210_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8211_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.356::0.356)) + (IOPATH B X (0.192::0.192) (0.338::0.338)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8212_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.126::0.126) (0.084::0.084)) + (IOPATH A2 Y (0.115::0.115) (0.071::0.071)) + (IOPATH B1 Y (0.083::0.083) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8213_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.301::0.301)) + (IOPATH B X (0.190::0.191) (0.285::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8214_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8215_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.386::0.386)) + (IOPATH B X (0.187::0.187) (0.347::0.347)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8216_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.377::0.377)) + (IOPATH B X (0.205::0.205) (0.348::0.348)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") + (INSTANCE _8217_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.214::0.214) (0.141::0.141)) + (IOPATH A2 Y (0.187::0.187) (0.120::0.120)) + (IOPATH B1 Y (0.125::0.125) (0.134::0.134)) + (IOPATH C1 Y (0.109::0.110) (0.116::0.116)) + (IOPATH D1 Y (0.099::0.099) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8218_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.211) (0.566::0.567)) + (IOPATH B X (0.196::0.228) (0.540::0.541)) + (IOPATH C X (0.164::0.165) (0.488::0.488)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8219_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.264::0.264) (0.104::0.104)) + (IOPATH B Y (0.239::0.239) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_2") + (INSTANCE _8220_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.250::0.250) (0.458::0.458)) + (IOPATH A2 X (0.216::0.216) (0.424::0.424)) + (IOPATH A3 X (0.201::0.225) (0.387::0.393)) + (IOPATH B1 X (0.209::0.209) (0.244::0.244)) + (IOPATH B2 X (0.247::0.248) (0.234::0.236)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8221_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.446::0.446)) + (IOPATH B X (0.194::0.194) (0.425::0.425)) + (IOPATH C X (0.190::0.190) (0.377::0.377)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8222_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.399::0.399)) + (IOPATH B X (0.144::0.144) (0.377::0.377)) + (IOPATH C X (0.140::0.140) (0.329::0.329)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _8223_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.264::0.264) (0.235::0.235)) + (IOPATH B X (0.245::0.245) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_2") + (INSTANCE _8224_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.733::0.735)) + (IOPATH B X (0.154::0.160) (0.687::0.689)) + (IOPATH C X (0.167::0.168) (0.675::0.678)) + (IOPATH D_N X (0.260::0.260) (0.667::0.668)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _8225_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.284::0.284) (0.119::0.119)) + (IOPATH B Y (0.256::0.256) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _8226_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.287::0.287) (0.097::0.097)) + (IOPATH B Y (0.267::0.267) (0.098::0.098)) + (IOPATH C Y (0.248::0.248) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8227_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.536::0.539)) + (IOPATH B X (0.122::0.123) (0.540::0.540)) + (IOPATH C X (0.174::0.174) (0.488::0.490)) + (IOPATH D X (0.163::0.163) (0.415::0.419)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _8228_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.331::0.331) (0.122::0.123)) + (IOPATH B Y (0.274::0.274) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8229_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.083::0.083)) + (IOPATH B Y (0.153::0.153) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8230_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.084::0.084)) + (IOPATH B Y (0.124::0.124) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8231_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.117) (0.549::0.549)) + (IOPATH B X (0.185::0.185) (0.528::0.530)) + (IOPATH C X (0.141::0.141) (0.485::0.486)) + (IOPATH D X (0.132::0.134) (0.416::0.418)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8232_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.281::0.281)) + (IOPATH B X (0.164::0.164) (0.267::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8233_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.058) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8234_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.228::0.228) (0.109::0.109)) + (IOPATH B Y (0.212::0.212) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8235_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.310::0.310)) + (IOPATH B X (0.208::0.208) (0.293::0.293)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8236_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8237_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.127) (0.562::0.562)) + (IOPATH B X (0.117::0.117) (0.525::0.525)) + (IOPATH C X (0.173::0.173) (0.504::0.504)) + (IOPATH D X (0.116::0.116) (0.426::0.426)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8238_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.165::0.165) (0.090::0.090)) + (IOPATH B Y (0.148::0.148) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8239_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.162) (0.084::0.084)) + (IOPATH B Y (0.150::0.150) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8240_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.075::0.075)) + (IOPATH B Y (0.129::0.129) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8241_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.545::0.546)) + (IOPATH B X (0.143::0.143) (0.513::0.514)) + (IOPATH C X (0.136::0.136) (0.478::0.479)) + (IOPATH D X (0.130::0.130) (0.409::0.410)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8242_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.303::0.303)) + (IOPATH B X (0.191::0.191) (0.274::0.273)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8243_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.068) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8244_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.076::0.076)) + (IOPATH B Y (0.133::0.133) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8245_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.125) (0.410::0.410)) + (IOPATH B X (0.119::0.119) (0.369::0.369)) + (IOPATH C X (0.145::0.146) (0.335::0.336)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8246_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.239::0.239) (0.109::0.109)) + (IOPATH B Y (0.214::0.214) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8247_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.235::0.235) (0.113::0.113)) + (IOPATH B Y (0.216::0.216) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8248_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.371::0.371)) + (IOPATH B X (0.143::0.143) (0.341::0.344)) + (IOPATH C X (0.140::0.140) (0.306::0.307)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8249_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.311::0.311)) + (IOPATH B X (0.201::0.201) (0.285::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8250_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8251_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.084::0.085) (0.222::0.222)) + (IOPATH B X (0.086::0.086) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8252_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.238::0.238) (0.116::0.116)) + (IOPATH B Y (0.220::0.220) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8253_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.081::0.081) (0.212::0.212)) + (IOPATH B X (0.131::0.131) (0.206::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8254_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.255::0.255) (0.114::0.114)) + (IOPATH B Y (0.234::0.234) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8255_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.083) (0.214::0.214)) + (IOPATH B X (0.136::0.136) (0.207::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8256_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.275::0.275) (0.124::0.124)) + (IOPATH B Y (0.226::0.226) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8257_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.085::0.086) (0.217::0.217)) + (IOPATH B X (0.138::0.138) (0.212::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8258_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.235::0.235) (0.107::0.107)) + (IOPATH B Y (0.213::0.213) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8259_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.095) (0.226::0.226)) + (IOPATH B X (0.141::0.141) (0.218::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8260_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.215::0.215) (0.103::0.104)) + (IOPATH B Y (0.167::0.167) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8261_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.084::0.085) (0.213::0.213)) + (IOPATH B X (0.116::0.116) (0.198::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8262_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.286::0.286) (0.124::0.124)) + (IOPATH B Y (0.266::0.266) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8263_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.170) (0.285::0.285)) + (IOPATH B X (0.232::0.232) (0.285::0.287)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8264_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.230::0.230) (0.105::0.105)) + (IOPATH B Y (0.182::0.182) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8265_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.243::0.244)) + (IOPATH B X (0.129::0.130) (0.211::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8266_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.190::0.190) (0.092::0.092)) + (IOPATH B Y (0.174::0.174) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8267_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.095::0.095) (0.227::0.227)) + (IOPATH B X (0.129::0.129) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8268_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.087::0.087)) + (IOPATH B Y (0.126::0.126) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8269_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.093::0.094) (0.225::0.225)) + (IOPATH B X (0.111::0.112) (0.204::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8270_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.088::0.088)) + (IOPATH B Y (0.157::0.157) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8271_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.239::0.239)) + (IOPATH B X (0.138::0.138) (0.223::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _8272_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.406::0.406) (0.113::0.113)) + (IOPATH B Y (0.383::0.383) (0.107::0.107)) + (IOPATH C Y (0.348::0.348) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8273_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.234::0.234)) + (IOPATH B X (0.168::0.168) (0.221::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _8274_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.438::0.438) (0.125::0.125)) + (IOPATH B Y (0.420::0.420) (0.113::0.113)) + (IOPATH C Y (0.385::0.385) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8275_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.226) (0.403::0.403)) + (IOPATH B X (0.320::0.320) (0.394::0.397)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8276_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.212) (0.572::0.573)) + (IOPATH B X (0.181::0.181) (0.526::0.526)) + (IOPATH C X (0.183::0.183) (0.488::0.488)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_1") + (INSTANCE _8277_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.359::0.359)) + (IOPATH A2 X (0.179::0.179) (0.341::0.341)) + (IOPATH A3 X (0.178::0.178) (0.303::0.303)) + (IOPATH B1 X (0.180::0.180) (0.189::0.189)) + (IOPATH B2 X (0.156::0.156) (0.160::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _8278_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.181) (0.407::0.417)) + (IOPATH B X (0.141::0.165) (0.380::0.383)) + (IOPATH C_N X (0.186::0.191) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8279_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.420::0.420)) + (IOPATH B X (0.167::0.167) (0.393::0.393)) + (IOPATH C X (0.154::0.154) (0.374::0.374)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand3b_1") + (INSTANCE _8280_) + (DELAY + (ABSOLUTE + (IOPATH A_N Y (0.126::0.126) (0.178::0.179)) + (IOPATH B Y (0.099::0.099) (0.068::0.068)) + (IOPATH C Y (0.092::0.093) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8281_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.228::0.232)) + (IOPATH B X (0.093::0.099) (0.207::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _8282_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.240::0.240) (0.232::0.232)) + (IOPATH B X (0.231::0.231) (0.210::0.210)) + (IOPATH C X (0.237::0.237) (0.251::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8283_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.102) (0.232::0.232)) + (IOPATH B X (0.128::0.128) (0.223::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8284_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.239::0.240)) + (IOPATH B X (0.089::0.089) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8285_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.084::0.084) (0.210::0.210)) + (IOPATH B X (0.083::0.084) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8286_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.372::0.373)) + (IOPATH B X (0.149::0.150) (0.345::0.346)) + (IOPATH C X (0.086::0.086) (0.297::0.297)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8287_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.248::0.248)) + (IOPATH B X (0.104::0.106) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8288_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.144::0.144) (0.174::0.175)) + (IOPATH A2 X (0.192::0.192) (0.246::0.246)) + (IOPATH A3 X (0.157::0.157) (0.251::0.251)) + (IOPATH B1 X (0.101::0.102) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8289_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.167::0.167) (0.226::0.226)) + (IOPATH A2 X (0.166::0.166) (0.248::0.248)) + (IOPATH A3 X (0.163::0.163) (0.223::0.223)) + (IOPATH B1 X (0.107::0.109) (0.189::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8290_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.131) (0.220::0.223)) + (IOPATH B X (0.089::0.090) (0.194::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8291_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.385::0.385)) + (IOPATH B X (0.121::0.121) (0.351::0.351)) + (IOPATH C X (0.094::0.095) (0.310::0.310)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8292_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.089) (0.046::0.046)) + (IOPATH B Y (0.085::0.085) (0.034::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _8293_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.267::0.267) (0.107::0.107)) + (IOPATH A2 Y (0.238::0.238) (0.097::0.097)) + (IOPATH B1 Y (0.141::0.141) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8294_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.111) (0.223::0.225)) + (IOPATH B X (0.126::0.149) (0.225::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _8295_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.106) (0.129::0.129)) + (IOPATH B X (0.116::0.116) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8296_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.085) (0.067::0.067)) + (IOPATH B Y (0.086::0.086) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8297_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.193) (0.272::0.273)) + (IOPATH B X (0.192::0.192) (0.256::0.259)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8298_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.101) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8299_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.206::0.206) (0.086::0.086)) + (IOPATH B Y (0.206::0.206) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8300_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.254::0.254)) + (IOPATH B X (0.149::0.149) (0.222::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE _8301_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.189) (0.166::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8302_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.067) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8303_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.087) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8304_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.262::0.262) (0.092::0.092)) + (IOPATH B Y (0.261::0.261) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8305_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.256::0.256)) + (IOPATH B X (0.144::0.144) (0.234::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _8306_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.217::0.217) (0.258::0.258)) + (IOPATH A2 X (0.181::0.181) (0.258::0.258)) + (IOPATH B1 X (0.183::0.183) (0.138::0.139)) + (IOPATH C1 X (0.185::0.185) (0.144::0.145)) + (IOPATH D1 X (0.177::0.177) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8307_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.166::0.166) (0.108::0.108)) + (IOPATH A2 Y (0.148::0.148) (0.089::0.089)) + (IOPATH B1 Y (0.114::0.115) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8308_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.219::0.219)) + (IOPATH A2 X (0.216::0.216) (0.259::0.259)) + (IOPATH A3 X (0.236::0.236) (0.277::0.277)) + (IOPATH B1 X (0.192::0.192) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _8309_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.170::0.170) (0.219::0.219)) + (IOPATH A2 X (0.152::0.152) (0.216::0.216)) + (IOPATH B1 X (0.161::0.161) (0.185::0.185)) + (IOPATH B2 X (0.147::0.147) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _8310_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.523::0.525)) + (IOPATH B X (0.120::0.130) (0.497::0.503)) + (IOPATH C X (0.127::0.127) (0.470::0.470)) + (IOPATH D_N X (0.154::0.157) (0.427::0.427)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8311_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.381::0.381)) + (IOPATH B X (0.222::0.222) (0.372::0.372)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4bb_1") + (INSTANCE _8312_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.252::0.252) (0.168::0.170)) + (IOPATH B_N X (0.269::0.271) (0.252::0.252)) + (IOPATH C X (0.199::0.200) (0.212::0.212)) + (IOPATH D X (0.196::0.196) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_2") + (INSTANCE _8313_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.336::0.336) (0.390::0.390)) + (IOPATH A2 X (0.308::0.309) (0.347::0.350)) + (IOPATH B1 X (0.345::0.346) (0.385::0.386)) + (IOPATH B2 X (0.337::0.337) (0.333::0.333)) + (IOPATH C1 X (0.294::0.294) (0.191::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _8314_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.199::0.199) (0.236::0.236)) + (IOPATH A2 X (0.191::0.191) (0.218::0.218)) + (IOPATH B1 X (0.210::0.210) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111ai_1") + (INSTANCE _8315_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.233::0.233) (0.160::0.160)) + (IOPATH A2 Y (0.217::0.217) (0.139::0.139)) + (IOPATH B1 Y (0.128::0.139) (0.180::0.180)) + (IOPATH C1 Y (0.126::0.135) (0.158::0.158)) + (IOPATH D1 Y (0.096::0.098) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8316_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.069::0.069)) + (IOPATH B Y (0.117::0.117) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8317_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.242::0.246)) + (IOPATH B X (0.163::0.163) (0.235::0.236)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8318_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.155) (0.392::0.402)) + (IOPATH B X (0.126::0.127) (0.353::0.354)) + (IOPATH C X (0.114::0.114) (0.321::0.321)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8319_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.080::0.080)) + (IOPATH B Y (0.126::0.126) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8320_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.089) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8321_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.233::0.233)) + (IOPATH B X (0.157::0.157) (0.229::0.232)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2111o_2") + (INSTANCE _8322_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.209::0.209) (0.491::0.491)) + (IOPATH A2 X (0.189::0.189) (0.527::0.527)) + (IOPATH B1 X (0.161::0.161) (0.516::0.516)) + (IOPATH C1 X (0.177::0.177) (0.469::0.469)) + (IOPATH D1 X (0.151::0.151) (0.412::0.412)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8323_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.288::0.288)) + (IOPATH B X (0.188::0.188) (0.257::0.259)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8324_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.199::0.200) (0.094::0.094)) + (IOPATH B Y (0.153::0.153) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8325_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.052::0.053) (0.034::0.034)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8326_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.251::0.251)) + (IOPATH B X (0.208::0.208) (0.252::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor4_2") + (INSTANCE _8327_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.551::0.553) (0.094::0.096)) + (IOPATH B Y (0.524::0.524) (0.100::0.101)) + (IOPATH C Y (0.480::0.482) (0.106::0.107)) + (IOPATH D Y (0.414::0.414) (0.084::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8328_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.244::0.244) (0.131::0.131)) + (IOPATH A2 Y (0.211::0.218) (0.131::0.131)) + (IOPATH B1 Y (0.142::0.147) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8329_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.122) (0.360::0.362)) + (IOPATH B X (0.095::0.095) (0.326::0.326)) + (IOPATH C X (0.120::0.133) (0.305::0.334)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8330_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.266::0.266)) + (IOPATH B X (0.171::0.171) (0.257::0.258)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8331_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.085::0.086) (0.222::0.223)) + (IOPATH B X (0.110::0.110) (0.212::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8332_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.163::0.163) (0.198::0.198)) + (IOPATH A2 X (0.168::0.168) (0.243::0.243)) + (IOPATH A3 X (0.167::0.167) (0.230::0.230)) + (IOPATH B1 X (0.107::0.107) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8333_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.366::0.366)) + (IOPATH B X (0.148::0.148) (0.341::0.342)) + (IOPATH C X (0.095::0.096) (0.295::0.295)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _8334_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.199::0.199) (0.242::0.242)) + (IOPATH A2 X (0.176::0.176) (0.232::0.232)) + (IOPATH B1 X (0.206::0.206) (0.240::0.241)) + (IOPATH B2 X (0.176::0.176) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _8335_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.087::0.088) (0.224::0.224)) + (IOPATH B_N X (0.154::0.158) (0.230::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8336_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.225::0.226)) + (IOPATH B X (0.080::0.080) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8337_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.371::0.372)) + (IOPATH B X (0.110::0.110) (0.336::0.336)) + (IOPATH C X (0.083::0.083) (0.295::0.295)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8338_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.083) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8339_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.374::0.374)) + (IOPATH B X (0.196::0.196) (0.365::0.365)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4b_1") + (INSTANCE _8340_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.336::0.336) (0.243::0.243)) + (IOPATH B X (0.283::0.283) (0.261::0.261)) + (IOPATH C X (0.284::0.284) (0.235::0.235)) + (IOPATH D X (0.292::0.292) (0.276::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _8341_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.355::0.355) (0.111::0.111)) + (IOPATH B Y (0.331::0.331) (0.104::0.104)) + (IOPATH C Y (0.302::0.302) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21o_1") + (INSTANCE _8342_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.127::0.127) (0.167::0.167)) + (IOPATH A2 X (0.148::0.148) (0.199::0.199)) + (IOPATH B1 X (0.153::0.153) (0.177::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _8343_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.232::0.232) (0.095::0.095)) + (IOPATH A2 Y (0.213::0.213) (0.087::0.087)) + (IOPATH B1 Y (0.111::0.111) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o32a_2") + (INSTANCE _8344_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.225::0.226) (0.460::0.460)) + (IOPATH A2 X (0.245::0.245) (0.437::0.437)) + (IOPATH A3 X (0.230::0.230) (0.393::0.393)) + (IOPATH B1 X (0.231::0.231) (0.277::0.277)) + (IOPATH B2 X (0.229::0.229) (0.251::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8345_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.157) (0.241::0.252)) + (IOPATH B X (0.111::0.112) (0.217::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _8346_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.408::0.409)) + (IOPATH B X (0.131::0.131) (0.378::0.378)) + (IOPATH C_N X (0.199::0.200) (0.384::0.385)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8347_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.261::0.262) (0.422::0.422)) + (IOPATH B X (0.269::0.269) (0.415::0.415)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _8348_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.139::0.139) (0.188::0.188)) + (IOPATH A2 X (0.137::0.137) (0.202::0.202)) + (IOPATH B1 X (0.125::0.126) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8349_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.385::0.385)) + (IOPATH B X (0.183::0.184) (0.348::0.350)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_4") + (INSTANCE _8350_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.494::0.494)) + (IOPATH B X (0.208::0.210) (0.463::0.464)) + (IOPATH C X (0.220::0.220) (0.440::0.440)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8351_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.188) (0.432::0.432)) + (IOPATH B X (0.190::0.190) (0.415::0.415)) + (IOPATH C X (0.186::0.186) (0.393::0.393)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3_1") + (INSTANCE _8352_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.203::0.208)) + (IOPATH B X (0.246::0.246) (0.238::0.238)) + (IOPATH C X (0.238::0.238) (0.248::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") + (INSTANCE _8353_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.283::0.283) (0.186::0.186)) + (IOPATH A2 Y (0.258::0.258) (0.168::0.168)) + (IOPATH B1 Y (0.143::0.146) (0.177::0.199)) + (IOPATH C1 Y (0.145::0.146) (0.198::0.198)) + (IOPATH D1 Y (0.106::0.108) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8354_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.231::0.231)) + (IOPATH B X (0.158::0.158) (0.218::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8355_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.243::0.244)) + (IOPATH B X (0.165::0.165) (0.229::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8356_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.573::0.573)) + (IOPATH B X (0.159::0.201) (0.581::0.590)) + (IOPATH C X (0.140::0.141) (0.523::0.523)) + (IOPATH D X (0.144::0.144) (0.457::0.457)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8357_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.236::0.237)) + (IOPATH B X (0.132::0.132) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8358_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.222::0.222)) + (IOPATH B X (0.092::0.092) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8359_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.136) (0.240::0.242)) + (IOPATH B X (0.111::0.111) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8360_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.577::0.577)) + (IOPATH B X (0.132::0.132) (0.539::0.539)) + (IOPATH C X (0.126::0.126) (0.504::0.504)) + (IOPATH D X (0.129::0.129) (0.438::0.438)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8361_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.232::0.234)) + (IOPATH B X (0.130::0.130) (0.216::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8362_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.235::0.238)) + (IOPATH B X (0.103::0.103) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8363_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.247::0.249)) + (IOPATH B X (0.153::0.153) (0.224::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8364_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.132) (0.565::0.565)) + (IOPATH B X (0.126::0.126) (0.530::0.531)) + (IOPATH C X (0.124::0.124) (0.497::0.497)) + (IOPATH D X (0.122::0.122) (0.429::0.429)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8365_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.177::0.177) (0.111::0.111)) + (IOPATH A2 Y (0.168::0.168) (0.096::0.096)) + (IOPATH B1 Y (0.119::0.119) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8366_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.152::0.152) (0.244::0.246)) + (IOPATH B X (0.161::0.161) (0.230::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8367_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.231::0.232)) + (IOPATH B X (0.145::0.145) (0.221::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8368_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.746::0.746)) + (IOPATH B X (0.172::0.184) (0.714::0.718)) + (IOPATH C X (0.154::0.154) (0.680::0.681)) + (IOPATH D X (0.146::0.147) (0.609::0.610)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8369_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.266::0.267)) + (IOPATH B X (0.199::0.199) (0.260::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8370_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.234::0.235)) + (IOPATH B X (0.139::0.139) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8371_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.259::0.259)) + (IOPATH B X (0.153::0.153) (0.234::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8372_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.213) (0.666::0.667)) + (IOPATH B X (0.220::0.221) (0.623::0.623)) + (IOPATH C X (0.200::0.200) (0.582::0.582)) + (IOPATH D X (0.205::0.206) (0.522::0.523)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8373_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.366::0.366)) + (IOPATH B X (0.285::0.285) (0.367::0.370)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8374_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.195::0.195) (0.095::0.096)) + (IOPATH A2 Y (0.196::0.197) (0.093::0.093)) + (IOPATH B1 Y (0.127::0.128) (0.091::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8375_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.125) (0.532::0.532)) + (IOPATH B X (0.127::0.127) (0.502::0.502)) + (IOPATH C X (0.127::0.127) (0.448::0.451)) + (IOPATH D X (0.113::0.123) (0.382::0.385)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8376_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.259::0.260)) + (IOPATH B X (0.175::0.175) (0.262::0.263)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8377_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.197) (0.429::0.431)) + (IOPATH B X (0.159::0.160) (0.407::0.407)) + (IOPATH C X (0.167::0.168) (0.389::0.389)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8378_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.221::0.221) (0.092::0.092)) + (IOPATH B Y (0.195::0.195) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and4b_1") + (INSTANCE _8379_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.294::0.294) (0.241::0.241)) + (IOPATH B X (0.251::0.251) (0.213::0.213)) + (IOPATH C X (0.223::0.223) (0.204::0.204)) + (IOPATH D X (0.254::0.254) (0.237::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8380_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.084::0.085) (0.504::0.504)) + (IOPATH B X (0.110::0.110) (0.487::0.487)) + (IOPATH C X (0.136::0.136) (0.449::0.451)) + (IOPATH D X (0.105::0.105) (0.379::0.380)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8381_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.235::0.236)) + (IOPATH B X (0.084::0.084) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8382_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.247::0.247)) + (IOPATH B X (0.089::0.090) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8383_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.277::0.278)) + (IOPATH B X (0.147::0.147) (0.241::0.241)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8384_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.040::0.041)) + (IOPATH B Y (0.099::0.099) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8385_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.180) (0.268::0.274)) + (IOPATH B X (0.139::0.140) (0.231::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8386_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.212) (0.326::0.327)) + (IOPATH B X (0.204::0.204) (0.291::0.291)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8387_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.081::0.081)) + (IOPATH B Y (0.128::0.129) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8388_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.196::0.196) (0.097::0.097)) + (IOPATH A2 Y (0.204::0.204) (0.115::0.115)) + (IOPATH B1 Y (0.120::0.120) (0.088::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8389_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.069) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8390_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.268::0.268)) + (IOPATH B X (0.208::0.208) (0.290::0.290)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _8391_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.139::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8392_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073::0.073) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8393_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.083) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8394_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.773::0.773)) + (IOPATH B X (0.221::0.221) (0.754::0.754)) + (IOPATH C X (0.205::0.205) (0.713::0.713)) + (IOPATH D X (0.198::0.199) (0.665::0.665)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8395_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.258::0.258)) + (IOPATH B X (0.133::0.133) (0.267::0.267)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8396_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.057) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8397_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.058::0.058)) + (IOPATH B Y (0.111::0.111) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8398_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.179::0.179) (0.084::0.085)) + (IOPATH A2 Y (0.171::0.174) (0.086::0.087)) + (IOPATH B1 Y (0.141::0.146) (0.130::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _8399_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.198::0.198) (0.261::0.261)) + (IOPATH A2 X (0.154::0.154) (0.228::0.228)) + (IOPATH B1 X (0.151::0.151) (0.133::0.133)) + (IOPATH C1 X (0.163::0.175) (0.117::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _8400_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.248::0.248) (0.297::0.297)) + (IOPATH A2 X (0.241::0.241) (0.277::0.277)) + (IOPATH B1 X (0.210::0.210) (0.248::0.248)) + (IOPATH B2 X (0.225::0.225) (0.262::0.262)) + (IOPATH C1 X (0.197::0.198) (0.135::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8401_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.244::0.244)) + (IOPATH B X (0.114::0.114) (0.230::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _8402_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.268::0.268) (0.308::0.308)) + (IOPATH A2 X (0.228::0.228) (0.267::0.267)) + (IOPATH B1 X (0.230::0.230) (0.174::0.174)) + (IOPATH C1 X (0.223::0.223) (0.156::0.162)) + (IOPATH D1 X (0.195::0.195) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8403_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.059) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8404_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.256::0.256)) + (IOPATH B X (0.173::0.173) (0.249::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8405_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.053) (0.036::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _8406_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.199::0.199) (0.259::0.259)) + (IOPATH A2 X (0.172::0.172) (0.251::0.251)) + (IOPATH B1 X (0.178::0.179) (0.145::0.150)) + (IOPATH C1 X (0.151::0.151) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8407_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.059) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8408_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.156::0.156) (0.054::0.054)) + (IOPATH B Y (0.153::0.161) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _8409_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.233::0.233)) + (IOPATH A2 X (0.151::0.151) (0.233::0.233)) + (IOPATH B1 X (0.150::0.151) (0.126::0.130)) + (IOPATH C1 X (0.170::0.170) (0.108::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8410_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073::0.073) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8411_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.058::0.058)) + (IOPATH B Y (0.149::0.157) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _8412_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.182::0.182) (0.244::0.244)) + (IOPATH A2 X (0.158::0.158) (0.239::0.239)) + (IOPATH B1 X (0.151::0.151) (0.124::0.128)) + (IOPATH C1 X (0.174::0.174) (0.112::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8413_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.268::0.268)) + (IOPATH B X (0.172::0.172) (0.243::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8414_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.054) (0.036::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _8415_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.242::0.242)) + (IOPATH A2 X (0.156::0.156) (0.237::0.237)) + (IOPATH B1 X (0.151::0.151) (0.125::0.129)) + (IOPATH C1 X (0.135::0.135) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8416_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.054) (0.035::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8417_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.253::0.253)) + (IOPATH B X (0.170::0.171) (0.250::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8418_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.053) (0.035::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _8419_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.177::0.177) (0.241::0.241)) + (IOPATH A2 X (0.152::0.152) (0.233::0.233)) + (IOPATH B1 X (0.145::0.146) (0.121::0.125)) + (IOPATH C1 X (0.130::0.130) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8420_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.262::0.263)) + (IOPATH B X (0.166::0.166) (0.237::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8421_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.050::0.050) (0.033::0.033)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _8422_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.241::0.241) (0.292::0.292)) + (IOPATH A2 X (0.210::0.210) (0.280::0.280)) + (IOPATH B1 X (0.203::0.204) (0.162::0.166)) + (IOPATH C1 X (0.189::0.189) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _8423_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.388::0.388)) + (IOPATH B X (0.152::0.152) (0.384::0.385)) + (IOPATH C_N X (0.188::0.192) (0.379::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21bai_1") + (INSTANCE _8424_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.163::0.163) (0.101::0.101)) + (IOPATH A2 Y (0.165::0.165) (0.081::0.081)) + (IOPATH B1_N Y (0.113::0.113) (0.171::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8425_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.371::0.377)) + (IOPATH B X (0.129::0.140) (0.356::0.356)) + (IOPATH C X (0.105::0.125) (0.309::0.313)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8426_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.348::0.348)) + (IOPATH B X (0.212::0.212) (0.355::0.356)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8427_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.085::0.086) (0.222::0.222)) + (IOPATH B X (0.106::0.106) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8428_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.172) (0.272::0.274)) + (IOPATH B X (0.145::0.145) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8429_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.150) (0.237::0.241)) + (IOPATH B X (0.163::0.163) (0.229::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8430_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.226::0.226)) + (IOPATH B X (0.085::0.085) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8431_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.217::0.218)) + (IOPATH B X (0.075::0.076) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8432_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.373::0.375)) + (IOPATH B X (0.108::0.108) (0.353::0.353)) + (IOPATH C X (0.086::0.087) (0.300::0.300)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8433_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.269::0.269)) + (IOPATH B X (0.173::0.174) (0.254::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8434_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.093) (0.034::0.035)) + (IOPATH B Y (0.087::0.087) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8435_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.155) (0.228::0.238)) + (IOPATH B X (0.093::0.094) (0.191::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8436_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.056::0.056)) + (IOPATH B Y (0.061::0.061) (0.045::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8437_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.183::0.183) (0.087::0.087)) + (IOPATH B Y (0.155::0.155) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8438_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.217::0.217) (0.115::0.115)) + (IOPATH B Y (0.200::0.200) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8439_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.169::0.169) (0.220::0.220)) + (IOPATH A2 X (0.162::0.162) (0.220::0.220)) + (IOPATH A3 X (0.163::0.163) (0.225::0.225)) + (IOPATH B1 X (0.123::0.123) (0.197::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2bb2o_1") + (INSTANCE _8440_) + (DELAY + (ABSOLUTE + (IOPATH A1_N X (0.273::0.273) (0.311::0.311)) + (IOPATH A2_N X (0.296::0.297) (0.307::0.307)) + (IOPATH B1 X (0.163::0.163) (0.319::0.319)) + (IOPATH B2 X (0.150::0.150) (0.256::0.256)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8441_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.090::0.090)) + (IOPATH B Y (0.089::0.089) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _8442_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.234::0.234) (0.123::0.123)) + (IOPATH A2 Y (0.228::0.228) (0.121::0.121)) + (IOPATH B1 Y (0.206::0.206) (0.143::0.143)) + (IOPATH B2 Y (0.187::0.187) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8443_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.210::0.210) (0.118::0.118)) + (IOPATH A2 Y (0.197::0.197) (0.115::0.115)) + (IOPATH B1 Y (0.137::0.137) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8444_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.134) (0.229::0.237)) + (IOPATH B X (0.115::0.125) (0.210::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a311o_1") + (INSTANCE _8445_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.209) (0.316::0.316)) + (IOPATH A2 X (0.225::0.225) (0.352::0.352)) + (IOPATH A3 X (0.219::0.219) (0.392::0.392)) + (IOPATH B1 X (0.172::0.172) (0.363::0.363)) + (IOPATH C1 X (0.168::0.168) (0.319::0.320)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111ai_2") + (INSTANCE _8446_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.262::0.262) (0.190::0.190)) + (IOPATH A2 Y (0.236::0.236) (0.162::0.162)) + (IOPATH B1 Y (0.127::0.128) (0.173::0.173)) + (IOPATH C1 Y (0.118::0.122) (0.163::0.165)) + (IOPATH D1 Y (0.100::0.100) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8447_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.283::0.283)) + (IOPATH B X (0.147::0.147) (0.251::0.251)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _8448_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.547::0.548)) + (IOPATH B X (0.116::0.117) (0.523::0.523)) + (IOPATH C X (0.134::0.170) (0.511::0.519)) + (IOPATH D_N X (0.192::0.192) (0.468::0.468)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8449_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.128) (0.248::0.254)) + (IOPATH B X (0.124::0.125) (0.249::0.249)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8450_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.053) (0.034::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8451_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.262::0.262)) + (IOPATH B X (0.135::0.135) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _8452_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.233::0.233) (0.135::0.135)) + (IOPATH A2 Y (0.216::0.216) (0.124::0.124)) + (IOPATH B1 Y (0.206::0.206) (0.139::0.139)) + (IOPATH B2 Y (0.189::0.189) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8453_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.504::0.505)) + (IOPATH B X (0.106::0.106) (0.491::0.491)) + (IOPATH C X (0.115::0.115) (0.461::0.461)) + (IOPATH D X (0.134::0.135) (0.392::0.397)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8454_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.143::0.143)) + (IOPATH B Y (0.143::0.143) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8455_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.083) (0.226::0.226)) + (IOPATH B X (0.112::0.112) (0.217::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _8456_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.189::0.189) (0.118::0.118)) + (IOPATH A2 Y (0.177::0.177) (0.096::0.096)) + (IOPATH B1 Y (0.161::0.161) (0.118::0.118)) + (IOPATH B2 Y (0.135::0.135) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _8457_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.244::0.244) (0.123::0.123)) + (IOPATH A2 Y (0.212::0.212) (0.100::0.100)) + (IOPATH B1 Y (0.117::0.117) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _8458_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.193::0.193) (0.120::0.120)) + (IOPATH A2 Y (0.182::0.182) (0.107::0.107)) + (IOPATH B1 Y (0.165::0.165) (0.120::0.120)) + (IOPATH B2 Y (0.145::0.145) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8459_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.510::0.510)) + (IOPATH B X (0.134::0.134) (0.495::0.502)) + (IOPATH C X (0.119::0.144) (0.467::0.470)) + (IOPATH D X (0.128::0.128) (0.395::0.401)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8460_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.055) (0.036::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8461_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.270::0.270)) + (IOPATH B X (0.147::0.147) (0.246::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a32o_1") + (INSTANCE _8462_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.258::0.258) (0.279::0.279)) + (IOPATH A2 X (0.266::0.266) (0.315::0.315)) + (IOPATH A3 X (0.242::0.242) (0.307::0.307)) + (IOPATH B1 X (0.210::0.210) (0.282::0.282)) + (IOPATH B2 X (0.199::0.199) (0.261::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8463_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.303::0.304)) + (IOPATH B X (0.202::0.202) (0.268::0.273)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8464_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.107::0.107) (0.541::0.541)) + (IOPATH B X (0.139::0.139) (0.525::0.525)) + (IOPATH C X (0.138::0.139) (0.488::0.489)) + (IOPATH D X (0.138::0.138) (0.426::0.426)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _8465_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.214::0.214) (0.134::0.134)) + (IOPATH A2 Y (0.199::0.199) (0.109::0.109)) + (IOPATH B1 Y (0.176::0.176) (0.126::0.126)) + (IOPATH B2 Y (0.159::0.159) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8466_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.123) (0.264::0.264)) + (IOPATH B X (0.152::0.153) (0.235::0.242)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8467_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.057::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8468_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.255::0.255)) + (IOPATH B X (0.148::0.148) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_1") + (INSTANCE _8469_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.205::0.205) (0.114::0.114)) + (IOPATH A2 Y (0.189::0.189) (0.114::0.114)) + (IOPATH B1 Y (0.165::0.165) (0.120::0.120)) + (IOPATH B2 Y (0.152::0.152) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8470_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.439::0.439)) + (IOPATH B X (0.181::0.182) (0.420::0.420)) + (IOPATH C X (0.190::0.190) (0.377::0.382)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _8471_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.168) (0.187::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _8472_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.125) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8473_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.179::0.180) (0.234::0.234)) + (IOPATH A2 X (0.174::0.174) (0.244::0.244)) + (IOPATH A3 X (0.161::0.161) (0.225::0.225)) + (IOPATH B1 X (0.169::0.169) (0.211::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8474_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.116) (0.244::0.244)) + (IOPATH B X (0.098::0.098) (0.200::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8475_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.406::0.407)) + (IOPATH B X (0.130::0.132) (0.373::0.373)) + (IOPATH C X (0.115::0.115) (0.335::0.335)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8476_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.350::0.350)) + (IOPATH B X (0.161::0.161) (0.329::0.329)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8477_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.100) (0.234::0.234)) + (IOPATH B X (0.113::0.113) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8478_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.221::0.223)) + (IOPATH B X (0.078::0.078) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8479_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.221::0.222)) + (IOPATH B X (0.081::0.082) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_4") + (INSTANCE _8480_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.209::0.209) (0.111::0.111)) + (IOPATH A2 Y (0.189::0.189) (0.094::0.094)) + (IOPATH B1 Y (0.164::0.164) (0.106::0.106)) + (IOPATH B2 Y (0.142::0.142) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8481_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.086::0.086) (0.218::0.218)) + (IOPATH B X (0.120::0.121) (0.203::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8482_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.279::0.282)) + (IOPATH B X (0.162::0.162) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8483_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.091) (0.222::0.222)) + (IOPATH B X (0.114::0.114) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8484_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.181::0.181) (0.111::0.111)) + (IOPATH A2 Y (0.171::0.171) (0.102::0.102)) + (IOPATH B1 Y (0.121::0.121) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8485_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.083) (0.213::0.213)) + (IOPATH B X (0.105::0.113) (0.200::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _8486_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.127) (0.222::0.229)) + (IOPATH B_N X (0.138::0.138) (0.206::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _8487_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.221::0.225)) + (IOPATH B_N X (0.156::0.156) (0.225::0.226)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8488_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.086::0.086) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8489_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.386::0.386)) + (IOPATH B X (0.164::0.164) (0.362::0.363)) + (IOPATH C X (0.150::0.150) (0.319::0.323)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8490_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.205) (0.421::0.427)) + (IOPATH B X (0.195::0.195) (0.399::0.402)) + (IOPATH C X (0.159::0.160) (0.355::0.356)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_1") + (INSTANCE _8491_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.242::0.242) (0.347::0.347)) + (IOPATH A2 X (0.222::0.222) (0.371::0.371)) + (IOPATH B1 X (0.251::0.251) (0.365::0.365)) + (IOPATH C1 X (0.252::0.252) (0.324::0.326)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8492_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8493_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.381::0.381)) + (IOPATH B X (0.168::0.168) (0.366::0.368)) + (IOPATH C X (0.132::0.132) (0.321::0.321)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a311oi_2") + (INSTANCE _8494_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.281::0.281) (0.148::0.148)) + (IOPATH A2 Y (0.319::0.319) (0.150::0.150)) + (IOPATH A3 Y (0.362::0.362) (0.152::0.152)) + (IOPATH B1 Y (0.315::0.315) (0.078::0.078)) + (IOPATH C1 Y (0.255::0.255) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _8495_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.182::0.182) (0.237::0.237)) + (IOPATH A2 X (0.169::0.169) (0.226::0.226)) + (IOPATH B1 X (0.176::0.176) (0.199::0.199)) + (IOPATH B2 X (0.145::0.145) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _8496_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.280::0.280) (0.106::0.106)) + (IOPATH B Y (0.239::0.242) (0.074::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2b_1") + (INSTANCE _8497_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.192) (0.287::0.288)) + (IOPATH B_N X (0.229::0.230) (0.285::0.285)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8498_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.086::0.086)) + (IOPATH B Y (0.142::0.142) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8499_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.276::0.278)) + (IOPATH B X (0.192::0.192) (0.252::0.257)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _8500_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.495::0.496)) + (IOPATH B X (0.113::0.113) (0.486::0.486)) + (IOPATH C X (0.159::0.159) (0.447::0.449)) + (IOPATH D_N X (0.151::0.152) (0.410::0.410)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8501_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.257::0.274) (0.795::0.813)) + (IOPATH B X (0.278::0.278) (0.779::0.783)) + (IOPATH C X (0.232::0.232) (0.754::0.755)) + (IOPATH D X (0.187::0.190) (0.678::0.678)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _8502_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.269::0.269) (0.329::0.329)) + (IOPATH A2 X (0.244::0.244) (0.281::0.281)) + (IOPATH B1 X (0.244::0.244) (0.204::0.204)) + (IOPATH C1 X (0.234::0.234) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _8503_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.269::0.269) (0.323::0.323)) + (IOPATH A2 X (0.264::0.264) (0.306::0.307)) + (IOPATH B1 X (0.268::0.268) (0.283::0.283)) + (IOPATH B2 X (0.264::0.264) (0.265::0.265)) + (IOPATH C1 X (0.261::0.261) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and3b_1") + (INSTANCE _8504_) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.264::0.265) (0.198::0.199)) + (IOPATH B X (0.180::0.181) (0.184::0.187)) + (IOPATH C X (0.178::0.178) (0.190::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_4") + (INSTANCE _8505_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.638::0.639)) + (IOPATH B X (0.232::0.233) (0.625::0.626)) + (IOPATH C X (0.186::0.187) (0.576::0.576)) + (IOPATH D_N X (0.264::0.266) (0.544::0.544)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8506_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.556::0.560)) + (IOPATH B X (0.201::0.202) (0.531::0.532)) + (IOPATH C X (0.202::0.202) (0.493::0.495)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_1") + (INSTANCE _8507_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.245::0.245) (0.154::0.154)) + (IOPATH A2 Y (0.228::0.228) (0.142::0.142)) + (IOPATH B1 Y (0.225::0.225) (0.156::0.156)) + (IOPATH B2 Y (0.186::0.193) (0.153::0.153)) + (IOPATH C1 Y (0.113::0.113) (0.158::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a41o_1") + (INSTANCE _8508_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.218::0.218) (0.241::0.241)) + (IOPATH A2 X (0.216::0.216) (0.242::0.242)) + (IOPATH A3 X (0.232::0.232) (0.288::0.288)) + (IOPATH A4 X (0.229::0.229) (0.273::0.273)) + (IOPATH B1 X (0.162::0.162) (0.253::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8509_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.542::0.542)) + (IOPATH B X (0.125::0.125) (0.522::0.522)) + (IOPATH C X (0.118::0.146) (0.477::0.483)) + (IOPATH D X (0.119::0.121) (0.401::0.402)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _8510_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.248::0.248) (0.087::0.087)) + (IOPATH B Y (0.231::0.231) (0.079::0.079)) + (IOPATH C Y (0.192::0.192) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_1") + (INSTANCE _8511_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.140::0.140) (0.288::0.288)) + (IOPATH A2 X (0.167::0.167) (0.317::0.317)) + (IOPATH B1 X (0.151::0.151) (0.281::0.282)) + (IOPATH C1 X (0.122::0.122) (0.246::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8512_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.568::0.568)) + (IOPATH B X (0.130::0.130) (0.553::0.553)) + (IOPATH C X (0.177::0.177) (0.510::0.512)) + (IOPATH D X (0.128::0.130) (0.442::0.443)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8513_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8514_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.423::0.423)) + (IOPATH B X (0.188::0.201) (0.413::0.420)) + (IOPATH C X (0.172::0.182) (0.369::0.372)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8515_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.259::0.259) (0.129::0.129)) + (IOPATH B Y (0.245::0.245) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_4") + (INSTANCE _8516_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.327::0.327) (0.112::0.112)) + (IOPATH B Y (0.288::0.294) (0.086::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8517_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.134) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_4") + (INSTANCE _8518_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.341::0.341)) + (IOPATH B X (0.186::0.186) (0.289::0.289)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8519_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.258::0.258) (0.226::0.233)) + (IOPATH A2 X (0.214::0.214) (0.252::0.252)) + (IOPATH A3 X (0.222::0.222) (0.275::0.275)) + (IOPATH B1 X (0.172::0.172) (0.237::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8520_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.154::0.154) (0.205::0.205)) + (IOPATH A2 X (0.162::0.162) (0.216::0.216)) + (IOPATH A3 X (0.170::0.170) (0.239::0.239)) + (IOPATH B1 X (0.127::0.136) (0.199::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE _8521_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.071) (0.070::0.070)) + (IOPATH B Y (0.085::0.085) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8522_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.240::0.240)) + (IOPATH A2 X (0.212::0.212) (0.252::0.252)) + (IOPATH A3 X (0.210::0.211) (0.259::0.262)) + (IOPATH B1 X (0.186::0.195) (0.235::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8523_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8524_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.206::0.206)) + (IOPATH A2 X (0.199::0.199) (0.243::0.243)) + (IOPATH A3 X (0.207::0.207) (0.265::0.265)) + (IOPATH B1 X (0.177::0.178) (0.225::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_4") + (INSTANCE _8525_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.497::0.497) (0.121::0.121)) + (IOPATH B Y (0.482::0.482) (0.115::0.116)) + (IOPATH C Y (0.438::0.438) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8526_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.235::0.235) (0.202::0.206)) + (IOPATH A2 X (0.170::0.170) (0.223::0.223)) + (IOPATH A3 X (0.178::0.178) (0.245::0.245)) + (IOPATH B1 X (0.116::0.116) (0.195::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8527_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.132) (0.552::0.553)) + (IOPATH B X (0.159::0.160) (0.546::0.546)) + (IOPATH C X (0.146::0.146) (0.507::0.508)) + (IOPATH D X (0.129::0.131) (0.434::0.435)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_4") + (INSTANCE _8528_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.350::0.350) (0.118::0.118)) + (IOPATH B Y (0.324::0.328) (0.096::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8529_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.170::0.170) (0.194::0.194)) + (IOPATH A2 X (0.236::0.236) (0.239::0.248)) + (IOPATH A3 X (0.187::0.188) (0.252::0.252)) + (IOPATH B1 X (0.143::0.143) (0.221::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8530_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.179::0.179) (0.222::0.223)) + (IOPATH A2 X (0.244::0.244) (0.245::0.254)) + (IOPATH A3 X (0.195::0.195) (0.258::0.258)) + (IOPATH B1 X (0.145::0.166) (0.216::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8531_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.150) (0.552::0.553)) + (IOPATH B X (0.131::0.132) (0.546::0.546)) + (IOPATH C X (0.130::0.132) (0.494::0.495)) + (IOPATH D X (0.130::0.132) (0.427::0.428)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8532_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.237::0.237) (0.213::0.222)) + (IOPATH A2 X (0.262::0.262) (0.245::0.248)) + (IOPATH A3 X (0.196::0.196) (0.257::0.257)) + (IOPATH B1 X (0.150::0.150) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8533_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.218::0.218) (0.201::0.208)) + (IOPATH A2 X (0.231::0.231) (0.235::0.244)) + (IOPATH A3 X (0.183::0.183) (0.248::0.248)) + (IOPATH B1 X (0.142::0.142) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8534_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.192::0.192) (0.244::0.244)) + (IOPATH A2 X (0.185::0.185) (0.231::0.231)) + (IOPATH A3 X (0.196::0.196) (0.258::0.258)) + (IOPATH B1 X (0.146::0.146) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8535_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.176) (0.770::0.770)) + (IOPATH B X (0.184::0.186) (0.738::0.739)) + (IOPATH C X (0.175::0.178) (0.702::0.702)) + (IOPATH D X (0.179::0.181) (0.635::0.636)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8536_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.058) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a311o_1") + (INSTANCE _8537_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.194::0.194) (0.287::0.287)) + (IOPATH A2 X (0.230::0.230) (0.358::0.358)) + (IOPATH A3 X (0.194::0.194) (0.365::0.365)) + (IOPATH B1 X (0.131::0.131) (0.331::0.331)) + (IOPATH C1 X (0.142::0.142) (0.292::0.292)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8538_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.539::0.539)) + (IOPATH B X (0.147::0.148) (0.525::0.526)) + (IOPATH C X (0.145::0.145) (0.500::0.506)) + (IOPATH D X (0.103::0.103) (0.409::0.409)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_2") + (INSTANCE _8539_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.569::0.570)) + (IOPATH B X (0.209::0.209) (0.544::0.544)) + (IOPATH C_N X (0.285::0.285) (0.526::0.527)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8540_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.258::0.262)) + (IOPATH B X (0.164::0.164) (0.244::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor4_1") + (INSTANCE _8541_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.377::0.377) (0.079::0.079)) + (IOPATH B Y (0.333::0.335) (0.069::0.071)) + (IOPATH C Y (0.326::0.326) (0.081::0.082)) + (IOPATH D Y (0.248::0.249) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _8542_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.549::0.551)) + (IOPATH B X (0.143::0.143) (0.530::0.531)) + (IOPATH C X (0.147::0.147) (0.505::0.505)) + (IOPATH D_N X (0.174::0.178) (0.490::0.490)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8543_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.064) (0.032::0.032)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8544_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.587::0.587)) + (IOPATH B X (0.207::0.207) (0.564::0.564)) + (IOPATH C X (0.202::0.202) (0.503::0.503)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _8545_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8546_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.179::0.179) (0.065::0.065)) + (IOPATH B Y (0.187::0.187) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _8547_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.208::0.208) (0.238::0.238)) + (IOPATH A2 X (0.197::0.197) (0.208::0.218)) + (IOPATH B1 X (0.200::0.210) (0.146::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _8548_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.276::0.277) (0.314::0.314)) + (IOPATH A2 X (0.290::0.290) (0.327::0.327)) + (IOPATH B1 X (0.301::0.301) (0.315::0.315)) + (IOPATH B2 X (0.285::0.285) (0.300::0.300)) + (IOPATH C1 X (0.282::0.282) (0.185::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_1") + (INSTANCE _8549_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.214::0.214) (0.149::0.149)) + (IOPATH A2 Y (0.207::0.207) (0.128::0.128)) + (IOPATH B1 Y (0.184::0.184) (0.143::0.143)) + (IOPATH B2 Y (0.181::0.181) (0.120::0.120)) + (IOPATH C1 Y (0.093::0.100) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8550_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.082::0.082)) + (IOPATH B Y (0.156::0.156) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8551_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.083::0.083)) + (IOPATH B Y (0.137::0.137) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8552_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.079::0.079)) + (IOPATH B Y (0.143::0.143) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8553_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.213) (0.762::0.768)) + (IOPATH B X (0.201::0.201) (0.735::0.736)) + (IOPATH C X (0.190::0.191) (0.700::0.702)) + (IOPATH D X (0.191::0.191) (0.631::0.632)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8554_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.181::0.181) (0.093::0.093)) + (IOPATH B Y (0.169::0.169) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_1") + (INSTANCE _8555_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.278::0.278) (0.181::0.182)) + (IOPATH A2 Y (0.266::0.266) (0.175::0.175)) + (IOPATH B1 Y (0.246::0.246) (0.177::0.177)) + (IOPATH B2 Y (0.243::0.243) (0.151::0.151)) + (IOPATH C1 Y (0.109::0.109) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8556_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_1") + (INSTANCE _8557_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.258::0.258) (0.437::0.438)) + (IOPATH A2 X (0.249::0.249) (0.401::0.401)) + (IOPATH A3 X (0.244::0.244) (0.355::0.355)) + (IOPATH B1 X (0.248::0.249) (0.191::0.191)) + (IOPATH C1 X (0.223::0.223) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8558_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.075) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8559_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.137) (0.570::0.570)) + (IOPATH B X (0.150::0.150) (0.519::0.522)) + (IOPATH C X (0.138::0.169) (0.505::0.510)) + (IOPATH D X (0.107::0.108) (0.415::0.415)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_2") + (INSTANCE _8560_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.362::0.365)) + (IOPATH B X (0.177::0.177) (0.345::0.345)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8561_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.199::0.199) (0.108::0.108)) + (IOPATH B Y (0.190::0.190) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8562_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.431::0.434)) + (IOPATH B X (0.160::0.160) (0.411::0.411)) + (IOPATH C X (0.169::0.169) (0.372::0.372)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8563_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.134) (0.574::0.574)) + (IOPATH B X (0.160::0.160) (0.559::0.559)) + (IOPATH C X (0.170::0.170) (0.513::0.516)) + (IOPATH D X (0.146::0.146) (0.456::0.456)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _8564_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.528::0.529)) + (IOPATH B X (0.122::0.177) (0.506::0.515)) + (IOPATH C X (0.127::0.127) (0.475::0.476)) + (IOPATH D_N X (0.189::0.189) (0.436::0.437)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8565_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.257::0.258) (0.101::0.102)) + (IOPATH B Y (0.241::0.241) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8566_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.053::0.053)) + (IOPATH B Y (0.138::0.138) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _8567_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.277::0.277) (0.134::0.134)) + (IOPATH A2 Y (0.241::0.250) (0.118::0.118)) + (IOPATH B1 Y (0.139::0.144) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _8568_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.206::0.206) (0.244::0.244)) + (IOPATH A2 X (0.174::0.174) (0.228::0.228)) + (IOPATH B1 X (0.170::0.170) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_4") + (INSTANCE _8569_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.257::0.257) (0.131::0.131)) + (IOPATH A2 Y (0.227::0.229) (0.111::0.111)) + (IOPATH B1 Y (0.143::0.143) (0.124::0.124)) + (IOPATH C1 Y (0.131::0.131) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_4") + (INSTANCE _8570_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.249::0.249) (0.154::0.154)) + (IOPATH A2 Y (0.201::0.201) (0.128::0.128)) + (IOPATH B1 Y (0.190::0.190) (0.137::0.137)) + (IOPATH B2 Y (0.168::0.168) (0.124::0.124)) + (IOPATH C1 Y (0.100::0.101) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8571_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.405::0.411)) + (IOPATH B X (0.193::0.193) (0.388::0.391)) + (IOPATH C X (0.128::0.129) (0.342::0.342)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8572_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.229) (0.607::0.609)) + (IOPATH B X (0.187::0.216) (0.587::0.590)) + (IOPATH C X (0.175::0.201) (0.552::0.556)) + (IOPATH D X (0.168::0.169) (0.487::0.487)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8573_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.399::0.405)) + (IOPATH B X (0.146::0.148) (0.373::0.374)) + (IOPATH C X (0.124::0.124) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8574_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.390::0.398)) + (IOPATH B X (0.145::0.145) (0.363::0.364)) + (IOPATH C X (0.117::0.117) (0.327::0.327)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8575_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.420::0.428)) + (IOPATH B X (0.168::0.168) (0.392::0.393)) + (IOPATH C X (0.143::0.143) (0.357::0.357)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8576_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.168) (0.599::0.600)) + (IOPATH B X (0.150::0.151) (0.565::0.565)) + (IOPATH C X (0.143::0.143) (0.529::0.529)) + (IOPATH D X (0.153::0.153) (0.467::0.467)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8577_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.176) (0.415::0.420)) + (IOPATH B X (0.191::0.191) (0.397::0.398)) + (IOPATH C X (0.155::0.165) (0.353::0.357)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8578_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.445::0.453)) + (IOPATH B X (0.207::0.208) (0.419::0.422)) + (IOPATH C X (0.169::0.170) (0.379::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8579_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.555::0.556)) + (IOPATH B X (0.176::0.177) (0.514::0.519)) + (IOPATH C X (0.157::0.157) (0.479::0.479)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8580_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.223) (0.664::0.665)) + (IOPATH B X (0.221::0.221) (0.635::0.636)) + (IOPATH C X (0.234::0.234) (0.610::0.611)) + (IOPATH D X (0.215::0.215) (0.544::0.544)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8581_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.129::0.129) (0.074::0.074)) + (IOPATH A2 Y (0.137::0.138) (0.066::0.066)) + (IOPATH B1 Y (0.096::0.096) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8582_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.258::0.258)) + (IOPATH B X (0.112::0.117) (0.209::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _8583_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.311::0.311) (0.104::0.104)) + (IOPATH B Y (0.288::0.288) (0.064::0.065)) + (IOPATH C Y (0.252::0.254) (0.075::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a311o_1") + (INSTANCE _8584_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.191::0.191) (0.283::0.283)) + (IOPATH A2 X (0.214::0.214) (0.371::0.371)) + (IOPATH A3 X (0.247::0.247) (0.370::0.378)) + (IOPATH B1 X (0.166::0.166) (0.349::0.350)) + (IOPATH C1 X (0.149::0.149) (0.301::0.301)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8585_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.265::0.266)) + (IOPATH B X (0.200::0.200) (0.259::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8586_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.559::0.560)) + (IOPATH B X (0.111::0.111) (0.509::0.509)) + (IOPATH C X (0.119::0.122) (0.479::0.481)) + (IOPATH D X (0.122::0.123) (0.414::0.414)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8587_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.255::0.255)) + (IOPATH B X (0.164::0.164) (0.236::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8588_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.512::0.520)) + (IOPATH B X (0.096::0.096) (0.500::0.500)) + (IOPATH C X (0.125::0.125) (0.473::0.474)) + (IOPATH D X (0.103::0.103) (0.391::0.391)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_2") + (INSTANCE _8589_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.743::0.743)) + (IOPATH B X (0.189::0.223) (0.717::0.722)) + (IOPATH C X (0.180::0.180) (0.692::0.692)) + (IOPATH D_N X (0.260::0.260) (0.656::0.657)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_1") + (INSTANCE _8590_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.257::0.257) (0.140::0.140)) + (IOPATH A2 Y (0.208::0.208) (0.102::0.102)) + (IOPATH B1 Y (0.141::0.142) (0.113::0.114)) + (IOPATH C1 Y (0.158::0.159) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_1") + (INSTANCE _8591_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.247::0.247) (0.144::0.144)) + (IOPATH A2 Y (0.235::0.235) (0.128::0.128)) + (IOPATH B1 Y (0.146::0.146) (0.133::0.133)) + (IOPATH C1 Y (0.126::0.126) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8592_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_1") + (INSTANCE _8593_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.213::0.213) (0.322::0.322)) + (IOPATH A2 X (0.195::0.218) (0.354::0.359)) + (IOPATH B1 X (0.149::0.149) (0.312::0.312)) + (IOPATH C1 X (0.174::0.174) (0.292::0.292)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _8594_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.157) (0.364::0.383)) + (IOPATH B X (0.162::0.162) (0.344::0.346)) + (IOPATH C_N X (0.174::0.175) (0.351::0.351)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _8595_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.107::0.109) (0.534::0.534)) + (IOPATH B X (0.181::0.181) (0.518::0.522)) + (IOPATH C X (0.173::0.173) (0.490::0.491)) + (IOPATH D_N X (0.186::0.186) (0.458::0.458)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") + (INSTANCE _8596_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.285::0.285) (0.193::0.193)) + (IOPATH A2 Y (0.274::0.274) (0.167::0.167)) + (IOPATH B1 Y (0.157::0.157) (0.186::0.186)) + (IOPATH C1 Y (0.131::0.142) (0.219::0.219)) + (IOPATH D1 Y (0.114::0.118) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8597_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.598::0.602)) + (IOPATH B X (0.164::0.164) (0.573::0.573)) + (IOPATH C X (0.172::0.172) (0.549::0.549)) + (IOPATH D X (0.179::0.179) (0.496::0.497)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8598_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.205) (0.776::0.777)) + (IOPATH B X (0.181::0.182) (0.759::0.760)) + (IOPATH C X (0.194::0.242) (0.736::0.748)) + (IOPATH D X (0.190::0.190) (0.665::0.665)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8599_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.191) (0.590::0.592)) + (IOPATH B X (0.199::0.199) (0.571::0.572)) + (IOPATH C X (0.182::0.182) (0.547::0.547)) + (IOPATH D X (0.198::0.199) (0.495::0.496)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8600_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.225::0.225)) + (IOPATH B X (0.116::0.140) (0.228::0.235)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8601_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.127) (0.548::0.549)) + (IOPATH B X (0.113::0.113) (0.515::0.515)) + (IOPATH C X (0.098::0.099) (0.461::0.461)) + (IOPATH D X (0.118::0.118) (0.406::0.406)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_1") + (INSTANCE _8602_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.237::0.237) (0.172::0.172)) + (IOPATH A2 Y (0.228::0.228) (0.150::0.150)) + (IOPATH B1 Y (0.214::0.214) (0.161::0.161)) + (IOPATH B2 Y (0.204::0.205) (0.137::0.137)) + (IOPATH C1 Y (0.088::0.092) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8603_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.535::0.535)) + (IOPATH B X (0.105::0.106) (0.520::0.520)) + (IOPATH C X (0.154::0.154) (0.477::0.479)) + (IOPATH D X (0.122::0.150) (0.423::0.427)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8604_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8605_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.280::0.280)) + (IOPATH B X (0.169::0.169) (0.272::0.272)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8606_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.187) (0.267::0.271)) + (IOPATH B X (0.172::0.172) (0.243::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_2") + (INSTANCE _8607_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.217) (0.775::0.776)) + (IOPATH B X (0.181::0.181) (0.729::0.729)) + (IOPATH C X (0.235::0.236) (0.719::0.724)) + (IOPATH D_N X (0.300::0.300) (0.707::0.707)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_1") + (INSTANCE _8608_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.207::0.207) (0.380::0.380)) + (IOPATH A2 X (0.211::0.211) (0.366::0.366)) + (IOPATH A3 X (0.192::0.192) (0.317::0.317)) + (IOPATH B1 X (0.192::0.192) (0.146::0.146)) + (IOPATH C1 X (0.191::0.191) (0.132::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8609_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.045::0.054) (0.035::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8610_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.190::0.190) (0.113::0.113)) + (IOPATH A2 Y (0.175::0.175) (0.086::0.086)) + (IOPATH B1 Y (0.132::0.132) (0.106::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_1") + (INSTANCE _8611_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.204::0.204) (0.336::0.336)) + (IOPATH A2 X (0.216::0.226) (0.356::0.362)) + (IOPATH B1 X (0.184::0.184) (0.331::0.333)) + (IOPATH C1 X (0.193::0.194) (0.299::0.300)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8612_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.241::0.243)) + (IOPATH B X (0.107::0.108) (0.212::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8613_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.105) (0.374::0.374)) + (IOPATH B X (0.141::0.142) (0.375::0.376)) + (IOPATH C X (0.110::0.111) (0.322::0.322)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8614_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.591::0.591)) + (IOPATH B X (0.220::0.220) (0.584::0.584)) + (IOPATH C X (0.193::0.193) (0.543::0.548)) + (IOPATH D X (0.170::0.172) (0.473::0.474)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8615_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.576::0.579)) + (IOPATH B X (0.211::0.212) (0.568::0.569)) + (IOPATH C X (0.178::0.178) (0.527::0.533)) + (IOPATH D X (0.146::0.148) (0.454::0.454)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8616_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.188) (0.773::0.773)) + (IOPATH B X (0.158::0.159) (0.727::0.727)) + (IOPATH C X (0.180::0.181) (0.711::0.711)) + (IOPATH D X (0.168::0.168) (0.637::0.637)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8617_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.133) (0.249::0.249)) + (IOPATH B X (0.135::0.137) (0.236::0.239)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_2") + (INSTANCE _8618_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.221::0.221) (0.134::0.134)) + (IOPATH A2 Y (0.196::0.196) (0.118::0.118)) + (IOPATH B1 Y (0.167::0.167) (0.123::0.123)) + (IOPATH B2 Y (0.149::0.149) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8619_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.117) (0.543::0.544)) + (IOPATH B X (0.114::0.114) (0.501::0.501)) + (IOPATH C X (0.137::0.137) (0.468::0.472)) + (IOPATH D X (0.115::0.115) (0.401::0.401)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor4_1") + (INSTANCE _8620_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.276::0.280) (0.080::0.081)) + (IOPATH B Y (0.268::0.268) (0.060::0.060)) + (IOPATH C Y (0.241::0.242) (0.087::0.087)) + (IOPATH D Y (0.183::0.183) (0.038::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8621_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.061) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_1") + (INSTANCE _8622_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.200::0.200) (0.378::0.379)) + (IOPATH A2 X (0.203::0.204) (0.366::0.368)) + (IOPATH A3 X (0.193::0.193) (0.317::0.317)) + (IOPATH B1 X (0.194::0.194) (0.147::0.147)) + (IOPATH C1 X (0.190::0.191) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_2") + (INSTANCE _8623_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.261::0.261) (0.126::0.126)) + (IOPATH A2 Y (0.224::0.232) (0.097::0.098)) + (IOPATH B1 Y (0.122::0.124) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _8624_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.219::0.219)) + (IOPATH B X (0.243::0.243) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8625_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.278::0.278) (0.105::0.105)) + (IOPATH B Y (0.256::0.257) (0.097::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22ai_2") + (INSTANCE _8626_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.242::0.242) (0.131::0.131)) + (IOPATH A2 Y (0.207::0.208) (0.118::0.118)) + (IOPATH B1 Y (0.182::0.182) (0.129::0.129)) + (IOPATH B2 Y (0.155::0.157) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8627_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.585::0.585)) + (IOPATH B X (0.220::0.220) (0.583::0.584)) + (IOPATH C X (0.174::0.174) (0.547::0.547)) + (IOPATH D X (0.184::0.184) (0.471::0.472)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111a_1") + (INSTANCE _8628_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.339::0.339) (0.344::0.344)) + (IOPATH A2 X (0.307::0.307) (0.336::0.336)) + (IOPATH B1 X (0.321::0.321) (0.227::0.227)) + (IOPATH C1 X (0.319::0.319) (0.220::0.220)) + (IOPATH D1 X (0.320::0.320) (0.185::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_2") + (INSTANCE _8629_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.231) (0.753::0.755)) + (IOPATH B X (0.212::0.212) (0.718::0.726)) + (IOPATH C X (0.188::0.188) (0.712::0.712)) + (IOPATH D_N X (0.278::0.283) (0.687::0.687)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8630_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.743::0.743)) + (IOPATH B X (0.195::0.196) (0.729::0.729)) + (IOPATH C X (0.173::0.173) (0.698::0.698)) + (IOPATH D X (0.185::0.186) (0.623::0.624)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8631_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.145) (0.243::0.243)) + (IOPATH B X (0.128::0.155) (0.242::0.248)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8632_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.178::0.178) (0.084::0.084)) + (IOPATH B Y (0.154::0.154) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor4_1") + (INSTANCE _8633_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.369::0.369) (0.076::0.077)) + (IOPATH B Y (0.359::0.359) (0.072::0.073)) + (IOPATH C Y (0.293::0.293) (0.057::0.057)) + (IOPATH D Y (0.237::0.238) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a211o_1") + (INSTANCE _8634_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.246::0.246) (0.374::0.374)) + (IOPATH A2 X (0.220::0.220) (0.366::0.366)) + (IOPATH B1 X (0.244::0.244) (0.356::0.356)) + (IOPATH C1 X (0.236::0.236) (0.313::0.314)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8635_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.175) (0.100::0.100)) + (IOPATH B Y (0.178::0.180) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8636_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.753::0.754)) + (IOPATH B X (0.181::0.248) (0.730::0.738)) + (IOPATH C X (0.187::0.187) (0.700::0.700)) + (IOPATH D X (0.178::0.178) (0.635::0.636)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8637_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.155::0.155) (0.187::0.187)) + (IOPATH A2 X (0.228::0.228) (0.222::0.228)) + (IOPATH A3 X (0.197::0.197) (0.244::0.245)) + (IOPATH B1 X (0.138::0.139) (0.246::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8638_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.055) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2111o_1") + (INSTANCE _8639_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.180::0.180) (0.403::0.403)) + (IOPATH A2 X (0.225::0.225) (0.479::0.479)) + (IOPATH B1 X (0.198::0.198) (0.433::0.435)) + (IOPATH C1 X (0.141::0.141) (0.380::0.380)) + (IOPATH D1 X (0.138::0.159) (0.333::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _8640_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.256::0.256) (0.093::0.093)) + (IOPATH B Y (0.264::0.264) (0.106::0.106)) + (IOPATH C Y (0.228::0.228) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_2") + (INSTANCE _8641_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.743::0.743)) + (IOPATH B X (0.200::0.240) (0.727::0.729)) + (IOPATH C X (0.226::0.226) (0.692::0.697)) + (IOPATH D_N X (0.265::0.267) (0.678::0.693)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8642_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.182) (0.739::0.744)) + (IOPATH B X (0.220::0.220) (0.729::0.729)) + (IOPATH C X (0.156::0.156) (0.688::0.688)) + (IOPATH D X (0.163::0.164) (0.629::0.629)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2111o_2") + (INSTANCE _8643_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.223::0.223) (0.505::0.505)) + (IOPATH A2 X (0.272::0.272) (0.583::0.583)) + (IOPATH B1 X (0.236::0.236) (0.535::0.535)) + (IOPATH C1 X (0.179::0.179) (0.488::0.488)) + (IOPATH D1 X (0.183::0.183) (0.444::0.444)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8644_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.222) (0.650::0.652)) + (IOPATH B X (0.225::0.225) (0.652::0.653)) + (IOPATH C X (0.216::0.217) (0.619::0.619)) + (IOPATH D X (0.227::0.229) (0.543::0.544)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8645_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.246::0.247) (0.655::0.659)) + (IOPATH B X (0.267::0.268) (0.639::0.641)) + (IOPATH C X (0.238::0.238) (0.611::0.611)) + (IOPATH D X (0.245::0.245) (0.559::0.559)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8646_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.162) (0.256::0.260)) + (IOPATH B X (0.130::0.130) (0.237::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor4_1") + (INSTANCE _8647_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.333::0.334) (0.082::0.082)) + (IOPATH B Y (0.326::0.327) (0.084::0.085)) + (IOPATH C Y (0.261::0.261) (0.061::0.061)) + (IOPATH D Y (0.214::0.214) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21a_1") + (INSTANCE _8648_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.190::0.190) (0.224::0.224)) + (IOPATH A2 X (0.148::0.148) (0.194::0.194)) + (IOPATH B1 X (0.156::0.156) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_1") + (INSTANCE _8649_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.191::0.193) (0.078::0.078)) + (IOPATH B Y (0.166::0.167) (0.055::0.055)) + (IOPATH C Y (0.137::0.145) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8650_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.431::0.431)) + (IOPATH B X (0.163::0.163) (0.396::0.396)) + (IOPATH C X (0.168::0.194) (0.366::0.371)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8651_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.239::0.239)) + (IOPATH B X (0.124::0.124) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8652_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.114::0.114) (0.373::0.373)) + (IOPATH B X (0.119::0.120) (0.361::0.362)) + (IOPATH C X (0.101::0.101) (0.308::0.308)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8653_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.221::0.221) (0.226::0.226)) + (IOPATH A2 X (0.286::0.287) (0.263::0.272)) + (IOPATH A3 X (0.260::0.260) (0.271::0.283)) + (IOPATH B1 X (0.188::0.188) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_1") + (INSTANCE _8654_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.201::0.201) (0.381::0.381)) + (IOPATH A2 X (0.190::0.190) (0.350::0.351)) + (IOPATH A3 X (0.192::0.193) (0.290::0.303)) + (IOPATH B1 X (0.181::0.183) (0.133::0.134)) + (IOPATH C1 X (0.204::0.205) (0.136::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8655_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.040::0.050) (0.032::0.032)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8656_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.161::0.161) (0.187::0.187)) + (IOPATH A2 X (0.146::0.146) (0.206::0.206)) + (IOPATH A3 X (0.148::0.148) (0.240::0.240)) + (IOPATH B1 X (0.128::0.128) (0.186::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8657_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.188) (0.772::0.772)) + (IOPATH B X (0.180::0.181) (0.749::0.749)) + (IOPATH C X (0.184::0.185) (0.726::0.726)) + (IOPATH D X (0.195::0.195) (0.670::0.670)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8658_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.290::0.292)) + (IOPATH B X (0.184::0.185) (0.261::0.261)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _8659_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.592::0.592)) + (IOPATH B X (0.183::0.183) (0.579::0.579)) + (IOPATH C X (0.153::0.154) (0.544::0.544)) + (IOPATH D_N X (0.215::0.219) (0.506::0.506)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8660_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.399::0.399)) + (IOPATH B X (0.124::0.124) (0.353::0.353)) + (IOPATH C X (0.125::0.125) (0.312::0.312)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_1") + (INSTANCE _8661_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.180::0.180) (0.351::0.351)) + (IOPATH A2 X (0.175::0.175) (0.338::0.338)) + (IOPATH A3 X (0.154::0.154) (0.293::0.293)) + (IOPATH B1 X (0.146::0.147) (0.124::0.124)) + (IOPATH C1 X (0.141::0.141) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_2") + (INSTANCE _8662_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.756::0.757)) + (IOPATH B X (0.194::0.196) (0.723::0.724)) + (IOPATH C X (0.168::0.169) (0.693::0.693)) + (IOPATH D_N X (0.245::0.255) (0.666::0.667)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8663_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.580::0.580)) + (IOPATH B X (0.141::0.142) (0.549::0.549)) + (IOPATH C X (0.139::0.139) (0.523::0.523)) + (IOPATH D X (0.171::0.171) (0.430::0.431)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8664_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.084::0.084) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _8665_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.139) (0.415::0.416)) + (IOPATH B X (0.128::0.128) (0.384::0.384)) + (IOPATH C_N X (0.215::0.216) (0.398::0.398)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8666_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.182) (0.756::0.756)) + (IOPATH B X (0.187::0.188) (0.752::0.753)) + (IOPATH C X (0.162::0.163) (0.699::0.699)) + (IOPATH D X (0.171::0.171) (0.637::0.637)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8667_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.202) (0.284::0.285)) + (IOPATH B X (0.142::0.142) (0.244::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8668_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.190) (0.594::0.595)) + (IOPATH B X (0.181::0.181) (0.577::0.577)) + (IOPATH C X (0.167::0.169) (0.534::0.535)) + (IOPATH D X (0.175::0.176) (0.495::0.495)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8669_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.364::0.365)) + (IOPATH B X (0.137::0.137) (0.340::0.343)) + (IOPATH C X (0.125::0.125) (0.302::0.306)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8670_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.169) (0.568::0.569)) + (IOPATH B X (0.165::0.165) (0.568::0.568)) + (IOPATH C X (0.127::0.128) (0.511::0.511)) + (IOPATH D X (0.141::0.141) (0.445::0.445)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor4_2") + (INSTANCE _8671_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.424::0.424) (0.077::0.078)) + (IOPATH B Y (0.388::0.388) (0.066::0.067)) + (IOPATH C Y (0.352::0.353) (0.066::0.067)) + (IOPATH D Y (0.261::0.265) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8672_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.365::0.366)) + (IOPATH B X (0.147::0.147) (0.339::0.342)) + (IOPATH C X (0.099::0.099) (0.304::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8673_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.737::0.737)) + (IOPATH B X (0.190::0.192) (0.710::0.715)) + (IOPATH C X (0.141::0.143) (0.677::0.677)) + (IOPATH D X (0.171::0.171) (0.615::0.615)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8674_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.126) (0.229::0.236)) + (IOPATH B X (0.148::0.148) (0.222::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8675_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.602::0.602)) + (IOPATH B X (0.168::0.168) (0.578::0.578)) + (IOPATH C X (0.181::0.182) (0.561::0.562)) + (IOPATH D X (0.191::0.193) (0.503::0.505)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8676_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.141) (0.245::0.251)) + (IOPATH B X (0.176::0.176) (0.240::0.242)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8677_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.608::0.608)) + (IOPATH B X (0.182::0.183) (0.588::0.588)) + (IOPATH C X (0.182::0.183) (0.565::0.565)) + (IOPATH D X (0.181::0.183) (0.498::0.499)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8678_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.526::0.530)) + (IOPATH B X (0.160::0.162) (0.507::0.509)) + (IOPATH C X (0.158::0.159) (0.470::0.470)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8679_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.596::0.597)) + (IOPATH B X (0.210::0.210) (0.570::0.573)) + (IOPATH C X (0.181::0.181) (0.551::0.551)) + (IOPATH D X (0.162::0.163) (0.489::0.489)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor4_2") + (INSTANCE _8680_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.415::0.415) (0.072::0.072)) + (IOPATH B Y (0.395::0.395) (0.076::0.076)) + (IOPATH C Y (0.357::0.357) (0.066::0.067)) + (IOPATH D Y (0.271::0.271) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8681_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.433::0.434)) + (IOPATH B X (0.173::0.174) (0.405::0.406)) + (IOPATH C X (0.162::0.162) (0.369::0.369)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_4") + (INSTANCE _8682_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.255::0.255) (0.622::0.630)) + (IOPATH B X (0.222::0.222) (0.606::0.607)) + (IOPATH C X (0.200::0.200) (0.579::0.579)) + (IOPATH D X (0.204::0.204) (0.516::0.516)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8683_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.247::0.247)) + (IOPATH B X (0.155::0.155) (0.239::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2111o_2") + (INSTANCE _8684_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.217::0.217) (0.498::0.498)) + (IOPATH A2 X (0.227::0.227) (0.572::0.572)) + (IOPATH B1 X (0.192::0.192) (0.529::0.529)) + (IOPATH C1 X (0.181::0.181) (0.487::0.487)) + (IOPATH D1 X (0.198::0.198) (0.429::0.436)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8685_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.230::0.235)) + (IOPATH B X (0.133::0.133) (0.213::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8686_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.770::0.770)) + (IOPATH B X (0.176::0.176) (0.744::0.744)) + (IOPATH C X (0.198::0.199) (0.708::0.711)) + (IOPATH D X (0.198::0.198) (0.666::0.666)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8687_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.399::0.399)) + (IOPATH B X (0.138::0.139) (0.366::0.368)) + (IOPATH C X (0.145::0.145) (0.330::0.331)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8688_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.373::0.379)) + (IOPATH B X (0.102::0.103) (0.346::0.346)) + (IOPATH C X (0.105::0.105) (0.313::0.314)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8689_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.146) (0.555::0.556)) + (IOPATH B X (0.142::0.142) (0.552::0.553)) + (IOPATH C X (0.121::0.122) (0.493::0.493)) + (IOPATH D X (0.111::0.112) (0.420::0.420)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8690_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.087::0.087) (0.042::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_2") + (INSTANCE _8691_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.220::0.221) (0.154::0.154)) + (IOPATH A2 Y (0.205::0.205) (0.101::0.102)) + (IOPATH B1 Y (0.100::0.102) (0.142::0.142)) + (IOPATH C1 Y (0.075::0.075) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_4") + (INSTANCE _8692_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.216::0.224) (0.174::0.174)) + (IOPATH A2 Y (0.233::0.234) (0.118::0.118)) + (IOPATH B1 Y (0.187::0.191) (0.181::0.182)) + (IOPATH B2 Y (0.199::0.199) (0.120::0.121)) + (IOPATH C1 Y (0.085::0.096) (0.112::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4b_1") + (INSTANCE _8693_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.529::0.529)) + (IOPATH B X (0.185::0.185) (0.496::0.499)) + (IOPATH C X (0.114::0.115) (0.460::0.460)) + (IOPATH D_N X (0.157::0.157) (0.420::0.420)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_1") + (INSTANCE _8694_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.245::0.245) (0.144::0.144)) + (IOPATH A2 Y (0.235::0.235) (0.123::0.123)) + (IOPATH B1 Y (0.141::0.141) (0.127::0.128)) + (IOPATH C1 Y (0.115::0.119) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8695_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.594::0.594)) + (IOPATH B X (0.187::0.211) (0.586::0.591)) + (IOPATH C X (0.223::0.223) (0.545::0.549)) + (IOPATH D X (0.179::0.180) (0.483::0.484)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8696_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.134) (0.566::0.566)) + (IOPATH B X (0.116::0.118) (0.535::0.535)) + (IOPATH C X (0.146::0.146) (0.532::0.532)) + (IOPATH D X (0.140::0.141) (0.451::0.452)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8697_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.081) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3b_1") + (INSTANCE _8698_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.378::0.382)) + (IOPATH B X (0.101::0.102) (0.345::0.345)) + (IOPATH C_N X (0.189::0.189) (0.367::0.367)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8699_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.163) (0.579::0.580)) + (IOPATH B X (0.145::0.146) (0.565::0.565)) + (IOPATH C X (0.161::0.162) (0.548::0.549)) + (IOPATH D X (0.152::0.153) (0.477::0.477)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a21oi_1") + (INSTANCE _8700_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.137::0.137) (0.087::0.087)) + (IOPATH A2 Y (0.155::0.155) (0.094::0.094)) + (IOPATH B1 Y (0.117::0.117) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a2111o_2") + (INSTANCE _8701_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.496::0.496)) + (IOPATH A2 X (0.197::0.202) (0.525::0.529)) + (IOPATH B1 X (0.182::0.195) (0.509::0.513)) + (IOPATH C1 X (0.168::0.169) (0.467::0.468)) + (IOPATH D1 X (0.170::0.171) (0.421::0.422)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8702_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.156) (0.066::0.066)) + (IOPATH B Y (0.133::0.134) (0.059::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8703_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.507::0.511)) + (IOPATH B X (0.158::0.158) (0.498::0.499)) + (IOPATH C X (0.126::0.126) (0.461::0.463)) + (IOPATH D X (0.136::0.136) (0.391::0.393)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or2_1") + (INSTANCE _8704_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.118) (0.237::0.237)) + (IOPATH B X (0.122::0.122) (0.207::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8705_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.530::0.530)) + (IOPATH B X (0.115::0.115) (0.500::0.500)) + (IOPATH C X (0.095::0.095) (0.459::0.459)) + (IOPATH D X (0.123::0.123) (0.417::0.417)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8706_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.139) (0.514::0.518)) + (IOPATH B X (0.139::0.139) (0.501::0.505)) + (IOPATH C X (0.092::0.093) (0.469::0.469)) + (IOPATH D X (0.090::0.091) (0.401::0.401)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8707_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.545::0.545)) + (IOPATH B X (0.179::0.179) (0.525::0.526)) + (IOPATH C X (0.148::0.149) (0.486::0.486)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8708_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.496::0.496)) + (IOPATH B X (0.132::0.132) (0.497::0.498)) + (IOPATH C X (0.154::0.154) (0.459::0.463)) + (IOPATH D X (0.138::0.138) (0.387::0.387)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8709_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.570::0.574)) + (IOPATH B X (0.135::0.137) (0.560::0.560)) + (IOPATH C X (0.157::0.158) (0.551::0.552)) + (IOPATH D X (0.160::0.160) (0.487::0.487)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8710_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076::0.079) (0.057::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_4") + (INSTANCE _8711_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.223::0.223) (0.143::0.143)) + (IOPATH A2 Y (0.202::0.202) (0.127::0.127)) + (IOPATH B1 Y (0.202::0.202) (0.131::0.131)) + (IOPATH B2 Y (0.165::0.166) (0.144::0.144)) + (IOPATH C1 Y (0.065::0.073) (0.096::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8712_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.165::0.165) (0.068::0.068)) + (IOPATH B Y (0.135::0.141) (0.060::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8713_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.518::0.519)) + (IOPATH B X (0.142::0.142) (0.498::0.500)) + (IOPATH C X (0.104::0.104) (0.469::0.469)) + (IOPATH D X (0.121::0.121) (0.407::0.407)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8714_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.188) (0.737::0.738)) + (IOPATH B X (0.137::0.138) (0.706::0.706)) + (IOPATH C X (0.156::0.157) (0.693::0.694)) + (IOPATH D X (0.135::0.136) (0.600::0.600)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8715_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.360::0.366)) + (IOPATH B X (0.169::0.169) (0.343::0.344)) + (IOPATH C X (0.103::0.103) (0.303::0.303)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8716_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.590::0.590)) + (IOPATH B X (0.135::0.137) (0.553::0.553)) + (IOPATH C X (0.175::0.176) (0.553::0.554)) + (IOPATH D X (0.151::0.151) (0.470::0.470)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o22a_1") + (INSTANCE _8717_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.165::0.165) (0.215::0.215)) + (IOPATH A2 X (0.150::0.150) (0.212::0.212)) + (IOPATH B1 X (0.159::0.159) (0.182::0.182)) + (IOPATH B2 X (0.163::0.163) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_1") + (INSTANCE _8718_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.227::0.227) (0.144::0.144)) + (IOPATH A2 Y (0.166::0.168) (0.082::0.083)) + (IOPATH B1 Y (0.110::0.110) (0.093::0.094)) + (IOPATH C1 Y (0.106::0.107) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_2") + (INSTANCE _8719_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.252) (0.588::0.591)) + (IOPATH B X (0.205::0.230) (0.555::0.564)) + (IOPATH C X (0.211::0.211) (0.547::0.547)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8720_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.362::0.362)) + (IOPATH B X (0.145::0.145) (0.336::0.344)) + (IOPATH C X (0.130::0.130) (0.295::0.298)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8721_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.158) (0.512::0.512)) + (IOPATH B X (0.116::0.117) (0.494::0.494)) + (IOPATH C X (0.119::0.119) (0.467::0.467)) + (IOPATH D X (0.084::0.084) (0.386::0.386)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or3_1") + (INSTANCE _8722_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.421::0.422)) + (IOPATH B X (0.160::0.160) (0.403::0.404)) + (IOPATH C X (0.131::0.133) (0.357::0.357)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor3_4") + (INSTANCE _8723_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.584::0.584) (0.117::0.117)) + (IOPATH B Y (0.560::0.560) (0.104::0.104)) + (IOPATH C Y (0.518::0.518) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o21ai_1") + (INSTANCE _8724_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.188::0.188) (0.092::0.092)) + (IOPATH A2 Y (0.188::0.189) (0.092::0.093)) + (IOPATH B1 Y (0.115::0.120) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221ai_1") + (INSTANCE _8725_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.222::0.223) (0.159::0.160)) + (IOPATH A2 Y (0.240::0.240) (0.140::0.140)) + (IOPATH B1 Y (0.202::0.210) (0.156::0.157)) + (IOPATH B2 Y (0.220::0.220) (0.123::0.124)) + (IOPATH C1 Y (0.090::0.133) (0.141::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o2111ai_4") + (INSTANCE _8726_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.295::0.295) (0.200::0.200)) + (IOPATH A2 Y (0.271::0.271) (0.169::0.169)) + (IOPATH B1 Y (0.159::0.159) (0.190::0.190)) + (IOPATH C1 Y (0.132::0.134) (0.180::0.180)) + (IOPATH D1 Y (0.110::0.116) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8727_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.205) (0.600::0.612)) + (IOPATH B X (0.163::0.205) (0.585::0.593)) + (IOPATH C X (0.162::0.162) (0.548::0.549)) + (IOPATH D X (0.162::0.163) (0.482::0.482)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8728_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.121::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8729_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.074::0.074) (0.038::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_2") + (INSTANCE _8730_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.182) (0.742::0.746)) + (IOPATH B X (0.187::0.187) (0.724::0.726)) + (IOPATH C X (0.161::0.161) (0.692::0.692)) + (IOPATH D X (0.160::0.160) (0.630::0.630)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8731_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.632::0.633)) + (IOPATH B X (0.187::0.187) (0.615::0.616)) + (IOPATH C X (0.198::0.199) (0.582::0.582)) + (IOPATH D X (0.183::0.183) (0.505::0.505)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8732_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.033::0.033)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8733_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.075) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8734_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.588::0.593)) + (IOPATH B X (0.153::0.153) (0.563::0.563)) + (IOPATH C X (0.182::0.182) (0.534::0.541)) + (IOPATH D X (0.156::0.158) (0.464::0.465)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__or4_1") + (INSTANCE _8735_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.596::0.597)) + (IOPATH B X (0.154::0.155) (0.568::0.568)) + (IOPATH C X (0.148::0.150) (0.525::0.526)) + (IOPATH D X (0.150::0.150) (0.476::0.476)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211a_1") + (INSTANCE _8736_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.230::0.230) (0.283::0.283)) + (IOPATH A2 X (0.204::0.204) (0.259::0.259)) + (IOPATH B1 X (0.217::0.217) (0.179::0.179)) + (IOPATH C1 X (0.217::0.217) (0.140::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o211ai_4") + (INSTANCE _8737_) + (DELAY + (ABSOLUTE + (IOPATH A1 Y (0.250::0.250) (0.127::0.127)) + (IOPATH A2 Y (0.243::0.243) (0.112::0.112)) + (IOPATH B1 Y (0.117::0.121) (0.115::0.116)) + (IOPATH C1 Y (0.128::0.133) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8738_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.068) (0.034::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8739_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.071) (0.031::0.031)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o311a_1") + (INSTANCE _8740_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.169::0.191) (0.351::0.357)) + (IOPATH A2 X (0.170::0.191) (0.333::0.342)) + (IOPATH A3 X (0.155::0.156) (0.304::0.305)) + (IOPATH B1 X (0.149::0.149) (0.106::0.106)) + (IOPATH C1 X (0.142::0.143) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8741_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.143::0.143) (0.174::0.175)) + (IOPATH A2 X (0.152::0.152) (0.206::0.206)) + (IOPATH A3 X (0.166::0.166) (0.253::0.254)) + (IOPATH B1 X (0.110::0.111) (0.188::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__a31o_1") + (INSTANCE _8742_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.131::0.131) (0.167::0.167)) + (IOPATH A2 X (0.244::0.245) (0.225::0.229)) + (IOPATH A3 X (0.164::0.164) (0.256::0.257)) + (IOPATH B1 X (0.103::0.104) (0.186::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8743_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.114::0.114) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8744_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.077) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8745_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8746_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075::0.075) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8747_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8748_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.076::0.076) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8749_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8750_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075::0.075) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8751_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8752_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.086::0.086) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8753_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8754_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.080) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8755_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8756_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.078::0.078) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8757_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8758_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.077) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8759_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8760_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.080) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8761_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8762_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075::0.075) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8763_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8764_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075::0.075) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8765_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8766_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.082) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8767_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8768_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075::0.075) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8769_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8770_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.079) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8771_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8772_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.078::0.078) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8773_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.124::0.124) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8774_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.080) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8775_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8776_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.079) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8777_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8778_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.082) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8779_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.116) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8780_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.085::0.085) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8781_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8782_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8783_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8784_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.081::0.081) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8785_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8786_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.086::0.086) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8787_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8788_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.079) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8789_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8790_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.087::0.087) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8791_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8792_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.084::0.084) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8793_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8794_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.083) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8795_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8796_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.082) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8797_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8798_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.083) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8799_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8800_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.081::0.081) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _8801_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.168::0.168)) + (IOPATH B X (0.167::0.167) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8802_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.121) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _8803_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.177::0.177)) + (IOPATH B X (0.151::0.151) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8804_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.087::0.087) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE _8805_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.178::0.178)) + (IOPATH B X (0.159::0.159) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8806_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.079) (0.079::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _8807_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.301::0.301) (0.145::0.145)) + (IOPATH B Y (0.260::0.260) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE _8808_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.300::0.300) (0.110::0.110)) + (IOPATH B Y (0.269::0.269) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _8809_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.145) (0.099::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8810_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.049::0.049)) + (IOPATH B Y (0.088::0.104) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8811_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.070::0.070)) + (IOPATH B Y (0.098::0.115) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8812_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.049::0.049)) + (IOPATH B Y (0.087::0.104) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_1") + (INSTANCE _8813_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.053::0.053)) + (IOPATH B Y (0.094::0.111) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__o221a_1") + (INSTANCE _8814_) + (DELAY + (ABSOLUTE + (IOPATH A1 X (0.196::0.196) (0.266::0.266)) + (IOPATH A2 X (0.189::0.189) (0.275::0.275)) + (IOPATH B1 X (0.251::0.251) (0.284::0.284)) + (IOPATH B2 X (0.219::0.219) (0.236::0.237)) + (IOPATH C1 X (0.195::0.196) (0.144::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8816_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8817_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE _8818_) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8819_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.209::0.209) (0.323::0.323)) + (IOPATH A1 X (0.236::0.236) (0.333::0.333)) + (IOPATH S X (0.283::0.283) (0.366::0.366)) + (IOPATH S X (0.236::0.236) (0.371::0.371)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8820_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.165::0.165) (0.318::0.318)) + (IOPATH A1 X (0.187::0.187) (0.343::0.343)) + (IOPATH S X (0.257::0.257) (0.372::0.372)) + (IOPATH S X (0.190::0.190) (0.366::0.366)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8821_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.127::0.127) (0.288::0.288)) + (IOPATH A1 X (0.162::0.162) (0.316::0.316)) + (IOPATH S X (0.235::0.235) (0.349::0.349)) + (IOPATH S X (0.168::0.168) (0.343::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8822_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.236::0.236) (0.346::0.346)) + (IOPATH A1 X (0.236::0.236) (0.334::0.334)) + (IOPATH S X (0.313::0.313) (0.391::0.391)) + (IOPATH S X (0.266::0.266) (0.396::0.396)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8823_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.194::0.194) (0.340::0.340)) + (IOPATH A1 X (0.201::0.201) (0.354::0.354)) + (IOPATH S X (0.292::0.292) (0.398::0.398)) + (IOPATH S X (0.225::0.225) (0.391::0.391)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8824_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.222::0.222) (0.323::0.323)) + (IOPATH A1 X (0.281::0.281) (0.355::0.355)) + (IOPATH S X (0.319::0.319) (0.426::0.426)) + (IOPATH S X (0.267::0.267) (0.354::0.354)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8825_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.145::0.145) (0.297::0.297)) + (IOPATH A1 X (0.146::0.146) (0.299::0.299)) + (IOPATH S X (0.232::0.232) (0.342::0.342)) + (IOPATH S X (0.171::0.171) (0.336::0.336)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8826_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.155::0.156) (0.320::0.320)) + (IOPATH A1 X (0.228::0.228) (0.362::0.362)) + (IOPATH S X (0.303::0.303) (0.400::0.400)) + (IOPATH S X (0.237::0.237) (0.405::0.405)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8827_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.250::0.251) (0.362::0.362)) + (IOPATH A1 X (0.266::0.266) (0.356::0.356)) + (IOPATH S X (0.323::0.323) (0.397::0.397)) + (IOPATH S X (0.276::0.276) (0.404::0.404)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8828_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.197::0.197) (0.346::0.346)) + (IOPATH A1 X (0.240::0.240) (0.374::0.374)) + (IOPATH S X (0.294::0.294) (0.411::0.411)) + (IOPATH S X (0.241::0.241) (0.395::0.395)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8829_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.165::0.165) (0.320::0.320)) + (IOPATH A1 X (0.191::0.191) (0.349::0.349)) + (IOPATH S X (0.251::0.251) (0.381::0.381)) + (IOPATH S X (0.204::0.204) (0.361::0.361)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8830_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.185::0.185) (0.336::0.336)) + (IOPATH A1 X (0.208::0.208) (0.362::0.362)) + (IOPATH S X (0.271::0.271) (0.398::0.398)) + (IOPATH S X (0.223::0.223) (0.378::0.378)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8831_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.300::0.300) (0.404::0.404)) + (IOPATH A1 X (0.325::0.325) (0.403::0.403)) + (IOPATH S X (0.374::0.374) (0.466::0.466)) + (IOPATH S X (0.322::0.322) (0.393::0.393)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8832_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.244::0.244) (0.365::0.365)) + (IOPATH A1 X (0.294::0.294) (0.370::0.370)) + (IOPATH S X (0.337::0.337) (0.431::0.431)) + (IOPATH S X (0.274::0.274) (0.371::0.371)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8833_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.255::0.255) (0.342::0.342)) + (IOPATH A1 X (0.293::0.293) (0.365::0.365)) + (IOPATH S X (0.345::0.345) (0.444::0.444)) + (IOPATH S X (0.291::0.291) (0.374::0.374)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8834_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.300::0.300) (0.367::0.367)) + (IOPATH A1 X (0.255::0.255) (0.354::0.354)) + (IOPATH S X (0.310::0.310) (0.422::0.422)) + (IOPATH S X (0.260::0.260) (0.348::0.348)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8835_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.265::0.265) (0.385::0.385)) + (IOPATH A1 X (0.252::0.252) (0.386::0.386)) + (IOPATH S X (0.301::0.301) (0.420::0.420)) + (IOPATH S X (0.253::0.253) (0.400::0.400)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8836_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.243::0.243) (0.329::0.329)) + (IOPATH A1 X (0.249::0.249) (0.333::0.333)) + (IOPATH S X (0.301::0.301) (0.401::0.401)) + (IOPATH S X (0.229::0.229) (0.342::0.342)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8837_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.178::0.178) (0.325::0.325)) + (IOPATH A1 X (0.190::0.190) (0.343::0.343)) + (IOPATH S X (0.253::0.253) (0.366::0.366)) + (IOPATH S X (0.185::0.185) (0.360::0.360)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8838_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.257::0.257) (0.336::0.336)) + (IOPATH A1 X (0.273::0.273) (0.349::0.349)) + (IOPATH S X (0.309::0.309) (0.406::0.406)) + (IOPATH S X (0.237::0.237) (0.347::0.347)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8839_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.418::0.418) (0.472::0.472)) + (IOPATH A1 X (0.360::0.360) (0.430::0.430)) + (IOPATH S X (0.544::0.544) (0.566::0.566)) + (IOPATH S X (0.481::0.481) (0.548::0.548)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8840_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.387::0.387) (0.445::0.445)) + (IOPATH A1 X (0.378::0.378) (0.436::0.436)) + (IOPATH S X (0.560::0.560) (0.572::0.572)) + (IOPATH S X (0.497::0.497) (0.555::0.555)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8841_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.381::0.381) (0.442::0.442)) + (IOPATH A1 X (0.373::0.373) (0.434::0.434)) + (IOPATH S X (0.554::0.554) (0.569::0.569)) + (IOPATH S X (0.492::0.492) (0.552::0.552)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8842_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.341::0.341) (0.425::0.425)) + (IOPATH A1 X (0.326::0.326) (0.413::0.413)) + (IOPATH S X (0.510::0.510) (0.549::0.549)) + (IOPATH S X (0.447::0.447) (0.531::0.531)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8843_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.331::0.331) (0.421::0.421)) + (IOPATH A1 X (0.313::0.313) (0.406::0.406)) + (IOPATH S X (0.495::0.495) (0.542::0.542)) + (IOPATH S X (0.433::0.433) (0.524::0.524)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8844_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.548::0.548) (0.485::0.485)) + (IOPATH A1 X (0.534::0.534) (0.473::0.473)) + (IOPATH S X (0.717::0.717) (0.609::0.609)) + (IOPATH S X (0.656::0.656) (0.592::0.592)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8845_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.532::0.532) (0.484::0.484)) + (IOPATH A1 X (0.512::0.512) (0.470::0.470)) + (IOPATH S X (0.696::0.696) (0.606::0.606)) + (IOPATH S X (0.634::0.634) (0.589::0.589)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8846_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.473::0.473) (0.454::0.454)) + (IOPATH A1 X (0.468::0.468) (0.448::0.448)) + (IOPATH S X (0.652::0.652) (0.585::0.585)) + (IOPATH S X (0.590::0.590) (0.567::0.567)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8847_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.439::0.440) (0.474::0.490)) + (IOPATH A1 X (0.404::0.404) (0.488::0.488)) + (IOPATH S X (0.577::0.577) (0.575::0.575)) + (IOPATH S X (0.513::0.513) (0.593::0.593)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8848_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.135::0.135) (0.290::0.290)) + (IOPATH A1 X (0.251::0.251) (0.468::0.469)) + (IOPATH S X (0.240::0.240) (0.342::0.342)) + (IOPATH S X (0.158::0.158) (0.347::0.347)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8849_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.241::0.251) (0.471::0.472)) + (IOPATH A1 X (0.222::0.222) (0.364::0.364)) + (IOPATH S X (0.291::0.293) (0.443::0.443)) + (IOPATH S X (0.314::0.314) (0.393::0.395)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8850_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.142::0.144) (0.295::0.295)) + (IOPATH A1 X (0.133::0.133) (0.291::0.291)) + (IOPATH S X (0.276::0.276) (0.364::0.364)) + (IOPATH S X (0.208::0.208) (0.374::0.374)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8851_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.247::0.258) (0.472::0.472)) + (IOPATH A1 X (0.232::0.232) (0.377::0.377)) + (IOPATH S X (0.298::0.300) (0.449::0.449)) + (IOPATH S X (0.321::0.321) (0.399::0.401)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8852_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.153::0.154) (0.307::0.307)) + (IOPATH A1 X (0.136::0.136) (0.298::0.298)) + (IOPATH S X (0.284::0.284) (0.373::0.373)) + (IOPATH S X (0.216::0.216) (0.384::0.384)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8853_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.165::0.174) (0.358::0.359)) + (IOPATH A1 X (0.174::0.174) (0.327::0.327)) + (IOPATH S X (0.245::0.248) (0.403::0.403)) + (IOPATH S X (0.266::0.266) (0.353::0.355)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8854_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.134::0.137) (0.296::0.296)) + (IOPATH A1 X (0.140::0.140) (0.302::0.302)) + (IOPATH S X (0.287::0.287) (0.377::0.377)) + (IOPATH S X (0.220::0.220) (0.387::0.387)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8855_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.173::0.183) (0.356::0.357)) + (IOPATH A1 X (0.203::0.203) (0.354::0.354)) + (IOPATH S X (0.264::0.266) (0.421::0.421)) + (IOPATH S X (0.286::0.286) (0.371::0.373)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8856_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.131::0.134) (0.290::0.290)) + (IOPATH A1 X (0.130::0.130) (0.290::0.290)) + (IOPATH S X (0.277::0.277) (0.365::0.365)) + (IOPATH S X (0.209::0.209) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8857_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.170::0.182) (0.389::0.389)) + (IOPATH A1 X (0.163::0.163) (0.316::0.316)) + (IOPATH S X (0.234::0.236) (0.391::0.391)) + (IOPATH S X (0.254::0.254) (0.341::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8858_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.118::0.122) (0.281::0.282)) + (IOPATH A1 X (0.128::0.128) (0.289::0.289)) + (IOPATH S X (0.277::0.277) (0.365::0.365)) + (IOPATH S X (0.209::0.209) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8859_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.179::0.190) (0.400::0.401)) + (IOPATH A1 X (0.164::0.164) (0.318::0.318)) + (IOPATH S X (0.239::0.242) (0.397::0.397)) + (IOPATH S X (0.260::0.260) (0.347::0.349)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8860_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.135::0.138) (0.298::0.299)) + (IOPATH A1 X (0.142::0.142) (0.304::0.304)) + (IOPATH S X (0.291::0.291) (0.380::0.380)) + (IOPATH S X (0.224::0.224) (0.391::0.391)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8861_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.160::0.171) (0.377::0.378)) + (IOPATH A1 X (0.149::0.149) (0.301::0.301)) + (IOPATH S X (0.224::0.226) (0.379::0.379)) + (IOPATH S X (0.242::0.242) (0.329::0.331)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8862_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.122::0.127) (0.288::0.288)) + (IOPATH A1 X (0.134::0.134) (0.298::0.298)) + (IOPATH S X (0.285::0.285) (0.374::0.374)) + (IOPATH S X (0.217::0.217) (0.385::0.385)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8863_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.201::0.212) (0.410::0.413)) + (IOPATH A1 X (0.183::0.183) (0.343::0.343)) + (IOPATH S X (0.269::0.271) (0.427::0.427)) + (IOPATH S X (0.292::0.292) (0.377::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8864_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.132::0.134) (0.289::0.290)) + (IOPATH A1 X (0.128::0.128) (0.288::0.288)) + (IOPATH S X (0.276::0.276) (0.364::0.364)) + (IOPATH S X (0.208::0.208) (0.374::0.374)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8865_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.171::0.180) (0.363::0.365)) + (IOPATH A1 X (0.185::0.185) (0.337::0.337)) + (IOPATH S X (0.252::0.255) (0.410::0.410)) + (IOPATH S X (0.274::0.274) (0.360::0.362)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8866_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.150::0.153) (0.311::0.312)) + (IOPATH A1 X (0.150::0.150) (0.314::0.314)) + (IOPATH S X (0.300::0.300) (0.390::0.390)) + (IOPATH S X (0.233::0.233) (0.401::0.401)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8867_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.206::0.216) (0.405::0.407)) + (IOPATH A1 X (0.195::0.195) (0.352::0.352)) + (IOPATH S X (0.279::0.282) (0.434::0.434)) + (IOPATH S X (0.302::0.302) (0.385::0.387)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8868_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.144::0.146) (0.300::0.301)) + (IOPATH A1 X (0.136::0.136) (0.297::0.297)) + (IOPATH S X (0.283::0.283) (0.372::0.372)) + (IOPATH S X (0.215::0.215) (0.383::0.383)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8869_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.193::0.203) (0.390::0.391)) + (IOPATH A1 X (0.199::0.199) (0.347::0.347)) + (IOPATH S X (0.270::0.272) (0.427::0.427)) + (IOPATH S X (0.293::0.293) (0.378::0.380)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8870_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.136::0.138) (0.294::0.294)) + (IOPATH A1 X (0.131::0.131) (0.292::0.292)) + (IOPATH S X (0.280::0.280) (0.368::0.368)) + (IOPATH S X (0.212::0.212) (0.379::0.379)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8871_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.227::0.237) (0.416::0.420)) + (IOPATH A1 X (0.239::0.239) (0.377::0.377)) + (IOPATH S X (0.304::0.307) (0.453::0.453)) + (IOPATH S X (0.327::0.327) (0.404::0.406)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8872_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.177::0.178) (0.331::0.331)) + (IOPATH A1 X (0.157::0.157) (0.320::0.320)) + (IOPATH S X (0.305::0.305) (0.396::0.396)) + (IOPATH S X (0.238::0.238) (0.407::0.407)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8873_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.265::0.274) (0.455::0.457)) + (IOPATH A1 X (0.248::0.248) (0.384::0.384)) + (IOPATH S X (0.293::0.292) (0.416::0.416)) + (IOPATH S X (0.244::0.244) (0.394::0.394)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8874_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.171::0.171) (0.323::0.324)) + (IOPATH A1 X (0.145::0.145) (0.308::0.308)) + (IOPATH S X (0.294::0.294) (0.384::0.384)) + (IOPATH S X (0.228::0.228) (0.395::0.395)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8875_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.261::0.269) (0.448::0.451)) + (IOPATH A1 X (0.250::0.250) (0.391::0.391)) + (IOPATH S X (0.292::0.292) (0.416::0.416)) + (IOPATH S X (0.244::0.244) (0.394::0.394)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8876_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.165::0.166) (0.318::0.318)) + (IOPATH A1 X (0.141::0.141) (0.303::0.303)) + (IOPATH S X (0.289::0.289) (0.379::0.379)) + (IOPATH S X (0.222::0.222) (0.389::0.389)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8877_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.209::0.214) (0.373::0.387)) + (IOPATH A1 X (0.222::0.222) (0.369::0.369)) + (IOPATH S X (0.268::0.268) (0.397::0.397)) + (IOPATH S X (0.220::0.220) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8878_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.143::0.143) (0.295::0.295)) + (IOPATH A1 X (0.128::0.128) (0.288::0.288)) + (IOPATH S X (0.276::0.276) (0.363::0.363)) + (IOPATH S X (0.208::0.208) (0.374::0.374)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8879_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.231::0.238) (0.397::0.403)) + (IOPATH A1 X (0.250::0.250) (0.391::0.391)) + (IOPATH S X (0.286::0.286) (0.411::0.411)) + (IOPATH S X (0.238::0.238) (0.390::0.389)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8880_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.159::0.159) (0.311::0.312)) + (IOPATH A1 X (0.136::0.136) (0.299::0.299)) + (IOPATH S X (0.285::0.285) (0.374::0.374)) + (IOPATH S X (0.218::0.218) (0.385::0.385)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8881_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.220::0.228) (0.415::0.417)) + (IOPATH A1 X (0.207::0.207) (0.358::0.358)) + (IOPATH S X (0.252::0.252) (0.385::0.385)) + (IOPATH S X (0.204::0.204) (0.364::0.364)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8882_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.139::0.140) (0.295::0.295)) + (IOPATH A1 X (0.138::0.138) (0.296::0.296)) + (IOPATH S X (0.278::0.278) (0.367::0.367)) + (IOPATH S X (0.211::0.211) (0.377::0.377)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8883_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.208::0.216) (0.403::0.404)) + (IOPATH A1 X (0.191::0.191) (0.346::0.346)) + (IOPATH S X (0.242::0.242) (0.377::0.377)) + (IOPATH S X (0.194::0.194) (0.355::0.355)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8884_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.140::0.141) (0.299::0.299)) + (IOPATH A1 X (0.136::0.136) (0.298::0.298)) + (IOPATH S X (0.284::0.284) (0.374::0.374)) + (IOPATH S X (0.217::0.217) (0.384::0.384)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8885_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.170::0.178) (0.361::0.363)) + (IOPATH A1 X (0.161::0.161) (0.315::0.315)) + (IOPATH S X (0.211::0.211) (0.345::0.345)) + (IOPATH S X (0.163::0.163) (0.324::0.324)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8886_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.127::0.128) (0.291::0.291)) + (IOPATH A1 X (0.138::0.138) (0.299::0.299)) + (IOPATH S X (0.284::0.284) (0.374::0.374)) + (IOPATH S X (0.217::0.217) (0.385::0.385)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8887_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.212::0.220) (0.408::0.408)) + (IOPATH A1 X (0.184::0.184) (0.344::0.344)) + (IOPATH S X (0.246::0.246) (0.380::0.380)) + (IOPATH S X (0.197::0.197) (0.359::0.359)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8888_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.141::0.142) (0.300::0.300)) + (IOPATH A1 X (0.135::0.135) (0.298::0.298)) + (IOPATH S X (0.284::0.284) (0.374::0.374)) + (IOPATH S X (0.217::0.217) (0.384::0.384)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8889_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.187::0.195) (0.358::0.358)) + (IOPATH A1 X (0.207::0.207) (0.358::0.358)) + (IOPATH S X (0.250::0.250) (0.384::0.384)) + (IOPATH S X (0.202::0.202) (0.362::0.362)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8890_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.141::0.142) (0.299::0.299)) + (IOPATH A1 X (0.133::0.133) (0.296::0.296)) + (IOPATH S X (0.282::0.282) (0.372::0.372)) + (IOPATH S X (0.215::0.215) (0.382::0.382)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8891_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.227::0.235) (0.424::0.424)) + (IOPATH A1 X (0.196::0.196) (0.353::0.353)) + (IOPATH S X (0.257::0.257) (0.389::0.389)) + (IOPATH S X (0.209::0.209) (0.367::0.367)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8892_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.145::0.146) (0.301::0.302)) + (IOPATH A1 X (0.134::0.134) (0.296::0.296)) + (IOPATH S X (0.283::0.283) (0.372::0.372)) + (IOPATH S X (0.216::0.216) (0.383::0.383)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8893_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.232::0.238) (0.437::0.437)) + (IOPATH A1 X (0.207::0.207) (0.353::0.353)) + (IOPATH S X (0.253::0.253) (0.386::0.386)) + (IOPATH S X (0.205::0.205) (0.365::0.365)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8894_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.146::0.147) (0.302::0.303)) + (IOPATH A1 X (0.136::0.136) (0.298::0.298)) + (IOPATH S X (0.285::0.285) (0.374::0.374)) + (IOPATH S X (0.218::0.218) (0.385::0.385)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8895_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.229::0.237) (0.399::0.399)) + (IOPATH A1 X (0.241::0.241) (0.378::0.378)) + (IOPATH S X (0.282::0.282) (0.408::0.408)) + (IOPATH S X (0.234::0.234) (0.387::0.387)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8896_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.156::0.156) (0.309::0.310)) + (IOPATH A1 X (0.137::0.137) (0.299::0.299)) + (IOPATH S X (0.284::0.284) (0.373::0.373)) + (IOPATH S X (0.217::0.217) (0.384::0.384)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8897_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.137::0.137) (0.292::0.292)) + (IOPATH A1 X (0.188::0.188) (0.341::0.342)) + (IOPATH S X (0.242::0.242) (0.344::0.344)) + (IOPATH S X (0.159::0.159) (0.348::0.348)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8898_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.187::0.187) (0.311::0.314)) + (IOPATH A1 X (0.156::0.156) (0.317::0.317)) + (IOPATH S X (0.242::0.242) (0.364::0.364)) + (IOPATH S X (0.181::0.181) (0.352::0.352)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8899_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.117::0.117) (0.274::0.276)) + (IOPATH A1 X (0.146::0.146) (0.302::0.302)) + (IOPATH S X (0.258::0.258) (0.360::0.360)) + (IOPATH S X (0.196::0.196) (0.360::0.360)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8900_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.132::0.132) (0.287::0.287)) + (IOPATH A1 X (0.226::0.236) (0.580::0.580)) + (IOPATH S X (0.226::0.226) (0.335::0.335)) + (IOPATH S X (0.151::0.151) (0.334::0.334)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8901_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.131::0.131) (0.284::0.284)) + (IOPATH A1 X (0.201::0.201) (0.359::0.359)) + (IOPATH S X (0.223::0.223) (0.341::0.341)) + (IOPATH S X (0.164::0.164) (0.330::0.330)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8902_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.138::0.138) (0.293::0.293)) + (IOPATH A1 X (0.251::0.251) (0.494::0.494)) + (IOPATH S X (0.232::0.232) (0.352::0.352)) + (IOPATH S X (0.173::0.173) (0.340::0.340)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8903_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.157::0.157) (0.312::0.312)) + (IOPATH A1 X (0.226::0.226) (0.383::0.383)) + (IOPATH S X (0.260::0.260) (0.362::0.362)) + (IOPATH S X (0.177::0.177) (0.367::0.367)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8904_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.133::0.133) (0.292::0.293)) + (IOPATH A1 X (0.162::0.162) (0.320::0.320)) + (IOPATH S X (0.275::0.275) (0.378::0.378)) + (IOPATH S X (0.213::0.213) (0.378::0.378)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8905_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.117::0.118) (0.276::0.278)) + (IOPATH A1 X (0.149::0.149) (0.306::0.306)) + (IOPATH S X (0.261::0.261) (0.363::0.363)) + (IOPATH S X (0.198::0.198) (0.363::0.363)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8906_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.119::0.120) (0.277::0.278)) + (IOPATH A1 X (0.145::0.145) (0.301::0.301)) + (IOPATH S X (0.259::0.259) (0.360::0.360)) + (IOPATH S X (0.196::0.196) (0.361::0.361)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8907_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.114::0.114) (0.276::0.276)) + (IOPATH A1 X (0.181::0.181) (0.318::0.318)) + (IOPATH S X (0.258::0.258) (0.360::0.360)) + (IOPATH S X (0.196::0.196) (0.360::0.360)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8908_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.111::0.112) (0.268::0.270)) + (IOPATH A1 X (0.140::0.140) (0.300::0.300)) + (IOPATH S X (0.253::0.253) (0.354::0.354)) + (IOPATH S X (0.191::0.191) (0.354::0.354)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8909_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.118::0.118) (0.276::0.280)) + (IOPATH A1 X (0.147::0.147) (0.302::0.302)) + (IOPATH S X (0.257::0.257) (0.358::0.358)) + (IOPATH S X (0.195::0.195) (0.359::0.359)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8910_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.128::0.128) (0.276::0.279)) + (IOPATH A1 X (0.153::0.153) (0.308::0.308)) + (IOPATH S X (0.260::0.260) (0.362::0.362)) + (IOPATH S X (0.198::0.198) (0.362::0.362)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8911_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.113::0.113) (0.274::0.274)) + (IOPATH A1 X (0.175::0.175) (0.313::0.313)) + (IOPATH S X (0.213::0.213) (0.335::0.335)) + (IOPATH S X (0.157::0.157) (0.321::0.321)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8912_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.107::0.108) (0.267::0.267)) + (IOPATH A1 X (0.133::0.133) (0.294::0.294)) + (IOPATH S X (0.209::0.209) (0.330::0.330)) + (IOPATH S X (0.153::0.153) (0.316::0.316)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8913_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.123::0.134) (0.267::0.272)) + (IOPATH A1 X (0.150::0.150) (0.300::0.300)) + (IOPATH S X (0.209::0.209) (0.330::0.330)) + (IOPATH S X (0.153::0.153) (0.316::0.316)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8914_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.128::0.128) (0.284::0.284)) + (IOPATH A1 X (0.242::0.242) (0.430::0.430)) + (IOPATH S X (0.236::0.236) (0.335::0.335)) + (IOPATH S X (0.152::0.152) (0.341::0.341)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8915_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.129::0.129) (0.283::0.283)) + (IOPATH A1 X (0.237::0.237) (0.411::0.412)) + (IOPATH S X (0.234::0.234) (0.333::0.333)) + (IOPATH S X (0.150::0.150) (0.339::0.339)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8916_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.129::0.129) (0.284::0.284)) + (IOPATH A1 X (0.238::0.238) (0.419::0.420)) + (IOPATH S X (0.235::0.235) (0.335::0.335)) + (IOPATH S X (0.151::0.151) (0.341::0.341)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8917_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.129::0.129) (0.284::0.284)) + (IOPATH A1 X (0.210::0.210) (0.394::0.394)) + (IOPATH S X (0.226::0.226) (0.344::0.344)) + (IOPATH S X (0.166::0.166) (0.333::0.333)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8918_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.335::0.335) (0.430::0.430)) + (IOPATH A1 X (0.307::0.307) (0.408::0.410)) + (IOPATH S X (0.467::0.467) (0.524::0.524)) + (IOPATH S X (0.396::0.396) (0.501::0.501)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8919_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.126::0.126) (0.280::0.280)) + (IOPATH A1 X (0.202::0.202) (0.380::0.380)) + (IOPATH S X (0.222::0.222) (0.339::0.339)) + (IOPATH S X (0.163::0.163) (0.329::0.329)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8920_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.134::0.134) (0.289::0.289)) + (IOPATH A1 X (0.250::0.250) (0.512::0.512)) + (IOPATH S X (0.228::0.228) (0.347::0.347)) + (IOPATH S X (0.168::0.168) (0.336::0.336)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8921_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.127::0.127) (0.282::0.282)) + (IOPATH A1 X (0.179::0.180) (0.332::0.333)) + (IOPATH S X (0.235::0.235) (0.334::0.334)) + (IOPATH S X (0.151::0.151) (0.340::0.340)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8922_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.136::0.136) (0.290::0.290)) + (IOPATH A1 X (0.202::0.202) (0.348::0.348)) + (IOPATH S X (0.236::0.236) (0.337::0.337)) + (IOPATH S X (0.153::0.153) (0.342::0.342)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8923_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.135::0.135) (0.291::0.291)) + (IOPATH A1 X (0.204::0.204) (0.352::0.352)) + (IOPATH S X (0.241::0.241) (0.342::0.342)) + (IOPATH S X (0.158::0.158) (0.347::0.347)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8924_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.133::0.133) (0.286::0.286)) + (IOPATH A1 X (0.200::0.200) (0.350::0.350)) + (IOPATH S X (0.233::0.233) (0.334::0.334)) + (IOPATH S X (0.151::0.151) (0.339::0.339)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8925_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.125::0.125) (0.278::0.278)) + (IOPATH A1 X (0.180::0.181) (0.332::0.333)) + (IOPATH S X (0.230::0.230) (0.329::0.329)) + (IOPATH S X (0.146::0.146) (0.335::0.335)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8926_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.142::0.142) (0.297::0.297)) + (IOPATH A1 X (0.254::0.254) (0.428::0.428)) + (IOPATH S X (0.247::0.247) (0.349::0.349)) + (IOPATH S X (0.164::0.164) (0.353::0.353)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8927_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.127::0.127) (0.282::0.282)) + (IOPATH A1 X (0.205::0.205) (0.386::0.386)) + (IOPATH S X (0.224::0.224) (0.342::0.342)) + (IOPATH S X (0.165::0.165) (0.332::0.332)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8928_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.128::0.128) (0.284::0.284)) + (IOPATH A1 X (0.197::0.197) (0.352::0.353)) + (IOPATH S X (0.236::0.236) (0.335::0.335)) + (IOPATH S X (0.152::0.152) (0.341::0.341)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8929_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.128::0.128) (0.283::0.283)) + (IOPATH A1 X (0.238::0.238) (0.420::0.420)) + (IOPATH S X (0.234::0.234) (0.335::0.335)) + (IOPATH S X (0.152::0.152) (0.340::0.340)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8930_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.128::0.128) (0.283::0.283)) + (IOPATH A1 X (0.202::0.202) (0.368::0.368)) + (IOPATH S X (0.224::0.224) (0.342::0.342)) + (IOPATH S X (0.164::0.164) (0.331::0.331)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8931_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.133::0.133) (0.288::0.288)) + (IOPATH A1 X (0.246::0.246) (0.491::0.491)) + (IOPATH S X (0.227::0.227) (0.346::0.346)) + (IOPATH S X (0.168::0.168) (0.335::0.335)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8932_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.126::0.126) (0.280::0.280)) + (IOPATH A1 X (0.194::0.194) (0.350::0.350)) + (IOPATH S X (0.232::0.232) (0.330::0.330)) + (IOPATH S X (0.148::0.148) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8933_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.127::0.127) (0.283::0.283)) + (IOPATH A1 X (0.193::0.194) (0.346::0.347)) + (IOPATH S X (0.235::0.235) (0.335::0.335)) + (IOPATH S X (0.151::0.151) (0.341::0.341)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8934_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.152::0.152) (0.299::0.299)) + (IOPATH A1 X (0.151::0.151) (0.307::0.307)) + (IOPATH S X (0.261::0.261) (0.364::0.364)) + (IOPATH S X (0.199::0.199) (0.364::0.364)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8935_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.234::0.235) (0.348::0.348)) + (IOPATH A1 X (0.257::0.257) (0.343::0.343)) + (IOPATH S X (0.379::0.379) (0.426::0.426)) + (IOPATH S X (0.363::0.363) (0.461::0.461)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8936_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.146::0.146) (0.296::0.296)) + (IOPATH A1 X (0.155::0.155) (0.310::0.310)) + (IOPATH S X (0.262::0.262) (0.365::0.365)) + (IOPATH S X (0.200::0.200) (0.365::0.365)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8937_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.238::0.239) (0.351::0.352)) + (IOPATH A1 X (0.263::0.263) (0.347::0.347)) + (IOPATH S X (0.383::0.383) (0.429::0.429)) + (IOPATH S X (0.367::0.367) (0.464::0.464)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8938_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.147::0.147) (0.297::0.297)) + (IOPATH A1 X (0.147::0.147) (0.306::0.306)) + (IOPATH S X (0.261::0.261) (0.365::0.365)) + (IOPATH S X (0.199::0.199) (0.365::0.365)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8939_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.238::0.240) (0.380::0.380)) + (IOPATH A1 X (0.268::0.268) (0.401::0.401)) + (IOPATH S X (0.418::0.418) (0.475::0.475)) + (IOPATH S X (0.352::0.352) (0.496::0.496)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_8") + (INSTANCE _8940_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.331::0.331) (0.427::0.427)) + (IOPATH A1 X (0.315::0.315) (0.420::0.420)) + (IOPATH S X (0.467::0.467) (0.530::0.530)) + (IOPATH S X (0.403::0.403) (0.515::0.515)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8941_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.139::0.139) (0.289::0.289)) + (IOPATH A1 X (0.143::0.143) (0.300::0.300)) + (IOPATH S X (0.256::0.256) (0.358::0.358)) + (IOPATH S X (0.193::0.193) (0.358::0.358)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8942_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.136::0.137) (0.299::0.299)) + (IOPATH A1 X (0.155::0.155) (0.314::0.314)) + (IOPATH S X (0.317::0.317) (0.396::0.396)) + (IOPATH S X (0.251::0.251) (0.416::0.416)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8943_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.198::0.198) (0.341::0.341)) + (IOPATH A1 X (0.190::0.190) (0.346::0.346)) + (IOPATH S X (0.303::0.303) (0.405::0.405)) + (IOPATH S X (0.241::0.241) (0.405::0.405)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8944_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.146::0.146) (0.301::0.301)) + (IOPATH A1 X (0.186::0.186) (0.323::0.323)) + (IOPATH S X (0.263::0.263) (0.365::0.365)) + (IOPATH S X (0.201::0.201) (0.366::0.366)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8945_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.232::0.234) (0.346::0.346)) + (IOPATH A1 X (0.269::0.269) (0.350::0.350)) + (IOPATH S X (0.376::0.376) (0.423::0.423)) + (IOPATH S X (0.360::0.360) (0.458::0.458)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8946_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.165::0.165) (0.313::0.313)) + (IOPATH A1 X (0.163::0.163) (0.325::0.325)) + (IOPATH S X (0.277::0.277) (0.380::0.380)) + (IOPATH S X (0.215::0.215) (0.380::0.380)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8947_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.230::0.231) (0.344::0.345)) + (IOPATH A1 X (0.249::0.249) (0.336::0.336)) + (IOPATH S X (0.369::0.369) (0.419::0.419)) + (IOPATH S X (0.353::0.353) (0.453::0.453)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8948_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.143::0.143) (0.293::0.293)) + (IOPATH A1 X (0.147::0.147) (0.304::0.304)) + (IOPATH S X (0.258::0.258) (0.361::0.361)) + (IOPATH S X (0.196::0.196) (0.361::0.361)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8949_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.204::0.205) (0.316::0.316)) + (IOPATH A1 X (0.217::0.217) (0.321::0.321)) + (IOPATH S X (0.382::0.382) (0.458::0.458)) + (IOPATH S X (0.336::0.336) (0.418::0.418)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8950_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.140::0.140) (0.294::0.294)) + (IOPATH A1 X (0.237::0.244) (0.603::0.604)) + (IOPATH S X (0.231::0.231) (0.340::0.340)) + (IOPATH S X (0.156::0.156) (0.339::0.339)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8951_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.136::0.136) (0.290::0.290)) + (IOPATH A1 X (0.200::0.205) (0.436::0.438)) + (IOPATH S X (0.226::0.226) (0.335::0.335)) + (IOPATH S X (0.151::0.151) (0.334::0.334)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8952_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.138::0.138) (0.291::0.291)) + (IOPATH A1 X (0.206::0.212) (0.452::0.457)) + (IOPATH S X (0.228::0.228) (0.337::0.337)) + (IOPATH S X (0.153::0.153) (0.336::0.336)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8953_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.132::0.132) (0.284::0.284)) + (IOPATH A1 X (0.193::0.201) (0.466::0.466)) + (IOPATH S X (0.220::0.220) (0.328::0.328)) + (IOPATH S X (0.145::0.145) (0.327::0.327)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8954_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.133::0.133) (0.289::0.289)) + (IOPATH A1 X (0.212::0.221) (0.522::0.523)) + (IOPATH S X (0.229::0.229) (0.338::0.338)) + (IOPATH S X (0.154::0.154) (0.337::0.337)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8955_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.142::0.142) (0.297::0.297)) + (IOPATH A1 X (0.218::0.227) (0.526::0.527)) + (IOPATH S X (0.235::0.235) (0.344::0.344)) + (IOPATH S X (0.160::0.160) (0.343::0.343)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8956_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.175::0.175) (0.316::0.316)) + (IOPATH A1 X (0.169::0.169) (0.319::0.319)) + (IOPATH S X (0.264::0.264) (0.364::0.364)) + (IOPATH S X (0.196::0.196) (0.366::0.366)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8957_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.137::0.137) (0.275::0.275)) + (IOPATH A1 X (0.122::0.122) (0.293::0.293)) + (IOPATH S X (0.211::0.211) (0.318::0.318)) + (IOPATH S X (0.136::0.136) (0.317::0.317)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8958_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.133::0.133) (0.282::0.282)) + (IOPATH A1 X (0.138::0.138) (0.298::0.298)) + (IOPATH S X (0.212::0.212) (0.327::0.327)) + (IOPATH S X (0.146::0.146) (0.319::0.319)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8959_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.233::0.233) (0.369::0.369)) + (IOPATH A1 X (0.250::0.250) (0.389::0.389)) + (IOPATH S X (0.315::0.315) (0.432::0.432)) + (IOPATH S X (0.266::0.266) (0.410::0.410)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8960_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.179::0.181) (0.337::0.338)) + (IOPATH A1 X (0.206::0.206) (0.351::0.351)) + (IOPATH S X (0.247::0.247) (0.373::0.373)) + (IOPATH S X (0.190::0.190) (0.358::0.358)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8961_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.116::0.118) (0.280::0.281)) + (IOPATH A1 X (0.132::0.132) (0.289::0.289)) + (IOPATH S X (0.205::0.205) (0.337::0.337)) + (IOPATH S X (0.156::0.156) (0.316::0.316)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8962_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.135::0.137) (0.295::0.296)) + (IOPATH A1 X (0.123::0.123) (0.290::0.290)) + (IOPATH S X (0.210::0.210) (0.342::0.342)) + (IOPATH S X (0.161::0.161) (0.322::0.322)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8963_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.135::0.137) (0.295::0.296)) + (IOPATH A1 X (0.125::0.125) (0.292::0.292)) + (IOPATH S X (0.212::0.212) (0.345::0.345)) + (IOPATH S X (0.163::0.163) (0.325::0.325)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8964_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.138::0.140) (0.298::0.299)) + (IOPATH A1 X (0.130::0.130) (0.296::0.296)) + (IOPATH S X (0.215::0.215) (0.348::0.348)) + (IOPATH S X (0.166::0.166) (0.328::0.328)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8965_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.137::0.139) (0.298::0.298)) + (IOPATH A1 X (0.132::0.132) (0.296::0.296)) + (IOPATH S X (0.215::0.215) (0.347::0.347)) + (IOPATH S X (0.166::0.166) (0.327::0.327)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8966_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.127::0.129) (0.286::0.287)) + (IOPATH A1 X (0.118::0.118) (0.282::0.282)) + (IOPATH S X (0.203::0.203) (0.333::0.333)) + (IOPATH S X (0.154::0.154) (0.313::0.313)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8967_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.140::0.142) (0.299::0.300)) + (IOPATH A1 X (0.128::0.128) (0.294::0.294)) + (IOPATH S X (0.213::0.213) (0.346::0.346)) + (IOPATH S X (0.164::0.164) (0.326::0.326)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8968_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.143::0.144) (0.301::0.302)) + (IOPATH A1 X (0.131::0.131) (0.296::0.296)) + (IOPATH S X (0.215::0.215) (0.347::0.347)) + (IOPATH S X (0.166::0.166) (0.327::0.327)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8969_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.229::0.231) (0.341::0.342)) + (IOPATH A1 X (0.217::0.217) (0.318::0.318)) + (IOPATH S X (0.288::0.288) (0.384::0.384)) + (IOPATH S X (0.257::0.257) (0.376::0.376)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8970_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.233::0.233) (0.341::0.341)) + (IOPATH A1 X (0.234::0.234) (0.329::0.329)) + (IOPATH S X (0.303::0.303) (0.394::0.394)) + (IOPATH S X (0.272::0.272) (0.387::0.387)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8971_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.241::0.270) (0.348::0.354)) + (IOPATH A1 X (0.212::0.212) (0.315::0.315)) + (IOPATH S X (0.284::0.284) (0.381::0.381)) + (IOPATH S X (0.253::0.253) (0.373::0.373)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_4") + (INSTANCE _8972_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.203::0.204) (0.323::0.324)) + (IOPATH A1 X (0.212::0.212) (0.314::0.314)) + (IOPATH S X (0.281::0.281) (0.379::0.379)) + (IOPATH S X (0.250::0.250) (0.372::0.372)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8973_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.229::0.263) (0.334::0.348)) + (IOPATH A1 X (0.212::0.212) (0.317::0.317)) + (IOPATH S X (0.306::0.306) (0.416::0.416)) + (IOPATH S X (0.250::0.250) (0.344::0.344)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8974_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.265::0.302) (0.366::0.372)) + (IOPATH A1 X (0.244::0.244) (0.341::0.341)) + (IOPATH S X (0.339::0.339) (0.441::0.441)) + (IOPATH S X (0.284::0.284) (0.368::0.368)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE _8975_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.216::0.219) (0.319::0.320)) + (IOPATH A1 X (0.215::0.215) (0.319::0.319)) + (IOPATH S X (0.308::0.308) (0.417::0.417)) + (IOPATH S X (0.252::0.252) (0.345::0.345)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8976_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.160::0.160) (0.325::0.325)) + (IOPATH A1 X (0.169::0.169) (0.319::0.319)) + (IOPATH S X (0.266::0.266) (0.365::0.365)) + (IOPATH S X (0.198::0.198) (0.367::0.367)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8977_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.162::0.162) (0.326::0.326)) + (IOPATH A1 X (0.171::0.171) (0.321::0.321)) + (IOPATH S X (0.268::0.268) (0.367::0.367)) + (IOPATH S X (0.199::0.199) (0.369::0.369)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_1") + (INSTANCE _8978_) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.194::0.194) (0.342::0.342)) + (IOPATH A1 X (0.216::0.216) (0.363::0.363)) + (IOPATH S X (0.305::0.307) (0.453::0.453)) + (IOPATH S X (0.328::0.328) (0.403::0.405)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8979_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.227::0.227) (0.196::0.196)) + (IOPATH TE_B Z (0.206::0.206) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8980_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.228::0.228) (0.197::0.197)) + (IOPATH TE_B Z (0.210::0.210) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8981_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.230::0.230) (0.198::0.198)) + (IOPATH TE_B Z (0.200::0.200) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8982_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.233::0.233) (0.199::0.199)) + (IOPATH TE_B Z (0.222::0.222) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8983_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.228::0.229) (0.205::0.205)) + (IOPATH TE_B Z (0.221::0.221) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8984_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.252::0.252) (0.218::0.218)) + (IOPATH TE_B Z (0.211::0.211) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8985_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.215::0.216) (0.195::0.195)) + (IOPATH TE_B Z (0.209::0.209) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_2") + (INSTANCE _8986_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.405::0.406) (0.236::0.237)) + (IOPATH TE_B Z (0.394::0.394) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8987_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.218::0.219) (0.197::0.198)) + (IOPATH TE_B Z (0.200::0.200) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8988_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.243::0.243) (0.209::0.209)) + (IOPATH TE_B Z (0.211::0.211) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8989_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.255::0.255) (0.207::0.207)) + (IOPATH TE_B Z (0.228::0.228) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8990_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.220::0.221) (0.198::0.198)) + (IOPATH TE_B Z (0.207::0.207) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_2") + (INSTANCE _8991_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.405::0.409) (0.240::0.241)) + (IOPATH TE_B Z (0.393::0.393) (0.234::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8992_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.222::0.222) (0.201::0.201)) + (IOPATH TE_B Z (0.200::0.200) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8993_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.224::0.224) (0.195::0.195)) + (IOPATH TE_B Z (0.212::0.212) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8994_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.227::0.227) (0.196::0.196)) + (IOPATH TE_B Z (0.215::0.215) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8995_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.227::0.227) (0.195::0.195)) + (IOPATH TE_B Z (0.208::0.208) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8996_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.229::0.229) (0.196::0.196)) + (IOPATH TE_B Z (0.223::0.223) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8997_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.235::0.235) (0.208::0.208)) + (IOPATH TE_B Z (0.208::0.208) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8998_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.250::0.250) (0.210::0.210)) + (IOPATH TE_B Z (0.231::0.231) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _8999_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.244::0.244) (0.209::0.209)) + (IOPATH TE_B Z (0.227::0.227) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9000_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.243::0.243) (0.210::0.210)) + (IOPATH TE_B Z (0.218::0.218) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9001_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.247::0.247) (0.205::0.205)) + (IOPATH TE_B Z (0.239::0.239) (0.230::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9002_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.244::0.244) (0.203::0.203)) + (IOPATH TE_B Z (0.243::0.243) (0.236::0.236)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9003_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.229::0.229) (0.197::0.197)) + (IOPATH TE_B Z (0.232::0.232) (0.232::0.232)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9004_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.231::0.231) (0.198::0.198)) + (IOPATH TE_B Z (0.230::0.230) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9005_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.235::0.235) (0.200::0.200)) + (IOPATH TE_B Z (0.212::0.212) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9006_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.228::0.228) (0.196::0.196)) + (IOPATH TE_B Z (0.206::0.206) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9007_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.232::0.232) (0.197::0.197)) + (IOPATH TE_B Z (0.222::0.222) (0.216::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9008_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.238::0.238) (0.200::0.200)) + (IOPATH TE_B Z (0.229::0.229) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9009_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.237::0.238) (0.207::0.208)) + (IOPATH TE_B Z (0.214::0.214) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9010_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.246::0.246) (0.207::0.208)) + (IOPATH TE_B Z (0.228::0.228) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__ebufn_8") + (INSTANCE _9011_) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.227::0.227) (0.196::0.196)) + (IOPATH TE_B Z (0.200::0.200) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9012_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.399::0.399) (0.372::0.372)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.007::-0.008)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.013)) + (SETUP (posedge D) (posedge CLK) (0.028::0.029)) + (SETUP (negedge D) (posedge CLK) (0.066::0.068)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9013_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.435::0.435) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.004::0.003)) + (HOLD (negedge D) (posedge CLK) (0.008::0.006)) + (SETUP (posedge D) (posedge CLK) (0.016::0.017)) + (SETUP (negedge D) (posedge CLK) (0.047::0.048)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9014_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.376::0.376) (0.358::0.358)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.007::-0.008)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.012)) + (SETUP (posedge D) (posedge CLK) (0.028::0.029)) + (SETUP (negedge D) (posedge CLK) (0.066::0.068)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9015_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.409::0.409) (0.387::0.387)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.004::0.003)) + (HOLD (negedge D) (posedge CLK) (0.008::0.006)) + (SETUP (posedge D) (posedge CLK) (0.016::0.017)) + (SETUP (negedge D) (posedge CLK) (0.047::0.048)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9016_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.426::0.426) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.005::0.004)) + (HOLD (negedge D) (posedge CLK) (0.009::0.005)) + (SETUP (posedge D) (posedge CLK) (0.014::0.016)) + (SETUP (negedge D) (posedge CLK) (0.046::0.049)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9017_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.477::0.477) (0.435::0.435)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.022::0.021)) + (HOLD (negedge D) (posedge CLK) (0.040::0.038)) + (SETUP (posedge D) (posedge CLK) (-0.004::-0.003)) + (SETUP (negedge D) (posedge CLK) (0.014::0.015)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9018_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.441::0.441) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.004::0.003)) + (HOLD (negedge D) (posedge CLK) (0.009::0.007)) + (SETUP (posedge D) (posedge CLK) (0.016::0.017)) + (SETUP (negedge D) (posedge CLK) (0.046::0.048)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9019_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.414::0.414) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.003::0.002)) + (HOLD (negedge D) (posedge CLK) (0.007::0.005)) + (SETUP (posedge D) (posedge CLK) (0.017::0.018)) + (SETUP (negedge D) (posedge CLK) (0.047::0.050)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9020_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.421::0.421) (0.384::0.384)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.007::-0.008)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.012)) + (SETUP (posedge D) (posedge CLK) (0.028::0.029)) + (SETUP (negedge D) (posedge CLK) (0.066::0.068)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9021_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.438::0.438) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.012::-0.012)) + (HOLD (negedge D) (posedge CLK) (-0.016::-0.019)) + (SETUP (posedge D) (posedge CLK) (0.033::0.034)) + (SETUP (negedge D) (posedge CLK) (0.071::0.075)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9022_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.404::0.404) (0.375::0.375)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.007::-0.008)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.012)) + (SETUP (posedge D) (posedge CLK) (0.028::0.029)) + (SETUP (negedge D) (posedge CLK) (0.066::0.068)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9023_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.398::0.398) (0.371::0.371)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.007::-0.008)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.012)) + (SETUP (posedge D) (posedge CLK) (0.028::0.029)) + (SETUP (negedge D) (posedge CLK) (0.066::0.067)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9024_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.389::0.389) (0.366::0.366)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.005::-0.007)) + (HOLD (negedge D) (posedge CLK) (-0.010::-0.013)) + (SETUP (posedge D) (posedge CLK) (0.026::0.028)) + (SETUP (negedge D) (posedge CLK) (0.066::0.069)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9025_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.381::0.381) (0.361::0.361)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.007::-0.008)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.013)) + (SETUP (posedge D) (posedge CLK) (0.028::0.029)) + (SETUP (negedge D) (posedge CLK) (0.066::0.068)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9026_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.379::0.379) (0.360::0.360)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.007::-0.008)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.012)) + (SETUP (posedge D) (posedge CLK) (0.028::0.029)) + (SETUP (negedge D) (posedge CLK) (0.066::0.068)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9027_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.382::0.382) (0.362::0.362)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.007::-0.008)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.013)) + (SETUP (posedge D) (posedge CLK) (0.028::0.029)) + (SETUP (negedge D) (posedge CLK) (0.066::0.069)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9028_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.452::0.452) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.003::0.002)) + (HOLD (negedge D) (posedge CLK) (0.008::0.006)) + (SETUP (posedge D) (posedge CLK) (0.017::0.018)) + (SETUP (negedge D) (posedge CLK) (0.047::0.049)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9029_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.455::0.455) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.003::0.002)) + (HOLD (negedge D) (posedge CLK) (0.007::0.005)) + (SETUP (posedge D) (posedge CLK) (0.017::0.018)) + (SETUP (negedge D) (posedge CLK) (0.047::0.049)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9030_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.463::0.463) (0.428::0.428)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.021::0.021)) + (HOLD (negedge D) (posedge CLK) (0.039::0.037)) + (SETUP (posedge D) (posedge CLK) (-0.003::-0.002)) + (SETUP (negedge D) (posedge CLK) (0.015::0.017)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9031_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.458::0.458) (0.415::0.415)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.004::0.003)) + (HOLD (negedge D) (posedge CLK) (0.008::0.007)) + (SETUP (posedge D) (posedge CLK) (0.016::0.017)) + (SETUP (negedge D) (posedge CLK) (0.047::0.048)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9032_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.444::0.444) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.005::0.004)) + (HOLD (negedge D) (posedge CLK) (0.008::0.005)) + (SETUP (posedge D) (posedge CLK) (0.014::0.016)) + (SETUP (negedge D) (posedge CLK) (0.047::0.050)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9033_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.474::0.474) (0.434::0.434)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.021::0.020)) + (HOLD (negedge D) (posedge CLK) (0.038::0.036)) + (SETUP (posedge D) (posedge CLK) (-0.003::-0.002)) + (SETUP (negedge D) (posedge CLK) (0.015::0.017)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9034_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.478::0.478) (0.436::0.436)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.022::0.021)) + (HOLD (negedge D) (posedge CLK) (0.039::0.037)) + (SETUP (posedge D) (posedge CLK) (-0.003::-0.002)) + (SETUP (negedge D) (posedge CLK) (0.015::0.016)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9035_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.448::0.448) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.003::0.002)) + (HOLD (negedge D) (posedge CLK) (0.007::0.004)) + (SETUP (posedge D) (posedge CLK) (0.017::0.018)) + (SETUP (negedge D) (posedge CLK) (0.048::0.051)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9036_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.480::0.480) (0.426::0.426)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.003::0.002)) + (HOLD (negedge D) (posedge CLK) (0.007::0.005)) + (SETUP (posedge D) (posedge CLK) (0.017::0.018)) + (SETUP (negedge D) (posedge CLK) (0.048::0.050)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9037_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.473::0.473) (0.433::0.433)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.022::0.021)) + (HOLD (negedge D) (posedge CLK) (0.040::0.038)) + (SETUP (posedge D) (posedge CLK) (-0.004::-0.003)) + (SETUP (negedge D) (posedge CLK) (0.014::0.016)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9038_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.492::0.492) (0.444::0.444)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.022::0.021)) + (HOLD (negedge D) (posedge CLK) (0.039::0.038)) + (SETUP (posedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (negedge D) (posedge CLK) (0.014::0.016)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9039_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.465::0.465) (0.429::0.429)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.022::0.022)) + (HOLD (negedge D) (posedge CLK) (0.040::0.038)) + (SETUP (posedge D) (posedge CLK) (-0.004::-0.003)) + (SETUP (negedge D) (posedge CLK) (0.014::0.015)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9040_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.460::0.460) (0.415::0.415)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.005::0.003)) + (HOLD (negedge D) (posedge CLK) (0.008::0.004)) + (SETUP (posedge D) (posedge CLK) (0.015::0.016)) + (SETUP (negedge D) (posedge CLK) (0.047::0.051)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9041_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.489::0.489) (0.442::0.442)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.022::0.021)) + (HOLD (negedge D) (posedge CLK) (0.040::0.037)) + (SETUP (posedge D) (posedge CLK) (-0.004::-0.003)) + (SETUP (negedge D) (posedge CLK) (0.014::0.016)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9042_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.485::0.485) (0.440::0.440)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.021::0.020)) + (HOLD (negedge D) (posedge CLK) (0.039::0.036)) + (SETUP (posedge D) (posedge CLK) (-0.003::-0.002)) + (SETUP (negedge D) (posedge CLK) (0.015::0.018)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9043_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.462::0.462) (0.427::0.427)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (0.022::0.021)) + (HOLD (negedge D) (posedge CLK) (0.040::0.037)) + (SETUP (posedge D) (posedge CLK) (-0.004::-0.002)) + (SETUP (negedge D) (posedge CLK) (0.014::0.017)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9044_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.394::0.394) (0.340::0.340)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.051::-0.052)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.108::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9045_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.367::0.367) (0.329::0.329)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.048::-0.049)) + (SETUP (posedge D) (posedge CLK) (0.051::0.054)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9046_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.424::0.424) (0.359::0.359)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.045::-0.046)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfxtp_1") + (INSTANCE _9047_) + (DELAY + (ABSOLUTE + (IOPATH CLK Q (0.386::0.386) (0.340::0.340)) + ) + ) + (TIMINGCHECK + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.049::-0.050)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_4") + (INSTANCE _9048_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.762::0.762) (0.578::0.578)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.193::0.193)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.176::-0.176)) + (HOLD (posedge D) (posedge CLK) (-0.014::-0.014)) + (HOLD (negedge D) (posedge CLK) (0.029::0.029)) + (SETUP (posedge D) (posedge CLK) (0.031::0.032)) + (SETUP (negedge D) (posedge CLK) (0.029::0.029)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9049_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.405::0.405) (0.430::0.430)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.357::0.357)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.266::-0.266)) + (HOLD (posedge D) (posedge CLK) (-0.019::-0.020)) + (HOLD (negedge D) (posedge CLK) (-0.015::-0.016)) + (SETUP (posedge D) (posedge CLK) (0.042::0.043)) + (SETUP (negedge D) (posedge CLK) (0.083::0.084)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9050_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.473::0.473) (0.497::0.497)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.364::0.364)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.259::-0.259)) + (HOLD (posedge D) (posedge CLK) (-0.014::-0.015)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.040::0.042)) + (SETUP (negedge D) (posedge CLK) (0.078::0.079)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9051_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.665::0.665) (0.655::0.655)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.358::0.358)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.252::-0.252)) + (HOLD (posedge D) (posedge CLK) (-0.020::-0.021)) + (HOLD (negedge D) (posedge CLK) (-0.013::-0.014)) + (SETUP (posedge D) (posedge CLK) (0.047::0.049)) + (SETUP (negedge D) (posedge CLK) (0.085::0.086)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9052_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.452::0.452) (0.462::0.462)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.365::0.365)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.270::-0.270)) + (HOLD (posedge D) (posedge CLK) (-0.016::-0.017)) + (HOLD (negedge D) (posedge CLK) (-0.010::-0.012)) + (SETUP (posedge D) (posedge CLK) (0.040::0.040)) + (SETUP (negedge D) (posedge CLK) (0.079::0.080)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9053_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.703::0.703) (0.523::0.523)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.247::0.247)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.228::-0.228)) + (HOLD (posedge D) (posedge CLK) (0.021::0.021)) + (HOLD (negedge D) (posedge CLK) (0.088::0.087)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.008)) + (SETUP (negedge D) (posedge CLK) (-0.035::-0.034)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9054_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.802::0.802) (0.752::0.752)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.534::0.534)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194::-0.194)) + (HOLD (posedge D) (posedge CLK) (0.014::0.013)) + (HOLD (negedge D) (posedge CLK) (0.038::0.037)) + (SETUP (posedge D) (posedge CLK) (0.009::0.011)) + (SETUP (negedge D) (posedge CLK) (0.034::0.035)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9055_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.623::0.623) (0.657::0.657)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.534::0.534)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194::-0.194)) + (HOLD (posedge D) (posedge CLK) (-0.009::-0.009)) + (HOLD (negedge D) (posedge CLK) (0.035::0.021)) + (SETUP (posedge D) (posedge CLK) (0.034::0.034)) + (SETUP (negedge D) (posedge CLK) (0.037::0.052)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9056_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.586::0.586) (0.635::0.635)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.560::0.560)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225::-0.225)) + (HOLD (posedge D) (posedge CLK) (0.028::0.027)) + (HOLD (negedge D) (posedge CLK) (0.064::0.063)) + (SETUP (posedge D) (posedge CLK) (-0.006::-0.005)) + (SETUP (negedge D) (posedge CLK) (0.008::0.008)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9057_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.427::0.427) (0.461::0.461)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.561::0.561)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.035::0.035)) + (HOLD (negedge D) (posedge CLK) (0.075::0.075)) + (SETUP (posedge D) (posedge CLK) (-0.017::-0.017)) + (SETUP (negedge D) (posedge CLK) (-0.008::-0.008)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9058_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.680::0.680) (0.452::0.452)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.150::0.150)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.043::-0.044)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.064::0.064)) + (SETUP (negedge D) (posedge CLK) (0.083::0.084)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9059_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.676::0.676) (0.507::0.507)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.252::0.252)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.235::-0.235)) + (HOLD (posedge D) (posedge CLK) (0.021::0.021)) + (HOLD (negedge D) (posedge CLK) (0.094::0.094)) + (SETUP (posedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (negedge D) (posedge CLK) (-0.042::-0.042)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9060_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.544::0.544) (0.549::0.549)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.546::0.546)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.291::-0.291)) + (HOLD (posedge D) (posedge CLK) (0.023::0.021)) + (HOLD (negedge D) (posedge CLK) (0.068::0.067)) + (SETUP (posedge D) (posedge CLK) (-0.004::-0.003)) + (SETUP (negedge D) (posedge CLK) (-0.000::0.001)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9061_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.473::0.473) (0.499::0.499)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.545::0.545)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.293::-0.293)) + (HOLD (posedge D) (posedge CLK) (0.024::0.023)) + (HOLD (negedge D) (posedge CLK) (0.069::0.068)) + (SETUP (posedge D) (posedge CLK) (-0.005::-0.004)) + (SETUP (negedge D) (posedge CLK) (-0.001::-0.001)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9062_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.481::0.481) (0.505::0.505)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.545::0.545)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.293::-0.293)) + (HOLD (posedge D) (posedge CLK) (0.022::0.021)) + (HOLD (negedge D) (posedge CLK) (0.067::0.061)) + (SETUP (posedge D) (posedge CLK) (-0.003::-0.002)) + (SETUP (negedge D) (posedge CLK) (0.000::0.007)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9063_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.492::0.492) (0.514::0.514)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.546::0.546)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.292::-0.292)) + (HOLD (posedge D) (posedge CLK) (0.024::0.023)) + (HOLD (negedge D) (posedge CLK) (0.069::0.068)) + (SETUP (posedge D) (posedge CLK) (-0.005::-0.004)) + (SETUP (negedge D) (posedge CLK) (-0.001::-0.000)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9064_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.458::0.458) (0.488::0.488)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.547::0.547)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.291::-0.291)) + (HOLD (posedge D) (posedge CLK) (0.024::0.017)) + (HOLD (negedge D) (posedge CLK) (0.063::0.044)) + (SETUP (posedge D) (posedge CLK) (-0.005::0.002)) + (SETUP (negedge D) (posedge CLK) (0.005::0.024)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9065_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.488::0.488) (0.505::0.505)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.522::0.522)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.266::-0.266)) + (HOLD (posedge D) (posedge CLK) (-0.006::-0.006)) + (HOLD (negedge D) (posedge CLK) (0.019::0.019)) + (SETUP (posedge D) (posedge CLK) (0.027::0.027)) + (SETUP (negedge D) (posedge CLK) (0.050::0.050)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9066_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.626::0.626) (0.669::0.669)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.547::0.547)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.257::-0.257)) + (HOLD (posedge D) (posedge CLK) (0.024::0.017)) + (HOLD (negedge D) (posedge CLK) (0.068::0.048)) + (SETUP (posedge D) (posedge CLK) (-0.003::0.005)) + (SETUP (negedge D) (posedge CLK) (0.003::0.025)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9067_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.634::0.634) (0.672::0.672)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.547::0.547)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.257::-0.257)) + (HOLD (posedge D) (posedge CLK) (0.023::0.015)) + (HOLD (negedge D) (posedge CLK) (0.066::0.045)) + (SETUP (posedge D) (posedge CLK) (-0.001::0.007)) + (SETUP (negedge D) (posedge CLK) (0.006::0.027)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9068_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.642::0.642) (0.680::0.680)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.547::0.547)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.257::-0.257)) + (HOLD (posedge D) (posedge CLK) (0.024::0.016)) + (HOLD (negedge D) (posedge CLK) (0.068::0.047)) + (SETUP (posedge D) (posedge CLK) (-0.003::0.005)) + (SETUP (negedge D) (posedge CLK) (0.003::0.025)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9069_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.432::0.432) (0.456::0.456)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.092::-0.092)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.062::0.064)) + (SETUP (negedge D) (posedge CLK) (0.111::0.111)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9070_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.587::0.587) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127::0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9071_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.589::0.589) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.048::0.049)) + (SETUP (negedge D) (posedge CLK) (0.056::0.056)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9072_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.408::0.408) (0.421::0.421)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9073_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.426::0.426) (0.454::0.454)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.464::0.464)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.090::-0.090)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9074_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.410::0.410) (0.422::0.422)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9075_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.423::0.423) (0.431::0.431)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9076_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.411::0.411) (0.422::0.422)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.120::-0.120)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9077_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.407::0.407) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119::-0.119)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9078_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.394::0.394) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.120::-0.120)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.041::-0.042)) + (SETUP (posedge D) (posedge CLK) (0.058::0.060)) + (SETUP (negedge D) (posedge CLK) (0.110::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9079_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.345::0.345) (0.376::0.376)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.120::-0.120)) + (HOLD (posedge D) (posedge CLK) (-0.034::-0.038)) + (HOLD (negedge D) (posedge CLK) (-0.042::-0.046)) + (SETUP (posedge D) (posedge CLK) (0.059::0.064)) + (SETUP (negedge D) (posedge CLK) (0.110::0.114)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9080_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.643::0.643) (0.649::0.649)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.363::0.363)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.259::-0.259)) + (HOLD (posedge D) (posedge CLK) (-0.014::-0.016)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.041::0.042)) + (SETUP (negedge D) (posedge CLK) (0.078::0.078)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9081_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.431::0.431) (0.458::0.458)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.021::-0.021)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.094::0.094)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9082_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.504::0.504) (0.559::0.559)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.365::0.365)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.257::-0.257)) + (HOLD (posedge D) (posedge CLK) (-0.015::-0.015)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.042::0.042)) + (SETUP (negedge D) (posedge CLK) (0.079::0.080)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9083_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.453::0.453) (0.482::0.482)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.359::0.359)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.253::-0.253)) + (HOLD (posedge D) (posedge CLK) (-0.017::-0.017)) + (HOLD (negedge D) (posedge CLK) (-0.010::-0.011)) + (SETUP (posedge D) (posedge CLK) (0.044::0.044)) + (SETUP (negedge D) (posedge CLK) (0.083::0.084)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9084_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.467::0.467) (0.494::0.494)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.365::0.365)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.258::-0.258)) + (HOLD (posedge D) (posedge CLK) (-0.015::-0.016)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.042::0.042)) + (SETUP (negedge D) (posedge CLK) (0.080::0.080)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9085_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.451::0.451) (0.482::0.482)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.363::0.363)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.260::-0.260)) + (HOLD (posedge D) (posedge CLK) (-0.015::-0.015)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.042::0.042)) + (SETUP (negedge D) (posedge CLK) (0.080::0.080)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9086_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.444::0.444) (0.474::0.474)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.354::0.354)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.247::-0.247)) + (HOLD (posedge D) (posedge CLK) (-0.020::-0.020)) + (HOLD (negedge D) (posedge CLK) (-0.015::-0.015)) + (SETUP (posedge D) (posedge CLK) (0.047::0.047)) + (SETUP (negedge D) (posedge CLK) (0.088::0.088)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9087_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.441::0.441) (0.472::0.472)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.352::0.352)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.248::-0.248)) + (HOLD (posedge D) (posedge CLK) (-0.021::-0.021)) + (HOLD (negedge D) (posedge CLK) (-0.016::-0.017)) + (SETUP (posedge D) (posedge CLK) (0.048::0.048)) + (SETUP (negedge D) (posedge CLK) (0.089::0.090)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9088_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.438::0.438) (0.470::0.470)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.353::0.353)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.248::-0.248)) + (HOLD (posedge D) (posedge CLK) (-0.021::-0.022)) + (HOLD (negedge D) (posedge CLK) (-0.017::-0.018)) + (SETUP (posedge D) (posedge CLK) (0.049::0.049)) + (SETUP (negedge D) (posedge CLK) (0.090::0.091)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9089_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.437::0.437) (0.449::0.449)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.352::0.352)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.260::-0.260)) + (HOLD (posedge D) (posedge CLK) (-0.021::-0.021)) + (HOLD (negedge D) (posedge CLK) (-0.020::-0.021)) + (SETUP (posedge D) (posedge CLK) (0.045::0.045)) + (SETUP (negedge D) (posedge CLK) (0.088::0.089)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9090_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.447::0.447) (0.478::0.478)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.364::0.364)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.260::-0.260)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.010::-0.012)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.083::0.085)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9091_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.517::0.517) (0.568::0.568)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.358::0.358)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.252::-0.252)) + (HOLD (posedge D) (posedge CLK) (-0.017::-0.018)) + (HOLD (negedge D) (posedge CLK) (-0.008::-0.010)) + (SETUP (posedge D) (posedge CLK) (0.044::0.044)) + (SETUP (negedge D) (posedge CLK) (0.079::0.082)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9092_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.500::0.500) (0.556::0.556)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.358::0.358)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.251::-0.251)) + (HOLD (posedge D) (posedge CLK) (-0.017::-0.018)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.013)) + (SETUP (posedge D) (posedge CLK) (0.044::0.044)) + (SETUP (negedge D) (posedge CLK) (0.082::0.085)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9093_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.582::0.582) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9094_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.552::0.552) (0.386::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.035::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.063::0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9095_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.429::0.429)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156::-0.156)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9096_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.478::0.478) (0.532::0.532)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.424::0.424)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.058::0.060)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9097_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.424::0.424)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9098_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.424::0.424)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.148::-0.148)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9099_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.148::-0.148)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.056::0.060)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9100_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.426::0.426)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.057)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9101_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9102_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.408::0.408) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137::-0.137)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9103_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.557::0.557) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.108::-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9104_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.408::0.408) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.446::0.446)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135::-0.135)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9105_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.413::0.413) (0.424::0.424)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9106_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.557::0.557) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.132::0.132)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.112::-0.112)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9107_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.565::0.565) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.143::0.143)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (0.003::0.003)) + (SETUP (posedge D) (posedge CLK) (0.045::0.047)) + (SETUP (negedge D) (posedge CLK) (0.051::0.052)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9108_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.429::0.429) (0.438::0.438)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.162::-0.162)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.094::0.095)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9109_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.416::0.416) (0.432::0.432)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.163::-0.163)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.096::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9110_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.389::0.389) (0.414::0.414)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.163::-0.163)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.097::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9111_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.417::0.417)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.438::0.438)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.161::-0.161)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.048::0.052)) + (SETUP (negedge D) (posedge CLK) (0.094::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9112_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.382::0.382) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.437::0.437)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.162::-0.162)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.095::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9113_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.429::0.429)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.147::-0.147)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9114_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.368::0.368) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.106::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9115_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.382::0.382) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9116_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.550::0.550) (0.386::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.123::0.123)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.061::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9117_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.370::0.370) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9118_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9119_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.643::0.643) (0.683::0.683)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.546::0.546)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.258::-0.258)) + (HOLD (posedge D) (posedge CLK) (0.024::0.024)) + (HOLD (negedge D) (posedge CLK) (0.066::0.062)) + (SETUP (posedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (negedge D) (posedge CLK) (0.005::0.010)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9120_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.394::0.394)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9121_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444::0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.107::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9122_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.554::0.554) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9123_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9124_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134::-0.134)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9125_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.569::0.569) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.142::0.142)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (0.004::0.004)) + (SETUP (posedge D) (posedge CLK) (0.045::0.046)) + (SETUP (negedge D) (posedge CLK) (0.051::0.051)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9126_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.566::0.566) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.144::0.144)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (0.004::0.003)) + (SETUP (posedge D) (posedge CLK) (0.045::0.047)) + (SETUP (negedge D) (posedge CLK) (0.051::0.052)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9127_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.395::0.395) (0.418::0.418)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.437::0.437)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.161::-0.161)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.094::0.095)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9128_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.425::0.425) (0.435::0.435)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.165::-0.165)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.095::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9129_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.392::0.392) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.165::-0.165)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.094::0.095)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9130_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.390::0.390) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.437::0.437)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.161::-0.161)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.095::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9131_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.399::0.399) (0.421::0.421)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.165::-0.165)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.054)) + (SETUP (negedge D) (posedge CLK) (0.096::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9132_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.418::0.418)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160::-0.160)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.095::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9133_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9134_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9135_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.548::0.548) (0.384::0.384)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.125::0.125)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.104::-0.104)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9136_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.362::0.362) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.058::0.058)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9137_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129::-0.129)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9138_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.453::0.453) (0.482::0.482)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.560::0.560)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.026::0.025)) + (HOLD (negedge D) (posedge CLK) (0.060::0.059)) + (SETUP (posedge D) (posedge CLK) (-0.007::-0.006)) + (SETUP (negedge D) (posedge CLK) (0.008::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9139_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.438::0.438) (0.468::0.468)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.534::0.534)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233::-0.233)) + (HOLD (posedge D) (posedge CLK) (0.014::0.014)) + (HOLD (negedge D) (posedge CLK) (0.038::0.036)) + (SETUP (posedge D) (posedge CLK) (0.006::0.006)) + (SETUP (negedge D) (posedge CLK) (0.030::0.032)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9140_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.460::0.460) (0.488::0.488)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.561::0.561)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.027::0.027)) + (HOLD (negedge D) (posedge CLK) (0.060::0.058)) + (SETUP (posedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.008::0.010)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9141_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.457::0.457) (0.486::0.486)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.561::0.561)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.027::0.027)) + (HOLD (negedge D) (posedge CLK) (0.060::0.058)) + (SETUP (posedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.008::0.010)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9142_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.477::0.477) (0.502::0.502)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.561::0.561)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.028::0.027)) + (HOLD (negedge D) (posedge CLK) (0.061::0.059)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.007::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9143_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.457::0.457) (0.485::0.485)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.559::0.559)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.265::-0.265)) + (HOLD (posedge D) (posedge CLK) (0.026::0.026)) + (HOLD (negedge D) (posedge CLK) (0.059::0.057)) + (SETUP (posedge D) (posedge CLK) (-0.007::-0.007)) + (SETUP (negedge D) (posedge CLK) (0.009::0.011)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9144_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.462::0.462) (0.489::0.489)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.558::0.558)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.266::-0.266)) + (HOLD (posedge D) (posedge CLK) (0.028::0.027)) + (HOLD (negedge D) (posedge CLK) (0.060::0.059)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.008::0.010)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9145_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.456::0.456) (0.485::0.485)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.558::0.558)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.266::-0.266)) + (HOLD (posedge D) (posedge CLK) (0.027::0.026)) + (HOLD (negedge D) (posedge CLK) (0.060::0.057)) + (SETUP (posedge D) (posedge CLK) (-0.008::-0.007)) + (SETUP (negedge D) (posedge CLK) (0.009::0.011)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9146_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.437::0.437) (0.466::0.466)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.531::0.531)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236::-0.236)) + (HOLD (posedge D) (posedge CLK) (0.014::0.014)) + (HOLD (negedge D) (posedge CLK) (0.038::0.037)) + (SETUP (posedge D) (posedge CLK) (0.006::0.006)) + (SETUP (negedge D) (posedge CLK) (0.030::0.032)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9147_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.456::0.456) (0.484::0.484)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.561::0.561)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.263::-0.263)) + (HOLD (posedge D) (posedge CLK) (0.027::0.027)) + (HOLD (negedge D) (posedge CLK) (0.060::0.058)) + (SETUP (posedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.008::0.010)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9148_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.456::0.456) (0.484::0.484)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.561::0.561)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.263::-0.263)) + (HOLD (posedge D) (posedge CLK) (0.028::0.027)) + (HOLD (negedge D) (posedge CLK) (0.061::0.059)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.007::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9149_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.461::0.461) (0.489::0.489)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.561::0.561)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.263::-0.263)) + (HOLD (posedge D) (posedge CLK) (0.028::0.027)) + (HOLD (negedge D) (posedge CLK) (0.061::0.059)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.009)) + (SETUP (negedge D) (posedge CLK) (0.007::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9150_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.453::0.453) (0.482::0.482)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.561::0.561)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.263::-0.263)) + (HOLD (posedge D) (posedge CLK) (0.028::0.028)) + (HOLD (negedge D) (posedge CLK) (0.061::0.059)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.009)) + (SETUP (negedge D) (posedge CLK) (0.007::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9151_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.550::0.550) (0.386::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9152_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.552::0.552) (0.386::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9153_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.371::0.371) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9154_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.398::0.398) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.037::-0.038)) + (HOLD (negedge D) (posedge CLK) (-0.044::-0.044)) + (SETUP (posedge D) (posedge CLK) (0.062::0.063)) + (SETUP (negedge D) (posedge CLK) (0.112::0.113)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9155_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.108::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9156_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.041)) + (SETUP (posedge D) (posedge CLK) (0.056::0.060)) + (SETUP (negedge D) (posedge CLK) (0.106::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9157_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.408::0.408) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.104::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9158_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.118::-0.118)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9159_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.457::0.457)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9160_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9161_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.554::0.554) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9162_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9163_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.146::-0.146)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9164_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.452::0.452) (0.478::0.478)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.534::0.534)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233::-0.233)) + (HOLD (posedge D) (posedge CLK) (0.013::0.012)) + (HOLD (negedge D) (posedge CLK) (0.037::0.036)) + (SETUP (posedge D) (posedge CLK) (0.008::0.009)) + (SETUP (negedge D) (posedge CLK) (0.031::0.032)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9165_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.472::0.472) (0.500::0.500)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.569::0.569)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.275::-0.275)) + (HOLD (posedge D) (posedge CLK) (0.028::0.028)) + (HOLD (negedge D) (posedge CLK) (0.065::0.063)) + (SETUP (posedge D) (posedge CLK) (-0.010::-0.010)) + (SETUP (negedge D) (posedge CLK) (0.003::0.005)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9166_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.475::0.475) (0.502::0.502)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.569::0.569)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.275::-0.275)) + (HOLD (posedge D) (posedge CLK) (0.029::0.029)) + (HOLD (negedge D) (posedge CLK) (0.066::0.064)) + (SETUP (posedge D) (posedge CLK) (-0.011::-0.011)) + (SETUP (negedge D) (posedge CLK) (0.001::0.004)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9167_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.461::0.461) (0.489::0.489)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.559::0.559)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.265::-0.265)) + (HOLD (posedge D) (posedge CLK) (0.028::0.027)) + (HOLD (negedge D) (posedge CLK) (0.061::0.059)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.007::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9168_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.470::0.470) (0.498::0.498)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.567::0.567)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.277::-0.277)) + (HOLD (posedge D) (posedge CLK) (0.030::0.029)) + (HOLD (negedge D) (posedge CLK) (0.067::0.065)) + (SETUP (posedge D) (posedge CLK) (-0.011::-0.011)) + (SETUP (negedge D) (posedge CLK) (0.001::0.003)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9169_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.436::0.436) (0.466::0.466)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.532::0.532)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235::-0.235)) + (HOLD (posedge D) (posedge CLK) (0.011::0.011)) + (HOLD (negedge D) (posedge CLK) (0.035::0.032)) + (SETUP (posedge D) (posedge CLK) (0.009::0.010)) + (SETUP (negedge D) (posedge CLK) (0.033::0.036)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9170_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.467::0.467) (0.495::0.495)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.567::0.567)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.277::-0.277)) + (HOLD (posedge D) (posedge CLK) (0.030::0.030)) + (HOLD (negedge D) (posedge CLK) (0.067::0.065)) + (SETUP (posedge D) (posedge CLK) (-0.012::-0.011)) + (SETUP (negedge D) (posedge CLK) (0.001::0.003)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9171_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.458::0.458) (0.486::0.486)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.557::0.557)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.267::-0.267)) + (HOLD (posedge D) (posedge CLK) (0.028::0.028)) + (HOLD (negedge D) (posedge CLK) (0.061::0.059)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.009)) + (SETUP (negedge D) (posedge CLK) (0.007::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9172_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.434::0.434) (0.465::0.465)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.531::0.531)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236::-0.236)) + (HOLD (posedge D) (posedge CLK) (0.014::0.013)) + (HOLD (negedge D) (posedge CLK) (0.038::0.035)) + (SETUP (posedge D) (posedge CLK) (0.007::0.007)) + (SETUP (negedge D) (posedge CLK) (0.030::0.033)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9173_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.443::0.443) (0.471::0.471)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.530::0.530)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236::-0.236)) + (HOLD (posedge D) (posedge CLK) (0.014::0.014)) + (HOLD (negedge D) (posedge CLK) (0.038::0.036)) + (SETUP (posedge D) (posedge CLK) (0.006::0.007)) + (SETUP (negedge D) (posedge CLK) (0.030::0.032)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9174_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.457::0.457) (0.485::0.485)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.556::0.556)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.268::-0.268)) + (HOLD (posedge D) (posedge CLK) (0.028::0.027)) + (HOLD (negedge D) (posedge CLK) (0.061::0.059)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.009)) + (SETUP (negedge D) (posedge CLK) (0.007::0.009)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9175_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.438::0.438) (0.468::0.468)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.530::0.530)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.236::-0.236)) + (HOLD (posedge D) (posedge CLK) (0.014::0.014)) + (HOLD (negedge D) (posedge CLK) (0.038::0.036)) + (SETUP (posedge D) (posedge CLK) (0.006::0.007)) + (SETUP (negedge D) (posedge CLK) (0.030::0.032)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9176_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.442::0.442) (0.470::0.470)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.535::0.535)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.232::-0.232)) + (HOLD (posedge D) (posedge CLK) (0.014::0.014)) + (HOLD (negedge D) (posedge CLK) (0.039::0.037)) + (SETUP (posedge D) (posedge CLK) (0.006::0.006)) + (SETUP (negedge D) (posedge CLK) (0.030::0.031)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9177_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.554::0.554) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.057::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9178_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.563::0.563) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.141::0.141)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (0.004::0.003)) + (SETUP (posedge D) (posedge CLK) (0.045::0.046)) + (SETUP (negedge D) (posedge CLK) (0.051::0.051)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9179_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.392::0.392) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155::-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.096::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9180_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.486::0.486) (0.541::0.541)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.118::-0.118)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.023::-0.024)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.095::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9181_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155::-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.094::0.095)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9182_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.385::0.385) (0.412::0.412)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155::-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.095::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9183_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.392::0.392) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155::-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.048::0.052)) + (SETUP (negedge D) (posedge CLK) (0.094::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9184_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155::-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.095::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9185_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.368::0.368) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9186_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.368::0.368) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9187_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.584::0.584) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9188_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.365::0.365) (0.394::0.394)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9189_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.371::0.371) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135::-0.135)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.056)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9190_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.556::0.556) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.057::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9191_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.553::0.553) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.135::0.135)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9192_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9193_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.420::0.420) (0.429::0.429)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9194_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.391::0.391) (0.412::0.412)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9195_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.435::0.435)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.149::-0.149)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9196_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.394::0.394) (0.414::0.414)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.435::0.435)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.149::-0.149)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9197_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9198_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.415::0.415) (0.426::0.426)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.147::-0.147)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9199_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.147::-0.147)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9200_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.550::0.550) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.135::0.135)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9201_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.387::0.387) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.446::0.446)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9202_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9203_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.487::0.487) (0.511::0.511)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.568::0.568)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.276::-0.276)) + (HOLD (posedge D) (posedge CLK) (0.029::0.028)) + (HOLD (negedge D) (posedge CLK) (0.067::0.065)) + (SETUP (posedge D) (posedge CLK) (-0.011::-0.010)) + (SETUP (negedge D) (posedge CLK) (0.001::0.002)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9204_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.653::0.653) (0.444::0.444)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.059::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9205_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.552::0.552) (0.387::0.387)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.059::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9206_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.149::-0.149)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9207_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.420::0.420) (0.452::0.452)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.025::-0.026)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9208_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.422::0.422) (0.432::0.432)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.097::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9209_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.427::0.427)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.053::0.058)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9210_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.149::-0.149)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9211_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.427::0.427)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9212_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129::-0.129)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9213_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.367::0.367) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9214_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.557::0.557) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.060::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9215_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.394::0.394) (0.415::0.415)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9216_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9217_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.468::0.468) (0.494::0.494)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.560::0.560)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.025::0.024)) + (HOLD (negedge D) (posedge CLK) (0.058::0.057)) + (SETUP (posedge D) (posedge CLK) (-0.006::-0.005)) + (SETUP (negedge D) (posedge CLK) (0.010::0.011)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9218_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.546::0.546) (0.382::0.382)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.129::0.129)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.109::-0.109)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.063::0.063)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9219_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.551::0.551) (0.383::0.383)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.120::0.120)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.099::-0.099)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.062::0.063)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9220_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9221_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.426::0.426) (0.430::0.430)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9222_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9223_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.368::0.368) (0.394::0.394)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.455::0.455)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.041)) + (SETUP (posedge D) (posedge CLK) (0.056::0.061)) + (SETUP (negedge D) (posedge CLK) (0.107::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9224_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.396::0.396) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.437::0.437)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.161::-0.161)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.095::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9225_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.041)) + (SETUP (posedge D) (posedge CLK) (0.056::0.060)) + (SETUP (negedge D) (posedge CLK) (0.106::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9226_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.141::-0.141)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.057::0.058)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9227_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9228_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.554::0.554) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.132::0.132)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.111::-0.111)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9229_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9230_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.428::0.428) (0.458::0.458)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119::-0.119)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9231_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.562::0.562) (0.394::0.394)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.108::-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9232_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.550::0.550) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.132::0.132)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.112::-0.112)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9233_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.387::0.387) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9234_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.410::0.410) (0.442::0.442)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.464::0.464)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.081::-0.081)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9235_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9236_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9237_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.058)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9238_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.467::0.467)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9239_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.449::0.449) (0.476::0.476)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.534::0.534)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233::-0.233)) + (HOLD (posedge D) (posedge CLK) (0.013::0.012)) + (HOLD (negedge D) (posedge CLK) (0.038::0.036)) + (SETUP (posedge D) (posedge CLK) (0.008::0.008)) + (SETUP (negedge D) (posedge CLK) (0.030::0.032)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9240_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9241_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.385::0.385) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.147::-0.147)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9242_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.569::0.569) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9243_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.394::0.394) (0.415::0.415)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.146::-0.146)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9244_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.382::0.382) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.141::-0.141)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_2") + (INSTANCE _9245_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.752::0.752) (0.527::0.527)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.214::0.214)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.192::-0.192)) + (HOLD (posedge D) (posedge CLK) (0.012::0.012)) + (HOLD (negedge D) (posedge CLK) (0.073::0.071)) + (SETUP (posedge D) (posedge CLK) (0.005::0.006)) + (SETUP (negedge D) (posedge CLK) (-0.011::-0.010)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_2") + (INSTANCE _9246_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.754::0.754) (0.542::0.542)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.253::0.253)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.234::-0.234)) + (HOLD (posedge D) (posedge CLK) (0.030::0.030)) + (HOLD (negedge D) (posedge CLK) (0.103::0.103)) + (SETUP (posedge D) (posedge CLK) (-0.014::-0.014)) + (SETUP (negedge D) (posedge CLK) (-0.043::-0.043)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9247_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.509::0.509) (0.523::0.523)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.572::0.572)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.265::-0.265)) + (HOLD (posedge D) (posedge CLK) (0.028::0.028)) + (HOLD (negedge D) (posedge CLK) (0.068::0.058)) + (SETUP (posedge D) (posedge CLK) (-0.010::-0.009)) + (SETUP (negedge D) (posedge CLK) (-0.000::0.010)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9248_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.530::0.530) (0.557::0.557)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.572::0.572)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.238::-0.238)) + (HOLD (posedge D) (posedge CLK) (0.013::0.013)) + (HOLD (negedge D) (posedge CLK) (0.062::0.059)) + (SETUP (posedge D) (posedge CLK) (0.010::0.010)) + (SETUP (negedge D) (posedge CLK) (0.010::0.013)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9249_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.704::0.704) (0.520::0.520)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.243::0.243)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.225::-0.225)) + (HOLD (posedge D) (posedge CLK) (0.021::0.021)) + (HOLD (negedge D) (posedge CLK) (0.092::0.090)) + (SETUP (posedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (negedge D) (posedge CLK) (-0.040::-0.038)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9250_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.510::0.510) (0.525::0.525)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.567::0.567)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.270::-0.270)) + (HOLD (posedge D) (posedge CLK) (0.033::0.032)) + (HOLD (negedge D) (posedge CLK) (0.071::0.071)) + (SETUP (posedge D) (posedge CLK) (-0.014::-0.014)) + (SETUP (negedge D) (posedge CLK) (-0.004::-0.004)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9251_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.620::0.620) (0.656::0.656)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.534::0.534)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.194::-0.194)) + (HOLD (posedge D) (posedge CLK) (0.014::0.014)) + (HOLD (negedge D) (posedge CLK) (0.042::0.041)) + (SETUP (posedge D) (posedge CLK) (0.009::0.009)) + (SETUP (negedge D) (posedge CLK) (0.030::0.031)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9252_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.706::0.706) (0.522::0.522)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.244::0.244)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.225::-0.225)) + (HOLD (posedge D) (posedge CLK) (0.022::0.022)) + (HOLD (negedge D) (posedge CLK) (0.090::0.086)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.009)) + (SETUP (negedge D) (posedge CLK) (-0.038::-0.034)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9253_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.540::0.540) (0.564::0.564)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.567::0.567)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.251::-0.251)) + (HOLD (posedge D) (posedge CLK) (0.030::0.029)) + (HOLD (negedge D) (posedge CLK) (0.071::0.070)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.000::0.001)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9254_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.539::0.539) (0.545::0.545)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.566::0.566)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.278::-0.278)) + (HOLD (posedge D) (posedge CLK) (0.030::0.029)) + (HOLD (negedge D) (posedge CLK) (0.069::0.067)) + (SETUP (posedge D) (posedge CLK) (-0.012::-0.011)) + (SETUP (negedge D) (posedge CLK) (-0.002::0.000)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_4") + (INSTANCE _9255_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.859::0.859) (0.661::0.661)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.241::0.241)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.223::-0.223)) + (HOLD (posedge D) (posedge CLK) (0.021::0.020)) + (HOLD (negedge D) (posedge CLK) (0.094::0.090)) + (SETUP (posedge D) (posedge CLK) (-0.007::-0.006)) + (SETUP (negedge D) (posedge CLK) (-0.036::-0.033)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9256_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.589::0.589) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127::0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.061::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9257_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.581::0.581) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.061::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9258_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.408::0.408) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.465::0.465)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9259_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.410::0.410) (0.443::0.443)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.466::0.466)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.084::-0.084)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.057::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9260_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.405::0.405) (0.421::0.421)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.467::0.467)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9261_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.413::0.413) (0.424::0.424)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.467::0.467)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9262_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.464::0.464)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.112::-0.112)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.059)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9263_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.467::0.467)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9264_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.370::0.370) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.108::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9265_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.382::0.382) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9266_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.560::0.560) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9267_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.365::0.365) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129::-0.129)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.108::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9268_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.394::0.394)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.040::-0.041)) + (SETUP (posedge D) (posedge CLK) (0.058::0.059)) + (SETUP (negedge D) (posedge CLK) (0.109::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9269_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.555::0.555) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.131::0.131)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.000::-0.001)) + (SETUP (posedge D) (posedge CLK) (0.048::0.049)) + (SETUP (negedge D) (posedge CLK) (0.055::0.056)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9270_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.560::0.560) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (0.000::-0.000)) + (SETUP (posedge D) (posedge CLK) (0.048::0.049)) + (SETUP (negedge D) (posedge CLK) (0.054::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9271_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.471::0.471)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9272_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.392::0.392) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9273_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.141::-0.141)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9274_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9275_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.371::0.371) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9276_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9277_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.512::0.512) (0.542::0.542)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.560::0.560)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.238::-0.238)) + (HOLD (posedge D) (posedge CLK) (0.026::0.025)) + (HOLD (negedge D) (posedge CLK) (0.065::0.061)) + (SETUP (posedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (negedge D) (posedge CLK) (0.006::0.011)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9278_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.495::0.495) (0.512::0.512)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.560::0.560)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.024::0.023)) + (HOLD (negedge D) (posedge CLK) (0.061::0.051)) + (SETUP (posedge D) (posedge CLK) (-0.005::-0.004)) + (SETUP (negedge D) (posedge CLK) (0.007::0.017)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9279_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.489::0.489) (0.508::0.508)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.560::0.560)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.025::0.025)) + (HOLD (negedge D) (posedge CLK) (0.057::0.051)) + (SETUP (posedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (negedge D) (posedge CLK) (0.011::0.017)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9280_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.465::0.465) (0.488::0.488)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.534::0.534)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.233::-0.233)) + (HOLD (posedge D) (posedge CLK) (0.011::0.011)) + (HOLD (negedge D) (posedge CLK) (0.039::0.030)) + (SETUP (posedge D) (posedge CLK) (0.009::0.010)) + (SETUP (negedge D) (posedge CLK) (0.029::0.039)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9281_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9282_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459::0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9283_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.559::0.559) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9284_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.097::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9285_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9286_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.553::0.553) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127::0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9287_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.557::0.557) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.129::0.129)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.108::-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9288_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.367::0.367) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9289_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.364::0.364) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9290_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.408::0.408) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9291_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9292_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9293_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.366::0.366) (0.394::0.394)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9294_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.591::0.591) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127::0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.057::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9295_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.552::0.552) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127::0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.057::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9296_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.097::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9297_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.408::0.408) (0.442::0.442)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.429::0.429)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9298_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.423::0.423) (0.433::0.433)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9299_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9300_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156::-0.156)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9301_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.430::0.430)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.058)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9302_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.382::0.382) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9303_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.446::0.446)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9304_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.446::0.446)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9305_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.558::0.558) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.132::0.132)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.111::-0.111)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.057::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9306_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.551::0.551) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.131::0.131)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.111::-0.111)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.057::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9307_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.057::0.058)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9308_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9309_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.539::0.539) (0.379::0.379)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.123::0.123)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.062::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9310_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.367::0.367) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.455::0.455)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.104::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9311_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.373::0.373) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9312_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.550::0.550) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.109::-0.109)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (0.000::-0.000)) + (SETUP (posedge D) (posedge CLK) (0.048::0.049)) + (SETUP (negedge D) (posedge CLK) (0.055::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9313_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.550::0.550) (0.385::0.385)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.104::-0.104)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.061::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9314_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.363::0.363) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.424::0.424)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9315_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.402::0.402) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459::0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9316_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9317_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.467::0.467)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.051::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9318_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.364::0.364) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.424::0.424)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.059)) + (SETUP (negedge D) (posedge CLK) (0.105::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9319_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.395::0.395) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.429::0.429)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156::-0.156)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.051::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9320_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.560::0.560) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.141::0.141)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (0.004::0.003)) + (SETUP (posedge D) (posedge CLK) (0.045::0.047)) + (SETUP (negedge D) (posedge CLK) (0.051::0.051)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9321_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.555::0.555) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9322_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9323_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.475::0.475) (0.531::0.531)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.473::0.473)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.080::-0.080)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.023::-0.024)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.095::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9324_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.421::0.421) (0.433::0.433)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.473::0.473)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.096::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9325_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.395::0.395) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155::-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.049::0.054)) + (SETUP (negedge D) (posedge CLK) (0.096::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9326_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9327_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.041)) + (SETUP (posedge D) (posedge CLK) (0.058::0.061)) + (SETUP (negedge D) (posedge CLK) (0.108::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9328_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.426::0.426)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.040::-0.041)) + (SETUP (posedge D) (posedge CLK) (0.058::0.060)) + (SETUP (negedge D) (posedge CLK) (0.108::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9329_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.364::0.364) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.418::0.418)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.152::-0.152)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9330_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.373::0.373) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.159::-0.159)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9331_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.542::0.542) (0.379::0.379)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.129::0.129)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.109::-0.109)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.062::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9332_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.543::0.543) (0.383::0.383)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.136::0.136)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.034::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.062::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9333_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9334_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9335_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.558::0.558) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.136::0.136)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (0.001::0.000)) + (SETUP (posedge D) (posedge CLK) (0.047::0.048)) + (SETUP (negedge D) (posedge CLK) (0.054::0.054)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9336_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9337_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.146::-0.146)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9338_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.548::0.548) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9339_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.551::0.551) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.109::-0.109)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (0.000::-0.000)) + (SETUP (posedge D) (posedge CLK) (0.047::0.049)) + (SETUP (negedge D) (posedge CLK) (0.054::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9340_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9341_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.426::0.426)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9342_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459::0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9343_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.424::0.424)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.148::-0.148)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.056::0.060)) + (SETUP (negedge D) (posedge CLK) (0.106::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9344_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.466::0.466)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9345_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.426::0.426)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9346_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.591::0.591) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9347_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.592::0.592) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.131::0.131)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.111::-0.111)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.061::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9348_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.382::0.382) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.095::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9349_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.475::0.475) (0.531::0.531)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.120::-0.120)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.022::-0.023)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.094::0.095)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9350_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9351_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.390::0.390) (0.414::0.414)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.472::0.472)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.048::0.053)) + (SETUP (negedge D) (posedge CLK) (0.094::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9352_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.417::0.417)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.095::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9353_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.430::0.430)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.146::-0.146)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.056::0.059)) + (SETUP (negedge D) (posedge CLK) (0.105::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9354_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444::0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9355_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.457::0.457)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129::-0.129)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9356_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.557::0.557) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.135::0.135)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (0.000::-0.000)) + (SETUP (posedge D) (posedge CLK) (0.048::0.049)) + (SETUP (negedge D) (posedge CLK) (0.054::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9357_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.144::-0.144)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.097::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9358_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.382::0.382) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9359_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.435::0.435)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9360_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.388::0.388) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.438::0.438)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.153::-0.153)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9361_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.571::0.571) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.137::0.137)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (0.001::0.001)) + (SETUP (posedge D) (posedge CLK) (0.047::0.049)) + (SETUP (negedge D) (posedge CLK) (0.054::0.054)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9362_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.371::0.371) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.429::0.429)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.141::-0.141)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.108::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9363_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.389::0.389) (0.412::0.412)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.152::-0.152)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9364_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.550::0.550) (0.387::0.387)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.060::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9365_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.555::0.555) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.057::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9366_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.368::0.368) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9367_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.427::0.427) (0.434::0.434)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.467::0.467)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9368_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.373::0.373) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9369_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.153::-0.153)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9370_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.421::0.421) (0.429::0.429)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.153::-0.153)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9371_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.153::-0.153)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9372_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.565::0.565) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.143::0.143)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (0.004::0.003)) + (SETUP (posedge D) (posedge CLK) (0.045::0.047)) + (SETUP (negedge D) (posedge CLK) (0.051::0.051)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9373_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.568::0.568) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.143::0.143)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (0.003::0.003)) + (SETUP (posedge D) (posedge CLK) (0.046::0.047)) + (SETUP (negedge D) (posedge CLK) (0.052::0.052)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9374_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.390::0.390) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.432::0.432)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9375_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.424::0.424) (0.456::0.456)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137::-0.137)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.023::-0.023)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.095::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9376_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.395::0.395) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.435::0.435)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.163::-0.163)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.094::0.095)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9377_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.389::0.389) (0.415::0.415)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160::-0.160)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.096::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9378_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.432::0.432)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9379_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.417::0.417)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.164::-0.164)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.049::0.052)) + (SETUP (negedge D) (posedge CLK) (0.095::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9380_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.364::0.364) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.143::-0.143)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9381_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.385::0.385) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9382_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.547::0.547) (0.383::0.383)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.129::0.129)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.109::-0.109)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.061::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9383_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.403::0.403) (0.423::0.423)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9384_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9385_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.138::-0.138)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9386_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.142::-0.142)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9387_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.548::0.548) (0.386::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.138::0.138)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.118::-0.118)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9388_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.159::-0.159)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9389_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9390_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.555::0.555) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.129::0.129)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.108::-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9391_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.563::0.563) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.129::0.129)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.108::-0.108)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9392_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.373::0.373) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9393_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.403::0.403) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9394_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9395_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.387::0.387) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9396_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.413::0.413) (0.424::0.424)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9397_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9398_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.551::0.551) (0.385::0.385)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.132::0.132)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.112::-0.112)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.061::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9399_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.539::0.539) (0.378::0.378)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.132::0.132)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.112::-0.112)) + (HOLD (posedge D) (posedge CLK) (-0.034::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.063::0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9400_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.427::0.427) (0.432::0.432)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9401_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.429::0.429) (0.454::0.454)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.104::-0.104)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.059::0.061)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9402_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.371::0.371) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.159::-0.159)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9403_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.409::0.409) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444::0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9404_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.566::0.566) (0.588::0.588)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.418::0.418)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.118::-0.118)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.061::0.065)) + (SETUP (negedge D) (posedge CLK) (0.107::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9405_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.415::0.415) (0.425::0.425)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9406_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.367::0.367) (0.394::0.394)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.429::0.429)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.141::-0.141)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9407_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.370::0.370) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.141::-0.141)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9408_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.551::0.551) (0.384::0.384)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.062::0.063)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9409_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.429::0.429)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.141::-0.141)) + (HOLD (posedge D) (posedge CLK) (-0.034::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.041::-0.042)) + (SETUP (posedge D) (posedge CLK) (0.059::0.061)) + (SETUP (negedge D) (posedge CLK) (0.109::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9410_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.430::0.430)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.034::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.040::-0.041)) + (SETUP (posedge D) (posedge CLK) (0.059::0.060)) + (SETUP (negedge D) (posedge CLK) (0.109::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9411_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.438::0.438)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.057::0.058)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9412_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.431::0.431)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9413_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.548::0.548) (0.382::0.382)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.125::0.125)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.104::-0.104)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.063::0.063)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9414_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.394::0.394)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.438::0.438)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.057::0.058)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9415_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.431::0.431)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.040::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.058::0.059)) + (SETUP (negedge D) (posedge CLK) (0.108::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9416_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.576::0.576) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9417_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.578::0.578) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.059::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9418_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.412::0.412) (0.423::0.423)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.465::0.465)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9419_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.409::0.409) (0.441::0.441)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.082::-0.082)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.058::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9420_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.466::0.466)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9421_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.407::0.407) (0.439::0.439)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.081::-0.081)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.058::0.062)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9422_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.057)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9423_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.407::0.407) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.464::0.464)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9424_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.565::0.565) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.001)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.055::0.056)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9425_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.559::0.559) (0.394::0.394)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (0.000::-0.000)) + (SETUP (posedge D) (posedge CLK) (0.048::0.049)) + (SETUP (negedge D) (posedge CLK) (0.055::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9426_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.373::0.373) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9427_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.435::0.435) (0.441::0.441)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9428_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9429_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.464::0.464)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.099::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9430_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9431_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.413::0.413) (0.424::0.424)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.120::-0.120)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.057)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9432_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.371::0.371) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9433_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9434_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.548::0.548) (0.387::0.387)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9435_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9436_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9437_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.438::0.438)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.106::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9438_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9439_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.545::0.545) (0.385::0.385)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9440_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.387::0.387) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.148::-0.148)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9441_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.438::0.438)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.038::-0.039)) + (HOLD (negedge D) (posedge CLK) (-0.045::-0.045)) + (SETUP (posedge D) (posedge CLK) (0.063::0.064)) + (SETUP (negedge D) (posedge CLK) (0.113::0.114)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9442_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.579::0.579) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.125::0.125)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.061::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9443_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.589::0.589) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9444_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.409::0.409) (0.421::0.421)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9445_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.423::0.423) (0.450::0.450)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.104::-0.104)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.059::0.061)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9446_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9447_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.363::0.363) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.055::0.060)) + (SETUP (negedge D) (posedge CLK) (0.105::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9448_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9449_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9450_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.543::0.543) (0.381::0.381)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.123::0.123)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.035::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.009::-0.009)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.064::0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9451_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.549::0.549) (0.386::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9452_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.368::0.368) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.058::0.059)) + (SETUP (negedge D) (posedge CLK) (0.108::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9453_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.427::0.427) (0.434::0.434)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9454_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.407::0.407) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129::-0.129)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9455_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.411::0.411) (0.423::0.423)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.051::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9456_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.388::0.388) (0.412::0.412)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9457_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9458_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.414::0.414) (0.427::0.427)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.143::-0.143)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.097::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9459_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.144::-0.144)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9460_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.569::0.569) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.135::0.135)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (0.001::0.000)) + (SETUP (posedge D) (posedge CLK) (0.047::0.048)) + (SETUP (negedge D) (posedge CLK) (0.054::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9461_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.416::0.416) (0.428::0.428)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.143::-0.143)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9462_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.146::-0.146)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9463_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.388::0.388) (0.412::0.412)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.097::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9464_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.382::0.382) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9465_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.553::0.553) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.136::0.136)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (0.000::-0.000)) + (SETUP (posedge D) (posedge CLK) (0.048::0.049)) + (SETUP (negedge D) (posedge CLK) (0.055::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9466_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.149::-0.149)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9467_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.412::0.412)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.149::-0.149)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9468_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.571::0.571) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.059::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9469_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.587::0.587) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9470_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.118::-0.118)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9471_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.473::0.473) (0.527::0.527)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.074::-0.074)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.059::0.060)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9472_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.412::0.412) (0.423::0.423)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123::-0.123)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9473_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.443::0.443) (0.469::0.469)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.471::0.471)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.090::-0.090)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.025::-0.026)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9474_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.423::0.423) (0.454::0.454)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.467::0.467)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.086::-0.086)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9475_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.413::0.413) (0.424::0.424)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.118::-0.118)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9476_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.581::0.581) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.123::0.123)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.102::-0.102)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.062::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9477_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.571::0.571) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.062::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9478_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.409::0.409) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9479_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.458::0.458) (0.514::0.514)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.066::-0.066)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.061::0.062)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9480_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.106::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9481_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.459::0.459) (0.515::0.515)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.086::-0.086)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.059::0.063)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9482_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.404::0.404) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9483_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.414::0.414) (0.422::0.422)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.057::0.060)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9484_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.138::-0.138)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9485_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.404::0.404) (0.418::0.418)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.104::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9486_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.596::0.596) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9487_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.412::0.412) (0.422::0.422)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137::-0.137)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9488_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9489_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.370::0.370) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9490_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.432::0.432)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.152::-0.152)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9491_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.544::0.544) (0.384::0.384)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.136::0.136)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9492_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.432::0.432)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.152::-0.152)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9493_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9494_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.588::0.588) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.131::0.131)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9495_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.558::0.558) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.061::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9496_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.373::0.373) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.451::0.451)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9497_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.419::0.419) (0.427::0.427)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9498_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.461::0.461)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9499_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.407::0.407) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.424::0.424)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9500_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.429::0.429)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156::-0.156)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9501_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.373::0.373) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.148::-0.148)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.056::0.059)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9502_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.578::0.578) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.125::0.125)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.104::-0.104)) + (HOLD (posedge D) (posedge CLK) (-0.036::-0.037)) + (HOLD (negedge D) (posedge CLK) (-0.009::-0.010)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.065::0.065)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9503_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.542::0.542) (0.382::0.382)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127::0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.106::-0.106)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.060::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9504_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.413::0.413) (0.423::0.423)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9505_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.420::0.420) (0.427::0.427)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9506_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.414::0.414) (0.426::0.426)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.138::-0.138)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9507_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9508_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9509_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129::-0.129)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.058)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9510_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.365::0.365) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.431::0.431)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9511_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.387::0.387) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.439::0.439)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9512_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.563::0.563) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.136::0.136)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (0.001::0.000)) + (SETUP (posedge D) (posedge CLK) (0.047::0.049)) + (SETUP (negedge D) (posedge CLK) (0.054::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9513_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.149::-0.149)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9514_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.097::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9515_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.432::0.432)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.152::-0.152)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9516_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9517_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.546::0.546) (0.386::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.136::0.136)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9518_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.395::0.395) (0.415::0.415)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.432::0.432)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.152::-0.152)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9519_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.143::-0.143)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9520_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.600::0.600) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.060::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9521_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.604::0.604) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.061::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9522_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444::0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129::-0.129)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9523_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.419::0.419) (0.447::0.447)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.462::0.462)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.082::-0.082)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.060::0.061)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9524_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.404::0.404) (0.417::0.417)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.464::0.464)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9525_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.459::0.459) (0.515::0.515)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.066::-0.066)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.060::0.064)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9526_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.054)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9527_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.412::0.412)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.054)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9528_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.553::0.553) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.135::0.135)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.057::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9529_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.565::0.565) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (0.001::0.000)) + (SETUP (posedge D) (posedge CLK) (0.047::0.048)) + (SETUP (negedge D) (posedge CLK) (0.054::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9530_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.458::0.458)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134::-0.134)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9531_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.469::0.469) (0.525::0.525)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.104::-0.104)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9532_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9533_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9534_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.455::0.455)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.138::-0.138)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.054)) + (SETUP (negedge D) (posedge CLK) (0.097::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9535_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.412::0.412)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.457::0.457)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.050::0.054)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9536_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.421::0.421)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.104::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9537_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9538_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.561::0.561) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9539_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9540_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.421::0.421)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9541_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.104::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9542_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.371::0.371) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.429::0.429)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.150::-0.150)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.057::0.058)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9543_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.559::0.559) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.136::0.136)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9544_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.385::0.385) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.432::0.432)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.153::-0.153)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9545_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.432::0.432)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.152::-0.152)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9546_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.582::0.582) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.061::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9547_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.544::0.544) (0.382::0.382)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9548_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135::-0.135)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9549_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.428::0.428) (0.456::0.456)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9550_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9551_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.420::0.420) (0.429::0.429)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137::-0.137)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9552_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137::-0.137)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9553_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.413::0.413) (0.425::0.425)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.138::-0.138)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.051::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9554_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9555_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.371::0.371) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.135::-0.135)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9556_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.558::0.558) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9557_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9558_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.446::0.446)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9559_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.366::0.366) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.159::-0.159)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.052::0.052)) + (SETUP (negedge D) (posedge CLK) (0.096::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9560_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.159::-0.159)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.053::0.053)) + (SETUP (negedge D) (posedge CLK) (0.096::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9561_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.051::0.051)) + (SETUP (negedge D) (posedge CLK) (0.099::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9562_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.051::0.051)) + (SETUP (negedge D) (posedge CLK) (0.098::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9563_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.050)) + (SETUP (negedge D) (posedge CLK) (0.097::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9564_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.051)) + (SETUP (negedge D) (posedge CLK) (0.098::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9565_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.474::0.474) (0.532::0.532)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.471::0.471)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.071::-0.071)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.056::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9566_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.431::0.431) (0.461::0.461)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.470::0.470)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.087::-0.087)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.056::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9567_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.439::0.439) (0.466::0.466)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.470::0.470)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.087::-0.087)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.099::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9568_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.445::0.445) (0.471::0.471)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.470::0.470)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.087::-0.087)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.100::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9569_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.449::0.449) (0.478::0.478)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.358::0.358)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.254::-0.254)) + (HOLD (posedge D) (posedge CLK) (-0.016::-0.016)) + (HOLD (negedge D) (posedge CLK) (-0.009::-0.011)) + (SETUP (posedge D) (posedge CLK) (0.043::0.043)) + (SETUP (negedge D) (posedge CLK) (0.082::0.083)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9570_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.441::0.441) (0.470::0.470)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129::-0.129)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.024)) + (HOLD (negedge D) (posedge CLK) (-0.022::-0.024)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.095::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9571_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.454::0.454) (0.460::0.460)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.473::0.473)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.025)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.049::0.049)) + (SETUP (negedge D) (posedge CLK) (0.095::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9572_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.435::0.435) (0.465::0.465)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.025)) + (HOLD (negedge D) (posedge CLK) (-0.024::-0.026)) + (SETUP (posedge D) (posedge CLK) (0.053::0.053)) + (SETUP (negedge D) (posedge CLK) (0.097::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9573_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.426::0.426) (0.440::0.440)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.473::0.473)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.024)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.048::0.048)) + (SETUP (negedge D) (posedge CLK) (0.094::0.096)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9574_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.387::0.387) (0.412::0.412)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156::-0.156)) + (HOLD (posedge D) (posedge CLK) (-0.025::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.050)) + (SETUP (negedge D) (posedge CLK) (0.096::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9575_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.361::0.361) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.051)) + (SETUP (negedge D) (posedge CLK) (0.098::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9576_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.352::0.352) (0.386::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.052)) + (SETUP (negedge D) (posedge CLK) (0.099::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9577_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.357::0.357) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156::-0.156)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.051::0.051)) + (SETUP (negedge D) (posedge CLK) (0.098::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9578_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.359::0.359) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.455::0.455)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134::-0.134)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.051::0.051)) + (SETUP (negedge D) (posedge CLK) (0.098::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9579_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.510::0.510) (0.560::0.560)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.095::-0.095)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.028)) + (SETUP (posedge D) (posedge CLK) (0.055::0.055)) + (SETUP (negedge D) (posedge CLK) (0.098::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9580_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.367::0.367) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444::0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.042)) + (SETUP (posedge D) (posedge CLK) (0.058::0.058)) + (SETUP (negedge D) (posedge CLK) (0.108::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9581_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.051::0.051)) + (SETUP (negedge D) (posedge CLK) (0.098::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9582_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.385::0.385) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.053)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9583_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.385::0.385) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.053)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9584_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.422::0.422) (0.436::0.436)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.457::0.457)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9585_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.438::0.438) (0.444::0.444)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.102::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9586_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.549::0.549) (0.594::0.594)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.363::0.363)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.258::-0.258)) + (HOLD (posedge D) (posedge CLK) (-0.014::-0.015)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.041::0.041)) + (SETUP (negedge D) (posedge CLK) (0.076::0.077)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9587_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.933::0.933) (0.831::0.831)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.542::0.542)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.263::-0.263)) + (HOLD (posedge D) (posedge CLK) (0.028::0.026)) + (HOLD (negedge D) (posedge CLK) (0.063::0.061)) + (SETUP (posedge D) (posedge CLK) (-0.007::-0.005)) + (SETUP (negedge D) (posedge CLK) (0.009::0.010)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9588_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.358::0.358) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9589_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.353::0.353) (0.386::0.386)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.430::0.430)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.151::-0.151)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9590_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9591_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.350::0.350) (0.383::0.383)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9592_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.360::0.360) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9593_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.361::0.361) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9594_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9595_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9596_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9597_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.449::0.449)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9598_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9599_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9600_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.371::0.371) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9601_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.115::-0.115)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.056)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9602_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.355::0.355) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9603_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.359::0.359) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9604_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.355::0.355) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9605_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.360::0.360) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9606_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.353::0.353) (0.387::0.387)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.471::0.471)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119::-0.119)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.053)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9607_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.355::0.355) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.471::0.471)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119::-0.119)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9608_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.361::0.361) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.051::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9609_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.358::0.358) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.154::-0.154)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9610_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.374::0.374) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.443::0.443)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155::-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.094::0.095)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9611_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.367::0.367) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.473::0.473)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.024::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.026::-0.027)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.095::0.095)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9612_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.356::0.356) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.098::0.098)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9613_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.378::0.378) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.473::0.473)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.027)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.096::0.097)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9614_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.358::0.358) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155::-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9615_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.357::0.357) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.099::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9616_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.359::0.359) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156::-0.156)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9617_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.356::0.356) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.435::0.435)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156::-0.156)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9618_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.361::0.361) (0.393::0.393)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9619_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.355::0.355) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9620_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.359::0.359) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9621_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9622_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.353::0.353) (0.385::0.385)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9623_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.360::0.360) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9624_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.359::0.359) (0.390::0.390)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9625_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.361::0.361) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.458::0.458)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9626_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.525::0.525) (0.576::0.576)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.364::0.364)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.258::-0.258)) + (HOLD (posedge D) (posedge CLK) (-0.022::-0.023)) + (HOLD (negedge D) (posedge CLK) (-0.013::-0.014)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.085::0.086)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9627_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.416::0.416) (0.449::0.449)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.446::0.446)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.112::-0.112)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.029)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9628_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.437::0.437) (0.444::0.444)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.447::0.447)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9629_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.419::0.419) (0.451::0.451)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.446::0.446)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.112::-0.112)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9630_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.601::0.601) (0.417::0.417)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.132::0.132)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.111::-0.111)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9631_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.615::0.615) (0.424::0.424)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.132::0.132)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.112::-0.112)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.000::-0.001)) + (SETUP (posedge D) (posedge CLK) (0.047::0.049)) + (SETUP (negedge D) (posedge CLK) (0.055::0.056)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9632_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.556::0.556) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.131::0.131)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.057::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9633_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.607::0.607) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.131::0.131)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.058::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9634_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.436::0.436) (0.441::0.441)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.448::0.448)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.134::-0.134)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.104::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9635_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.419::0.419) (0.428::0.428)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444::0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.057)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9636_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.456::0.456) (0.456::0.456)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137::-0.137)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9637_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.421::0.421) (0.430::0.430)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444::0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.055::0.060)) + (SETUP (negedge D) (posedge CLK) (0.105::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9638_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.414::0.414) (0.428::0.428)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137::-0.137)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9639_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.419::0.419) (0.432::0.432)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.450::0.450)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.137::-0.137)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.101::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9640_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.480::0.480) (0.519::0.519)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.544::0.544)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.271::-0.271)) + (HOLD (posedge D) (posedge CLK) (0.029::0.029)) + (HOLD (negedge D) (posedge CLK) (0.073::0.067)) + (SETUP (posedge D) (posedge CLK) (-0.008::-0.007)) + (SETUP (negedge D) (posedge CLK) (-0.002::0.005)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9641_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.375::0.375) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.099::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9642_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.381::0.381) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.424::0.424)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.162::-0.162)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9643_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.419::0.419) (0.429::0.429)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.442::0.442)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.146::-0.146)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9644_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.551::0.551) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.140::0.140)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.120::-0.120)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.058::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9645_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.553::0.553) (0.391::0.391)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.001)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.055::0.056)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9646_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.650::0.650) (0.442::0.442)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9647_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.596::0.596) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.129::0.129)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.109::-0.109)) + (HOLD (posedge D) (posedge CLK) (-0.034::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.009::-0.009)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.064::0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9648_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.458::0.458) (0.457::0.457)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9649_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.145::-0.145)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9650_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.415::0.415)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.148::-0.148)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9651_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.369::0.369) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.428::0.428)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.139::-0.139)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.039::-0.041)) + (SETUP (posedge D) (posedge CLK) (0.057::0.061)) + (SETUP (negedge D) (posedge CLK) (0.107::0.109)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9652_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.361::0.361) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.421::0.421)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.146::-0.146)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.040::-0.042)) + (SETUP (posedge D) (posedge CLK) (0.058::0.062)) + (SETUP (negedge D) (posedge CLK) (0.109::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9653_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.406::0.406)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.148::-0.148)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.055)) + (SETUP (negedge D) (posedge CLK) (0.100::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9654_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.370::0.370) (0.397::0.397)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9655_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9656_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.552::0.552) (0.387::0.387)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.103::-0.103)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.007)) + (SETUP (posedge D) (posedge CLK) (0.053::0.054)) + (SETUP (negedge D) (posedge CLK) (0.062::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9657_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9658_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9659_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.489::0.489) (0.511::0.511)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.548::0.548)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.290::-0.290)) + (HOLD (posedge D) (posedge CLK) (0.029::0.029)) + (HOLD (negedge D) (posedge CLK) (0.070::0.070)) + (SETUP (posedge D) (posedge CLK) (-0.011::-0.011)) + (SETUP (negedge D) (posedge CLK) (-0.003::-0.003)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9660_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.471::0.471) (0.493::0.493)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.526::0.526)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.018::0.018)) + (HOLD (negedge D) (posedge CLK) (0.050::0.050)) + (SETUP (posedge D) (posedge CLK) (0.002::0.002)) + (SETUP (negedge D) (posedge CLK) (0.018::0.018)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9661_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.473::0.473) (0.495::0.495)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.526::0.526)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.020::0.020)) + (HOLD (negedge D) (posedge CLK) (0.052::0.052)) + (SETUP (posedge D) (posedge CLK) (0.000::0.000)) + (SETUP (negedge D) (posedge CLK) (0.016::0.016)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9662_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.468::0.468) (0.491::0.491)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.525::0.525)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.020::0.020)) + (HOLD (negedge D) (posedge CLK) (0.052::0.052)) + (SETUP (posedge D) (posedge CLK) (-0.000::-0.000)) + (SETUP (negedge D) (posedge CLK) (0.016::0.016)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9663_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.471::0.471) (0.493::0.493)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.525::0.525)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.019::0.019)) + (HOLD (negedge D) (posedge CLK) (0.052::0.052)) + (SETUP (posedge D) (posedge CLK) (0.000::0.000)) + (SETUP (negedge D) (posedge CLK) (0.016::0.016)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9664_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.465::0.465) (0.489::0.489)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.525::0.525)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.264::-0.264)) + (HOLD (posedge D) (posedge CLK) (0.019::0.019)) + (HOLD (negedge D) (posedge CLK) (0.052::0.052)) + (SETUP (posedge D) (posedge CLK) (0.000::0.000)) + (SETUP (negedge D) (posedge CLK) (0.016::0.016)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9665_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.487::0.487) (0.509::0.509)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.548::0.548)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.290::-0.290)) + (HOLD (posedge D) (posedge CLK) (0.030::0.030)) + (HOLD (negedge D) (posedge CLK) (0.071::0.071)) + (SETUP (posedge D) (posedge CLK) (-0.012::-0.012)) + (SETUP (negedge D) (posedge CLK) (-0.003::-0.003)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9666_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.487::0.487) (0.509::0.509)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.547::0.547)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.290::-0.290)) + (HOLD (posedge D) (posedge CLK) (0.030::0.030)) + (HOLD (negedge D) (posedge CLK) (0.070::0.070)) + (SETUP (posedge D) (posedge CLK) (-0.011::-0.011)) + (SETUP (negedge D) (posedge CLK) (-0.003::-0.003)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9667_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.561::0.561) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.144::0.144)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.028)) + (HOLD (negedge D) (posedge CLK) (0.003::0.003)) + (SETUP (posedge D) (posedge CLK) (0.046::0.047)) + (SETUP (negedge D) (posedge CLK) (0.051::0.052)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9668_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.561::0.561) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.139::0.139)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.119::-0.119)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.000::-0.001)) + (SETUP (posedge D) (posedge CLK) (0.048::0.049)) + (SETUP (negedge D) (posedge CLK) (0.055::0.056)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9669_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.427::0.427)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160::-0.160)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9670_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.406::0.406) (0.421::0.421)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.454::0.454)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.128::-0.128)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9671_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.410::0.410) (0.426::0.426)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.458::0.458)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.098::0.099)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9672_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459::0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.051::0.055)) + (SETUP (negedge D) (posedge CLK) (0.098::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9673_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.133::-0.133)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.051::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9674_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.390::0.390) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459::0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.130::-0.130)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.051::0.055)) + (SETUP (negedge D) (posedge CLK) (0.099::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9675_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.547::0.547) (0.552::0.552)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.527::0.527)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.312::-0.312)) + (HOLD (posedge D) (posedge CLK) (0.028::0.027)) + (HOLD (negedge D) (posedge CLK) (0.064::0.063)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.009)) + (SETUP (negedge D) (posedge CLK) (0.004::0.005)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9676_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.515::0.515) (0.531::0.531)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.523::0.523)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.316::-0.316)) + (HOLD (posedge D) (posedge CLK) (0.021::0.021)) + (HOLD (negedge D) (posedge CLK) (0.057::0.056)) + (SETUP (posedge D) (posedge CLK) (-0.002::-0.002)) + (SETUP (negedge D) (posedge CLK) (0.012::0.012)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9677_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.512::0.512) (0.529::0.529)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.525::0.525)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.314::-0.314)) + (HOLD (posedge D) (posedge CLK) (0.027::0.027)) + (HOLD (negedge D) (posedge CLK) (0.063::0.063)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.004::0.005)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9678_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.515::0.515) (0.531::0.531)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.526::0.526)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.313::-0.313)) + (HOLD (posedge D) (posedge CLK) (0.027::0.026)) + (HOLD (negedge D) (posedge CLK) (0.063::0.062)) + (SETUP (posedge D) (posedge CLK) (-0.008::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.005::0.005)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9679_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.520::0.520) (0.534::0.534)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.527::0.527)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.313::-0.313)) + (HOLD (posedge D) (posedge CLK) (0.027::0.027)) + (HOLD (negedge D) (posedge CLK) (0.064::0.063)) + (SETUP (posedge D) (posedge CLK) (-0.009::-0.008)) + (SETUP (negedge D) (posedge CLK) (0.004::0.005)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9680_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.474::0.474) (0.500::0.500)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.521::0.521)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.311::-0.311)) + (HOLD (posedge D) (posedge CLK) (0.026::0.026)) + (HOLD (negedge D) (posedge CLK) (0.061::0.060)) + (SETUP (posedge D) (posedge CLK) (-0.008::-0.007)) + (SETUP (negedge D) (posedge CLK) (0.007::0.008)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9681_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.484::0.484) (0.508::0.508)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.522::0.522)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.310::-0.310)) + (HOLD (posedge D) (posedge CLK) (0.025::0.024)) + (HOLD (negedge D) (posedge CLK) (0.059::0.058)) + (SETUP (posedge D) (posedge CLK) (-0.006::-0.005)) + (SETUP (negedge D) (posedge CLK) (0.009::0.010)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9682_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.667::0.667) (0.657::0.657)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.359::0.359)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.251::-0.251)) + (HOLD (posedge D) (posedge CLK) (-0.018::-0.019)) + (HOLD (negedge D) (posedge CLK) (-0.009::-0.011)) + (SETUP (posedge D) (posedge CLK) (0.045::0.046)) + (SETUP (negedge D) (posedge CLK) (0.081::0.083)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9683_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.412::0.412) (0.423::0.423)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119::-0.119)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9684_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.399::0.399) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.119::-0.119)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.057::0.058)) + (SETUP (negedge D) (posedge CLK) (0.106::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9685_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.597::0.597) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9686_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.411::0.411) (0.422::0.422)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.464::0.464)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.055)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9687_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.394::0.394) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.118::-0.118)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.056::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9688_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.550::0.550) (0.384::0.384)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.120::0.120)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.099::-0.099)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.007::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.062::0.063)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9689_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.553::0.553) (0.389::0.389)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9690_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.401::0.401)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.453::0.453)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.106::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9691_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.416::0.416) (0.426::0.426)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.457::0.457)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9692_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.384::0.384) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9693_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.386::0.386) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.458::0.458)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.054::0.058)) + (SETUP (negedge D) (posedge CLK) (0.103::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9694_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.459::0.459)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9695_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.380::0.380) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.458::0.458)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9696_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.391::0.391) (0.420::0.420)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.363::0.363)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.271::-0.271)) + (HOLD (posedge D) (posedge CLK) (-0.015::-0.016)) + (HOLD (negedge D) (posedge CLK) (-0.010::-0.011)) + (SETUP (posedge D) (posedge CLK) (0.039::0.040)) + (SETUP (negedge D) (posedge CLK) (0.078::0.079)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9697_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.396::0.396) (0.425::0.425)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.363::0.363)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.271::-0.271)) + (HOLD (posedge D) (posedge CLK) (-0.014::-0.014)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.038::0.038)) + (SETUP (negedge D) (posedge CLK) (0.073::0.073)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9698_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.500::0.500) (0.556::0.556)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.364::0.364)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.257::-0.257)) + (HOLD (posedge D) (posedge CLK) (-0.016::-0.016)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.042::0.042)) + (SETUP (negedge D) (posedge CLK) (0.074::0.074)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9699_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.462::0.462) (0.468::0.468)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.363::0.363)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.272::-0.272)) + (HOLD (posedge D) (posedge CLK) (-0.014::-0.014)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.037::0.037)) + (SETUP (negedge D) (posedge CLK) (0.073::0.073)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9700_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.426::0.426) (0.446::0.446)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.363::0.363)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.272::-0.272)) + (HOLD (posedge D) (posedge CLK) (-0.014::-0.014)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.037::0.037)) + (SETUP (negedge D) (posedge CLK) (0.072::0.072)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9701_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.417::0.417) (0.435::0.435)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.350::0.350)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.259::-0.259)) + (HOLD (posedge D) (posedge CLK) (-0.023::-0.023)) + (HOLD (negedge D) (posedge CLK) (-0.022::-0.023)) + (SETUP (posedge D) (posedge CLK) (0.047::0.047)) + (SETUP (negedge D) (posedge CLK) (0.091::0.091)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9702_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.417::0.417) (0.436::0.436)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.351::0.351)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.258::-0.258)) + (HOLD (posedge D) (posedge CLK) (-0.023::-0.023)) + (HOLD (negedge D) (posedge CLK) (-0.022::-0.023)) + (SETUP (posedge D) (posedge CLK) (0.046::0.047)) + (SETUP (negedge D) (posedge CLK) (0.090::0.091)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9703_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.428::0.428) (0.443::0.443)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.352::0.352)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.257::-0.257)) + (HOLD (posedge D) (posedge CLK) (-0.022::-0.022)) + (HOLD (negedge D) (posedge CLK) (-0.021::-0.022)) + (SETUP (posedge D) (posedge CLK) (0.045::0.046)) + (SETUP (negedge D) (posedge CLK) (0.089::0.090)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9704_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.438::0.438) (0.449::0.449)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.350::0.350)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.259::-0.259)) + (HOLD (posedge D) (posedge CLK) (-0.021::-0.021)) + (HOLD (negedge D) (posedge CLK) (-0.020::-0.021)) + (SETUP (posedge D) (posedge CLK) (0.045::0.045)) + (SETUP (negedge D) (posedge CLK) (0.089::0.089)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9705_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.424::0.424) (0.440::0.440)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.353::0.353)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.256::-0.256)) + (HOLD (posedge D) (posedge CLK) (-0.021::-0.021)) + (HOLD (negedge D) (posedge CLK) (-0.020::-0.021)) + (SETUP (posedge D) (posedge CLK) (0.045::0.045)) + (SETUP (negedge D) (posedge CLK) (0.089::0.089)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9706_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.434::0.434) (0.446::0.446)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.354::0.354)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.255::-0.255)) + (HOLD (posedge D) (posedge CLK) (-0.021::-0.021)) + (HOLD (negedge D) (posedge CLK) (-0.020::-0.021)) + (SETUP (posedge D) (posedge CLK) (0.044::0.045)) + (SETUP (negedge D) (posedge CLK) (0.088::0.089)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9707_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.402::0.402) (0.426::0.426)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.353::0.353)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.256::-0.256)) + (HOLD (posedge D) (posedge CLK) (-0.021::-0.021)) + (HOLD (negedge D) (posedge CLK) (-0.020::-0.020)) + (SETUP (posedge D) (posedge CLK) (0.044::0.044)) + (SETUP (negedge D) (posedge CLK) (0.088::0.088)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9708_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.449::0.449) (0.479::0.479)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.359::0.359)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.253::-0.253)) + (HOLD (posedge D) (posedge CLK) (-0.019::-0.020)) + (HOLD (negedge D) (posedge CLK) (-0.011::-0.013)) + (SETUP (posedge D) (posedge CLK) (0.046::0.047)) + (SETUP (negedge D) (posedge CLK) (0.084::0.086)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9709_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.427::0.427) (0.445::0.445)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.358::0.358)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.266::-0.266)) + (HOLD (posedge D) (posedge CLK) (-0.036::-0.037)) + (HOLD (negedge D) (posedge CLK) (-0.018::-0.024)) + (SETUP (posedge D) (posedge CLK) (0.061::0.062)) + (SETUP (negedge D) (posedge CLK) (0.086::0.093)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _9710_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.572::0.572) (0.460::0.460)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.344::-0.344)) + (HOLD (posedge D) (negedge CLK_N) (0.114::0.113)) + (HOLD (negedge D) (negedge CLK_N) (-0.045::-0.049)) + (SETUP (posedge D) (negedge CLK_N) (-0.070::-0.070)) + (SETUP (negedge D) (negedge CLK_N) (0.123::0.127)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _9711_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.441::0.441) (0.374::0.374)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.463::0.463)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.339::-0.339)) + (HOLD (posedge D) (negedge CLK_N) (0.106::0.104)) + (HOLD (negedge D) (negedge CLK_N) (-0.055::-0.057)) + (SETUP (posedge D) (negedge CLK_N) (-0.061::-0.060)) + (SETUP (negedge D) (negedge CLK_N) (0.132::0.134)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _9712_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.448::0.448) (0.382::0.382)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.468::0.468)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.343::-0.343)) + (HOLD (posedge D) (negedge CLK_N) (0.111::0.111)) + (HOLD (negedge D) (negedge CLK_N) (-0.051::-0.052)) + (SETUP (posedge D) (negedge CLK_N) (-0.067::-0.067)) + (SETUP (negedge D) (negedge CLK_N) (0.129::0.130)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _9713_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.442::0.442) (0.375::0.375)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.461::0.461)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.331::-0.331)) + (HOLD (posedge D) (negedge CLK_N) (0.102::0.102)) + (HOLD (negedge D) (negedge CLK_N) (-0.058::-0.059)) + (SETUP (posedge D) (negedge CLK_N) (-0.058::-0.058)) + (SETUP (negedge D) (negedge CLK_N) (0.135::0.136)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _9714_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.435::0.435) (0.369::0.369)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.331::-0.331)) + (HOLD (posedge D) (negedge CLK_N) (0.100::0.100)) + (HOLD (negedge D) (negedge CLK_N) (-0.061::-0.062)) + (SETUP (posedge D) (negedge CLK_N) (-0.055::-0.055)) + (SETUP (negedge D) (negedge CLK_N) (0.138::0.139)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _9715_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.423::0.423) (0.360::0.360)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.460::0.460)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.332::-0.332)) + (HOLD (posedge D) (negedge CLK_N) (0.104::0.104)) + (HOLD (negedge D) (negedge CLK_N) (-0.059::-0.059)) + (SETUP (posedge D) (negedge CLK_N) (-0.060::-0.060)) + (SETUP (negedge D) (negedge CLK_N) (0.136::0.136)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _9716_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.429::0.429) (0.364::0.364)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.458::0.458)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.333::-0.333)) + (HOLD (posedge D) (negedge CLK_N) (0.101::0.101)) + (HOLD (negedge D) (negedge CLK_N) (-0.060::-0.060)) + (SETUP (posedge D) (negedge CLK_N) (-0.057::-0.056)) + (SETUP (negedge D) (negedge CLK_N) (0.136::0.137)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _9717_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.428::0.428) (0.364::0.364)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.459::0.459)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.332::-0.332)) + (HOLD (posedge D) (negedge CLK_N) (0.103::0.102)) + (HOLD (negedge D) (negedge CLK_N) (-0.058::-0.058)) + (SETUP (posedge D) (negedge CLK_N) (-0.059::-0.058)) + (SETUP (negedge D) (negedge CLK_N) (0.134::0.135)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtn_1") + (INSTANCE _9718_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK_N Q (0.493::0.493) (0.411::0.411)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (negedge CLK_N) (0.506::0.506)) + (RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.300::-0.300)) + (HOLD (posedge D) (negedge CLK_N) (0.108::0.108)) + (HOLD (negedge D) (negedge CLK_N) (-0.053::-0.053)) + (SETUP (posedge D) (negedge CLK_N) (-0.065::-0.064)) + (SETUP (negedge D) (negedge CLK_N) (0.130::0.130)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9719_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.383::0.383) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.405::0.405)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.144::-0.144)) + (HOLD (posedge D) (posedge CLK) (-0.035::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.040::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.061::0.061)) + (SETUP (negedge D) (posedge CLK) (0.108::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9720_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.540::0.540) (0.372::0.372)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.124::0.124)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.104::-0.104)) + (HOLD (posedge D) (posedge CLK) (-0.036::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.010::-0.010)) + (SETUP (posedge D) (posedge CLK) (0.057::0.057)) + (SETUP (negedge D) (posedge CLK) (0.065::0.065)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9721_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.409::0.409) (0.424::0.424)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.419::0.419)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160::-0.160)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9722_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.419::0.419) (0.450::0.450)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.058::0.060)) + (SETUP (negedge D) (posedge CLK) (0.104::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9723_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.577::0.577) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.137::0.137)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.118::-0.118)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9724_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.402::0.402) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.419::0.419)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160::-0.160)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.102::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9725_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.404::0.404) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.419::0.419)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160::-0.160)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.053::0.055)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9726_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.420::0.420) (0.450::0.450)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.417::0.417)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.131::-0.131)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.060::0.062)) + (SETUP (negedge D) (posedge CLK) (0.107::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9727_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.596::0.596) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.131::0.131)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.111::-0.111)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9728_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.431::0.431) (0.439::0.439)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.438::0.438)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.142::-0.142)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.102::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9729_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.418::0.418) (0.432::0.432)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.147::-0.147)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9730_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.614::0.614) (0.423::0.423)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.137::0.137)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9731_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.414::0.414) (0.448::0.448)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.440::0.440)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.120::-0.120)) + (HOLD (posedge D) (posedge CLK) (-0.027::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.027::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.055::0.060)) + (SETUP (negedge D) (posedge CLK) (0.100::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9732_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.566::0.566) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.141::0.141)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.051::0.052)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9733_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.582::0.582) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.141::0.141)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.057::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9734_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.595::0.595) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.134::0.134)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.114::-0.114)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.048::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.056)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9735_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.575::0.575) (0.403::0.403)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.141::0.141)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.050::0.051)) + (SETUP (negedge D) (posedge CLK) (0.057::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9736_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.600::0.600) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.001::-0.002)) + (SETUP (posedge D) (posedge CLK) (0.049::0.050)) + (SETUP (negedge D) (posedge CLK) (0.056::0.057)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9737_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.571::0.571) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.140::0.140)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.000::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.048::0.052)) + (SETUP (negedge D) (posedge CLK) (0.055::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9738_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.603::0.603) (0.419::0.419)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.133::0.133)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.113::-0.113)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.002::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.057::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9739_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.585::0.585) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.140::0.140)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.000::-0.003)) + (SETUP (posedge D) (posedge CLK) (0.048::0.051)) + (SETUP (negedge D) (posedge CLK) (0.055::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9740_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.581::0.581) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.109::-0.109)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9741_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.572::0.572) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.137::0.137)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9742_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.597::0.597) (0.413::0.413)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.049::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9743_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.573::0.573) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.137::0.137)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9744_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.387::0.387) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.419::0.419)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.159::-0.159)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.054::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.103)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9745_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.566::0.566) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.137::0.137)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.050::0.054)) + (SETUP (negedge D) (posedge CLK) (0.058::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9746_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.588::0.588) (0.407::0.407)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.130::0.130)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.110::-0.110)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.050::0.053)) + (SETUP (negedge D) (posedge CLK) (0.058::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9747_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.571::0.571) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.137::0.137)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.049::0.053)) + (SETUP (negedge D) (posedge CLK) (0.058::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9748_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.566::0.566) (0.395::0.395)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.136::0.136)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9749_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.582::0.582) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.137::0.137)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9750_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.592::0.592) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9751_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.573::0.573) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.136::0.136)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.116::-0.116)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9752_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.578::0.578) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.061)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9753_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.570::0.570) (0.396::0.396)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.051::0.056)) + (SETUP (negedge D) (posedge CLK) (0.060::0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9754_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.583::0.583) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.036)) + (HOLD (negedge D) (posedge CLK) (-0.006::-0.008)) + (SETUP (posedge D) (posedge CLK) (0.052::0.056)) + (SETUP (negedge D) (posedge CLK) (0.061::0.064)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9755_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.593::0.593) (0.410::0.410)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.006)) + (SETUP (posedge D) (posedge CLK) (0.050::0.054)) + (SETUP (negedge D) (posedge CLK) (0.059::0.062)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9756_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.571::0.571) (0.398::0.398)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.000::-0.000)) + (SETUP (posedge D) (posedge CLK) (0.050::0.050)) + (SETUP (negedge D) (posedge CLK) (0.055::0.055)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9757_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.584::0.584) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.128::0.128)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.030)) + (HOLD (negedge D) (posedge CLK) (0.000::0.000)) + (SETUP (posedge D) (posedge CLK) (0.049::0.049)) + (SETUP (negedge D) (posedge CLK) (0.054::0.054)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9758_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.636::0.636) (0.435::0.435)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.127::0.127)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.107::-0.107)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.031)) + (HOLD (negedge D) (posedge CLK) (0.000::0.000)) + (SETUP (posedge D) (posedge CLK) (0.050::0.050)) + (SETUP (negedge D) (posedge CLK) (0.054::0.054)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9759_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.639::0.639) (0.673::0.673)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.520::0.520)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.235::-0.235)) + (HOLD (posedge D) (posedge CLK) (0.018::0.017)) + (HOLD (negedge D) (posedge CLK) (0.049::0.048)) + (SETUP (posedge D) (posedge CLK) (0.005::0.006)) + (SETUP (negedge D) (posedge CLK) (0.022::0.024)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9760_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.395::0.395) (0.409::0.409)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.406::0.406)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.143::-0.143)) + (HOLD (posedge D) (posedge CLK) (-0.035::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.040::-0.040)) + (SETUP (posedge D) (posedge CLK) (0.060::0.060)) + (SETUP (negedge D) (posedge CLK) (0.108::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_2") + (INSTANCE _9761_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.609::0.609) (0.414::0.414)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.135::0.135)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.112::-0.112)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.029)) + (HOLD (negedge D) (posedge CLK) (0.004::0.004)) + (SETUP (posedge D) (posedge CLK) (0.051::0.051)) + (SETUP (negedge D) (posedge CLK) (0.058::0.058)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9762_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.457::0.457) (0.516::0.516)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.417::0.417)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.122::-0.122)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.059::0.061)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9763_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.428::0.428) (0.456::0.456)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.416::0.416)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.058::0.060)) + (SETUP (negedge D) (posedge CLK) (0.105::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9764_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.475::0.475) (0.531::0.531)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.417::0.417)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.059::0.062)) + (SETUP (negedge D) (posedge CLK) (0.105::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9765_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.468::0.468) (0.525::0.525)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.417::0.417)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.034)) + (SETUP (posedge D) (posedge CLK) (0.059::0.061)) + (SETUP (negedge D) (posedge CLK) (0.106::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9766_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.429::0.429) (0.458::0.458)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.031)) + (SETUP (posedge D) (posedge CLK) (0.057::0.059)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9767_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.429::0.429) (0.458::0.458)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.436::0.436)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.117::-0.117)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.031::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.058::0.062)) + (SETUP (negedge D) (posedge CLK) (0.104::0.108)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9768_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.470::0.470) (0.526::0.526)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.418::0.418)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.121::-0.121)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.035)) + (SETUP (posedge D) (posedge CLK) (0.058::0.062)) + (SETUP (negedge D) (posedge CLK) (0.104::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9769_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.486::0.486) (0.540::0.540)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.421::0.421)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124::-0.124)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.030::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.057::0.061)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9770_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.354::0.354) (0.385::0.385)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.417::0.417)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.155::-0.155)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.056::0.056)) + (SETUP (negedge D) (posedge CLK) (0.100::0.100)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9771_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.450::0.450) (0.474::0.474)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.438::0.438)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125::-0.125)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.028::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.060::0.060)) + (SETUP (negedge D) (posedge CLK) (0.101::0.106)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9772_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.510::0.510) (0.555::0.555)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.079::-0.079)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.038::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.062::0.064)) + (SETUP (negedge D) (posedge CLK) (0.110::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9773_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.409::0.409) (0.426::0.426)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.159::-0.159)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.029)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.032)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9774_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.412::0.412) (0.423::0.423)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.422::0.422)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.160::-0.160)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.100::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9775_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.592::0.592) (0.408::0.408)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.138::0.138)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.118::-0.118)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.003::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.058::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9776_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.372::0.372) (0.399::0.399)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.421::0.421)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.157::-0.157)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.104::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9777_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.377::0.377) (0.404::0.404)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.423::0.423)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.159::-0.159)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.032::-0.033)) + (SETUP (posedge D) (posedge CLK) (0.052::0.054)) + (SETUP (negedge D) (posedge CLK) (0.101::0.101)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9778_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.552::0.552) (0.388::0.388)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.129::0.129)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.109::-0.109)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.005::-0.005)) + (SETUP (posedge D) (posedge CLK) (0.051::0.053)) + (SETUP (negedge D) (posedge CLK) (0.060::0.060)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfstp_1") + (INSTANCE _9779_) + (DELAY + (ABSOLUTE + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q (0.546::0.546) (0.385::0.385)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.126::0.126)) + (RECOVERY (posedge SET_B) (posedge CLK) (-0.105::-0.105)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.004::-0.004)) + (SETUP (posedge D) (posedge CLK) (0.050::0.052)) + (SETUP (negedge D) (posedge CLK) (0.059::0.059)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9780_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.373::0.373) (0.400::0.400)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.031)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.055::0.056)) + (SETUP (negedge D) (posedge CLK) (0.103::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9781_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.464::0.464) (0.519::0.519)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.446::0.446)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.095::-0.095)) + (HOLD (posedge D) (posedge CLK) (-0.028::-0.030)) + (HOLD (negedge D) (posedge CLK) (-0.029::-0.030)) + (SETUP (posedge D) (posedge CLK) (0.056::0.058)) + (SETUP (negedge D) (posedge CLK) (0.101::0.102)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9782_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.364::0.364) (0.392::0.392)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444::0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.031::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.036::-0.037)) + (SETUP (posedge D) (posedge CLK) (0.055::0.057)) + (SETUP (negedge D) (posedge CLK) (0.105::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9783_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.376::0.376) (0.402::0.402)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.456::0.456)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.126::-0.126)) + (HOLD (posedge D) (posedge CLK) (-0.030::-0.034)) + (HOLD (negedge D) (posedge CLK) (-0.035::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.055::0.059)) + (SETUP (negedge D) (posedge CLK) (0.104::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9784_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.455::0.455)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.127::-0.127)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.105)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9785_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.379::0.379) (0.405::0.405)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.445::0.445)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.136::-0.136)) + (HOLD (posedge D) (posedge CLK) (-0.029::-0.032)) + (HOLD (negedge D) (posedge CLK) (-0.033::-0.036)) + (SETUP (posedge D) (posedge CLK) (0.053::0.057)) + (SETUP (negedge D) (posedge CLK) (0.101::0.104)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9786_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.439::0.439) (0.444::0.444)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.434::0.434)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.156::-0.156)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.024::-0.024)) + (SETUP (posedge D) (posedge CLK) (0.050::0.050)) + (SETUP (negedge D) (posedge CLK) (0.092::0.092)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_4") + (INSTANCE _9787_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.498::0.498) (0.545::0.545)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.079::-0.079)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.035)) + (HOLD (negedge D) (posedge CLK) (-0.037::-0.038)) + (SETUP (posedge D) (posedge CLK) (0.062::0.064)) + (SETUP (negedge D) (posedge CLK) (0.109::0.110)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_2") + (INSTANCE _9788_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.428::0.428) (0.457::0.457)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.433::0.433)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.132::-0.132)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.021::-0.021)) + (SETUP (posedge D) (posedge CLK) (0.054::0.054)) + (SETUP (negedge D) (posedge CLK) (0.094::0.094)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9789_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.393::0.393) (0.416::0.416)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.452::0.452)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.140::-0.140)) + (HOLD (posedge D) (posedge CLK) (-0.026::-0.026)) + (HOLD (negedge D) (posedge CLK) (-0.024::-0.024)) + (SETUP (posedge D) (posedge CLK) (0.050::0.050)) + (SETUP (negedge D) (posedge CLK) (0.092::0.092)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9790_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.348::0.348) (0.379::0.379)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.441::0.441)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.120::-0.120)) + (HOLD (posedge D) (posedge CLK) (-0.033::-0.037)) + (HOLD (negedge D) (posedge CLK) (-0.041::-0.044)) + (SETUP (posedge D) (posedge CLK) (0.058::0.062)) + (SETUP (negedge D) (posedge CLK) (0.109::0.112)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfrtp_1") + (INSTANCE _9791_) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH CLK Q (0.402::0.402) (0.418::0.418)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge RESET_B) (posedge CLK) (0.444::0.444)) + (RECOVERY (posedge RESET_B) (posedge CLK) (-0.129::-0.129)) + (HOLD (posedge D) (posedge CLK) (-0.032::-0.033)) + (HOLD (negedge D) (posedge CLK) (-0.034::-0.039)) + (SETUP (posedge D) (posedge CLK) (0.057::0.057)) + (SETUP (negedge D) (posedge CLK) (0.102::0.107)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.246::0.247)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_0_mgmt_gpio_in\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.271::0.271) (0.336::0.336)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.288::0.288) (0.323::0.323)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_0_mgmt_gpio_in\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_1_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_1_mgmt_gpio_in\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_0_1_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_0_mgmt_gpio_in\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_1_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_1_mgmt_gpio_in\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_1_1_1_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_0_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.904::0.904) (0.664::0.664)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_0_0_mgmt_gpio_in\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_0_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_1_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.777::0.777) (0.578::0.578)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_1_0_mgmt_gpio_in\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_1_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_2_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.699::0.699) (0.525::0.525)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_2_0_mgmt_gpio_in\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_2_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_3_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.859::0.859) (0.630::0.630)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_3_0_mgmt_gpio_in\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_2_3_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_3_0_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.376::0.376) (0.304::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_3_1_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.460::0.460) (0.366::0.366)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_3_2_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.448::0.448) (0.358::0.358)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_3_3_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.494::0.494) (0.395::0.395)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_3_4_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.251::0.251) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_3_5_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.307::0.307) (0.254::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_3_6_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.477::0.477) (0.377::0.377)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE clkbuf_3_7_0_wb_clk_i) + (DELAY + (ABSOLUTE + (IOPATH A X (0.401::0.401) (0.320::0.320)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.316::0.316) (0.419::0.419)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_10_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.317::0.317) (0.378::0.378)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_11_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.310::0.310) (0.373::0.373)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_12_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.303::0.303) (0.368::0.368)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_13_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.297::0.297) (0.364::0.364)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_14_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.327::0.327) (0.385::0.385)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_15_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.329::0.329) (0.386::0.386)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_16_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.303::0.303) (0.368::0.368)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_17_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.309::0.309) (0.407::0.407)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_18_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.336::0.336) (0.426::0.426)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_19_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.323::0.323) (0.417::0.417)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_1_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.326::0.326) (0.426::0.426)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_20_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.333::0.333) (0.424::0.424)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_21_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.314::0.314) (0.411::0.411)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_22_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.318::0.318) (0.413::0.413)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_23_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.327::0.327) (0.420::0.420)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_24_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.327::0.327) (0.420::0.420)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_25_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.341::0.341) (0.429::0.429)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_26_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.343::0.343) (0.431::0.431)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_27_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.319::0.319) (0.414::0.414)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_28_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.357::0.357) (0.441::0.441)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_29_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_2_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.338::0.338) (0.435::0.435)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_30_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.332::0.332) (0.424::0.424)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_31_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.295::0.295) (0.397::0.397)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_32_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.349::0.349) (0.424::0.424)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_33_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_34_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.318::0.318) (0.402::0.402)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_35_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.331::0.331) (0.411::0.411)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_36_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.290::0.290) (0.382::0.382)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_37_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_38_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.304::0.304) (0.392::0.392)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_39_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.326::0.326) (0.407::0.407)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_3_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_40_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.312::0.312) (0.398::0.398)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_41_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.309::0.309) (0.396::0.396)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_42_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.319::0.319) (0.402::0.402)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_43_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.310::0.310) (0.397::0.397)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_44_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.310::0.310) (0.415::0.415)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_45_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.328::0.328) (0.428::0.428)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_46_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.322::0.322) (0.423::0.423)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_47_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.328::0.328) (0.428::0.428)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_48_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.326::0.326) (0.426::0.426)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_49_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.334::0.334) (0.432::0.432)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_4_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.348::0.348) (0.442::0.442)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_50_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.342::0.342) (0.438::0.438)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_51_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.328::0.328) (0.428::0.428)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_52_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_5_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.308::0.308) (0.414::0.414)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_6_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.323::0.323) (0.382::0.382)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_7_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_8_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.291::0.291) (0.359::0.359)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_leaf_9_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.303::0.303) (0.368::0.368)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_opt_1_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.306::0.306) (0.412::0.412)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_opt_2_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.305::0.305) (0.411::0.411)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_opt_3_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.297::0.297) (0.387::0.387)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_opt_4_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.293::0.293) (0.384::0.384)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_opt_5_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.280::0.280) (0.351::0.351)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE clkbuf_opt_6_0_csclk) + (DELAY + (ABSOLUTE + (IOPATH A X (0.303::0.303) (0.402::0.402)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input10) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input100) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input101) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input102) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input103) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input104) + (DELAY + (ABSOLUTE + (IOPATH A X (0.095::0.095) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input105) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input106) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input107) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input108) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input109) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input11) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input110) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input111) + (DELAY + (ABSOLUTE + (IOPATH A X (0.095::0.095) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input112) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input113) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input114) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input115) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input116) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input117) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input118) + (DELAY + (ABSOLUTE + (IOPATH A X (0.095::0.095) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input119) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input12) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input120) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input121) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input122) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input123) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input124) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input125) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input126) + (DELAY + (ABSOLUTE + (IOPATH A X (0.267::0.267) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input127) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input128) + (DELAY + (ABSOLUTE + (IOPATH A X (0.114::0.114) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input129) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input13) + (DELAY + (ABSOLUTE + (IOPATH A X (0.107::0.107) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input130) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input131) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input132) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input133) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input134) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input135) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input136) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input137) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input138) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input139) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input14) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input140) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.100) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input141) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input142) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input143) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.187) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input144) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input145) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input146) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input147) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input148) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input149) + (DELAY + (ABSOLUTE + (IOPATH A X (0.089::0.089) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input15) + (DELAY + (ABSOLUTE + (IOPATH A X (0.107::0.107) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input150) + (DELAY + (ABSOLUTE + (IOPATH A X (0.074::0.074) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input151) + (DELAY + (ABSOLUTE + (IOPATH A X (0.074::0.074) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input152) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075::0.075) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input153) + (DELAY + (ABSOLUTE + (IOPATH A X (0.078::0.078) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input154) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input155) + (DELAY + (ABSOLUTE + (IOPATH A X (0.074::0.074) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input156) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075::0.075) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input157) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input158) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input159) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.194) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input16) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input160) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input161) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input162) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input163) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input164) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input165) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input166) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input167) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.082) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input168) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input169) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input17) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input170) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input171) + (DELAY + (ABSOLUTE + (IOPATH A X (0.082::0.082) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input172) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input173) + (DELAY + (ABSOLUTE + (IOPATH A X (0.089::0.089) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input174) + (DELAY + (ABSOLUTE + (IOPATH A X (0.073::0.073) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input175) + (DELAY + (ABSOLUTE + (IOPATH A X (0.074::0.074) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input176) + (DELAY + (ABSOLUTE + (IOPATH A X (0.075::0.075) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input177) + (DELAY + (ABSOLUTE + (IOPATH A X (0.073::0.073) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input178) + (DELAY + (ABSOLUTE + (IOPATH A X (0.085::0.085) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input179) + (DELAY + (ABSOLUTE + (IOPATH A X (0.085::0.085) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input18) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input180) + (DELAY + (ABSOLUTE + (IOPATH A X (0.085::0.085) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input181) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input182) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input183) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input184) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input185) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input186) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.119) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input187) + (DELAY + (ABSOLUTE + (IOPATH A X (0.073::0.073) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input188) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input189) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input19) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input190) + (DELAY + (ABSOLUTE + (IOPATH A X (0.079::0.079) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input191) + (DELAY + (ABSOLUTE + (IOPATH A X (0.083::0.083) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input192) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.080) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input193) + (DELAY + (ABSOLUTE + (IOPATH A X (0.077::0.077) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input194) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.080) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input195) + (DELAY + (ABSOLUTE + (IOPATH A X (0.087::0.087) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input196) + (DELAY + (ABSOLUTE + (IOPATH A X (0.088::0.088) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input197) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input198) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input199) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input2) + (DELAY + (ABSOLUTE + (IOPATH A X (0.249::0.249) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input20) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input200) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input201) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input202) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input21) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input22) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input23) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input24) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input25) + (DELAY + (ABSOLUTE + (IOPATH A X (0.106::0.106) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input26) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input27) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input28) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input29) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input3) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input30) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input31) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input32) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input33) + (DELAY + (ABSOLUTE + (IOPATH A X (0.097::0.097) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input34) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.187) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input35) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.100) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE input36) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input37) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input38) + (DELAY + (ABSOLUTE + (IOPATH A X (0.264::0.264) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input39) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input4) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input40) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input41) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input42) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input43) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.100) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input44) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input45) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input46) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input47) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input48) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input49) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input5) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input50) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input51) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input52) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input53) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input54) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input55) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input56) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input57) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input58) + (DELAY + (ABSOLUTE + (IOPATH A X (0.246::0.246) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input59) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.100) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input6) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input60) + (DELAY + (ABSOLUTE + (IOPATH A X (0.114::0.114) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input61) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input62) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input63) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input64) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input65) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input66) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input67) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input68) + (DELAY + (ABSOLUTE + (IOPATH A X (0.259::0.259) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input69) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input7) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input70) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input71) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input72) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input73) + (DELAY + (ABSOLUTE + (IOPATH A X (0.080::0.080) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input74) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input75) + (DELAY + (ABSOLUTE + (IOPATH A X (0.322::0.322) (0.215::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input76) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input77) + (DELAY + (ABSOLUTE + (IOPATH A X (0.260::0.260) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") + (INSTANCE input78) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input79) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input8) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input80) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.194) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input81) + (DELAY + (ABSOLUTE + (IOPATH A X (0.218::0.218) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input82) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_8") + (INSTANCE input83) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input84) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input85) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input86) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input87) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input88) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input89) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input9) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input90) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input91) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input92) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input93) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input94) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input95) + (DELAY + (ABSOLUTE + (IOPATH A X (0.096::0.096) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input96) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input97) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input98) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input99) + (DELAY + (ABSOLUTE + (IOPATH A X (0.101::0.101) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE net299_2) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.062) (0.036::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE net299_3) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.056) (0.030::0.030)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output203) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output204) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output205) + (DELAY + (ABSOLUTE + (IOPATH A X (0.273::0.273) (0.200::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output206) + (DELAY + (ABSOLUTE + (IOPATH A X (0.267::0.267) (0.193::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output207) + (DELAY + (ABSOLUTE + (IOPATH A X (0.247::0.247) (0.216::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output208) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output209) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output210) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output211) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output212) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output213) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output214) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output215) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output216) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output217) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output218) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.230) (0.203::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output219) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output220) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output221) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output222) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output223) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output224) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output225) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output226) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output227) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output228) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output229) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output230) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output231) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output232) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output233) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output234) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output235) + (DELAY + (ABSOLUTE + (IOPATH A X (0.238::0.238) (0.211::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output236) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.230) (0.202::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output237) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.215::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output238) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output239) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output240) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output241) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output242) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output243) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output244) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output245) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.228) (0.202::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output246) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.212::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output247) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.233) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output248) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.218) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output249) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.205::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE output250) + (DELAY + (ABSOLUTE + (IOPATH A X (0.349::0.349) (0.240::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output251) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.220) (0.182::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output252) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.198::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output253) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.215) (0.179::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output254) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.227) (0.201::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output255) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output256) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output257) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output258) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output259) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output260) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output261) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output262) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output263) + (DELAY + (ABSOLUTE + (IOPATH A X (0.253::0.253) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output264) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output265) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output266) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output267) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output268) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output269) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output270) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output271) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output272) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output273) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output274) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output275) + (DELAY + (ABSOLUTE + (IOPATH A X (0.238::0.238) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output276) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output277) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output278) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output279) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output280) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output281) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output282) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output283) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output284) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output285) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output286) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output287) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output288) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output289) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output290) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output291) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output292) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output293) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output294) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output295) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output296) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output297) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output298) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output299) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output300) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output301) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output302) + (DELAY + (ABSOLUTE + (IOPATH A X (0.250::0.250) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output303) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output304) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output305) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output306) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.222) (0.197::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output307) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.232) (0.207::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output308) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output309) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.216) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output310) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.206) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output311) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output312) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output313) + (DELAY + (ABSOLUTE + (IOPATH A X (0.271::0.271) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output314) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output315) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output316) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output317) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output318) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output319) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output320) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output321) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output322) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output323) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output324) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output325) + (DELAY + (ABSOLUTE + (IOPATH A X (0.238::0.238) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output326) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output327) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output328) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output329) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output330) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output331) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output332) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output333) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output334) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output335) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output336) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output337) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output338) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output339) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output340) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output341) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output342) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output343) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output344) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output345) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output346) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output347) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output348) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output349) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output350) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output351) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output352) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output353) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output354) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output355) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output356) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output357) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output358) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater359) + (DELAY + (ABSOLUTE + (IOPATH A X (0.313::0.313) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater360) + (DELAY + (ABSOLUTE + (IOPATH A X (0.287::0.287) (0.218::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater361) + (DELAY + (ABSOLUTE + (IOPATH A X (0.313::0.313) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater362) + (DELAY + (ABSOLUTE + (IOPATH A X (0.335::0.335) (0.249::0.250)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater363) + (DELAY + (ABSOLUTE + (IOPATH A X (0.252::0.253) (0.222::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater364) + (DELAY + (ABSOLUTE + (IOPATH A X (0.282::0.282) (0.232::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater365) + (DELAY + (ABSOLUTE + (IOPATH A X (0.299::0.299) (0.242::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater366) + (DELAY + (ABSOLUTE + (IOPATH A X (0.274::0.274) (0.233::0.233)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater367) + (DELAY + (ABSOLUTE + (IOPATH A X (0.325::0.325) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater368) + (DELAY + (ABSOLUTE + (IOPATH A X (0.319::0.320) (0.244::0.245)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater369) + (DELAY + (ABSOLUTE + (IOPATH A X (0.365::0.365) (0.282::0.282)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater370) + (DELAY + (ABSOLUTE + (IOPATH A X (0.399::0.399) (0.307::0.307)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater371) + (DELAY + (ABSOLUTE + (IOPATH A X (0.399::0.399) (0.315::0.315)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater372) + (DELAY + (ABSOLUTE + (IOPATH A X (0.382::0.382) (0.267::0.267)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater373) + (DELAY + (ABSOLUTE + (IOPATH A X (0.369::0.369) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater374) + (DELAY + (ABSOLUTE + (IOPATH A X (0.375::0.375) (0.268::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater375) + (DELAY + (ABSOLUTE + (IOPATH A X (0.370::0.370) (0.260::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater376) + (DELAY + (ABSOLUTE + (IOPATH A X (0.368::0.368) (0.243::0.243)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater377) + (DELAY + (ABSOLUTE + (IOPATH A X (0.412::0.412) (0.273::0.273)) + ) + ) + ) +) diff --git a/sdf/mgmt_protect.sdf b/sdf/mgmt_protect.sdf new file mode 100644 index 00000000..80a0a316 --- /dev/null +++ b/sdf/mgmt_protect.sdf @@ -0,0 +1,28917 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "mgmt_protect") + (DATE "Sun Nov 28 13:25:24 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "mgmt_protect") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT caravel_clk input1/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT caravel_clk ANTENNA_input1_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT caravel_clk2 input2/A (0.021::0.021) (0.011::0.011)) + (INTERCONNECT caravel_clk2 ANTENNA_input2_A/DIODE (0.021::0.021) (0.011::0.011)) + (INTERCONNECT caravel_rstn input3/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT caravel_rstn ANTENNA_input3_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_core[0] input4/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[0] ANTENNA_input4_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[100] input5/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[100] ANTENNA_input5_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[101] input6/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[101] ANTENNA_input6_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[102] input7/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[102] ANTENNA_input7_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[103] input8/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[103] ANTENNA_input8_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[104] input9/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[104] ANTENNA_input9_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[105] input10/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[105] ANTENNA_input10_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[106] input11/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[106] ANTENNA_input11_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[107] input12/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[107] ANTENNA_input12_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[108] input13/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[108] ANTENNA_input13_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[109] input14/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_core[109] ANTENNA_input14_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_core[10] input15/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_core[10] ANTENNA_input15_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_core[110] input16/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[110] ANTENNA_input16_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[111] input17/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[111] ANTENNA_input17_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[112] input18/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[112] ANTENNA_input18_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[113] input19/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[113] ANTENNA_input19_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[114] input20/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[114] ANTENNA_input20_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[115] input21/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[115] ANTENNA_input21_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[116] input22/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[116] ANTENNA_input22_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[117] input23/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[117] ANTENNA_input23_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[118] input24/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[118] ANTENNA_input24_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[119] input25/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_core[119] ANTENNA_input25_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_core[11] input26/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[11] ANTENNA_input26_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[120] input27/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_core[120] ANTENNA_input27_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_core[121] input28/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[121] ANTENNA_input28_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[122] input29/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_core[122] ANTENNA_input29_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_core[123] input30/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[123] ANTENNA_input30_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[124] input31/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[124] ANTENNA_input31_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[125] input32/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[125] ANTENNA_input32_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[126] input33/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[126] ANTENNA_input33_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[127] input34/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[127] ANTENNA_input34_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[12] input35/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[12] ANTENNA_input35_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_core[13] input36/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_core[13] ANTENNA_input36_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_core[14] input37/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[14] ANTENNA_input37_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[15] input38/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[15] ANTENNA_input38_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[16] input39/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[16] ANTENNA_input39_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[17] input40/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[17] ANTENNA_input40_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[18] input41/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[18] ANTENNA_input41_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[19] input42/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[19] ANTENNA_input42_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[1] input43/A (0.037::0.037) (0.021::0.021)) + (INTERCONNECT la_data_out_core[1] ANTENNA_input43_A/DIODE (0.037::0.037) (0.021::0.021)) + (INTERCONNECT la_data_out_core[20] input44/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[20] ANTENNA_input44_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[21] input45/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[21] ANTENNA_input45_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[22] input46/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[22] ANTENNA_input46_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[23] input47/A (0.027::0.027) (0.014::0.014)) + (INTERCONNECT la_data_out_core[23] ANTENNA_input47_A/DIODE (0.027::0.027) (0.014::0.014)) + (INTERCONNECT la_data_out_core[24] input48/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[24] ANTENNA_input48_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[25] input49/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[25] ANTENNA_input49_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[26] input50/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[26] ANTENNA_input50_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[27] input51/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[27] ANTENNA_input51_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[28] input52/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[28] ANTENNA_input52_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[29] input53/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[29] ANTENNA_input53_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[2] input54/A (0.038::0.038) (0.021::0.021)) + (INTERCONNECT la_data_out_core[2] ANTENNA_input54_A/DIODE (0.038::0.038) (0.021::0.021)) + (INTERCONNECT la_data_out_core[30] input55/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[30] ANTENNA_input55_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[31] input56/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[31] ANTENNA_input56_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[32] input57/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[32] ANTENNA_input57_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[33] input58/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[33] ANTENNA_input58_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[34] input59/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[34] ANTENNA_input59_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[35] input60/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[35] ANTENNA_input60_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[36] input61/A (0.037::0.037) (0.021::0.021)) + (INTERCONNECT la_data_out_core[36] ANTENNA_input61_A/DIODE (0.037::0.037) (0.021::0.021)) + (INTERCONNECT la_data_out_core[37] input62/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[37] ANTENNA_input62_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[38] input63/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[38] ANTENNA_input63_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[39] input64/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[39] ANTENNA_input64_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[3] input65/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[3] ANTENNA_input65_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[40] input66/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[40] ANTENNA_input66_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[41] input67/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[41] ANTENNA_input67_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[42] input68/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[42] ANTENNA_input68_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[43] input69/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[43] ANTENNA_input69_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[44] input70/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[44] ANTENNA_input70_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[45] input71/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[45] ANTENNA_input71_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[46] input72/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[46] ANTENNA_input72_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[47] input73/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[47] ANTENNA_input73_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[48] input74/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[48] ANTENNA_input74_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[49] input75/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[49] ANTENNA_input75_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[4] input76/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[4] ANTENNA_input76_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[50] input77/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[50] ANTENNA_input77_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[51] input78/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[51] ANTENNA_input78_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[52] input79/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[52] ANTENNA_input79_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[53] input80/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[53] ANTENNA_input80_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[54] input81/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[54] ANTENNA_input81_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[55] input82/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[55] ANTENNA_input82_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[56] input83/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[56] ANTENNA_input83_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[57] input84/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[57] ANTENNA_input84_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[58] input85/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[58] ANTENNA_input85_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[59] input86/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[59] ANTENNA_input86_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[5] input87/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[5] ANTENNA_input87_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[60] input88/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[60] ANTENNA_input88_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[61] input89/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[61] ANTENNA_input89_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[62] input90/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[62] ANTENNA_input90_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[63] input91/A (0.037::0.037) (0.021::0.021)) + (INTERCONNECT la_data_out_core[63] ANTENNA_input91_A/DIODE (0.037::0.037) (0.021::0.021)) + (INTERCONNECT la_data_out_core[64] input92/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[64] ANTENNA_input92_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[65] input93/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[65] ANTENNA_input93_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_data_out_core[66] input94/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[66] ANTENNA_input94_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[67] input95/A (0.042::0.042) (0.024::0.024)) + (INTERCONNECT la_data_out_core[67] ANTENNA_input95_A/DIODE (0.042::0.042) (0.024::0.024)) + (INTERCONNECT la_data_out_core[68] input96/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[68] ANTENNA_input96_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[69] input97/A (0.035::0.035) (0.020::0.020)) + (INTERCONNECT la_data_out_core[69] ANTENNA_input97_A/DIODE (0.035::0.035) (0.020::0.020)) + (INTERCONNECT la_data_out_core[6] input98/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[6] ANTENNA_input98_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[70] input99/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[70] ANTENNA_input99_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[71] input100/A (0.035::0.035) (0.019::0.019)) + (INTERCONNECT la_data_out_core[71] ANTENNA_input100_A/DIODE (0.035::0.035) (0.019::0.019)) + (INTERCONNECT la_data_out_core[72] input101/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[72] ANTENNA_input101_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[73] input102/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[73] ANTENNA_input102_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[74] input103/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[74] ANTENNA_input103_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[75] input104/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[75] ANTENNA_input104_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[76] input105/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_core[76] ANTENNA_input105_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_core[77] input106/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[77] ANTENNA_input106_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[78] input107/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[78] ANTENNA_input107_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[79] input108/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[79] ANTENNA_input108_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[7] input109/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[7] ANTENNA_input109_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[80] input110/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[80] ANTENNA_input110_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[81] input111/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[81] ANTENNA_input111_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[82] input112/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[82] ANTENNA_input112_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[83] input113/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[83] ANTENNA_input113_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[84] input114/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[84] ANTENNA_input114_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[85] input115/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[85] ANTENNA_input115_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[86] input116/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[86] ANTENNA_input116_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_core[87] input117/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[87] ANTENNA_input117_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[88] input118/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[88] ANTENNA_input118_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[89] input119/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[89] ANTENNA_input119_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[8] input120/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[8] ANTENNA_input120_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_core[90] input121/A (0.036::0.036) (0.020::0.020)) + (INTERCONNECT la_data_out_core[90] ANTENNA_input121_A/DIODE (0.036::0.036) (0.020::0.020)) + (INTERCONNECT la_data_out_core[91] input122/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[91] ANTENNA_input122_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_core[92] input123/A (0.035::0.035) (0.019::0.019)) + (INTERCONNECT la_data_out_core[92] ANTENNA_input123_A/DIODE (0.035::0.035) (0.019::0.019)) + (INTERCONNECT la_data_out_core[93] input124/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[93] ANTENNA_input124_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_core[94] input125/A (0.035::0.035) (0.019::0.019)) + (INTERCONNECT la_data_out_core[94] ANTENNA_input125_A/DIODE (0.035::0.035) (0.019::0.019)) + (INTERCONNECT la_data_out_core[95] input126/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[95] ANTENNA_input126_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[96] input127/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[96] ANTENNA_input127_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[97] input128/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[97] ANTENNA_input128_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_core[98] input129/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[98] ANTENNA_input129_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_data_out_core[99] input130/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[99] ANTENNA_input130_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_core[9] input131/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_core[9] ANTENNA_input131_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[0] input132/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[0] ANTENNA_input132_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[100] input133/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[100] ANTENNA_input133_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[101] input134/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[101] ANTENNA_input134_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[102] input135/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[102] ANTENNA_input135_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[103] input136/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[103] ANTENNA_input136_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[104] input137/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[104] ANTENNA_input137_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[105] input138/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[105] ANTENNA_input138_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[106] input139/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[106] ANTENNA_input139_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[107] input140/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[107] ANTENNA_input140_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[108] input141/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[108] ANTENNA_input141_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[109] input142/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[109] ANTENNA_input142_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[10] input143/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[10] ANTENNA_input143_A/DIODE (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[110] input144/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[110] ANTENNA_input144_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[111] input145/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[111] ANTENNA_input145_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[112] input146/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[112] ANTENNA_input146_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[113] input147/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[113] ANTENNA_input147_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[114] input148/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[114] ANTENNA_input148_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[115] input149/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[115] ANTENNA_input149_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[116] input150/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[116] ANTENNA_input150_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[117] input151/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[117] ANTENNA_input151_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[118] input152/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[118] ANTENNA_input152_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[119] input153/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[119] ANTENNA_input153_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[11] input154/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[11] ANTENNA_input154_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[120] input155/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[120] ANTENNA_input155_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[121] input156/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[121] ANTENNA_input156_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[122] input157/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[122] ANTENNA_input157_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[123] input158/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[123] ANTENNA_input158_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[124] input159/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[124] ANTENNA_input159_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[125] input160/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[125] ANTENNA_input160_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[126] input161/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[126] ANTENNA_input161_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[127] input162/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[127] ANTENNA_input162_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[12] input163/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[12] ANTENNA_input163_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[13] input164/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[13] ANTENNA_input164_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[14] input165/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[14] ANTENNA_input165_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[15] input166/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[15] ANTENNA_input166_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[16] input167/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[16] ANTENNA_input167_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[17] input168/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[17] ANTENNA_input168_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[18] input169/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[18] ANTENNA_input169_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[19] input170/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[19] ANTENNA_input170_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[1] input171/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[1] ANTENNA_input171_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[20] input172/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[20] ANTENNA_input172_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[21] input173/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[21] ANTENNA_input173_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[22] input174/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[22] ANTENNA_input174_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[23] input175/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[23] ANTENNA_input175_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[24] input176/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[24] ANTENNA_input176_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[25] input177/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[25] ANTENNA_input177_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[26] input178/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[26] ANTENNA_input178_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[27] input179/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[27] ANTENNA_input179_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[28] input180/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[28] ANTENNA_input180_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[29] input181/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[29] ANTENNA_input181_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[2] input182/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[2] ANTENNA_input182_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[30] input183/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[30] ANTENNA_input183_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[31] input184/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[31] ANTENNA_input184_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[32] input185/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[32] ANTENNA_input185_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[33] input186/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[33] ANTENNA_input186_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[34] input187/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[34] ANTENNA_input187_A/DIODE (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[35] input188/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[35] ANTENNA_input188_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[36] input189/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[36] ANTENNA_input189_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[37] input190/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[37] ANTENNA_input190_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[38] input191/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[38] ANTENNA_input191_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[39] input192/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[39] ANTENNA_input192_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[3] input193/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[3] ANTENNA_input193_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[40] input194/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[40] ANTENNA_input194_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[41] input195/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[41] ANTENNA_input195_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[42] input196/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[42] ANTENNA_input196_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[43] input197/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[43] ANTENNA_input197_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[44] input198/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[44] ANTENNA_input198_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[45] input199/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[45] ANTENNA_input199_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[46] input200/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[46] ANTENNA_input200_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[47] input201/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[47] ANTENNA_input201_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[48] input202/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[48] ANTENNA_input202_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[49] input203/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[49] ANTENNA_input203_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[4] input204/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[4] ANTENNA_input204_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[50] input205/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[50] ANTENNA_input205_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[51] input206/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[51] ANTENNA_input206_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[52] input207/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[52] ANTENNA_input207_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[53] input208/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[53] ANTENNA_input208_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[54] input209/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[54] ANTENNA_input209_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[55] input210/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[55] ANTENNA_input210_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[56] input211/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[56] ANTENNA_input211_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[57] input212/A (0.027::0.027) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[57] ANTENNA_input212_A/DIODE (0.027::0.027) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[58] input213/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[58] ANTENNA_input213_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[59] input214/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[59] ANTENNA_input214_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[5] input215/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[5] ANTENNA_input215_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[60] input216/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[60] ANTENNA_input216_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[61] input217/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[61] ANTENNA_input217_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[62] input218/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[62] ANTENNA_input218_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[63] input219/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[63] ANTENNA_input219_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[64] input220/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[64] ANTENNA_input220_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[65] input221/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[65] ANTENNA_input221_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[66] input222/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[66] ANTENNA_input222_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[67] input223/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[67] ANTENNA_input223_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[68] input224/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[68] ANTENNA_input224_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[69] input225/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[69] ANTENNA_input225_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[6] input226/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[6] ANTENNA_input226_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[70] input227/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[70] ANTENNA_input227_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[71] input228/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[71] ANTENNA_input228_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[72] input229/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[72] ANTENNA_input229_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[73] input230/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[73] ANTENNA_input230_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[74] input231/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[74] ANTENNA_input231_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[75] input232/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[75] ANTENNA_input232_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[76] input233/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[76] ANTENNA_input233_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[77] input234/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[77] ANTENNA_input234_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[78] input235/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[78] ANTENNA_input235_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[79] input236/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[79] ANTENNA_input236_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[7] input237/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[7] ANTENNA_input237_A/DIODE (0.021::0.021) (0.012::0.012)) + (INTERCONNECT la_data_out_mprj[80] input238/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[80] ANTENNA_input238_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[81] input239/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[81] ANTENNA_input239_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[82] input240/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[82] ANTENNA_input240_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[83] input241/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[83] ANTENNA_input241_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[84] input242/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[84] ANTENNA_input242_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[85] input243/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[85] ANTENNA_input243_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[86] input244/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[86] ANTENNA_input244_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[87] input245/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[87] ANTENNA_input245_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[88] input246/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[88] ANTENNA_input246_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[89] input247/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[89] ANTENNA_input247_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_data_out_mprj[8] input248/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[8] ANTENNA_input248_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[90] input249/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[90] ANTENNA_input249_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[91] input250/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[91] ANTENNA_input250_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_data_out_mprj[92] input251/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[92] ANTENNA_input251_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[93] input252/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[93] ANTENNA_input252_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[94] input253/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[94] ANTENNA_input253_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[95] input254/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[95] ANTENNA_input254_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_data_out_mprj[96] input255/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[96] ANTENNA_input255_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[97] input256/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[97] ANTENNA_input256_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[98] input257/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[98] ANTENNA_input257_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[99] input258/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[99] ANTENNA_input258_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_data_out_mprj[9] input259/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_data_out_mprj[9] ANTENNA_input259_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[0] input260/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[0] ANTENNA_input260_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[100] input261/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[100] ANTENNA_input261_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[101] input262/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[101] ANTENNA_input262_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[102] input263/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[102] ANTENNA_input263_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[103] input264/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[103] ANTENNA_input264_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[104] input265/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[104] ANTENNA_input265_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[105] input266/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[105] ANTENNA_input266_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[106] input267/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[106] ANTENNA_input267_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[107] input268/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[107] ANTENNA_input268_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[108] input269/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[108] ANTENNA_input269_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[109] input270/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[109] ANTENNA_input270_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[10] input271/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[10] ANTENNA_input271_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[110] input272/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_iena_mprj[110] ANTENNA_input272_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_iena_mprj[111] input273/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[111] ANTENNA_input273_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[112] input274/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[112] ANTENNA_input274_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[113] input275/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[113] ANTENNA_input275_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[114] input276/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[114] ANTENNA_input276_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[115] input277/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[115] ANTENNA_input277_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[116] input278/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[116] ANTENNA_input278_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[117] input279/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[117] ANTENNA_input279_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[118] input280/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[118] ANTENNA_input280_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[119] input281/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[119] ANTENNA_input281_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[11] input282/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[11] ANTENNA_input282_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[120] input283/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[120] ANTENNA_input283_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[121] input284/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[121] ANTENNA_input284_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[122] input285/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[122] ANTENNA_input285_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[123] input286/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[123] ANTENNA_input286_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[124] input287/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[124] ANTENNA_input287_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[125] input288/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[125] ANTENNA_input288_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[126] input289/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[126] ANTENNA_input289_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[127] input290/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[127] ANTENNA_input290_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[12] input291/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[12] ANTENNA_input291_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[13] input292/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[13] ANTENNA_input292_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[14] input293/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[14] ANTENNA_input293_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[15] input294/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_iena_mprj[15] ANTENNA_input294_A/DIODE (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_iena_mprj[16] input295/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[16] ANTENNA_input295_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[17] input296/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[17] ANTENNA_input296_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[18] input297/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[18] ANTENNA_input297_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[19] input298/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[19] ANTENNA_input298_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[1] input299/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[1] ANTENNA_input299_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[20] input300/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[20] ANTENNA_input300_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[21] input301/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[21] ANTENNA_input301_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[22] input302/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[22] ANTENNA_input302_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[23] input303/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[23] ANTENNA_input303_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[24] input304/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[24] ANTENNA_input304_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[25] input305/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[25] ANTENNA_input305_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[26] input306/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[26] ANTENNA_input306_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[27] input307/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[27] ANTENNA_input307_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[28] input308/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[28] ANTENNA_input308_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[29] input309/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[29] ANTENNA_input309_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[2] input310/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[2] ANTENNA_input310_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[30] input311/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[30] ANTENNA_input311_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[31] input312/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[31] ANTENNA_input312_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[32] input313/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[32] ANTENNA_input313_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[33] input314/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[33] ANTENNA_input314_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[34] input315/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[34] ANTENNA_input315_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[35] input316/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[35] ANTENNA_input316_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[36] input317/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[36] ANTENNA_input317_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[37] input318/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[37] ANTENNA_input318_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[38] input319/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[38] ANTENNA_input319_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[39] input320/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[39] ANTENNA_input320_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[3] input321/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[3] ANTENNA_input321_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[40] input322/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[40] ANTENNA_input322_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[41] input323/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[41] ANTENNA_input323_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[42] input324/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[42] ANTENNA_input324_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[43] input325/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[43] ANTENNA_input325_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[44] input326/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[44] ANTENNA_input326_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[45] input327/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[45] ANTENNA_input327_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[46] input328/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[46] ANTENNA_input328_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[47] input329/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[47] ANTENNA_input329_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[48] input330/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[48] ANTENNA_input330_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[49] input331/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[49] ANTENNA_input331_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[4] input332/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[4] ANTENNA_input332_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[50] input333/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[50] ANTENNA_input333_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[51] input334/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[51] ANTENNA_input334_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[52] input335/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[52] ANTENNA_input335_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[53] input336/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[53] ANTENNA_input336_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[54] input337/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[54] ANTENNA_input337_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[55] input338/A (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[55] ANTENNA_input338_A/DIODE (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[56] input339/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[56] ANTENNA_input339_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[57] input340/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[57] ANTENNA_input340_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[58] input341/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_iena_mprj[58] ANTENNA_input341_A/DIODE (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_iena_mprj[59] input342/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[59] ANTENNA_input342_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[5] input343/A (0.021::0.021) (0.012::0.012)) + (INTERCONNECT la_iena_mprj[5] ANTENNA_input343_A/DIODE (0.021::0.021) (0.012::0.012)) + (INTERCONNECT la_iena_mprj[60] input344/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[60] ANTENNA_input344_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[61] input345/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[61] ANTENNA_input345_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[62] input346/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[62] ANTENNA_input346_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[63] input347/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[63] ANTENNA_input347_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[64] input348/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[64] ANTENNA_input348_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[65] input349/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[65] ANTENNA_input349_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[66] input350/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[66] ANTENNA_input350_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[67] input351/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[67] ANTENNA_input351_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[68] input352/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[68] ANTENNA_input352_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[69] input353/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[69] ANTENNA_input353_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[6] input354/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[6] ANTENNA_input354_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[70] input355/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[70] ANTENNA_input355_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[71] input356/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[71] ANTENNA_input356_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[72] input357/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[72] ANTENNA_input357_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[73] input358/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[73] ANTENNA_input358_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[74] input359/A (0.031::0.031) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[74] ANTENNA_input359_A/DIODE (0.031::0.031) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[75] input360/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[75] ANTENNA_input360_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[76] input361/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[76] ANTENNA_input361_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_iena_mprj[77] input362/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[77] ANTENNA_input362_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[78] input363/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[78] ANTENNA_input363_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[79] input364/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[79] ANTENNA_input364_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[7] input365/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[7] ANTENNA_input365_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[80] input366/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[80] ANTENNA_input366_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[81] input367/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[81] ANTENNA_input367_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[82] input368/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[82] ANTENNA_input368_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[83] input369/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[83] ANTENNA_input369_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[84] input370/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[84] ANTENNA_input370_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[85] input371/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_iena_mprj[85] ANTENNA_input371_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT la_iena_mprj[86] input372/A (0.031::0.031) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[86] ANTENNA_input372_A/DIODE (0.031::0.031) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[87] input373/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[87] ANTENNA_input373_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[88] input374/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[88] ANTENNA_input374_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[89] input375/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[89] ANTENNA_input375_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[8] input376/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[8] ANTENNA_input376_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[90] input377/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[90] ANTENNA_input377_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[91] input378/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[91] ANTENNA_input378_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_iena_mprj[92] input379/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[92] ANTENNA_input379_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[93] input380/A (0.035::0.035) (0.020::0.020)) + (INTERCONNECT la_iena_mprj[93] ANTENNA_input380_A/DIODE (0.035::0.035) (0.020::0.020)) + (INTERCONNECT la_iena_mprj[94] input381/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[94] ANTENNA_input381_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_iena_mprj[95] input382/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[95] ANTENNA_input382_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[96] input383/A (0.031::0.031) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[96] ANTENNA_input383_A/DIODE (0.031::0.031) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[97] input384/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[97] ANTENNA_input384_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[98] input385/A (0.031::0.031) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[98] ANTENNA_input385_A/DIODE (0.031::0.031) (0.018::0.018)) + (INTERCONNECT la_iena_mprj[99] input386/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[99] ANTENNA_input386_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_iena_mprj[9] input387/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_iena_mprj[9] ANTENNA_input387_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[0] input388/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[0] ANTENNA_input388_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[100] input389/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[100] ANTENNA_input389_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[101] input390/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[101] ANTENNA_input390_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[102] input391/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[102] ANTENNA_input391_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[103] input392/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[103] ANTENNA_input392_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[104] input393/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[104] ANTENNA_input393_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[105] input394/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[105] ANTENNA_input394_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[106] input395/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[106] ANTENNA_input395_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[107] input396/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[107] ANTENNA_input396_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[108] input397/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[108] ANTENNA_input397_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[109] input398/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[109] ANTENNA_input398_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[10] input399/A (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[10] ANTENNA_input399_A/DIODE (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[110] input400/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[110] ANTENNA_input400_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[111] input401/A (0.027::0.027) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[111] ANTENNA_input401_A/DIODE (0.027::0.027) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[112] input402/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[112] ANTENNA_input402_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[113] input403/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[113] ANTENNA_input403_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[114] input404/A (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[114] ANTENNA_input404_A/DIODE (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[115] input405/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[115] ANTENNA_input405_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[116] input406/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[116] ANTENNA_input406_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[117] input407/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[117] ANTENNA_input407_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[118] input408/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[118] ANTENNA_input408_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[119] input409/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[119] ANTENNA_input409_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[11] input410/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[11] ANTENNA_input410_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[120] input411/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[120] ANTENNA_input411_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[121] input412/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[121] ANTENNA_input412_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[122] input413/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[122] ANTENNA_input413_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[123] input414/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[123] ANTENNA_input414_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[124] input415/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[124] ANTENNA_input415_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[125] input416/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[125] ANTENNA_input416_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[126] input417/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[126] ANTENNA_input417_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[127] input418/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[127] ANTENNA_input418_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[12] input419/A (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[12] ANTENNA_input419_A/DIODE (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[13] input420/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[13] ANTENNA_input420_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[14] input421/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[14] ANTENNA_input421_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[15] input422/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[15] ANTENNA_input422_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[16] input423/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[16] ANTENNA_input423_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[17] input424/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[17] ANTENNA_input424_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[18] input425/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[18] ANTENNA_input425_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[19] input426/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[19] ANTENNA_input426_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[1] input427/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[1] ANTENNA_input427_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[20] input428/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[20] ANTENNA_input428_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[21] input429/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[21] ANTENNA_input429_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[22] input430/A (0.025::0.025) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[22] ANTENNA_input430_A/DIODE (0.025::0.025) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[23] input431/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[23] ANTENNA_input431_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[24] input432/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[24] ANTENNA_input432_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[25] input433/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[25] ANTENNA_input433_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[26] input434/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[26] ANTENNA_input434_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[27] input435/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[27] ANTENNA_input435_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[28] input436/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[28] ANTENNA_input436_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[29] input437/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[29] ANTENNA_input437_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[2] input438/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[2] ANTENNA_input438_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[30] input439/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[30] ANTENNA_input439_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[31] input440/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[31] ANTENNA_input440_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[32] input441/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[32] ANTENNA_input441_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[33] input442/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[33] ANTENNA_input442_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[34] input443/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[34] ANTENNA_input443_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[35] input444/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[35] ANTENNA_input444_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[36] input445/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[36] ANTENNA_input445_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[37] input446/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[37] ANTENNA_input446_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[38] input447/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[38] ANTENNA_input447_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[39] input448/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[39] ANTENNA_input448_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[3] input449/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[3] ANTENNA_input449_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[40] input450/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[40] ANTENNA_input450_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[41] input451/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[41] ANTENNA_input451_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[42] input452/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[42] ANTENNA_input452_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[43] input453/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[43] ANTENNA_input453_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[44] input454/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[44] ANTENNA_input454_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[45] input455/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[45] ANTENNA_input455_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[46] input456/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[46] ANTENNA_input456_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[47] input457/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[47] ANTENNA_input457_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[48] input458/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[48] ANTENNA_input458_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[49] input459/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[49] ANTENNA_input459_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[4] input460/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[4] ANTENNA_input460_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[50] input461/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[50] ANTENNA_input461_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[51] input462/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[51] ANTENNA_input462_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[52] input463/A (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[52] ANTENNA_input463_A/DIODE (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[53] input464/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[53] ANTENNA_input464_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[54] input465/A (0.027::0.027) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[54] ANTENNA_input465_A/DIODE (0.027::0.027) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[55] input466/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[55] ANTENNA_input466_A/DIODE (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[56] input467/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[56] ANTENNA_input467_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[57] input468/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[57] ANTENNA_input468_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[58] input469/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[58] ANTENNA_input469_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[59] input470/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[59] ANTENNA_input470_A/DIODE (0.023::0.023) (0.012::0.012)) + (INTERCONNECT la_oenb_mprj[5] input471/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[5] ANTENNA_input471_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[60] input472/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[60] ANTENNA_input472_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[61] input473/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[61] ANTENNA_input473_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[62] input474/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[62] ANTENNA_input474_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[63] input475/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[63] ANTENNA_input475_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[64] input476/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[64] ANTENNA_input476_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[65] input477/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[65] ANTENNA_input477_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[66] input478/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[66] ANTENNA_input478_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[67] input479/A (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[67] ANTENNA_input479_A/DIODE (0.026::0.026) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[68] input480/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[68] ANTENNA_input480_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[69] input481/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[69] ANTENNA_input481_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[6] input482/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[6] ANTENNA_input482_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[70] input483/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[70] ANTENNA_input483_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[71] input484/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[71] ANTENNA_input484_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[72] input485/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[72] ANTENNA_input485_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[73] input486/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[73] ANTENNA_input486_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[74] input487/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[74] ANTENNA_input487_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[75] input488/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[75] ANTENNA_input488_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[76] input489/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[76] ANTENNA_input489_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[77] input490/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[77] ANTENNA_input490_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[78] input491/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[78] ANTENNA_input491_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[79] input492/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[79] ANTENNA_input492_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[7] input493/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[7] ANTENNA_input493_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[80] input494/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[80] ANTENNA_input494_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[81] input495/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[81] ANTENNA_input495_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[82] input496/A (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[82] ANTENNA_input496_A/DIODE (0.033::0.033) (0.018::0.018)) + (INTERCONNECT la_oenb_mprj[83] input497/A (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[83] ANTENNA_input497_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[84] input498/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[84] ANTENNA_input498_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[85] input499/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[85] ANTENNA_input499_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[86] input500/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[86] ANTENNA_input500_A/DIODE (0.030::0.030) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[87] input501/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[87] ANTENNA_input501_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT la_oenb_mprj[88] input502/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[88] ANTENNA_input502_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[89] input503/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[89] ANTENNA_input503_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[8] input504/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[8] ANTENNA_input504_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[90] input505/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[90] ANTENNA_input505_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[91] input506/A (0.025::0.025) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[91] ANTENNA_input506_A/DIODE (0.025::0.025) (0.013::0.013)) + (INTERCONNECT la_oenb_mprj[92] input507/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[92] ANTENNA_input507_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[93] input508/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[93] ANTENNA_input508_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[94] input509/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[94] ANTENNA_input509_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[95] input510/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[95] ANTENNA_input510_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT la_oenb_mprj[96] input511/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[96] ANTENNA_input511_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[97] input512/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[97] ANTENNA_input512_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT la_oenb_mprj[98] input513/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[98] ANTENNA_input513_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[99] input514/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[99] ANTENNA_input514_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[9] input515/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT la_oenb_mprj[9] ANTENNA_input515_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT mprj_ack_i_user input516/A (0.073::0.073) (0.040::0.040)) + (INTERCONNECT mprj_ack_i_user ANTENNA_input516_A/DIODE (0.073::0.073) (0.040::0.040)) + (INTERCONNECT mprj_adr_o_core[0] input517/A (0.068::0.068) (0.037::0.037)) + (INTERCONNECT mprj_adr_o_core[0] ANTENNA_input517_A/DIODE (0.068::0.068) (0.037::0.037)) + (INTERCONNECT mprj_adr_o_core[10] input518/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT mprj_adr_o_core[10] ANTENNA_input518_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT mprj_adr_o_core[11] input519/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[11] ANTENNA_input519_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[12] input520/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_adr_o_core[12] ANTENNA_input520_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_adr_o_core[13] input521/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_adr_o_core[13] ANTENNA_input521_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_adr_o_core[14] input522/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT mprj_adr_o_core[14] ANTENNA_input522_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT mprj_adr_o_core[15] input523/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[15] ANTENNA_input523_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[16] input524/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[16] ANTENNA_input524_A/DIODE (0.023::0.023) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[17] input525/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[17] ANTENNA_input525_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[18] input526/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[18] ANTENNA_input526_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[19] input527/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[19] ANTENNA_input527_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[1] input528/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[1] ANTENNA_input528_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[20] input529/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_adr_o_core[20] ANTENNA_input529_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_adr_o_core[21] input530/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[21] ANTENNA_input530_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[22] input531/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[22] ANTENNA_input531_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[23] input532/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[23] ANTENNA_input532_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[24] input533/A (0.025::0.025) (0.013::0.013)) + (INTERCONNECT mprj_adr_o_core[24] ANTENNA_input533_A/DIODE (0.025::0.025) (0.013::0.013)) + (INTERCONNECT mprj_adr_o_core[25] input534/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[25] ANTENNA_input534_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[26] input535/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_adr_o_core[26] ANTENNA_input535_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_adr_o_core[27] input536/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[27] ANTENNA_input536_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[28] input537/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[28] ANTENNA_input537_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[29] input538/A (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[29] ANTENNA_input538_A/DIODE (0.022::0.022) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[2] input539/A (0.070::0.070) (0.039::0.039)) + (INTERCONNECT mprj_adr_o_core[2] ANTENNA_input539_A/DIODE (0.070::0.070) (0.039::0.039)) + (INTERCONNECT mprj_adr_o_core[30] input540/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT mprj_adr_o_core[30] ANTENNA_input540_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT mprj_adr_o_core[31] input541/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_adr_o_core[31] ANTENNA_input541_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_adr_o_core[3] input542/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_adr_o_core[3] ANTENNA_input542_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_adr_o_core[4] input543/A (0.067::0.067) (0.036::0.036)) + (INTERCONNECT mprj_adr_o_core[4] ANTENNA_input543_A/DIODE (0.066::0.066) (0.036::0.036)) + (INTERCONNECT mprj_adr_o_core[5] input544/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[5] ANTENNA_input544_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[6] input545/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_adr_o_core[6] ANTENNA_input545_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_adr_o_core[7] input546/A (0.023::0.023) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[7] ANTENNA_input546_A/DIODE (0.023::0.023) (0.012::0.012)) + (INTERCONNECT mprj_adr_o_core[8] input547/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[8] ANTENNA_input547_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_adr_o_core[9] input548/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT mprj_adr_o_core[9] ANTENNA_input548_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT mprj_cyc_o_core input549/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_cyc_o_core ANTENNA_input549_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_i_user[0] input550/A (0.069::0.069) (0.038::0.038)) + (INTERCONNECT mprj_dat_i_user[0] ANTENNA_input550_A/DIODE (0.069::0.069) (0.038::0.038)) + (INTERCONNECT mprj_dat_i_user[10] input551/A (0.073::0.073) (0.040::0.040)) + (INTERCONNECT mprj_dat_i_user[10] ANTENNA_input551_A/DIODE (0.073::0.073) (0.040::0.040)) + (INTERCONNECT mprj_dat_i_user[11] input552/A (0.070::0.070) (0.038::0.038)) + (INTERCONNECT mprj_dat_i_user[11] ANTENNA_input552_A/DIODE (0.070::0.070) (0.038::0.038)) + (INTERCONNECT mprj_dat_i_user[12] input553/A (0.074::0.074) (0.040::0.040)) + (INTERCONNECT mprj_dat_i_user[12] ANTENNA_input553_A/DIODE (0.074::0.074) (0.040::0.040)) + (INTERCONNECT mprj_dat_i_user[13] input554/A (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[13] ANTENNA_input554_A/DIODE (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[14] input555/A (0.074::0.074) (0.041::0.041)) + (INTERCONNECT mprj_dat_i_user[14] ANTENNA_input555_A/DIODE (0.074::0.074) (0.041::0.041)) + (INTERCONNECT mprj_dat_i_user[15] input556/A (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[15] ANTENNA_input556_A/DIODE (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[16] input557/A (0.074::0.074) (0.040::0.040)) + (INTERCONNECT mprj_dat_i_user[16] ANTENNA_input557_A/DIODE (0.074::0.074) (0.040::0.040)) + (INTERCONNECT mprj_dat_i_user[17] input558/A (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[17] ANTENNA_input558_A/DIODE (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[18] input559/A (0.073::0.073) (0.040::0.040)) + (INTERCONNECT mprj_dat_i_user[18] ANTENNA_input559_A/DIODE (0.073::0.073) (0.040::0.040)) + (INTERCONNECT mprj_dat_i_user[19] input560/A (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[19] ANTENNA_input560_A/DIODE (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[1] input561/A (0.058::0.058) (0.031::0.031)) + (INTERCONNECT mprj_dat_i_user[1] ANTENNA_input561_A/DIODE (0.058::0.058) (0.031::0.031)) + (INTERCONNECT mprj_dat_i_user[20] input562/A (0.063::0.063) (0.034::0.034)) + (INTERCONNECT mprj_dat_i_user[20] ANTENNA_input562_A/DIODE (0.063::0.063) (0.034::0.034)) + (INTERCONNECT mprj_dat_i_user[21] input563/A (0.058::0.058) (0.032::0.032)) + (INTERCONNECT mprj_dat_i_user[21] ANTENNA_input563_A/DIODE (0.058::0.058) (0.032::0.032)) + (INTERCONNECT mprj_dat_i_user[22] input564/A (0.060::0.060) (0.033::0.033)) + (INTERCONNECT mprj_dat_i_user[22] ANTENNA_input564_A/DIODE (0.060::0.060) (0.033::0.033)) + (INTERCONNECT mprj_dat_i_user[23] input565/A (0.042::0.042) (0.023::0.023)) + (INTERCONNECT mprj_dat_i_user[23] ANTENNA_input565_A/DIODE (0.042::0.042) (0.023::0.023)) + (INTERCONNECT mprj_dat_i_user[24] input566/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_dat_i_user[24] ANTENNA_input566_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_dat_i_user[25] input567/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_i_user[25] ANTENNA_input567_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_i_user[26] input568/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT mprj_dat_i_user[26] ANTENNA_input568_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT mprj_dat_i_user[27] input569/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_i_user[27] ANTENNA_input569_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_i_user[28] input570/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_i_user[28] ANTENNA_input570_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_i_user[29] input571/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_i_user[29] ANTENNA_input571_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_i_user[2] input572/A (0.056::0.056) (0.031::0.031)) + (INTERCONNECT mprj_dat_i_user[2] ANTENNA_input572_A/DIODE (0.056::0.056) (0.031::0.031)) + (INTERCONNECT mprj_dat_i_user[30] input573/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT mprj_dat_i_user[30] ANTENNA_input573_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT mprj_dat_i_user[31] input574/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT mprj_dat_i_user[31] ANTENNA_input574_A/DIODE (0.035::0.035) (0.019::0.019)) + (INTERCONNECT mprj_dat_i_user[3] input575/A (0.054::0.054) (0.030::0.030)) + (INTERCONNECT mprj_dat_i_user[3] ANTENNA_input575_A/DIODE (0.054::0.054) (0.030::0.030)) + (INTERCONNECT mprj_dat_i_user[4] input576/A (0.057::0.057) (0.031::0.031)) + (INTERCONNECT mprj_dat_i_user[4] ANTENNA_input576_A/DIODE (0.057::0.057) (0.030::0.030)) + (INTERCONNECT mprj_dat_i_user[5] input577/A (0.070::0.070) (0.038::0.038)) + (INTERCONNECT mprj_dat_i_user[5] ANTENNA_input577_A/DIODE (0.070::0.070) (0.038::0.038)) + (INTERCONNECT mprj_dat_i_user[6] input578/A (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[6] ANTENNA_input578_A/DIODE (0.071::0.071) (0.039::0.039)) + (INTERCONNECT mprj_dat_i_user[7] input579/A (0.058::0.058) (0.032::0.032)) + (INTERCONNECT mprj_dat_i_user[7] ANTENNA_input579_A/DIODE (0.057::0.057) (0.032::0.032)) + (INTERCONNECT mprj_dat_i_user[8] input580/A (0.064::0.064) (0.034::0.034)) + (INTERCONNECT mprj_dat_i_user[8] ANTENNA_input580_A/DIODE (0.064::0.064) (0.034::0.034)) + (INTERCONNECT mprj_dat_i_user[9] input581/A (0.059::0.059) (0.032::0.032)) + (INTERCONNECT mprj_dat_i_user[9] ANTENNA_input581_A/DIODE (0.059::0.059) (0.032::0.032)) + (INTERCONNECT mprj_dat_o_core[0] input582/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[0] ANTENNA_input582_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[10] input583/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[10] ANTENNA_input583_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[11] input584/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[11] ANTENNA_input584_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[12] input585/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_dat_o_core[12] ANTENNA_input585_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_dat_o_core[13] input586/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[13] ANTENNA_input586_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[14] input587/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT mprj_dat_o_core[14] ANTENNA_input587_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT mprj_dat_o_core[15] input588/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[15] ANTENNA_input588_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[16] input589/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[16] ANTENNA_input589_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[17] input590/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[17] ANTENNA_input590_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[18] input591/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[18] ANTENNA_input591_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[19] input592/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[19] ANTENNA_input592_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[1] input593/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[1] ANTENNA_input593_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[20] input594/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[20] ANTENNA_input594_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[21] input595/A (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[21] ANTENNA_input595_A/DIODE (0.025::0.025) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[22] input596/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[22] ANTENNA_input596_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[23] input597/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[23] ANTENNA_input597_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[24] input598/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT mprj_dat_o_core[24] ANTENNA_input598_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT mprj_dat_o_core[25] input599/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[25] ANTENNA_input599_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[26] input600/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT mprj_dat_o_core[26] ANTENNA_input600_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT mprj_dat_o_core[27] input601/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[27] ANTENNA_input601_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[28] input602/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[28] ANTENNA_input602_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[29] input603/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[29] ANTENNA_input603_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[2] input604/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[2] ANTENNA_input604_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[30] input605/A (0.034::0.034) (0.019::0.019)) + (INTERCONNECT mprj_dat_o_core[30] ANTENNA_input605_A/DIODE (0.034::0.034) (0.019::0.019)) + (INTERCONNECT mprj_dat_o_core[31] input606/A (0.035::0.035) (0.020::0.020)) + (INTERCONNECT mprj_dat_o_core[31] ANTENNA_input606_A/DIODE (0.035::0.035) (0.020::0.020)) + (INTERCONNECT mprj_dat_o_core[3] input607/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[3] ANTENNA_input607_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[4] input608/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT mprj_dat_o_core[4] ANTENNA_input608_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT mprj_dat_o_core[5] input609/A (0.033::0.033) (0.018::0.018)) + (INTERCONNECT mprj_dat_o_core[5] ANTENNA_input609_A/DIODE (0.033::0.033) (0.018::0.018)) + (INTERCONNECT mprj_dat_o_core[6] input610/A (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[6] ANTENNA_input610_A/DIODE (0.028::0.028) (0.015::0.015)) + (INTERCONNECT mprj_dat_o_core[7] input611/A (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[7] ANTENNA_input611_A/DIODE (0.026::0.026) (0.014::0.014)) + (INTERCONNECT mprj_dat_o_core[8] input612/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[8] ANTENNA_input612_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[9] input613/A (0.028::0.028) (0.016::0.016)) + (INTERCONNECT mprj_dat_o_core[9] ANTENNA_input613_A/DIODE (0.028::0.028) (0.016::0.016)) + (INTERCONNECT mprj_iena_wb input614/A (0.052::0.052) (0.029::0.029)) + (INTERCONNECT mprj_iena_wb ANTENNA_input614_A/DIODE (0.052::0.052) (0.029::0.029)) + (INTERCONNECT mprj_sel_o_core[0] input615/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_sel_o_core[0] ANTENNA_input615_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_sel_o_core[1] input616/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_sel_o_core[1] ANTENNA_input616_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT mprj_sel_o_core[2] input617/A (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_sel_o_core[2] ANTENNA_input617_A/DIODE (0.024::0.024) (0.013::0.013)) + (INTERCONNECT mprj_sel_o_core[3] input618/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT mprj_sel_o_core[3] ANTENNA_input618_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT mprj_stb_o_core input619/A (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_stb_o_core ANTENNA_input619_A/DIODE (0.027::0.027) (0.015::0.015)) + (INTERCONNECT mprj_we_o_core input620/A (0.030::0.030) (0.017::0.017)) + (INTERCONNECT mprj_we_o_core ANTENNA_input620_A/DIODE (0.030::0.030) (0.017::0.017)) + (INTERCONNECT user_irq_core[0] input621/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT user_irq_core[0] ANTENNA_input621_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT user_irq_core[1] input622/A (0.032::0.032) (0.018::0.018)) + (INTERCONNECT user_irq_core[1] ANTENNA_input622_A/DIODE (0.032::0.032) (0.018::0.018)) + (INTERCONNECT user_irq_core[2] input623/A (0.029::0.029) (0.016::0.016)) + (INTERCONNECT user_irq_core[2] ANTENNA_input623_A/DIODE (0.029::0.029) (0.016::0.016)) + (INTERCONNECT user_irq_ena[0] input624/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT user_irq_ena[0] ANTENNA_input624_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT user_irq_ena[1] input625/A (0.023::0.023) (0.013::0.013)) + (INTERCONNECT user_irq_ena[1] ANTENNA_input625_A/DIODE (0.023::0.023) (0.013::0.013)) + (INTERCONNECT user_irq_ena[2] input626/A (0.031::0.031) (0.017::0.017)) + (INTERCONNECT user_irq_ena[2] ANTENNA_input626_A/DIODE (0.031::0.031) (0.017::0.017)) + (INTERCONNECT _329_/Y user_to_mprj_oen_buffers\[66\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _329_/Y ANTENNA_user_to_mprj_oen_buffers\[66\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _330_/Y user_to_mprj_oen_buffers\[67\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _330_/Y ANTENNA_user_to_mprj_oen_buffers\[67\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _331_/Y user_to_mprj_oen_buffers\[68\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _331_/Y ANTENNA_user_to_mprj_oen_buffers\[68\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _332_/Y user_to_mprj_oen_buffers\[69\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _332_/Y ANTENNA_user_to_mprj_oen_buffers\[69\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _333_/Y user_to_mprj_oen_buffers\[70\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _333_/Y ANTENNA_user_to_mprj_oen_buffers\[70\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _334_/Y user_to_mprj_oen_buffers\[71\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _334_/Y ANTENNA_user_to_mprj_oen_buffers\[71\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _335_/Y user_to_mprj_oen_buffers\[72\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _335_/Y ANTENNA_user_to_mprj_oen_buffers\[72\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _336_/Y user_to_mprj_oen_buffers\[73\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _336_/Y ANTENNA_user_to_mprj_oen_buffers\[73\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _337_/Y user_to_mprj_oen_buffers\[74\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _337_/Y ANTENNA_user_to_mprj_oen_buffers\[74\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _338_/Y user_to_mprj_oen_buffers\[75\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _338_/Y ANTENNA_user_to_mprj_oen_buffers\[75\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _339_/Y user_to_mprj_oen_buffers\[76\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _339_/Y ANTENNA_user_to_mprj_oen_buffers\[76\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _340_/Y user_to_mprj_oen_buffers\[77\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _340_/Y ANTENNA_user_to_mprj_oen_buffers\[77\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _341_/Y user_to_mprj_oen_buffers\[78\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _341_/Y ANTENNA_user_to_mprj_oen_buffers\[78\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _342_/Y user_to_mprj_oen_buffers\[79\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _342_/Y ANTENNA_user_to_mprj_oen_buffers\[79\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _343_/Y user_to_mprj_oen_buffers\[80\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _343_/Y ANTENNA_user_to_mprj_oen_buffers\[80\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _344_/Y user_to_mprj_oen_buffers\[81\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _344_/Y ANTENNA_user_to_mprj_oen_buffers\[81\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _345_/Y user_to_mprj_oen_buffers\[82\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _345_/Y ANTENNA_user_to_mprj_oen_buffers\[82\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _346_/Y user_to_mprj_oen_buffers\[83\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _346_/Y ANTENNA_user_to_mprj_oen_buffers\[83\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _347_/Y user_to_mprj_oen_buffers\[84\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _347_/Y ANTENNA_user_to_mprj_oen_buffers\[84\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _348_/Y user_to_mprj_oen_buffers\[85\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _348_/Y ANTENNA_user_to_mprj_oen_buffers\[85\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _349_/Y user_to_mprj_oen_buffers\[86\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _349_/Y ANTENNA_user_to_mprj_oen_buffers\[86\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _350_/Y user_to_mprj_oen_buffers\[87\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _350_/Y ANTENNA_user_to_mprj_oen_buffers\[87\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _351_/Y user_to_mprj_oen_buffers\[88\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _351_/Y ANTENNA_user_to_mprj_oen_buffers\[88\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _352_/Y user_to_mprj_oen_buffers\[89\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _352_/Y ANTENNA_user_to_mprj_oen_buffers\[89\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _353_/Y user_to_mprj_oen_buffers\[90\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _353_/Y ANTENNA_user_to_mprj_oen_buffers\[90\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _354_/Y user_to_mprj_oen_buffers\[91\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _354_/Y ANTENNA_user_to_mprj_oen_buffers\[91\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _355_/Y user_to_mprj_oen_buffers\[92\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _355_/Y ANTENNA_user_to_mprj_oen_buffers\[92\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _356_/Y user_to_mprj_oen_buffers\[93\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _356_/Y ANTENNA_user_to_mprj_oen_buffers\[93\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _357_/Y user_to_mprj_oen_buffers\[94\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _357_/Y ANTENNA_user_to_mprj_oen_buffers\[94\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _358_/Y user_to_mprj_oen_buffers\[95\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _358_/Y ANTENNA_user_to_mprj_oen_buffers\[95\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _359_/Y user_to_mprj_oen_buffers\[96\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _359_/Y ANTENNA_user_to_mprj_oen_buffers\[96\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _360_/Y user_to_mprj_oen_buffers\[97\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _360_/Y ANTENNA_user_to_mprj_oen_buffers\[97\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _361_/Y user_to_mprj_oen_buffers\[98\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _361_/Y ANTENNA_user_to_mprj_oen_buffers\[98\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _362_/Y user_to_mprj_oen_buffers\[99\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _362_/Y ANTENNA_user_to_mprj_oen_buffers\[99\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _363_/Y user_to_mprj_oen_buffers\[100\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _363_/Y ANTENNA_user_to_mprj_oen_buffers\[100\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _364_/Y user_to_mprj_oen_buffers\[101\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _364_/Y ANTENNA_user_to_mprj_oen_buffers\[101\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _365_/Y user_to_mprj_oen_buffers\[102\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _365_/Y ANTENNA_user_to_mprj_oen_buffers\[102\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _366_/Y user_to_mprj_oen_buffers\[103\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _366_/Y ANTENNA_user_to_mprj_oen_buffers\[103\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _367_/Y user_to_mprj_oen_buffers\[104\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _367_/Y ANTENNA_user_to_mprj_oen_buffers\[104\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _368_/Y user_to_mprj_oen_buffers\[105\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _368_/Y ANTENNA_user_to_mprj_oen_buffers\[105\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _369_/Y user_to_mprj_oen_buffers\[106\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _369_/Y ANTENNA_user_to_mprj_oen_buffers\[106\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _370_/Y user_to_mprj_oen_buffers\[107\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _370_/Y ANTENNA_user_to_mprj_oen_buffers\[107\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _371_/Y user_to_mprj_oen_buffers\[108\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _371_/Y ANTENNA_user_to_mprj_oen_buffers\[108\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _372_/Y user_to_mprj_oen_buffers\[109\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _372_/Y ANTENNA_user_to_mprj_oen_buffers\[109\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _373_/Y user_to_mprj_oen_buffers\[110\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _373_/Y ANTENNA_user_to_mprj_oen_buffers\[110\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _374_/Y user_to_mprj_oen_buffers\[111\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _374_/Y ANTENNA_user_to_mprj_oen_buffers\[111\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _375_/Y user_to_mprj_oen_buffers\[112\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _375_/Y ANTENNA_user_to_mprj_oen_buffers\[112\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _376_/Y user_to_mprj_oen_buffers\[113\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _376_/Y ANTENNA_user_to_mprj_oen_buffers\[113\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _377_/Y user_to_mprj_oen_buffers\[114\]/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _377_/Y ANTENNA_user_to_mprj_oen_buffers\[114\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _378_/Y user_to_mprj_oen_buffers\[115\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _378_/Y ANTENNA_user_to_mprj_oen_buffers\[115\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _379_/Y user_to_mprj_oen_buffers\[116\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _379_/Y ANTENNA_user_to_mprj_oen_buffers\[116\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _380_/Y user_to_mprj_oen_buffers\[117\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _380_/Y ANTENNA_user_to_mprj_oen_buffers\[117\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _381_/Y user_to_mprj_oen_buffers\[118\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _381_/Y ANTENNA_user_to_mprj_oen_buffers\[118\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _382_/Y user_to_mprj_oen_buffers\[119\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _382_/Y ANTENNA_user_to_mprj_oen_buffers\[119\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _383_/Y user_to_mprj_oen_buffers\[120\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _383_/Y ANTENNA_user_to_mprj_oen_buffers\[120\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _384_/Y user_to_mprj_oen_buffers\[121\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _384_/Y ANTENNA_user_to_mprj_oen_buffers\[121\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _385_/Y user_to_mprj_oen_buffers\[122\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _385_/Y ANTENNA_user_to_mprj_oen_buffers\[122\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _386_/Y user_to_mprj_oen_buffers\[123\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _386_/Y ANTENNA_user_to_mprj_oen_buffers\[123\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _387_/Y user_to_mprj_oen_buffers\[124\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _387_/Y ANTENNA_user_to_mprj_oen_buffers\[124\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _388_/Y user_to_mprj_oen_buffers\[125\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _388_/Y ANTENNA_user_to_mprj_oen_buffers\[125\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _389_/Y user_to_mprj_oen_buffers\[126\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _389_/Y ANTENNA_user_to_mprj_oen_buffers\[126\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _390_/Y user_to_mprj_oen_buffers\[127\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _390_/Y ANTENNA_user_to_mprj_oen_buffers\[127\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _391_/Y mprj_clk_buf/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _391_/Y ANTENNA_mprj_clk_buf_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _392_/Y mprj_clk2_buf/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _392_/Y ANTENNA_mprj_clk2_buf_A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _393_/Y mprj_cyc_buf/A (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _393_/Y ANTENNA_mprj_cyc_buf_A/DIODE (0.034::0.034) (0.031::0.031)) + (INTERCONNECT _394_/Y mprj_stb_buf/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _394_/Y ANTENNA_mprj_stb_buf_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _395_/Y mprj_we_buf/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _395_/Y ANTENNA_mprj_we_buf_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _396_/Y mprj_sel_buf\[0\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _396_/Y ANTENNA_mprj_sel_buf\[0\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _397_/Y mprj_sel_buf\[1\]/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _397_/Y ANTENNA_mprj_sel_buf\[1\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _398_/Y mprj_sel_buf\[2\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _398_/Y ANTENNA_mprj_sel_buf\[2\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _399_/Y mprj_sel_buf\[3\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _399_/Y ANTENNA_mprj_sel_buf\[3\]_A/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT _400_/Y mprj_adr_buf\[0\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _400_/Y ANTENNA_mprj_adr_buf\[0\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _401_/Y mprj_adr_buf\[1\]/A (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _401_/Y ANTENNA_mprj_adr_buf\[1\]_A/DIODE (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _402_/Y mprj_adr_buf\[2\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _402_/Y ANTENNA_mprj_adr_buf\[2\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _403_/Y mprj_adr_buf\[3\]/A (0.108::0.108) (0.098::0.098)) + (INTERCONNECT _403_/Y ANTENNA_mprj_adr_buf\[3\]_A/DIODE (0.108::0.108) (0.098::0.098)) + (INTERCONNECT _404_/Y mprj_adr_buf\[4\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _404_/Y ANTENNA_mprj_adr_buf\[4\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _405_/Y mprj_adr_buf\[5\]/A (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _405_/Y ANTENNA_mprj_adr_buf\[5\]_A/DIODE (0.041::0.041) (0.038::0.038)) + (INTERCONNECT _406_/Y mprj_adr_buf\[6\]/A (0.058::0.058) (0.057::0.057)) + (INTERCONNECT _406_/Y ANTENNA_mprj_adr_buf\[6\]_A/DIODE (0.058::0.058) (0.057::0.057)) + (INTERCONNECT _407_/Y mprj_adr_buf\[7\]/A (0.061::0.061) (0.058::0.058)) + (INTERCONNECT _407_/Y ANTENNA_mprj_adr_buf\[7\]_A/DIODE (0.061::0.061) (0.058::0.058)) + (INTERCONNECT _408_/Y mprj_adr_buf\[8\]/A (0.065::0.065) (0.061::0.061)) + (INTERCONNECT _408_/Y ANTENNA_mprj_adr_buf\[8\]_A/DIODE (0.065::0.065) (0.061::0.061)) + (INTERCONNECT _409_/Y mprj_adr_buf\[9\]/A (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _409_/Y ANTENNA_mprj_adr_buf\[9\]_A/DIODE (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _410_/Y mprj_adr_buf\[10\]/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _410_/Y ANTENNA_mprj_adr_buf\[10\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _411_/Y mprj_adr_buf\[11\]/A (0.028::0.028) (0.027::0.027)) + (INTERCONNECT _411_/Y ANTENNA_mprj_adr_buf\[11\]_A/DIODE (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _412_/Y mprj_adr_buf\[12\]/A (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _412_/Y ANTENNA_mprj_adr_buf\[12\]_A/DIODE (0.035::0.035) (0.033::0.033)) + (INTERCONNECT _413_/Y mprj_adr_buf\[13\]/A (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _413_/Y ANTENNA_mprj_adr_buf\[13\]_A/DIODE (0.043::0.043) (0.040::0.040)) + (INTERCONNECT _414_/Y mprj_adr_buf\[14\]/A (0.049::0.049) (0.048::0.048)) + (INTERCONNECT _414_/Y ANTENNA_mprj_adr_buf\[14\]_A/DIODE (0.049::0.049) (0.048::0.048)) + (INTERCONNECT _415_/Y mprj_adr_buf\[15\]/A (0.032::0.032) (0.031::0.031)) + (INTERCONNECT _415_/Y ANTENNA_mprj_adr_buf\[15\]_A/DIODE (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _416_/Y mprj_adr_buf\[16\]/A (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _416_/Y ANTENNA_mprj_adr_buf\[16\]_A/DIODE (0.038::0.038) (0.036::0.036)) + (INTERCONNECT _417_/Y mprj_adr_buf\[17\]/A (0.045::0.045) (0.042::0.042)) + (INTERCONNECT _417_/Y ANTENNA_mprj_adr_buf\[17\]_A/DIODE (0.044::0.044) (0.041::0.041)) + (INTERCONNECT _418_/Y mprj_adr_buf\[18\]/A (0.052::0.052) (0.049::0.049)) + (INTERCONNECT _418_/Y ANTENNA_mprj_adr_buf\[18\]_A/DIODE (0.052::0.052) (0.049::0.049)) + (INTERCONNECT _419_/Y mprj_adr_buf\[19\]/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _419_/Y ANTENNA_mprj_adr_buf\[19\]_A/DIODE (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _420_/Y mprj_adr_buf\[20\]/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _420_/Y ANTENNA_mprj_adr_buf\[20\]_A/DIODE (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _421_/Y mprj_adr_buf\[21\]/A (0.045::0.045) (0.042::0.042)) + (INTERCONNECT _421_/Y ANTENNA_mprj_adr_buf\[21\]_A/DIODE (0.045::0.045) (0.041::0.041)) + (INTERCONNECT _422_/Y mprj_adr_buf\[22\]/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _422_/Y ANTENNA_mprj_adr_buf\[22\]_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _423_/Y mprj_adr_buf\[23\]/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _423_/Y ANTENNA_mprj_adr_buf\[23\]_A/DIODE (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _424_/Y mprj_adr_buf\[24\]/A (0.094::0.094) (0.088::0.088)) + (INTERCONNECT _424_/Y ANTENNA_mprj_adr_buf\[24\]_A/DIODE (0.094::0.094) (0.087::0.087)) + (INTERCONNECT _425_/Y mprj_adr_buf\[25\]/A (0.045::0.045) (0.044::0.044)) + (INTERCONNECT _425_/Y ANTENNA_mprj_adr_buf\[25\]_A/DIODE (0.045::0.045) (0.043::0.043)) + (INTERCONNECT _426_/Y mprj_adr_buf\[26\]/A (0.085::0.085) (0.079::0.079)) + (INTERCONNECT _426_/Y ANTENNA_mprj_adr_buf\[26\]_A/DIODE (0.085::0.085) (0.079::0.079)) + (INTERCONNECT _427_/Y mprj_adr_buf\[27\]/A (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _427_/Y ANTENNA_mprj_adr_buf\[27\]_A/DIODE (0.019::0.019) (0.018::0.018)) + (INTERCONNECT _428_/Y mprj_adr_buf\[28\]/A (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _428_/Y ANTENNA_mprj_adr_buf\[28\]_A/DIODE (0.028::0.028) (0.026::0.026)) + (INTERCONNECT _429_/Y mprj_adr_buf\[29\]/A (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _429_/Y ANTENNA_mprj_adr_buf\[29\]_A/DIODE (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _430_/Y mprj_adr_buf\[30\]/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _430_/Y ANTENNA_mprj_adr_buf\[30\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT _431_/Y mprj_adr_buf\[31\]/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _431_/Y ANTENNA_mprj_adr_buf\[31\]_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _432_/Y mprj_dat_buf\[0\]/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT _432_/Y ANTENNA_mprj_dat_buf\[0\]_A/DIODE (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _433_/Y mprj_dat_buf\[1\]/A (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _433_/Y ANTENNA_mprj_dat_buf\[1\]_A/DIODE (0.030::0.030) (0.028::0.028)) + (INTERCONNECT _434_/Y mprj_dat_buf\[2\]/A (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _434_/Y ANTENNA_mprj_dat_buf\[2\]_A/DIODE (0.029::0.029) (0.028::0.028)) + (INTERCONNECT _435_/Y mprj_dat_buf\[3\]/A (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _435_/Y ANTENNA_mprj_dat_buf\[3\]_A/DIODE (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _436_/Y mprj_dat_buf\[4\]/A (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _436_/Y ANTENNA_mprj_dat_buf\[4\]_A/DIODE (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _437_/Y mprj_dat_buf\[5\]/A (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _437_/Y ANTENNA_mprj_dat_buf\[5\]_A/DIODE (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _438_/Y mprj_dat_buf\[6\]/A (0.022::0.022) (0.020::0.020)) + (INTERCONNECT _438_/Y ANTENNA_mprj_dat_buf\[6\]_A/DIODE (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _439_/Y mprj_dat_buf\[7\]/A (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _439_/Y ANTENNA_mprj_dat_buf\[7\]_A/DIODE (0.024::0.024) (0.023::0.023)) + (INTERCONNECT _440_/Y mprj_dat_buf\[8\]/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT _440_/Y ANTENNA_mprj_dat_buf\[8\]_A/DIODE (0.016::0.016) (0.015::0.015)) + (INTERCONNECT _441_/Y mprj_dat_buf\[9\]/A (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _441_/Y ANTENNA_mprj_dat_buf\[9\]_A/DIODE (0.033::0.033) (0.031::0.031)) + (INTERCONNECT _442_/Y mprj_dat_buf\[10\]/A (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _442_/Y ANTENNA_mprj_dat_buf\[10\]_A/DIODE (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _443_/Y mprj_dat_buf\[11\]/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _443_/Y ANTENNA_mprj_dat_buf\[11\]_A/DIODE (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _444_/Y mprj_dat_buf\[12\]/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _444_/Y ANTENNA_mprj_dat_buf\[12\]_A/DIODE (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _445_/Y mprj_dat_buf\[13\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _445_/Y ANTENNA_mprj_dat_buf\[13\]_A/DIODE (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _446_/Y mprj_dat_buf\[14\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _446_/Y ANTENNA_mprj_dat_buf\[14\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _447_/Y mprj_dat_buf\[15\]/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _447_/Y ANTENNA_mprj_dat_buf\[15\]_A/DIODE (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _448_/Y mprj_dat_buf\[16\]/A (0.037::0.037) (0.034::0.034)) + (INTERCONNECT _448_/Y ANTENNA_mprj_dat_buf\[16\]_A/DIODE (0.036::0.036) (0.034::0.034)) + (INTERCONNECT _449_/Y mprj_dat_buf\[17\]/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT _449_/Y ANTENNA_mprj_dat_buf\[17\]_A/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT _450_/Y mprj_dat_buf\[18\]/A (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _450_/Y ANTENNA_mprj_dat_buf\[18\]_A/DIODE (0.027::0.027) (0.025::0.025)) + (INTERCONNECT _451_/Y mprj_dat_buf\[19\]/A (0.025::0.025) (0.024::0.024)) + (INTERCONNECT _451_/Y ANTENNA_mprj_dat_buf\[19\]_A/DIODE (0.025::0.025) (0.023::0.023)) + (INTERCONNECT _452_/Y mprj_dat_buf\[20\]/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _452_/Y ANTENNA_mprj_dat_buf\[20\]_A/DIODE (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _453_/Y mprj_dat_buf\[21\]/A (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _453_/Y ANTENNA_mprj_dat_buf\[21\]_A/DIODE (0.032::0.032) (0.030::0.030)) + (INTERCONNECT _454_/Y mprj_dat_buf\[22\]/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _454_/Y ANTENNA_mprj_dat_buf\[22\]_A/DIODE (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _455_/Y mprj_dat_buf\[23\]/A (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _455_/Y ANTENNA_mprj_dat_buf\[23\]_A/DIODE (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _456_/Y mprj_dat_buf\[24\]/A (0.035::0.035) (0.032::0.032)) + (INTERCONNECT _456_/Y ANTENNA_mprj_dat_buf\[24\]_A/DIODE (0.034::0.034) (0.032::0.032)) + (INTERCONNECT _457_/Y mprj_dat_buf\[25\]/A (0.024::0.024) (0.022::0.022)) + (INTERCONNECT _457_/Y ANTENNA_mprj_dat_buf\[25\]_A/DIODE (0.023::0.023) (0.022::0.022)) + (INTERCONNECT _458_/Y mprj_dat_buf\[26\]/A (0.029::0.029) (0.027::0.027)) + (INTERCONNECT _458_/Y ANTENNA_mprj_dat_buf\[26\]_A/DIODE (0.029::0.029) (0.026::0.026)) + (INTERCONNECT _459_/Y mprj_dat_buf\[27\]/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT _459_/Y ANTENNA_mprj_dat_buf\[27\]_A/DIODE (0.013::0.013) (0.012::0.012)) + (INTERCONNECT _460_/Y mprj_dat_buf\[28\]/A (0.021::0.021) (0.019::0.019)) + (INTERCONNECT _460_/Y ANTENNA_mprj_dat_buf\[28\]_A/DIODE (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _461_/Y mprj_dat_buf\[29\]/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _461_/Y ANTENNA_mprj_dat_buf\[29\]_A/DIODE (0.020::0.020) (0.019::0.019)) + (INTERCONNECT _462_/Y mprj_dat_buf\[30\]/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT _462_/Y ANTENNA_mprj_dat_buf\[30\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT _463_/Y mprj_dat_buf\[31\]/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _463_/Y ANTENNA_mprj_dat_buf\[31\]_A/DIODE (0.017::0.017) (0.016::0.016)) + (INTERCONNECT _464_/Y la_buf\[0\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _464_/Y ANTENNA_la_buf\[0\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _465_/Y la_buf\[1\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _465_/Y ANTENNA_la_buf\[1\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _466_/Y la_buf\[2\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _466_/Y ANTENNA_la_buf\[2\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _467_/Y la_buf\[3\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _467_/Y ANTENNA_la_buf\[3\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _468_/Y la_buf\[4\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _468_/Y ANTENNA_la_buf\[4\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _469_/Y la_buf\[5\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _469_/Y ANTENNA_la_buf\[5\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _470_/Y la_buf\[6\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _470_/Y ANTENNA_la_buf\[6\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _471_/Y la_buf\[7\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _471_/Y ANTENNA_la_buf\[7\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _472_/Y la_buf\[8\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _472_/Y ANTENNA_la_buf\[8\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _473_/Y la_buf\[9\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _473_/Y ANTENNA_la_buf\[9\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _474_/Y la_buf\[10\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _474_/Y ANTENNA_la_buf\[10\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _475_/Y la_buf\[11\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _475_/Y ANTENNA_la_buf\[11\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _476_/Y la_buf\[12\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _476_/Y ANTENNA_la_buf\[12\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _477_/Y la_buf\[13\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _477_/Y ANTENNA_la_buf\[13\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _478_/Y la_buf\[14\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _478_/Y ANTENNA_la_buf\[14\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _479_/Y la_buf\[15\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _479_/Y ANTENNA_la_buf\[15\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _480_/Y la_buf\[16\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _480_/Y ANTENNA_la_buf\[16\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _481_/Y la_buf\[17\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _481_/Y ANTENNA_la_buf\[17\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _482_/Y la_buf\[18\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _482_/Y ANTENNA_la_buf\[18\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _483_/Y la_buf\[19\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _483_/Y ANTENNA_la_buf\[19\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _484_/Y la_buf\[20\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _484_/Y ANTENNA_la_buf\[20\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _485_/Y la_buf\[21\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _485_/Y ANTENNA_la_buf\[21\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _486_/Y la_buf\[22\]/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _486_/Y ANTENNA_la_buf\[22\]_A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _487_/Y la_buf\[23\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _487_/Y ANTENNA_la_buf\[23\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _488_/Y la_buf\[24\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _488_/Y ANTENNA_la_buf\[24\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _489_/Y la_buf\[25\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _489_/Y ANTENNA_la_buf\[25\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _490_/Y la_buf\[26\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _490_/Y ANTENNA_la_buf\[26\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _491_/Y la_buf\[27\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _491_/Y ANTENNA_la_buf\[27\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _492_/Y la_buf\[28\]/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _492_/Y ANTENNA_la_buf\[28\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _493_/Y la_buf\[29\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _493_/Y ANTENNA_la_buf\[29\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _494_/Y la_buf\[30\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _494_/Y ANTENNA_la_buf\[30\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _495_/Y la_buf\[31\]/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _495_/Y ANTENNA_la_buf\[31\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _496_/Y la_buf\[32\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _496_/Y ANTENNA_la_buf\[32\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _497_/Y la_buf\[33\]/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _497_/Y ANTENNA_la_buf\[33\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _498_/Y la_buf\[34\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _498_/Y ANTENNA_la_buf\[34\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _499_/Y la_buf\[35\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _499_/Y ANTENNA_la_buf\[35\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _500_/Y la_buf\[36\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _500_/Y ANTENNA_la_buf\[36\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _501_/Y la_buf\[37\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _501_/Y ANTENNA_la_buf\[37\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _502_/Y la_buf\[38\]/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _502_/Y ANTENNA_la_buf\[38\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _503_/Y la_buf\[39\]/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _503_/Y ANTENNA_la_buf\[39\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _504_/Y la_buf\[40\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _504_/Y ANTENNA_la_buf\[40\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _505_/Y la_buf\[41\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _505_/Y ANTENNA_la_buf\[41\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _506_/Y la_buf\[42\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _506_/Y ANTENNA_la_buf\[42\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _507_/Y la_buf\[43\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _507_/Y ANTENNA_la_buf\[43\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _508_/Y la_buf\[44\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _508_/Y ANTENNA_la_buf\[44\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _509_/Y la_buf\[45\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _509_/Y ANTENNA_la_buf\[45\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _510_/Y la_buf\[46\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _510_/Y ANTENNA_la_buf\[46\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _511_/Y la_buf\[47\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _511_/Y ANTENNA_la_buf\[47\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _512_/Y la_buf\[48\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _512_/Y ANTENNA_la_buf\[48\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _513_/Y la_buf\[49\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _513_/Y ANTENNA_la_buf\[49\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _514_/Y la_buf\[50\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _514_/Y ANTENNA_la_buf\[50\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _515_/Y la_buf\[51\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _515_/Y ANTENNA_la_buf\[51\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _516_/Y la_buf\[52\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _516_/Y ANTENNA_la_buf\[52\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _517_/Y la_buf\[53\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _517_/Y ANTENNA_la_buf\[53\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _518_/Y la_buf\[54\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _518_/Y ANTENNA_la_buf\[54\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _519_/Y la_buf\[55\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _519_/Y ANTENNA_la_buf\[55\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _520_/Y la_buf\[56\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _520_/Y ANTENNA_la_buf\[56\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _521_/Y la_buf\[57\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _521_/Y ANTENNA_la_buf\[57\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _522_/Y la_buf\[58\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _522_/Y ANTENNA_la_buf\[58\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _523_/Y la_buf\[59\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _523_/Y ANTENNA_la_buf\[59\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _524_/Y la_buf\[60\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _524_/Y ANTENNA_la_buf\[60\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _525_/Y la_buf\[61\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _525_/Y ANTENNA_la_buf\[61\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _526_/Y la_buf\[62\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _526_/Y ANTENNA_la_buf\[62\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _527_/Y la_buf\[63\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _527_/Y ANTENNA_la_buf\[63\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _528_/Y la_buf\[64\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _528_/Y ANTENNA_la_buf\[64\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _529_/Y la_buf\[65\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _529_/Y ANTENNA_la_buf\[65\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _530_/Y la_buf\[66\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _530_/Y ANTENNA_la_buf\[66\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _531_/Y la_buf\[67\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _531_/Y ANTENNA_la_buf\[67\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _532_/Y la_buf\[68\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _532_/Y ANTENNA_la_buf\[68\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _533_/Y la_buf\[69\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _533_/Y ANTENNA_la_buf\[69\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _534_/Y la_buf\[70\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _534_/Y ANTENNA_la_buf\[70\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _535_/Y la_buf\[71\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _535_/Y ANTENNA_la_buf\[71\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _536_/Y la_buf\[72\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _536_/Y ANTENNA_la_buf\[72\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _537_/Y la_buf\[73\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _537_/Y ANTENNA_la_buf\[73\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _538_/Y la_buf\[74\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _538_/Y ANTENNA_la_buf\[74\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _539_/Y la_buf\[75\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _539_/Y ANTENNA_la_buf\[75\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _540_/Y la_buf\[76\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _540_/Y ANTENNA_la_buf\[76\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _541_/Y la_buf\[77\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _541_/Y ANTENNA_la_buf\[77\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _542_/Y la_buf\[78\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _542_/Y ANTENNA_la_buf\[78\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _543_/Y la_buf\[79\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _543_/Y ANTENNA_la_buf\[79\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _544_/Y la_buf\[80\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _544_/Y ANTENNA_la_buf\[80\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _545_/Y la_buf\[81\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _545_/Y ANTENNA_la_buf\[81\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _546_/Y la_buf\[82\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _546_/Y ANTENNA_la_buf\[82\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _547_/Y la_buf\[83\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _547_/Y ANTENNA_la_buf\[83\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _548_/Y la_buf\[84\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _548_/Y ANTENNA_la_buf\[84\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _549_/Y la_buf\[85\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _549_/Y ANTENNA_la_buf\[85\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _550_/Y la_buf\[86\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _550_/Y ANTENNA_la_buf\[86\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _551_/Y la_buf\[87\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _551_/Y ANTENNA_la_buf\[87\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _552_/Y la_buf\[88\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _552_/Y ANTENNA_la_buf\[88\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _553_/Y la_buf\[89\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _553_/Y ANTENNA_la_buf\[89\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _554_/Y la_buf\[90\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _554_/Y ANTENNA_la_buf\[90\]_A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _555_/Y la_buf\[91\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _555_/Y ANTENNA_la_buf\[91\]_A/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _556_/Y la_buf\[92\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _556_/Y ANTENNA_la_buf\[92\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _557_/Y la_buf\[93\]/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _557_/Y ANTENNA_la_buf\[93\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _558_/Y la_buf\[94\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _558_/Y ANTENNA_la_buf\[94\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _559_/Y la_buf\[95\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _559_/Y ANTENNA_la_buf\[95\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _560_/Y la_buf\[96\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _560_/Y ANTENNA_la_buf\[96\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _561_/Y la_buf\[97\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _561_/Y ANTENNA_la_buf\[97\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _562_/Y la_buf\[98\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _562_/Y ANTENNA_la_buf\[98\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _563_/Y la_buf\[99\]/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _563_/Y ANTENNA_la_buf\[99\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _564_/Y la_buf\[100\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _564_/Y ANTENNA_la_buf\[100\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _565_/Y la_buf\[101\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _565_/Y ANTENNA_la_buf\[101\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _566_/Y la_buf\[102\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _566_/Y ANTENNA_la_buf\[102\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _567_/Y la_buf\[103\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _567_/Y ANTENNA_la_buf\[103\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _568_/Y la_buf\[104\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _568_/Y ANTENNA_la_buf\[104\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _569_/Y la_buf\[105\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _569_/Y ANTENNA_la_buf\[105\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _570_/Y la_buf\[106\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _570_/Y ANTENNA_la_buf\[106\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _571_/Y la_buf\[107\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _571_/Y ANTENNA_la_buf\[107\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _572_/Y la_buf\[108\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _572_/Y ANTENNA_la_buf\[108\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _573_/Y la_buf\[109\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _573_/Y ANTENNA_la_buf\[109\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _574_/Y la_buf\[110\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _574_/Y ANTENNA_la_buf\[110\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _575_/Y la_buf\[111\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _575_/Y ANTENNA_la_buf\[111\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _576_/Y la_buf\[112\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _576_/Y ANTENNA_la_buf\[112\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _577_/Y la_buf\[113\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _577_/Y ANTENNA_la_buf\[113\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _578_/Y la_buf\[114\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _578_/Y ANTENNA_la_buf\[114\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _579_/Y la_buf\[115\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _579_/Y ANTENNA_la_buf\[115\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _580_/Y la_buf\[116\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _580_/Y ANTENNA_la_buf\[116\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _581_/Y la_buf\[117\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _581_/Y ANTENNA_la_buf\[117\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _582_/Y la_buf\[118\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _582_/Y ANTENNA_la_buf\[118\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _583_/Y la_buf\[119\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _583_/Y ANTENNA_la_buf\[119\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _584_/Y la_buf\[120\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _584_/Y ANTENNA_la_buf\[120\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _585_/Y la_buf\[121\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _585_/Y ANTENNA_la_buf\[121\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _586_/Y la_buf\[122\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _586_/Y ANTENNA_la_buf\[122\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _587_/Y la_buf\[123\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _587_/Y ANTENNA_la_buf\[123\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _588_/Y la_buf\[124\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _588_/Y ANTENNA_la_buf\[124\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _589_/Y la_buf\[125\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _589_/Y ANTENNA_la_buf\[125\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _590_/Y la_buf\[126\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _590_/Y ANTENNA_la_buf\[126\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _591_/Y la_buf\[127\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _591_/Y ANTENNA_la_buf\[127\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _592_/Y user_to_mprj_oen_buffers\[0\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _592_/Y ANTENNA_user_to_mprj_oen_buffers\[0\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _593_/Y user_to_mprj_oen_buffers\[1\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _593_/Y ANTENNA_user_to_mprj_oen_buffers\[1\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _594_/Y user_to_mprj_oen_buffers\[2\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _594_/Y ANTENNA_user_to_mprj_oen_buffers\[2\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _595_/Y user_to_mprj_oen_buffers\[3\]/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT _595_/Y ANTENNA_user_to_mprj_oen_buffers\[3\]_A/DIODE (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _596_/Y user_to_mprj_oen_buffers\[4\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _596_/Y ANTENNA_user_to_mprj_oen_buffers\[4\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _597_/Y user_to_mprj_oen_buffers\[5\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _597_/Y ANTENNA_user_to_mprj_oen_buffers\[5\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _598_/Y user_to_mprj_oen_buffers\[6\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _598_/Y ANTENNA_user_to_mprj_oen_buffers\[6\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _599_/Y user_to_mprj_oen_buffers\[7\]/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _599_/Y ANTENNA_user_to_mprj_oen_buffers\[7\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _600_/Y user_to_mprj_oen_buffers\[8\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _600_/Y ANTENNA_user_to_mprj_oen_buffers\[8\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _601_/Y user_to_mprj_oen_buffers\[9\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _601_/Y ANTENNA_user_to_mprj_oen_buffers\[9\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _602_/Y user_to_mprj_oen_buffers\[10\]/A (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _602_/Y ANTENNA_user_to_mprj_oen_buffers\[10\]_A/DIODE (0.008::0.008) (0.007::0.007)) + (INTERCONNECT _603_/Y user_to_mprj_oen_buffers\[11\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _603_/Y ANTENNA_user_to_mprj_oen_buffers\[11\]_A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT _604_/Y user_to_mprj_oen_buffers\[12\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _604_/Y ANTENNA_user_to_mprj_oen_buffers\[12\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _605_/Y user_to_mprj_oen_buffers\[13\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _605_/Y ANTENNA_user_to_mprj_oen_buffers\[13\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _606_/Y user_to_mprj_oen_buffers\[14\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _606_/Y ANTENNA_user_to_mprj_oen_buffers\[14\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _607_/Y user_to_mprj_oen_buffers\[15\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _607_/Y ANTENNA_user_to_mprj_oen_buffers\[15\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _608_/Y user_to_mprj_oen_buffers\[16\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _608_/Y ANTENNA_user_to_mprj_oen_buffers\[16\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _609_/Y user_to_mprj_oen_buffers\[17\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _609_/Y ANTENNA_user_to_mprj_oen_buffers\[17\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _610_/Y user_to_mprj_oen_buffers\[18\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _610_/Y ANTENNA_user_to_mprj_oen_buffers\[18\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _611_/Y user_to_mprj_oen_buffers\[19\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _611_/Y ANTENNA_user_to_mprj_oen_buffers\[19\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _612_/Y user_to_mprj_oen_buffers\[20\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _612_/Y ANTENNA_user_to_mprj_oen_buffers\[20\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _613_/Y user_to_mprj_oen_buffers\[21\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _613_/Y ANTENNA_user_to_mprj_oen_buffers\[21\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _614_/Y user_to_mprj_oen_buffers\[22\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _614_/Y ANTENNA_user_to_mprj_oen_buffers\[22\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _615_/Y user_to_mprj_oen_buffers\[23\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _615_/Y ANTENNA_user_to_mprj_oen_buffers\[23\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _616_/Y user_to_mprj_oen_buffers\[24\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _616_/Y ANTENNA_user_to_mprj_oen_buffers\[24\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _617_/Y user_to_mprj_oen_buffers\[25\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _617_/Y ANTENNA_user_to_mprj_oen_buffers\[25\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _618_/Y user_to_mprj_oen_buffers\[26\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _618_/Y ANTENNA_user_to_mprj_oen_buffers\[26\]_A/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _619_/Y user_to_mprj_oen_buffers\[27\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _619_/Y ANTENNA_user_to_mprj_oen_buffers\[27\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _620_/Y user_to_mprj_oen_buffers\[28\]/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT _620_/Y ANTENNA_user_to_mprj_oen_buffers\[28\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _621_/Y user_to_mprj_oen_buffers\[29\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _621_/Y ANTENNA_user_to_mprj_oen_buffers\[29\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _622_/Y user_to_mprj_oen_buffers\[30\]/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _622_/Y ANTENNA_user_to_mprj_oen_buffers\[30\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _623_/Y user_to_mprj_oen_buffers\[31\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _623_/Y ANTENNA_user_to_mprj_oen_buffers\[31\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _624_/Y user_to_mprj_oen_buffers\[32\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _624_/Y ANTENNA_user_to_mprj_oen_buffers\[32\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT _625_/Y user_to_mprj_oen_buffers\[33\]/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT _625_/Y ANTENNA_user_to_mprj_oen_buffers\[33\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT _626_/Y user_to_mprj_oen_buffers\[34\]/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _626_/Y ANTENNA_user_to_mprj_oen_buffers\[34\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT _627_/Y user_to_mprj_oen_buffers\[35\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _627_/Y ANTENNA_user_to_mprj_oen_buffers\[35\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _628_/Y user_to_mprj_oen_buffers\[36\]/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _628_/Y ANTENNA_user_to_mprj_oen_buffers\[36\]_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT _629_/Y user_to_mprj_oen_buffers\[37\]/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _629_/Y ANTENNA_user_to_mprj_oen_buffers\[37\]_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT _630_/Y user_to_mprj_oen_buffers\[38\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _630_/Y ANTENNA_user_to_mprj_oen_buffers\[38\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT _631_/Y user_to_mprj_oen_buffers\[39\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _631_/Y ANTENNA_user_to_mprj_oen_buffers\[39\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _632_/Y user_to_mprj_oen_buffers\[40\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _632_/Y ANTENNA_user_to_mprj_oen_buffers\[40\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _633_/Y user_to_mprj_oen_buffers\[41\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _633_/Y ANTENNA_user_to_mprj_oen_buffers\[41\]_A/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT _634_/Y user_to_mprj_oen_buffers\[42\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _634_/Y ANTENNA_user_to_mprj_oen_buffers\[42\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _635_/Y user_to_mprj_oen_buffers\[43\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _635_/Y ANTENNA_user_to_mprj_oen_buffers\[43\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _636_/Y user_to_mprj_oen_buffers\[44\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _636_/Y ANTENNA_user_to_mprj_oen_buffers\[44\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _637_/Y user_to_mprj_oen_buffers\[45\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _637_/Y ANTENNA_user_to_mprj_oen_buffers\[45\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT _638_/Y user_to_mprj_oen_buffers\[46\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _638_/Y ANTENNA_user_to_mprj_oen_buffers\[46\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _639_/Y user_to_mprj_oen_buffers\[47\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _639_/Y ANTENNA_user_to_mprj_oen_buffers\[47\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _640_/Y user_to_mprj_oen_buffers\[48\]/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _640_/Y ANTENNA_user_to_mprj_oen_buffers\[48\]_A/DIODE (0.012::0.012) (0.011::0.011)) + (INTERCONNECT _641_/Y user_to_mprj_oen_buffers\[49\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _641_/Y ANTENNA_user_to_mprj_oen_buffers\[49\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _642_/Y user_to_mprj_oen_buffers\[50\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _642_/Y ANTENNA_user_to_mprj_oen_buffers\[50\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT _643_/Y user_to_mprj_oen_buffers\[51\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _643_/Y ANTENNA_user_to_mprj_oen_buffers\[51\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _644_/Y user_to_mprj_oen_buffers\[52\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _644_/Y ANTENNA_user_to_mprj_oen_buffers\[52\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT _645_/Y user_to_mprj_oen_buffers\[53\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _645_/Y ANTENNA_user_to_mprj_oen_buffers\[53\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _646_/Y user_to_mprj_oen_buffers\[54\]/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT _646_/Y ANTENNA_user_to_mprj_oen_buffers\[54\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT _647_/Y user_to_mprj_oen_buffers\[55\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _647_/Y ANTENNA_user_to_mprj_oen_buffers\[55\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _648_/Y user_to_mprj_oen_buffers\[56\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _648_/Y ANTENNA_user_to_mprj_oen_buffers\[56\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _649_/Y user_to_mprj_oen_buffers\[57\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _649_/Y ANTENNA_user_to_mprj_oen_buffers\[57\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _650_/Y user_to_mprj_oen_buffers\[58\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _650_/Y ANTENNA_user_to_mprj_oen_buffers\[58\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _651_/Y user_to_mprj_oen_buffers\[59\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _651_/Y ANTENNA_user_to_mprj_oen_buffers\[59\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT _652_/Y user_to_mprj_oen_buffers\[60\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _652_/Y ANTENNA_user_to_mprj_oen_buffers\[60\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _653_/Y user_to_mprj_oen_buffers\[61\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _653_/Y ANTENNA_user_to_mprj_oen_buffers\[61\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _654_/Y user_to_mprj_oen_buffers\[62\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _654_/Y ANTENNA_user_to_mprj_oen_buffers\[62\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _655_/Y user_to_mprj_oen_buffers\[63\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _655_/Y ANTENNA_user_to_mprj_oen_buffers\[63\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT _656_/Y user_to_mprj_oen_buffers\[64\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _656_/Y ANTENNA_user_to_mprj_oen_buffers\[64\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT _657_/Y user_to_mprj_oen_buffers\[65\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT _657_/Y ANTENNA_user_to_mprj_oen_buffers\[65\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input1/X _391_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input1/X ANTENNA__391__A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input10/X user_to_mprj_in_gates\[105\]/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input10/X ANTENNA_user_to_mprj_in_gates\[105\]_A/DIODE (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input100/X user_to_mprj_in_gates\[71\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input100/X ANTENNA_user_to_mprj_in_gates\[71\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input101/X user_to_mprj_in_gates\[72\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input101/X ANTENNA_user_to_mprj_in_gates\[72\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input102/X user_to_mprj_in_gates\[73\]/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input102/X ANTENNA_user_to_mprj_in_gates\[73\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input103/X user_to_mprj_in_gates\[74\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input103/X ANTENNA_user_to_mprj_in_gates\[74\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input104/X user_to_mprj_in_gates\[75\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input104/X ANTENNA_user_to_mprj_in_gates\[75\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input105/X user_to_mprj_in_gates\[76\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input105/X ANTENNA_user_to_mprj_in_gates\[76\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input106/X user_to_mprj_in_gates\[77\]/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input106/X ANTENNA_user_to_mprj_in_gates\[77\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input107/X user_to_mprj_in_gates\[78\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input107/X ANTENNA_user_to_mprj_in_gates\[78\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input108/X user_to_mprj_in_gates\[79\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input108/X ANTENNA_user_to_mprj_in_gates\[79\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input109/X user_to_mprj_in_gates\[7\]/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input109/X ANTENNA_user_to_mprj_in_gates\[7\]_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input11/X user_to_mprj_in_gates\[106\]/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input11/X ANTENNA_user_to_mprj_in_gates\[106\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input110/X user_to_mprj_in_gates\[80\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input110/X ANTENNA_user_to_mprj_in_gates\[80\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input111/X user_to_mprj_in_gates\[81\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input111/X ANTENNA_user_to_mprj_in_gates\[81\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input112/X user_to_mprj_in_gates\[82\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input112/X ANTENNA_user_to_mprj_in_gates\[82\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input113/X user_to_mprj_in_gates\[83\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input113/X ANTENNA_user_to_mprj_in_gates\[83\]_A/DIODE (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input114/X user_to_mprj_in_gates\[84\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input114/X ANTENNA_user_to_mprj_in_gates\[84\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input115/X user_to_mprj_in_gates\[85\]/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input115/X ANTENNA_user_to_mprj_in_gates\[85\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input116/X user_to_mprj_in_gates\[86\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input116/X ANTENNA_user_to_mprj_in_gates\[86\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input117/X user_to_mprj_in_gates\[87\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input117/X ANTENNA_user_to_mprj_in_gates\[87\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input118/X user_to_mprj_in_gates\[88\]/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input118/X ANTENNA_user_to_mprj_in_gates\[88\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input119/X user_to_mprj_in_gates\[89\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input119/X ANTENNA_user_to_mprj_in_gates\[89\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input12/X user_to_mprj_in_gates\[107\]/A (0.019::0.019) (0.018::0.018)) + (INTERCONNECT input12/X ANTENNA_user_to_mprj_in_gates\[107\]_A/DIODE (0.019::0.019) (0.018::0.018)) + (INTERCONNECT input120/X user_to_mprj_in_gates\[8\]/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input120/X ANTENNA_user_to_mprj_in_gates\[8\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input121/X user_to_mprj_in_gates\[90\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input121/X ANTENNA_user_to_mprj_in_gates\[90\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input122/X user_to_mprj_in_gates\[91\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input122/X ANTENNA_user_to_mprj_in_gates\[91\]_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input123/X user_to_mprj_in_gates\[92\]/A (0.022::0.022) (0.021::0.021)) + (INTERCONNECT input123/X ANTENNA_user_to_mprj_in_gates\[92\]_A/DIODE (0.022::0.022) (0.021::0.021)) + (INTERCONNECT input124/X user_to_mprj_in_gates\[93\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input124/X ANTENNA_user_to_mprj_in_gates\[93\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input125/X user_to_mprj_in_gates\[94\]/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input125/X ANTENNA_user_to_mprj_in_gates\[94\]_A/DIODE (0.017::0.017) (0.016::0.016)) + (INTERCONNECT input126/X user_to_mprj_in_gates\[95\]/A (0.018::0.018) (0.018::0.018)) + (INTERCONNECT input126/X ANTENNA_user_to_mprj_in_gates\[95\]_A/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT input127/X user_to_mprj_in_gates\[96\]/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input127/X ANTENNA_user_to_mprj_in_gates\[96\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input128/X user_to_mprj_in_gates\[97\]/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input128/X ANTENNA_user_to_mprj_in_gates\[97\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input129/X user_to_mprj_in_gates\[98\]/A (0.019::0.019) (0.019::0.019)) + (INTERCONNECT input129/X ANTENNA_user_to_mprj_in_gates\[98\]_A/DIODE (0.019::0.019) (0.019::0.019)) + (INTERCONNECT input13/X user_to_mprj_in_gates\[108\]/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input13/X ANTENNA_user_to_mprj_in_gates\[108\]_A/DIODE (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input130/X user_to_mprj_in_gates\[99\]/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT input130/X ANTENNA_user_to_mprj_in_gates\[99\]_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input131/X user_to_mprj_in_gates\[9\]/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input131/X ANTENNA_user_to_mprj_in_gates\[9\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input132/X _464_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input132/X ANTENNA__464__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input133/X _564_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input133/X ANTENNA__564__A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input134/X _565_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input134/X ANTENNA__565__A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input135/X _566_/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input135/X ANTENNA__566__A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input136/X _567_/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input136/X ANTENNA__567__A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input137/X _568_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input137/X ANTENNA__568__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input138/X _569_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input138/X ANTENNA__569__A/DIODE (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input139/X _570_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input139/X ANTENNA__570__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input14/X user_to_mprj_in_gates\[109\]/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input14/X ANTENNA_user_to_mprj_in_gates\[109\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input140/X _571_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input140/X ANTENNA__571__A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input141/X _572_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input141/X ANTENNA__572__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input142/X _573_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input142/X ANTENNA__573__A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input143/X _474_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input143/X ANTENNA__474__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input144/X _574_/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input144/X ANTENNA__574__A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input145/X _575_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input145/X ANTENNA__575__A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input146/X _576_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input146/X ANTENNA__576__A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input147/X _577_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input147/X ANTENNA__577__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input148/X _578_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input148/X ANTENNA__578__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input149/X _579_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input149/X ANTENNA__579__A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input15/X user_to_mprj_in_gates\[10\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input15/X ANTENNA_user_to_mprj_in_gates\[10\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input150/X _580_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input150/X ANTENNA__580__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input151/X _581_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input151/X ANTENNA__581__A/DIODE (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input152/X _582_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input152/X ANTENNA__582__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input153/X _583_/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input153/X ANTENNA__583__A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input154/X _475_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input154/X ANTENNA__475__A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input155/X _584_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input155/X ANTENNA__584__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input156/X _585_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input156/X ANTENNA__585__A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input157/X _586_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input157/X ANTENNA__586__A/DIODE (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input158/X _587_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input158/X ANTENNA__587__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input159/X _588_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input159/X ANTENNA__588__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input16/X user_to_mprj_in_gates\[110\]/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT input16/X ANTENNA_user_to_mprj_in_gates\[110\]_A/DIODE (0.015::0.015) (0.014::0.014)) + (INTERCONNECT input160/X _589_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input160/X ANTENNA__589__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input161/X _590_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input161/X ANTENNA__590__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input162/X _591_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input162/X ANTENNA__591__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input163/X _476_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input163/X ANTENNA__476__A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input164/X _477_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input164/X ANTENNA__477__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input165/X _478_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input165/X ANTENNA__478__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input166/X _479_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input166/X ANTENNA__479__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input167/X _480_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input167/X ANTENNA__480__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input168/X _481_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input168/X ANTENNA__481__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input169/X _482_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input169/X ANTENNA__482__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input17/X user_to_mprj_in_gates\[111\]/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input17/X ANTENNA_user_to_mprj_in_gates\[111\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input170/X _483_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input170/X ANTENNA__483__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input171/X _465_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input171/X ANTENNA__465__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input172/X _484_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input172/X ANTENNA__484__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input173/X _485_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input173/X ANTENNA__485__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input174/X _486_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input174/X ANTENNA__486__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input175/X _487_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input175/X ANTENNA__487__A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input176/X _488_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input176/X ANTENNA__488__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input177/X _489_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input177/X ANTENNA__489__A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input178/X _490_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input178/X ANTENNA__490__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input179/X _491_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input179/X ANTENNA__491__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input18/X user_to_mprj_in_gates\[112\]/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input18/X ANTENNA_user_to_mprj_in_gates\[112\]_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input180/X _492_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input180/X ANTENNA__492__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input181/X _493_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input181/X ANTENNA__493__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input182/X _466_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input182/X ANTENNA__466__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input183/X _494_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input183/X ANTENNA__494__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input184/X _495_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input184/X ANTENNA__495__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input185/X _496_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input185/X ANTENNA__496__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input186/X _497_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input186/X ANTENNA__497__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input187/X _498_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input187/X ANTENNA__498__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input188/X _499_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input188/X ANTENNA__499__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input189/X _500_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input189/X ANTENNA__500__A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT input19/X user_to_mprj_in_gates\[113\]/A (0.010::0.010) (0.009::0.009)) + (INTERCONNECT input19/X ANTENNA_user_to_mprj_in_gates\[113\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input190/X _501_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input190/X ANTENNA__501__A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input191/X _502_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input191/X ANTENNA__502__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input192/X _503_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input192/X ANTENNA__503__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input193/X _467_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input193/X ANTENNA__467__A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input194/X _504_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input194/X ANTENNA__504__A/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input195/X _505_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input195/X ANTENNA__505__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input196/X _506_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input196/X ANTENNA__506__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input197/X _507_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input197/X ANTENNA__507__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input198/X _508_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input198/X ANTENNA__508__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input199/X _509_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input199/X ANTENNA__509__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input2/X _392_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input2/X ANTENNA__392__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input20/X user_to_mprj_in_gates\[114\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input20/X ANTENNA_user_to_mprj_in_gates\[114\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input200/X _510_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input200/X ANTENNA__510__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input201/X _511_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input201/X ANTENNA__511__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input202/X _512_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input202/X ANTENNA__512__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input203/X _513_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input203/X ANTENNA__513__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input204/X _468_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input204/X ANTENNA__468__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input205/X _514_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input205/X ANTENNA__514__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input206/X _515_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input206/X ANTENNA__515__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input207/X _516_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input207/X ANTENNA__516__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input208/X _517_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input208/X ANTENNA__517__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input209/X _518_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input209/X ANTENNA__518__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input21/X user_to_mprj_in_gates\[115\]/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input21/X ANTENNA_user_to_mprj_in_gates\[115\]_A/DIODE (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input210/X _519_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input210/X ANTENNA__519__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input211/X _520_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input211/X ANTENNA__520__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input212/X _521_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input212/X ANTENNA__521__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input213/X _522_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input213/X ANTENNA__522__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input214/X _523_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input214/X ANTENNA__523__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input215/X _469_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input215/X ANTENNA__469__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input216/X _524_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input216/X ANTENNA__524__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input217/X _525_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input217/X ANTENNA__525__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input218/X _526_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input218/X ANTENNA__526__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input219/X _527_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input219/X ANTENNA__527__A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input22/X user_to_mprj_in_gates\[116\]/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input22/X ANTENNA_user_to_mprj_in_gates\[116\]_A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input220/X _528_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input220/X ANTENNA__528__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input221/X _529_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input221/X ANTENNA__529__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input222/X _530_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input222/X ANTENNA__530__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input223/X _531_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input223/X ANTENNA__531__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input224/X _532_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input224/X ANTENNA__532__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input225/X _533_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input225/X ANTENNA__533__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input226/X _470_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input226/X ANTENNA__470__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input227/X _534_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input227/X ANTENNA__534__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input228/X _535_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input228/X ANTENNA__535__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input229/X _536_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input229/X ANTENNA__536__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input23/X user_to_mprj_in_gates\[117\]/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input23/X ANTENNA_user_to_mprj_in_gates\[117\]_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input230/X _537_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input230/X ANTENNA__537__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input231/X _538_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input231/X ANTENNA__538__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input232/X _539_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input232/X ANTENNA__539__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input233/X _540_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input233/X ANTENNA__540__A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input234/X _541_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input234/X ANTENNA__541__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input235/X _542_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input235/X ANTENNA__542__A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input236/X _543_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT input236/X ANTENNA__543__A/DIODE (0.013::0.013) (0.012::0.012)) + (INTERCONNECT input237/X _471_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input237/X ANTENNA__471__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input238/X _544_/A (0.023::0.023) (0.023::0.023)) + (INTERCONNECT input238/X ANTENNA__544__A/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT input239/X _545_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input239/X ANTENNA__545__A/DIODE (0.012::0.012) (0.011::0.011)) + (INTERCONNECT input24/X user_to_mprj_in_gates\[118\]/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input24/X ANTENNA_user_to_mprj_in_gates\[118\]_A/DIODE (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input240/X _546_/A (0.026::0.026) (0.024::0.024)) + (INTERCONNECT input240/X ANTENNA__546__A/DIODE (0.025::0.025) (0.024::0.024)) + (INTERCONNECT input241/X _547_/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input241/X ANTENNA__547__A/DIODE (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input242/X _548_/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input242/X ANTENNA__548__A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input243/X _549_/A (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input243/X ANTENNA__549__A/DIODE (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input244/X _550_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input244/X ANTENNA__550__A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input245/X _551_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input245/X ANTENNA__551__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input246/X _552_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input246/X ANTENNA__552__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input247/X _553_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input247/X ANTENNA__553__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input248/X _472_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input248/X ANTENNA__472__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input249/X _554_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input249/X ANTENNA__554__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input25/X user_to_mprj_in_gates\[119\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input25/X ANTENNA_user_to_mprj_in_gates\[119\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input250/X _555_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input250/X ANTENNA__555__A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input251/X _556_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input251/X ANTENNA__556__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input252/X _557_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input252/X ANTENNA__557__A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input253/X _558_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input253/X ANTENNA__558__A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input254/X _559_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input254/X ANTENNA__559__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input255/X _560_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input255/X ANTENNA__560__A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input256/X _561_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input256/X ANTENNA__561__A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input257/X _562_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input257/X ANTENNA__562__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input258/X _563_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input258/X ANTENNA__563__A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input259/X _473_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input259/X ANTENNA__473__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input26/X user_to_mprj_in_gates\[11\]/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input26/X ANTENNA_user_to_mprj_in_gates\[11\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input260/X user_to_mprj_in_ena_buf\[0\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input260/X ANTENNA_user_to_mprj_in_ena_buf\[0\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input261/X user_to_mprj_in_ena_buf\[100\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input261/X ANTENNA_user_to_mprj_in_ena_buf\[100\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input262/X user_to_mprj_in_ena_buf\[101\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input262/X ANTENNA_user_to_mprj_in_ena_buf\[101\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input263/X user_to_mprj_in_ena_buf\[102\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input263/X ANTENNA_user_to_mprj_in_ena_buf\[102\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input264/X user_to_mprj_in_ena_buf\[103\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input264/X ANTENNA_user_to_mprj_in_ena_buf\[103\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input265/X user_to_mprj_in_ena_buf\[104\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input265/X ANTENNA_user_to_mprj_in_ena_buf\[104\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input266/X user_to_mprj_in_ena_buf\[105\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input266/X ANTENNA_user_to_mprj_in_ena_buf\[105\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input267/X user_to_mprj_in_ena_buf\[106\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input267/X ANTENNA_user_to_mprj_in_ena_buf\[106\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input268/X user_to_mprj_in_ena_buf\[107\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input268/X ANTENNA_user_to_mprj_in_ena_buf\[107\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input269/X user_to_mprj_in_ena_buf\[108\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input269/X ANTENNA_user_to_mprj_in_ena_buf\[108\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input27/X user_to_mprj_in_gates\[120\]/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input27/X ANTENNA_user_to_mprj_in_gates\[120\]_A/DIODE (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input270/X user_to_mprj_in_ena_buf\[109\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input270/X ANTENNA_user_to_mprj_in_ena_buf\[109\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input271/X user_to_mprj_in_ena_buf\[10\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input271/X ANTENNA_user_to_mprj_in_ena_buf\[10\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input272/X user_to_mprj_in_ena_buf\[110\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input272/X ANTENNA_user_to_mprj_in_ena_buf\[110\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input273/X user_to_mprj_in_ena_buf\[111\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input273/X ANTENNA_user_to_mprj_in_ena_buf\[111\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input274/X user_to_mprj_in_ena_buf\[112\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input274/X ANTENNA_user_to_mprj_in_ena_buf\[112\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input275/X user_to_mprj_in_ena_buf\[113\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input275/X ANTENNA_user_to_mprj_in_ena_buf\[113\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input276/X user_to_mprj_in_ena_buf\[114\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input276/X ANTENNA_user_to_mprj_in_ena_buf\[114\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input277/X user_to_mprj_in_ena_buf\[115\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input277/X ANTENNA_user_to_mprj_in_ena_buf\[115\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input278/X user_to_mprj_in_ena_buf\[116\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input278/X ANTENNA_user_to_mprj_in_ena_buf\[116\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input279/X user_to_mprj_in_ena_buf\[117\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input279/X ANTENNA_user_to_mprj_in_ena_buf\[117\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input28/X user_to_mprj_in_gates\[121\]/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input28/X ANTENNA_user_to_mprj_in_gates\[121\]_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input280/X user_to_mprj_in_ena_buf\[118\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input280/X ANTENNA_user_to_mprj_in_ena_buf\[118\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input281/X user_to_mprj_in_ena_buf\[119\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input281/X ANTENNA_user_to_mprj_in_ena_buf\[119\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input282/X user_to_mprj_in_ena_buf\[11\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input282/X ANTENNA_user_to_mprj_in_ena_buf\[11\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input283/X user_to_mprj_in_ena_buf\[120\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input283/X ANTENNA_user_to_mprj_in_ena_buf\[120\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input284/X user_to_mprj_in_ena_buf\[121\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input284/X ANTENNA_user_to_mprj_in_ena_buf\[121\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input285/X user_to_mprj_in_ena_buf\[122\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input285/X ANTENNA_user_to_mprj_in_ena_buf\[122\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input286/X user_to_mprj_in_ena_buf\[123\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input286/X ANTENNA_user_to_mprj_in_ena_buf\[123\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input287/X user_to_mprj_in_ena_buf\[124\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input287/X ANTENNA_user_to_mprj_in_ena_buf\[124\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input288/X user_to_mprj_in_ena_buf\[125\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input288/X ANTENNA_user_to_mprj_in_ena_buf\[125\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input289/X user_to_mprj_in_ena_buf\[126\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input289/X ANTENNA_user_to_mprj_in_ena_buf\[126\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input29/X user_to_mprj_in_gates\[122\]/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input29/X ANTENNA_user_to_mprj_in_gates\[122\]_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input290/X user_to_mprj_in_ena_buf\[127\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input290/X ANTENNA_user_to_mprj_in_ena_buf\[127\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input291/X user_to_mprj_in_ena_buf\[12\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input291/X ANTENNA_user_to_mprj_in_ena_buf\[12\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input292/X user_to_mprj_in_ena_buf\[13\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input292/X ANTENNA_user_to_mprj_in_ena_buf\[13\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input293/X user_to_mprj_in_ena_buf\[14\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input293/X ANTENNA_user_to_mprj_in_ena_buf\[14\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input294/X user_to_mprj_in_ena_buf\[15\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input294/X ANTENNA_user_to_mprj_in_ena_buf\[15\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input295/X user_to_mprj_in_ena_buf\[16\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input295/X ANTENNA_user_to_mprj_in_ena_buf\[16\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input296/X user_to_mprj_in_ena_buf\[17\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input296/X ANTENNA_user_to_mprj_in_ena_buf\[17\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input297/X user_to_mprj_in_ena_buf\[18\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input297/X ANTENNA_user_to_mprj_in_ena_buf\[18\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input298/X user_to_mprj_in_ena_buf\[19\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input298/X ANTENNA_user_to_mprj_in_ena_buf\[19\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input299/X user_to_mprj_in_ena_buf\[1\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input299/X ANTENNA_user_to_mprj_in_ena_buf\[1\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input3/X mprj_rstn_buf/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input3/X ANTENNA_mprj_rstn_buf_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input30/X user_to_mprj_in_gates\[123\]/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input30/X ANTENNA_user_to_mprj_in_gates\[123\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input300/X user_to_mprj_in_ena_buf\[20\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input300/X ANTENNA_user_to_mprj_in_ena_buf\[20\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input301/X user_to_mprj_in_ena_buf\[21\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input301/X ANTENNA_user_to_mprj_in_ena_buf\[21\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input302/X user_to_mprj_in_ena_buf\[22\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input302/X ANTENNA_user_to_mprj_in_ena_buf\[22\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input303/X user_to_mprj_in_ena_buf\[23\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input303/X ANTENNA_user_to_mprj_in_ena_buf\[23\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input304/X user_to_mprj_in_ena_buf\[24\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input304/X ANTENNA_user_to_mprj_in_ena_buf\[24\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input305/X user_to_mprj_in_ena_buf\[25\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input305/X ANTENNA_user_to_mprj_in_ena_buf\[25\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input306/X user_to_mprj_in_ena_buf\[26\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input306/X ANTENNA_user_to_mprj_in_ena_buf\[26\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input307/X user_to_mprj_in_ena_buf\[27\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input307/X ANTENNA_user_to_mprj_in_ena_buf\[27\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input308/X user_to_mprj_in_ena_buf\[28\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input308/X ANTENNA_user_to_mprj_in_ena_buf\[28\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input309/X user_to_mprj_in_ena_buf\[29\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input309/X ANTENNA_user_to_mprj_in_ena_buf\[29\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input31/X user_to_mprj_in_gates\[124\]/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input31/X ANTENNA_user_to_mprj_in_gates\[124\]_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input310/X user_to_mprj_in_ena_buf\[2\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input310/X ANTENNA_user_to_mprj_in_ena_buf\[2\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input311/X user_to_mprj_in_ena_buf\[30\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input311/X ANTENNA_user_to_mprj_in_ena_buf\[30\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input312/X user_to_mprj_in_ena_buf\[31\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input312/X ANTENNA_user_to_mprj_in_ena_buf\[31\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input313/X user_to_mprj_in_ena_buf\[32\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input313/X ANTENNA_user_to_mprj_in_ena_buf\[32\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input314/X user_to_mprj_in_ena_buf\[33\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT input314/X ANTENNA_user_to_mprj_in_ena_buf\[33\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input315/X user_to_mprj_in_ena_buf\[34\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input315/X ANTENNA_user_to_mprj_in_ena_buf\[34\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input316/X user_to_mprj_in_ena_buf\[35\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input316/X ANTENNA_user_to_mprj_in_ena_buf\[35\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input317/X user_to_mprj_in_ena_buf\[36\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input317/X ANTENNA_user_to_mprj_in_ena_buf\[36\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input318/X user_to_mprj_in_ena_buf\[37\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input318/X ANTENNA_user_to_mprj_in_ena_buf\[37\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input319/X user_to_mprj_in_ena_buf\[38\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input319/X ANTENNA_user_to_mprj_in_ena_buf\[38\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input32/X user_to_mprj_in_gates\[125\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input32/X ANTENNA_user_to_mprj_in_gates\[125\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input320/X user_to_mprj_in_ena_buf\[39\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input320/X ANTENNA_user_to_mprj_in_ena_buf\[39\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input321/X user_to_mprj_in_ena_buf\[3\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input321/X ANTENNA_user_to_mprj_in_ena_buf\[3\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input322/X user_to_mprj_in_ena_buf\[40\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input322/X ANTENNA_user_to_mprj_in_ena_buf\[40\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input323/X user_to_mprj_in_ena_buf\[41\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input323/X ANTENNA_user_to_mprj_in_ena_buf\[41\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input324/X user_to_mprj_in_ena_buf\[42\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input324/X ANTENNA_user_to_mprj_in_ena_buf\[42\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input325/X user_to_mprj_in_ena_buf\[43\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input325/X ANTENNA_user_to_mprj_in_ena_buf\[43\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input326/X user_to_mprj_in_ena_buf\[44\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input326/X ANTENNA_user_to_mprj_in_ena_buf\[44\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input327/X user_to_mprj_in_ena_buf\[45\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input327/X ANTENNA_user_to_mprj_in_ena_buf\[45\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input328/X user_to_mprj_in_ena_buf\[46\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input328/X ANTENNA_user_to_mprj_in_ena_buf\[46\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input329/X user_to_mprj_in_ena_buf\[47\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input329/X ANTENNA_user_to_mprj_in_ena_buf\[47\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input33/X user_to_mprj_in_gates\[126\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input33/X ANTENNA_user_to_mprj_in_gates\[126\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input330/X user_to_mprj_in_ena_buf\[48\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input330/X ANTENNA_user_to_mprj_in_ena_buf\[48\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input331/X user_to_mprj_in_ena_buf\[49\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input331/X ANTENNA_user_to_mprj_in_ena_buf\[49\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input332/X user_to_mprj_in_ena_buf\[4\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input332/X ANTENNA_user_to_mprj_in_ena_buf\[4\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input333/X user_to_mprj_in_ena_buf\[50\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input333/X ANTENNA_user_to_mprj_in_ena_buf\[50\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input334/X user_to_mprj_in_ena_buf\[51\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input334/X ANTENNA_user_to_mprj_in_ena_buf\[51\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input335/X user_to_mprj_in_ena_buf\[52\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input335/X ANTENNA_user_to_mprj_in_ena_buf\[52\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input336/X user_to_mprj_in_ena_buf\[53\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input336/X ANTENNA_user_to_mprj_in_ena_buf\[53\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input337/X user_to_mprj_in_ena_buf\[54\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input337/X ANTENNA_user_to_mprj_in_ena_buf\[54\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input338/X user_to_mprj_in_ena_buf\[55\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input338/X ANTENNA_user_to_mprj_in_ena_buf\[55\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input339/X user_to_mprj_in_ena_buf\[56\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input339/X ANTENNA_user_to_mprj_in_ena_buf\[56\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input34/X user_to_mprj_in_gates\[127\]/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input34/X ANTENNA_user_to_mprj_in_gates\[127\]_A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input340/X user_to_mprj_in_ena_buf\[57\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input340/X ANTENNA_user_to_mprj_in_ena_buf\[57\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input341/X user_to_mprj_in_ena_buf\[58\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input341/X ANTENNA_user_to_mprj_in_ena_buf\[58\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input342/X user_to_mprj_in_ena_buf\[59\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input342/X ANTENNA_user_to_mprj_in_ena_buf\[59\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input343/X user_to_mprj_in_ena_buf\[5\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input343/X ANTENNA_user_to_mprj_in_ena_buf\[5\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input344/X user_to_mprj_in_ena_buf\[60\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input344/X ANTENNA_user_to_mprj_in_ena_buf\[60\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input345/X user_to_mprj_in_ena_buf\[61\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input345/X ANTENNA_user_to_mprj_in_ena_buf\[61\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input346/X user_to_mprj_in_ena_buf\[62\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input346/X ANTENNA_user_to_mprj_in_ena_buf\[62\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input347/X user_to_mprj_in_ena_buf\[63\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input347/X ANTENNA_user_to_mprj_in_ena_buf\[63\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input348/X user_to_mprj_in_ena_buf\[64\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input348/X ANTENNA_user_to_mprj_in_ena_buf\[64\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input349/X user_to_mprj_in_ena_buf\[65\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input349/X ANTENNA_user_to_mprj_in_ena_buf\[65\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input35/X user_to_mprj_in_gates\[12\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input35/X ANTENNA_user_to_mprj_in_gates\[12\]_A/DIODE (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input350/X user_to_mprj_in_ena_buf\[66\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input350/X ANTENNA_user_to_mprj_in_ena_buf\[66\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input351/X user_to_mprj_in_ena_buf\[67\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input351/X ANTENNA_user_to_mprj_in_ena_buf\[67\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input352/X user_to_mprj_in_ena_buf\[68\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input352/X ANTENNA_user_to_mprj_in_ena_buf\[68\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input353/X user_to_mprj_in_ena_buf\[69\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input353/X ANTENNA_user_to_mprj_in_ena_buf\[69\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input354/X user_to_mprj_in_ena_buf\[6\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input354/X ANTENNA_user_to_mprj_in_ena_buf\[6\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input355/X user_to_mprj_in_ena_buf\[70\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input355/X ANTENNA_user_to_mprj_in_ena_buf\[70\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input356/X user_to_mprj_in_ena_buf\[71\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input356/X ANTENNA_user_to_mprj_in_ena_buf\[71\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input357/X user_to_mprj_in_ena_buf\[72\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input357/X ANTENNA_user_to_mprj_in_ena_buf\[72\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input358/X user_to_mprj_in_ena_buf\[73\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input358/X ANTENNA_user_to_mprj_in_ena_buf\[73\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input359/X user_to_mprj_in_ena_buf\[74\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input359/X ANTENNA_user_to_mprj_in_ena_buf\[74\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input36/X user_to_mprj_in_gates\[13\]/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input36/X ANTENNA_user_to_mprj_in_gates\[13\]_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input360/X user_to_mprj_in_ena_buf\[75\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input360/X ANTENNA_user_to_mprj_in_ena_buf\[75\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input361/X user_to_mprj_in_ena_buf\[76\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input361/X ANTENNA_user_to_mprj_in_ena_buf\[76\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input362/X user_to_mprj_in_ena_buf\[77\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input362/X ANTENNA_user_to_mprj_in_ena_buf\[77\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input363/X user_to_mprj_in_ena_buf\[78\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input363/X ANTENNA_user_to_mprj_in_ena_buf\[78\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input364/X user_to_mprj_in_ena_buf\[79\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input364/X ANTENNA_user_to_mprj_in_ena_buf\[79\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input365/X user_to_mprj_in_ena_buf\[7\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input365/X ANTENNA_user_to_mprj_in_ena_buf\[7\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input366/X user_to_mprj_in_ena_buf\[80\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input366/X ANTENNA_user_to_mprj_in_ena_buf\[80\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input367/X user_to_mprj_in_ena_buf\[81\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input367/X ANTENNA_user_to_mprj_in_ena_buf\[81\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input368/X user_to_mprj_in_ena_buf\[82\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input368/X ANTENNA_user_to_mprj_in_ena_buf\[82\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input369/X user_to_mprj_in_ena_buf\[83\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input369/X ANTENNA_user_to_mprj_in_ena_buf\[83\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input37/X user_to_mprj_in_gates\[14\]/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input37/X ANTENNA_user_to_mprj_in_gates\[14\]_A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input370/X user_to_mprj_in_ena_buf\[84\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input370/X ANTENNA_user_to_mprj_in_ena_buf\[84\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input371/X user_to_mprj_in_ena_buf\[85\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input371/X ANTENNA_user_to_mprj_in_ena_buf\[85\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input372/X user_to_mprj_in_ena_buf\[86\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input372/X ANTENNA_user_to_mprj_in_ena_buf\[86\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input373/X user_to_mprj_in_ena_buf\[87\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input373/X ANTENNA_user_to_mprj_in_ena_buf\[87\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input374/X user_to_mprj_in_ena_buf\[88\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input374/X ANTENNA_user_to_mprj_in_ena_buf\[88\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input375/X user_to_mprj_in_ena_buf\[89\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input375/X ANTENNA_user_to_mprj_in_ena_buf\[89\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input376/X user_to_mprj_in_ena_buf\[8\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input376/X ANTENNA_user_to_mprj_in_ena_buf\[8\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input377/X user_to_mprj_in_ena_buf\[90\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input377/X ANTENNA_user_to_mprj_in_ena_buf\[90\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input378/X user_to_mprj_in_ena_buf\[91\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input378/X ANTENNA_user_to_mprj_in_ena_buf\[91\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input379/X user_to_mprj_in_ena_buf\[92\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input379/X ANTENNA_user_to_mprj_in_ena_buf\[92\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input38/X user_to_mprj_in_gates\[15\]/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input38/X ANTENNA_user_to_mprj_in_gates\[15\]_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input380/X user_to_mprj_in_ena_buf\[93\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input380/X ANTENNA_user_to_mprj_in_ena_buf\[93\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input381/X user_to_mprj_in_ena_buf\[94\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input381/X ANTENNA_user_to_mprj_in_ena_buf\[94\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input382/X user_to_mprj_in_ena_buf\[95\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input382/X ANTENNA_user_to_mprj_in_ena_buf\[95\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input383/X user_to_mprj_in_ena_buf\[96\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input383/X ANTENNA_user_to_mprj_in_ena_buf\[96\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input384/X user_to_mprj_in_ena_buf\[97\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input384/X ANTENNA_user_to_mprj_in_ena_buf\[97\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input385/X user_to_mprj_in_ena_buf\[98\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input385/X ANTENNA_user_to_mprj_in_ena_buf\[98\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input386/X user_to_mprj_in_ena_buf\[99\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input386/X ANTENNA_user_to_mprj_in_ena_buf\[99\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input387/X user_to_mprj_in_ena_buf\[9\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input387/X ANTENNA_user_to_mprj_in_ena_buf\[9\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input388/X la_buf_enable\[0\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input388/X _592_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input388/X ANTENNA__592__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input388/X ANTENNA_la_buf_enable\[0\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input389/X la_buf_enable\[100\]/A_N (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input389/X _363_/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input389/X ANTENNA__363__A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input389/X ANTENNA_la_buf_enable\[100\]_A_N/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input39/X user_to_mprj_in_gates\[16\]/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT input39/X ANTENNA_user_to_mprj_in_gates\[16\]_A/DIODE (0.017::0.017) (0.016::0.016)) + (INTERCONNECT input390/X la_buf_enable\[101\]/A_N (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input390/X _364_/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT input390/X ANTENNA__364__A/DIODE (0.013::0.013) (0.012::0.012)) + (INTERCONNECT input390/X ANTENNA_la_buf_enable\[101\]_A_N/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input391/X la_buf_enable\[102\]/A_N (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input391/X _365_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input391/X ANTENNA__365__A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input391/X ANTENNA_la_buf_enable\[102\]_A_N/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input392/X la_buf_enable\[103\]/A_N (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input392/X _366_/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input392/X ANTENNA__366__A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input392/X ANTENNA_la_buf_enable\[103\]_A_N/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input393/X la_buf_enable\[104\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input393/X _367_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input393/X ANTENNA__367__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input393/X ANTENNA_la_buf_enable\[104\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input394/X la_buf_enable\[105\]/A_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input394/X _368_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input394/X ANTENNA__368__A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input394/X ANTENNA_la_buf_enable\[105\]_A_N/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input395/X la_buf_enable\[106\]/A_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input395/X _369_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input395/X ANTENNA__369__A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input395/X ANTENNA_la_buf_enable\[106\]_A_N/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input396/X la_buf_enable\[107\]/A_N (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input396/X _370_/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input396/X ANTENNA__370__A/DIODE (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input396/X ANTENNA_la_buf_enable\[107\]_A_N/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input397/X la_buf_enable\[108\]/A_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input397/X _371_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input397/X ANTENNA__371__A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input397/X ANTENNA_la_buf_enable\[108\]_A_N/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input398/X la_buf_enable\[109\]/A_N (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input398/X _372_/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input398/X ANTENNA__372__A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input398/X ANTENNA_la_buf_enable\[109\]_A_N/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input399/X la_buf_enable\[10\]/A_N (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input399/X _602_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input399/X ANTENNA__602__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input399/X ANTENNA_la_buf_enable\[10\]_A_N/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input4/X user_to_mprj_in_gates\[0\]/A (0.019::0.019) (0.018::0.018)) + (INTERCONNECT input4/X ANTENNA_user_to_mprj_in_gates\[0\]_A/DIODE (0.019::0.019) (0.018::0.018)) + (INTERCONNECT input40/X user_to_mprj_in_gates\[17\]/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input40/X ANTENNA_user_to_mprj_in_gates\[17\]_A/DIODE (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input400/X la_buf_enable\[110\]/A_N (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input400/X _373_/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input400/X ANTENNA__373__A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input400/X ANTENNA_la_buf_enable\[110\]_A_N/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input401/X la_buf_enable\[111\]/A_N (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input401/X _374_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input401/X ANTENNA__374__A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input401/X ANTENNA_la_buf_enable\[111\]_A_N/DIODE (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input402/X la_buf_enable\[112\]/A_N (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input402/X _375_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input402/X ANTENNA__375__A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input402/X ANTENNA_la_buf_enable\[112\]_A_N/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input403/X la_buf_enable\[113\]/A_N (0.010::0.010) (0.009::0.009)) + (INTERCONNECT input403/X _376_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input403/X ANTENNA__376__A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input403/X ANTENNA_la_buf_enable\[113\]_A_N/DIODE (0.010::0.010) (0.009::0.009)) + (INTERCONNECT input404/X la_buf_enable\[114\]/A_N (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input404/X _377_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input404/X ANTENNA__377__A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input404/X ANTENNA_la_buf_enable\[114\]_A_N/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input405/X la_buf_enable\[115\]/A_N (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input405/X _378_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input405/X ANTENNA__378__A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input405/X ANTENNA_la_buf_enable\[115\]_A_N/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input406/X la_buf_enable\[116\]/A_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input406/X _379_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input406/X ANTENNA__379__A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input406/X ANTENNA_la_buf_enable\[116\]_A_N/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input407/X la_buf_enable\[117\]/A_N (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input407/X _380_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input407/X ANTENNA__380__A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input407/X ANTENNA_la_buf_enable\[117\]_A_N/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input408/X la_buf_enable\[118\]/A_N (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input408/X _381_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input408/X ANTENNA__381__A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input408/X ANTENNA_la_buf_enable\[118\]_A_N/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input409/X la_buf_enable\[119\]/A_N (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input409/X _382_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input409/X ANTENNA__382__A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input409/X ANTENNA_la_buf_enable\[119\]_A_N/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input41/X user_to_mprj_in_gates\[18\]/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input41/X ANTENNA_user_to_mprj_in_gates\[18\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input410/X la_buf_enable\[11\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input410/X _603_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input410/X ANTENNA__603__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input410/X ANTENNA_la_buf_enable\[11\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input411/X la_buf_enable\[120\]/A_N (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input411/X _383_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input411/X ANTENNA__383__A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input411/X ANTENNA_la_buf_enable\[120\]_A_N/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input412/X la_buf_enable\[121\]/A_N (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input412/X _384_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input412/X ANTENNA__384__A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input412/X ANTENNA_la_buf_enable\[121\]_A_N/DIODE (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input413/X la_buf_enable\[122\]/A_N (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input413/X _385_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input413/X ANTENNA__385__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input413/X ANTENNA_la_buf_enable\[122\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input414/X la_buf_enable\[123\]/A_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input414/X _386_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input414/X ANTENNA__386__A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input414/X ANTENNA_la_buf_enable\[123\]_A_N/DIODE (0.008::0.008) (0.007::0.007)) + (INTERCONNECT input415/X la_buf_enable\[124\]/A_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input415/X _387_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input415/X ANTENNA__387__A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input415/X ANTENNA_la_buf_enable\[124\]_A_N/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input416/X la_buf_enable\[125\]/A_N (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input416/X _388_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input416/X ANTENNA__388__A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input416/X ANTENNA_la_buf_enable\[125\]_A_N/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input417/X la_buf_enable\[126\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input417/X _389_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input417/X ANTENNA__389__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input417/X ANTENNA_la_buf_enable\[126\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input418/X la_buf_enable\[127\]/A_N (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input418/X _390_/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input418/X ANTENNA__390__A/DIODE (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input418/X ANTENNA_la_buf_enable\[127\]_A_N/DIODE (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input419/X la_buf_enable\[12\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input419/X _604_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input419/X ANTENNA__604__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input419/X ANTENNA_la_buf_enable\[12\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input42/X user_to_mprj_in_gates\[19\]/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input42/X ANTENNA_user_to_mprj_in_gates\[19\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input420/X la_buf_enable\[13\]/A_N (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input420/X _605_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input420/X ANTENNA__605__A/DIODE (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input420/X ANTENNA_la_buf_enable\[13\]_A_N/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input421/X la_buf_enable\[14\]/A_N (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input421/X _606_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input421/X ANTENNA__606__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input421/X ANTENNA_la_buf_enable\[14\]_A_N/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input422/X la_buf_enable\[15\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input422/X _607_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input422/X ANTENNA__607__A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input422/X ANTENNA_la_buf_enable\[15\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input423/X la_buf_enable\[16\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input423/X _608_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input423/X ANTENNA__608__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input423/X ANTENNA_la_buf_enable\[16\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input424/X la_buf_enable\[17\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input424/X _609_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input424/X ANTENNA__609__A/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input424/X ANTENNA_la_buf_enable\[17\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input425/X la_buf_enable\[18\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input425/X _610_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input425/X ANTENNA__610__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input425/X ANTENNA_la_buf_enable\[18\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input426/X la_buf_enable\[19\]/A_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input426/X _611_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input426/X ANTENNA__611__A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input426/X ANTENNA_la_buf_enable\[19\]_A_N/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input427/X la_buf_enable\[1\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input427/X _593_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input427/X ANTENNA__593__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input427/X ANTENNA_la_buf_enable\[1\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input428/X la_buf_enable\[20\]/A_N (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input428/X _612_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input428/X ANTENNA__612__A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input428/X ANTENNA_la_buf_enable\[20\]_A_N/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input429/X la_buf_enable\[21\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input429/X _613_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input429/X ANTENNA__613__A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input429/X ANTENNA_la_buf_enable\[21\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input43/X user_to_mprj_in_gates\[1\]/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input43/X ANTENNA_user_to_mprj_in_gates\[1\]_A/DIODE (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input430/X la_buf_enable\[22\]/A_N (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input430/X _614_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input430/X ANTENNA__614__A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input430/X ANTENNA_la_buf_enable\[22\]_A_N/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input431/X la_buf_enable\[23\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input431/X _615_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input431/X ANTENNA__615__A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input431/X ANTENNA_la_buf_enable\[23\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input432/X la_buf_enable\[24\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input432/X _616_/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input432/X ANTENNA__616__A/DIODE (0.007::0.007) (0.006::0.006)) + (INTERCONNECT input432/X ANTENNA_la_buf_enable\[24\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input433/X la_buf_enable\[25\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input433/X _617_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input433/X ANTENNA__617__A/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input433/X ANTENNA_la_buf_enable\[25\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input434/X la_buf_enable\[26\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input434/X _618_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input434/X ANTENNA__618__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input434/X ANTENNA_la_buf_enable\[26\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input435/X la_buf_enable\[27\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input435/X _619_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input435/X ANTENNA__619__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input435/X ANTENNA_la_buf_enable\[27\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input436/X la_buf_enable\[28\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input436/X _620_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input436/X ANTENNA__620__A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input436/X ANTENNA_la_buf_enable\[28\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input437/X la_buf_enable\[29\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input437/X _621_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input437/X ANTENNA__621__A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input437/X ANTENNA_la_buf_enable\[29\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input438/X la_buf_enable\[2\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input438/X _594_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input438/X ANTENNA__594__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input438/X ANTENNA_la_buf_enable\[2\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input439/X la_buf_enable\[30\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input439/X _622_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input439/X ANTENNA__622__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input439/X ANTENNA_la_buf_enable\[30\]_A_N/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input44/X user_to_mprj_in_gates\[20\]/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input44/X ANTENNA_user_to_mprj_in_gates\[20\]_A/DIODE (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input440/X la_buf_enable\[31\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input440/X _623_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input440/X ANTENNA__623__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input440/X ANTENNA_la_buf_enable\[31\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input441/X la_buf_enable\[32\]/A_N (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input441/X _624_/A (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input441/X ANTENNA__624__A/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input441/X ANTENNA_la_buf_enable\[32\]_A_N/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input442/X la_buf_enable\[33\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input442/X _625_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input442/X ANTENNA__625__A/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input442/X ANTENNA_la_buf_enable\[33\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input443/X la_buf_enable\[34\]/A_N (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input443/X _626_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input443/X ANTENNA__626__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input443/X ANTENNA_la_buf_enable\[34\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input444/X la_buf_enable\[35\]/A_N (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input444/X _627_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input444/X ANTENNA__627__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input444/X ANTENNA_la_buf_enable\[35\]_A_N/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input445/X la_buf_enable\[36\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input445/X _628_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input445/X ANTENNA__628__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input445/X ANTENNA_la_buf_enable\[36\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input446/X la_buf_enable\[37\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input446/X _629_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input446/X ANTENNA__629__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input446/X ANTENNA_la_buf_enable\[37\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input447/X la_buf_enable\[38\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input447/X _630_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input447/X ANTENNA__630__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input447/X ANTENNA_la_buf_enable\[38\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input448/X la_buf_enable\[39\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input448/X _631_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input448/X ANTENNA__631__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input448/X ANTENNA_la_buf_enable\[39\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input449/X la_buf_enable\[3\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input449/X _595_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input449/X ANTENNA__595__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input449/X ANTENNA_la_buf_enable\[3\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input45/X user_to_mprj_in_gates\[21\]/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input45/X ANTENNA_user_to_mprj_in_gates\[21\]_A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input450/X la_buf_enable\[40\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input450/X _632_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input450/X ANTENNA__632__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input450/X ANTENNA_la_buf_enable\[40\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input451/X la_buf_enable\[41\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input451/X _633_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input451/X ANTENNA__633__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input451/X ANTENNA_la_buf_enable\[41\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input452/X la_buf_enable\[42\]/A_N (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input452/X _634_/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input452/X ANTENNA__634__A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input452/X ANTENNA_la_buf_enable\[42\]_A_N/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input453/X la_buf_enable\[43\]/A_N (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input453/X _635_/A (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input453/X ANTENNA__635__A/DIODE (0.020::0.020) (0.019::0.019)) + (INTERCONNECT input453/X ANTENNA_la_buf_enable\[43\]_A_N/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input454/X la_buf_enable\[44\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input454/X _636_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input454/X ANTENNA__636__A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input454/X ANTENNA_la_buf_enable\[44\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input455/X la_buf_enable\[45\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input455/X _637_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input455/X ANTENNA__637__A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input455/X ANTENNA_la_buf_enable\[45\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input456/X la_buf_enable\[46\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input456/X _638_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input456/X ANTENNA__638__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input456/X ANTENNA_la_buf_enable\[46\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input457/X la_buf_enable\[47\]/A_N (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input457/X _639_/A (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input457/X ANTENNA__639__A/DIODE (0.023::0.023) (0.022::0.022)) + (INTERCONNECT input457/X ANTENNA_la_buf_enable\[47\]_A_N/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input458/X la_buf_enable\[48\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input458/X _640_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input458/X ANTENNA__640__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input458/X ANTENNA_la_buf_enable\[48\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input459/X la_buf_enable\[49\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input459/X _641_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input459/X ANTENNA__641__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input459/X ANTENNA_la_buf_enable\[49\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input46/X user_to_mprj_in_gates\[22\]/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT input46/X ANTENNA_user_to_mprj_in_gates\[22\]_A/DIODE (0.017::0.017) (0.016::0.016)) + (INTERCONNECT input460/X la_buf_enable\[4\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input460/X _596_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input460/X ANTENNA__596__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input460/X ANTENNA_la_buf_enable\[4\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input461/X la_buf_enable\[50\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input461/X _642_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input461/X ANTENNA__642__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input461/X ANTENNA_la_buf_enable\[50\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input462/X la_buf_enable\[51\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input462/X _643_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input462/X ANTENNA__643__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input462/X ANTENNA_la_buf_enable\[51\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input463/X la_buf_enable\[52\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input463/X _644_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input463/X ANTENNA__644__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input463/X ANTENNA_la_buf_enable\[52\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input464/X la_buf_enable\[53\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input464/X _645_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input464/X ANTENNA__645__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input464/X ANTENNA_la_buf_enable\[53\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input465/X la_buf_enable\[54\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input465/X _646_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input465/X ANTENNA__646__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input465/X ANTENNA_la_buf_enable\[54\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input466/X la_buf_enable\[55\]/A_N (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input466/X _647_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input466/X ANTENNA__647__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input466/X ANTENNA_la_buf_enable\[55\]_A_N/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input467/X la_buf_enable\[56\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input467/X _648_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input467/X ANTENNA__648__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input467/X ANTENNA_la_buf_enable\[56\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input468/X la_buf_enable\[57\]/A_N (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input468/X _649_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input468/X ANTENNA__649__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input468/X ANTENNA_la_buf_enable\[57\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input469/X la_buf_enable\[58\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input469/X _650_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input469/X ANTENNA__650__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input469/X ANTENNA_la_buf_enable\[58\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input47/X user_to_mprj_in_gates\[23\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input47/X ANTENNA_user_to_mprj_in_gates\[23\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input470/X la_buf_enable\[59\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input470/X _651_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input470/X ANTENNA__651__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input470/X ANTENNA_la_buf_enable\[59\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input471/X la_buf_enable\[5\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input471/X _597_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input471/X ANTENNA__597__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input471/X ANTENNA_la_buf_enable\[5\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input472/X la_buf_enable\[60\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input472/X _652_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input472/X ANTENNA__652__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input472/X ANTENNA_la_buf_enable\[60\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input473/X la_buf_enable\[61\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input473/X _653_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input473/X ANTENNA__653__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input473/X ANTENNA_la_buf_enable\[61\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input474/X la_buf_enable\[62\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input474/X _654_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input474/X ANTENNA__654__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input474/X ANTENNA_la_buf_enable\[62\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input475/X la_buf_enable\[63\]/A_N (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input475/X _655_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input475/X ANTENNA__655__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input475/X ANTENNA_la_buf_enable\[63\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input476/X la_buf_enable\[64\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input476/X _656_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input476/X ANTENNA__656__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input476/X ANTENNA_la_buf_enable\[64\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input477/X la_buf_enable\[65\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input477/X _657_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input477/X ANTENNA__657__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input477/X ANTENNA_la_buf_enable\[65\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input478/X la_buf_enable\[66\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input478/X _329_/A (0.012::0.012) (0.011::0.011)) + (INTERCONNECT input478/X ANTENNA__329__A/DIODE (0.012::0.012) (0.011::0.011)) + (INTERCONNECT input478/X ANTENNA_la_buf_enable\[66\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input479/X la_buf_enable\[67\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input479/X _330_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input479/X ANTENNA__330__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input479/X ANTENNA_la_buf_enable\[67\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input48/X user_to_mprj_in_gates\[24\]/A (0.018::0.018) (0.018::0.018)) + (INTERCONNECT input48/X ANTENNA_user_to_mprj_in_gates\[24\]_A/DIODE (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input480/X la_buf_enable\[68\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input480/X _331_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input480/X ANTENNA__331__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input480/X ANTENNA_la_buf_enable\[68\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input481/X la_buf_enable\[69\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input481/X _332_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input481/X ANTENNA__332__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input481/X ANTENNA_la_buf_enable\[69\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input482/X la_buf_enable\[6\]/A_N (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input482/X _598_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input482/X ANTENNA__598__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input482/X ANTENNA_la_buf_enable\[6\]_A_N/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input483/X la_buf_enable\[70\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input483/X _333_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input483/X ANTENNA__333__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input483/X ANTENNA_la_buf_enable\[70\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input484/X la_buf_enable\[71\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input484/X _334_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input484/X ANTENNA__334__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input484/X ANTENNA_la_buf_enable\[71\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input485/X la_buf_enable\[72\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input485/X _335_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input485/X ANTENNA__335__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input485/X ANTENNA_la_buf_enable\[72\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input486/X la_buf_enable\[73\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input486/X _336_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input486/X ANTENNA__336__A/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input486/X ANTENNA_la_buf_enable\[73\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input487/X la_buf_enable\[74\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input487/X _337_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input487/X ANTENNA__337__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input487/X ANTENNA_la_buf_enable\[74\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input488/X la_buf_enable\[75\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input488/X _338_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input488/X ANTENNA__338__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input488/X ANTENNA_la_buf_enable\[75\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input489/X la_buf_enable\[76\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input489/X _339_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input489/X ANTENNA__339__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input489/X ANTENNA_la_buf_enable\[76\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input49/X user_to_mprj_in_gates\[25\]/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input49/X ANTENNA_user_to_mprj_in_gates\[25\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input490/X la_buf_enable\[77\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input490/X _340_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input490/X ANTENNA__340__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input490/X ANTENNA_la_buf_enable\[77\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input491/X la_buf_enable\[78\]/A_N (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input491/X _341_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input491/X ANTENNA__341__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input491/X ANTENNA_la_buf_enable\[78\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input492/X la_buf_enable\[79\]/A_N (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input492/X _342_/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input492/X ANTENNA__342__A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input492/X ANTENNA_la_buf_enable\[79\]_A_N/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input493/X la_buf_enable\[7\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input493/X _599_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input493/X ANTENNA__599__A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input493/X ANTENNA_la_buf_enable\[7\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input494/X la_buf_enable\[80\]/A_N (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input494/X _343_/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input494/X ANTENNA__343__A/DIODE (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input494/X ANTENNA_la_buf_enable\[80\]_A_N/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input495/X la_buf_enable\[81\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input495/X _344_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input495/X ANTENNA__344__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input495/X ANTENNA_la_buf_enable\[81\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input496/X la_buf_enable\[82\]/A_N (0.024::0.024) (0.023::0.023)) + (INTERCONNECT input496/X _345_/A (0.024::0.024) (0.023::0.023)) + (INTERCONNECT input496/X ANTENNA__345__A/DIODE (0.024::0.024) (0.023::0.023)) + (INTERCONNECT input496/X ANTENNA_la_buf_enable\[82\]_A_N/DIODE (0.024::0.024) (0.023::0.023)) + (INTERCONNECT input497/X la_buf_enable\[83\]/A_N (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input497/X _346_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input497/X ANTENNA__346__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input497/X ANTENNA_la_buf_enable\[83\]_A_N/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT input498/X la_buf_enable\[84\]/A_N (0.019::0.019) (0.018::0.018)) + (INTERCONNECT input498/X _347_/A (0.018::0.018) (0.018::0.018)) + (INTERCONNECT input498/X ANTENNA__347__A/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT input498/X ANTENNA_la_buf_enable\[84\]_A_N/DIODE (0.019::0.019) (0.018::0.018)) + (INTERCONNECT input499/X la_buf_enable\[85\]/A_N (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input499/X _348_/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input499/X ANTENNA__348__A/DIODE (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input499/X ANTENNA_la_buf_enable\[85\]_A_N/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input5/X user_to_mprj_in_gates\[100\]/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input5/X ANTENNA_user_to_mprj_in_gates\[100\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input50/X user_to_mprj_in_gates\[26\]/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT input50/X ANTENNA_user_to_mprj_in_gates\[26\]_A/DIODE (0.015::0.015) (0.014::0.014)) + (INTERCONNECT input500/X la_buf_enable\[86\]/A_N (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input500/X _349_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input500/X ANTENNA__349__A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input500/X ANTENNA_la_buf_enable\[86\]_A_N/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input501/X la_buf_enable\[87\]/A_N (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input501/X _350_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input501/X ANTENNA__350__A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input501/X ANTENNA_la_buf_enable\[87\]_A_N/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input502/X la_buf_enable\[88\]/A_N (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input502/X _351_/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input502/X ANTENNA__351__A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input502/X ANTENNA_la_buf_enable\[88\]_A_N/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input503/X la_buf_enable\[89\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input503/X _352_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input503/X ANTENNA__352__A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input503/X ANTENNA_la_buf_enable\[89\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input504/X la_buf_enable\[8\]/A_N (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input504/X _600_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input504/X ANTENNA__600__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input504/X ANTENNA_la_buf_enable\[8\]_A_N/DIODE (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input505/X la_buf_enable\[90\]/A_N (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input505/X _353_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input505/X ANTENNA__353__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input505/X ANTENNA_la_buf_enable\[90\]_A_N/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input506/X la_buf_enable\[91\]/A_N (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input506/X _354_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input506/X ANTENNA__354__A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input506/X ANTENNA_la_buf_enable\[91\]_A_N/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input507/X la_buf_enable\[92\]/A_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input507/X _355_/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input507/X ANTENNA__355__A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input507/X ANTENNA_la_buf_enable\[92\]_A_N/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input508/X la_buf_enable\[93\]/A_N (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input508/X _356_/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input508/X ANTENNA__356__A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input508/X ANTENNA_la_buf_enable\[93\]_A_N/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input509/X la_buf_enable\[94\]/A_N (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input509/X _357_/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input509/X ANTENNA__357__A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input509/X ANTENNA_la_buf_enable\[94\]_A_N/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input51/X user_to_mprj_in_gates\[27\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input51/X ANTENNA_user_to_mprj_in_gates\[27\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input510/X la_buf_enable\[95\]/A_N (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input510/X _358_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input510/X ANTENNA__358__A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input510/X ANTENNA_la_buf_enable\[95\]_A_N/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input511/X la_buf_enable\[96\]/A_N (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input511/X _359_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input511/X ANTENNA__359__A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input511/X ANTENNA_la_buf_enable\[96\]_A_N/DIODE (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input512/X la_buf_enable\[97\]/A_N (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input512/X _360_/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input512/X ANTENNA__360__A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input512/X ANTENNA_la_buf_enable\[97\]_A_N/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input513/X la_buf_enable\[98\]/A_N (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input513/X _361_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input513/X ANTENNA__361__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input513/X ANTENNA_la_buf_enable\[98\]_A_N/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input514/X la_buf_enable\[99\]/A_N (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input514/X _362_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input514/X ANTENNA__362__A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input514/X ANTENNA_la_buf_enable\[99\]_A_N/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input515/X la_buf_enable\[9\]/A_N (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input515/X _601_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input515/X ANTENNA__601__A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input515/X ANTENNA_la_buf_enable\[9\]_A_N/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input516/X user_wb_ack_gate/A (0.160::0.160) (0.142::0.142)) + (INTERCONNECT input516/X ANTENNA_user_wb_ack_gate_A/DIODE (0.160::0.160) (0.142::0.142)) + (INTERCONNECT input517/X _400_/A (0.046::0.046) (0.043::0.043)) + (INTERCONNECT input517/X ANTENNA__400__A/DIODE (0.046::0.046) (0.043::0.043)) + (INTERCONNECT input518/X _410_/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input518/X ANTENNA__410__A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input519/X _411_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input519/X ANTENNA__411__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input52/X user_to_mprj_in_gates\[28\]/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input52/X ANTENNA_user_to_mprj_in_gates\[28\]_A/DIODE (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input520/X _412_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input520/X ANTENNA__412__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input521/X _413_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input521/X ANTENNA__413__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input522/X _414_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input522/X ANTENNA__414__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input523/X _415_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input523/X ANTENNA__415__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input524/X _416_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input524/X ANTENNA__416__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input525/X _417_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input525/X ANTENNA__417__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input526/X _418_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input526/X ANTENNA__418__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input527/X _419_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input527/X ANTENNA__419__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input528/X _401_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input528/X ANTENNA__401__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input529/X _420_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input529/X ANTENNA__420__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input53/X user_to_mprj_in_gates\[29\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input53/X ANTENNA_user_to_mprj_in_gates\[29\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input530/X _421_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input530/X ANTENNA__421__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input531/X _422_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input531/X ANTENNA__422__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input532/X _423_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input532/X ANTENNA__423__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input533/X _424_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input533/X ANTENNA__424__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input534/X _425_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input534/X ANTENNA__425__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input535/X _426_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input535/X ANTENNA__426__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input536/X _427_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input536/X ANTENNA__427__A/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input537/X _428_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input537/X ANTENNA__428__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input538/X _429_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input538/X ANTENNA__429__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input539/X _402_/A (0.054::0.054) (0.051::0.051)) + (INTERCONNECT input539/X ANTENNA__402__A/DIODE (0.054::0.054) (0.051::0.051)) + (INTERCONNECT input54/X user_to_mprj_in_gates\[2\]/A (0.015::0.015) (0.014::0.014)) + (INTERCONNECT input54/X ANTENNA_user_to_mprj_in_gates\[2\]_A/DIODE (0.015::0.015) (0.014::0.014)) + (INTERCONNECT input540/X _430_/A (0.005::0.005) (0.004::0.004)) + (INTERCONNECT input540/X ANTENNA__430__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input541/X _431_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input541/X ANTENNA__431__A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input542/X _403_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input542/X ANTENNA__403__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input543/X _404_/A (0.048::0.048) (0.045::0.045)) + (INTERCONNECT input543/X ANTENNA__404__A/DIODE (0.048::0.048) (0.045::0.045)) + (INTERCONNECT input544/X _405_/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input544/X ANTENNA__405__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input545/X _406_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input545/X ANTENNA__406__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input546/X _407_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input546/X ANTENNA__407__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input547/X _408_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input547/X ANTENNA__408__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input548/X _409_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input548/X ANTENNA__409__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input549/X _393_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input549/X ANTENNA__393__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input55/X user_to_mprj_in_gates\[30\]/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input55/X ANTENNA_user_to_mprj_in_gates\[30\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input550/X user_wb_dat_gates\[0\]/A (0.139::0.139) (0.125::0.125)) + (INTERCONNECT input550/X ANTENNA_user_wb_dat_gates\[0\]_A/DIODE (0.139::0.139) (0.125::0.125)) + (INTERCONNECT input551/X user_wb_dat_gates\[10\]/A (0.020::0.020) (0.020::0.020)) + (INTERCONNECT input551/X ANTENNA_user_wb_dat_gates\[10\]_A/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT input552/X user_wb_dat_gates\[11\]/A (0.166::0.166) (0.147::0.147)) + (INTERCONNECT input552/X ANTENNA_user_wb_dat_gates\[11\]_A/DIODE (0.166::0.166) (0.147::0.147)) + (INTERCONNECT input553/X user_wb_dat_gates\[12\]/A (0.028::0.028) (0.027::0.027)) + (INTERCONNECT input553/X ANTENNA_user_wb_dat_gates\[12\]_A/DIODE (0.028::0.028) (0.027::0.027)) + (INTERCONNECT input554/X user_wb_dat_gates\[13\]/A (0.132::0.132) (0.121::0.121)) + (INTERCONNECT input554/X ANTENNA_user_wb_dat_gates\[13\]_A/DIODE (0.132::0.132) (0.121::0.121)) + (INTERCONNECT input555/X user_wb_dat_gates\[14\]/A (0.179::0.179) (0.158::0.158)) + (INTERCONNECT input555/X ANTENNA_user_wb_dat_gates\[14\]_A/DIODE (0.179::0.179) (0.158::0.158)) + (INTERCONNECT input556/X user_wb_dat_gates\[15\]/A (0.112::0.112) (0.105::0.105)) + (INTERCONNECT input556/X ANTENNA_user_wb_dat_gates\[15\]_A/DIODE (0.112::0.112) (0.105::0.105)) + (INTERCONNECT input557/X user_wb_dat_gates\[16\]/A (0.064::0.064) (0.064::0.064)) + (INTERCONNECT input557/X ANTENNA_user_wb_dat_gates\[16\]_A/DIODE (0.063::0.063) (0.064::0.064)) + (INTERCONNECT input558/X user_wb_dat_gates\[17\]/A (0.036::0.036) (0.034::0.034)) + (INTERCONNECT input558/X ANTENNA_user_wb_dat_gates\[17\]_A/DIODE (0.036::0.036) (0.034::0.034)) + (INTERCONNECT input559/X user_wb_dat_gates\[18\]/A (0.109::0.109) (0.104::0.104)) + (INTERCONNECT input559/X ANTENNA_user_wb_dat_gates\[18\]_A/DIODE (0.109::0.109) (0.104::0.104)) + (INTERCONNECT input56/X user_to_mprj_in_gates\[31\]/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input56/X ANTENNA_user_to_mprj_in_gates\[31\]_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input560/X user_wb_dat_gates\[19\]/A (0.110::0.110) (0.101::0.101)) + (INTERCONNECT input560/X ANTENNA_user_wb_dat_gates\[19\]_A/DIODE (0.110::0.110) (0.101::0.101)) + (INTERCONNECT input561/X user_wb_dat_gates\[1\]/A (0.087::0.087) (0.083::0.083)) + (INTERCONNECT input561/X ANTENNA_user_wb_dat_gates\[1\]_A/DIODE (0.086::0.086) (0.083::0.083)) + (INTERCONNECT input562/X user_wb_dat_gates\[20\]/A (0.025::0.025) (0.024::0.024)) + (INTERCONNECT input562/X ANTENNA_user_wb_dat_gates\[20\]_A/DIODE (0.025::0.025) (0.024::0.024)) + (INTERCONNECT input563/X user_wb_dat_gates\[21\]/A (0.053::0.053) (0.051::0.051)) + (INTERCONNECT input563/X ANTENNA_user_wb_dat_gates\[21\]_A/DIODE (0.053::0.053) (0.051::0.051)) + (INTERCONNECT input564/X user_wb_dat_gates\[22\]/A (0.080::0.080) (0.078::0.078)) + (INTERCONNECT input564/X ANTENNA_user_wb_dat_gates\[22\]_A/DIODE (0.080::0.080) (0.078::0.078)) + (INTERCONNECT input565/X user_wb_dat_gates\[23\]/A (0.045::0.045) (0.043::0.043)) + (INTERCONNECT input565/X ANTENNA_user_wb_dat_gates\[23\]_A/DIODE (0.045::0.045) (0.042::0.042)) + (INTERCONNECT input566/X user_wb_dat_gates\[24\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input566/X ANTENNA_user_wb_dat_gates\[24\]_A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input567/X user_wb_dat_gates\[25\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input567/X ANTENNA_user_wb_dat_gates\[25\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input568/X user_wb_dat_gates\[26\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input568/X ANTENNA_user_wb_dat_gates\[26\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input569/X user_wb_dat_gates\[27\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input569/X ANTENNA_user_wb_dat_gates\[27\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input57/X user_to_mprj_in_gates\[32\]/A (0.019::0.019) (0.019::0.019)) + (INTERCONNECT input57/X ANTENNA_user_to_mprj_in_gates\[32\]_A/DIODE (0.019::0.019) (0.019::0.019)) + (INTERCONNECT input570/X user_wb_dat_gates\[28\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input570/X ANTENNA_user_wb_dat_gates\[28\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input571/X user_wb_dat_gates\[29\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input571/X ANTENNA_user_wb_dat_gates\[29\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input572/X user_wb_dat_gates\[2\]/A (0.073::0.073) (0.072::0.072)) + (INTERCONNECT input572/X ANTENNA_user_wb_dat_gates\[2\]_A/DIODE (0.073::0.073) (0.072::0.072)) + (INTERCONNECT input573/X user_wb_dat_gates\[30\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input573/X ANTENNA_user_wb_dat_gates\[30\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input574/X user_wb_dat_gates\[31\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input574/X ANTENNA_user_wb_dat_gates\[31\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input575/X user_wb_dat_gates\[3\]/A (0.064::0.064) (0.063::0.063)) + (INTERCONNECT input575/X ANTENNA_user_wb_dat_gates\[3\]_A/DIODE (0.064::0.064) (0.062::0.062)) + (INTERCONNECT input576/X user_wb_dat_gates\[4\]/A (0.082::0.082) (0.078::0.078)) + (INTERCONNECT input576/X ANTENNA_user_wb_dat_gates\[4\]_A/DIODE (0.081::0.081) (0.078::0.078)) + (INTERCONNECT input577/X user_wb_dat_gates\[5\]/A (0.022::0.022) (0.022::0.022)) + (INTERCONNECT input577/X ANTENNA_user_wb_dat_gates\[5\]_A/DIODE (0.022::0.022) (0.022::0.022)) + (INTERCONNECT input578/X user_wb_dat_gates\[6\]/A (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input578/X ANTENNA_user_wb_dat_gates\[6\]_A/DIODE (0.027::0.027) (0.026::0.026)) + (INTERCONNECT input579/X user_wb_dat_gates\[7\]/A (0.017::0.017) (0.016::0.016)) + (INTERCONNECT input579/X ANTENNA_user_wb_dat_gates\[7\]_A/DIODE (0.017::0.017) (0.016::0.016)) + (INTERCONNECT input58/X user_to_mprj_in_gates\[33\]/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input58/X ANTENNA_user_to_mprj_in_gates\[33\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input580/X user_wb_dat_gates\[8\]/A (0.027::0.027) (0.027::0.027)) + (INTERCONNECT input580/X ANTENNA_user_wb_dat_gates\[8\]_A/DIODE (0.027::0.027) (0.027::0.027)) + (INTERCONNECT input581/X user_wb_dat_gates\[9\]/A (0.028::0.028) (0.027::0.027)) + (INTERCONNECT input581/X ANTENNA_user_wb_dat_gates\[9\]_A/DIODE (0.028::0.028) (0.027::0.027)) + (INTERCONNECT input582/X _432_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input582/X ANTENNA__432__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input583/X _442_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input583/X ANTENNA__442__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input584/X _443_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input584/X ANTENNA__443__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input585/X _444_/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input585/X ANTENNA__444__A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input586/X _445_/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input586/X ANTENNA__445__A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input587/X _446_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input587/X ANTENNA__446__A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input588/X _447_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input588/X ANTENNA__447__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input589/X _448_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input589/X ANTENNA__448__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input59/X user_to_mprj_in_gates\[34\]/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input59/X ANTENNA_user_to_mprj_in_gates\[34\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input590/X _449_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input590/X ANTENNA__449__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input591/X _450_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input591/X ANTENNA__450__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input592/X _451_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input592/X ANTENNA__451__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input593/X _433_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input593/X ANTENNA__433__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input594/X _452_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input594/X ANTENNA__452__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input595/X _453_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input595/X ANTENNA__453__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input596/X _454_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input596/X ANTENNA__454__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input597/X _455_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input597/X ANTENNA__455__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input598/X _456_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input598/X ANTENNA__456__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input599/X _457_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input599/X ANTENNA__457__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input6/X user_to_mprj_in_gates\[101\]/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input6/X ANTENNA_user_to_mprj_in_gates\[101\]_A/DIODE (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input60/X user_to_mprj_in_gates\[35\]/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input60/X ANTENNA_user_to_mprj_in_gates\[35\]_A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input600/X _458_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input600/X ANTENNA__458__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input601/X _459_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input601/X ANTENNA__459__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input602/X _460_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input602/X ANTENNA__460__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input603/X _461_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input603/X ANTENNA__461__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input604/X _434_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input604/X ANTENNA__434__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input605/X _462_/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input605/X ANTENNA__462__A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT input606/X _463_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input606/X ANTENNA__463__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input607/X _435_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input607/X ANTENNA__435__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input608/X _436_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input608/X ANTENNA__436__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input609/X _437_/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT input609/X ANTENNA__437__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input61/X user_to_mprj_in_gates\[36\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input61/X ANTENNA_user_to_mprj_in_gates\[36\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input610/X _438_/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT input610/X ANTENNA__438__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input611/X _439_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input611/X ANTENNA__439__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input612/X _440_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input612/X ANTENNA__440__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input613/X _441_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input613/X ANTENNA__441__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input614/X user_to_mprj_wb_ena_buf/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input614/X ANTENNA_user_to_mprj_wb_ena_buf_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input615/X _396_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input615/X ANTENNA__396__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input616/X _397_/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input616/X ANTENNA__397__A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT input617/X _398_/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input617/X ANTENNA__398__A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT input618/X _399_/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input618/X ANTENNA__399__A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT input619/X _394_/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input619/X ANTENNA__394__A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input62/X user_to_mprj_in_gates\[37\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input62/X ANTENNA_user_to_mprj_in_gates\[37\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input620/X _395_/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input620/X ANTENNA__395__A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT input621/X user_irq_gates\[0\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input621/X ANTENNA_user_irq_gates\[0\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input622/X user_irq_gates\[1\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input622/X ANTENNA_user_irq_gates\[1\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input623/X user_irq_gates\[2\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input623/X ANTENNA_user_irq_gates\[2\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input624/X user_irq_ena_buf\[0\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input624/X ANTENNA_user_irq_ena_buf\[0\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input625/X user_irq_ena_buf\[1\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input625/X ANTENNA_user_irq_ena_buf\[1\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input626/X user_irq_ena_buf\[2\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input626/X ANTENNA_user_irq_ena_buf\[2\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT input63/X user_to_mprj_in_gates\[38\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input63/X ANTENNA_user_to_mprj_in_gates\[38\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input64/X user_to_mprj_in_gates\[39\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input64/X ANTENNA_user_to_mprj_in_gates\[39\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input65/X user_to_mprj_in_gates\[3\]/A (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input65/X ANTENNA_user_to_mprj_in_gates\[3\]_A/DIODE (0.021::0.021) (0.020::0.020)) + (INTERCONNECT input66/X user_to_mprj_in_gates\[40\]/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input66/X ANTENNA_user_to_mprj_in_gates\[40\]_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input67/X user_to_mprj_in_gates\[41\]/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input67/X ANTENNA_user_to_mprj_in_gates\[41\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input68/X user_to_mprj_in_gates\[42\]/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input68/X ANTENNA_user_to_mprj_in_gates\[42\]_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT input69/X user_to_mprj_in_gates\[43\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input69/X ANTENNA_user_to_mprj_in_gates\[43\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input7/X user_to_mprj_in_gates\[102\]/A (0.019::0.019) (0.018::0.018)) + (INTERCONNECT input7/X ANTENNA_user_to_mprj_in_gates\[102\]_A/DIODE (0.019::0.019) (0.018::0.018)) + (INTERCONNECT input70/X user_to_mprj_in_gates\[44\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input70/X ANTENNA_user_to_mprj_in_gates\[44\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input71/X user_to_mprj_in_gates\[45\]/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input71/X ANTENNA_user_to_mprj_in_gates\[45\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input72/X user_to_mprj_in_gates\[46\]/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input72/X ANTENNA_user_to_mprj_in_gates\[46\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input73/X user_to_mprj_in_gates\[47\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input73/X ANTENNA_user_to_mprj_in_gates\[47\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input74/X user_to_mprj_in_gates\[48\]/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT input74/X ANTENNA_user_to_mprj_in_gates\[48\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input75/X user_to_mprj_in_gates\[49\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input75/X ANTENNA_user_to_mprj_in_gates\[49\]_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT input76/X user_to_mprj_in_gates\[4\]/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input76/X ANTENNA_user_to_mprj_in_gates\[4\]_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input77/X user_to_mprj_in_gates\[50\]/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input77/X ANTENNA_user_to_mprj_in_gates\[50\]_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input78/X user_to_mprj_in_gates\[51\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input78/X ANTENNA_user_to_mprj_in_gates\[51\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input79/X user_to_mprj_in_gates\[52\]/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input79/X ANTENNA_user_to_mprj_in_gates\[52\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input8/X user_to_mprj_in_gates\[103\]/A (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input8/X ANTENNA_user_to_mprj_in_gates\[103\]_A/DIODE (0.018::0.018) (0.017::0.017)) + (INTERCONNECT input80/X user_to_mprj_in_gates\[53\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input80/X ANTENNA_user_to_mprj_in_gates\[53\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input81/X user_to_mprj_in_gates\[54\]/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input81/X ANTENNA_user_to_mprj_in_gates\[54\]_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT input82/X user_to_mprj_in_gates\[55\]/A (0.010::0.010) (0.009::0.009)) + (INTERCONNECT input82/X ANTENNA_user_to_mprj_in_gates\[55\]_A/DIODE (0.010::0.010) (0.009::0.009)) + (INTERCONNECT input83/X user_to_mprj_in_gates\[56\]/A (0.013::0.013) (0.012::0.012)) + (INTERCONNECT input83/X ANTENNA_user_to_mprj_in_gates\[56\]_A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT input84/X user_to_mprj_in_gates\[57\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input84/X ANTENNA_user_to_mprj_in_gates\[57\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input85/X user_to_mprj_in_gates\[58\]/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input85/X ANTENNA_user_to_mprj_in_gates\[58\]_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT input86/X user_to_mprj_in_gates\[59\]/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input86/X ANTENNA_user_to_mprj_in_gates\[59\]_A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input87/X user_to_mprj_in_gates\[5\]/A (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input87/X ANTENNA_user_to_mprj_in_gates\[5\]_A/DIODE (0.014::0.014) (0.013::0.013)) + (INTERCONNECT input88/X user_to_mprj_in_gates\[60\]/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input88/X ANTENNA_user_to_mprj_in_gates\[60\]_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT input89/X user_to_mprj_in_gates\[61\]/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input89/X ANTENNA_user_to_mprj_in_gates\[61\]_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT input9/X user_to_mprj_in_gates\[104\]/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input9/X ANTENNA_user_to_mprj_in_gates\[104\]_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT input90/X user_to_mprj_in_gates\[62\]/A (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input90/X ANTENNA_user_to_mprj_in_gates\[62\]_A/DIODE (0.009::0.009) (0.008::0.008)) + (INTERCONNECT input91/X user_to_mprj_in_gates\[63\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input91/X ANTENNA_user_to_mprj_in_gates\[63\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input92/X user_to_mprj_in_gates\[64\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input92/X ANTENNA_user_to_mprj_in_gates\[64\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input93/X user_to_mprj_in_gates\[65\]/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input93/X ANTENNA_user_to_mprj_in_gates\[65\]_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT input94/X user_to_mprj_in_gates\[66\]/A (0.016::0.016) (0.015::0.015)) + (INTERCONNECT input94/X ANTENNA_user_to_mprj_in_gates\[66\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input95/X user_to_mprj_in_gates\[67\]/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input95/X ANTENNA_user_to_mprj_in_gates\[67\]_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT input96/X user_to_mprj_in_gates\[68\]/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input96/X ANTENNA_user_to_mprj_in_gates\[68\]_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT input97/X user_to_mprj_in_gates\[69\]/A (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input97/X ANTENNA_user_to_mprj_in_gates\[69\]_A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT input98/X user_to_mprj_in_gates\[6\]/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input98/X ANTENNA_user_to_mprj_in_gates\[6\]_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT input99/X user_to_mprj_in_gates\[70\]/A (0.018::0.018) (0.018::0.018)) + (INTERCONNECT input99/X ANTENNA_user_to_mprj_in_gates\[70\]_A/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT la_buf\[0\]/Z output627/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[0\]/Z ANTENNA_output627_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[100\]/Z output628/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[100\]/Z ANTENNA_output628_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[101\]/Z output629/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[101\]/Z ANTENNA_output629_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[102\]/Z output630/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf\[102\]/Z ANTENNA_output630_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf\[103\]/Z output631/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[103\]/Z ANTENNA_output631_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[104\]/Z output632/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[104\]/Z ANTENNA_output632_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[105\]/Z output633/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[105\]/Z ANTENNA_output633_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[106\]/Z output634/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[106\]/Z ANTENNA_output634_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[107\]/Z output635/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[107\]/Z ANTENNA_output635_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[108\]/Z output636/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[108\]/Z ANTENNA_output636_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[109\]/Z output637/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[109\]/Z ANTENNA_output637_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[10\]/Z output638/A (0.015::0.015) (0.014::0.015)) + (INTERCONNECT la_buf\[10\]/Z ANTENNA_output638_A/DIODE (0.015::0.015) (0.014::0.015)) + (INTERCONNECT la_buf\[110\]/Z output639/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf\[110\]/Z ANTENNA_output639_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf\[111\]/Z output640/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[111\]/Z ANTENNA_output640_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[112\]/Z output641/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[112\]/Z ANTENNA_output641_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[113\]/Z output642/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[113\]/Z ANTENNA_output642_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[114\]/Z output643/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[114\]/Z ANTENNA_output643_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[115\]/Z output644/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[115\]/Z ANTENNA_output644_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[116\]/Z output645/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[116\]/Z ANTENNA_output645_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[117\]/Z output646/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[117\]/Z ANTENNA_output646_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[118\]/Z output647/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[118\]/Z ANTENNA_output647_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[119\]/Z output648/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[119\]/Z ANTENNA_output648_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[11\]/Z output649/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[11\]/Z ANTENNA_output649_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[120\]/Z output650/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[120\]/Z ANTENNA_output650_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[121\]/Z output651/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[121\]/Z ANTENNA_output651_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[122\]/Z output652/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[122\]/Z ANTENNA_output652_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[123\]/Z output653/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[123\]/Z ANTENNA_output653_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[124\]/Z output654/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[124\]/Z ANTENNA_output654_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[125\]/Z output655/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[125\]/Z ANTENNA_output655_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[126\]/Z output656/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[126\]/Z ANTENNA_output656_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[127\]/Z output657/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[127\]/Z ANTENNA_output657_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[12\]/Z output658/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[12\]/Z ANTENNA_output658_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[13\]/Z output659/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT la_buf\[13\]/Z ANTENNA_output659_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT la_buf\[14\]/Z output660/A (0.017::0.017) (0.016::0.017)) + (INTERCONNECT la_buf\[14\]/Z ANTENNA_output660_A/DIODE (0.017::0.017) (0.016::0.017)) + (INTERCONNECT la_buf\[15\]/Z output661/A (0.011::0.011) (0.010::0.011)) + (INTERCONNECT la_buf\[15\]/Z ANTENNA_output661_A/DIODE (0.011::0.011) (0.010::0.011)) + (INTERCONNECT la_buf\[16\]/Z output662/A (0.010::0.010) (0.009::0.010)) + (INTERCONNECT la_buf\[16\]/Z ANTENNA_output662_A/DIODE (0.010::0.010) (0.009::0.010)) + (INTERCONNECT la_buf\[17\]/Z output663/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[17\]/Z ANTENNA_output663_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[18\]/Z output664/A (0.007::0.007) (0.006::0.006)) + (INTERCONNECT la_buf\[18\]/Z ANTENNA_output664_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[19\]/Z output665/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT la_buf\[19\]/Z ANTENNA_output665_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT la_buf\[1\]/Z output666/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[1\]/Z ANTENNA_output666_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[20\]/Z output667/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT la_buf\[20\]/Z ANTENNA_output667_A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT la_buf\[21\]/Z output668/A (0.017::0.017) (0.017::0.017)) + (INTERCONNECT la_buf\[21\]/Z ANTENNA_output668_A/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT la_buf\[22\]/Z output669/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[22\]/Z ANTENNA_output669_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[23\]/Z output670/A (0.014::0.014) (0.013::0.014)) + (INTERCONNECT la_buf\[23\]/Z ANTENNA_output670_A/DIODE (0.014::0.014) (0.013::0.014)) + (INTERCONNECT la_buf\[24\]/Z output671/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[24\]/Z ANTENNA_output671_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[25\]/Z output672/A (0.014::0.014) (0.013::0.014)) + (INTERCONNECT la_buf\[25\]/Z ANTENNA_output672_A/DIODE (0.013::0.014) (0.013::0.013)) + (INTERCONNECT la_buf\[26\]/Z output673/A (0.018::0.018) (0.018::0.018)) + (INTERCONNECT la_buf\[26\]/Z ANTENNA_output673_A/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT la_buf\[27\]/Z output674/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[27\]/Z ANTENNA_output674_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[28\]/Z output675/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[28\]/Z ANTENNA_output675_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[29\]/Z output676/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT la_buf\[29\]/Z ANTENNA_output676_A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT la_buf\[2\]/Z output677/A (0.008::0.009) (0.008::0.008)) + (INTERCONNECT la_buf\[2\]/Z ANTENNA_output677_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT la_buf\[30\]/Z output678/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT la_buf\[30\]/Z ANTENNA_output678_A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT la_buf\[31\]/Z output679/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[31\]/Z ANTENNA_output679_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[32\]/Z output680/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT la_buf\[32\]/Z ANTENNA_output680_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT la_buf\[33\]/Z output681/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[33\]/Z ANTENNA_output681_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[34\]/Z output682/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT la_buf\[34\]/Z ANTENNA_output682_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT la_buf\[35\]/Z output683/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[35\]/Z ANTENNA_output683_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[36\]/Z output684/A (0.017::0.017) (0.016::0.017)) + (INTERCONNECT la_buf\[36\]/Z ANTENNA_output684_A/DIODE (0.017::0.017) (0.016::0.017)) + (INTERCONNECT la_buf\[37\]/Z output685/A (0.016::0.016) (0.015::0.016)) + (INTERCONNECT la_buf\[37\]/Z ANTENNA_output685_A/DIODE (0.016::0.016) (0.015::0.016)) + (INTERCONNECT la_buf\[38\]/Z output686/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[38\]/Z ANTENNA_output686_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[39\]/Z output687/A (0.017::0.017) (0.016::0.017)) + (INTERCONNECT la_buf\[39\]/Z ANTENNA_output687_A/DIODE (0.016::0.017) (0.016::0.017)) + (INTERCONNECT la_buf\[3\]/Z output688/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT la_buf\[3\]/Z ANTENNA_output688_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT la_buf\[40\]/Z output689/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[40\]/Z ANTENNA_output689_A/DIODE (0.004::0.004) (0.003::0.004)) + (INTERCONNECT la_buf\[41\]/Z output690/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[41\]/Z ANTENNA_output690_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[42\]/Z output691/A (0.010::0.010) (0.009::0.010)) + (INTERCONNECT la_buf\[42\]/Z ANTENNA_output691_A/DIODE (0.010::0.010) (0.009::0.010)) + (INTERCONNECT la_buf\[43\]/Z output692/A (0.013::0.013) (0.012::0.013)) + (INTERCONNECT la_buf\[43\]/Z ANTENNA_output692_A/DIODE (0.013::0.013) (0.012::0.013)) + (INTERCONNECT la_buf\[44\]/Z output693/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[44\]/Z ANTENNA_output693_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[45\]/Z output694/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[45\]/Z ANTENNA_output694_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[46\]/Z output695/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[46\]/Z ANTENNA_output695_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[47\]/Z output696/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[47\]/Z ANTENNA_output696_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[48\]/Z output697/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[48\]/Z ANTENNA_output697_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[49\]/Z output698/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[49\]/Z ANTENNA_output698_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[4\]/Z output699/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT la_buf\[4\]/Z ANTENNA_output699_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT la_buf\[50\]/Z output700/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[50\]/Z ANTENNA_output700_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[51\]/Z output701/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[51\]/Z ANTENNA_output701_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[52\]/Z output702/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[52\]/Z ANTENNA_output702_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[53\]/Z output703/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[53\]/Z ANTENNA_output703_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[54\]/Z output704/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[54\]/Z ANTENNA_output704_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[55\]/Z output705/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[55\]/Z ANTENNA_output705_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[56\]/Z output706/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT la_buf\[56\]/Z ANTENNA_output706_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT la_buf\[57\]/Z output707/A (0.009::0.009) (0.008::0.009)) + (INTERCONNECT la_buf\[57\]/Z ANTENNA_output707_A/DIODE (0.009::0.009) (0.008::0.009)) + (INTERCONNECT la_buf\[58\]/Z output708/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[58\]/Z ANTENNA_output708_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[59\]/Z output709/A (0.010::0.010) (0.009::0.010)) + (INTERCONNECT la_buf\[59\]/Z ANTENNA_output709_A/DIODE (0.010::0.010) (0.009::0.010)) + (INTERCONNECT la_buf\[5\]/Z output710/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[5\]/Z ANTENNA_output710_A/DIODE (0.003::0.003) (0.002::0.002)) + (INTERCONNECT la_buf\[60\]/Z output711/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT la_buf\[60\]/Z ANTENNA_output711_A/DIODE (0.011::0.011) (0.010::0.011)) + (INTERCONNECT la_buf\[61\]/Z output712/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[61\]/Z ANTENNA_output712_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[62\]/Z output713/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT la_buf\[62\]/Z ANTENNA_output713_A/DIODE (0.008::0.008) (0.007::0.008)) + (INTERCONNECT la_buf\[63\]/Z output714/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[63\]/Z ANTENNA_output714_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[64\]/Z output715/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[64\]/Z ANTENNA_output715_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[65\]/Z output716/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[65\]/Z ANTENNA_output716_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[66\]/Z output717/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[66\]/Z ANTENNA_output717_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[67\]/Z output718/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[67\]/Z ANTENNA_output718_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT la_buf\[68\]/Z output719/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[68\]/Z ANTENNA_output719_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[69\]/Z output720/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT la_buf\[69\]/Z ANTENNA_output720_A/DIODE (0.008::0.008) (0.007::0.008)) + (INTERCONNECT la_buf\[6\]/Z output721/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[6\]/Z ANTENNA_output721_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT la_buf\[70\]/Z output722/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[70\]/Z ANTENNA_output722_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[71\]/Z output723/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[71\]/Z ANTENNA_output723_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[72\]/Z output724/A (0.008::0.008) (0.007::0.008)) + (INTERCONNECT la_buf\[72\]/Z ANTENNA_output724_A/DIODE (0.008::0.008) (0.007::0.008)) + (INTERCONNECT la_buf\[73\]/Z output725/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[73\]/Z ANTENNA_output725_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[74\]/Z output726/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[74\]/Z ANTENNA_output726_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[75\]/Z output727/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[75\]/Z ANTENNA_output727_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[76\]/Z output728/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[76\]/Z ANTENNA_output728_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[77\]/Z output729/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[77\]/Z ANTENNA_output729_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[78\]/Z output730/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[78\]/Z ANTENNA_output730_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[79\]/Z output731/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[79\]/Z ANTENNA_output731_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[7\]/Z output732/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT la_buf\[7\]/Z ANTENNA_output732_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT la_buf\[80\]/Z output733/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[80\]/Z ANTENNA_output733_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[81\]/Z output734/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[81\]/Z ANTENNA_output734_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[82\]/Z output735/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[82\]/Z ANTENNA_output735_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[83\]/Z output736/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[83\]/Z ANTENNA_output736_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[84\]/Z output737/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[84\]/Z ANTENNA_output737_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[85\]/Z output738/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[85\]/Z ANTENNA_output738_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[86\]/Z output739/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[86\]/Z ANTENNA_output739_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[87\]/Z output740/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[87\]/Z ANTENNA_output740_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT la_buf\[88\]/Z output741/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[88\]/Z ANTENNA_output741_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[89\]/Z output742/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT la_buf\[89\]/Z ANTENNA_output742_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT la_buf\[8\]/Z output743/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[8\]/Z ANTENNA_output743_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT la_buf\[90\]/Z output744/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[90\]/Z ANTENNA_output744_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[91\]/Z output745/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[91\]/Z ANTENNA_output745_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[92\]/Z output746/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[92\]/Z ANTENNA_output746_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT la_buf\[93\]/Z output747/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[93\]/Z ANTENNA_output747_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[94\]/Z output748/A (0.004::0.005) (0.004::0.004)) + (INTERCONNECT la_buf\[94\]/Z ANTENNA_output748_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[95\]/Z output749/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[95\]/Z ANTENNA_output749_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT la_buf\[96\]/Z output750/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[96\]/Z ANTENNA_output750_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf\[97\]/Z output751/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[97\]/Z ANTENNA_output751_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf\[98\]/Z output752/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[98\]/Z ANTENNA_output752_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT la_buf\[99\]/Z output753/A (0.009::0.009) (0.008::0.009)) + (INTERCONNECT la_buf\[99\]/Z ANTENNA_output753_A/DIODE (0.009::0.009) (0.008::0.009)) + (INTERCONNECT la_buf\[9\]/Z output754/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT la_buf\[9\]/Z ANTENNA_output754_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT la_buf_enable\[0\]/X la_buf\[0\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[0\]/X ANTENNA_la_buf\[0\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[100\]/X la_buf\[100\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[100\]/X ANTENNA_la_buf\[100\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[101\]/X la_buf\[101\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[101\]/X ANTENNA_la_buf\[101\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[102\]/X la_buf\[102\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[102\]/X ANTENNA_la_buf\[102\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[103\]/X la_buf\[103\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[103\]/X ANTENNA_la_buf\[103\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[104\]/X la_buf\[104\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[104\]/X ANTENNA_la_buf\[104\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[105\]/X la_buf\[105\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[105\]/X ANTENNA_la_buf\[105\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[106\]/X la_buf\[106\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[106\]/X ANTENNA_la_buf\[106\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[107\]/X la_buf\[107\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[107\]/X ANTENNA_la_buf\[107\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[108\]/X la_buf\[108\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[108\]/X ANTENNA_la_buf\[108\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[109\]/X la_buf\[109\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[109\]/X ANTENNA_la_buf\[109\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[10\]/X la_buf\[10\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[10\]/X ANTENNA_la_buf\[10\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[110\]/X la_buf\[110\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[110\]/X ANTENNA_la_buf\[110\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[111\]/X la_buf\[111\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf_enable\[111\]/X ANTENNA_la_buf\[111\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf_enable\[112\]/X la_buf\[112\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf_enable\[112\]/X ANTENNA_la_buf\[112\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf_enable\[113\]/X la_buf\[113\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[113\]/X ANTENNA_la_buf\[113\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[114\]/X la_buf\[114\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[114\]/X ANTENNA_la_buf\[114\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[115\]/X la_buf\[115\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[115\]/X ANTENNA_la_buf\[115\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[116\]/X la_buf\[116\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[116\]/X ANTENNA_la_buf\[116\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[117\]/X la_buf\[117\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[117\]/X ANTENNA_la_buf\[117\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[118\]/X la_buf\[118\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[118\]/X ANTENNA_la_buf\[118\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[119\]/X la_buf\[119\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[119\]/X ANTENNA_la_buf\[119\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[11\]/X la_buf\[11\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[11\]/X ANTENNA_la_buf\[11\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[120\]/X la_buf\[120\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[120\]/X ANTENNA_la_buf\[120\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[121\]/X la_buf\[121\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[121\]/X ANTENNA_la_buf\[121\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[122\]/X la_buf\[122\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[122\]/X ANTENNA_la_buf\[122\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[123\]/X la_buf\[123\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[123\]/X ANTENNA_la_buf\[123\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[124\]/X la_buf\[124\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[124\]/X ANTENNA_la_buf\[124\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[125\]/X la_buf\[125\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[125\]/X ANTENNA_la_buf\[125\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[126\]/X la_buf\[126\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[126\]/X ANTENNA_la_buf\[126\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[127\]/X la_buf\[127\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[127\]/X ANTENNA_la_buf\[127\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[12\]/X la_buf\[12\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[12\]/X ANTENNA_la_buf\[12\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[13\]/X la_buf\[13\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[13\]/X ANTENNA_la_buf\[13\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[14\]/X la_buf\[14\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[14\]/X ANTENNA_la_buf\[14\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[15\]/X la_buf\[15\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[15\]/X ANTENNA_la_buf\[15\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[16\]/X la_buf\[16\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[16\]/X ANTENNA_la_buf\[16\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[17\]/X la_buf\[17\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[17\]/X ANTENNA_la_buf\[17\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[18\]/X la_buf\[18\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[18\]/X ANTENNA_la_buf\[18\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[19\]/X la_buf\[19\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[19\]/X ANTENNA_la_buf\[19\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[1\]/X la_buf\[1\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[1\]/X ANTENNA_la_buf\[1\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[20\]/X la_buf\[20\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[20\]/X ANTENNA_la_buf\[20\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[21\]/X la_buf\[21\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[21\]/X ANTENNA_la_buf\[21\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[22\]/X la_buf\[22\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[22\]/X ANTENNA_la_buf\[22\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[23\]/X la_buf\[23\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[23\]/X ANTENNA_la_buf\[23\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[24\]/X la_buf\[24\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[24\]/X ANTENNA_la_buf\[24\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[25\]/X la_buf\[25\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[25\]/X ANTENNA_la_buf\[25\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[26\]/X la_buf\[26\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[26\]/X ANTENNA_la_buf\[26\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[27\]/X la_buf\[27\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[27\]/X ANTENNA_la_buf\[27\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[28\]/X la_buf\[28\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[28\]/X ANTENNA_la_buf\[28\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[29\]/X la_buf\[29\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[29\]/X ANTENNA_la_buf\[29\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[2\]/X la_buf\[2\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[2\]/X ANTENNA_la_buf\[2\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[30\]/X la_buf\[30\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[30\]/X ANTENNA_la_buf\[30\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[31\]/X la_buf\[31\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[31\]/X ANTENNA_la_buf\[31\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[32\]/X la_buf\[32\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[32\]/X ANTENNA_la_buf\[32\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[33\]/X la_buf\[33\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[33\]/X ANTENNA_la_buf\[33\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[34\]/X la_buf\[34\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[34\]/X ANTENNA_la_buf\[34\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[35\]/X la_buf\[35\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[35\]/X ANTENNA_la_buf\[35\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[36\]/X la_buf\[36\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[36\]/X ANTENNA_la_buf\[36\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[37\]/X la_buf\[37\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[37\]/X ANTENNA_la_buf\[37\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[38\]/X la_buf\[38\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[38\]/X ANTENNA_la_buf\[38\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[39\]/X la_buf\[39\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[39\]/X ANTENNA_la_buf\[39\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[3\]/X la_buf\[3\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[3\]/X ANTENNA_la_buf\[3\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[40\]/X la_buf\[40\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[40\]/X ANTENNA_la_buf\[40\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[41\]/X la_buf\[41\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[41\]/X ANTENNA_la_buf\[41\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[42\]/X la_buf\[42\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[42\]/X ANTENNA_la_buf\[42\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[43\]/X la_buf\[43\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[43\]/X ANTENNA_la_buf\[43\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[44\]/X la_buf\[44\]/TE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[44\]/X ANTENNA_la_buf\[44\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[45\]/X la_buf\[45\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[45\]/X ANTENNA_la_buf\[45\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[46\]/X la_buf\[46\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[46\]/X ANTENNA_la_buf\[46\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[47\]/X la_buf\[47\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[47\]/X ANTENNA_la_buf\[47\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[48\]/X la_buf\[48\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[48\]/X ANTENNA_la_buf\[48\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[49\]/X la_buf\[49\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[49\]/X ANTENNA_la_buf\[49\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[4\]/X la_buf\[4\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[4\]/X ANTENNA_la_buf\[4\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[50\]/X la_buf\[50\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[50\]/X ANTENNA_la_buf\[50\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[51\]/X la_buf\[51\]/TE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[51\]/X ANTENNA_la_buf\[51\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[52\]/X la_buf\[52\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[52\]/X ANTENNA_la_buf\[52\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[53\]/X la_buf\[53\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[53\]/X ANTENNA_la_buf\[53\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[54\]/X la_buf\[54\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[54\]/X ANTENNA_la_buf\[54\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[55\]/X la_buf\[55\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[55\]/X ANTENNA_la_buf\[55\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[56\]/X la_buf\[56\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[56\]/X ANTENNA_la_buf\[56\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[57\]/X la_buf\[57\]/TE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[57\]/X ANTENNA_la_buf\[57\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[58\]/X la_buf\[58\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[58\]/X ANTENNA_la_buf\[58\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[59\]/X la_buf\[59\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[59\]/X ANTENNA_la_buf\[59\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[5\]/X la_buf\[5\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[5\]/X ANTENNA_la_buf\[5\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[60\]/X la_buf\[60\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[60\]/X ANTENNA_la_buf\[60\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[61\]/X la_buf\[61\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[61\]/X ANTENNA_la_buf\[61\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[62\]/X la_buf\[62\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[62\]/X ANTENNA_la_buf\[62\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[63\]/X la_buf\[63\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[63\]/X ANTENNA_la_buf\[63\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[64\]/X la_buf\[64\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[64\]/X ANTENNA_la_buf\[64\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[65\]/X la_buf\[65\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[65\]/X ANTENNA_la_buf\[65\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[66\]/X la_buf\[66\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[66\]/X ANTENNA_la_buf\[66\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[67\]/X la_buf\[67\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[67\]/X ANTENNA_la_buf\[67\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[68\]/X la_buf\[68\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[68\]/X ANTENNA_la_buf\[68\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[69\]/X la_buf\[69\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[69\]/X ANTENNA_la_buf\[69\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[6\]/X la_buf\[6\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[6\]/X ANTENNA_la_buf\[6\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[70\]/X la_buf\[70\]/TE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[70\]/X ANTENNA_la_buf\[70\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[71\]/X la_buf\[71\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[71\]/X ANTENNA_la_buf\[71\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[72\]/X la_buf\[72\]/TE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[72\]/X ANTENNA_la_buf\[72\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[73\]/X la_buf\[73\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[73\]/X ANTENNA_la_buf\[73\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[74\]/X la_buf\[74\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[74\]/X ANTENNA_la_buf\[74\]_TE/DIODE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[75\]/X la_buf\[75\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[75\]/X ANTENNA_la_buf\[75\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[76\]/X la_buf\[76\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[76\]/X ANTENNA_la_buf\[76\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[77\]/X la_buf\[77\]/TE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[77\]/X ANTENNA_la_buf\[77\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[78\]/X la_buf\[78\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[78\]/X ANTENNA_la_buf\[78\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[79\]/X la_buf\[79\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[79\]/X ANTENNA_la_buf\[79\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[7\]/X la_buf\[7\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[7\]/X ANTENNA_la_buf\[7\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[80\]/X la_buf\[80\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[80\]/X ANTENNA_la_buf\[80\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[81\]/X la_buf\[81\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[81\]/X ANTENNA_la_buf\[81\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[82\]/X la_buf\[82\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[82\]/X ANTENNA_la_buf\[82\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[83\]/X la_buf\[83\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[83\]/X ANTENNA_la_buf\[83\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[84\]/X la_buf\[84\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[84\]/X ANTENNA_la_buf\[84\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[85\]/X la_buf\[85\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[85\]/X ANTENNA_la_buf\[85\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[86\]/X la_buf\[86\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[86\]/X ANTENNA_la_buf\[86\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[87\]/X la_buf\[87\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[87\]/X ANTENNA_la_buf\[87\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[88\]/X la_buf\[88\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[88\]/X ANTENNA_la_buf\[88\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[89\]/X la_buf\[89\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[89\]/X ANTENNA_la_buf\[89\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[8\]/X la_buf\[8\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[8\]/X ANTENNA_la_buf\[8\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[90\]/X la_buf\[90\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[90\]/X ANTENNA_la_buf\[90\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[91\]/X la_buf\[91\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[91\]/X ANTENNA_la_buf\[91\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[92\]/X la_buf\[92\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[92\]/X ANTENNA_la_buf\[92\]_TE/DIODE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[93\]/X la_buf\[93\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf_enable\[93\]/X ANTENNA_la_buf\[93\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT la_buf_enable\[94\]/X la_buf\[94\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[94\]/X ANTENNA_la_buf\[94\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[95\]/X la_buf\[95\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[95\]/X ANTENNA_la_buf\[95\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[96\]/X la_buf\[96\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[96\]/X ANTENNA_la_buf\[96\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[97\]/X la_buf\[97\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[97\]/X ANTENNA_la_buf\[97\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[98\]/X la_buf\[98\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[98\]/X ANTENNA_la_buf\[98\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[99\]/X la_buf\[99\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[99\]/X ANTENNA_la_buf\[99\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT la_buf_enable\[9\]/X la_buf\[9\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT la_buf_enable\[9\]/X ANTENNA_la_buf\[9\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj2_logic_high_inst/HI mprj2_pwrgood/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj2_logic_high_inst/HI ANTENNA_mprj2_pwrgood_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj2_pwrgood/X output1117/A (0.114::0.114) (0.108::0.108)) + (INTERCONNECT mprj2_pwrgood/X ANTENNA_output1117_A/DIODE (0.114::0.114) (0.108::0.108)) + (INTERCONNECT mprj2_vdd_pwrgood/X output1118/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj2_vdd_pwrgood/X ANTENNA_output1118_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_adr_buf\[0\]/Z output1012/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_adr_buf\[0\]/Z ANTENNA_output1012_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_adr_buf\[10\]/Z output1013/A (0.053::0.053) (0.051::0.053)) + (INTERCONNECT mprj_adr_buf\[10\]/Z ANTENNA_output1013_A/DIODE (0.053::0.053) (0.051::0.053)) + (INTERCONNECT mprj_adr_buf\[11\]/Z output1014/A (0.030::0.030) (0.029::0.030)) + (INTERCONNECT mprj_adr_buf\[11\]/Z ANTENNA_output1014_A/DIODE (0.030::0.030) (0.029::0.030)) + (INTERCONNECT mprj_adr_buf\[12\]/Z output1015/A (0.025::0.025) (0.024::0.025)) + (INTERCONNECT mprj_adr_buf\[12\]/Z ANTENNA_output1015_A/DIODE (0.025::0.025) (0.024::0.025)) + (INTERCONNECT mprj_adr_buf\[13\]/Z output1016/A (0.023::0.023) (0.022::0.023)) + (INTERCONNECT mprj_adr_buf\[13\]/Z ANTENNA_output1016_A/DIODE (0.023::0.023) (0.022::0.023)) + (INTERCONNECT mprj_adr_buf\[14\]/Z output1017/A (0.028::0.029) (0.027::0.029)) + (INTERCONNECT mprj_adr_buf\[14\]/Z ANTENNA_output1017_A/DIODE (0.028::0.029) (0.027::0.029)) + (INTERCONNECT mprj_adr_buf\[15\]/Z output1018/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_adr_buf\[15\]/Z ANTENNA_output1018_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_adr_buf\[16\]/Z output1019/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_adr_buf\[16\]/Z ANTENNA_output1019_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_adr_buf\[17\]/Z output1020/A (0.020::0.021) (0.020::0.021)) + (INTERCONNECT mprj_adr_buf\[17\]/Z ANTENNA_output1020_A/DIODE (0.020::0.021) (0.020::0.021)) + (INTERCONNECT mprj_adr_buf\[18\]/Z output1021/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_adr_buf\[18\]/Z ANTENNA_output1021_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_adr_buf\[19\]/Z output1022/A (0.022::0.022) (0.021::0.022)) + (INTERCONNECT mprj_adr_buf\[19\]/Z ANTENNA_output1022_A/DIODE (0.022::0.022) (0.021::0.022)) + (INTERCONNECT mprj_adr_buf\[1\]/Z output1023/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_adr_buf\[1\]/Z ANTENNA_output1023_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_adr_buf\[20\]/Z output1024/A (0.041::0.042) (0.039::0.042)) + (INTERCONNECT mprj_adr_buf\[20\]/Z ANTENNA_output1024_A/DIODE (0.041::0.042) (0.039::0.042)) + (INTERCONNECT mprj_adr_buf\[21\]/Z output1025/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT mprj_adr_buf\[21\]/Z ANTENNA_output1025_A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT mprj_adr_buf\[22\]/Z output1026/A (0.030::0.031) (0.029::0.031)) + (INTERCONNECT mprj_adr_buf\[22\]/Z ANTENNA_output1026_A/DIODE (0.030::0.031) (0.029::0.031)) + (INTERCONNECT mprj_adr_buf\[23\]/Z output1027/A (0.027::0.027) (0.026::0.027)) + (INTERCONNECT mprj_adr_buf\[23\]/Z ANTENNA_output1027_A/DIODE (0.027::0.027) (0.026::0.027)) + (INTERCONNECT mprj_adr_buf\[24\]/Z output1028/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_adr_buf\[24\]/Z ANTENNA_output1028_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_adr_buf\[25\]/Z output1029/A (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_adr_buf\[25\]/Z ANTENNA_output1029_A/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_adr_buf\[26\]/Z output1030/A (0.017::0.017) (0.016::0.017)) + (INTERCONNECT mprj_adr_buf\[26\]/Z ANTENNA_output1030_A/DIODE (0.017::0.017) (0.016::0.017)) + (INTERCONNECT mprj_adr_buf\[27\]/Z output1031/A (0.009::0.009) (0.008::0.009)) + (INTERCONNECT mprj_adr_buf\[27\]/Z ANTENNA_output1031_A/DIODE (0.009::0.009) (0.008::0.009)) + (INTERCONNECT mprj_adr_buf\[28\]/Z output1032/A (0.017::0.017) (0.016::0.017)) + (INTERCONNECT mprj_adr_buf\[28\]/Z ANTENNA_output1032_A/DIODE (0.017::0.017) (0.016::0.017)) + (INTERCONNECT mprj_adr_buf\[29\]/Z output1033/A (0.018::0.018) (0.018::0.018)) + (INTERCONNECT mprj_adr_buf\[29\]/Z ANTENNA_output1033_A/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT mprj_adr_buf\[2\]/Z output1034/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_adr_buf\[2\]/Z ANTENNA_output1034_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_adr_buf\[30\]/Z output1035/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_adr_buf\[30\]/Z ANTENNA_output1035_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_adr_buf\[31\]/Z output1036/A (0.030::0.031) (0.029::0.031)) + (INTERCONNECT mprj_adr_buf\[31\]/Z ANTENNA_output1036_A/DIODE (0.030::0.031) (0.029::0.031)) + (INTERCONNECT mprj_adr_buf\[3\]/Z output1037/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_adr_buf\[3\]/Z ANTENNA_output1037_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_adr_buf\[4\]/Z output1038/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_adr_buf\[4\]/Z ANTENNA_output1038_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_adr_buf\[5\]/Z output1039/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_adr_buf\[5\]/Z ANTENNA_output1039_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_adr_buf\[6\]/Z output1040/A (0.035::0.036) (0.034::0.036)) + (INTERCONNECT mprj_adr_buf\[6\]/Z ANTENNA_output1040_A/DIODE (0.035::0.036) (0.034::0.035)) + (INTERCONNECT mprj_adr_buf\[7\]/Z output1041/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_adr_buf\[7\]/Z ANTENNA_output1041_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_adr_buf\[8\]/Z output1042/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_adr_buf\[8\]/Z ANTENNA_output1042_A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT mprj_adr_buf\[9\]/Z output1043/A (0.036::0.037) (0.035::0.037)) + (INTERCONNECT mprj_adr_buf\[9\]/Z ANTENNA_output1043_A/DIODE (0.036::0.037) (0.035::0.037)) + (INTERCONNECT mprj_clk2_buf/Z output1120/A (0.035::0.035) (0.034::0.035)) + (INTERCONNECT mprj_clk2_buf/Z ANTENNA_output1120_A/DIODE (0.035::0.035) (0.034::0.035)) + (INTERCONNECT mprj_clk_buf/Z output1119/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_clk_buf/Z ANTENNA_output1119_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_cyc_buf/Z output1044/A (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_cyc_buf/Z ANTENNA_output1044_A/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_dat_buf\[0\]/Z output1077/A (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_dat_buf\[0\]/Z ANTENNA_output1077_A/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_dat_buf\[10\]/Z output1078/A (0.107::0.111) (0.102::0.111)) + (INTERCONNECT mprj_dat_buf\[10\]/Z ANTENNA_output1078_A/DIODE (0.107::0.111) (0.102::0.111)) + (INTERCONNECT mprj_dat_buf\[11\]/Z output1079/A (0.121::0.127) (0.122::0.127)) + (INTERCONNECT mprj_dat_buf\[11\]/Z ANTENNA_output1079_A/DIODE (0.121::0.127) (0.122::0.127)) + (INTERCONNECT mprj_dat_buf\[12\]/Z output1080/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_dat_buf\[12\]/Z ANTENNA_output1080_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_dat_buf\[13\]/Z output1081/A (0.064::0.065) (0.061::0.065)) + (INTERCONNECT mprj_dat_buf\[13\]/Z ANTENNA_output1081_A/DIODE (0.064::0.065) (0.061::0.065)) + (INTERCONNECT mprj_dat_buf\[14\]/Z output1082/A (0.091::0.094) (0.087::0.093)) + (INTERCONNECT mprj_dat_buf\[14\]/Z ANTENNA_output1082_A/DIODE (0.091::0.094) (0.087::0.093)) + (INTERCONNECT mprj_dat_buf\[15\]/Z output1083/A (0.095::0.099) (0.091::0.099)) + (INTERCONNECT mprj_dat_buf\[15\]/Z ANTENNA_output1083_A/DIODE (0.095::0.099) (0.091::0.099)) + (INTERCONNECT mprj_dat_buf\[16\]/Z output1084/A (0.064::0.066) (0.062::0.066)) + (INTERCONNECT mprj_dat_buf\[16\]/Z ANTENNA_output1084_A/DIODE (0.064::0.066) (0.062::0.066)) + (INTERCONNECT mprj_dat_buf\[17\]/Z output1085/A (0.079::0.081) (0.075::0.081)) + (INTERCONNECT mprj_dat_buf\[17\]/Z ANTENNA_output1085_A/DIODE (0.079::0.081) (0.075::0.081)) + (INTERCONNECT mprj_dat_buf\[18\]/Z output1086/A (0.076::0.078) (0.073::0.078)) + (INTERCONNECT mprj_dat_buf\[18\]/Z ANTENNA_output1086_A/DIODE (0.076::0.078) (0.073::0.078)) + (INTERCONNECT mprj_dat_buf\[19\]/Z output1087/A (0.061::0.062) (0.058::0.062)) + (INTERCONNECT mprj_dat_buf\[19\]/Z ANTENNA_output1087_A/DIODE (0.061::0.062) (0.058::0.062)) + (INTERCONNECT mprj_dat_buf\[1\]/Z output1088/A (0.038::0.039) (0.037::0.038)) + (INTERCONNECT mprj_dat_buf\[1\]/Z ANTENNA_output1088_A/DIODE (0.038::0.039) (0.037::0.038)) + (INTERCONNECT mprj_dat_buf\[20\]/Z output1089/A (0.088::0.091) (0.084::0.091)) + (INTERCONNECT mprj_dat_buf\[20\]/Z ANTENNA_output1089_A/DIODE (0.088::0.091) (0.083::0.091)) + (INTERCONNECT mprj_dat_buf\[21\]/Z output1090/A (0.052::0.053) (0.050::0.053)) + (INTERCONNECT mprj_dat_buf\[21\]/Z ANTENNA_output1090_A/DIODE (0.052::0.053) (0.050::0.053)) + (INTERCONNECT mprj_dat_buf\[22\]/Z output1091/A (0.069::0.071) (0.066::0.071)) + (INTERCONNECT mprj_dat_buf\[22\]/Z ANTENNA_output1091_A/DIODE (0.069::0.071) (0.066::0.071)) + (INTERCONNECT mprj_dat_buf\[23\]/Z output1092/A (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_dat_buf\[23\]/Z ANTENNA_output1092_A/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_dat_buf\[24\]/Z output1093/A (0.054::0.055) (0.051::0.055)) + (INTERCONNECT mprj_dat_buf\[24\]/Z ANTENNA_output1093_A/DIODE (0.054::0.055) (0.051::0.055)) + (INTERCONNECT mprj_dat_buf\[25\]/Z output1094/A (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_dat_buf\[25\]/Z ANTENNA_output1094_A/DIODE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_dat_buf\[26\]/Z output1095/A (0.050::0.051) (0.048::0.051)) + (INTERCONNECT mprj_dat_buf\[26\]/Z ANTENNA_output1095_A/DIODE (0.050::0.051) (0.048::0.051)) + (INTERCONNECT mprj_dat_buf\[27\]/Z output1096/A (0.081::0.083) (0.078::0.083)) + (INTERCONNECT mprj_dat_buf\[27\]/Z ANTENNA_output1096_A/DIODE (0.081::0.083) (0.078::0.083)) + (INTERCONNECT mprj_dat_buf\[28\]/Z output1097/A (0.027::0.027) (0.026::0.027)) + (INTERCONNECT mprj_dat_buf\[28\]/Z ANTENNA_output1097_A/DIODE (0.027::0.027) (0.026::0.027)) + (INTERCONNECT mprj_dat_buf\[29\]/Z output1098/A (0.018::0.018) (0.018::0.018)) + (INTERCONNECT mprj_dat_buf\[29\]/Z ANTENNA_output1098_A/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT mprj_dat_buf\[2\]/Z output1099/A (0.042::0.043) (0.041::0.043)) + (INTERCONNECT mprj_dat_buf\[2\]/Z ANTENNA_output1099_A/DIODE (0.042::0.043) (0.041::0.043)) + (INTERCONNECT mprj_dat_buf\[30\]/Z output1100/A (0.029::0.029) (0.028::0.029)) + (INTERCONNECT mprj_dat_buf\[30\]/Z ANTENNA_output1100_A/DIODE (0.029::0.029) (0.028::0.029)) + (INTERCONNECT mprj_dat_buf\[31\]/Z output1101/A (0.023::0.023) (0.022::0.023)) + (INTERCONNECT mprj_dat_buf\[31\]/Z ANTENNA_output1101_A/DIODE (0.023::0.023) (0.022::0.023)) + (INTERCONNECT mprj_dat_buf\[3\]/Z output1102/A (0.025::0.025) (0.025::0.025)) + (INTERCONNECT mprj_dat_buf\[3\]/Z ANTENNA_output1102_A/DIODE (0.025::0.025) (0.025::0.025)) + (INTERCONNECT mprj_dat_buf\[4\]/Z output1103/A (0.015::0.015) (0.014::0.015)) + (INTERCONNECT mprj_dat_buf\[4\]/Z ANTENNA_output1103_A/DIODE (0.014::0.015) (0.014::0.015)) + (INTERCONNECT mprj_dat_buf\[5\]/Z output1104/A (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_dat_buf\[5\]/Z ANTENNA_output1104_A/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_dat_buf\[6\]/Z output1105/A (0.023::0.024) (0.023::0.023)) + (INTERCONNECT mprj_dat_buf\[6\]/Z ANTENNA_output1105_A/DIODE (0.023::0.024) (0.023::0.023)) + (INTERCONNECT mprj_dat_buf\[7\]/Z output1106/A (0.112::0.117) (0.108::0.117)) + (INTERCONNECT mprj_dat_buf\[7\]/Z ANTENNA_output1106_A/DIODE (0.112::0.117) (0.108::0.117)) + (INTERCONNECT mprj_dat_buf\[8\]/Z output1107/A (0.031::0.031) (0.031::0.031)) + (INTERCONNECT mprj_dat_buf\[8\]/Z ANTENNA_output1107_A/DIODE (0.031::0.031) (0.031::0.031)) + (INTERCONNECT mprj_dat_buf\[9\]/Z output1108/A (0.058::0.059) (0.055::0.059)) + (INTERCONNECT mprj_dat_buf\[9\]/Z ANTENNA_output1108_A/DIODE (0.058::0.059) (0.055::0.059)) + (INTERCONNECT mprj_logic_high_inst/HI[0] mprj_rstn_buf/TE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[0] ANTENNA_mprj_rstn_buf_TE/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[100] la_buf_enable\[26\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[100] ANTENNA_la_buf_enable\[26\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[101] la_buf_enable\[27\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[101] ANTENNA_la_buf_enable\[27\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[102] la_buf_enable\[28\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[102] ANTENNA_la_buf_enable\[28\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[103] la_buf_enable\[29\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[103] ANTENNA_la_buf_enable\[29\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[104] la_buf_enable\[30\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[104] ANTENNA_la_buf_enable\[30\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[105] la_buf_enable\[31\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[105] ANTENNA_la_buf_enable\[31\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[106] la_buf_enable\[32\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[106] ANTENNA_la_buf_enable\[32\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[107] la_buf_enable\[33\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[107] ANTENNA_la_buf_enable\[33\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[108] la_buf_enable\[34\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[108] ANTENNA_la_buf_enable\[34\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[109] la_buf_enable\[35\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[109] ANTENNA_la_buf_enable\[35\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[10] mprj_adr_buf\[0\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[10] ANTENNA_mprj_adr_buf\[0\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[110] la_buf_enable\[36\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[110] ANTENNA_la_buf_enable\[36\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[111] la_buf_enable\[37\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[111] ANTENNA_la_buf_enable\[37\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[112] la_buf_enable\[38\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[112] ANTENNA_la_buf_enable\[38\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[113] la_buf_enable\[39\]/B (0.001::0.001) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[113] ANTENNA_la_buf_enable\[39\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[114] la_buf_enable\[40\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[114] ANTENNA_la_buf_enable\[40\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[115] la_buf_enable\[41\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[115] ANTENNA_la_buf_enable\[41\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[116] la_buf_enable\[42\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[116] ANTENNA_la_buf_enable\[42\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[117] la_buf_enable\[43\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[117] ANTENNA_la_buf_enable\[43\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[118] la_buf_enable\[44\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[118] ANTENNA_la_buf_enable\[44\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[119] la_buf_enable\[45\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[119] ANTENNA_la_buf_enable\[45\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[11] mprj_adr_buf\[1\]/TE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[11] ANTENNA_mprj_adr_buf\[1\]_TE/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[120] la_buf_enable\[46\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[120] ANTENNA_la_buf_enable\[46\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[121] la_buf_enable\[47\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[121] ANTENNA_la_buf_enable\[47\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[122] la_buf_enable\[48\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[122] ANTENNA_la_buf_enable\[48\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[123] la_buf_enable\[49\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[123] ANTENNA_la_buf_enable\[49\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[124] la_buf_enable\[50\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[124] ANTENNA_la_buf_enable\[50\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[125] la_buf_enable\[51\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[125] ANTENNA_la_buf_enable\[51\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[126] la_buf_enable\[52\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[126] ANTENNA_la_buf_enable\[52\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[127] la_buf_enable\[53\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[127] ANTENNA_la_buf_enable\[53\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[128] la_buf_enable\[54\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[128] ANTENNA_la_buf_enable\[54\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[129] la_buf_enable\[55\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[129] ANTENNA_la_buf_enable\[55\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[12] mprj_adr_buf\[2\]/TE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[12] ANTENNA_mprj_adr_buf\[2\]_TE/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[130] la_buf_enable\[56\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[130] ANTENNA_la_buf_enable\[56\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[131] la_buf_enable\[57\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[131] ANTENNA_la_buf_enable\[57\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[132] la_buf_enable\[58\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[132] ANTENNA_la_buf_enable\[58\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[133] la_buf_enable\[59\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[133] ANTENNA_la_buf_enable\[59\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[134] la_buf_enable\[60\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[134] ANTENNA_la_buf_enable\[60\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[135] la_buf_enable\[61\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[135] ANTENNA_la_buf_enable\[61\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[136] la_buf_enable\[62\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[136] ANTENNA_la_buf_enable\[62\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[137] la_buf_enable\[63\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[137] ANTENNA_la_buf_enable\[63\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[138] la_buf_enable\[64\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[138] ANTENNA_la_buf_enable\[64\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[139] la_buf_enable\[65\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[139] ANTENNA_la_buf_enable\[65\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[13] mprj_adr_buf\[3\]/TE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[13] ANTENNA_mprj_adr_buf\[3\]_TE/DIODE (0.013::0.013) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[140] la_buf_enable\[66\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[140] ANTENNA_la_buf_enable\[66\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[141] la_buf_enable\[67\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[141] ANTENNA_la_buf_enable\[67\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[142] la_buf_enable\[68\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[142] ANTENNA_la_buf_enable\[68\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[143] la_buf_enable\[69\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[143] ANTENNA_la_buf_enable\[69\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[144] la_buf_enable\[70\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[144] ANTENNA_la_buf_enable\[70\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[145] la_buf_enable\[71\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[145] ANTENNA_la_buf_enable\[71\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[146] la_buf_enable\[72\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[146] ANTENNA_la_buf_enable\[72\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[147] la_buf_enable\[73\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[147] ANTENNA_la_buf_enable\[73\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[148] la_buf_enable\[74\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[148] ANTENNA_la_buf_enable\[74\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[149] la_buf_enable\[75\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[149] ANTENNA_la_buf_enable\[75\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[14] mprj_adr_buf\[4\]/TE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[14] ANTENNA_mprj_adr_buf\[4\]_TE/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[150] la_buf_enable\[76\]/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[150] ANTENNA_la_buf_enable\[76\]_B/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[151] la_buf_enable\[77\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[151] ANTENNA_la_buf_enable\[77\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[152] la_buf_enable\[78\]/B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_logic_high_inst/HI[152] ANTENNA_la_buf_enable\[78\]_B/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_logic_high_inst/HI[153] la_buf_enable\[79\]/B (0.038::0.038) (0.038::0.038)) + (INTERCONNECT mprj_logic_high_inst/HI[153] ANTENNA_la_buf_enable\[79\]_B/DIODE (0.038::0.038) (0.038::0.038)) + (INTERCONNECT mprj_logic_high_inst/HI[154] la_buf_enable\[80\]/B (0.021::0.021) (0.021::0.021)) + (INTERCONNECT mprj_logic_high_inst/HI[154] ANTENNA_la_buf_enable\[80\]_B/DIODE (0.021::0.021) (0.021::0.021)) + (INTERCONNECT mprj_logic_high_inst/HI[155] la_buf_enable\[81\]/B (0.037::0.037) (0.037::0.037)) + (INTERCONNECT mprj_logic_high_inst/HI[155] ANTENNA_la_buf_enable\[81\]_B/DIODE (0.037::0.037) (0.037::0.037)) + (INTERCONNECT mprj_logic_high_inst/HI[156] la_buf_enable\[82\]/B (0.021::0.021) (0.021::0.021)) + (INTERCONNECT mprj_logic_high_inst/HI[156] ANTENNA_la_buf_enable\[82\]_B/DIODE (0.021::0.021) (0.021::0.021)) + (INTERCONNECT mprj_logic_high_inst/HI[157] la_buf_enable\[83\]/B (0.021::0.021) (0.021::0.021)) + (INTERCONNECT mprj_logic_high_inst/HI[157] ANTENNA_la_buf_enable\[83\]_B/DIODE (0.021::0.021) (0.021::0.021)) + (INTERCONNECT mprj_logic_high_inst/HI[158] la_buf_enable\[84\]/B (0.030::0.030) (0.030::0.030)) + (INTERCONNECT mprj_logic_high_inst/HI[158] ANTENNA_la_buf_enable\[84\]_B/DIODE (0.030::0.030) (0.030::0.030)) + (INTERCONNECT mprj_logic_high_inst/HI[159] la_buf_enable\[85\]/B (0.029::0.029) (0.029::0.029)) + (INTERCONNECT mprj_logic_high_inst/HI[159] ANTENNA_la_buf_enable\[85\]_B/DIODE (0.029::0.029) (0.029::0.029)) + (INTERCONNECT mprj_logic_high_inst/HI[15] mprj_adr_buf\[5\]/TE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[15] ANTENNA_mprj_adr_buf\[5\]_TE/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[160] la_buf_enable\[86\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[160] ANTENNA_la_buf_enable\[86\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[161] la_buf_enable\[87\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[161] ANTENNA_la_buf_enable\[87\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[162] la_buf_enable\[88\]/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[162] ANTENNA_la_buf_enable\[88\]_B/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[163] la_buf_enable\[89\]/B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[163] ANTENNA_la_buf_enable\[89\]_B/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[164] la_buf_enable\[90\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[164] ANTENNA_la_buf_enable\[90\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[165] la_buf_enable\[91\]/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[165] ANTENNA_la_buf_enable\[91\]_B/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[166] la_buf_enable\[92\]/B (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_logic_high_inst/HI[166] ANTENNA_la_buf_enable\[92\]_B/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_logic_high_inst/HI[167] la_buf_enable\[93\]/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[167] ANTENNA_la_buf_enable\[93\]_B/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[168] la_buf_enable\[94\]/B (0.032::0.032) (0.032::0.032)) + (INTERCONNECT mprj_logic_high_inst/HI[168] ANTENNA_la_buf_enable\[94\]_B/DIODE (0.032::0.032) (0.032::0.032)) + (INTERCONNECT mprj_logic_high_inst/HI[169] la_buf_enable\[95\]/B (0.025::0.025) (0.025::0.025)) + (INTERCONNECT mprj_logic_high_inst/HI[169] ANTENNA_la_buf_enable\[95\]_B/DIODE (0.025::0.025) (0.025::0.025)) + (INTERCONNECT mprj_logic_high_inst/HI[16] mprj_adr_buf\[6\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[16] ANTENNA_mprj_adr_buf\[6\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[170] la_buf_enable\[96\]/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[170] ANTENNA_la_buf_enable\[96\]_B/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[171] la_buf_enable\[97\]/B (0.039::0.039) (0.039::0.039)) + (INTERCONNECT mprj_logic_high_inst/HI[171] ANTENNA_la_buf_enable\[97\]_B/DIODE (0.039::0.039) (0.039::0.039)) + (INTERCONNECT mprj_logic_high_inst/HI[172] la_buf_enable\[98\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[172] ANTENNA_la_buf_enable\[98\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[173] la_buf_enable\[99\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[173] ANTENNA_la_buf_enable\[99\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[174] la_buf_enable\[100\]/B (0.033::0.033) (0.033::0.033)) + (INTERCONNECT mprj_logic_high_inst/HI[174] ANTENNA_la_buf_enable\[100\]_B/DIODE (0.033::0.033) (0.033::0.033)) + (INTERCONNECT mprj_logic_high_inst/HI[175] la_buf_enable\[101\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[175] ANTENNA_la_buf_enable\[101\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[176] la_buf_enable\[102\]/B (0.029::0.029) (0.029::0.029)) + (INTERCONNECT mprj_logic_high_inst/HI[176] ANTENNA_la_buf_enable\[102\]_B/DIODE (0.029::0.029) (0.029::0.029)) + (INTERCONNECT mprj_logic_high_inst/HI[177] la_buf_enable\[103\]/B (0.013::0.013) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[177] ANTENNA_la_buf_enable\[103\]_B/DIODE (0.013::0.013) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[178] la_buf_enable\[104\]/B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[178] ANTENNA_la_buf_enable\[104\]_B/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[179] la_buf_enable\[105\]/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[179] ANTENNA_la_buf_enable\[105\]_B/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[17] mprj_adr_buf\[7\]/TE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[17] ANTENNA_mprj_adr_buf\[7\]_TE/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[180] la_buf_enable\[106\]/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[180] ANTENNA_la_buf_enable\[106\]_B/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[181] la_buf_enable\[107\]/B (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[181] ANTENNA_la_buf_enable\[107\]_B/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[182] la_buf_enable\[108\]/B (0.024::0.024) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[182] ANTENNA_la_buf_enable\[108\]_B/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[183] la_buf_enable\[109\]/B (0.027::0.027) (0.027::0.027)) + (INTERCONNECT mprj_logic_high_inst/HI[183] ANTENNA_la_buf_enable\[109\]_B/DIODE (0.027::0.027) (0.027::0.027)) + (INTERCONNECT mprj_logic_high_inst/HI[184] la_buf_enable\[110\]/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[184] ANTENNA_la_buf_enable\[110\]_B/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[185] la_buf_enable\[111\]/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[185] ANTENNA_la_buf_enable\[111\]_B/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[186] la_buf_enable\[112\]/B (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_logic_high_inst/HI[186] ANTENNA_la_buf_enable\[112\]_B/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_logic_high_inst/HI[187] la_buf_enable\[113\]/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[187] ANTENNA_la_buf_enable\[113\]_B/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[188] la_buf_enable\[114\]/B (0.025::0.025) (0.025::0.025)) + (INTERCONNECT mprj_logic_high_inst/HI[188] ANTENNA_la_buf_enable\[114\]_B/DIODE (0.025::0.025) (0.025::0.025)) + (INTERCONNECT mprj_logic_high_inst/HI[189] la_buf_enable\[115\]/B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[189] ANTENNA_la_buf_enable\[115\]_B/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[18] mprj_adr_buf\[8\]/TE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[18] ANTENNA_mprj_adr_buf\[8\]_TE/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[190] la_buf_enable\[116\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[190] ANTENNA_la_buf_enable\[116\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[191] la_buf_enable\[117\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[191] ANTENNA_la_buf_enable\[117\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[192] la_buf_enable\[118\]/B (0.037::0.037) (0.037::0.037)) + (INTERCONNECT mprj_logic_high_inst/HI[192] ANTENNA_la_buf_enable\[118\]_B/DIODE (0.037::0.037) (0.037::0.037)) + (INTERCONNECT mprj_logic_high_inst/HI[193] la_buf_enable\[119\]/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[193] ANTENNA_la_buf_enable\[119\]_B/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[194] la_buf_enable\[120\]/B (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[194] ANTENNA_la_buf_enable\[120\]_B/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[195] la_buf_enable\[121\]/B (0.031::0.031) (0.031::0.031)) + (INTERCONNECT mprj_logic_high_inst/HI[195] ANTENNA_la_buf_enable\[121\]_B/DIODE (0.031::0.031) (0.031::0.031)) + (INTERCONNECT mprj_logic_high_inst/HI[196] la_buf_enable\[122\]/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[196] ANTENNA_la_buf_enable\[122\]_B/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[197] la_buf_enable\[123\]/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[197] ANTENNA_la_buf_enable\[123\]_B/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[198] la_buf_enable\[124\]/B (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[198] ANTENNA_la_buf_enable\[124\]_B/DIODE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[199] la_buf_enable\[125\]/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[199] ANTENNA_la_buf_enable\[125\]_B/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[19] mprj_adr_buf\[9\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[19] ANTENNA_mprj_adr_buf\[9\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[1] mprj_clk_buf/TE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[1] ANTENNA_mprj_clk_buf_TE/DIODE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[200] la_buf_enable\[126\]/B (0.051::0.051) (0.051::0.051)) + (INTERCONNECT mprj_logic_high_inst/HI[200] ANTENNA_la_buf_enable\[126\]_B/DIODE (0.051::0.051) (0.051::0.051)) + (INTERCONNECT mprj_logic_high_inst/HI[201] la_buf_enable\[127\]/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[201] ANTENNA_la_buf_enable\[127\]_B/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[202] user_to_mprj_oen_buffers\[0\]/TE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[202] ANTENNA_user_to_mprj_oen_buffers\[0\]_TE/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[203] user_to_mprj_oen_buffers\[1\]/TE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[203] ANTENNA_user_to_mprj_oen_buffers\[1\]_TE/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[204] user_to_mprj_oen_buffers\[2\]/TE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[204] ANTENNA_user_to_mprj_oen_buffers\[2\]_TE/DIODE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[205] user_to_mprj_oen_buffers\[3\]/TE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[205] ANTENNA_user_to_mprj_oen_buffers\[3\]_TE/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[206] user_to_mprj_oen_buffers\[4\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[206] ANTENNA_user_to_mprj_oen_buffers\[4\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[207] user_to_mprj_oen_buffers\[5\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[207] ANTENNA_user_to_mprj_oen_buffers\[5\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[208] user_to_mprj_oen_buffers\[6\]/TE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[208] ANTENNA_user_to_mprj_oen_buffers\[6\]_TE/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[209] user_to_mprj_oen_buffers\[7\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[209] ANTENNA_user_to_mprj_oen_buffers\[7\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[20] mprj_adr_buf\[10\]/TE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[20] ANTENNA_mprj_adr_buf\[10\]_TE/DIODE (0.013::0.013) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[210] user_to_mprj_oen_buffers\[8\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[210] ANTENNA_user_to_mprj_oen_buffers\[8\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[211] user_to_mprj_oen_buffers\[9\]/TE (0.027::0.027) (0.027::0.027)) + (INTERCONNECT mprj_logic_high_inst/HI[211] ANTENNA_user_to_mprj_oen_buffers\[9\]_TE/DIODE (0.026::0.026) (0.027::0.027)) + (INTERCONNECT mprj_logic_high_inst/HI[212] user_to_mprj_oen_buffers\[10\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[212] ANTENNA_user_to_mprj_oen_buffers\[10\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[213] user_to_mprj_oen_buffers\[11\]/TE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[213] ANTENNA_user_to_mprj_oen_buffers\[11\]_TE/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[214] user_to_mprj_oen_buffers\[12\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[214] ANTENNA_user_to_mprj_oen_buffers\[12\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[215] user_to_mprj_oen_buffers\[13\]/TE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[215] ANTENNA_user_to_mprj_oen_buffers\[13\]_TE/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[216] user_to_mprj_oen_buffers\[14\]/TE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT mprj_logic_high_inst/HI[216] ANTENNA_user_to_mprj_oen_buffers\[14\]_TE/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT mprj_logic_high_inst/HI[217] user_to_mprj_oen_buffers\[15\]/TE (0.007::0.007) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[217] ANTENNA_user_to_mprj_oen_buffers\[15\]_TE/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[218] user_to_mprj_oen_buffers\[16\]/TE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[218] ANTENNA_user_to_mprj_oen_buffers\[16\]_TE/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[219] user_to_mprj_oen_buffers\[17\]/TE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[219] ANTENNA_user_to_mprj_oen_buffers\[17\]_TE/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[21] mprj_adr_buf\[11\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[21] ANTENNA_mprj_adr_buf\[11\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[220] user_to_mprj_oen_buffers\[18\]/TE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[220] ANTENNA_user_to_mprj_oen_buffers\[18\]_TE/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[221] user_to_mprj_oen_buffers\[19\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[221] ANTENNA_user_to_mprj_oen_buffers\[19\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[222] user_to_mprj_oen_buffers\[20\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[222] ANTENNA_user_to_mprj_oen_buffers\[20\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[223] user_to_mprj_oen_buffers\[21\]/TE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[223] ANTENNA_user_to_mprj_oen_buffers\[21\]_TE/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[224] user_to_mprj_oen_buffers\[22\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[224] ANTENNA_user_to_mprj_oen_buffers\[22\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[225] user_to_mprj_oen_buffers\[23\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[225] ANTENNA_user_to_mprj_oen_buffers\[23\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[226] user_to_mprj_oen_buffers\[24\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[226] ANTENNA_user_to_mprj_oen_buffers\[24\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[227] user_to_mprj_oen_buffers\[25\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[227] ANTENNA_user_to_mprj_oen_buffers\[25\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[228] user_to_mprj_oen_buffers\[26\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[228] ANTENNA_user_to_mprj_oen_buffers\[26\]_TE/DIODE (0.001::0.001) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[229] user_to_mprj_oen_buffers\[27\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[229] ANTENNA_user_to_mprj_oen_buffers\[27\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[22] mprj_adr_buf\[12\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[22] ANTENNA_mprj_adr_buf\[12\]_TE/DIODE (0.002::0.002) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[230] user_to_mprj_oen_buffers\[28\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[230] ANTENNA_user_to_mprj_oen_buffers\[28\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[231] user_to_mprj_oen_buffers\[29\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[231] ANTENNA_user_to_mprj_oen_buffers\[29\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[232] user_to_mprj_oen_buffers\[30\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[232] ANTENNA_user_to_mprj_oen_buffers\[30\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[233] user_to_mprj_oen_buffers\[31\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[233] ANTENNA_user_to_mprj_oen_buffers\[31\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[234] user_to_mprj_oen_buffers\[32\]/TE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[234] ANTENNA_user_to_mprj_oen_buffers\[32\]_TE/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[235] user_to_mprj_oen_buffers\[33\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[235] ANTENNA_user_to_mprj_oen_buffers\[33\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[236] user_to_mprj_oen_buffers\[34\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[236] ANTENNA_user_to_mprj_oen_buffers\[34\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[237] user_to_mprj_oen_buffers\[35\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[237] ANTENNA_user_to_mprj_oen_buffers\[35\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[238] user_to_mprj_oen_buffers\[36\]/TE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[238] ANTENNA_user_to_mprj_oen_buffers\[36\]_TE/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[239] user_to_mprj_oen_buffers\[37\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[239] ANTENNA_user_to_mprj_oen_buffers\[37\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[23] mprj_adr_buf\[13\]/TE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[23] ANTENNA_mprj_adr_buf\[13\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[240] user_to_mprj_oen_buffers\[38\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[240] ANTENNA_user_to_mprj_oen_buffers\[38\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[241] user_to_mprj_oen_buffers\[39\]/TE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[241] ANTENNA_user_to_mprj_oen_buffers\[39\]_TE/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[242] user_to_mprj_oen_buffers\[40\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[242] ANTENNA_user_to_mprj_oen_buffers\[40\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[243] user_to_mprj_oen_buffers\[41\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[243] ANTENNA_user_to_mprj_oen_buffers\[41\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[244] user_to_mprj_oen_buffers\[42\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[244] ANTENNA_user_to_mprj_oen_buffers\[42\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[245] user_to_mprj_oen_buffers\[43\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[245] ANTENNA_user_to_mprj_oen_buffers\[43\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[246] user_to_mprj_oen_buffers\[44\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[246] ANTENNA_user_to_mprj_oen_buffers\[44\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[247] user_to_mprj_oen_buffers\[45\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[247] ANTENNA_user_to_mprj_oen_buffers\[45\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[248] user_to_mprj_oen_buffers\[46\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[248] ANTENNA_user_to_mprj_oen_buffers\[46\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[249] user_to_mprj_oen_buffers\[47\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[249] ANTENNA_user_to_mprj_oen_buffers\[47\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[24] mprj_adr_buf\[14\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[24] ANTENNA_mprj_adr_buf\[14\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[250] user_to_mprj_oen_buffers\[48\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[250] ANTENNA_user_to_mprj_oen_buffers\[48\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[251] user_to_mprj_oen_buffers\[49\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[251] ANTENNA_user_to_mprj_oen_buffers\[49\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[252] user_to_mprj_oen_buffers\[50\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[252] ANTENNA_user_to_mprj_oen_buffers\[50\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[253] user_to_mprj_oen_buffers\[51\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[253] ANTENNA_user_to_mprj_oen_buffers\[51\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[254] user_to_mprj_oen_buffers\[52\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[254] ANTENNA_user_to_mprj_oen_buffers\[52\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[255] user_to_mprj_oen_buffers\[53\]/TE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[255] ANTENNA_user_to_mprj_oen_buffers\[53\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[256] user_to_mprj_oen_buffers\[54\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[256] ANTENNA_user_to_mprj_oen_buffers\[54\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[257] user_to_mprj_oen_buffers\[55\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[257] ANTENNA_user_to_mprj_oen_buffers\[55\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[258] user_to_mprj_oen_buffers\[56\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[258] ANTENNA_user_to_mprj_oen_buffers\[56\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[259] user_to_mprj_oen_buffers\[57\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[259] ANTENNA_user_to_mprj_oen_buffers\[57\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[25] mprj_adr_buf\[15\]/TE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[25] ANTENNA_mprj_adr_buf\[15\]_TE/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[260] user_to_mprj_oen_buffers\[58\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[260] ANTENNA_user_to_mprj_oen_buffers\[58\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[261] user_to_mprj_oen_buffers\[59\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[261] ANTENNA_user_to_mprj_oen_buffers\[59\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[262] user_to_mprj_oen_buffers\[60\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[262] ANTENNA_user_to_mprj_oen_buffers\[60\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[263] user_to_mprj_oen_buffers\[61\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[263] ANTENNA_user_to_mprj_oen_buffers\[61\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[264] user_to_mprj_oen_buffers\[62\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[264] ANTENNA_user_to_mprj_oen_buffers\[62\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[265] user_to_mprj_oen_buffers\[63\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[265] ANTENNA_user_to_mprj_oen_buffers\[63\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[266] user_to_mprj_oen_buffers\[64\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[266] ANTENNA_user_to_mprj_oen_buffers\[64\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[267] user_to_mprj_oen_buffers\[65\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[267] ANTENNA_user_to_mprj_oen_buffers\[65\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[268] user_to_mprj_oen_buffers\[66\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[268] ANTENNA_user_to_mprj_oen_buffers\[66\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[269] user_to_mprj_oen_buffers\[67\]/TE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[269] ANTENNA_user_to_mprj_oen_buffers\[67\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[26] mprj_adr_buf\[16\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[26] ANTENNA_mprj_adr_buf\[16\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[270] user_to_mprj_oen_buffers\[68\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[270] ANTENNA_user_to_mprj_oen_buffers\[68\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[271] user_to_mprj_oen_buffers\[69\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[271] ANTENNA_user_to_mprj_oen_buffers\[69\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[272] user_to_mprj_oen_buffers\[70\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[272] ANTENNA_user_to_mprj_oen_buffers\[70\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[273] user_to_mprj_oen_buffers\[71\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[273] ANTENNA_user_to_mprj_oen_buffers\[71\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[274] user_to_mprj_oen_buffers\[72\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[274] ANTENNA_user_to_mprj_oen_buffers\[72\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[275] user_to_mprj_oen_buffers\[73\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[275] ANTENNA_user_to_mprj_oen_buffers\[73\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[276] user_to_mprj_oen_buffers\[74\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[276] ANTENNA_user_to_mprj_oen_buffers\[74\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[277] user_to_mprj_oen_buffers\[75\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[277] ANTENNA_user_to_mprj_oen_buffers\[75\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[278] user_to_mprj_oen_buffers\[76\]/TE (0.022::0.022) (0.022::0.022)) + (INTERCONNECT mprj_logic_high_inst/HI[278] ANTENNA_user_to_mprj_oen_buffers\[76\]_TE/DIODE (0.022::0.022) (0.022::0.022)) + (INTERCONNECT mprj_logic_high_inst/HI[279] user_to_mprj_oen_buffers\[77\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[279] ANTENNA_user_to_mprj_oen_buffers\[77\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[27] mprj_adr_buf\[17\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[27] ANTENNA_mprj_adr_buf\[17\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[280] user_to_mprj_oen_buffers\[78\]/TE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[280] ANTENNA_user_to_mprj_oen_buffers\[78\]_TE/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[281] user_to_mprj_oen_buffers\[79\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[281] ANTENNA_user_to_mprj_oen_buffers\[79\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[282] user_to_mprj_oen_buffers\[80\]/TE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_logic_high_inst/HI[282] ANTENNA_user_to_mprj_oen_buffers\[80\]_TE/DIODE (0.020::0.020) (0.020::0.020)) + (INTERCONNECT mprj_logic_high_inst/HI[283] user_to_mprj_oen_buffers\[81\]/TE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[283] ANTENNA_user_to_mprj_oen_buffers\[81\]_TE/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[284] user_to_mprj_oen_buffers\[82\]/TE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[284] ANTENNA_user_to_mprj_oen_buffers\[82\]_TE/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[285] user_to_mprj_oen_buffers\[83\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[285] ANTENNA_user_to_mprj_oen_buffers\[83\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[286] user_to_mprj_oen_buffers\[84\]/TE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[286] ANTENNA_user_to_mprj_oen_buffers\[84\]_TE/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[287] user_to_mprj_oen_buffers\[85\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[287] ANTENNA_user_to_mprj_oen_buffers\[85\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[288] user_to_mprj_oen_buffers\[86\]/TE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[288] ANTENNA_user_to_mprj_oen_buffers\[86\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[289] user_to_mprj_oen_buffers\[87\]/TE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_logic_high_inst/HI[289] ANTENNA_user_to_mprj_oen_buffers\[87\]_TE/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_logic_high_inst/HI[28] mprj_adr_buf\[18\]/TE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[28] ANTENNA_mprj_adr_buf\[18\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[290] user_to_mprj_oen_buffers\[88\]/TE (0.022::0.022) (0.022::0.022)) + (INTERCONNECT mprj_logic_high_inst/HI[290] ANTENNA_user_to_mprj_oen_buffers\[88\]_TE/DIODE (0.022::0.022) (0.022::0.022)) + (INTERCONNECT mprj_logic_high_inst/HI[291] user_to_mprj_oen_buffers\[89\]/TE (0.028::0.028) (0.028::0.028)) + (INTERCONNECT mprj_logic_high_inst/HI[291] ANTENNA_user_to_mprj_oen_buffers\[89\]_TE/DIODE (0.028::0.028) (0.028::0.028)) + (INTERCONNECT mprj_logic_high_inst/HI[292] user_to_mprj_oen_buffers\[90\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[292] ANTENNA_user_to_mprj_oen_buffers\[90\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[293] user_to_mprj_oen_buffers\[91\]/TE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[293] ANTENNA_user_to_mprj_oen_buffers\[91\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[294] user_to_mprj_oen_buffers\[92\]/TE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[294] ANTENNA_user_to_mprj_oen_buffers\[92\]_TE/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[295] user_to_mprj_oen_buffers\[93\]/TE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[295] ANTENNA_user_to_mprj_oen_buffers\[93\]_TE/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[296] user_to_mprj_oen_buffers\[94\]/TE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[296] ANTENNA_user_to_mprj_oen_buffers\[94\]_TE/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[297] user_to_mprj_oen_buffers\[95\]/TE (0.030::0.030) (0.030::0.030)) + (INTERCONNECT mprj_logic_high_inst/HI[297] ANTENNA_user_to_mprj_oen_buffers\[95\]_TE/DIODE (0.030::0.030) (0.030::0.030)) + (INTERCONNECT mprj_logic_high_inst/HI[298] user_to_mprj_oen_buffers\[96\]/TE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT mprj_logic_high_inst/HI[298] ANTENNA_user_to_mprj_oen_buffers\[96\]_TE/DIODE (0.018::0.018) (0.018::0.018)) + (INTERCONNECT mprj_logic_high_inst/HI[299] user_to_mprj_oen_buffers\[97\]/TE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[299] ANTENNA_user_to_mprj_oen_buffers\[97\]_TE/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[29] mprj_adr_buf\[19\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[29] ANTENNA_mprj_adr_buf\[19\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[2] mprj_clk2_buf/TE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[2] ANTENNA_mprj_clk2_buf_TE/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[300] user_to_mprj_oen_buffers\[98\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[300] ANTENNA_user_to_mprj_oen_buffers\[98\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[301] user_to_mprj_oen_buffers\[99\]/TE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[301] ANTENNA_user_to_mprj_oen_buffers\[99\]_TE/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[302] user_to_mprj_oen_buffers\[100\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[302] ANTENNA_user_to_mprj_oen_buffers\[100\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[303] user_to_mprj_oen_buffers\[101\]/TE (0.028::0.028) (0.028::0.028)) + (INTERCONNECT mprj_logic_high_inst/HI[303] ANTENNA_user_to_mprj_oen_buffers\[101\]_TE/DIODE (0.028::0.028) (0.028::0.028)) + (INTERCONNECT mprj_logic_high_inst/HI[304] user_to_mprj_oen_buffers\[102\]/TE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[304] ANTENNA_user_to_mprj_oen_buffers\[102\]_TE/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[305] user_to_mprj_oen_buffers\[103\]/TE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[305] ANTENNA_user_to_mprj_oen_buffers\[103\]_TE/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[306] user_to_mprj_oen_buffers\[104\]/TE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[306] ANTENNA_user_to_mprj_oen_buffers\[104\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[307] user_to_mprj_oen_buffers\[105\]/TE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[307] ANTENNA_user_to_mprj_oen_buffers\[105\]_TE/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[308] user_to_mprj_oen_buffers\[106\]/TE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[308] ANTENNA_user_to_mprj_oen_buffers\[106\]_TE/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[309] user_to_mprj_oen_buffers\[107\]/TE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[309] ANTENNA_user_to_mprj_oen_buffers\[107\]_TE/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[30] mprj_adr_buf\[20\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[30] ANTENNA_mprj_adr_buf\[20\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[310] user_to_mprj_oen_buffers\[108\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[310] ANTENNA_user_to_mprj_oen_buffers\[108\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[311] user_to_mprj_oen_buffers\[109\]/TE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[311] ANTENNA_user_to_mprj_oen_buffers\[109\]_TE/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[312] user_to_mprj_oen_buffers\[110\]/TE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[312] ANTENNA_user_to_mprj_oen_buffers\[110\]_TE/DIODE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[313] user_to_mprj_oen_buffers\[111\]/TE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[313] ANTENNA_user_to_mprj_oen_buffers\[111\]_TE/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[314] user_to_mprj_oen_buffers\[112\]/TE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[314] ANTENNA_user_to_mprj_oen_buffers\[112\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[315] user_to_mprj_oen_buffers\[113\]/TE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_logic_high_inst/HI[315] ANTENNA_user_to_mprj_oen_buffers\[113\]_TE/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_logic_high_inst/HI[316] user_to_mprj_oen_buffers\[114\]/TE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[316] ANTENNA_user_to_mprj_oen_buffers\[114\]_TE/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[317] user_to_mprj_oen_buffers\[115\]/TE (0.028::0.028) (0.028::0.028)) + (INTERCONNECT mprj_logic_high_inst/HI[317] ANTENNA_user_to_mprj_oen_buffers\[115\]_TE/DIODE (0.028::0.028) (0.028::0.028)) + (INTERCONNECT mprj_logic_high_inst/HI[318] user_to_mprj_oen_buffers\[116\]/TE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[318] ANTENNA_user_to_mprj_oen_buffers\[116\]_TE/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[319] user_to_mprj_oen_buffers\[117\]/TE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[319] ANTENNA_user_to_mprj_oen_buffers\[117\]_TE/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[31] mprj_adr_buf\[21\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[31] ANTENNA_mprj_adr_buf\[21\]_TE/DIODE (0.002::0.002) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[320] user_to_mprj_oen_buffers\[118\]/TE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[320] ANTENNA_user_to_mprj_oen_buffers\[118\]_TE/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[321] user_to_mprj_oen_buffers\[119\]/TE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[321] ANTENNA_user_to_mprj_oen_buffers\[119\]_TE/DIODE (0.024::0.024) (0.024::0.024)) + (INTERCONNECT mprj_logic_high_inst/HI[322] user_to_mprj_oen_buffers\[120\]/TE (0.005::0.005) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[322] ANTENNA_user_to_mprj_oen_buffers\[120\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[323] user_to_mprj_oen_buffers\[121\]/TE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[323] ANTENNA_user_to_mprj_oen_buffers\[121\]_TE/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[324] user_to_mprj_oen_buffers\[122\]/TE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[324] ANTENNA_user_to_mprj_oen_buffers\[122\]_TE/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[325] user_to_mprj_oen_buffers\[123\]/TE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[325] ANTENNA_user_to_mprj_oen_buffers\[123\]_TE/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[326] user_to_mprj_oen_buffers\[124\]/TE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[326] ANTENNA_user_to_mprj_oen_buffers\[124\]_TE/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[327] user_to_mprj_oen_buffers\[125\]/TE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[327] ANTENNA_user_to_mprj_oen_buffers\[125\]_TE/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[328] user_to_mprj_oen_buffers\[126\]/TE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[328] ANTENNA_user_to_mprj_oen_buffers\[126\]_TE/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[329] user_to_mprj_oen_buffers\[127\]/TE (0.046::0.046) (0.046::0.046)) + (INTERCONNECT mprj_logic_high_inst/HI[329] ANTENNA_user_to_mprj_oen_buffers\[127\]_TE/DIODE (0.046::0.046) (0.046::0.046)) + (INTERCONNECT mprj_logic_high_inst/HI[32] mprj_adr_buf\[22\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[32] ANTENNA_mprj_adr_buf\[22\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[330] user_to_mprj_in_ena_buf\[0\]/B (0.021::0.021) (0.021::0.021)) + (INTERCONNECT mprj_logic_high_inst/HI[330] ANTENNA_user_to_mprj_in_ena_buf\[0\]_B/DIODE (0.021::0.021) (0.021::0.021)) + (INTERCONNECT mprj_logic_high_inst/HI[331] user_to_mprj_in_ena_buf\[1\]/B (0.033::0.033) (0.033::0.033)) + (INTERCONNECT mprj_logic_high_inst/HI[331] ANTENNA_user_to_mprj_in_ena_buf\[1\]_B/DIODE (0.033::0.033) (0.033::0.033)) + (INTERCONNECT mprj_logic_high_inst/HI[332] user_to_mprj_in_ena_buf\[2\]/B (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[332] ANTENNA_user_to_mprj_in_ena_buf\[2\]_B/DIODE (0.023::0.023) (0.023::0.023)) + (INTERCONNECT mprj_logic_high_inst/HI[333] user_to_mprj_in_ena_buf\[3\]/B (0.032::0.032) (0.032::0.032)) + (INTERCONNECT mprj_logic_high_inst/HI[333] ANTENNA_user_to_mprj_in_ena_buf\[3\]_B/DIODE (0.032::0.032) (0.032::0.032)) + (INTERCONNECT mprj_logic_high_inst/HI[334] user_to_mprj_in_ena_buf\[4\]/B (0.028::0.028) (0.028::0.028)) + (INTERCONNECT mprj_logic_high_inst/HI[334] ANTENNA_user_to_mprj_in_ena_buf\[4\]_B/DIODE (0.028::0.028) (0.028::0.028)) + (INTERCONNECT mprj_logic_high_inst/HI[335] user_to_mprj_in_ena_buf\[5\]/B (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_logic_high_inst/HI[335] ANTENNA_user_to_mprj_in_ena_buf\[5\]_B/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT mprj_logic_high_inst/HI[336] user_to_mprj_in_ena_buf\[6\]/B (0.014::0.014) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[336] ANTENNA_user_to_mprj_in_ena_buf\[6\]_B/DIODE (0.014::0.014) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[337] user_to_mprj_in_ena_buf\[7\]/B (0.031::0.031) (0.031::0.031)) + (INTERCONNECT mprj_logic_high_inst/HI[337] ANTENNA_user_to_mprj_in_ena_buf\[7\]_B/DIODE (0.031::0.031) (0.031::0.031)) + (INTERCONNECT mprj_logic_high_inst/HI[338] user_to_mprj_in_ena_buf\[8\]/B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[338] ANTENNA_user_to_mprj_in_ena_buf\[8\]_B/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[339] user_to_mprj_in_ena_buf\[9\]/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[339] ANTENNA_user_to_mprj_in_ena_buf\[9\]_B/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[33] mprj_adr_buf\[23\]/TE (0.000::0.000) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[33] ANTENNA_mprj_adr_buf\[23\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[340] user_to_mprj_in_ena_buf\[10\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[340] ANTENNA_user_to_mprj_in_ena_buf\[10\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[341] user_to_mprj_in_ena_buf\[11\]/B (0.034::0.034) (0.034::0.034)) + (INTERCONNECT mprj_logic_high_inst/HI[341] ANTENNA_user_to_mprj_in_ena_buf\[11\]_B/DIODE (0.034::0.034) (0.034::0.034)) + (INTERCONNECT mprj_logic_high_inst/HI[342] user_to_mprj_in_ena_buf\[12\]/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[342] ANTENNA_user_to_mprj_in_ena_buf\[12\]_B/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[343] user_to_mprj_in_ena_buf\[13\]/B (0.041::0.041) (0.041::0.041)) + (INTERCONNECT mprj_logic_high_inst/HI[343] ANTENNA_user_to_mprj_in_ena_buf\[13\]_B/DIODE (0.041::0.041) (0.041::0.041)) + (INTERCONNECT mprj_logic_high_inst/HI[344] user_to_mprj_in_ena_buf\[14\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[344] ANTENNA_user_to_mprj_in_ena_buf\[14\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[345] user_to_mprj_in_ena_buf\[15\]/B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[345] ANTENNA_user_to_mprj_in_ena_buf\[15\]_B/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[346] user_to_mprj_in_ena_buf\[16\]/B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[346] ANTENNA_user_to_mprj_in_ena_buf\[16\]_B/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[347] user_to_mprj_in_ena_buf\[17\]/B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[347] ANTENNA_user_to_mprj_in_ena_buf\[17\]_B/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[348] user_to_mprj_in_ena_buf\[18\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[348] ANTENNA_user_to_mprj_in_ena_buf\[18\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[349] user_to_mprj_in_ena_buf\[19\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[349] ANTENNA_user_to_mprj_in_ena_buf\[19\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[34] mprj_adr_buf\[24\]/TE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[34] ANTENNA_mprj_adr_buf\[24\]_TE/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[350] user_to_mprj_in_ena_buf\[20\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[350] ANTENNA_user_to_mprj_in_ena_buf\[20\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[351] user_to_mprj_in_ena_buf\[21\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[351] ANTENNA_user_to_mprj_in_ena_buf\[21\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[352] user_to_mprj_in_ena_buf\[22\]/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[352] ANTENNA_user_to_mprj_in_ena_buf\[22\]_B/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[353] user_to_mprj_in_ena_buf\[23\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[353] ANTENNA_user_to_mprj_in_ena_buf\[23\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[354] user_to_mprj_in_ena_buf\[24\]/B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[354] ANTENNA_user_to_mprj_in_ena_buf\[24\]_B/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[355] user_to_mprj_in_ena_buf\[25\]/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[355] ANTENNA_user_to_mprj_in_ena_buf\[25\]_B/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[356] user_to_mprj_in_ena_buf\[26\]/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[356] ANTENNA_user_to_mprj_in_ena_buf\[26\]_B/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[357] user_to_mprj_in_ena_buf\[27\]/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[357] ANTENNA_user_to_mprj_in_ena_buf\[27\]_B/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[358] user_to_mprj_in_ena_buf\[28\]/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[358] ANTENNA_user_to_mprj_in_ena_buf\[28\]_B/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[359] user_to_mprj_in_ena_buf\[29\]/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[359] ANTENNA_user_to_mprj_in_ena_buf\[29\]_B/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[35] mprj_adr_buf\[25\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[35] ANTENNA_mprj_adr_buf\[25\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[360] user_to_mprj_in_ena_buf\[30\]/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[360] ANTENNA_user_to_mprj_in_ena_buf\[30\]_B/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[361] user_to_mprj_in_ena_buf\[31\]/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[361] ANTENNA_user_to_mprj_in_ena_buf\[31\]_B/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[362] user_to_mprj_in_ena_buf\[32\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[362] ANTENNA_user_to_mprj_in_ena_buf\[32\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[363] user_to_mprj_in_ena_buf\[33\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[363] ANTENNA_user_to_mprj_in_ena_buf\[33\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[364] user_to_mprj_in_ena_buf\[34\]/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[364] ANTENNA_user_to_mprj_in_ena_buf\[34\]_B/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[365] user_to_mprj_in_ena_buf\[35\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[365] ANTENNA_user_to_mprj_in_ena_buf\[35\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[366] user_to_mprj_in_ena_buf\[36\]/B (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[366] ANTENNA_user_to_mprj_in_ena_buf\[36\]_B/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[367] user_to_mprj_in_ena_buf\[37\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[367] ANTENNA_user_to_mprj_in_ena_buf\[37\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[368] user_to_mprj_in_ena_buf\[38\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[368] ANTENNA_user_to_mprj_in_ena_buf\[38\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[369] user_to_mprj_in_ena_buf\[39\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[369] ANTENNA_user_to_mprj_in_ena_buf\[39\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[36] mprj_adr_buf\[26\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[36] ANTENNA_mprj_adr_buf\[26\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[370] user_to_mprj_in_ena_buf\[40\]/B (0.006::0.006) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[370] ANTENNA_user_to_mprj_in_ena_buf\[40\]_B/DIODE (0.006::0.006) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[371] user_to_mprj_in_ena_buf\[41\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[371] ANTENNA_user_to_mprj_in_ena_buf\[41\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[372] user_to_mprj_in_ena_buf\[42\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[372] ANTENNA_user_to_mprj_in_ena_buf\[42\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[373] user_to_mprj_in_ena_buf\[43\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[373] ANTENNA_user_to_mprj_in_ena_buf\[43\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[374] user_to_mprj_in_ena_buf\[44\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[374] ANTENNA_user_to_mprj_in_ena_buf\[44\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[375] user_to_mprj_in_ena_buf\[45\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[375] ANTENNA_user_to_mprj_in_ena_buf\[45\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[376] user_to_mprj_in_ena_buf\[46\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[376] ANTENNA_user_to_mprj_in_ena_buf\[46\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[377] user_to_mprj_in_ena_buf\[47\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[377] ANTENNA_user_to_mprj_in_ena_buf\[47\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[378] user_to_mprj_in_ena_buf\[48\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[378] ANTENNA_user_to_mprj_in_ena_buf\[48\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[379] user_to_mprj_in_ena_buf\[49\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[379] ANTENNA_user_to_mprj_in_ena_buf\[49\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[37] mprj_adr_buf\[27\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[37] ANTENNA_mprj_adr_buf\[27\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[380] user_to_mprj_in_ena_buf\[50\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[380] ANTENNA_user_to_mprj_in_ena_buf\[50\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[381] user_to_mprj_in_ena_buf\[51\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[381] ANTENNA_user_to_mprj_in_ena_buf\[51\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[382] user_to_mprj_in_ena_buf\[52\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[382] ANTENNA_user_to_mprj_in_ena_buf\[52\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[383] user_to_mprj_in_ena_buf\[53\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[383] ANTENNA_user_to_mprj_in_ena_buf\[53\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[384] user_to_mprj_in_ena_buf\[54\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[384] ANTENNA_user_to_mprj_in_ena_buf\[54\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[385] user_to_mprj_in_ena_buf\[55\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[385] ANTENNA_user_to_mprj_in_ena_buf\[55\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[386] user_to_mprj_in_ena_buf\[56\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[386] ANTENNA_user_to_mprj_in_ena_buf\[56\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[387] user_to_mprj_in_ena_buf\[57\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[387] ANTENNA_user_to_mprj_in_ena_buf\[57\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[388] user_to_mprj_in_ena_buf\[58\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[388] ANTENNA_user_to_mprj_in_ena_buf\[58\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[389] user_to_mprj_in_ena_buf\[59\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[389] ANTENNA_user_to_mprj_in_ena_buf\[59\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[38] mprj_adr_buf\[28\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[38] ANTENNA_mprj_adr_buf\[28\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[390] user_to_mprj_in_ena_buf\[60\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[390] ANTENNA_user_to_mprj_in_ena_buf\[60\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[391] user_to_mprj_in_ena_buf\[61\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[391] ANTENNA_user_to_mprj_in_ena_buf\[61\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[392] user_to_mprj_in_ena_buf\[62\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[392] ANTENNA_user_to_mprj_in_ena_buf\[62\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[393] user_to_mprj_in_ena_buf\[63\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[393] ANTENNA_user_to_mprj_in_ena_buf\[63\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[394] user_to_mprj_in_ena_buf\[64\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[394] ANTENNA_user_to_mprj_in_ena_buf\[64\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[395] user_to_mprj_in_ena_buf\[65\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[395] ANTENNA_user_to_mprj_in_ena_buf\[65\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[396] user_to_mprj_in_ena_buf\[66\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[396] ANTENNA_user_to_mprj_in_ena_buf\[66\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[397] user_to_mprj_in_ena_buf\[67\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[397] ANTENNA_user_to_mprj_in_ena_buf\[67\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[398] user_to_mprj_in_ena_buf\[68\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[398] ANTENNA_user_to_mprj_in_ena_buf\[68\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[399] user_to_mprj_in_ena_buf\[69\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[399] ANTENNA_user_to_mprj_in_ena_buf\[69\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[39] mprj_adr_buf\[29\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[39] ANTENNA_mprj_adr_buf\[29\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[3] mprj_cyc_buf/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[3] ANTENNA_mprj_cyc_buf_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[400] user_to_mprj_in_ena_buf\[70\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[400] ANTENNA_user_to_mprj_in_ena_buf\[70\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[401] user_to_mprj_in_ena_buf\[71\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[401] ANTENNA_user_to_mprj_in_ena_buf\[71\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[402] user_to_mprj_in_ena_buf\[72\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[402] ANTENNA_user_to_mprj_in_ena_buf\[72\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[403] user_to_mprj_in_ena_buf\[73\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[403] ANTENNA_user_to_mprj_in_ena_buf\[73\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[404] user_to_mprj_in_ena_buf\[74\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[404] ANTENNA_user_to_mprj_in_ena_buf\[74\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[405] user_to_mprj_in_ena_buf\[75\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[405] ANTENNA_user_to_mprj_in_ena_buf\[75\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[406] user_to_mprj_in_ena_buf\[76\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[406] ANTENNA_user_to_mprj_in_ena_buf\[76\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[407] user_to_mprj_in_ena_buf\[77\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[407] ANTENNA_user_to_mprj_in_ena_buf\[77\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[408] user_to_mprj_in_ena_buf\[78\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[408] ANTENNA_user_to_mprj_in_ena_buf\[78\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[409] user_to_mprj_in_ena_buf\[79\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[409] ANTENNA_user_to_mprj_in_ena_buf\[79\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[40] mprj_adr_buf\[30\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[40] ANTENNA_mprj_adr_buf\[30\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[410] user_to_mprj_in_ena_buf\[80\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[410] ANTENNA_user_to_mprj_in_ena_buf\[80\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[411] user_to_mprj_in_ena_buf\[81\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[411] ANTENNA_user_to_mprj_in_ena_buf\[81\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[412] user_to_mprj_in_ena_buf\[82\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[412] ANTENNA_user_to_mprj_in_ena_buf\[82\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[413] user_to_mprj_in_ena_buf\[83\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[413] ANTENNA_user_to_mprj_in_ena_buf\[83\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[414] user_to_mprj_in_ena_buf\[84\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[414] ANTENNA_user_to_mprj_in_ena_buf\[84\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[415] user_to_mprj_in_ena_buf\[85\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[415] ANTENNA_user_to_mprj_in_ena_buf\[85\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[416] user_to_mprj_in_ena_buf\[86\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[416] ANTENNA_user_to_mprj_in_ena_buf\[86\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[417] user_to_mprj_in_ena_buf\[87\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[417] ANTENNA_user_to_mprj_in_ena_buf\[87\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[418] user_to_mprj_in_ena_buf\[88\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[418] ANTENNA_user_to_mprj_in_ena_buf\[88\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[419] user_to_mprj_in_ena_buf\[89\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[419] ANTENNA_user_to_mprj_in_ena_buf\[89\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[41] mprj_adr_buf\[31\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[41] ANTENNA_mprj_adr_buf\[31\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[420] user_to_mprj_in_ena_buf\[90\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[420] ANTENNA_user_to_mprj_in_ena_buf\[90\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[421] user_to_mprj_in_ena_buf\[91\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[421] ANTENNA_user_to_mprj_in_ena_buf\[91\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[422] user_to_mprj_in_ena_buf\[92\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[422] ANTENNA_user_to_mprj_in_ena_buf\[92\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[423] user_to_mprj_in_ena_buf\[93\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[423] ANTENNA_user_to_mprj_in_ena_buf\[93\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[424] user_to_mprj_in_ena_buf\[94\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[424] ANTENNA_user_to_mprj_in_ena_buf\[94\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[425] user_to_mprj_in_ena_buf\[95\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[425] ANTENNA_user_to_mprj_in_ena_buf\[95\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[426] user_to_mprj_in_ena_buf\[96\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[426] ANTENNA_user_to_mprj_in_ena_buf\[96\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[427] user_to_mprj_in_ena_buf\[97\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[427] ANTENNA_user_to_mprj_in_ena_buf\[97\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[428] user_to_mprj_in_ena_buf\[98\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[428] ANTENNA_user_to_mprj_in_ena_buf\[98\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[429] user_to_mprj_in_ena_buf\[99\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[429] ANTENNA_user_to_mprj_in_ena_buf\[99\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[42] mprj_dat_buf\[0\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[42] ANTENNA_mprj_dat_buf\[0\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[430] user_to_mprj_in_ena_buf\[100\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[430] ANTENNA_user_to_mprj_in_ena_buf\[100\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[431] user_to_mprj_in_ena_buf\[101\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[431] ANTENNA_user_to_mprj_in_ena_buf\[101\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[432] user_to_mprj_in_ena_buf\[102\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[432] ANTENNA_user_to_mprj_in_ena_buf\[102\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[433] user_to_mprj_in_ena_buf\[103\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[433] ANTENNA_user_to_mprj_in_ena_buf\[103\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[434] user_to_mprj_in_ena_buf\[104\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[434] ANTENNA_user_to_mprj_in_ena_buf\[104\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[435] user_to_mprj_in_ena_buf\[105\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[435] ANTENNA_user_to_mprj_in_ena_buf\[105\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[436] user_to_mprj_in_ena_buf\[106\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[436] ANTENNA_user_to_mprj_in_ena_buf\[106\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[437] user_to_mprj_in_ena_buf\[107\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[437] ANTENNA_user_to_mprj_in_ena_buf\[107\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[438] user_to_mprj_in_ena_buf\[108\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[438] ANTENNA_user_to_mprj_in_ena_buf\[108\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[439] user_to_mprj_in_ena_buf\[109\]/B (0.010::0.010) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[439] ANTENNA_user_to_mprj_in_ena_buf\[109\]_B/DIODE (0.010::0.010) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[43] mprj_dat_buf\[1\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[43] ANTENNA_mprj_dat_buf\[1\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[440] user_to_mprj_in_ena_buf\[110\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[440] ANTENNA_user_to_mprj_in_ena_buf\[110\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[441] user_to_mprj_in_ena_buf\[111\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[441] ANTENNA_user_to_mprj_in_ena_buf\[111\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[442] user_to_mprj_in_ena_buf\[112\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[442] ANTENNA_user_to_mprj_in_ena_buf\[112\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[443] user_to_mprj_in_ena_buf\[113\]/B (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[443] ANTENNA_user_to_mprj_in_ena_buf\[113\]_B/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[444] user_to_mprj_in_ena_buf\[114\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[444] ANTENNA_user_to_mprj_in_ena_buf\[114\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[445] user_to_mprj_in_ena_buf\[115\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[445] ANTENNA_user_to_mprj_in_ena_buf\[115\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[446] user_to_mprj_in_ena_buf\[116\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[446] ANTENNA_user_to_mprj_in_ena_buf\[116\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[447] user_to_mprj_in_ena_buf\[117\]/B (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[447] ANTENNA_user_to_mprj_in_ena_buf\[117\]_B/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[448] user_to_mprj_in_ena_buf\[118\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[448] ANTENNA_user_to_mprj_in_ena_buf\[118\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[449] user_to_mprj_in_ena_buf\[119\]/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[449] ANTENNA_user_to_mprj_in_ena_buf\[119\]_B/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[44] mprj_dat_buf\[2\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[44] ANTENNA_mprj_dat_buf\[2\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[450] user_to_mprj_in_ena_buf\[120\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[450] ANTENNA_user_to_mprj_in_ena_buf\[120\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[451] user_to_mprj_in_ena_buf\[121\]/B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[451] ANTENNA_user_to_mprj_in_ena_buf\[121\]_B/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[452] user_to_mprj_in_ena_buf\[122\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[452] ANTENNA_user_to_mprj_in_ena_buf\[122\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[453] user_to_mprj_in_ena_buf\[123\]/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[453] ANTENNA_user_to_mprj_in_ena_buf\[123\]_B/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[454] user_to_mprj_in_ena_buf\[124\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[454] ANTENNA_user_to_mprj_in_ena_buf\[124\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[455] user_to_mprj_in_ena_buf\[125\]/B (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[455] ANTENNA_user_to_mprj_in_ena_buf\[125\]_B/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[456] user_to_mprj_in_ena_buf\[126\]/B (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[456] ANTENNA_user_to_mprj_in_ena_buf\[126\]_B/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[457] user_to_mprj_in_ena_buf\[127\]/B (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[457] ANTENNA_user_to_mprj_in_ena_buf\[127\]_B/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[458] user_irq_ena_buf\[0\]/B (0.026::0.026) (0.026::0.026)) + (INTERCONNECT mprj_logic_high_inst/HI[458] ANTENNA_user_irq_ena_buf\[0\]_B/DIODE (0.026::0.026) (0.026::0.026)) + (INTERCONNECT mprj_logic_high_inst/HI[459] user_irq_ena_buf\[1\]/B (0.030::0.030) (0.030::0.030)) + (INTERCONNECT mprj_logic_high_inst/HI[459] ANTENNA_user_irq_ena_buf\[1\]_B/DIODE (0.030::0.030) (0.030::0.030)) + (INTERCONNECT mprj_logic_high_inst/HI[45] mprj_dat_buf\[3\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[45] ANTENNA_mprj_dat_buf\[3\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[460] user_irq_ena_buf\[2\]/B (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[460] ANTENNA_user_irq_ena_buf\[2\]_B/DIODE (0.014::0.014) (0.014::0.014)) + (INTERCONNECT mprj_logic_high_inst/HI[461] mprj_pwrgood/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[461] ANTENNA_mprj_pwrgood_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[462] user_to_mprj_wb_ena_buf/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[462] ANTENNA_user_to_mprj_wb_ena_buf_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[46] mprj_dat_buf\[4\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[46] ANTENNA_mprj_dat_buf\[4\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[47] mprj_dat_buf\[5\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[47] ANTENNA_mprj_dat_buf\[5\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[48] mprj_dat_buf\[6\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[48] ANTENNA_mprj_dat_buf\[6\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[49] mprj_dat_buf\[7\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[49] ANTENNA_mprj_dat_buf\[7\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[4] mprj_stb_buf/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[4] ANTENNA_mprj_stb_buf_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[50] mprj_dat_buf\[8\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[50] ANTENNA_mprj_dat_buf\[8\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[51] mprj_dat_buf\[9\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[51] ANTENNA_mprj_dat_buf\[9\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[52] mprj_dat_buf\[10\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[52] ANTENNA_mprj_dat_buf\[10\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[53] mprj_dat_buf\[11\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[53] ANTENNA_mprj_dat_buf\[11\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[54] mprj_dat_buf\[12\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[54] ANTENNA_mprj_dat_buf\[12\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[55] mprj_dat_buf\[13\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[55] ANTENNA_mprj_dat_buf\[13\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[56] mprj_dat_buf\[14\]/TE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[56] ANTENNA_mprj_dat_buf\[14\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[57] mprj_dat_buf\[15\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[57] ANTENNA_mprj_dat_buf\[15\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[58] mprj_dat_buf\[16\]/TE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[58] ANTENNA_mprj_dat_buf\[16\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[59] mprj_dat_buf\[17\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[59] ANTENNA_mprj_dat_buf\[17\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[5] mprj_we_buf/TE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[5] ANTENNA_mprj_we_buf_TE/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[60] mprj_dat_buf\[18\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[60] ANTENNA_mprj_dat_buf\[18\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[61] mprj_dat_buf\[19\]/TE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[61] ANTENNA_mprj_dat_buf\[19\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[62] mprj_dat_buf\[20\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[62] ANTENNA_mprj_dat_buf\[20\]_TE/DIODE (0.002::0.002) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[63] mprj_dat_buf\[21\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[63] ANTENNA_mprj_dat_buf\[21\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[64] mprj_dat_buf\[22\]/TE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[64] ANTENNA_mprj_dat_buf\[22\]_TE/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[65] mprj_dat_buf\[23\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[65] ANTENNA_mprj_dat_buf\[23\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[66] mprj_dat_buf\[24\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[66] ANTENNA_mprj_dat_buf\[24\]_TE/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_logic_high_inst/HI[67] mprj_dat_buf\[25\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[67] ANTENNA_mprj_dat_buf\[25\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[68] mprj_dat_buf\[26\]/TE (0.002::0.002) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[68] ANTENNA_mprj_dat_buf\[26\]_TE/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_logic_high_inst/HI[69] mprj_dat_buf\[27\]/TE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[69] ANTENNA_mprj_dat_buf\[27\]_TE/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT mprj_logic_high_inst/HI[6] mprj_sel_buf\[0\]/TE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[6] ANTENNA_mprj_sel_buf\[0\]_TE/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[70] mprj_dat_buf\[28\]/TE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[70] ANTENNA_mprj_dat_buf\[28\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[71] mprj_dat_buf\[29\]/TE (0.004::0.004) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[71] ANTENNA_mprj_dat_buf\[29\]_TE/DIODE (0.004::0.004) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[72] mprj_dat_buf\[30\]/TE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[72] ANTENNA_mprj_dat_buf\[30\]_TE/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT mprj_logic_high_inst/HI[73] mprj_dat_buf\[31\]/TE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[73] ANTENNA_mprj_dat_buf\[31\]_TE/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT mprj_logic_high_inst/HI[74] la_buf_enable\[0\]/B (0.092::0.092) (0.092::0.092)) + (INTERCONNECT mprj_logic_high_inst/HI[74] ANTENNA_la_buf_enable\[0\]_B/DIODE (0.092::0.092) (0.092::0.092)) + (INTERCONNECT mprj_logic_high_inst/HI[75] la_buf_enable\[1\]/B (0.042::0.042) (0.042::0.042)) + (INTERCONNECT mprj_logic_high_inst/HI[75] ANTENNA_la_buf_enable\[1\]_B/DIODE (0.042::0.042) (0.042::0.042)) + (INTERCONNECT mprj_logic_high_inst/HI[76] la_buf_enable\[2\]/B (0.029::0.029) (0.029::0.029)) + (INTERCONNECT mprj_logic_high_inst/HI[76] ANTENNA_la_buf_enable\[2\]_B/DIODE (0.029::0.029) (0.029::0.029)) + (INTERCONNECT mprj_logic_high_inst/HI[77] la_buf_enable\[3\]/B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[77] ANTENNA_la_buf_enable\[3\]_B/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[78] la_buf_enable\[4\]/B (0.035::0.035) (0.035::0.035)) + (INTERCONNECT mprj_logic_high_inst/HI[78] ANTENNA_la_buf_enable\[4\]_B/DIODE (0.035::0.035) (0.035::0.035)) + (INTERCONNECT mprj_logic_high_inst/HI[79] la_buf_enable\[5\]/B (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[79] ANTENNA_la_buf_enable\[5\]_B/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT mprj_logic_high_inst/HI[7] mprj_sel_buf\[1\]/TE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[7] ANTENNA_mprj_sel_buf\[1\]_TE/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT mprj_logic_high_inst/HI[80] la_buf_enable\[6\]/B (0.045::0.045) (0.045::0.045)) + (INTERCONNECT mprj_logic_high_inst/HI[80] ANTENNA_la_buf_enable\[6\]_B/DIODE (0.045::0.045) (0.045::0.045)) + (INTERCONNECT mprj_logic_high_inst/HI[81] la_buf_enable\[7\]/B (0.032::0.032) (0.032::0.032)) + (INTERCONNECT mprj_logic_high_inst/HI[81] ANTENNA_la_buf_enable\[7\]_B/DIODE (0.032::0.032) (0.032::0.032)) + (INTERCONNECT mprj_logic_high_inst/HI[82] la_buf_enable\[8\]/B (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[82] ANTENNA_la_buf_enable\[8\]_B/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT mprj_logic_high_inst/HI[83] la_buf_enable\[9\]/B (0.088::0.088) (0.088::0.088)) + (INTERCONNECT mprj_logic_high_inst/HI[83] ANTENNA_la_buf_enable\[9\]_B/DIODE (0.088::0.088) (0.088::0.088)) + (INTERCONNECT mprj_logic_high_inst/HI[84] la_buf_enable\[10\]/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[84] ANTENNA_la_buf_enable\[10\]_B/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[85] la_buf_enable\[11\]/B (0.019::0.019) (0.019::0.019)) + (INTERCONNECT mprj_logic_high_inst/HI[85] ANTENNA_la_buf_enable\[11\]_B/DIODE (0.019::0.019) (0.019::0.019)) + (INTERCONNECT mprj_logic_high_inst/HI[86] la_buf_enable\[12\]/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[86] ANTENNA_la_buf_enable\[12\]_B/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[87] la_buf_enable\[13\]/B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[87] ANTENNA_la_buf_enable\[13\]_B/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[88] la_buf_enable\[14\]/B (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[88] ANTENNA_la_buf_enable\[14\]_B/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT mprj_logic_high_inst/HI[89] la_buf_enable\[15\]/B (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[89] ANTENNA_la_buf_enable\[15\]_B/DIODE (0.013::0.013) (0.013::0.013)) + (INTERCONNECT mprj_logic_high_inst/HI[8] mprj_sel_buf\[2\]/TE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[8] ANTENNA_mprj_sel_buf\[2\]_TE/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT mprj_logic_high_inst/HI[90] la_buf_enable\[16\]/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[90] ANTENNA_la_buf_enable\[16\]_B/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[91] la_buf_enable\[17\]/B (0.033::0.033) (0.033::0.033)) + (INTERCONNECT mprj_logic_high_inst/HI[91] ANTENNA_la_buf_enable\[17\]_B/DIODE (0.033::0.033) (0.033::0.033)) + (INTERCONNECT mprj_logic_high_inst/HI[92] la_buf_enable\[18\]/B (0.040::0.040) (0.040::0.040)) + (INTERCONNECT mprj_logic_high_inst/HI[92] ANTENNA_la_buf_enable\[18\]_B/DIODE (0.040::0.040) (0.040::0.040)) + (INTERCONNECT mprj_logic_high_inst/HI[93] la_buf_enable\[19\]/B (0.070::0.070) (0.070::0.070)) + (INTERCONNECT mprj_logic_high_inst/HI[93] ANTENNA_la_buf_enable\[19\]_B/DIODE (0.070::0.070) (0.070::0.070)) + (INTERCONNECT mprj_logic_high_inst/HI[94] la_buf_enable\[20\]/B (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[94] ANTENNA_la_buf_enable\[20\]_B/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT mprj_logic_high_inst/HI[95] la_buf_enable\[21\]/B (0.038::0.038) (0.038::0.038)) + (INTERCONNECT mprj_logic_high_inst/HI[95] ANTENNA_la_buf_enable\[21\]_B/DIODE (0.038::0.038) (0.038::0.038)) + (INTERCONNECT mprj_logic_high_inst/HI[96] la_buf_enable\[22\]/B (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[96] ANTENNA_la_buf_enable\[22\]_B/DIODE (0.017::0.017) (0.017::0.017)) + (INTERCONNECT mprj_logic_high_inst/HI[97] la_buf_enable\[23\]/B (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[97] ANTENNA_la_buf_enable\[23\]_B/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT mprj_logic_high_inst/HI[98] la_buf_enable\[24\]/B (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[98] ANTENNA_la_buf_enable\[24\]_B/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT mprj_logic_high_inst/HI[99] la_buf_enable\[25\]/B (0.032::0.032) (0.031::0.031)) + (INTERCONNECT mprj_logic_high_inst/HI[99] ANTENNA_la_buf_enable\[25\]_B/DIODE (0.032::0.032) (0.031::0.031)) + (INTERCONNECT mprj_logic_high_inst/HI[9] mprj_sel_buf\[3\]/TE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_logic_high_inst/HI[9] ANTENNA_mprj_sel_buf\[3\]_TE/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT mprj_pwrgood/X output1115/A (0.023::0.023) (0.022::0.022)) + (INTERCONNECT mprj_pwrgood/X ANTENNA_output1115_A/DIODE (0.023::0.023) (0.021::0.021)) + (INTERCONNECT mprj_rstn_buf/Z output1124/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_rstn_buf/Z ANTENNA_output1124_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT mprj_sel_buf\[0\]/Z output1109/A (0.043::0.044) (0.042::0.044)) + (INTERCONNECT mprj_sel_buf\[0\]/Z ANTENNA_output1109_A/DIODE (0.043::0.044) (0.042::0.044)) + (INTERCONNECT mprj_sel_buf\[1\]/Z output1110/A (0.062::0.063) (0.059::0.062)) + (INTERCONNECT mprj_sel_buf\[1\]/Z ANTENNA_output1110_A/DIODE (0.062::0.063) (0.059::0.062)) + (INTERCONNECT mprj_sel_buf\[2\]/Z output1111/A (0.056::0.057) (0.054::0.057)) + (INTERCONNECT mprj_sel_buf\[2\]/Z ANTENNA_output1111_A/DIODE (0.056::0.057) (0.054::0.057)) + (INTERCONNECT mprj_sel_buf\[3\]/Z output1112/A (0.050::0.051) (0.049::0.051)) + (INTERCONNECT mprj_sel_buf\[3\]/Z ANTENNA_output1112_A/DIODE (0.050::0.051) (0.049::0.051)) + (INTERCONNECT mprj_stb_buf/Z output1113/A (0.019::0.019) (0.019::0.019)) + (INTERCONNECT mprj_stb_buf/Z ANTENNA_output1113_A/DIODE (0.019::0.019) (0.019::0.019)) + (INTERCONNECT mprj_vdd_pwrgood/X output1116/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_vdd_pwrgood/X ANTENNA_output1116_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT mprj_we_buf/Z output1114/A (0.092::0.094) (0.088::0.093)) + (INTERCONNECT mprj_we_buf/Z ANTENNA_output1114_A/DIODE (0.091::0.093) (0.088::0.093)) + (INTERCONNECT output1000/X la_oenb_core[90] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1001/X la_oenb_core[91] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1002/X la_oenb_core[92] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1003/X la_oenb_core[93] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1004/X la_oenb_core[94] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1005/X la_oenb_core[95] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1006/X la_oenb_core[96] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1007/X la_oenb_core[97] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1008/X la_oenb_core[98] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1009/X la_oenb_core[99] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1010/X la_oenb_core[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1011/X mprj_ack_i_core (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1012/X mprj_adr_o_user[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1013/X mprj_adr_o_user[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1014/X mprj_adr_o_user[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1015/X mprj_adr_o_user[12] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1016/X mprj_adr_o_user[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1017/X mprj_adr_o_user[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1018/X mprj_adr_o_user[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1019/X mprj_adr_o_user[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1020/X mprj_adr_o_user[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1021/X mprj_adr_o_user[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1022/X mprj_adr_o_user[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1023/X mprj_adr_o_user[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1024/X mprj_adr_o_user[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1025/X mprj_adr_o_user[21] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1026/X mprj_adr_o_user[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1027/X mprj_adr_o_user[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1028/X mprj_adr_o_user[24] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1029/X mprj_adr_o_user[25] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1030/X mprj_adr_o_user[26] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1031/X mprj_adr_o_user[27] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1032/X mprj_adr_o_user[28] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1033/X mprj_adr_o_user[29] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1034/X mprj_adr_o_user[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1035/X mprj_adr_o_user[30] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1036/X mprj_adr_o_user[31] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1037/X mprj_adr_o_user[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1038/X mprj_adr_o_user[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1039/X mprj_adr_o_user[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1040/X mprj_adr_o_user[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1041/X mprj_adr_o_user[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1042/X mprj_adr_o_user[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1043/X mprj_adr_o_user[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1044/X mprj_cyc_o_user (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1045/X mprj_dat_i_core[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1046/X mprj_dat_i_core[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1047/X mprj_dat_i_core[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1048/X mprj_dat_i_core[12] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1049/X mprj_dat_i_core[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1050/X mprj_dat_i_core[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1051/X mprj_dat_i_core[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1052/X mprj_dat_i_core[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1053/X mprj_dat_i_core[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1054/X mprj_dat_i_core[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1055/X mprj_dat_i_core[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1056/X mprj_dat_i_core[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1057/X mprj_dat_i_core[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1058/X mprj_dat_i_core[21] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1059/X mprj_dat_i_core[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1060/X mprj_dat_i_core[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1061/X mprj_dat_i_core[24] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1062/X mprj_dat_i_core[25] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1063/X mprj_dat_i_core[26] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1064/X mprj_dat_i_core[27] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1065/X mprj_dat_i_core[28] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1066/X mprj_dat_i_core[29] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1067/X mprj_dat_i_core[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1068/X mprj_dat_i_core[30] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1069/X mprj_dat_i_core[31] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1070/X mprj_dat_i_core[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1071/X mprj_dat_i_core[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1072/X mprj_dat_i_core[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1073/X mprj_dat_i_core[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1074/X mprj_dat_i_core[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1075/X mprj_dat_i_core[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1076/X mprj_dat_i_core[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1077/X mprj_dat_o_user[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1078/X mprj_dat_o_user[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1079/X mprj_dat_o_user[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1080/X mprj_dat_o_user[12] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1081/X mprj_dat_o_user[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1082/X mprj_dat_o_user[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1083/X mprj_dat_o_user[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1084/X mprj_dat_o_user[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1085/X mprj_dat_o_user[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1086/X mprj_dat_o_user[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1087/X mprj_dat_o_user[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1088/X mprj_dat_o_user[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1089/X mprj_dat_o_user[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1090/X mprj_dat_o_user[21] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1091/X mprj_dat_o_user[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1092/X mprj_dat_o_user[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1093/X mprj_dat_o_user[24] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1094/X mprj_dat_o_user[25] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1095/X mprj_dat_o_user[26] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1096/X mprj_dat_o_user[27] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1097/X mprj_dat_o_user[28] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1098/X mprj_dat_o_user[29] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1099/X mprj_dat_o_user[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1100/X mprj_dat_o_user[30] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1101/X mprj_dat_o_user[31] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1102/X mprj_dat_o_user[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1103/X mprj_dat_o_user[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1104/X mprj_dat_o_user[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1105/X mprj_dat_o_user[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1106/X mprj_dat_o_user[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1107/X mprj_dat_o_user[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1108/X mprj_dat_o_user[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1109/X mprj_sel_o_user[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1110/X mprj_sel_o_user[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1111/X mprj_sel_o_user[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1112/X mprj_sel_o_user[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1113/X mprj_stb_o_user (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1114/X mprj_we_o_user (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1115/X user1_vcc_powergood (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1116/X user1_vdd_powergood (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1117/X user2_vcc_powergood (0.002::0.002) (0.002::0.002)) + (INTERCONNECT output1118/X user2_vdd_powergood (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1119/X user_clock (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1120/X user_clock2 (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1121/X user_irq[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1122/X user_irq[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1123/X user_irq[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output1124/X user_reset (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output627/X la_data_in_core[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output628/X la_data_in_core[100] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output629/X la_data_in_core[101] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output630/X la_data_in_core[102] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output631/X la_data_in_core[103] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output632/X la_data_in_core[104] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output633/X la_data_in_core[105] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output634/X la_data_in_core[106] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output635/X la_data_in_core[107] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output636/X la_data_in_core[108] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output637/X la_data_in_core[109] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output638/X la_data_in_core[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output639/X la_data_in_core[110] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output640/X la_data_in_core[111] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output641/X la_data_in_core[112] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output642/X la_data_in_core[113] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output643/X la_data_in_core[114] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output644/X la_data_in_core[115] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output645/X la_data_in_core[116] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output646/X la_data_in_core[117] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output647/X la_data_in_core[118] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output648/X la_data_in_core[119] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output649/X la_data_in_core[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output650/X la_data_in_core[120] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output651/X la_data_in_core[121] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output652/X la_data_in_core[122] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output653/X la_data_in_core[123] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output654/X la_data_in_core[124] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output655/X la_data_in_core[125] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output656/X la_data_in_core[126] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output657/X la_data_in_core[127] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output658/X la_data_in_core[12] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output659/X la_data_in_core[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output660/X la_data_in_core[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output661/X la_data_in_core[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output662/X la_data_in_core[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output663/X la_data_in_core[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output664/X la_data_in_core[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output665/X la_data_in_core[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output666/X la_data_in_core[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output667/X la_data_in_core[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output668/X la_data_in_core[21] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output669/X la_data_in_core[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output670/X la_data_in_core[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output671/X la_data_in_core[24] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output672/X la_data_in_core[25] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output673/X la_data_in_core[26] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output674/X la_data_in_core[27] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output675/X la_data_in_core[28] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output676/X la_data_in_core[29] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output677/X la_data_in_core[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output678/X la_data_in_core[30] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output679/X la_data_in_core[31] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output680/X la_data_in_core[32] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output681/X la_data_in_core[33] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output682/X la_data_in_core[34] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output683/X la_data_in_core[35] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output684/X la_data_in_core[36] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output685/X la_data_in_core[37] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output686/X la_data_in_core[38] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output687/X la_data_in_core[39] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output688/X la_data_in_core[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output689/X la_data_in_core[40] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output690/X la_data_in_core[41] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output691/X la_data_in_core[42] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output692/X la_data_in_core[43] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output693/X la_data_in_core[44] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output694/X la_data_in_core[45] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output695/X la_data_in_core[46] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output696/X la_data_in_core[47] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output697/X la_data_in_core[48] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output698/X la_data_in_core[49] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output699/X la_data_in_core[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output700/X la_data_in_core[50] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output701/X la_data_in_core[51] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output702/X la_data_in_core[52] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output703/X la_data_in_core[53] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output704/X la_data_in_core[54] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output705/X la_data_in_core[55] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output706/X la_data_in_core[56] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output707/X la_data_in_core[57] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output708/X la_data_in_core[58] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output709/X la_data_in_core[59] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output710/X la_data_in_core[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output711/X la_data_in_core[60] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output712/X la_data_in_core[61] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output713/X la_data_in_core[62] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output714/X la_data_in_core[63] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output715/X la_data_in_core[64] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output716/X la_data_in_core[65] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output717/X la_data_in_core[66] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output718/X la_data_in_core[67] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output719/X la_data_in_core[68] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output720/X la_data_in_core[69] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output721/X la_data_in_core[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output722/X la_data_in_core[70] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output723/X la_data_in_core[71] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output724/X la_data_in_core[72] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output725/X la_data_in_core[73] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output726/X la_data_in_core[74] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output727/X la_data_in_core[75] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output728/X la_data_in_core[76] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output729/X la_data_in_core[77] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output730/X la_data_in_core[78] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output731/X la_data_in_core[79] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output732/X la_data_in_core[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output733/X la_data_in_core[80] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output734/X la_data_in_core[81] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output735/X la_data_in_core[82] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output736/X la_data_in_core[83] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output737/X la_data_in_core[84] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output738/X la_data_in_core[85] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output739/X la_data_in_core[86] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output740/X la_data_in_core[87] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output741/X la_data_in_core[88] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output742/X la_data_in_core[89] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output743/X la_data_in_core[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output744/X la_data_in_core[90] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output745/X la_data_in_core[91] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output746/X la_data_in_core[92] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output747/X la_data_in_core[93] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output748/X la_data_in_core[94] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output749/X la_data_in_core[95] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output750/X la_data_in_core[96] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output751/X la_data_in_core[97] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output752/X la_data_in_core[98] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output753/X la_data_in_core[99] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output754/X la_data_in_core[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output755/X la_data_in_mprj[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output756/X la_data_in_mprj[100] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output757/X la_data_in_mprj[101] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output758/X la_data_in_mprj[102] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output759/X la_data_in_mprj[103] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output760/X la_data_in_mprj[104] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output761/X la_data_in_mprj[105] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output762/X la_data_in_mprj[106] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output763/X la_data_in_mprj[107] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output764/X la_data_in_mprj[108] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output765/X la_data_in_mprj[109] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output766/X la_data_in_mprj[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output767/X la_data_in_mprj[110] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output768/X la_data_in_mprj[111] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output769/X la_data_in_mprj[112] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output770/X la_data_in_mprj[113] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output771/X la_data_in_mprj[114] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output772/X la_data_in_mprj[115] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output773/X la_data_in_mprj[116] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output774/X la_data_in_mprj[117] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output775/X la_data_in_mprj[118] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output776/X la_data_in_mprj[119] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output777/X la_data_in_mprj[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output778/X la_data_in_mprj[120] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output779/X la_data_in_mprj[121] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output780/X la_data_in_mprj[122] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output781/X la_data_in_mprj[123] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output782/X la_data_in_mprj[124] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output783/X la_data_in_mprj[125] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output784/X la_data_in_mprj[126] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output785/X la_data_in_mprj[127] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output786/X la_data_in_mprj[12] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output787/X la_data_in_mprj[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output788/X la_data_in_mprj[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output789/X la_data_in_mprj[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output790/X la_data_in_mprj[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output791/X la_data_in_mprj[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output792/X la_data_in_mprj[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output793/X la_data_in_mprj[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output794/X la_data_in_mprj[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output795/X la_data_in_mprj[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output796/X la_data_in_mprj[21] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output797/X la_data_in_mprj[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output798/X la_data_in_mprj[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output799/X la_data_in_mprj[24] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output800/X la_data_in_mprj[25] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output801/X la_data_in_mprj[26] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output802/X la_data_in_mprj[27] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output803/X la_data_in_mprj[28] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output804/X la_data_in_mprj[29] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output805/X la_data_in_mprj[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output806/X la_data_in_mprj[30] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output807/X la_data_in_mprj[31] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output808/X la_data_in_mprj[32] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output809/X la_data_in_mprj[33] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output810/X la_data_in_mprj[34] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output811/X la_data_in_mprj[35] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output812/X la_data_in_mprj[36] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output813/X la_data_in_mprj[37] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output814/X la_data_in_mprj[38] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output815/X la_data_in_mprj[39] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output816/X la_data_in_mprj[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output817/X la_data_in_mprj[40] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output818/X la_data_in_mprj[41] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output819/X la_data_in_mprj[42] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output820/X la_data_in_mprj[43] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output821/X la_data_in_mprj[44] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output822/X la_data_in_mprj[45] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output823/X la_data_in_mprj[46] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output824/X la_data_in_mprj[47] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output825/X la_data_in_mprj[48] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output826/X la_data_in_mprj[49] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output827/X la_data_in_mprj[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output828/X la_data_in_mprj[50] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output829/X la_data_in_mprj[51] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output830/X la_data_in_mprj[52] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output831/X la_data_in_mprj[53] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output832/X la_data_in_mprj[54] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output833/X la_data_in_mprj[55] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output834/X la_data_in_mprj[56] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output835/X la_data_in_mprj[57] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output836/X la_data_in_mprj[58] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output837/X la_data_in_mprj[59] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output838/X la_data_in_mprj[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output839/X la_data_in_mprj[60] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output840/X la_data_in_mprj[61] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output841/X la_data_in_mprj[62] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output842/X la_data_in_mprj[63] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output843/X la_data_in_mprj[64] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output844/X la_data_in_mprj[65] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output845/X la_data_in_mprj[66] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output846/X la_data_in_mprj[67] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output847/X la_data_in_mprj[68] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output848/X la_data_in_mprj[69] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output849/X la_data_in_mprj[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output850/X la_data_in_mprj[70] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output851/X la_data_in_mprj[71] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output852/X la_data_in_mprj[72] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output853/X la_data_in_mprj[73] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output854/X la_data_in_mprj[74] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output855/X la_data_in_mprj[75] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output856/X la_data_in_mprj[76] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output857/X la_data_in_mprj[77] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output858/X la_data_in_mprj[78] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output859/X la_data_in_mprj[79] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output860/X la_data_in_mprj[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output861/X la_data_in_mprj[80] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output862/X la_data_in_mprj[81] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output863/X la_data_in_mprj[82] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output864/X la_data_in_mprj[83] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output865/X la_data_in_mprj[84] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output866/X la_data_in_mprj[85] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output867/X la_data_in_mprj[86] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output868/X la_data_in_mprj[87] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output869/X la_data_in_mprj[88] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output870/X la_data_in_mprj[89] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output871/X la_data_in_mprj[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output872/X la_data_in_mprj[90] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output873/X la_data_in_mprj[91] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output874/X la_data_in_mprj[92] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output875/X la_data_in_mprj[93] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output876/X la_data_in_mprj[94] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output877/X la_data_in_mprj[95] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output878/X la_data_in_mprj[96] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output879/X la_data_in_mprj[97] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output880/X la_data_in_mprj[98] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output881/X la_data_in_mprj[99] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output882/X la_data_in_mprj[9] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output883/X la_oenb_core[0] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output884/X la_oenb_core[100] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output885/X la_oenb_core[101] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output886/X la_oenb_core[102] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output887/X la_oenb_core[103] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output888/X la_oenb_core[104] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output889/X la_oenb_core[105] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output890/X la_oenb_core[106] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output891/X la_oenb_core[107] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output892/X la_oenb_core[108] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output893/X la_oenb_core[109] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output894/X la_oenb_core[10] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output895/X la_oenb_core[110] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output896/X la_oenb_core[111] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output897/X la_oenb_core[112] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output898/X la_oenb_core[113] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output899/X la_oenb_core[114] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output900/X la_oenb_core[115] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output901/X la_oenb_core[116] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output902/X la_oenb_core[117] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output903/X la_oenb_core[118] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output904/X la_oenb_core[119] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output905/X la_oenb_core[11] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output906/X la_oenb_core[120] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output907/X la_oenb_core[121] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output908/X la_oenb_core[122] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output909/X la_oenb_core[123] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output910/X la_oenb_core[124] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output911/X la_oenb_core[125] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output912/X la_oenb_core[126] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output913/X la_oenb_core[127] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output914/X la_oenb_core[12] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output915/X la_oenb_core[13] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output916/X la_oenb_core[14] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output917/X la_oenb_core[15] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output918/X la_oenb_core[16] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output919/X la_oenb_core[17] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output920/X la_oenb_core[18] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output921/X la_oenb_core[19] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output922/X la_oenb_core[1] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output923/X la_oenb_core[20] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output924/X la_oenb_core[21] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output925/X la_oenb_core[22] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output926/X la_oenb_core[23] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output927/X la_oenb_core[24] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output928/X la_oenb_core[25] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output929/X la_oenb_core[26] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output930/X la_oenb_core[27] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output931/X la_oenb_core[28] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output932/X la_oenb_core[29] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output933/X la_oenb_core[2] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output934/X la_oenb_core[30] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output935/X la_oenb_core[31] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output936/X la_oenb_core[32] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output937/X la_oenb_core[33] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output938/X la_oenb_core[34] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output939/X la_oenb_core[35] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output940/X la_oenb_core[36] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output941/X la_oenb_core[37] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output942/X la_oenb_core[38] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output943/X la_oenb_core[39] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output944/X la_oenb_core[3] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output945/X la_oenb_core[40] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output946/X la_oenb_core[41] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output947/X la_oenb_core[42] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output948/X la_oenb_core[43] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output949/X la_oenb_core[44] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output950/X la_oenb_core[45] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output951/X la_oenb_core[46] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output952/X la_oenb_core[47] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output953/X la_oenb_core[48] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output954/X la_oenb_core[49] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output955/X la_oenb_core[4] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output956/X la_oenb_core[50] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output957/X la_oenb_core[51] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output958/X la_oenb_core[52] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output959/X la_oenb_core[53] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output960/X la_oenb_core[54] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output961/X la_oenb_core[55] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output962/X la_oenb_core[56] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output963/X la_oenb_core[57] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output964/X la_oenb_core[58] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output965/X la_oenb_core[59] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output966/X la_oenb_core[5] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output967/X la_oenb_core[60] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output968/X la_oenb_core[61] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output969/X la_oenb_core[62] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output970/X la_oenb_core[63] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output971/X la_oenb_core[64] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output972/X la_oenb_core[65] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output973/X la_oenb_core[66] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output974/X la_oenb_core[67] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output975/X la_oenb_core[68] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output976/X la_oenb_core[69] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output977/X la_oenb_core[6] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output978/X la_oenb_core[70] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output979/X la_oenb_core[71] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output980/X la_oenb_core[72] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output981/X la_oenb_core[73] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output982/X la_oenb_core[74] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output983/X la_oenb_core[75] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output984/X la_oenb_core[76] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output985/X la_oenb_core[77] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output986/X la_oenb_core[78] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output987/X la_oenb_core[79] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output988/X la_oenb_core[7] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output989/X la_oenb_core[80] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output990/X la_oenb_core[81] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output991/X la_oenb_core[82] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output992/X la_oenb_core[83] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output993/X la_oenb_core[84] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output994/X la_oenb_core[85] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output995/X la_oenb_core[86] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output996/X la_oenb_core[87] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output997/X la_oenb_core[88] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output998/X la_oenb_core[89] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT output999/X la_oenb_core[8] (0.001::0.001) (0.001::0.001)) + (INTERCONNECT powergood_check/mprj2_vdd_logic1 mprj2_vdd_pwrgood/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT powergood_check/mprj2_vdd_logic1 ANTENNA_mprj2_vdd_pwrgood_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT powergood_check/mprj_vdd_logic1 mprj_vdd_pwrgood/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT powergood_check/mprj_vdd_logic1 ANTENNA_mprj_vdd_pwrgood_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT repeater1125/X user_wb_dat_gates\[23\]/B (0.043::0.043) (0.038::0.038)) + (INTERCONNECT repeater1125/X user_wb_dat_gates\[24\]/B (0.143::0.143) (0.125::0.125)) + (INTERCONNECT repeater1125/X user_wb_dat_gates\[31\]/B (0.146::0.146) (0.127::0.127)) + (INTERCONNECT repeater1125/X user_wb_dat_gates\[25\]/B (0.148::0.148) (0.129::0.129)) + (INTERCONNECT repeater1125/X user_wb_dat_gates\[26\]/B (0.148::0.148) (0.129::0.129)) + (INTERCONNECT repeater1125/X user_wb_dat_gates\[27\]/B (0.151::0.151) (0.131::0.131)) + (INTERCONNECT repeater1125/X user_wb_dat_gates\[29\]/B (0.151::0.151) (0.131::0.131)) + (INTERCONNECT repeater1125/X user_wb_dat_gates\[28\]/B (0.151::0.151) (0.131::0.131)) + (INTERCONNECT repeater1125/X user_wb_dat_gates\[30\]/B (0.151::0.151) (0.131::0.131)) + (INTERCONNECT repeater1125/X ANTENNA_user_wb_dat_gates\[30\]_B/DIODE (0.149::0.149) (0.130::0.130)) + (INTERCONNECT repeater1125/X ANTENNA_user_wb_dat_gates\[28\]_B/DIODE (0.151::0.151) (0.131::0.131)) + (INTERCONNECT repeater1125/X ANTENNA_user_wb_dat_gates\[29\]_B/DIODE (0.150::0.150) (0.131::0.131)) + (INTERCONNECT repeater1125/X ANTENNA_user_wb_dat_gates\[27\]_B/DIODE (0.150::0.150) (0.131::0.131)) + (INTERCONNECT repeater1125/X ANTENNA_user_wb_dat_gates\[26\]_B/DIODE (0.147::0.147) (0.129::0.129)) + (INTERCONNECT repeater1125/X ANTENNA_user_wb_dat_gates\[25\]_B/DIODE (0.147::0.147) (0.128::0.128)) + (INTERCONNECT repeater1125/X ANTENNA_user_wb_dat_gates\[31\]_B/DIODE (0.146::0.146) (0.128::0.128)) + (INTERCONNECT repeater1125/X ANTENNA_user_wb_dat_gates\[24\]_B/DIODE (0.144::0.144) (0.125::0.125)) + (INTERCONNECT repeater1125/X ANTENNA_user_wb_dat_gates\[23\]_B/DIODE (0.042::0.042) (0.037::0.037)) + (INTERCONNECT user_irq_buffers\[0\]/Y output1121/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_irq_buffers\[0\]/Y ANTENNA_output1121_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_irq_buffers\[1\]/Y output1122/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_irq_buffers\[1\]/Y ANTENNA_output1122_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_irq_buffers\[2\]/Y output1123/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_irq_buffers\[2\]/Y ANTENNA_output1123_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_irq_ena_buf\[0\]/X user_irq_gates\[0\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_irq_ena_buf\[0\]/X ANTENNA_user_irq_gates\[0\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_irq_ena_buf\[1\]/X user_irq_gates\[1\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_irq_ena_buf\[1\]/X ANTENNA_user_irq_gates\[1\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_irq_ena_buf\[2\]/X user_irq_gates\[2\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_irq_ena_buf\[2\]/X ANTENNA_user_irq_gates\[2\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_irq_gates\[0\]/Y user_irq_buffers\[0\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_irq_gates\[0\]/Y ANTENNA_user_irq_buffers\[0\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_irq_gates\[1\]/Y user_irq_buffers\[1\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_irq_gates\[1\]/Y ANTENNA_user_irq_buffers\[1\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_irq_gates\[2\]/Y user_irq_buffers\[2\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_irq_gates\[2\]/Y ANTENNA_user_irq_buffers\[2\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[0\]/Y output755/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[0\]/Y ANTENNA_output755_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[100\]/Y output756/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[100\]/Y ANTENNA_output756_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[101\]/Y output757/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[101\]/Y ANTENNA_output757_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[102\]/Y output758/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[102\]/Y ANTENNA_output758_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[103\]/Y output759/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[103\]/Y ANTENNA_output759_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[104\]/Y output760/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[104\]/Y ANTENNA_output760_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[105\]/Y output761/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[105\]/Y ANTENNA_output761_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[106\]/Y output762/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[106\]/Y ANTENNA_output762_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[107\]/Y output763/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[107\]/Y ANTENNA_output763_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[108\]/Y output764/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[108\]/Y ANTENNA_output764_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[109\]/Y output765/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[109\]/Y ANTENNA_output765_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[10\]/Y output766/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_in_buffers\[10\]/Y ANTENNA_output766_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_in_buffers\[110\]/Y output767/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[110\]/Y ANTENNA_output767_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[111\]/Y output768/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[111\]/Y ANTENNA_output768_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[112\]/Y output769/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[112\]/Y ANTENNA_output769_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[113\]/Y output770/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[113\]/Y ANTENNA_output770_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[114\]/Y output771/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[114\]/Y ANTENNA_output771_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[115\]/Y output772/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[115\]/Y ANTENNA_output772_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[116\]/Y output773/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[116\]/Y ANTENNA_output773_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[117\]/Y output774/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[117\]/Y ANTENNA_output774_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[118\]/Y output775/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[118\]/Y ANTENNA_output775_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[119\]/Y output776/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[119\]/Y ANTENNA_output776_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[11\]/Y output777/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_buffers\[11\]/Y ANTENNA_output777_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_buffers\[120\]/Y output778/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_buffers\[120\]/Y ANTENNA_output778_A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[121\]/Y output779/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[121\]/Y ANTENNA_output779_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[122\]/Y output780/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[122\]/Y ANTENNA_output780_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[123\]/Y output781/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[123\]/Y ANTENNA_output781_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[124\]/Y output782/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[124\]/Y ANTENNA_output782_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[125\]/Y output783/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[125\]/Y ANTENNA_output783_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[126\]/Y output784/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[126\]/Y ANTENNA_output784_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[127\]/Y output785/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[127\]/Y ANTENNA_output785_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[12\]/Y output786/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_in_buffers\[12\]/Y ANTENNA_output786_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_in_buffers\[13\]/Y output787/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[13\]/Y ANTENNA_output787_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[14\]/Y output788/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[14\]/Y ANTENNA_output788_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[15\]/Y output789/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[15\]/Y ANTENNA_output789_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[16\]/Y output790/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[16\]/Y ANTENNA_output790_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[17\]/Y output791/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[17\]/Y ANTENNA_output791_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[18\]/Y output792/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[18\]/Y ANTENNA_output792_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[19\]/Y output793/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[19\]/Y ANTENNA_output793_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[1\]/Y output794/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[1\]/Y ANTENNA_output794_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[20\]/Y output795/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[20\]/Y ANTENNA_output795_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[21\]/Y output796/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[21\]/Y ANTENNA_output796_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[22\]/Y output797/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[22\]/Y ANTENNA_output797_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[23\]/Y output798/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[23\]/Y ANTENNA_output798_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[24\]/Y output799/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[24\]/Y ANTENNA_output799_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[25\]/Y output800/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[25\]/Y ANTENNA_output800_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[26\]/Y output801/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[26\]/Y ANTENNA_output801_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[27\]/Y output802/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[27\]/Y ANTENNA_output802_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[28\]/Y output803/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[28\]/Y ANTENNA_output803_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[29\]/Y output804/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[29\]/Y ANTENNA_output804_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[2\]/Y output805/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[2\]/Y ANTENNA_output805_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[30\]/Y output806/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[30\]/Y ANTENNA_output806_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[31\]/Y output807/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[31\]/Y ANTENNA_output807_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[32\]/Y output808/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[32\]/Y ANTENNA_output808_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[33\]/Y output809/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[33\]/Y ANTENNA_output809_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[34\]/Y output810/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[34\]/Y ANTENNA_output810_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[35\]/Y output811/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[35\]/Y ANTENNA_output811_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[36\]/Y output812/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[36\]/Y ANTENNA_output812_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[37\]/Y output813/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[37\]/Y ANTENNA_output813_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[38\]/Y output814/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[38\]/Y ANTENNA_output814_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[39\]/Y output815/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[39\]/Y ANTENNA_output815_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[3\]/Y output816/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[3\]/Y ANTENNA_output816_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[40\]/Y output817/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[40\]/Y ANTENNA_output817_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[41\]/Y output818/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[41\]/Y ANTENNA_output818_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[42\]/Y output819/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[42\]/Y ANTENNA_output819_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[43\]/Y output820/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[43\]/Y ANTENNA_output820_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[44\]/Y output821/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[44\]/Y ANTENNA_output821_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[45\]/Y output822/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[45\]/Y ANTENNA_output822_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[46\]/Y output823/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[46\]/Y ANTENNA_output823_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[47\]/Y output824/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[47\]/Y ANTENNA_output824_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[48\]/Y output825/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[48\]/Y ANTENNA_output825_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[49\]/Y output826/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[49\]/Y ANTENNA_output826_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[4\]/Y output827/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[4\]/Y ANTENNA_output827_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[50\]/Y output828/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[50\]/Y ANTENNA_output828_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[51\]/Y output829/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[51\]/Y ANTENNA_output829_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[52\]/Y output830/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[52\]/Y ANTENNA_output830_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[53\]/Y output831/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[53\]/Y ANTENNA_output831_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[54\]/Y output832/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[54\]/Y ANTENNA_output832_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[55\]/Y output833/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[55\]/Y ANTENNA_output833_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[56\]/Y output834/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[56\]/Y ANTENNA_output834_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[57\]/Y output835/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[57\]/Y ANTENNA_output835_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[58\]/Y output836/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[58\]/Y ANTENNA_output836_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[59\]/Y output837/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[59\]/Y ANTENNA_output837_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[5\]/Y output838/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[5\]/Y ANTENNA_output838_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[60\]/Y output839/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[60\]/Y ANTENNA_output839_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[61\]/Y output840/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[61\]/Y ANTENNA_output840_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[62\]/Y output841/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[62\]/Y ANTENNA_output841_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[63\]/Y output842/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[63\]/Y ANTENNA_output842_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[64\]/Y output843/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[64\]/Y ANTENNA_output843_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[65\]/Y output844/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[65\]/Y ANTENNA_output844_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[66\]/Y output845/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[66\]/Y ANTENNA_output845_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[67\]/Y output846/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[67\]/Y ANTENNA_output846_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[68\]/Y output847/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[68\]/Y ANTENNA_output847_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[69\]/Y output848/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[69\]/Y ANTENNA_output848_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[6\]/Y output849/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[6\]/Y ANTENNA_output849_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[70\]/Y output850/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[70\]/Y ANTENNA_output850_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[71\]/Y output851/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[71\]/Y ANTENNA_output851_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[72\]/Y output852/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[72\]/Y ANTENNA_output852_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[73\]/Y output853/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[73\]/Y ANTENNA_output853_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[74\]/Y output854/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[74\]/Y ANTENNA_output854_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[75\]/Y output855/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[75\]/Y ANTENNA_output855_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[76\]/Y output856/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[76\]/Y ANTENNA_output856_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[77\]/Y output857/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[77\]/Y ANTENNA_output857_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[78\]/Y output858/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[78\]/Y ANTENNA_output858_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[79\]/Y output859/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[79\]/Y ANTENNA_output859_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[7\]/Y output860/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[7\]/Y ANTENNA_output860_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[80\]/Y output861/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[80\]/Y ANTENNA_output861_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[81\]/Y output862/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[81\]/Y ANTENNA_output862_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[82\]/Y output863/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[82\]/Y ANTENNA_output863_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[83\]/Y output864/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[83\]/Y ANTENNA_output864_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[84\]/Y output865/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[84\]/Y ANTENNA_output865_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[85\]/Y output866/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[85\]/Y ANTENNA_output866_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[86\]/Y output867/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[86\]/Y ANTENNA_output867_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[87\]/Y output868/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[87\]/Y ANTENNA_output868_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[88\]/Y output869/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[88\]/Y ANTENNA_output869_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[89\]/Y output870/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[89\]/Y ANTENNA_output870_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[8\]/Y output871/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[8\]/Y ANTENNA_output871_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[90\]/Y output872/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[90\]/Y ANTENNA_output872_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[91\]/Y output873/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[91\]/Y ANTENNA_output873_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[92\]/Y output874/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[92\]/Y ANTENNA_output874_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_buffers\[93\]/Y output875/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[93\]/Y ANTENNA_output875_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[94\]/Y output876/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[94\]/Y ANTENNA_output876_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[95\]/Y output877/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[95\]/Y ANTENNA_output877_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[96\]/Y output878/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[96\]/Y ANTENNA_output878_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[97\]/Y output879/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[97\]/Y ANTENNA_output879_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[98\]/Y output880/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[98\]/Y ANTENNA_output880_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[99\]/Y output881/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[99\]/Y ANTENNA_output881_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_buffers\[9\]/Y output882/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_buffers\[9\]/Y ANTENNA_output882_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_ena_buf\[0\]/X user_to_mprj_in_gates\[0\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[0\]/X ANTENNA_user_to_mprj_in_gates\[0\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[100\]/X user_to_mprj_in_gates\[100\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[100\]/X ANTENNA_user_to_mprj_in_gates\[100\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[101\]/X user_to_mprj_in_gates\[101\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[101\]/X ANTENNA_user_to_mprj_in_gates\[101\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[102\]/X user_to_mprj_in_gates\[102\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[102\]/X ANTENNA_user_to_mprj_in_gates\[102\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[103\]/X user_to_mprj_in_gates\[103\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[103\]/X ANTENNA_user_to_mprj_in_gates\[103\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[104\]/X user_to_mprj_in_gates\[104\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[104\]/X ANTENNA_user_to_mprj_in_gates\[104\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[105\]/X user_to_mprj_in_gates\[105\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[105\]/X ANTENNA_user_to_mprj_in_gates\[105\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[106\]/X user_to_mprj_in_gates\[106\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[106\]/X ANTENNA_user_to_mprj_in_gates\[106\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[107\]/X user_to_mprj_in_gates\[107\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[107\]/X ANTENNA_user_to_mprj_in_gates\[107\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[108\]/X user_to_mprj_in_gates\[108\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[108\]/X ANTENNA_user_to_mprj_in_gates\[108\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[109\]/X user_to_mprj_in_gates\[109\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[109\]/X ANTENNA_user_to_mprj_in_gates\[109\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[10\]/X user_to_mprj_in_gates\[10\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[10\]/X ANTENNA_user_to_mprj_in_gates\[10\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[110\]/X user_to_mprj_in_gates\[110\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[110\]/X ANTENNA_user_to_mprj_in_gates\[110\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[111\]/X user_to_mprj_in_gates\[111\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[111\]/X ANTENNA_user_to_mprj_in_gates\[111\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[112\]/X user_to_mprj_in_gates\[112\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[112\]/X ANTENNA_user_to_mprj_in_gates\[112\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[113\]/X user_to_mprj_in_gates\[113\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_ena_buf\[113\]/X ANTENNA_user_to_mprj_in_gates\[113\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_ena_buf\[114\]/X user_to_mprj_in_gates\[114\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[114\]/X ANTENNA_user_to_mprj_in_gates\[114\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[115\]/X user_to_mprj_in_gates\[115\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[115\]/X ANTENNA_user_to_mprj_in_gates\[115\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[116\]/X user_to_mprj_in_gates\[116\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[116\]/X ANTENNA_user_to_mprj_in_gates\[116\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[117\]/X user_to_mprj_in_gates\[117\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[117\]/X ANTENNA_user_to_mprj_in_gates\[117\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[118\]/X user_to_mprj_in_gates\[118\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[118\]/X ANTENNA_user_to_mprj_in_gates\[118\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[119\]/X user_to_mprj_in_gates\[119\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_ena_buf\[119\]/X ANTENNA_user_to_mprj_in_gates\[119\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_ena_buf\[11\]/X user_to_mprj_in_gates\[11\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[11\]/X ANTENNA_user_to_mprj_in_gates\[11\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[120\]/X user_to_mprj_in_gates\[120\]/B (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_ena_buf\[120\]/X ANTENNA_user_to_mprj_in_gates\[120\]_B/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_ena_buf\[121\]/X user_to_mprj_in_gates\[121\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[121\]/X ANTENNA_user_to_mprj_in_gates\[121\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[122\]/X user_to_mprj_in_gates\[122\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[122\]/X ANTENNA_user_to_mprj_in_gates\[122\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[123\]/X user_to_mprj_in_gates\[123\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[123\]/X ANTENNA_user_to_mprj_in_gates\[123\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[124\]/X user_to_mprj_in_gates\[124\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[124\]/X ANTENNA_user_to_mprj_in_gates\[124\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[125\]/X user_to_mprj_in_gates\[125\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[125\]/X ANTENNA_user_to_mprj_in_gates\[125\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[126\]/X user_to_mprj_in_gates\[126\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[126\]/X ANTENNA_user_to_mprj_in_gates\[126\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[127\]/X user_to_mprj_in_gates\[127\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[127\]/X ANTENNA_user_to_mprj_in_gates\[127\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[12\]/X user_to_mprj_in_gates\[12\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[12\]/X ANTENNA_user_to_mprj_in_gates\[12\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[13\]/X user_to_mprj_in_gates\[13\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[13\]/X ANTENNA_user_to_mprj_in_gates\[13\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[14\]/X user_to_mprj_in_gates\[14\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[14\]/X ANTENNA_user_to_mprj_in_gates\[14\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[15\]/X user_to_mprj_in_gates\[15\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[15\]/X ANTENNA_user_to_mprj_in_gates\[15\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[16\]/X user_to_mprj_in_gates\[16\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[16\]/X ANTENNA_user_to_mprj_in_gates\[16\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[17\]/X user_to_mprj_in_gates\[17\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[17\]/X ANTENNA_user_to_mprj_in_gates\[17\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[18\]/X user_to_mprj_in_gates\[18\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[18\]/X ANTENNA_user_to_mprj_in_gates\[18\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[19\]/X user_to_mprj_in_gates\[19\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[19\]/X ANTENNA_user_to_mprj_in_gates\[19\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[1\]/X user_to_mprj_in_gates\[1\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[1\]/X ANTENNA_user_to_mprj_in_gates\[1\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[20\]/X user_to_mprj_in_gates\[20\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[20\]/X ANTENNA_user_to_mprj_in_gates\[20\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[21\]/X user_to_mprj_in_gates\[21\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[21\]/X ANTENNA_user_to_mprj_in_gates\[21\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[22\]/X user_to_mprj_in_gates\[22\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[22\]/X ANTENNA_user_to_mprj_in_gates\[22\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[23\]/X user_to_mprj_in_gates\[23\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[23\]/X ANTENNA_user_to_mprj_in_gates\[23\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[24\]/X user_to_mprj_in_gates\[24\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[24\]/X ANTENNA_user_to_mprj_in_gates\[24\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[25\]/X user_to_mprj_in_gates\[25\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[25\]/X ANTENNA_user_to_mprj_in_gates\[25\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[26\]/X user_to_mprj_in_gates\[26\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[26\]/X ANTENNA_user_to_mprj_in_gates\[26\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[27\]/X user_to_mprj_in_gates\[27\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[27\]/X ANTENNA_user_to_mprj_in_gates\[27\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[28\]/X user_to_mprj_in_gates\[28\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[28\]/X ANTENNA_user_to_mprj_in_gates\[28\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[29\]/X user_to_mprj_in_gates\[29\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[29\]/X ANTENNA_user_to_mprj_in_gates\[29\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[2\]/X user_to_mprj_in_gates\[2\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[2\]/X ANTENNA_user_to_mprj_in_gates\[2\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[30\]/X user_to_mprj_in_gates\[30\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[30\]/X ANTENNA_user_to_mprj_in_gates\[30\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[31\]/X user_to_mprj_in_gates\[31\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[31\]/X ANTENNA_user_to_mprj_in_gates\[31\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[32\]/X user_to_mprj_in_gates\[32\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[32\]/X ANTENNA_user_to_mprj_in_gates\[32\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[33\]/X user_to_mprj_in_gates\[33\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[33\]/X ANTENNA_user_to_mprj_in_gates\[33\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[34\]/X user_to_mprj_in_gates\[34\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[34\]/X ANTENNA_user_to_mprj_in_gates\[34\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[35\]/X user_to_mprj_in_gates\[35\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[35\]/X ANTENNA_user_to_mprj_in_gates\[35\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[36\]/X user_to_mprj_in_gates\[36\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[36\]/X ANTENNA_user_to_mprj_in_gates\[36\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[37\]/X user_to_mprj_in_gates\[37\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[37\]/X ANTENNA_user_to_mprj_in_gates\[37\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[38\]/X user_to_mprj_in_gates\[38\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[38\]/X ANTENNA_user_to_mprj_in_gates\[38\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[39\]/X user_to_mprj_in_gates\[39\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[39\]/X ANTENNA_user_to_mprj_in_gates\[39\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[3\]/X user_to_mprj_in_gates\[3\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[3\]/X ANTENNA_user_to_mprj_in_gates\[3\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[40\]/X user_to_mprj_in_gates\[40\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[40\]/X ANTENNA_user_to_mprj_in_gates\[40\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[41\]/X user_to_mprj_in_gates\[41\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[41\]/X ANTENNA_user_to_mprj_in_gates\[41\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[42\]/X user_to_mprj_in_gates\[42\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[42\]/X ANTENNA_user_to_mprj_in_gates\[42\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[43\]/X user_to_mprj_in_gates\[43\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[43\]/X ANTENNA_user_to_mprj_in_gates\[43\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[44\]/X user_to_mprj_in_gates\[44\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[44\]/X ANTENNA_user_to_mprj_in_gates\[44\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[45\]/X user_to_mprj_in_gates\[45\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[45\]/X ANTENNA_user_to_mprj_in_gates\[45\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[46\]/X user_to_mprj_in_gates\[46\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[46\]/X ANTENNA_user_to_mprj_in_gates\[46\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[47\]/X user_to_mprj_in_gates\[47\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[47\]/X ANTENNA_user_to_mprj_in_gates\[47\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[48\]/X user_to_mprj_in_gates\[48\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[48\]/X ANTENNA_user_to_mprj_in_gates\[48\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[49\]/X user_to_mprj_in_gates\[49\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[49\]/X ANTENNA_user_to_mprj_in_gates\[49\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[4\]/X user_to_mprj_in_gates\[4\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[4\]/X ANTENNA_user_to_mprj_in_gates\[4\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[50\]/X user_to_mprj_in_gates\[50\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[50\]/X ANTENNA_user_to_mprj_in_gates\[50\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[51\]/X user_to_mprj_in_gates\[51\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[51\]/X ANTENNA_user_to_mprj_in_gates\[51\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[52\]/X user_to_mprj_in_gates\[52\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[52\]/X ANTENNA_user_to_mprj_in_gates\[52\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[53\]/X user_to_mprj_in_gates\[53\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[53\]/X ANTENNA_user_to_mprj_in_gates\[53\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[54\]/X user_to_mprj_in_gates\[54\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[54\]/X ANTENNA_user_to_mprj_in_gates\[54\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[55\]/X user_to_mprj_in_gates\[55\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[55\]/X ANTENNA_user_to_mprj_in_gates\[55\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[56\]/X user_to_mprj_in_gates\[56\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[56\]/X ANTENNA_user_to_mprj_in_gates\[56\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[57\]/X user_to_mprj_in_gates\[57\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[57\]/X ANTENNA_user_to_mprj_in_gates\[57\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[58\]/X user_to_mprj_in_gates\[58\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[58\]/X ANTENNA_user_to_mprj_in_gates\[58\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[59\]/X user_to_mprj_in_gates\[59\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[59\]/X ANTENNA_user_to_mprj_in_gates\[59\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[5\]/X user_to_mprj_in_gates\[5\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[5\]/X ANTENNA_user_to_mprj_in_gates\[5\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[60\]/X user_to_mprj_in_gates\[60\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[60\]/X ANTENNA_user_to_mprj_in_gates\[60\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[61\]/X user_to_mprj_in_gates\[61\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[61\]/X ANTENNA_user_to_mprj_in_gates\[61\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[62\]/X user_to_mprj_in_gates\[62\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[62\]/X ANTENNA_user_to_mprj_in_gates\[62\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[63\]/X user_to_mprj_in_gates\[63\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[63\]/X ANTENNA_user_to_mprj_in_gates\[63\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[64\]/X user_to_mprj_in_gates\[64\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[64\]/X ANTENNA_user_to_mprj_in_gates\[64\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[65\]/X user_to_mprj_in_gates\[65\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[65\]/X ANTENNA_user_to_mprj_in_gates\[65\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[66\]/X user_to_mprj_in_gates\[66\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[66\]/X ANTENNA_user_to_mprj_in_gates\[66\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[67\]/X user_to_mprj_in_gates\[67\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[67\]/X ANTENNA_user_to_mprj_in_gates\[67\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[68\]/X user_to_mprj_in_gates\[68\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[68\]/X ANTENNA_user_to_mprj_in_gates\[68\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[69\]/X user_to_mprj_in_gates\[69\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[69\]/X ANTENNA_user_to_mprj_in_gates\[69\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[6\]/X user_to_mprj_in_gates\[6\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[6\]/X ANTENNA_user_to_mprj_in_gates\[6\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[70\]/X user_to_mprj_in_gates\[70\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[70\]/X ANTENNA_user_to_mprj_in_gates\[70\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[71\]/X user_to_mprj_in_gates\[71\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[71\]/X ANTENNA_user_to_mprj_in_gates\[71\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[72\]/X user_to_mprj_in_gates\[72\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[72\]/X ANTENNA_user_to_mprj_in_gates\[72\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[73\]/X user_to_mprj_in_gates\[73\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[73\]/X ANTENNA_user_to_mprj_in_gates\[73\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[74\]/X user_to_mprj_in_gates\[74\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[74\]/X ANTENNA_user_to_mprj_in_gates\[74\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[75\]/X user_to_mprj_in_gates\[75\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[75\]/X ANTENNA_user_to_mprj_in_gates\[75\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[76\]/X user_to_mprj_in_gates\[76\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[76\]/X ANTENNA_user_to_mprj_in_gates\[76\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[77\]/X user_to_mprj_in_gates\[77\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[77\]/X ANTENNA_user_to_mprj_in_gates\[77\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[78\]/X user_to_mprj_in_gates\[78\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[78\]/X ANTENNA_user_to_mprj_in_gates\[78\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[79\]/X user_to_mprj_in_gates\[79\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[79\]/X ANTENNA_user_to_mprj_in_gates\[79\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[7\]/X user_to_mprj_in_gates\[7\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[7\]/X ANTENNA_user_to_mprj_in_gates\[7\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[80\]/X user_to_mprj_in_gates\[80\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[80\]/X ANTENNA_user_to_mprj_in_gates\[80\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[81\]/X user_to_mprj_in_gates\[81\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[81\]/X ANTENNA_user_to_mprj_in_gates\[81\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[82\]/X user_to_mprj_in_gates\[82\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[82\]/X ANTENNA_user_to_mprj_in_gates\[82\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[83\]/X user_to_mprj_in_gates\[83\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[83\]/X ANTENNA_user_to_mprj_in_gates\[83\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[84\]/X user_to_mprj_in_gates\[84\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[84\]/X ANTENNA_user_to_mprj_in_gates\[84\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[85\]/X user_to_mprj_in_gates\[85\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[85\]/X ANTENNA_user_to_mprj_in_gates\[85\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[86\]/X user_to_mprj_in_gates\[86\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[86\]/X ANTENNA_user_to_mprj_in_gates\[86\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[87\]/X user_to_mprj_in_gates\[87\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[87\]/X ANTENNA_user_to_mprj_in_gates\[87\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[88\]/X user_to_mprj_in_gates\[88\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[88\]/X ANTENNA_user_to_mprj_in_gates\[88\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[89\]/X user_to_mprj_in_gates\[89\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[89\]/X ANTENNA_user_to_mprj_in_gates\[89\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[8\]/X user_to_mprj_in_gates\[8\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[8\]/X ANTENNA_user_to_mprj_in_gates\[8\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[90\]/X user_to_mprj_in_gates\[90\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[90\]/X ANTENNA_user_to_mprj_in_gates\[90\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[91\]/X user_to_mprj_in_gates\[91\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[91\]/X ANTENNA_user_to_mprj_in_gates\[91\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[92\]/X user_to_mprj_in_gates\[92\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[92\]/X ANTENNA_user_to_mprj_in_gates\[92\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[93\]/X user_to_mprj_in_gates\[93\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[93\]/X ANTENNA_user_to_mprj_in_gates\[93\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[94\]/X user_to_mprj_in_gates\[94\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[94\]/X ANTENNA_user_to_mprj_in_gates\[94\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[95\]/X user_to_mprj_in_gates\[95\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[95\]/X ANTENNA_user_to_mprj_in_gates\[95\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[96\]/X user_to_mprj_in_gates\[96\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[96\]/X ANTENNA_user_to_mprj_in_gates\[96\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[97\]/X user_to_mprj_in_gates\[97\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[97\]/X ANTENNA_user_to_mprj_in_gates\[97\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[98\]/X user_to_mprj_in_gates\[98\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[98\]/X ANTENNA_user_to_mprj_in_gates\[98\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[99\]/X user_to_mprj_in_gates\[99\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[99\]/X ANTENNA_user_to_mprj_in_gates\[99\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[9\]/X user_to_mprj_in_gates\[9\]/B (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_ena_buf\[9\]/X ANTENNA_user_to_mprj_in_gates\[9\]_B/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[0\]/Y user_to_mprj_in_buffers\[0\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[0\]/Y ANTENNA_user_to_mprj_in_buffers\[0\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[100\]/Y user_to_mprj_in_buffers\[100\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[100\]/Y ANTENNA_user_to_mprj_in_buffers\[100\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[101\]/Y user_to_mprj_in_buffers\[101\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[101\]/Y ANTENNA_user_to_mprj_in_buffers\[101\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[102\]/Y user_to_mprj_in_buffers\[102\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[102\]/Y ANTENNA_user_to_mprj_in_buffers\[102\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[103\]/Y user_to_mprj_in_buffers\[103\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[103\]/Y ANTENNA_user_to_mprj_in_buffers\[103\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[104\]/Y user_to_mprj_in_buffers\[104\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[104\]/Y ANTENNA_user_to_mprj_in_buffers\[104\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[105\]/Y user_to_mprj_in_buffers\[105\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[105\]/Y ANTENNA_user_to_mprj_in_buffers\[105\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[106\]/Y user_to_mprj_in_buffers\[106\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[106\]/Y ANTENNA_user_to_mprj_in_buffers\[106\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[107\]/Y user_to_mprj_in_buffers\[107\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[107\]/Y ANTENNA_user_to_mprj_in_buffers\[107\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[108\]/Y user_to_mprj_in_buffers\[108\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[108\]/Y ANTENNA_user_to_mprj_in_buffers\[108\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[109\]/Y user_to_mprj_in_buffers\[109\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[109\]/Y ANTENNA_user_to_mprj_in_buffers\[109\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[10\]/Y user_to_mprj_in_buffers\[10\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_gates\[10\]/Y ANTENNA_user_to_mprj_in_buffers\[10\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[110\]/Y user_to_mprj_in_buffers\[110\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[110\]/Y ANTENNA_user_to_mprj_in_buffers\[110\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[111\]/Y user_to_mprj_in_buffers\[111\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[111\]/Y ANTENNA_user_to_mprj_in_buffers\[111\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[112\]/Y user_to_mprj_in_buffers\[112\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[112\]/Y ANTENNA_user_to_mprj_in_buffers\[112\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[113\]/Y user_to_mprj_in_buffers\[113\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[113\]/Y ANTENNA_user_to_mprj_in_buffers\[113\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[114\]/Y user_to_mprj_in_buffers\[114\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[114\]/Y ANTENNA_user_to_mprj_in_buffers\[114\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[115\]/Y user_to_mprj_in_buffers\[115\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[115\]/Y ANTENNA_user_to_mprj_in_buffers\[115\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[116\]/Y user_to_mprj_in_buffers\[116\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[116\]/Y ANTENNA_user_to_mprj_in_buffers\[116\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[117\]/Y user_to_mprj_in_buffers\[117\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[117\]/Y ANTENNA_user_to_mprj_in_buffers\[117\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[118\]/Y user_to_mprj_in_buffers\[118\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[118\]/Y ANTENNA_user_to_mprj_in_buffers\[118\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[119\]/Y user_to_mprj_in_buffers\[119\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[119\]/Y ANTENNA_user_to_mprj_in_buffers\[119\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[11\]/Y user_to_mprj_in_buffers\[11\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[11\]/Y ANTENNA_user_to_mprj_in_buffers\[11\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[120\]/Y user_to_mprj_in_buffers\[120\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[120\]/Y ANTENNA_user_to_mprj_in_buffers\[120\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[121\]/Y user_to_mprj_in_buffers\[121\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[121\]/Y ANTENNA_user_to_mprj_in_buffers\[121\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[122\]/Y user_to_mprj_in_buffers\[122\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[122\]/Y ANTENNA_user_to_mprj_in_buffers\[122\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[123\]/Y user_to_mprj_in_buffers\[123\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[123\]/Y ANTENNA_user_to_mprj_in_buffers\[123\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[124\]/Y user_to_mprj_in_buffers\[124\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[124\]/Y ANTENNA_user_to_mprj_in_buffers\[124\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[125\]/Y user_to_mprj_in_buffers\[125\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[125\]/Y ANTENNA_user_to_mprj_in_buffers\[125\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[126\]/Y user_to_mprj_in_buffers\[126\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[126\]/Y ANTENNA_user_to_mprj_in_buffers\[126\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[127\]/Y user_to_mprj_in_buffers\[127\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[127\]/Y ANTENNA_user_to_mprj_in_buffers\[127\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[12\]/Y user_to_mprj_in_buffers\[12\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[12\]/Y ANTENNA_user_to_mprj_in_buffers\[12\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[13\]/Y user_to_mprj_in_buffers\[13\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[13\]/Y ANTENNA_user_to_mprj_in_buffers\[13\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[14\]/Y user_to_mprj_in_buffers\[14\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[14\]/Y ANTENNA_user_to_mprj_in_buffers\[14\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[15\]/Y user_to_mprj_in_buffers\[15\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[15\]/Y ANTENNA_user_to_mprj_in_buffers\[15\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[16\]/Y user_to_mprj_in_buffers\[16\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[16\]/Y ANTENNA_user_to_mprj_in_buffers\[16\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[17\]/Y user_to_mprj_in_buffers\[17\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[17\]/Y ANTENNA_user_to_mprj_in_buffers\[17\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[18\]/Y user_to_mprj_in_buffers\[18\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[18\]/Y ANTENNA_user_to_mprj_in_buffers\[18\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[19\]/Y user_to_mprj_in_buffers\[19\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[19\]/Y ANTENNA_user_to_mprj_in_buffers\[19\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[1\]/Y user_to_mprj_in_buffers\[1\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[1\]/Y ANTENNA_user_to_mprj_in_buffers\[1\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[20\]/Y user_to_mprj_in_buffers\[20\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[20\]/Y ANTENNA_user_to_mprj_in_buffers\[20\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[21\]/Y user_to_mprj_in_buffers\[21\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[21\]/Y ANTENNA_user_to_mprj_in_buffers\[21\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[22\]/Y user_to_mprj_in_buffers\[22\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[22\]/Y ANTENNA_user_to_mprj_in_buffers\[22\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[23\]/Y user_to_mprj_in_buffers\[23\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[23\]/Y ANTENNA_user_to_mprj_in_buffers\[23\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[24\]/Y user_to_mprj_in_buffers\[24\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[24\]/Y ANTENNA_user_to_mprj_in_buffers\[24\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[25\]/Y user_to_mprj_in_buffers\[25\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[25\]/Y ANTENNA_user_to_mprj_in_buffers\[25\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[26\]/Y user_to_mprj_in_buffers\[26\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[26\]/Y ANTENNA_user_to_mprj_in_buffers\[26\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[27\]/Y user_to_mprj_in_buffers\[27\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[27\]/Y ANTENNA_user_to_mprj_in_buffers\[27\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[28\]/Y user_to_mprj_in_buffers\[28\]/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[28\]/Y ANTENNA_user_to_mprj_in_buffers\[28\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[29\]/Y user_to_mprj_in_buffers\[29\]/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[29\]/Y ANTENNA_user_to_mprj_in_buffers\[29\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[2\]/Y user_to_mprj_in_buffers\[2\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[2\]/Y ANTENNA_user_to_mprj_in_buffers\[2\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[30\]/Y user_to_mprj_in_buffers\[30\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[30\]/Y ANTENNA_user_to_mprj_in_buffers\[30\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[31\]/Y user_to_mprj_in_buffers\[31\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[31\]/Y ANTENNA_user_to_mprj_in_buffers\[31\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[32\]/Y user_to_mprj_in_buffers\[32\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[32\]/Y ANTENNA_user_to_mprj_in_buffers\[32\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[33\]/Y user_to_mprj_in_buffers\[33\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[33\]/Y ANTENNA_user_to_mprj_in_buffers\[33\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[34\]/Y user_to_mprj_in_buffers\[34\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[34\]/Y ANTENNA_user_to_mprj_in_buffers\[34\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[35\]/Y user_to_mprj_in_buffers\[35\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[35\]/Y ANTENNA_user_to_mprj_in_buffers\[35\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[36\]/Y user_to_mprj_in_buffers\[36\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[36\]/Y ANTENNA_user_to_mprj_in_buffers\[36\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[37\]/Y user_to_mprj_in_buffers\[37\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[37\]/Y ANTENNA_user_to_mprj_in_buffers\[37\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[38\]/Y user_to_mprj_in_buffers\[38\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[38\]/Y ANTENNA_user_to_mprj_in_buffers\[38\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[39\]/Y user_to_mprj_in_buffers\[39\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[39\]/Y ANTENNA_user_to_mprj_in_buffers\[39\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[3\]/Y user_to_mprj_in_buffers\[3\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[3\]/Y ANTENNA_user_to_mprj_in_buffers\[3\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[40\]/Y user_to_mprj_in_buffers\[40\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[40\]/Y ANTENNA_user_to_mprj_in_buffers\[40\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[41\]/Y user_to_mprj_in_buffers\[41\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[41\]/Y ANTENNA_user_to_mprj_in_buffers\[41\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[42\]/Y user_to_mprj_in_buffers\[42\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[42\]/Y ANTENNA_user_to_mprj_in_buffers\[42\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[43\]/Y user_to_mprj_in_buffers\[43\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[43\]/Y ANTENNA_user_to_mprj_in_buffers\[43\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[44\]/Y user_to_mprj_in_buffers\[44\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[44\]/Y ANTENNA_user_to_mprj_in_buffers\[44\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[45\]/Y user_to_mprj_in_buffers\[45\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[45\]/Y ANTENNA_user_to_mprj_in_buffers\[45\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[46\]/Y user_to_mprj_in_buffers\[46\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[46\]/Y ANTENNA_user_to_mprj_in_buffers\[46\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[47\]/Y user_to_mprj_in_buffers\[47\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[47\]/Y ANTENNA_user_to_mprj_in_buffers\[47\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[48\]/Y user_to_mprj_in_buffers\[48\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_gates\[48\]/Y ANTENNA_user_to_mprj_in_buffers\[48\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_gates\[49\]/Y user_to_mprj_in_buffers\[49\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[49\]/Y ANTENNA_user_to_mprj_in_buffers\[49\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[4\]/Y user_to_mprj_in_buffers\[4\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[4\]/Y ANTENNA_user_to_mprj_in_buffers\[4\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[50\]/Y user_to_mprj_in_buffers\[50\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[50\]/Y ANTENNA_user_to_mprj_in_buffers\[50\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[51\]/Y user_to_mprj_in_buffers\[51\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[51\]/Y ANTENNA_user_to_mprj_in_buffers\[51\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[52\]/Y user_to_mprj_in_buffers\[52\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[52\]/Y ANTENNA_user_to_mprj_in_buffers\[52\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[53\]/Y user_to_mprj_in_buffers\[53\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[53\]/Y ANTENNA_user_to_mprj_in_buffers\[53\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[54\]/Y user_to_mprj_in_buffers\[54\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[54\]/Y ANTENNA_user_to_mprj_in_buffers\[54\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[55\]/Y user_to_mprj_in_buffers\[55\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[55\]/Y ANTENNA_user_to_mprj_in_buffers\[55\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[56\]/Y user_to_mprj_in_buffers\[56\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[56\]/Y ANTENNA_user_to_mprj_in_buffers\[56\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[57\]/Y user_to_mprj_in_buffers\[57\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[57\]/Y ANTENNA_user_to_mprj_in_buffers\[57\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[58\]/Y user_to_mprj_in_buffers\[58\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[58\]/Y ANTENNA_user_to_mprj_in_buffers\[58\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[59\]/Y user_to_mprj_in_buffers\[59\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[59\]/Y ANTENNA_user_to_mprj_in_buffers\[59\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[5\]/Y user_to_mprj_in_buffers\[5\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_gates\[5\]/Y ANTENNA_user_to_mprj_in_buffers\[5\]_A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[60\]/Y user_to_mprj_in_buffers\[60\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[60\]/Y ANTENNA_user_to_mprj_in_buffers\[60\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[61\]/Y user_to_mprj_in_buffers\[61\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[61\]/Y ANTENNA_user_to_mprj_in_buffers\[61\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[62\]/Y user_to_mprj_in_buffers\[62\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[62\]/Y ANTENNA_user_to_mprj_in_buffers\[62\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[63\]/Y user_to_mprj_in_buffers\[63\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[63\]/Y ANTENNA_user_to_mprj_in_buffers\[63\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[64\]/Y user_to_mprj_in_buffers\[64\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[64\]/Y ANTENNA_user_to_mprj_in_buffers\[64\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[65\]/Y user_to_mprj_in_buffers\[65\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[65\]/Y ANTENNA_user_to_mprj_in_buffers\[65\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[66\]/Y user_to_mprj_in_buffers\[66\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[66\]/Y ANTENNA_user_to_mprj_in_buffers\[66\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[67\]/Y user_to_mprj_in_buffers\[67\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[67\]/Y ANTENNA_user_to_mprj_in_buffers\[67\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[68\]/Y user_to_mprj_in_buffers\[68\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[68\]/Y ANTENNA_user_to_mprj_in_buffers\[68\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[69\]/Y user_to_mprj_in_buffers\[69\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[69\]/Y ANTENNA_user_to_mprj_in_buffers\[69\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[6\]/Y user_to_mprj_in_buffers\[6\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[6\]/Y ANTENNA_user_to_mprj_in_buffers\[6\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[70\]/Y user_to_mprj_in_buffers\[70\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[70\]/Y ANTENNA_user_to_mprj_in_buffers\[70\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[71\]/Y user_to_mprj_in_buffers\[71\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[71\]/Y ANTENNA_user_to_mprj_in_buffers\[71\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[72\]/Y user_to_mprj_in_buffers\[72\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[72\]/Y ANTENNA_user_to_mprj_in_buffers\[72\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[73\]/Y user_to_mprj_in_buffers\[73\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[73\]/Y ANTENNA_user_to_mprj_in_buffers\[73\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[74\]/Y user_to_mprj_in_buffers\[74\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[74\]/Y ANTENNA_user_to_mprj_in_buffers\[74\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[75\]/Y user_to_mprj_in_buffers\[75\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[75\]/Y ANTENNA_user_to_mprj_in_buffers\[75\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[76\]/Y user_to_mprj_in_buffers\[76\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[76\]/Y ANTENNA_user_to_mprj_in_buffers\[76\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[77\]/Y user_to_mprj_in_buffers\[77\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[77\]/Y ANTENNA_user_to_mprj_in_buffers\[77\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[78\]/Y user_to_mprj_in_buffers\[78\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[78\]/Y ANTENNA_user_to_mprj_in_buffers\[78\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[79\]/Y user_to_mprj_in_buffers\[79\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[79\]/Y ANTENNA_user_to_mprj_in_buffers\[79\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[7\]/Y user_to_mprj_in_buffers\[7\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_gates\[7\]/Y ANTENNA_user_to_mprj_in_buffers\[7\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_gates\[80\]/Y user_to_mprj_in_buffers\[80\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[80\]/Y ANTENNA_user_to_mprj_in_buffers\[80\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[81\]/Y user_to_mprj_in_buffers\[81\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[81\]/Y ANTENNA_user_to_mprj_in_buffers\[81\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[82\]/Y user_to_mprj_in_buffers\[82\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[82\]/Y ANTENNA_user_to_mprj_in_buffers\[82\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[83\]/Y user_to_mprj_in_buffers\[83\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[83\]/Y ANTENNA_user_to_mprj_in_buffers\[83\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[84\]/Y user_to_mprj_in_buffers\[84\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[84\]/Y ANTENNA_user_to_mprj_in_buffers\[84\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[85\]/Y user_to_mprj_in_buffers\[85\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[85\]/Y ANTENNA_user_to_mprj_in_buffers\[85\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[86\]/Y user_to_mprj_in_buffers\[86\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[86\]/Y ANTENNA_user_to_mprj_in_buffers\[86\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[87\]/Y user_to_mprj_in_buffers\[87\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[87\]/Y ANTENNA_user_to_mprj_in_buffers\[87\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[88\]/Y user_to_mprj_in_buffers\[88\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[88\]/Y ANTENNA_user_to_mprj_in_buffers\[88\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[89\]/Y user_to_mprj_in_buffers\[89\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[89\]/Y ANTENNA_user_to_mprj_in_buffers\[89\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[8\]/Y user_to_mprj_in_buffers\[8\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_in_gates\[8\]/Y ANTENNA_user_to_mprj_in_buffers\[8\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_in_gates\[90\]/Y user_to_mprj_in_buffers\[90\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[90\]/Y ANTENNA_user_to_mprj_in_buffers\[90\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[91\]/Y user_to_mprj_in_buffers\[91\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_gates\[91\]/Y ANTENNA_user_to_mprj_in_buffers\[91\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_in_gates\[92\]/Y user_to_mprj_in_buffers\[92\]/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[92\]/Y ANTENNA_user_to_mprj_in_buffers\[92\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[93\]/Y user_to_mprj_in_buffers\[93\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[93\]/Y ANTENNA_user_to_mprj_in_buffers\[93\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[94\]/Y user_to_mprj_in_buffers\[94\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[94\]/Y ANTENNA_user_to_mprj_in_buffers\[94\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[95\]/Y user_to_mprj_in_buffers\[95\]/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[95\]/Y ANTENNA_user_to_mprj_in_buffers\[95\]_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[96\]/Y user_to_mprj_in_buffers\[96\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[96\]/Y ANTENNA_user_to_mprj_in_buffers\[96\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[97\]/Y user_to_mprj_in_buffers\[97\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[97\]/Y ANTENNA_user_to_mprj_in_buffers\[97\]_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_in_gates\[98\]/Y user_to_mprj_in_buffers\[98\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[98\]/Y ANTENNA_user_to_mprj_in_buffers\[98\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[99\]/Y user_to_mprj_in_buffers\[99\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[99\]/Y ANTENNA_user_to_mprj_in_buffers\[99\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_in_gates\[9\]/Y user_to_mprj_in_buffers\[9\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_in_gates\[9\]/Y ANTENNA_user_to_mprj_in_buffers\[9\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[0\]/Z output883/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[0\]/Z ANTENNA_output883_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[100\]/Z output884/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[100\]/Z ANTENNA_output884_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[101\]/Z output885/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[101\]/Z ANTENNA_output885_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[102\]/Z output886/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[102\]/Z ANTENNA_output886_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[103\]/Z output887/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[103\]/Z ANTENNA_output887_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[104\]/Z output888/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT user_to_mprj_oen_buffers\[104\]/Z ANTENNA_output888_A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT user_to_mprj_oen_buffers\[105\]/Z output889/A (0.004::0.004) (0.003::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[105\]/Z ANTENNA_output889_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[106\]/Z output890/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[106\]/Z ANTENNA_output890_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[107\]/Z output891/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[107\]/Z ANTENNA_output891_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[108\]/Z output892/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[108\]/Z ANTENNA_output892_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[109\]/Z output893/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[109\]/Z ANTENNA_output893_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[10\]/Z output894/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[10\]/Z ANTENNA_output894_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[110\]/Z output895/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[110\]/Z ANTENNA_output895_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[111\]/Z output896/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[111\]/Z ANTENNA_output896_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[112\]/Z output897/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[112\]/Z ANTENNA_output897_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[113\]/Z output898/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[113\]/Z ANTENNA_output898_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[114\]/Z output899/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[114\]/Z ANTENNA_output899_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[115\]/Z output900/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[115\]/Z ANTENNA_output900_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[116\]/Z output901/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[116\]/Z ANTENNA_output901_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[117\]/Z output902/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[117\]/Z ANTENNA_output902_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[118\]/Z output903/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[118\]/Z ANTENNA_output903_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[119\]/Z output904/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[119\]/Z ANTENNA_output904_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[11\]/Z output905/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_oen_buffers\[11\]/Z ANTENNA_output905_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_oen_buffers\[120\]/Z output906/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[120\]/Z ANTENNA_output906_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[121\]/Z output907/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[121\]/Z ANTENNA_output907_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[122\]/Z output908/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[122\]/Z ANTENNA_output908_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[123\]/Z output909/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[123\]/Z ANTENNA_output909_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[124\]/Z output910/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[124\]/Z ANTENNA_output910_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[125\]/Z output911/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[125\]/Z ANTENNA_output911_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[126\]/Z output912/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[126\]/Z ANTENNA_output912_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[127\]/Z output913/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[127\]/Z ANTENNA_output913_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[12\]/Z output914/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[12\]/Z ANTENNA_output914_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[13\]/Z output915/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[13\]/Z ANTENNA_output915_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[14\]/Z output916/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_oen_buffers\[14\]/Z ANTENNA_output916_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_oen_buffers\[15\]/Z output917/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[15\]/Z ANTENNA_output917_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[16\]/Z output918/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[16\]/Z ANTENNA_output918_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[17\]/Z output919/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[17\]/Z ANTENNA_output919_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[18\]/Z output920/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[18\]/Z ANTENNA_output920_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[19\]/Z output921/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[19\]/Z ANTENNA_output921_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[1\]/Z output922/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[1\]/Z ANTENNA_output922_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[20\]/Z output923/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[20\]/Z ANTENNA_output923_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[21\]/Z output924/A (0.008::0.008) (0.007::0.008)) + (INTERCONNECT user_to_mprj_oen_buffers\[21\]/Z ANTENNA_output924_A/DIODE (0.008::0.008) (0.007::0.008)) + (INTERCONNECT user_to_mprj_oen_buffers\[22\]/Z output925/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[22\]/Z ANTENNA_output925_A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[23\]/Z output926/A (0.002::0.002) (0.001::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[23\]/Z ANTENNA_output926_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[24\]/Z output927/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[24\]/Z ANTENNA_output927_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[25\]/Z output928/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[25\]/Z ANTENNA_output928_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[26\]/Z output929/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_oen_buffers\[26\]/Z ANTENNA_output929_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_oen_buffers\[27\]/Z output930/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[27\]/Z ANTENNA_output930_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[28\]/Z output931/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[28\]/Z ANTENNA_output931_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[29\]/Z output932/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[29\]/Z ANTENNA_output932_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[2\]/Z output933/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[2\]/Z ANTENNA_output933_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[30\]/Z output934/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[30\]/Z ANTENNA_output934_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[31\]/Z output935/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[31\]/Z ANTENNA_output935_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[32\]/Z output936/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT user_to_mprj_oen_buffers\[32\]/Z ANTENNA_output936_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT user_to_mprj_oen_buffers\[33\]/Z output937/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[33\]/Z ANTENNA_output937_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[34\]/Z output938/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[34\]/Z ANTENNA_output938_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[35\]/Z output939/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[35\]/Z ANTENNA_output939_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[36\]/Z output940/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[36\]/Z ANTENNA_output940_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[37\]/Z output941/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[37\]/Z ANTENNA_output941_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[38\]/Z output942/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[38\]/Z ANTENNA_output942_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[39\]/Z output943/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT user_to_mprj_oen_buffers\[39\]/Z ANTENNA_output943_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT user_to_mprj_oen_buffers\[3\]/Z output944/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[3\]/Z ANTENNA_output944_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[40\]/Z output945/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[40\]/Z ANTENNA_output945_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[41\]/Z output946/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT user_to_mprj_oen_buffers\[41\]/Z ANTENNA_output946_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT user_to_mprj_oen_buffers\[42\]/Z output947/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[42\]/Z ANTENNA_output947_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[43\]/Z output948/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[43\]/Z ANTENNA_output948_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[44\]/Z output949/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[44\]/Z ANTENNA_output949_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[45\]/Z output950/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[45\]/Z ANTENNA_output950_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[46\]/Z output951/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[46\]/Z ANTENNA_output951_A/DIODE (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[47\]/Z output952/A (0.001::0.001) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[47\]/Z ANTENNA_output952_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[48\]/Z output953/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[48\]/Z ANTENNA_output953_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[49\]/Z output954/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[49\]/Z ANTENNA_output954_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[4\]/Z output955/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[4\]/Z ANTENNA_output955_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[50\]/Z output956/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[50\]/Z ANTENNA_output956_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[51\]/Z output957/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[51\]/Z ANTENNA_output957_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[52\]/Z output958/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_to_mprj_oen_buffers\[52\]/Z ANTENNA_output958_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_to_mprj_oen_buffers\[53\]/Z output959/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_to_mprj_oen_buffers\[53\]/Z ANTENNA_output959_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_to_mprj_oen_buffers\[54\]/Z output960/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[54\]/Z ANTENNA_output960_A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[55\]/Z output961/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[55\]/Z ANTENNA_output961_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[56\]/Z output962/A (0.009::0.010) (0.009::0.009)) + (INTERCONNECT user_to_mprj_oen_buffers\[56\]/Z ANTENNA_output962_A/DIODE (0.009::0.010) (0.009::0.009)) + (INTERCONNECT user_to_mprj_oen_buffers\[57\]/Z output963/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[57\]/Z ANTENNA_output963_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[58\]/Z output964/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[58\]/Z ANTENNA_output964_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[59\]/Z output965/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[59\]/Z ANTENNA_output965_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[5\]/Z output966/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_to_mprj_oen_buffers\[5\]/Z ANTENNA_output966_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_to_mprj_oen_buffers\[60\]/Z output967/A (0.014::0.014) (0.013::0.014)) + (INTERCONNECT user_to_mprj_oen_buffers\[60\]/Z ANTENNA_output967_A/DIODE (0.014::0.014) (0.013::0.014)) + (INTERCONNECT user_to_mprj_oen_buffers\[61\]/Z output968/A (0.010::0.010) (0.009::0.010)) + (INTERCONNECT user_to_mprj_oen_buffers\[61\]/Z ANTENNA_output968_A/DIODE (0.010::0.010) (0.009::0.010)) + (INTERCONNECT user_to_mprj_oen_buffers\[62\]/Z output969/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT user_to_mprj_oen_buffers\[62\]/Z ANTENNA_output969_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT user_to_mprj_oen_buffers\[63\]/Z output970/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[63\]/Z ANTENNA_output970_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[64\]/Z output971/A (0.005::0.005) (0.004::0.005)) + (INTERCONNECT user_to_mprj_oen_buffers\[64\]/Z ANTENNA_output971_A/DIODE (0.005::0.005) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[65\]/Z output972/A (0.009::0.009) (0.009::0.009)) + (INTERCONNECT user_to_mprj_oen_buffers\[65\]/Z ANTENNA_output972_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT user_to_mprj_oen_buffers\[66\]/Z output973/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[66\]/Z ANTENNA_output973_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[67\]/Z output974/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[67\]/Z ANTENNA_output974_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[68\]/Z output975/A (0.007::0.007) (0.006::0.007)) + (INTERCONNECT user_to_mprj_oen_buffers\[68\]/Z ANTENNA_output975_A/DIODE (0.007::0.007) (0.006::0.007)) + (INTERCONNECT user_to_mprj_oen_buffers\[69\]/Z output976/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT user_to_mprj_oen_buffers\[69\]/Z ANTENNA_output976_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT user_to_mprj_oen_buffers\[6\]/Z output977/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[6\]/Z ANTENNA_output977_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[70\]/Z output978/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[70\]/Z ANTENNA_output978_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[71\]/Z output979/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT user_to_mprj_oen_buffers\[71\]/Z ANTENNA_output979_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT user_to_mprj_oen_buffers\[72\]/Z output980/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[72\]/Z ANTENNA_output980_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[73\]/Z output981/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[73\]/Z ANTENNA_output981_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[74\]/Z output982/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[74\]/Z ANTENNA_output982_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[75\]/Z output983/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[75\]/Z ANTENNA_output983_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[76\]/Z output984/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[76\]/Z ANTENNA_output984_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[77\]/Z output985/A (0.004::0.004) (0.003::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[77\]/Z ANTENNA_output985_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[78\]/Z output986/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[78\]/Z ANTENNA_output986_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[79\]/Z output987/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[79\]/Z ANTENNA_output987_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[7\]/Z output988/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[7\]/Z ANTENNA_output988_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[80\]/Z output989/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[80\]/Z ANTENNA_output989_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[81\]/Z output990/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[81\]/Z ANTENNA_output990_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[82\]/Z output991/A (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[82\]/Z ANTENNA_output991_A/DIODE (0.000::0.000) (0.000::0.000)) + (INTERCONNECT user_to_mprj_oen_buffers\[83\]/Z output992/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[83\]/Z ANTENNA_output992_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[84\]/Z output993/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[84\]/Z ANTENNA_output993_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[85\]/Z output994/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[85\]/Z ANTENNA_output994_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[86\]/Z output995/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[86\]/Z ANTENNA_output995_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[87\]/Z output996/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[87\]/Z ANTENNA_output996_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[88\]/Z output997/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[88\]/Z ANTENNA_output997_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[89\]/Z output998/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[89\]/Z ANTENNA_output998_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[8\]/Z output999/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[8\]/Z ANTENNA_output999_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[90\]/Z output1000/A (0.008::0.008) (0.008::0.008)) + (INTERCONNECT user_to_mprj_oen_buffers\[90\]/Z ANTENNA_output1000_A/DIODE (0.008::0.008) (0.008::0.008)) + (INTERCONNECT user_to_mprj_oen_buffers\[91\]/Z output1001/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[91\]/Z ANTENNA_output1001_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_to_mprj_oen_buffers\[92\]/Z output1002/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[92\]/Z ANTENNA_output1002_A/DIODE (0.004::0.004) (0.003::0.004)) + (INTERCONNECT user_to_mprj_oen_buffers\[93\]/Z output1003/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_oen_buffers\[93\]/Z ANTENNA_output1003_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_to_mprj_oen_buffers\[94\]/Z output1004/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_to_mprj_oen_buffers\[94\]/Z ANTENNA_output1004_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_to_mprj_oen_buffers\[95\]/Z output1005/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[95\]/Z ANTENNA_output1005_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[96\]/Z output1006/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[96\]/Z ANTENNA_output1006_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[97\]/Z output1007/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[97\]/Z ANTENNA_output1007_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_to_mprj_oen_buffers\[98\]/Z output1008/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT user_to_mprj_oen_buffers\[98\]/Z ANTENNA_output1008_A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT user_to_mprj_oen_buffers\[99\]/Z output1009/A (0.011::0.011) (0.010::0.011)) + (INTERCONNECT user_to_mprj_oen_buffers\[99\]/Z ANTENNA_output1009_A/DIODE (0.011::0.011) (0.010::0.011)) + (INTERCONNECT user_to_mprj_oen_buffers\[9\]/Z output1010/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_oen_buffers\[9\]/Z ANTENNA_output1010_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_ack_gate/B (0.079::0.079) (0.072::0.072)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[0\]/B (0.068::0.068) (0.062::0.062)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[10\]/B (0.086::0.086) (0.079::0.079)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[11\]/B (0.093::0.093) (0.085::0.085)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[12\]/B (0.096::0.096) (0.087::0.088)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[13\]/B (0.097::0.097) (0.089::0.089)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[14\]/B (0.098::0.098) (0.090::0.090)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[15\]/B (0.098::0.098) (0.090::0.090)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[16\]/B (0.098::0.098) (0.090::0.090)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[17\]/B (0.098::0.098) (0.089::0.089)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[18\]/B (0.098::0.098) (0.089::0.089)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[19\]/B (0.098::0.098) (0.089::0.090)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[1\]/B (0.066::0.066) (0.060::0.060)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[20\]/B (0.092::0.092) (0.083::0.084)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[21\]/B (0.087::0.087) (0.079::0.079)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[22\]/B (0.062::0.062) (0.057::0.057)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[2\]/B (0.046::0.046) (0.043::0.043)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[3\]/B (0.046::0.046) (0.043::0.043)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[4\]/B (0.060::0.060) (0.055::0.055)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[5\]/B (0.086::0.086) (0.079::0.079)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[6\]/B (0.086::0.086) (0.079::0.079)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[7\]/B (0.066::0.066) (0.060::0.060)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[8\]/B (0.086::0.086) (0.079::0.079)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X user_wb_dat_gates\[9\]/B (0.077::0.077) (0.070::0.071)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X repeater1125/A (0.011::0.011) (0.010::0.010)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_repeater1125_A/DIODE (0.009::0.009) (0.009::0.009)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[9\]_B/DIODE (0.077::0.077) (0.070::0.070)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[8\]_B/DIODE (0.086::0.086) (0.078::0.078)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[7\]_B/DIODE (0.067::0.067) (0.062::0.062)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[6\]_B/DIODE (0.081::0.081) (0.074::0.074)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[5\]_B/DIODE (0.080::0.080) (0.073::0.073)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[4\]_B/DIODE (0.059::0.059) (0.054::0.054)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[3\]_B/DIODE (0.047::0.047) (0.043::0.043)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[2\]_B/DIODE (0.044::0.044) (0.041::0.041)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[22\]_B/DIODE (0.062::0.062) (0.056::0.056)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[21\]_B/DIODE (0.079::0.079) (0.072::0.072)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[20\]_B/DIODE (0.092::0.092) (0.084::0.084)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[1\]_B/DIODE (0.065::0.065) (0.060::0.060)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[19\]_B/DIODE (0.098::0.098) (0.090::0.090)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[18\]_B/DIODE (0.098::0.098) (0.090::0.090)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[17\]_B/DIODE (0.097::0.097) (0.088::0.089)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[16\]_B/DIODE (0.098::0.098) (0.090::0.090)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[15\]_B/DIODE (0.098::0.098) (0.090::0.090)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[14\]_B/DIODE (0.098::0.098) (0.090::0.090)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[13\]_B/DIODE (0.097::0.097) (0.088::0.089)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[12\]_B/DIODE (0.096::0.096) (0.088::0.088)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[11\]_B/DIODE (0.093::0.093) (0.085::0.085)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[10\]_B/DIODE (0.085::0.085) (0.078::0.078)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_dat_gates\[0\]_B/DIODE (0.068::0.068) (0.062::0.062)) + (INTERCONNECT user_to_mprj_wb_ena_buf/X ANTENNA_user_wb_ack_gate_B/DIODE (0.079::0.079) (0.072::0.072)) + (INTERCONNECT user_wb_ack_buffer/Y output1011/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT user_wb_ack_buffer/Y ANTENNA_output1011_A/DIODE (0.007::0.007) (0.006::0.006)) + (INTERCONNECT user_wb_ack_gate/Y user_wb_ack_buffer/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_ack_gate/Y ANTENNA_user_wb_ack_buffer_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[0\]/Y output1045/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT user_wb_dat_buffers\[0\]/Y ANTENNA_output1045_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT user_wb_dat_buffers\[10\]/Y output1046/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT user_wb_dat_buffers\[10\]/Y ANTENNA_output1046_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT user_wb_dat_buffers\[11\]/Y output1047/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_wb_dat_buffers\[11\]/Y ANTENNA_output1047_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_wb_dat_buffers\[12\]/Y output1048/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_wb_dat_buffers\[12\]/Y ANTENNA_output1048_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_wb_dat_buffers\[13\]/Y output1049/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_wb_dat_buffers\[13\]/Y ANTENNA_output1049_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_wb_dat_buffers\[14\]/Y output1050/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[14\]/Y ANTENNA_output1050_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[15\]/Y output1051/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_wb_dat_buffers\[15\]/Y ANTENNA_output1051_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_wb_dat_buffers\[16\]/Y output1052/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[16\]/Y ANTENNA_output1052_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[17\]/Y output1053/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[17\]/Y ANTENNA_output1053_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[18\]/Y output1054/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_wb_dat_buffers\[18\]/Y ANTENNA_output1054_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_wb_dat_buffers\[19\]/Y output1055/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_wb_dat_buffers\[19\]/Y ANTENNA_output1055_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_wb_dat_buffers\[1\]/Y output1056/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT user_wb_dat_buffers\[1\]/Y ANTENNA_output1056_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT user_wb_dat_buffers\[20\]/Y output1057/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_wb_dat_buffers\[20\]/Y ANTENNA_output1057_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_wb_dat_buffers\[21\]/Y output1058/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT user_wb_dat_buffers\[21\]/Y ANTENNA_output1058_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT user_wb_dat_buffers\[22\]/Y output1059/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_wb_dat_buffers\[22\]/Y ANTENNA_output1059_A/DIODE (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_wb_dat_buffers\[23\]/Y output1060/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_wb_dat_buffers\[23\]/Y ANTENNA_output1060_A/DIODE (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_wb_dat_buffers\[24\]/Y output1061/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[24\]/Y ANTENNA_output1061_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[25\]/Y output1062/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[25\]/Y ANTENNA_output1062_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[26\]/Y output1063/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[26\]/Y ANTENNA_output1063_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[27\]/Y output1064/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[27\]/Y ANTENNA_output1064_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[28\]/Y output1065/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[28\]/Y ANTENNA_output1065_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_buffers\[29\]/Y output1066/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[29\]/Y ANTENNA_output1066_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[2\]/Y output1067/A (0.016::0.017) (0.016::0.016)) + (INTERCONNECT user_wb_dat_buffers\[2\]/Y ANTENNA_output1067_A/DIODE (0.016::0.016) (0.016::0.016)) + (INTERCONNECT user_wb_dat_buffers\[30\]/Y output1068/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[30\]/Y ANTENNA_output1068_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[31\]/Y output1069/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[31\]/Y ANTENNA_output1069_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[3\]/Y output1070/A (0.015::0.015) (0.015::0.015)) + (INTERCONNECT user_wb_dat_buffers\[3\]/Y ANTENNA_output1070_A/DIODE (0.015::0.015) (0.015::0.015)) + (INTERCONNECT user_wb_dat_buffers\[4\]/Y output1071/A (0.010::0.010) (0.010::0.010)) + (INTERCONNECT user_wb_dat_buffers\[4\]/Y ANTENNA_output1071_A/DIODE (0.010::0.010) (0.010::0.010)) + (INTERCONNECT user_wb_dat_buffers\[5\]/Y output1072/A (0.007::0.007) (0.007::0.007)) + (INTERCONNECT user_wb_dat_buffers\[5\]/Y ANTENNA_output1072_A/DIODE (0.007::0.007) (0.007::0.007)) + (INTERCONNECT user_wb_dat_buffers\[6\]/Y output1073/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[6\]/Y ANTENNA_output1073_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_buffers\[7\]/Y output1074/A (0.012::0.012) (0.012::0.012)) + (INTERCONNECT user_wb_dat_buffers\[7\]/Y ANTENNA_output1074_A/DIODE (0.012::0.012) (0.012::0.012)) + (INTERCONNECT user_wb_dat_buffers\[8\]/Y output1075/A (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_wb_dat_buffers\[8\]/Y ANTENNA_output1075_A/DIODE (0.006::0.006) (0.006::0.006)) + (INTERCONNECT user_wb_dat_buffers\[9\]/Y output1076/A (0.011::0.011) (0.011::0.011)) + (INTERCONNECT user_wb_dat_buffers\[9\]/Y ANTENNA_output1076_A/DIODE (0.011::0.011) (0.011::0.011)) + (INTERCONNECT user_wb_dat_gates\[0\]/Y user_wb_dat_buffers\[0\]/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[0\]/Y ANTENNA_user_wb_dat_buffers\[0\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[10\]/Y user_wb_dat_buffers\[10\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_wb_dat_gates\[10\]/Y ANTENNA_user_wb_dat_buffers\[10\]_A/DIODE (0.002::0.002) (0.001::0.002)) + (INTERCONNECT user_wb_dat_gates\[11\]/Y user_wb_dat_buffers\[11\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[11\]/Y ANTENNA_user_wb_dat_buffers\[11\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[12\]/Y user_wb_dat_buffers\[12\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[12\]/Y ANTENNA_user_wb_dat_buffers\[12\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[13\]/Y user_wb_dat_buffers\[13\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[13\]/Y ANTENNA_user_wb_dat_buffers\[13\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[14\]/Y user_wb_dat_buffers\[14\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[14\]/Y ANTENNA_user_wb_dat_buffers\[14\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[15\]/Y user_wb_dat_buffers\[15\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[15\]/Y ANTENNA_user_wb_dat_buffers\[15\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[16\]/Y user_wb_dat_buffers\[16\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[16\]/Y ANTENNA_user_wb_dat_buffers\[16\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[17\]/Y user_wb_dat_buffers\[17\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_wb_dat_gates\[17\]/Y ANTENNA_user_wb_dat_buffers\[17\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_wb_dat_gates\[18\]/Y user_wb_dat_buffers\[18\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[18\]/Y ANTENNA_user_wb_dat_buffers\[18\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[19\]/Y user_wb_dat_buffers\[19\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[19\]/Y ANTENNA_user_wb_dat_buffers\[19\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[1\]/Y user_wb_dat_buffers\[1\]/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[1\]/Y ANTENNA_user_wb_dat_buffers\[1\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[20\]/Y user_wb_dat_buffers\[20\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_wb_dat_gates\[20\]/Y ANTENNA_user_wb_dat_buffers\[20\]_A/DIODE (0.005::0.005) (0.004::0.004)) + (INTERCONNECT user_wb_dat_gates\[21\]/Y user_wb_dat_buffers\[21\]/A (0.004::0.004) (0.003::0.003)) + (INTERCONNECT user_wb_dat_gates\[21\]/Y ANTENNA_user_wb_dat_buffers\[21\]_A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT user_wb_dat_gates\[22\]/Y user_wb_dat_buffers\[22\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_wb_dat_gates\[22\]/Y ANTENNA_user_wb_dat_buffers\[22\]_A/DIODE (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[23\]/Y user_wb_dat_buffers\[23\]/A (0.033::0.033) (0.032::0.032)) + (INTERCONNECT user_wb_dat_gates\[23\]/Y ANTENNA_user_wb_dat_buffers\[23\]_A/DIODE (0.033::0.033) (0.032::0.032)) + (INTERCONNECT user_wb_dat_gates\[24\]/Y user_wb_dat_buffers\[24\]/A (0.173::0.184) (0.173::0.176)) + (INTERCONNECT user_wb_dat_gates\[24\]/Y ANTENNA_user_wb_dat_buffers\[24\]_A/DIODE (0.173::0.184) (0.173::0.175)) + (INTERCONNECT user_wb_dat_gates\[25\]/Y user_wb_dat_buffers\[25\]/A (0.179::0.190) (0.178::0.180)) + (INTERCONNECT user_wb_dat_gates\[25\]/Y ANTENNA_user_wb_dat_buffers\[25\]_A/DIODE (0.179::0.190) (0.177::0.179)) + (INTERCONNECT user_wb_dat_gates\[26\]/Y user_wb_dat_buffers\[26\]/A (0.159::0.168) (0.157::0.161)) + (INTERCONNECT user_wb_dat_gates\[26\]/Y ANTENNA_user_wb_dat_buffers\[26\]_A/DIODE (0.159::0.168) (0.157::0.161)) + (INTERCONNECT user_wb_dat_gates\[27\]/Y user_wb_dat_buffers\[27\]/A (0.197::0.210) (0.197::0.201)) + (INTERCONNECT user_wb_dat_gates\[27\]/Y ANTENNA_user_wb_dat_buffers\[27\]_A/DIODE (0.197::0.210) (0.197::0.201)) + (INTERCONNECT user_wb_dat_gates\[28\]/Y user_wb_dat_buffers\[28\]/A (0.032::0.032) (0.032::0.032)) + (INTERCONNECT user_wb_dat_gates\[28\]/Y ANTENNA_user_wb_dat_buffers\[28\]_A/DIODE (0.032::0.032) (0.032::0.032)) + (INTERCONNECT user_wb_dat_gates\[29\]/Y user_wb_dat_buffers\[29\]/A (0.157::0.159) (0.156::0.156)) + (INTERCONNECT user_wb_dat_gates\[29\]/Y ANTENNA_user_wb_dat_buffers\[29\]_A/DIODE (0.156::0.159) (0.156::0.156)) + (INTERCONNECT user_wb_dat_gates\[2\]/Y user_wb_dat_buffers\[2\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[2\]/Y ANTENNA_user_wb_dat_buffers\[2\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[30\]/Y user_wb_dat_buffers\[30\]/A (0.093::0.094) (0.091::0.091)) + (INTERCONNECT user_wb_dat_gates\[30\]/Y ANTENNA_user_wb_dat_buffers\[30\]_A/DIODE (0.092::0.094) (0.090::0.091)) + (INTERCONNECT user_wb_dat_gates\[31\]/Y user_wb_dat_buffers\[31\]/A (0.173::0.183) (0.172::0.177)) + (INTERCONNECT user_wb_dat_gates\[31\]/Y ANTENNA_user_wb_dat_buffers\[31\]_A/DIODE (0.173::0.183) (0.172::0.177)) + (INTERCONNECT user_wb_dat_gates\[3\]/Y user_wb_dat_buffers\[3\]/A (0.005::0.005) (0.005::0.005)) + (INTERCONNECT user_wb_dat_gates\[3\]/Y ANTENNA_user_wb_dat_buffers\[3\]_A/DIODE (0.005::0.005) (0.004::0.004)) + (INTERCONNECT user_wb_dat_gates\[4\]/Y user_wb_dat_buffers\[4\]/A (0.004::0.004) (0.004::0.004)) + (INTERCONNECT user_wb_dat_gates\[4\]/Y ANTENNA_user_wb_dat_buffers\[4\]_A/DIODE (0.004::0.004) (0.003::0.003)) + (INTERCONNECT user_wb_dat_gates\[5\]/Y user_wb_dat_buffers\[5\]/A (0.003::0.003) (0.002::0.002)) + (INTERCONNECT user_wb_dat_gates\[5\]/Y ANTENNA_user_wb_dat_buffers\[5\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_wb_dat_gates\[6\]/Y user_wb_dat_buffers\[6\]/A (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_wb_dat_gates\[6\]/Y ANTENNA_user_wb_dat_buffers\[6\]_A/DIODE (0.002::0.002) (0.002::0.002)) + (INTERCONNECT user_wb_dat_gates\[7\]/Y user_wb_dat_buffers\[7\]/A (0.002::0.002) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[7\]/Y ANTENNA_user_wb_dat_buffers\[7\]_A/DIODE (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[8\]/Y user_wb_dat_buffers\[8\]/A (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_gates\[8\]/Y ANTENNA_user_wb_dat_buffers\[8\]_A/DIODE (0.003::0.003) (0.003::0.003)) + (INTERCONNECT user_wb_dat_gates\[9\]/Y user_wb_dat_buffers\[9\]/A (0.001::0.001) (0.001::0.001)) + (INTERCONNECT user_wb_dat_gates\[9\]/Y ANTENNA_user_wb_dat_buffers\[9\]_A/DIODE (0.001::0.001) (0.001::0.001)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _329_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.078::0.078) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _330_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _331_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _332_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _333_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _334_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _335_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.097) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _336_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _337_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _338_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _339_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.093) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _340_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _341_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _342_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.087::0.087) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _343_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.077::0.077) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _344_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _345_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.089) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _346_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _347_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.079::0.079) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _348_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.081::0.081) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _349_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _350_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _351_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _352_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.092) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _353_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _354_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _355_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _356_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _357_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _358_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _359_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _360_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _361_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _362_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _363_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _364_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _365_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.082::0.082) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _366_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _367_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _368_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _369_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _370_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _371_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _372_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.085) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _373_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.085) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _374_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _375_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _376_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _377_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _378_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _379_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.093) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _380_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _381_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _382_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.095) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _383_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _384_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.097) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _385_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _386_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _387_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _388_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _389_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.087::0.087) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _390_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _391_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.079::0.079) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _392_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.169) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _393_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.178::0.178) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _394_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.134) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _395_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _396_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _397_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.202::0.202) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _398_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _399_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.165::0.165) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _400_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _401_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.210::0.210) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _402_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _403_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _404_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _405_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _406_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _407_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.168::0.168) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _408_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.186::0.186) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _409_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.191::0.191) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _410_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _411_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _412_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.210::0.210) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _413_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.186::0.186) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _414_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _415_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.183::0.183) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _416_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.181::0.181) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _417_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _418_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _419_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.191::0.191) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _420_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.138::0.138) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _421_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.180::0.180) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _422_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141::0.141) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _423_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.208::0.208) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_12") + (INSTANCE _424_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.146::0.146) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _425_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _426_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.153::0.153) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _427_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.189) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE _428_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.192::0.192) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _429_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.152::0.152) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _430_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE _431_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.144::0.144) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _432_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _433_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.213::0.213) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _434_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _435_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.197::0.197) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _436_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.177::0.177) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _437_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.199::0.199) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _438_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.192::0.192) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _439_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.188::0.188) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _440_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _441_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _442_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.201::0.201) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _443_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _444_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.199::0.199) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _445_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.202::0.202) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _446_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.198::0.198) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _447_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.197::0.197) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _448_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.168::0.168) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _449_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.169) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _450_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _451_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _452_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.179::0.179) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _453_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.162) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _454_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.178::0.178) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _455_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.198::0.198) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _456_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _457_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.184::0.184) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE _458_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _459_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _460_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.189) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _461_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.184::0.184) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_4") + (INSTANCE _462_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE _463_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _464_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _465_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _466_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _467_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.097) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _468_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _469_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _470_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.100::0.100) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _471_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _472_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _473_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _474_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _475_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.094) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _476_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _477_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _478_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _479_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _480_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _481_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _482_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _483_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _484_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _485_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _486_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _487_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _488_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _489_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _490_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _491_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _492_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _493_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _494_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _495_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _496_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.157::0.157) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _497_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _498_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _499_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _500_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _501_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _502_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _503_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _504_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _505_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _506_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _507_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _508_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _509_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _510_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _511_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _512_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _513_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _514_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _515_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _516_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _517_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _518_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _519_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _520_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _521_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _522_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.133::0.133) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _523_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _524_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _525_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _526_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _527_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _528_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _529_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _530_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.138::0.138) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _531_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _532_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _533_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _534_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _535_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.093) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _536_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _537_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _538_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _539_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _540_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _541_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.094) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _542_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.097) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _543_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.081::0.081) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _544_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _545_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _546_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.103::0.103) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _547_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.094) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _548_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.089::0.089) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _549_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _550_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _551_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _552_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _553_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _554_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _555_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.132::0.132) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _556_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _557_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _558_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _559_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _560_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _561_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _562_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _563_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _564_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _565_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _566_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _567_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.090::0.090) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _568_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _569_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _570_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _571_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.079::0.079) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _572_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _573_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.087::0.087) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _574_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _575_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.057::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _576_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _577_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _578_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _579_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.099::0.099) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _580_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _581_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.097) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _582_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141::0.141) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _583_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _584_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _585_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.124::0.124) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _586_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _587_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.088::0.088) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _588_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.097::0.097) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _589_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.140::0.140) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _590_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.086) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _591_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.137::0.137) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _592_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.170::0.170) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _593_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _594_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _595_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.202::0.202) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _596_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _597_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _598_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.193::0.193) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _599_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.188::0.188) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _600_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.139::0.139) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _601_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _602_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.194::0.194) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _603_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _604_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _605_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _606_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.132::0.132)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _607_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _608_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.188::0.188) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _609_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _610_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.198::0.198) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _611_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _612_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.134) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _613_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _614_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _615_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.188::0.188) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _616_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.133::0.133) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _617_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.138::0.138) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _618_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.111::0.111) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _619_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.162) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _620_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _621_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _622_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.221::0.221) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _623_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.146::0.146) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _624_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _625_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.152::0.152) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _626_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.203::0.203) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _627_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.189::0.189) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _628_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.237::0.237) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _629_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.218::0.218) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _630_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.223::0.223) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _631_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.123::0.123) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _632_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.107) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _633_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _634_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076::0.076) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _635_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.084) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _636_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.203::0.203) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _637_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _638_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.202::0.202) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _639_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.085) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _640_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.223::0.223) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _641_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.133::0.133) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _642_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.155) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _643_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.194::0.194) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _644_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _645_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _646_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.205::0.205) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _647_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.141::0.141) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _648_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _649_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _650_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _651_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.135::0.135) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _652_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.134::0.134) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _653_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _654_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.127::0.127) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE _655_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _656_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.096::0.096) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE _657_) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.120::0.120) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input1) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input10) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input100) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input101) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input102) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input103) + (DELAY + (ABSOLUTE + (IOPATH A X (0.238::0.238) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input104) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input105) + (DELAY + (ABSOLUTE + (IOPATH A X (0.238::0.238) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input106) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input107) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input108) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input109) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input11) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input110) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input111) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input112) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input113) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input114) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input115) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input116) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input117) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input118) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input119) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input12) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input120) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input121) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input122) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input123) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input124) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input125) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input126) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input127) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input128) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input129) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input13) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input130) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input131) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input132) + (DELAY + (ABSOLUTE + (IOPATH A X (0.152::0.152) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input133) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input134) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input135) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input136) + (DELAY + (ABSOLUTE + (IOPATH A X (0.238::0.238) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input137) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input138) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input139) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input14) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input140) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input141) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input142) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input143) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input144) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input145) + (DELAY + (ABSOLUTE + (IOPATH A X (0.218::0.218) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input146) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input147) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input148) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input149) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input15) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input150) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input151) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input152) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input153) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input154) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input155) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input156) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input157) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input158) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.225) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input159) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input16) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input160) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input161) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input162) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input163) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input164) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input165) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.129::0.129)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input166) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input167) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input168) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input169) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input17) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input170) + (DELAY + (ABSOLUTE + (IOPATH A X (0.152::0.152) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input171) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input172) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input173) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input174) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input175) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input176) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input177) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input178) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input179) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input18) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input180) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input181) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input182) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input183) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input184) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input185) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input186) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.188) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input187) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input188) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input189) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input19) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input190) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input191) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input192) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input193) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input194) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input195) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input196) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input197) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input198) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input199) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input2) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input20) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input200) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input201) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input202) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input203) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input204) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input205) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input206) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input207) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input208) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input209) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input21) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input210) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input211) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input212) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input213) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input214) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input215) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input216) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input217) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input218) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input219) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input22) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input220) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input221) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input222) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input223) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input224) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input225) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input226) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input227) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input228) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input229) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input23) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.194) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input230) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input231) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input232) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input233) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input234) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input235) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input236) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input237) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input238) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input239) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input24) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input240) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input241) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input242) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input243) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input244) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input245) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input246) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input247) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input248) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input249) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input25) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input250) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input251) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input252) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input253) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input254) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input255) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input256) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input257) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input258) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input259) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input26) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input260) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input261) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input262) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input263) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input264) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input265) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.116) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input266) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input267) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input268) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input269) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input27) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input270) + (DELAY + (ABSOLUTE + (IOPATH A X (0.119::0.119) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input271) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input272) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input273) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input274) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input275) + (DELAY + (ABSOLUTE + (IOPATH A X (0.152::0.152) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input276) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input277) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input278) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input279) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input28) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input280) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input281) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input282) + (DELAY + (ABSOLUTE + (IOPATH A X (0.179::0.179) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input283) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input284) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input285) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input286) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input287) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input288) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input289) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input29) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input290) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input291) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input292) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input293) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input294) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input295) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input296) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input297) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input298) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input299) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input3) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input30) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input300) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input301) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input302) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input303) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input304) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input305) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input306) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input307) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input308) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input309) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input31) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input310) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input311) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input312) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input313) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input314) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input315) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input316) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input317) + (DELAY + (ABSOLUTE + (IOPATH A X (0.151::0.151) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input318) + (DELAY + (ABSOLUTE + (IOPATH A X (0.160::0.160) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input319) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input32) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input320) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input321) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input322) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input323) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input324) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input325) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input326) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input327) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input328) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.116) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input329) + (DELAY + (ABSOLUTE + (IOPATH A X (0.091::0.091) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input33) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input330) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input331) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input332) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input333) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input334) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input335) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input336) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input337) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input338) + (DELAY + (ABSOLUTE + (IOPATH A X (0.118::0.118) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input339) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input34) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input340) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input341) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input342) + (DELAY + (ABSOLUTE + (IOPATH A X (0.088::0.088) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input343) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input344) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input345) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input346) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input347) + (DELAY + (ABSOLUTE + (IOPATH A X (0.105::0.105) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input348) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input349) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input35) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input350) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input351) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input352) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input353) + (DELAY + (ABSOLUTE + (IOPATH A X (0.090::0.090) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input354) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input355) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input356) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input357) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.100) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input358) + (DELAY + (ABSOLUTE + (IOPATH A X (0.110::0.110) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input359) + (DELAY + (ABSOLUTE + (IOPATH A X (0.099::0.099) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input36) + (DELAY + (ABSOLUTE + (IOPATH A X (0.223::0.223) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input360) + (DELAY + (ABSOLUTE + (IOPATH A X (0.100::0.100) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input361) + (DELAY + (ABSOLUTE + (IOPATH A X (0.111::0.111) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input362) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input363) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input364) + (DELAY + (ABSOLUTE + (IOPATH A X (0.117::0.117) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input365) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input366) + (DELAY + (ABSOLUTE + (IOPATH A X (0.116::0.116) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input367) + (DELAY + (ABSOLUTE + (IOPATH A X (0.120::0.120) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input368) + (DELAY + (ABSOLUTE + (IOPATH A X (0.102::0.102) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input369) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input37) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input370) + (DELAY + (ABSOLUTE + (IOPATH A X (0.094::0.094) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input371) + (DELAY + (ABSOLUTE + (IOPATH A X (0.103::0.103) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input372) + (DELAY + (ABSOLUTE + (IOPATH A X (0.104::0.104) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input373) + (DELAY + (ABSOLUTE + (IOPATH A X (0.086::0.086) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input374) + (DELAY + (ABSOLUTE + (IOPATH A X (0.114::0.114) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input375) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input376) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input377) + (DELAY + (ABSOLUTE + (IOPATH A X (0.115::0.115) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input378) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input379) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input38) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input380) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input381) + (DELAY + (ABSOLUTE + (IOPATH A X (0.109::0.109) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input382) + (DELAY + (ABSOLUTE + (IOPATH A X (0.098::0.098) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input383) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.128::0.128)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input384) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input385) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.134::0.134)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input386) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.123::0.123)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input387) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input388) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input389) + (DELAY + (ABSOLUTE + (IOPATH A X (0.243::0.243) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input39) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input390) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input391) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input392) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input393) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input394) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input395) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input396) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input397) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input398) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input399) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input4) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input40) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input400) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input401) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input402) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input403) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input404) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input405) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input406) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input407) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input408) + (DELAY + (ABSOLUTE + (IOPATH A X (0.249::0.249) (0.217::0.217)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input409) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input41) + (DELAY + (ABSOLUTE + (IOPATH A X (0.252::0.252) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input410) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input411) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input412) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input413) + (DELAY + (ABSOLUTE + (IOPATH A X (0.240::0.240) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input414) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input415) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input416) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input417) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input418) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input419) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input42) + (DELAY + (ABSOLUTE + (IOPATH A X (0.243::0.243) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input420) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input421) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input422) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input423) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input424) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input425) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input426) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input427) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input428) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input429) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input43) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input430) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input431) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input432) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input433) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input434) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input435) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input436) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input437) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input438) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input439) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.194) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input44) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input440) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input441) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input442) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input443) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input444) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input445) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input446) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input447) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input448) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input449) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input45) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input450) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input451) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input452) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input453) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input454) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input455) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input456) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input457) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input458) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input459) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input46) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input460) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input461) + (DELAY + (ABSOLUTE + (IOPATH A X (0.218::0.218) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input462) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input463) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input464) + (DELAY + (ABSOLUTE + (IOPATH A X (0.221::0.221) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input465) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.187) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input466) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input467) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input468) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input469) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input47) + (DELAY + (ABSOLUTE + (IOPATH A X (0.252::0.252) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input470) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input471) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input472) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.205) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input473) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input474) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input475) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input476) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input477) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.206) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input478) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input479) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input48) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input480) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input481) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input482) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input483) + (DELAY + (ABSOLUTE + (IOPATH A X (0.228::0.228) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input484) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input485) + (DELAY + (ABSOLUTE + (IOPATH A X (0.227::0.227) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input486) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input487) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input488) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input489) + (DELAY + (ABSOLUTE + (IOPATH A X (0.252::0.252) (0.219::0.219)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input49) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input490) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input491) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input492) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input493) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input494) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input495) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input496) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input497) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input498) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input499) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input5) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input50) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input500) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input501) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input502) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input503) + (DELAY + (ABSOLUTE + (IOPATH A X (0.208::0.208) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input504) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input505) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.224) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input506) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input507) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input508) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input509) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input51) + (DELAY + (ABSOLUTE + (IOPATH A X (0.243::0.243) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input510) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input511) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input512) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input513) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input514) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input515) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input516) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input517) + (DELAY + (ABSOLUTE + (IOPATH A X (0.212::0.212) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input518) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input519) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input52) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input520) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input521) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input522) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input523) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.185) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input524) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input525) + (DELAY + (ABSOLUTE + (IOPATH A X (0.187::0.187) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input526) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input527) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input528) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input529) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input53) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input530) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input531) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input532) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input533) + (DELAY + (ABSOLUTE + (IOPATH A X (0.189::0.189) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input534) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input535) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.188) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input536) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input537) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.180) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input538) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input539) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input54) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.194) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input540) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input541) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input542) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input543) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input544) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input545) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input546) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input547) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input548) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input549) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input55) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input550) + (DELAY + (ABSOLUTE + (IOPATH A X (0.146::0.146) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input551) + (DELAY + (ABSOLUTE + (IOPATH A X (0.241::0.241) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input552) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input553) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input554) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input555) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input556) + (DELAY + (ABSOLUTE + (IOPATH A X (0.188::0.188) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input557) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input558) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input559) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input56) + (DELAY + (ABSOLUTE + (IOPATH A X (0.231::0.231) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input560) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE input561) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE input562) + (DELAY + (ABSOLUTE + (IOPATH A X (0.250::0.250) (0.218::0.218)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE input563) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE input564) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input565) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input566) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input567) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input568) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input569) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input57) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.213) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input570) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input571) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE input572) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.215) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input573) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input574) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE input575) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.201) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE input576) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input577) + (DELAY + (ABSOLUTE + (IOPATH A X (0.236::0.236) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE input578) + (DELAY + (ABSOLUTE + (IOPATH A X (0.251::0.251) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE input579) + (DELAY + (ABSOLUTE + (IOPATH A X (0.269::0.269) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input58) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE input580) + (DELAY + (ABSOLUTE + (IOPATH A X (0.256::0.256) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_16") + (INSTANCE input581) + (DELAY + (ABSOLUTE + (IOPATH A X (0.265::0.265) (0.227::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input582) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input583) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input584) + (DELAY + (ABSOLUTE + (IOPATH A X (0.178::0.178) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input585) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.204) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input586) + (DELAY + (ABSOLUTE + (IOPATH A X (0.220::0.220) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input587) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.190) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input588) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input589) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input59) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input590) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input591) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input592) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input593) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input594) + (DELAY + (ABSOLUTE + (IOPATH A X (0.162::0.162) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input595) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input596) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input597) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input598) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input599) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input6) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.211) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input60) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input600) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input601) + (DELAY + (ABSOLUTE + (IOPATH A X (0.166::0.166) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input602) + (DELAY + (ABSOLUTE + (IOPATH A X (0.169::0.169) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input603) + (DELAY + (ABSOLUTE + (IOPATH A X (0.172::0.172) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input604) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input605) + (DELAY + (ABSOLUTE + (IOPATH A X (0.176::0.176) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input606) + (DELAY + (ABSOLUTE + (IOPATH A X (0.173::0.173) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input607) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input608) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input609) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input61) + (DELAY + (ABSOLUTE + (IOPATH A X (0.278::0.278) (0.236::0.236)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input610) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.183) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input611) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input612) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input613) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE input614) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1") + (INSTANCE input615) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input616) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE input617) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input618) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input619) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input62) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_2") + (INSTANCE input620) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.191) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input621) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input622) + (DELAY + (ABSOLUTE + (IOPATH A X (0.126::0.126) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input623) + (DELAY + (ABSOLUTE + (IOPATH A X (0.113::0.113) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input624) + (DELAY + (ABSOLUTE + (IOPATH A X (0.112::0.112) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input625) + (DELAY + (ABSOLUTE + (IOPATH A X (0.108::0.108) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_1") + (INSTANCE input626) + (DELAY + (ABSOLUTE + (IOPATH A X (0.122::0.122) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input63) + (DELAY + (ABSOLUTE + (IOPATH A X (0.254::0.254) (0.221::0.221)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input64) + (DELAY + (ABSOLUTE + (IOPATH A X (0.268::0.268) (0.230::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input65) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.216) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input66) + (DELAY + (ABSOLUTE + (IOPATH A X (0.235::0.235) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input67) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input68) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input69) + (DELAY + (ABSOLUTE + (IOPATH A X (0.242::0.242) (0.214::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input7) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.219) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input70) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input71) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.193) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input72) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input73) + (DELAY + (ABSOLUTE + (IOPATH A X (0.218::0.218) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input74) + (DELAY + (ABSOLUTE + (IOPATH A X (0.229::0.229) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input75) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input76) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input77) + (DELAY + (ABSOLUTE + (IOPATH A X (0.246::0.246) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input78) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.194) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input79) + (DELAY + (ABSOLUTE + (IOPATH A X (0.214::0.214) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input8) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input80) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input81) + (DELAY + (ABSOLUTE + (IOPATH A X (0.267::0.267) (0.230::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input82) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input83) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.217) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input84) + (DELAY + (ABSOLUTE + (IOPATH A X (0.222::0.222) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input85) + (DELAY + (ABSOLUTE + (IOPATH A X (0.244::0.244) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input86) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.210) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input87) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.192) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input88) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input89) + (DELAY + (ABSOLUTE + (IOPATH A X (0.237::0.237) (0.197::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkbuf_4") + (INSTANCE input9) + (DELAY + (ABSOLUTE + (IOPATH A X (0.233::0.233) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input90) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input91) + (DELAY + (ABSOLUTE + (IOPATH A X (0.230::0.230) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input92) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input93) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.203::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input94) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input95) + (DELAY + (ABSOLUTE + (IOPATH A X (0.239::0.239) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input96) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input97) + (DELAY + (ABSOLUTE + (IOPATH A X (0.226::0.226) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input98) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.197) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_4") + (INSTANCE input99) + (DELAY + (ABSOLUTE + (IOPATH A X (0.209::0.209) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.183::0.183) (0.085::0.085)) + (IOPATH TE Z (0.271::0.271) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[100\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.149::0.149) (0.069::0.069)) + (IOPATH TE Z (0.208::0.208) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[101\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.155::0.155) (0.073::0.073)) + (IOPATH TE Z (0.205::0.205) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[102\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.114::0.114) (0.059::0.059)) + (IOPATH TE Z (0.183::0.183) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[103\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.136::0.136) (0.067::0.067)) + (IOPATH TE Z (0.201::0.201) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[104\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.188::0.188) (0.092::0.092)) + (IOPATH TE Z (0.267::0.267) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[105\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.186::0.186) (0.091::0.091)) + (IOPATH TE Z (0.244::0.244) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[106\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.146::0.146) (0.080::0.080)) + (IOPATH TE Z (0.241::0.241) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[107\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.137::0.137) (0.066::0.066)) + (IOPATH TE Z (0.204::0.204) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[108\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.231::0.231) (0.101::0.101)) + (IOPATH TE Z (0.309::0.309) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[109\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.132::0.132) (0.065::0.065)) + (IOPATH TE Z (0.200::0.200) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.226::0.226) (0.107::0.107)) + (IOPATH TE Z (0.318::0.318) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[110\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.102::0.102) (0.054::0.054)) + (IOPATH TE Z (0.165::0.165) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[111\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.140::0.140) (0.068::0.068)) + (IOPATH TE Z (0.236::0.236) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[112\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.103::0.103) (0.055::0.055)) + (IOPATH TE Z (0.197::0.197) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[113\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.115::0.115) (0.059::0.059)) + (IOPATH TE Z (0.181::0.181) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[114\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.208::0.208) (0.103::0.103)) + (IOPATH TE Z (0.272::0.272) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[115\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.154::0.154) (0.074::0.074)) + (IOPATH TE Z (0.221::0.221) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[116\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.193::0.193) (0.087::0.087)) + (IOPATH TE Z (0.258::0.259) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[117\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.128::0.128) (0.063::0.063)) + (IOPATH TE Z (0.194::0.194) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[118\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.162::0.162) (0.086::0.086)) + (IOPATH TE Z (0.182::0.182) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[119\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.115::0.115) (0.059::0.059)) + (IOPATH TE Z (0.195::0.195) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.198::0.198) (0.091::0.091)) + (IOPATH TE Z (0.283::0.283) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[120\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.153::0.153) (0.082::0.082)) + (IOPATH TE Z (0.207::0.207) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[121\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.159::0.159) (0.084::0.084)) + (IOPATH TE Z (0.221::0.221) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[122\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.165::0.165) (0.081::0.081)) + (IOPATH TE Z (0.230::0.230) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[123\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.133::0.133) (0.067::0.067)) + (IOPATH TE Z (0.217::0.217) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[124\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.119::0.119) (0.060::0.060)) + (IOPATH TE Z (0.185::0.185) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[125\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.177::0.177) (0.090::0.090)) + (IOPATH TE Z (0.203::0.203) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[126\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.117::0.117) (0.060::0.060)) + (IOPATH TE Z (0.185::0.185) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[127\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.139::0.139) (0.081::0.081)) + (IOPATH TE Z (0.200::0.201) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.215::0.215) (0.101::0.101)) + (IOPATH TE Z (0.290::0.290) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.205::0.205) (0.100::0.100)) + (IOPATH TE Z (0.304::0.304) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.214::0.214) (0.104::0.104)) + (IOPATH TE Z (0.311::0.311) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.192::0.192) (0.089::0.089)) + (IOPATH TE Z (0.278::0.278) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.214::0.214) (0.096::0.096)) + (IOPATH TE Z (0.298::0.298) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.219::0.219) (0.109::0.109)) + (IOPATH TE Z (0.321::0.321) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.217::0.217) (0.107::0.107)) + (IOPATH TE Z (0.315::0.315) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.198::0.198) (0.098::0.098)) + (IOPATH TE Z (0.305::0.305) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.178::0.178) (0.092::0.092)) + (IOPATH TE Z (0.277::0.277) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.206::0.206) (0.102::0.102)) + (IOPATH TE Z (0.310::0.310) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.206::0.206) (0.100::0.100)) + (IOPATH TE Z (0.301::0.301) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.175::0.175) (0.089::0.089)) + (IOPATH TE Z (0.248::0.248) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.210::0.210) (0.094::0.094)) + (IOPATH TE Z (0.291::0.291) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.223::0.223) (0.102::0.102)) + (IOPATH TE Z (0.311::0.311) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.223::0.223) (0.107::0.107)) + (IOPATH TE Z (0.319::0.319) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.218::0.218) (0.103::0.103)) + (IOPATH TE Z (0.311::0.311) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.219::0.219) (0.104::0.104)) + (IOPATH TE Z (0.301::0.301) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.204::0.204) (0.098::0.098)) + (IOPATH TE Z (0.281::0.281) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.201::0.201) (0.100::0.100)) + (IOPATH TE Z (0.314::0.314) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.180::0.180) (0.086::0.086)) + (IOPATH TE Z (0.260::0.260) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.207::0.207) (0.101::0.101)) + (IOPATH TE Z (0.300::0.300) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.203::0.203) (0.097::0.097)) + (IOPATH TE Z (0.281::0.281) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[32\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.194::0.194) (0.113::0.113)) + (IOPATH TE Z (0.281::0.281) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[33\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.207::0.207) (0.101::0.101)) + (IOPATH TE Z (0.276::0.276) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[34\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.226::0.226) (0.099::0.099)) + (IOPATH TE Z (0.309::0.309) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[35\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.224::0.224) (0.102::0.102)) + (IOPATH TE Z (0.305::0.305) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[36\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.220::0.220) (0.106::0.106)) + (IOPATH TE Z (0.314::0.314) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[37\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.246::0.246) (0.106::0.106)) + (IOPATH TE Z (0.330::0.330) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[38\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.253::0.253) (0.115::0.115)) + (IOPATH TE Z (0.338::0.338) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[39\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.240::0.240) (0.106::0.106)) + (IOPATH TE Z (0.319::0.319) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.224::0.224) (0.101::0.101)) + (IOPATH TE Z (0.310::0.310) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[40\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.217::0.217) (0.104::0.104)) + (IOPATH TE Z (0.244::0.244) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[41\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.231::0.231) (0.114::0.114)) + (IOPATH TE Z (0.334::0.334) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[42\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.193::0.193) (0.091::0.091)) + (IOPATH TE Z (0.278::0.278) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[43\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.221::0.221) (0.098::0.098)) + (IOPATH TE Z (0.311::0.311) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[44\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.235::0.235) (0.115::0.115)) + (IOPATH TE Z (0.335::0.335) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[45\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.197::0.197) (0.100::0.100)) + (IOPATH TE Z (0.294::0.294) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[46\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.237::0.237) (0.107::0.107)) + (IOPATH TE Z (0.323::0.323) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[47\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.203::0.203) (0.096::0.096)) + (IOPATH TE Z (0.285::0.285) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[48\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.259::0.259) (0.123::0.123)) + (IOPATH TE Z (0.355::0.355) (0.124::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[49\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.197::0.197) (0.102::0.102)) + (IOPATH TE Z (0.252::0.252) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.208::0.208) (0.092::0.092)) + (IOPATH TE Z (0.297::0.297) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[50\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.247::0.247) (0.117::0.117)) + (IOPATH TE Z (0.341::0.341) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[51\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.249::0.249) (0.120::0.120)) + (IOPATH TE Z (0.344::0.344) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[52\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.244::0.244) (0.117::0.117)) + (IOPATH TE Z (0.338::0.338) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[53\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.173::0.173) (0.085::0.085)) + (IOPATH TE Z (0.251::0.251) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[54\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.257::0.257) (0.122::0.122)) + (IOPATH TE Z (0.356::0.356) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[55\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.204::0.204) (0.094::0.094)) + (IOPATH TE Z (0.287::0.287) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[56\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.204::0.204) (0.093::0.093)) + (IOPATH TE Z (0.290::0.290) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[57\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.172::0.172) (0.090::0.090)) + (IOPATH TE Z (0.270::0.270) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[58\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.251::0.251) (0.120::0.120)) + (IOPATH TE Z (0.342::0.342) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[59\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.194::0.194) (0.090::0.090)) + (IOPATH TE Z (0.279::0.279) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.180::0.180) (0.095::0.095)) + (IOPATH TE Z (0.283::0.283) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[60\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.199::0.199) (0.101::0.101)) + (IOPATH TE Z (0.299::0.299) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[61\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.175::0.175) (0.083::0.083)) + (IOPATH TE Z (0.271::0.271) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[62\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.188::0.188) (0.090::0.090)) + (IOPATH TE Z (0.279::0.279) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[63\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.171::0.171) (0.081::0.081)) + (IOPATH TE Z (0.260::0.260) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[64\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.170::0.170) (0.080::0.080)) + (IOPATH TE Z (0.257::0.257) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[65\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.175::0.175) (0.091::0.091)) + (IOPATH TE Z (0.267::0.267) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[66\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.159::0.159) (0.086::0.086)) + (IOPATH TE Z (0.256::0.256) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[67\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.160::0.160) (0.086::0.086)) + (IOPATH TE Z (0.254::0.254) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[68\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.178::0.178) (0.085::0.085)) + (IOPATH TE Z (0.265::0.265) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[69\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.178::0.178) (0.092::0.092)) + (IOPATH TE Z (0.274::0.274) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.189::0.189) (0.088::0.088)) + (IOPATH TE Z (0.276::0.276) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[70\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.168::0.168) (0.079::0.079)) + (IOPATH TE Z (0.255::0.255) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[71\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.210::0.210) (0.094::0.094)) + (IOPATH TE Z (0.284::0.284) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[72\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.191::0.191) (0.092::0.092)) + (IOPATH TE Z (0.275::0.275) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[73\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.172::0.172) (0.083::0.083)) + (IOPATH TE Z (0.248::0.248) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[74\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.175::0.175) (0.083::0.083)) + (IOPATH TE Z (0.265::0.265) (0.090::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[75\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.193::0.193) (0.089::0.089)) + (IOPATH TE Z (0.264::0.264) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[76\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.139::0.139) (0.068::0.068)) + (IOPATH TE Z (0.228::0.228) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[77\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.195::0.195) (0.088::0.088)) + (IOPATH TE Z (0.262::0.262) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[78\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.126::0.126) (0.063::0.063)) + (IOPATH TE Z (0.201::0.201) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[79\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.132::0.132) (0.064::0.064)) + (IOPATH TE Z (0.196::0.196) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.236::0.236) (0.103::0.103)) + (IOPATH TE Z (0.320::0.320) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[80\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.125::0.125) (0.061::0.061)) + (IOPATH TE Z (0.192::0.192) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[81\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.125::0.125) (0.064::0.064)) + (IOPATH TE Z (0.198::0.198) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[82\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.166::0.166) (0.076::0.076)) + (IOPATH TE Z (0.242::0.242) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[83\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.140::0.140) (0.066::0.066)) + (IOPATH TE Z (0.219::0.219) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[84\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.130::0.130) (0.063::0.063)) + (IOPATH TE Z (0.206::0.206) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[85\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.136::0.136) (0.067::0.067)) + (IOPATH TE Z (0.211::0.211) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[86\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.144::0.144) (0.072::0.072)) + (IOPATH TE Z (0.226::0.226) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[87\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.163::0.163) (0.082::0.082)) + (IOPATH TE Z (0.227::0.227) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[88\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.155::0.155) (0.074::0.074)) + (IOPATH TE Z (0.238::0.238) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[89\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.196::0.196) (0.097::0.097)) + (IOPATH TE Z (0.280::0.280) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.200::0.200) (0.092::0.092)) + (IOPATH TE Z (0.296::0.296) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[90\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.198::0.198) (0.098::0.098)) + (IOPATH TE Z (0.262::0.262) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[91\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.191::0.191) (0.095::0.095)) + (IOPATH TE Z (0.261::0.261) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[92\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.204::0.204) (0.097::0.097)) + (IOPATH TE Z (0.272::0.272) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[93\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.155::0.155) (0.080::0.080)) + (IOPATH TE Z (0.235::0.235) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[94\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.234::0.234) (0.110::0.110)) + (IOPATH TE Z (0.258::0.258) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[95\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.191::0.191) (0.095::0.095)) + (IOPATH TE Z (0.245::0.245) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE la_buf\[96\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.147::0.147) (0.080::0.080)) + (IOPATH TE Z (0.212::0.212) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE la_buf\[97\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.130::0.130) (0.072::0.072)) + (IOPATH TE Z (0.182::0.182) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[98\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.191::0.191) (0.090::0.090)) + (IOPATH TE Z (0.273::0.273) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[99\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.198::0.198) (0.099::0.099)) + (IOPATH TE Z (0.280::0.280) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE la_buf\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.192::0.192) (0.096::0.096)) + (IOPATH TE Z (0.287::0.287) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.252::0.252) (0.230::0.230)) + (IOPATH B X (0.198::0.198) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[100\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.293::0.293) (0.254::0.254)) + (IOPATH B X (0.189::0.189) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[101\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.267::0.267) (0.238::0.238)) + (IOPATH B X (0.151::0.151) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[102\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.257::0.257) (0.231::0.231)) + (IOPATH B X (0.158::0.158) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[103\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.252::0.252) (0.227::0.227)) + (IOPATH B X (0.139::0.139) (0.165::0.165)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[104\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.268::0.268) (0.239::0.239)) + (IOPATH B X (0.171::0.171) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[105\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.243::0.243) (0.232::0.232)) + (IOPATH B X (0.142::0.142) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[106\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.262::0.262) (0.236::0.236)) + (IOPATH B X (0.158::0.158) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[107\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.252::0.252) (0.227::0.227)) + (IOPATH B X (0.145::0.145) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[108\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.295::0.295) (0.266::0.266)) + (IOPATH B X (0.195::0.195) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[109\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.256::0.256) (0.230::0.230)) + (IOPATH B X (0.154::0.154) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.259::0.259) (0.234::0.234)) + (IOPATH B X (0.160::0.160) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[110\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.243::0.243) (0.221::0.221)) + (IOPATH B X (0.128::0.128) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[111\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.324::0.324) (0.270::0.270)) + (IOPATH B X (0.218::0.218) (0.212::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[112\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.327::0.327) (0.284::0.284)) + (IOPATH B X (0.227::0.227) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[113\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.256::0.256) (0.231::0.231)) + (IOPATH B X (0.141::0.141) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[114\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.280::0.280) (0.245::0.245)) + (IOPATH B X (0.183::0.183) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[115\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.257::0.257) (0.231::0.231)) + (IOPATH B X (0.160::0.160) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[116\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.257::0.257) (0.231::0.231)) + (IOPATH B X (0.153::0.153) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[117\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.251::0.251) (0.227::0.227)) + (IOPATH B X (0.141::0.141) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[118\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.239::0.239) (0.219::0.219)) + (IOPATH B X (0.138::0.138) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[119\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.274::0.274) (0.241::0.241)) + (IOPATH B X (0.171::0.171) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.257::0.257) (0.233::0.233)) + (IOPATH B X (0.162::0.162) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[120\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.303::0.303) (0.258::0.258)) + (IOPATH B X (0.200::0.200) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[121\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.258::0.258) (0.243::0.243)) + (IOPATH B X (0.162::0.162) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[122\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.298::0.298) (0.267::0.267)) + (IOPATH B X (0.189::0.189) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[123\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.283::0.283) (0.245::0.245)) + (IOPATH B X (0.182::0.182) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[124\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.252::0.252) (0.229::0.229)) + (IOPATH B X (0.151::0.151) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[125\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.235::0.235) (0.215::0.215)) + (IOPATH B X (0.122::0.122) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[126\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.254::0.254) (0.229::0.229)) + (IOPATH B X (0.168::0.168) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[127\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.271::0.271) (0.252::0.252)) + (IOPATH B X (0.162::0.162) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.269::0.269) (0.240::0.240)) + (IOPATH B X (0.159::0.159) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.252::0.252) (0.237::0.237)) + (IOPATH B X (0.161::0.161) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.240::0.240) (0.219::0.219)) + (IOPATH B X (0.158::0.158) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.256::0.256) (0.240::0.240)) + (IOPATH B X (0.160::0.160) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.264::0.264) (0.237::0.237)) + (IOPATH B X (0.163::0.163) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.273::0.273) (0.251::0.251)) + (IOPATH B X (0.188::0.188) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.266::0.266) (0.238::0.238)) + (IOPATH B X (0.187::0.187) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.283::0.283) (0.249::0.249)) + (IOPATH B X (0.208::0.208) (0.244::0.244)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.258::0.258) (0.233::0.233)) + (IOPATH B X (0.176::0.176) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.278::0.278) (0.245::0.245)) + (IOPATH B X (0.172::0.172) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.258::0.258) (0.243::0.243)) + (IOPATH B X (0.176::0.176) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.260::0.260) (0.235::0.235)) + (IOPATH B X (0.163::0.163) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.260::0.260) (0.243::0.243)) + (IOPATH B X (0.160::0.160) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.259::0.259) (0.244::0.244)) + (IOPATH B X (0.160::0.160) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.262::0.262) (0.244::0.244)) + (IOPATH B X (0.177::0.177) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.249::0.249) (0.235::0.235)) + (IOPATH B X (0.154::0.154) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.267::0.267) (0.247::0.247)) + (IOPATH B X (0.163::0.163) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.262::0.262) (0.245::0.245)) + (IOPATH B X (0.158::0.158) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.294::0.294) (0.263::0.263)) + (IOPATH B X (0.190::0.190) (0.195::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.261::0.261) (0.243::0.243)) + (IOPATH B X (0.175::0.175) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.275::0.275) (0.243::0.243)) + (IOPATH B X (0.160::0.160) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.266::0.266) (0.247::0.247)) + (IOPATH B X (0.161::0.161) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[32\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.262::0.262) (0.246::0.246)) + (IOPATH B X (0.156::0.156) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[33\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.258::0.258) (0.243::0.243)) + (IOPATH B X (0.153::0.153) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[34\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.260::0.260) (0.235::0.235)) + (IOPATH B X (0.153::0.153) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[35\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.263::0.263) (0.236::0.236)) + (IOPATH B X (0.152::0.152) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[36\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.255::0.255) (0.242::0.242)) + (IOPATH B X (0.148::0.148) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[37\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.255::0.255) (0.241::0.241)) + (IOPATH B X (0.151::0.151) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[38\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.272::0.272) (0.253::0.253)) + (IOPATH B X (0.163::0.163) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[39\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.259::0.259) (0.244::0.244)) + (IOPATH B X (0.150::0.150) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.258::0.258) (0.234::0.234)) + (IOPATH B X (0.165::0.165) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[40\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.243::0.243) (0.232::0.232)) + (IOPATH B X (0.140::0.140) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[41\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.275::0.275) (0.244::0.244)) + (IOPATH B X (0.166::0.166) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[42\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.256::0.256) (0.241::0.241)) + (IOPATH B X (0.154::0.154) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[43\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.263::0.263) (0.247::0.247)) + (IOPATH B X (0.158::0.158) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[44\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.272::0.272) (0.242::0.242)) + (IOPATH B X (0.161::0.161) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[45\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.262::0.262) (0.236::0.236)) + (IOPATH B X (0.152::0.152) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[46\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.265::0.265) (0.237::0.237)) + (IOPATH B X (0.151::0.151) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[47\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.264::0.264) (0.249::0.249)) + (IOPATH B X (0.151::0.151) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[48\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.264::0.264) (0.237::0.237)) + (IOPATH B X (0.160::0.160) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[49\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.250::0.250) (0.239::0.239)) + (IOPATH B X (0.136::0.136) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.262::0.262) (0.236::0.236)) + (IOPATH B X (0.180::0.180) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[50\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.282::0.282) (0.248::0.248)) + (IOPATH B X (0.156::0.156) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[51\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.268::0.268) (0.239::0.239)) + (IOPATH B X (0.162::0.162) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[52\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.272::0.272) (0.242::0.242)) + (IOPATH B X (0.158::0.158) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[53\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.259::0.259) (0.245::0.245)) + (IOPATH B X (0.150::0.150) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[54\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.284::0.284) (0.249::0.249)) + (IOPATH B X (0.170::0.170) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[55\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.256::0.256) (0.241::0.241)) + (IOPATH B X (0.151::0.151) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[56\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.268::0.268) (0.239::0.239)) + (IOPATH B X (0.152::0.152) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[57\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.267::0.267) (0.250::0.250)) + (IOPATH B X (0.159::0.159) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[58\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.275::0.275) (0.244::0.244)) + (IOPATH B X (0.157::0.157) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[59\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.255::0.255) (0.239::0.239)) + (IOPATH B X (0.156::0.156) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.258::0.258) (0.233::0.233)) + (IOPATH B X (0.168::0.168) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[60\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.279::0.279) (0.246::0.246)) + (IOPATH B X (0.158::0.158) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[61\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.291::0.291) (0.253::0.253)) + (IOPATH B X (0.180::0.180) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[62\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.281::0.281) (0.247::0.247)) + (IOPATH B X (0.171::0.171) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[63\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.268::0.268) (0.248::0.248)) + (IOPATH B X (0.164::0.164) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[64\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.267::0.267) (0.249::0.249)) + (IOPATH B X (0.162::0.162) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[65\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.253::0.253) (0.239::0.239)) + (IOPATH B X (0.151::0.151) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[66\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.265::0.265) (0.248::0.248)) + (IOPATH B X (0.161::0.161) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[67\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.262::0.262) (0.246::0.246)) + (IOPATH B X (0.156::0.156) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[68\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.274::0.274) (0.243::0.243)) + (IOPATH B X (0.156::0.156) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[69\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.254::0.254) (0.239::0.239)) + (IOPATH B X (0.155::0.155) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.266::0.266) (0.238::0.238)) + (IOPATH B X (0.179::0.179) (0.211::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[70\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.270::0.270) (0.252::0.252)) + (IOPATH B X (0.165::0.165) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[71\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.282::0.282) (0.246::0.246)) + (IOPATH B X (0.166::0.166) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[72\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.271::0.271) (0.252::0.252)) + (IOPATH B X (0.162::0.162) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[73\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.300::0.300) (0.269::0.269)) + (IOPATH B X (0.188::0.188) (0.193::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[74\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.278::0.278) (0.257::0.257)) + (IOPATH B X (0.166::0.166) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[75\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.267::0.267) (0.247::0.247)) + (IOPATH B X (0.164::0.164) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[76\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.328::0.328) (0.275::0.275)) + (IOPATH B X (0.211::0.211) (0.208::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[77\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.261::0.261) (0.245::0.245)) + (IOPATH B X (0.152::0.152) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[78\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.278::0.278) (0.245::0.245)) + (IOPATH B X (0.168::0.168) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[79\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.250::0.250) (0.225::0.225)) + (IOPATH B X (0.148::0.148) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.259::0.259) (0.244::0.244)) + (IOPATH B X (0.172::0.172) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[80\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.255::0.255) (0.240::0.240)) + (IOPATH B X (0.160::0.160) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[81\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.276::0.276) (0.244::0.244)) + (IOPATH B X (0.178::0.178) (0.204::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[82\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.297::0.297) (0.265::0.265)) + (IOPATH B X (0.194::0.194) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[83\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.283::0.283) (0.257::0.257)) + (IOPATH B X (0.190::0.190) (0.202::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[84\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.271::0.271) (0.250::0.250)) + (IOPATH B X (0.179::0.179) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[85\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.283::0.283) (0.255::0.255)) + (IOPATH B X (0.195::0.195) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[86\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.296::0.296) (0.254::0.254)) + (IOPATH B X (0.183::0.183) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[87\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.266::0.266) (0.237::0.237)) + (IOPATH B X (0.153::0.153) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[88\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.291::0.291) (0.252::0.252)) + (IOPATH B X (0.178::0.178) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[89\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.303::0.303) (0.260::0.260)) + (IOPATH B X (0.203::0.203) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.271::0.271) (0.248::0.248)) + (IOPATH B X (0.177::0.177) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[90\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.267::0.267) (0.248::0.248)) + (IOPATH B X (0.164::0.164) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[91\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.279::0.279) (0.247::0.247)) + (IOPATH B X (0.166::0.166) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[92\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.270::0.270) (0.251::0.251)) + (IOPATH B X (0.169::0.169) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[93\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.330::0.330) (0.286::0.286)) + (IOPATH B X (0.219::0.219) (0.213::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[94\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.256::0.256) (0.242::0.242)) + (IOPATH B X (0.163::0.163) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[95\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.247::0.247) (0.225::0.225)) + (IOPATH B X (0.148::0.148) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[96\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.269::0.269) (0.239::0.239)) + (IOPATH B X (0.162::0.162) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[97\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.242::0.242) (0.220::0.220)) + (IOPATH B X (0.146::0.146) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[98\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.277::0.277) (0.245::0.245)) + (IOPATH B X (0.179::0.179) (0.191::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[99\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.288::0.288) (0.262::0.262)) + (IOPATH B X (0.179::0.179) (0.189::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2b_1") + (INSTANCE la_buf_enable\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A_N X (0.262::0.262) (0.236::0.236)) + (IOPATH B X (0.199::0.199) (0.252::0.252)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE mprj2_pwrgood) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.130::0.130)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE mprj2_vdd_pwrgood) + (DELAY + (ABSOLUTE + (IOPATH A X (0.087::0.087) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_adr_buf\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.109::0.109) (0.058::0.058)) + (IOPATH TE Z (0.142::0.142) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.628::0.628) (0.239::0.239)) + (IOPATH TE Z (0.662::0.662) (0.209::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.439::0.439) (0.205::0.205)) + (IOPATH TE Z (0.474::0.474) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.289::0.289) (0.166::0.166)) + (IOPATH TE Z (0.321::0.321) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.394::0.394) (0.200::0.200)) + (IOPATH TE Z (0.417::0.417) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.345::0.345) (0.155::0.155)) + (IOPATH TE Z (0.358::0.358) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_adr_buf\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.176::0.176) (0.109::0.109)) + (IOPATH TE Z (0.192::0.192) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_adr_buf\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.207::0.207) (0.125::0.125)) + (IOPATH TE Z (0.216::0.216) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.346::0.346) (0.179::0.179)) + (IOPATH TE Z (0.372::0.372) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_adr_buf\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.210::0.210) (0.125::0.125)) + (IOPATH TE Z (0.214::0.214) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.267::0.267) (0.152::0.152)) + (IOPATH TE Z (0.308::0.308) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_adr_buf\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.167::0.167) (0.109::0.109)) + (IOPATH TE Z (0.184::0.184) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.329::0.329) (0.139::0.139)) + (IOPATH TE Z (0.356::0.356) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.150::0.150) (0.092::0.092)) + (IOPATH TE Z (0.184::0.184) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.341::0.341) (0.147::0.147)) + (IOPATH TE Z (0.367::0.367) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.303::0.303) (0.169::0.169)) + (IOPATH TE Z (0.340::0.340) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.217::0.217) (0.128::0.128)) + (IOPATH TE Z (0.225::0.225) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.364::0.364) (0.162::0.162)) + (IOPATH TE Z (0.382::0.382) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.268::0.268) (0.153::0.153)) + (IOPATH TE Z (0.277::0.277) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.281::0.281) (0.158::0.158)) + (IOPATH TE Z (0.320::0.320) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.245::0.245) (0.144::0.144)) + (IOPATH TE Z (0.281::0.281) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.270::0.270) (0.130::0.130)) + (IOPATH TE Z (0.283::0.283) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_adr_buf\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.118::0.118) (0.060::0.060)) + (IOPATH TE Z (0.156::0.156) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.333::0.333) (0.155::0.155)) + (IOPATH TE Z (0.341::0.341) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.297::0.297) (0.133::0.133)) + (IOPATH TE Z (0.320::0.320) (0.088::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.181::0.181) (0.100::0.100)) + (IOPATH TE Z (0.192::0.192) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_adr_buf\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.107::0.107) (0.058::0.058)) + (IOPATH TE Z (0.152::0.152) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.206::0.206) (0.126::0.126)) + (IOPATH TE Z (0.248::0.248) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.335::0.335) (0.150::0.150)) + (IOPATH TE Z (0.348::0.348) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_adr_buf\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.151::0.151) (0.090::0.090)) + (IOPATH TE Z (0.149::0.149) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_adr_buf\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.164::0.164) (0.098::0.098)) + (IOPATH TE Z (0.166::0.166) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_adr_buf\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.331::0.331) (0.174::0.174)) + (IOPATH TE Z (0.355::0.355) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_clk2_buf) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.607::0.607) (0.269::0.269)) + (IOPATH TE Z (0.647::0.647) (0.210::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE mprj_clk_buf) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.111::0.111) (0.059::0.059)) + (IOPATH TE Z (0.166::0.166) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_cyc_buf) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.414::0.414) (0.208::0.208)) + (IOPATH TE Z (0.448::0.448) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.434::0.434) (0.204::0.204)) + (IOPATH TE Z (0.473::0.473) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.400::0.400) (0.177::0.177)) + (IOPATH TE Z (0.433::0.433) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.422::0.422) (0.133::0.133)) + (IOPATH TE Z (0.455::0.455) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.431::0.431) (0.220::0.220)) + (IOPATH TE Z (0.461::0.461) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.437::0.437) (0.195::0.195)) + (IOPATH TE Z (0.472::0.472) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.486::0.486) (0.201::0.201)) + (IOPATH TE Z (0.525::0.525) (0.135::0.135)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.391::0.391) (0.173::0.173)) + (IOPATH TE Z (0.427::0.427) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.354::0.354) (0.165::0.165)) + (IOPATH TE Z (0.390::0.390) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.462::0.462) (0.188::0.188)) + (IOPATH TE Z (0.466::0.466) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.369::0.369) (0.160::0.160)) + (IOPATH TE Z (0.411::0.411) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.406::0.406) (0.180::0.180)) + (IOPATH TE Z (0.448::0.448) (0.127::0.127)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.449::0.449) (0.228::0.228)) + (IOPATH TE Z (0.476::0.476) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.395::0.395) (0.173::0.173)) + (IOPATH TE Z (0.435::0.435) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.362::0.362) (0.173::0.173)) + (IOPATH TE Z (0.399::0.399) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.396::0.396) (0.183::0.183)) + (IOPATH TE Z (0.437::0.437) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.451::0.451) (0.231::0.231)) + (IOPATH TE Z (0.485::0.485) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.329::0.329) (0.159::0.159)) + (IOPATH TE Z (0.367::0.367) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.473::0.473) (0.232::0.232)) + (IOPATH TE Z (0.510::0.510) (0.161::0.161)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.359::0.359) (0.163::0.163)) + (IOPATH TE Z (0.403::0.403) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.472::0.472) (0.174::0.174)) + (IOPATH TE Z (0.504::0.504) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.502::0.502) (0.240::0.240)) + (IOPATH TE Z (0.540::0.540) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.457::0.457) (0.224::0.224)) + (IOPATH TE Z (0.496::0.496) (0.159::0.159)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.452::0.452) (0.192::0.192)) + (IOPATH TE Z (0.458::0.458) (0.133::0.133)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.510::0.510) (0.235::0.235)) + (IOPATH TE Z (0.551::0.551) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.477::0.477) (0.202::0.202)) + (IOPATH TE Z (0.503::0.503) (0.160::0.160)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.485::0.485) (0.241::0.241)) + (IOPATH TE Z (0.517::0.517) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.478::0.478) (0.233::0.233)) + (IOPATH TE Z (0.516::0.516) (0.167::0.167)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.410::0.410) (0.213::0.213)) + (IOPATH TE Z (0.443::0.443) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.470::0.470) (0.231::0.231)) + (IOPATH TE Z (0.505::0.505) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.407::0.407) (0.173::0.173)) + (IOPATH TE Z (0.443::0.443) (0.093::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.562::0.562) (0.230::0.230)) + (IOPATH TE Z (0.586::0.586) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_dat_buf\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.393::0.393) (0.184::0.184)) + (IOPATH TE Z (0.428::0.428) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_8") + (INSTANCE mprj_pwrgood) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.131::0.131)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE mprj_rstn_buf) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.088::0.088) (0.061::0.061)) + (IOPATH TE Z (0.133::0.133) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_sel_buf\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.527::0.527) (0.231::0.231)) + (IOPATH TE Z (0.566::0.566) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_sel_buf\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.490::0.490) (0.224::0.224)) + (IOPATH TE Z (0.526::0.526) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_sel_buf\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.472::0.472) (0.207::0.207)) + (IOPATH TE Z (0.511::0.511) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_sel_buf\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.607::0.607) (0.261::0.261)) + (IOPATH TE Z (0.649::0.649) (0.205::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_stb_buf) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.465::0.465) (0.193::0.193)) + (IOPATH TE Z (0.496::0.496) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_6") + (INSTANCE mprj_vdd_pwrgood) + (DELAY + (ABSOLUTE + (IOPATH A X (0.088::0.088) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE mprj_we_buf) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.565::0.565) (0.192::0.192)) + (IOPATH TE Z (0.607::0.607) (0.169::0.169)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1000) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.248) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1001) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.246) (0.163::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1002) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.248) (0.162::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1003) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.261) (0.163::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1004) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.264) (0.162::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1005) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.233) (0.162::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1006) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.262) (0.164::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1007) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.236) (0.162::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1008) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.255) (0.163::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1009) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.253) (0.162::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1010) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.242) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1011) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.210) (0.191::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1012) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.220) (0.161::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1013) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.344) (0.162::0.304)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1014) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.314) (0.162::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1015) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.279) (0.162::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1016) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.301) (0.162::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1017) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.288) (0.162::0.237)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1018) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.239) (0.162::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1019) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.251) (0.162::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1020) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.291) (0.162::0.242)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1021) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.250) (0.162::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1022) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.276) (0.162::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1023) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.236) (0.161::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1024) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.291) (0.162::0.240)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1025) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.229) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1026) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.291) (0.162::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1027) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.285) (0.162::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1028) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.246) (0.162::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1029) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.292) (0.162::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1030) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.267) (0.162::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1031) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.277) (0.162::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1032) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.271) (0.163::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1033) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.270) (0.162::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1034) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.224) (0.161::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1035) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.286) (0.163::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1036) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.280) (0.162::0.227)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1037) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.231) (0.161::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1038) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.220) (0.161::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1039) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.252) (0.161::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1040) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.289) (0.162::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1041) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.225) (0.161::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1042) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.231) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1043) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.289) (0.162::0.246)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1044) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.305) (0.161::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1045) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.214) (0.196::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1046) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.212) (0.191::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1047) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.209) (0.190::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1048) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.208) (0.187::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1049) + (DELAY + (ABSOLUTE + (IOPATH A X (0.204::0.207) (0.187::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1050) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.204) (0.185::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1051) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.207) (0.187::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1052) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.204) (0.183::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1053) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.209) (0.179::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1054) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.207) (0.187::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1055) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.207) (0.187::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1056) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.216) (0.197::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1057) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.205) (0.184::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1058) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.215) (0.197::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1059) + (DELAY + (ABSOLUTE + (IOPATH A X (0.211::0.215) (0.197::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1060) + (DELAY + (ABSOLUTE + (IOPATH A X (0.203::0.203) (0.186::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1061) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.226) (0.200::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1062) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.220) (0.206::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1063) + (DELAY + (ABSOLUTE + (IOPATH A X (0.219::0.220) (0.207::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1064) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.226) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1065) + (DELAY + (ABSOLUTE + (IOPATH A X (0.216::0.217) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1066) + (DELAY + (ABSOLUTE + (IOPATH A X (0.225::0.227) (0.201::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1067) + (DELAY + (ABSOLUTE + (IOPATH A X (0.217::0.220) (0.203::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1068) + (DELAY + (ABSOLUTE + (IOPATH A X (0.215::0.217) (0.190::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1069) + (DELAY + (ABSOLUTE + (IOPATH A X (0.224::0.225) (0.199::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1070) + (DELAY + (ABSOLUTE + (IOPATH A X (0.213::0.217) (0.199::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1071) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.210) (0.191::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1072) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.212) (0.192::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1073) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.212) (0.193::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1074) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.214) (0.196::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1075) + (DELAY + (ABSOLUTE + (IOPATH A X (0.205::0.211) (0.191::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1076) + (DELAY + (ABSOLUTE + (IOPATH A X (0.210::0.214) (0.196::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1077) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.311) (0.161::0.257)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1078) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.328) (0.163::0.297)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1079) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.333) (0.163::0.303)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1080) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.308) (0.162::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1081) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.324) (0.163::0.279)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1082) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.335) (0.162::0.299)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1083) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.323) (0.163::0.289)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1084) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.304) (0.162::0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1085) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.324) (0.163::0.282)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1086) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.312) (0.162::0.275)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1087) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.314) (0.162::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1088) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.315) (0.161::0.267)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1089) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.319) (0.162::0.283)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1090) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.303) (0.162::0.258)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1091) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.313) (0.162::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1092) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.315) (0.162::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1093) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.296) (0.162::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1094) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.321) (0.162::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1095) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.301) (0.162::0.254)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1096) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.329) (0.162::0.287)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1097) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.328) (0.162::0.276)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1098) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.316) (0.162::0.262)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1099) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.314) (0.162::0.263)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1100) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.330) (0.162::0.278)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1101) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.322) (0.163::0.265)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1102) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.322) (0.162::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1103) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.319) (0.161::0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1104) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.304) (0.161::0.255)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1105) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.318) (0.162::0.265)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1106) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.333) (0.164::0.301)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1107) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.335) (0.162::0.287)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1108) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.310) (0.162::0.269)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1109) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.331) (0.161::0.283)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1110) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.333) (0.163::0.288)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1111) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.328) (0.162::0.280)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1112) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.341) (0.161::0.301)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1113) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.316) (0.161::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1114) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.342) (0.161::0.308)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1115) + (DELAY + (ABSOLUTE + (IOPATH A X (0.234::0.234) (0.196::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1116) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.199) (0.175::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1117) + (DELAY + (ABSOLUTE + (IOPATH A X (0.286::0.286) (0.271::0.271)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1118) + (DELAY + (ABSOLUTE + (IOPATH A X (0.207::0.207) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1119) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.228) (0.163::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1120) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.340) (0.161::0.298)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1121) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.198) (0.182::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1122) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1123) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.194) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output1124) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.215) (0.163::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output627) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.248) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output628) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.232) (0.162::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output629) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.234) (0.162::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output630) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.227) (0.161::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output631) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.236) (0.162::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output632) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.243) (0.161::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output633) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.251) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output634) + (DELAY + (ABSOLUTE + (IOPATH A X (0.180::0.235) (0.161::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output635) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.237) (0.162::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output636) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.269) (0.161::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output637) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.233) (0.161::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output638) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.267) (0.162::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output639) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.221) (0.161::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output640) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.237) (0.161::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output641) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.220) (0.161::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output642) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.226) (0.161::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output643) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.256) (0.161::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output644) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.239) (0.161::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output645) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.254) (0.161::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output646) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.231) (0.161::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output647) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.232) (0.162::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output648) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.227) (0.161::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output649) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.254) (0.162::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output650) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.229) (0.161::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output651) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.241) (0.161::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output652) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.238) (0.161::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output653) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.234) (0.161::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output654) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.228) (0.162::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output655) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.238) (0.161::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output656) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.228) (0.161::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output657) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.230) (0.161::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output658) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.256) (0.162::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output659) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.263) (0.162::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output660) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.266) (0.162::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output661) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.251) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output662) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.258) (0.162::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output663) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.263) (0.162::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output664) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.263) (0.162::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output665) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.260) (0.162::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output666) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.251) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output667) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.262) (0.162::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output668) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.262) (0.162::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output669) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.239) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output670) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.256) (0.161::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output671) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.262) (0.162::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output672) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.265) (0.161::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output673) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.267) (0.162::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output674) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.258) (0.162::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output675) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.251) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output676) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.260) (0.162::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output677) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.243) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output678) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.259) (0.162::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output679) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.250) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output680) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.251) (0.162::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output681) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.250) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output682) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.264) (0.162::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output683) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.261) (0.162::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output684) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.267) (0.162::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output685) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.273) (0.162::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output686) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.270) (0.162::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output687) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.269) (0.162::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output688) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.264) (0.162::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output689) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.252) (0.162::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output690) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.269) (0.162::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output691) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.251) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output692) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.264) (0.162::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output693) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.269) (0.162::0.209)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output694) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.257) (0.162::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output695) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.266) (0.162::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output696) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.252) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output697) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.274) (0.161::0.215)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output698) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.255) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output699) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.257) (0.162::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output700) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.271) (0.161::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output701) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.272) (0.162::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output702) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.270) (0.161::0.211)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output703) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.241) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output704) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.273) (0.161::0.214)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output705) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.254) (0.162::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output706) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.256) (0.162::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output707) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.247) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output708) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.272) (0.162::0.212)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output709) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.251) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output710) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.250) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output711) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.258) (0.162::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output712) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.243) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output713) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.247) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output714) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.242) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output715) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.242) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output716) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.248) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output717) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.241) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output718) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.241) (0.162::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output719) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.245) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output720) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.249) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output721) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.251) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output722) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.240) (0.162::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output723) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.262) (0.162::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output724) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.247) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output725) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.246) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output726) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.244) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output727) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.254) (0.161::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output728) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.236) (0.162::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output729) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.255) (0.161::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output730) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.231) (0.162::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output731) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.234) (0.161::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output732) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.268) (0.162::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output733) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.229) (0.162::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output734) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.230) (0.162::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output735) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.244) (0.162::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output736) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.235) (0.162::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output737) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.232) (0.162::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output738) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.232) (0.162::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output739) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.237) (0.162::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output740) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.242) (0.162::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output741) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.243) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output742) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.245) (0.161::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output743) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.255) (0.162::0.202)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output744) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.255) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output745) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.243) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output746) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.259) (0.162::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output747) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.237) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output748) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.256) (0.162::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output749) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.252) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output750) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.235) (0.162::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output751) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.230) (0.162::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output752) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.245) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output753) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.247) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output754) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.255) (0.162::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output755) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output756) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.193) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output757) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output758) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.195) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output759) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output760) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output761) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output762) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.199) (0.173::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output763) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output764) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.199) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output765) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output766) + (DELAY + (ABSOLUTE + (IOPATH A X (0.206::0.207) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output767) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output768) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output769) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output770) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.200) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output771) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.180::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output772) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.196) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output773) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output774) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.194) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output775) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.177::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output776) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.202) (0.187::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output777) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.202) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output778) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.201) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output779) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output780) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output781) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.193) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output782) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output783) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.178::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output784) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.180::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output785) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.177::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output786) + (DELAY + (ABSOLUTE + (IOPATH A X (0.200::0.201) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output787) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.179::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output788) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output789) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.199) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output790) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output791) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.197) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output792) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output793) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output794) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output795) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.197) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output796) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output797) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.197) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output798) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output799) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.200) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output800) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output801) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output802) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.195) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output803) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output804) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.180::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output805) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.193) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output806) + (DELAY + (ABSOLUTE + (IOPATH A X (0.202::0.203) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output807) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.199) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output808) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output809) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output810) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output811) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.200) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output812) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output813) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.181::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output814) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output815) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.198) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output816) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output817) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.181::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output818) + (DELAY + (ABSOLUTE + (IOPATH A X (0.199::0.200) (0.183::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output819) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output820) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output821) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.178::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output822) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output823) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.176::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output824) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.194) (0.170::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output825) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.176::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output826) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output827) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.193) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output828) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output829) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output830) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.198) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output831) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.177::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output832) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.198) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output833) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output834) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output835) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.193) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output836) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.178::0.178)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output837) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.194) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output838) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.193) (0.175::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output839) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.195) (0.172::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output840) + (DELAY + (ABSOLUTE + (IOPATH A X (0.190::0.193) (0.170::0.170)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output841) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output842) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.194) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output843) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.195) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output844) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.194) (0.171::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output845) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.198) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output846) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output847) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.197) (0.172::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output848) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.194) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output849) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output850) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output851) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.198) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output852) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.198) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output853) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output854) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output855) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output856) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.195) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output857) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output858) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.194) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output859) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.195) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output860) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.176::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output861) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.177::0.177)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output862) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output863) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.195) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output864) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.194) (0.174::0.175)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output865) + (DELAY + (ABSOLUTE + (IOPATH A X (0.191::0.194) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output866) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.194) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output867) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.195) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output868) + (DELAY + (ABSOLUTE + (IOPATH A X (0.192::0.194) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output869) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.200) (0.174::0.174)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output870) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.199) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output871) + (DELAY + (ABSOLUTE + (IOPATH A X (0.197::0.198) (0.182::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output872) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.197) (0.178::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output873) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output874) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.198) (0.180::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output875) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.198) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output876) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.195) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output877) + (DELAY + (ABSOLUTE + (IOPATH A X (0.193::0.194) (0.171::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output878) + (DELAY + (ABSOLUTE + (IOPATH A X (0.194::0.196) (0.178::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output879) + (DELAY + (ABSOLUTE + (IOPATH A X (0.196::0.197) (0.179::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output880) + (DELAY + (ABSOLUTE + (IOPATH A X (0.198::0.199) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output881) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.196) (0.179::0.179)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output882) + (DELAY + (ABSOLUTE + (IOPATH A X (0.201::0.203) (0.179::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output883) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.242) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output884) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.236) (0.162::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output885) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.241) (0.162::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output886) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.242) (0.162::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output887) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.230) (0.162::0.182)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output888) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.259) (0.162::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output889) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.250) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output890) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.232) (0.162::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output891) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.228) (0.162::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output892) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.238) (0.162::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output893) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.235) (0.162::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output894) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.230) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output895) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.238) (0.162::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output896) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.232) (0.162::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output897) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.238) (0.162::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output898) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.237) (0.162::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output899) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.223) (0.162::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output900) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.225) (0.162::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output901) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.227) (0.163::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output902) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.228) (0.162::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output903) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.235) (0.162::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output904) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.227) (0.161::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output905) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.267) (0.162::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output906) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.224) (0.161::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output907) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.238) (0.162::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output908) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.242) (0.162::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output909) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.237) (0.162::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output910) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.239) (0.161::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output911) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.227) (0.162::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output912) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.245) (0.161::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output913) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.242) (0.161::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output914) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.262) (0.162::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output915) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.230) (0.162::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output916) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.261) (0.162::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output917) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.235) (0.162::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output918) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.240) (0.162::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output919) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.240) (0.162::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output920) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.247) (0.162::0.206)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output921) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.230) (0.162::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output922) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.248) (0.164::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output923) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.233) (0.162::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output924) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.251) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output925) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.234) (0.162::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output926) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.235) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output927) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.244) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output928) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.238) (0.162::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output929) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.261) (0.162::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output930) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.235) (0.162::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output931) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.252) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output932) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.244) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output933) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.254) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output934) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.236) (0.162::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output935) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.242) (0.162::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output936) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.248) (0.163::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output937) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.239) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output938) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.236) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output939) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.250) (0.163::0.205)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output940) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.226) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output941) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.253) (0.163::0.213)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output942) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.248) (0.162::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output943) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.277) (0.163::0.216)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output944) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.249) (0.163::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output945) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.243) (0.162::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output946) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.247) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output947) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.246) (0.162::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output948) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.226) (0.162::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output949) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.226) (0.162::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output950) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.254) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output951) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.230) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output952) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.231) (0.162::0.183)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output953) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.239) (0.162::0.204)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output954) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.244) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output955) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.246) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output956) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.245) (0.162::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output957) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.250) (0.162::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output958) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.243) (0.162::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output959) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.242) (0.162::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output960) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.234) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output961) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.241) (0.162::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output962) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.253) (0.162::0.199)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output963) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.229) (0.163::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output964) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.268) (0.162::0.208)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output965) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.254) (0.163::0.201)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output966) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.274) (0.163::0.210)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output967) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.264) (0.162::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output968) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.259) (0.162::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output969) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.265) (0.164::0.207)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output970) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.239) (0.162::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output971) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.256) (0.163::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output972) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.250) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output973) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.234) (0.163::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output974) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.226) (0.162::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output975) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.246) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output976) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.255) (0.164::0.200)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output977) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.239) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output978) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.253) (0.162::0.197)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output979) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.249) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output980) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.253) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output981) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.252) (0.162::0.196)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output982) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.247) (0.162::0.195)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output983) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.258) (0.162::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output984) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.243) (0.163::0.191)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output985) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.247) (0.162::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output986) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.236) (0.162::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output987) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.239) (0.162::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output988) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.245) (0.162::0.203)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output989) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.226) (0.162::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output990) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.239) (0.163::0.187)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output991) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.226) (0.162::0.180)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output992) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.247) (0.162::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output993) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.240) (0.162::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output994) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.248) (0.162::0.193)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output995) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.246) (0.164::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output996) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.240) (0.163::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output997) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.239) (0.163::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output998) + (DELAY + (ABSOLUTE + (IOPATH A X (0.185::0.242) (0.163::0.189)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_2") + (INSTANCE output999) + (DELAY + (ABSOLUTE + (IOPATH A X (0.183::0.241) (0.162::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__buf_12") + (INSTANCE repeater1125) + (DELAY + (ABSOLUTE + (IOPATH A X (0.299::0.299) (0.266::0.266)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_irq_buffers\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.072) (0.076::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_irq_buffers\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.069) (0.070::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_irq_buffers\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.066) (0.066::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_irq_ena_buf\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.147::0.147)) + (IOPATH B X (0.140::0.140) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_irq_ena_buf\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.140::0.140)) + (IOPATH B X (0.132::0.132) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_irq_ena_buf\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.138::0.138) (0.140::0.140)) + (IOPATH B X (0.119::0.119) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_irq_gates\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.121::0.121) (0.112::0.112)) + (IOPATH B Y (0.122::0.122) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_irq_gates\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.113::0.113)) + (IOPATH B Y (0.126::0.126) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_irq_gates\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.125::0.125) (0.110::0.110)) + (IOPATH B Y (0.128::0.129) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.066) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[100\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.051::0.059) (0.036::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[101\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.067) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[102\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.070) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[103\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.061) (0.054::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[104\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.070) (0.062::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[105\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.067) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[106\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.067) (0.042::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[107\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.068) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[108\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.063::0.070) (0.044::0.045)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[109\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.064) (0.057::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_to_mprj_in_buffers\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.094::0.104) (0.058::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[110\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.064) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[111\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.063) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[112\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.063::0.067) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[113\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.084::0.086) (0.087::0.088)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[114\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.075) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[115\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.071) (0.065::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[116\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.069) (0.063::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[117\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.051::0.057) (0.035::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[118\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.063::0.068) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[119\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.087::0.089) (0.084::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_to_mprj_in_buffers\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.095) (0.053::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_to_mprj_in_buffers\[120\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.081::0.084) (0.048::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[121\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.069) (0.063::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[122\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.058) (0.037::0.038)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[123\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.064) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[124\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.072) (0.066::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[125\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.063::0.064) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[126\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.072) (0.068::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[127\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.061) (0.056::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_to_mprj_in_buffers\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.077::0.085) (0.080::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.069) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.070) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.072::0.078) (0.073::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.073) (0.069::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.073) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.072::0.080) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.071::0.078) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.064) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.074) (0.067::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.074) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.072) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.071) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.076) (0.071::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.076::0.081) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.069) (0.064::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.065) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.073) (0.069::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.071) (0.070::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.062) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.077) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.072::0.077) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[32\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.070) (0.064::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[33\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.067) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[34\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.063::0.070) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[35\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073::0.080) (0.076::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[36\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.076) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[37\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.076) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[38\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.074::0.080) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[39\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.069::0.075) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.063) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[40\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.075::0.079) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[41\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073::0.080) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[42\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.073) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[43\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.070::0.076) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[44\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.070) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[45\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.065) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[46\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.062) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[47\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.052::0.061) (0.037::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[48\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.062) (0.056::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[49\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.069) (0.062::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.061) (0.057::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[50\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.071) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[51\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.062) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[52\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.067) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[53\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.060::0.066) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[54\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.062::0.070) (0.062::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[55\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.060::0.068) (0.060::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[56\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.064) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[57\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.063) (0.054::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[58\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.064::0.070) (0.060::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[59\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.050::0.058) (0.035::0.035)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.058) (0.053::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[60\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.061::0.068) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[61\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.050::0.060) (0.034::0.034)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[62\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.065) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[63\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.052::0.061) (0.036::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[64\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.063) (0.053::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[65\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.066) (0.038::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[66\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.070) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE user_to_mprj_in_buffers\[67\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.049::0.059) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[68\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.066) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[69\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.065) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.053::0.059) (0.054::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[70\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.063) (0.038::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[71\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.068) (0.041::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[72\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.066) (0.039::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[73\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.060::0.066) (0.042::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE user_to_mprj_in_buffers\[74\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.063) (0.060::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE user_to_mprj_in_buffers\[75\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.064) (0.060::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[76\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.067) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[77\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.062) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[78\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.065) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[79\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.058::0.064) (0.057::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.064) (0.060::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[80\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.064) (0.056::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[81\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.065) (0.038::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[82\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.054::0.065) (0.038::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE user_to_mprj_in_buffers\[83\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.048::0.057) (0.053::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_2") + (INSTANCE user_to_mprj_in_buffers\[84\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.045::0.054) (0.049::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[85\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.052::0.060) (0.036::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[86\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.052::0.063) (0.036::0.037)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[87\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.062) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[88\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.056::0.065) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[89\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.076) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.073::0.076) (0.074::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[90\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.059::0.067) (0.061::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[91\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.068::0.073) (0.068::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[92\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.072) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[93\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.057::0.066) (0.040::0.041)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[94\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.055::0.063) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE user_to_mprj_in_buffers\[95\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.052::0.059) (0.035::0.036)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[96\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.069) (0.062::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[97\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.065::0.070) (0.063::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[98\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.067::0.074) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_4") + (INSTANCE user_to_mprj_in_buffers\[99\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.066::0.070) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_to_mprj_in_buffers\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.100) (0.051::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.142::0.142)) + (IOPATH B X (0.122::0.122) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[100\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.137::0.137)) + (IOPATH B X (0.113::0.113) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[101\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.153::0.153)) + (IOPATH B X (0.113::0.113) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[102\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.152::0.152) (0.151::0.151)) + (IOPATH B X (0.112::0.112) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[103\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.143::0.143)) + (IOPATH B X (0.111::0.111) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[104\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.142::0.142)) + (IOPATH B X (0.115::0.115) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[105\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.161::0.161)) + (IOPATH B X (0.123::0.123) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[106\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.142::0.142)) + (IOPATH B X (0.115::0.115) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[107\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.148::0.148)) + (IOPATH B X (0.116::0.116) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[108\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.144::0.144)) + (IOPATH B X (0.118::0.118) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[109\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.144::0.144)) + (IOPATH B X (0.123::0.123) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.168::0.168)) + (IOPATH B X (0.127::0.127) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[110\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.145::0.145)) + (IOPATH B X (0.114::0.114) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[111\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.138::0.138)) + (IOPATH B X (0.116::0.116) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[112\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.150::0.150)) + (IOPATH B X (0.124::0.124) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[113\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.195::0.195) (0.178::0.178)) + (IOPATH B X (0.158::0.158) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[114\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.169::0.169)) + (IOPATH B X (0.146::0.146) (0.162::0.162)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[115\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.152::0.152)) + (IOPATH B X (0.123::0.123) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[116\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.154::0.154)) + (IOPATH B X (0.129::0.129) (0.151::0.151)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[117\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.138::0.138)) + (IOPATH B X (0.116::0.116) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[118\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.144::0.144)) + (IOPATH B X (0.121::0.121) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[119\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.245::0.245) (0.207::0.207)) + (IOPATH B X (0.205::0.205) (0.198::0.198)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.167::0.167)) + (IOPATH B X (0.134::0.134) (0.168::0.168)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[120\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.232::0.232) (0.197::0.197)) + (IOPATH B X (0.197::0.197) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[121\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.153::0.153)) + (IOPATH B X (0.128::0.128) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[122\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.135::0.135)) + (IOPATH B X (0.110::0.110) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[123\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.141::0.141)) + (IOPATH B X (0.127::0.127) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[124\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.152::0.152) (0.149::0.149)) + (IOPATH B X (0.117::0.117) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[125\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.151::0.151)) + (IOPATH B X (0.119::0.119) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[126\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.153::0.153)) + (IOPATH B X (0.122::0.122) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[127\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.144::0.144)) + (IOPATH B X (0.116::0.116) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.166::0.166)) + (IOPATH B X (0.133::0.133) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.147::0.147)) + (IOPATH B X (0.133::0.133) (0.171::0.171)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.151::0.151)) + (IOPATH B X (0.117::0.117) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.165::0.165) (0.163::0.163)) + (IOPATH B X (0.126::0.126) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.150::0.150)) + (IOPATH B X (0.127::0.127) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.155::0.155)) + (IOPATH B X (0.128::0.128) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.152::0.152)) + (IOPATH B X (0.118::0.118) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.154::0.154)) + (IOPATH B X (0.113::0.113) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.147::0.147) (0.146::0.146)) + (IOPATH B X (0.131::0.131) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.150::0.150)) + (IOPATH B X (0.122::0.122) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.154::0.154) (0.151::0.151)) + (IOPATH B X (0.120::0.120) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.155::0.155)) + (IOPATH B X (0.122::0.122) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.147::0.147)) + (IOPATH B X (0.111::0.111) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.149::0.149)) + (IOPATH B X (0.120::0.120) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.151::0.151)) + (IOPATH B X (0.124::0.124) (0.149::0.149)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.174::0.174) (0.166::0.166)) + (IOPATH B X (0.132::0.132) (0.153::0.153)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.164::0.164)) + (IOPATH B X (0.130::0.130) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.173::0.173)) + (IOPATH B X (0.130::0.130) (0.152::0.152)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.182::0.182) (0.172::0.172)) + (IOPATH B X (0.139::0.139) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.148::0.148)) + (IOPATH B X (0.123::0.123) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.175::0.175) (0.168::0.168)) + (IOPATH B X (0.132::0.132) (0.154::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.156::0.156)) + (IOPATH B X (0.119::0.119) (0.146::0.146)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[32\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.148::0.148)) + (IOPATH B X (0.112::0.112) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[33\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.141::0.141)) + (IOPATH B X (0.111::0.111) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[34\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.148::0.148)) + (IOPATH B X (0.114::0.114) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[35\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.167::0.167) (0.162::0.162)) + (IOPATH B X (0.122::0.122) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[36\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.150::0.150) (0.149::0.149)) + (IOPATH B X (0.114::0.114) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[37\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.159::0.159)) + (IOPATH B X (0.120::0.120) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[38\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.160::0.160)) + (IOPATH B X (0.111::0.111) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[39\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.158::0.158) (0.155::0.155)) + (IOPATH B X (0.115::0.115) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.139::0.139)) + (IOPATH B X (0.130::0.130) (0.164::0.164)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[40\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.155::0.155) (0.154::0.154)) + (IOPATH B X (0.113::0.113) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[41\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.156::0.156) (0.154::0.154)) + (IOPATH B X (0.117::0.117) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[42\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.149::0.149)) + (IOPATH B X (0.112::0.112) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[43\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.161::0.161) (0.159::0.159)) + (IOPATH B X (0.113::0.113) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[44\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.145::0.145)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[45\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.144::0.144)) + (IOPATH B X (0.112::0.112) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[46\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.139::0.139)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[47\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.121::0.121) (0.131::0.131)) + (IOPATH B X (0.109::0.109) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[48\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.171::0.171) (0.165::0.165)) + (IOPATH B X (0.125::0.125) (0.147::0.147)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[49\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.142::0.142)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.172::0.172)) + (IOPATH B X (0.148::0.148) (0.173::0.173)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[50\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.148::0.148) (0.148::0.148)) + (IOPATH B X (0.109::0.109) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[51\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.140::0.140)) + (IOPATH B X (0.109::0.109) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[52\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.144::0.144) (0.144::0.144)) + (IOPATH B X (0.113::0.113) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[53\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.169::0.169)) + (IOPATH B X (0.128::0.128) (0.150::0.150)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[54\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.139::0.139) (0.141::0.141)) + (IOPATH B X (0.113::0.113) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[55\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.139::0.139)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[56\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.186::0.186) (0.178::0.178)) + (IOPATH B X (0.126::0.126) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[57\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.139::0.139)) + (IOPATH B X (0.108::0.108) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[58\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.143::0.143) (0.143::0.143)) + (IOPATH B X (0.114::0.114) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[59\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.123::0.123) (0.132::0.132)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.164::0.164)) + (IOPATH B X (0.134::0.134) (0.158::0.158)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[60\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.137::0.137)) + (IOPATH B X (0.109::0.109) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[61\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.137::0.137)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[62\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.138::0.138)) + (IOPATH B X (0.109::0.109) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[63\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.136::0.136)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[64\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.136::0.136)) + (IOPATH B X (0.109::0.109) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[65\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.142::0.142)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[66\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.136::0.136)) + (IOPATH B X (0.112::0.112) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[67\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.127::0.127) (0.134::0.134)) + (IOPATH B X (0.108::0.108) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[68\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.132::0.132)) + (IOPATH B X (0.107::0.107) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[69\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.138::0.138)) + (IOPATH B X (0.120::0.120) (0.144::0.144)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.168::0.168) (0.161::0.161)) + (IOPATH B X (0.132::0.132) (0.156::0.156)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[70\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.139::0.139)) + (IOPATH B X (0.111::0.111) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[71\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.135::0.135)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[72\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.125::0.125) (0.133::0.133)) + (IOPATH B X (0.108::0.108) (0.136::0.136)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[73\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.136::0.136)) + (IOPATH B X (0.108::0.108) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[74\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.135::0.135)) + (IOPATH B X (0.112::0.112) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[75\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.135::0.135)) + (IOPATH B X (0.111::0.111) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[76\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.137::0.137)) + (IOPATH B X (0.108::0.108) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[77\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.149::0.149) (0.148::0.148)) + (IOPATH B X (0.125::0.125) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[78\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.159::0.159) (0.153::0.153)) + (IOPATH B X (0.126::0.126) (0.148::0.148)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[79\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.142::0.142)) + (IOPATH B X (0.115::0.115) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.177::0.177) (0.171::0.171)) + (IOPATH B X (0.143::0.143) (0.172::0.172)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[80\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.140::0.140) (0.142::0.142)) + (IOPATH B X (0.115::0.115) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[81\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.137::0.137) (0.140::0.140)) + (IOPATH B X (0.110::0.110) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[82\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.130::0.130) (0.136::0.136)) + (IOPATH B X (0.111::0.111) (0.139::0.139)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[83\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.128::0.128) (0.135::0.135)) + (IOPATH B X (0.113::0.113) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[84\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.129::0.129) (0.135::0.135)) + (IOPATH B X (0.113::0.113) (0.140::0.140)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[85\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.132::0.132) (0.138::0.138)) + (IOPATH B X (0.114::0.114) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[86\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.135::0.135) (0.139::0.139)) + (IOPATH B X (0.116::0.116) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[87\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.131::0.131) (0.137::0.137)) + (IOPATH B X (0.120::0.120) (0.145::0.145)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[88\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.141::0.141) (0.143::0.143)) + (IOPATH B X (0.117::0.117) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[89\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.160::0.160)) + (IOPATH B X (0.118::0.118) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.170::0.170) (0.177::0.177)) + (IOPATH B X (0.134::0.134) (0.157::0.157)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[90\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.133::0.133) (0.137::0.137)) + (IOPATH B X (0.108::0.108) (0.137::0.137)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[91\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.184::0.184) (0.169::0.169)) + (IOPATH B X (0.155::0.155) (0.166::0.166)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[92\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.145::0.145) (0.145::0.145)) + (IOPATH B X (0.109::0.109) (0.138::0.138)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[93\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.142::0.142) (0.143::0.143)) + (IOPATH B X (0.116::0.116) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[94\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.136::0.136) (0.140::0.140)) + (IOPATH B X (0.114::0.114) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[95\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.134::0.134) (0.138::0.138)) + (IOPATH B X (0.118::0.118) (0.143::0.143)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[96\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.163::0.163) (0.159::0.159)) + (IOPATH B X (0.116::0.116) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[97\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.157::0.157) (0.154::0.154)) + (IOPATH B X (0.115::0.115) (0.142::0.142)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[98\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.164::0.164) (0.162::0.162)) + (IOPATH B X (0.114::0.114) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[99\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.153::0.153) (0.152::0.152)) + (IOPATH B X (0.113::0.113) (0.141::0.141)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_1") + (INSTANCE user_to_mprj_in_ena_buf\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A X (0.181::0.181) (0.189::0.189)) + (IOPATH B X (0.134::0.134) (0.155::0.155)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.150::0.150)) + (IOPATH B Y (0.136::0.137) (0.109::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[100\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.104) (0.104::0.104)) + (IOPATH B Y (0.086::0.087) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[101\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.145::0.145)) + (IOPATH B Y (0.129::0.130) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[102\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.148::0.148)) + (IOPATH B Y (0.131::0.131) (0.105::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[103\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.141::0.141)) + (IOPATH B Y (0.127::0.127) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[104\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.151::0.151)) + (IOPATH B Y (0.140::0.141) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[105\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.156::0.156) (0.148::0.148)) + (IOPATH B Y (0.139::0.140) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[106\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.105::0.105)) + (IOPATH B Y (0.088::0.089) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[107\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.157::0.157) (0.150::0.150)) + (IOPATH B Y (0.137::0.137) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[108\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.131::0.131) (0.119::0.119)) + (IOPATH B Y (0.101::0.102) (0.087::0.087)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[109\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.144::0.144)) + (IOPATH B Y (0.138::0.138) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.141::0.141)) + (IOPATH B Y (0.129::0.130) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[110\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.137::0.137)) + (IOPATH B Y (0.128::0.129) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[111\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.106) (0.106::0.106)) + (IOPATH B Y (0.088::0.088) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[112\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.138::0.138)) + (IOPATH B Y (0.135::0.136) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[113\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.155) (0.132::0.132)) + (IOPATH B Y (0.145::0.145) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[114\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.143::0.143)) + (IOPATH B Y (0.147::0.148) (0.125::0.125)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[115\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.163::0.163) (0.139::0.139)) + (IOPATH B Y (0.141::0.142) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[116\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.163::0.163) (0.142::0.142)) + (IOPATH B Y (0.140::0.141) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[117\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.105) (0.104::0.104)) + (IOPATH B Y (0.092::0.092) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[118\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.145::0.145)) + (IOPATH B Y (0.137::0.138) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[119\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.165::0.165) (0.157::0.157)) + (IOPATH B Y (0.171::0.172) (0.153::0.154)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.166::0.166) (0.142::0.142)) + (IOPATH B Y (0.147::0.147) (0.120::0.120)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[120\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.121::0.121)) + (IOPATH B Y (0.136::0.137) (0.121::0.121)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[121\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.140::0.140)) + (IOPATH B Y (0.137::0.137) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[122\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.102) (0.099::0.099)) + (IOPATH B Y (0.088::0.089) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[123\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.168::0.168) (0.146::0.146)) + (IOPATH B Y (0.138::0.138) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[124\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.165::0.165) (0.144::0.144)) + (IOPATH B Y (0.135::0.135) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[125\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.121::0.121)) + (IOPATH B Y (0.128::0.129) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[126\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.134::0.134)) + (IOPATH B Y (0.143::0.143) (0.117::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[127\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.155) (0.132::0.132)) + (IOPATH B Y (0.132::0.133) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.136::0.136)) + (IOPATH B Y (0.134::0.135) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.130::0.130)) + (IOPATH B Y (0.120::0.120) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.130::0.130)) + (IOPATH B Y (0.119::0.120) (0.096::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.145::0.145)) + (IOPATH B Y (0.134::0.135) (0.109::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.136::0.136)) + (IOPATH B Y (0.124::0.124) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.167::0.167) (0.146::0.146)) + (IOPATH B Y (0.131::0.132) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.151::0.151)) + (IOPATH B Y (0.130::0.130) (0.105::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.152::0.152)) + (IOPATH B Y (0.135::0.135) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.137::0.137)) + (IOPATH B Y (0.132::0.133) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.151::0.151)) + (IOPATH B Y (0.135::0.136) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.138::0.138)) + (IOPATH B Y (0.127::0.128) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.152::0.152)) + (IOPATH B Y (0.135::0.136) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.152::0.152)) + (IOPATH B Y (0.133::0.133) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.143::0.143)) + (IOPATH B Y (0.129::0.129) (0.104::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.152::0.152)) + (IOPATH B Y (0.141::0.142) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.146::0.146) (0.124::0.124)) + (IOPATH B Y (0.117::0.118) (0.097::0.097)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.124::0.124)) + (IOPATH B Y (0.116::0.116) (0.096::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.127::0.127)) + (IOPATH B Y (0.123::0.123) (0.101::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.142::0.142) (0.120::0.120)) + (IOPATH B Y (0.128::0.128) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.153::0.153) (0.142::0.142)) + (IOPATH B Y (0.139::0.139) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.160::0.160) (0.137::0.137)) + (IOPATH B Y (0.146::0.147) (0.121::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.168::0.168) (0.147::0.147)) + (IOPATH B Y (0.136::0.137) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[32\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.143::0.143)) + (IOPATH B Y (0.128::0.129) (0.103::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[33\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.153::0.153) (0.147::0.147)) + (IOPATH B Y (0.131::0.132) (0.105::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[34\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.145::0.145) (0.142::0.142)) + (IOPATH B Y (0.123::0.123) (0.098::0.098)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[35\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.165::0.165) (0.144::0.144)) + (IOPATH B Y (0.131::0.131) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[36\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.196::0.196) (0.175::0.175)) + (IOPATH B Y (0.147::0.148) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[37\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.162::0.162) (0.142::0.142)) + (IOPATH B Y (0.133::0.133) (0.108::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[38\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.180::0.180) (0.159::0.159)) + (IOPATH B Y (0.141::0.142) (0.114::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[39\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.188::0.188) (0.168::0.168)) + (IOPATH B Y (0.144::0.144) (0.116::0.117)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.152::0.152)) + (IOPATH B Y (0.136::0.136) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[40\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.180::0.180) (0.157::0.157)) + (IOPATH B Y (0.146::0.147) (0.118::0.118)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[41\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.151::0.151)) + (IOPATH B Y (0.134::0.135) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[42\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.188::0.188) (0.164::0.164)) + (IOPATH B Y (0.151::0.152) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[43\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.168::0.168) (0.148::0.148)) + (IOPATH B Y (0.135::0.135) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[44\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.183::0.183) (0.161::0.161)) + (IOPATH B Y (0.151::0.151) (0.122::0.122)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[45\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.138::0.138)) + (IOPATH B Y (0.132::0.133) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[46\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.156::0.156) (0.147::0.147)) + (IOPATH B Y (0.139::0.139) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[47\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.101::0.101) (0.102::0.102)) + (IOPATH B Y (0.082::0.083) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[48\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.127::0.127)) + (IOPATH B Y (0.124::0.124) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[49\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.151::0.151) (0.143::0.143)) + (IOPATH B Y (0.136::0.137) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.115::0.115)) + (IOPATH B Y (0.121::0.121) (0.100::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[50\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.172::0.172) (0.170::0.170)) + (IOPATH B Y (0.144::0.144) (0.116::0.116)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[51\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.136::0.136) (0.128::0.128)) + (IOPATH B Y (0.124::0.124) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[52\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.152::0.152) (0.146::0.146)) + (IOPATH B Y (0.135::0.135) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[53\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.140::0.140)) + (IOPATH B Y (0.134::0.134) (0.110::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[54\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.152::0.152)) + (IOPATH B Y (0.127::0.128) (0.103::0.103)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[55\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.148::0.148)) + (IOPATH B Y (0.124::0.125) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[56\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.153::0.153) (0.130::0.130)) + (IOPATH B Y (0.131::0.132) (0.108::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[57\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.155) (0.150::0.150)) + (IOPATH B Y (0.134::0.135) (0.108::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[58\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.164::0.164) (0.162::0.162)) + (IOPATH B Y (0.139::0.140) (0.113::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[59\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.108::0.108) (0.109::0.109)) + (IOPATH B Y (0.089::0.089) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.129::0.129) (0.120::0.120)) + (IOPATH B Y (0.120::0.121) (0.099::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[60\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.128::0.128) (0.132::0.132)) + (IOPATH B Y (0.107::0.108) (0.091::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[61\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.121::0.121)) + (IOPATH B Y (0.092::0.093) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[62\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.146::0.146)) + (IOPATH B Y (0.125::0.126) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[63\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.120::0.120)) + (IOPATH B Y (0.095::0.096) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[64\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.159::0.159) (0.157::0.157)) + (IOPATH B Y (0.134::0.134) (0.107::0.107)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[65\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.130::0.130) (0.137::0.137)) + (IOPATH B Y (0.105::0.106) (0.089::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[66\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.115::0.115)) + (IOPATH B Y (0.091::0.091) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[67\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.117::0.117)) + (IOPATH B Y (0.092::0.092) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[68\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.118::0.118)) + (IOPATH B Y (0.091::0.091) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[69\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.145::0.145)) + (IOPATH B Y (0.129::0.130) (0.106::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.114::0.114)) + (IOPATH B Y (0.111::0.112) (0.092::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[70\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.114::0.114)) + (IOPATH B Y (0.094::0.094) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[71\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.119::0.119) (0.123::0.123)) + (IOPATH B Y (0.097::0.098) (0.082::0.082)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[72\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.116::0.116)) + (IOPATH B Y (0.089::0.090) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[73\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.117::0.117)) + (IOPATH B Y (0.102::0.102) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[74\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.122::0.122) (0.126::0.126)) + (IOPATH B Y (0.099::0.100) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[75\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.126::0.126) (0.130::0.130)) + (IOPATH B Y (0.100::0.101) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[76\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.116::0.116) (0.120::0.120)) + (IOPATH B Y (0.092::0.092) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[77\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.155) (0.149::0.149)) + (IOPATH B Y (0.140::0.140) (0.115::0.115)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[78\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.152::0.152)) + (IOPATH B Y (0.134::0.135) (0.111::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[79\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.144::0.144)) + (IOPATH B Y (0.126::0.127) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.146::0.146) (0.124::0.124)) + (IOPATH B Y (0.121::0.122) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[80\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.146::0.146) (0.144::0.144)) + (IOPATH B Y (0.124::0.124) (0.100::0.100)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[81\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.117) (0.122::0.122)) + (IOPATH B Y (0.095::0.096) (0.081::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[82\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.119::0.119)) + (IOPATH B Y (0.092::0.093) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[83\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.114) (0.115::0.115)) + (IOPATH B Y (0.092::0.093) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[84\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.112) (0.113::0.113)) + (IOPATH B Y (0.090::0.091) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[85\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.113::0.113) (0.113::0.113)) + (IOPATH B Y (0.093::0.094) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[86\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.115::0.115) (0.119::0.119)) + (IOPATH B Y (0.093::0.093) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[87\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.143::0.143) (0.141::0.141)) + (IOPATH B Y (0.124::0.125) (0.102::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[88\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.110::0.110)) + (IOPATH B Y (0.091::0.091) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[89\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.156::0.156) (0.155::0.155)) + (IOPATH B Y (0.133::0.134) (0.109::0.109)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.149::0.149) (0.126::0.126)) + (IOPATH B Y (0.134::0.135) (0.110::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[90\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.140::0.140) (0.138::0.138)) + (IOPATH B Y (0.118::0.119) (0.095::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[91\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.155::0.155) (0.133::0.133)) + (IOPATH B Y (0.138::0.139) (0.119::0.119)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[92\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.145::0.145)) + (IOPATH B Y (0.126::0.126) (0.101::0.101)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[93\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.109) (0.112::0.112)) + (IOPATH B Y (0.092::0.093) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[94\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.110::0.110) (0.110::0.110)) + (IOPATH B Y (0.092::0.093) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[95\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.118::0.118) (0.120::0.120)) + (IOPATH B Y (0.099::0.100) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[96\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.154::0.154) (0.148::0.148)) + (IOPATH B Y (0.137::0.138) (0.112::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[97\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.152::0.152) (0.144::0.144)) + (IOPATH B Y (0.135::0.136) (0.110::0.110)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[98\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.160::0.160) (0.154::0.154)) + (IOPATH B Y (0.136::0.136) (0.110::0.111)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_1") + (INSTANCE user_to_mprj_in_gates\[99\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.173::0.173) (0.151::0.151)) + (IOPATH B Y (0.140::0.141) (0.113::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_to_mprj_in_gates\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.174::0.174) (0.168::0.168)) + (IOPATH B Y (0.153::0.153) (0.126::0.126)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.168::0.168) (0.101::0.101)) + (IOPATH TE Z (0.196::0.196) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[100\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.138::0.138) (0.070::0.070)) + (IOPATH TE Z (0.175::0.175) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[101\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.152::0.152) (0.075::0.075)) + (IOPATH TE Z (0.206::0.206) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[102\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.150::0.150) (0.071::0.071)) + (IOPATH TE Z (0.191::0.191) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[103\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.124::0.124) (0.063::0.063)) + (IOPATH TE Z (0.173::0.173) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[104\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.201::0.201) (0.100::0.100)) + (IOPATH TE Z (0.261::0.261) (0.074::0.074)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[105\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.181::0.181) (0.084::0.084)) + (IOPATH TE Z (0.219::0.219) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[106\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.130::0.130) (0.067::0.067)) + (IOPATH TE Z (0.173::0.173) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[107\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.120::0.120) (0.061::0.061)) + (IOPATH TE Z (0.165::0.165) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[108\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.151::0.151) (0.071::0.071)) + (IOPATH TE Z (0.184::0.184) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[109\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.132::0.132) (0.065::0.065)) + (IOPATH TE Z (0.175::0.175) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.144::0.144) (0.092::0.092)) + (IOPATH TE Z (0.162::0.162) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[110\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.139::0.139) (0.068::0.068)) + (IOPATH TE Z (0.193::0.193) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[111\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.130::0.130) (0.068::0.068)) + (IOPATH TE Z (0.169::0.169) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[112\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.151::0.151) (0.075::0.075)) + (IOPATH TE Z (0.182::0.182) (0.052::0.052)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[113\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.137::0.137) (0.068::0.068)) + (IOPATH TE Z (0.184::0.184) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[114\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.112::0.112) (0.064::0.064)) + (IOPATH TE Z (0.151::0.151) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[115\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.112::0.112) (0.060::0.060)) + (IOPATH TE Z (0.167::0.167) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[116\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.109::0.109) (0.056::0.056)) + (IOPATH TE Z (0.153::0.153) (0.044::0.044)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[117\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.113::0.113) (0.059::0.059)) + (IOPATH TE Z (0.166::0.166) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[118\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.133::0.133) (0.065::0.065)) + (IOPATH TE Z (0.181::0.181) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[119\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.118::0.118) (0.060::0.060)) + (IOPATH TE Z (0.171::0.171) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.226::0.226) (0.107::0.107)) + (IOPATH TE Z (0.267::0.267) (0.086::0.086)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[120\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.114::0.114) (0.062::0.062)) + (IOPATH TE Z (0.151::0.151) (0.042::0.042)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[121\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.147::0.147) (0.068::0.068)) + (IOPATH TE Z (0.189::0.189) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[122\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.159::0.159) (0.072::0.072)) + (IOPATH TE Z (0.200::0.200) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[123\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.142::0.142) (0.069::0.069)) + (IOPATH TE Z (0.183::0.183) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[124\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.147::0.147) (0.072::0.072)) + (IOPATH TE Z (0.199::0.199) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[125\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.117::0.117) (0.060::0.060)) + (IOPATH TE Z (0.161::0.161) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[126\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.163::0.163) (0.077::0.077)) + (IOPATH TE Z (0.207::0.207) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[127\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.161::0.161) (0.073::0.073)) + (IOPATH TE Z (0.222::0.222) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.233::0.233) (0.106::0.106)) + (IOPATH TE Z (0.245::0.245) (0.075::0.075)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.139::0.139) (0.094::0.094)) + (IOPATH TE Z (0.163::0.163) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.229::0.229) (0.107::0.107)) + (IOPATH TE Z (0.249::0.249) (0.080::0.080)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.159::0.159) (0.077::0.077)) + (IOPATH TE Z (0.174::0.174) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.168::0.168) (0.103::0.103)) + (IOPATH TE Z (0.192::0.192) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.179::0.179) (0.087::0.087)) + (IOPATH TE Z (0.188::0.188) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.189::0.189) (0.125::0.125)) + (IOPATH TE Z (0.206::0.206) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.143::0.143) (0.074::0.074)) + (IOPATH TE Z (0.157::0.157) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.173::0.173) (0.097::0.097)) + (IOPATH TE Z (0.201::0.201) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.135::0.135) (0.082::0.082)) + (IOPATH TE Z (0.162::0.162) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.183::0.183) (0.093::0.093)) + (IOPATH TE Z (0.246::0.246) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.134::0.134) (0.074::0.074)) + (IOPATH TE Z (0.167::0.167) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.156::0.156) (0.096::0.096)) + (IOPATH TE Z (0.171::0.171) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.191::0.191) (0.096::0.096)) + (IOPATH TE Z (0.192::0.192) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.179::0.179) (0.093::0.093)) + (IOPATH TE Z (0.176::0.176) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.227::0.227) (0.102::0.102)) + (IOPATH TE Z (0.237::0.237) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.180::0.180) (0.094::0.094)) + (IOPATH TE Z (0.164::0.164) (0.047::0.047)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.206::0.206) (0.101::0.101)) + (IOPATH TE Z (0.210::0.210) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.204::0.204) (0.105::0.105)) + (IOPATH TE Z (0.189::0.189) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.210::0.210) (0.096::0.096)) + (IOPATH TE Z (0.235::0.235) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.164::0.164) (0.103::0.103)) + (IOPATH TE Z (0.172::0.172) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.192::0.192) (0.098::0.098)) + (IOPATH TE Z (0.184::0.184) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[32\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.181::0.181) (0.083::0.083)) + (IOPATH TE Z (0.233::0.233) (0.067::0.067)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[33\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.187::0.187) (0.096::0.096)) + (IOPATH TE Z (0.175::0.175) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[34\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.163::0.163) (0.103::0.103)) + (IOPATH TE Z (0.174::0.174) (0.048::0.048)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[35\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.187::0.187) (0.118::0.118)) + (IOPATH TE Z (0.201::0.201) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[36\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.148::0.148) (0.093::0.093)) + (IOPATH TE Z (0.149::0.149) (0.041::0.041)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[37\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.210::0.210) (0.132::0.132)) + (IOPATH TE Z (0.217::0.217) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[38\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.205::0.205) (0.127::0.127)) + (IOPATH TE Z (0.205::0.205) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[39\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.259::0.259) (0.122::0.122)) + (IOPATH TE Z (0.312::0.312) (0.094::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.194::0.194) (0.128::0.128)) + (IOPATH TE Z (0.211::0.211) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[40\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.160::0.160) (0.084::0.084)) + (IOPATH TE Z (0.188::0.188) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[41\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.197::0.197) (0.098::0.098)) + (IOPATH TE Z (0.230::0.230) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[42\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.161::0.161) (0.075::0.075)) + (IOPATH TE Z (0.197::0.197) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[43\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.110::0.110) (0.057::0.057)) + (IOPATH TE Z (0.145::0.145) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[44\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.134::0.134) (0.092::0.092)) + (IOPATH TE Z (0.145::0.145) (0.039::0.039)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[45\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.213::0.213) (0.100::0.100)) + (IOPATH TE Z (0.219::0.219) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[46\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.143::0.143) (0.097::0.097)) + (IOPATH TE Z (0.155::0.155) (0.043::0.043)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[47\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.124::0.124) (0.061::0.061)) + (IOPATH TE Z (0.160::0.160) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[48\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.174::0.174) (0.120::0.120)) + (IOPATH TE Z (0.178::0.178) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[49\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.170::0.170) (0.093::0.093)) + (IOPATH TE Z (0.191::0.191) (0.057::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.174::0.174) (0.099::0.099)) + (IOPATH TE Z (0.201::0.201) (0.060::0.060)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[50\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.198::0.198) (0.095::0.095)) + (IOPATH TE Z (0.196::0.196) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[51\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.195::0.195) (0.125::0.125)) + (IOPATH TE Z (0.207::0.207) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[52\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.177::0.177) (0.086::0.086)) + (IOPATH TE Z (0.218::0.218) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[53\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.171::0.171) (0.079::0.079)) + (IOPATH TE Z (0.217::0.217) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[54\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.157::0.157) (0.098::0.098)) + (IOPATH TE Z (0.168::0.168) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[55\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.189::0.189) (0.091::0.091)) + (IOPATH TE Z (0.184::0.184) (0.051::0.051)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[56\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.197::0.197) (0.092::0.092)) + (IOPATH TE Z (0.241::0.241) (0.066::0.066)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[57\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.160::0.160) (0.084::0.084)) + (IOPATH TE Z (0.146::0.146) (0.040::0.040)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[58\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.243::0.243) (0.109::0.109)) + (IOPATH TE Z (0.287::0.287) (0.085::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[59\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.217::0.217) (0.109::0.109)) + (IOPATH TE Z (0.212::0.212) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.267::0.267) (0.122::0.122)) + (IOPATH TE Z (0.272::0.272) (0.084::0.084)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[60\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.215::0.215) (0.105::0.105)) + (IOPATH TE Z (0.269::0.269) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[61\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.203::0.203) (0.102::0.102)) + (IOPATH TE Z (0.258::0.258) (0.073::0.073)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[62\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.211::0.211) (0.107::0.107)) + (IOPATH TE Z (0.267::0.267) (0.076::0.076)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[63\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.177::0.177) (0.086::0.086)) + (IOPATH TE Z (0.179::0.179) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[64\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.189::0.189) (0.084::0.084)) + (IOPATH TE Z (0.218::0.218) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[65\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.180::0.180) (0.093::0.093)) + (IOPATH TE Z (0.235::0.235) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[66\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.123::0.123) (0.061::0.061)) + (IOPATH TE Z (0.161::0.161) (0.046::0.046)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[67\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.148::0.148) (0.077::0.077)) + (IOPATH TE Z (0.145::0.145) (0.041::0.041)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[68\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.184::0.184) (0.088::0.088)) + (IOPATH TE Z (0.226::0.226) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[69\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.193::0.193) (0.088::0.088)) + (IOPATH TE Z (0.238::0.238) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.164::0.164) (0.107::0.107)) + (IOPATH TE Z (0.182::0.182) (0.056::0.056)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[70\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.189::0.189) (0.089::0.089)) + (IOPATH TE Z (0.215::0.215) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[71\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.175::0.175) (0.090::0.090)) + (IOPATH TE Z (0.231::0.231) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[72\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.188::0.188) (0.083::0.083)) + (IOPATH TE Z (0.217::0.217) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[73\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.187::0.187) (0.086::0.086)) + (IOPATH TE Z (0.213::0.213) (0.062::0.062)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[74\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.179::0.179) (0.091::0.091)) + (IOPATH TE Z (0.202::0.202) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[75\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.200::0.200) (0.088::0.088)) + (IOPATH TE Z (0.232::0.232) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[76\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.152::0.152) (0.074::0.074)) + (IOPATH TE Z (0.202::0.202) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[77\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.173::0.173) (0.078::0.078)) + (IOPATH TE Z (0.203::0.203) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[78\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.140::0.140) (0.068::0.068)) + (IOPATH TE Z (0.175::0.175) (0.049::0.049)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[79\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.146::0.146) (0.070::0.070)) + (IOPATH TE Z (0.185::0.185) (0.057::0.057)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.186::0.186) (0.118::0.118)) + (IOPATH TE Z (0.197::0.197) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[80\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.111::0.111) (0.056::0.056)) + (IOPATH TE Z (0.162::0.162) (0.053::0.053)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[81\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.143::0.143) (0.069::0.069)) + (IOPATH TE Z (0.181::0.181) (0.054::0.054)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[82\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.112::0.112) (0.058::0.058)) + (IOPATH TE Z (0.158::0.158) (0.050::0.050)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[83\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.169::0.169) (0.080::0.080)) + (IOPATH TE Z (0.201::0.201) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[84\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.146::0.146) (0.069::0.069)) + (IOPATH TE Z (0.187::0.187) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[85\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.167::0.167) (0.078::0.078)) + (IOPATH TE Z (0.205::0.205) (0.065::0.065)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[86\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.155::0.155) (0.076::0.076)) + (IOPATH TE Z (0.192::0.192) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[87\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.145::0.145) (0.074::0.074)) + (IOPATH TE Z (0.188::0.188) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[88\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.141::0.141) (0.072::0.072)) + (IOPATH TE Z (0.190::0.190) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[89\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.148::0.148) (0.070::0.070)) + (IOPATH TE Z (0.203::0.203) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.188::0.188) (0.097::0.097)) + (IOPATH TE Z (0.184::0.184) (0.055::0.055)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[90\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.177::0.177) (0.092::0.092)) + (IOPATH TE Z (0.231::0.231) (0.063::0.063)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[91\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.162::0.162) (0.076::0.076)) + (IOPATH TE Z (0.197::0.197) (0.058::0.058)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[92\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.174::0.174) (0.081::0.081)) + (IOPATH TE Z (0.209::0.209) (0.064::0.064)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[93\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.204::0.204) (0.089::0.089)) + (IOPATH TE Z (0.237::0.237) (0.072::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[94\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.213::0.213) (0.091::0.091)) + (IOPATH TE Z (0.250::0.250) (0.078::0.078)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[95\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.128::0.128) (0.064::0.064)) + (IOPATH TE Z (0.183::0.183) (0.061::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[96\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.199::0.199) (0.097::0.097)) + (IOPATH TE Z (0.242::0.242) (0.083::0.083)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_2") + (INSTANCE user_to_mprj_oen_buffers\[97\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.135::0.135) (0.066::0.066)) + (IOPATH TE Z (0.182::0.182) (0.059::0.059)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[98\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.185::0.185) (0.096::0.096)) + (IOPATH TE Z (0.246::0.246) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_8") + (INSTANCE user_to_mprj_oen_buffers\[99\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.204::0.204) (0.092::0.092)) + (IOPATH TE Z (0.249::0.249) (0.070::0.070)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__einvp_4") + (INSTANCE user_to_mprj_oen_buffers\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A Z (0.172::0.172) (0.101::0.101)) + (IOPATH TE Z (0.207::0.207) (0.069::0.069)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__and2_4") + (INSTANCE user_to_mprj_wb_ena_buf) + (DELAY + (ABSOLUTE + (IOPATH A X (0.633::0.633) (0.331::0.331)) + (IOPATH B X (0.566::0.566) (0.309::0.309)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_ack_buffer) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.118) (0.094::0.095)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_ack_gate) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.239::0.239) (0.176::0.176)) + (IOPATH B Y (0.263::0.263) (0.225::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.126) (0.099::0.102)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.121) (0.090::0.096)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.116) (0.092::0.093)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.114) (0.085::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.113) (0.088::0.092)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.093::0.102) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.111) (0.085::0.089)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.086::0.104) (0.076::0.081)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_wb_dat_buffers\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.127) (0.057::0.061)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.112) (0.086::0.090)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.095::0.113) (0.087::0.091)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.105::0.128) (0.100::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.085::0.107) (0.079::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.112::0.139) (0.104::0.108)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.117::0.138) (0.109::0.114)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.098::0.098) (0.083::0.085)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_wb_dat_buffers\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.198::0.203) (0.077::0.077)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.165) (0.112::0.113)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.165::0.171) (0.123::0.124)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_wb_dat_buffers\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.199::0.205) (0.071::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_wb_dat_buffers\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.168) (0.071::0.072)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_wb_dat_buffers\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.196::0.204) (0.079::0.079)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.114::0.135) (0.107::0.112)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_wb_dat_buffers\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.143::0.153) (0.070::0.071)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_6") + (INSTANCE user_wb_dat_buffers\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.185::0.191) (0.068::0.068)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.106::0.126) (0.098::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.092::0.114) (0.089::0.094)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.126) (0.094::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.109::0.132) (0.100::0.106)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.107::0.127) (0.097::0.104)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.102::0.128) (0.094::0.099)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__clkinv_8") + (INSTANCE user_wb_dat_buffers\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.104::0.127) (0.098::0.105)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.232::0.232) (0.177::0.177)) + (IOPATH B Y (0.267::0.267) (0.234::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[10\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.170::0.170) (0.159::0.159)) + (IOPATH B Y (0.277::0.278) (0.242::0.242)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[11\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.246::0.246) (0.181::0.181)) + (IOPATH B Y (0.270::0.270) (0.229::0.229)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[12\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.163::0.163) (0.150::0.150)) + (IOPATH B Y (0.270::0.270) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[13\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.219::0.219) (0.167::0.167)) + (IOPATH B Y (0.265::0.265) (0.220::0.220)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[14\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.254::0.254) (0.185::0.185)) + (IOPATH B Y (0.272::0.272) (0.230::0.230)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[15\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.208::0.208) (0.165::0.165)) + (IOPATH B Y (0.267::0.268) (0.224::0.224)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[16\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.183::0.183) (0.158::0.158)) + (IOPATH B Y (0.270::0.270) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[17\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.161::0.161) (0.152::0.152)) + (IOPATH B Y (0.265::0.265) (0.228::0.228)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[18\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.208::0.208) (0.165::0.165)) + (IOPATH B Y (0.268::0.268) (0.225::0.225)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[19\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.203::0.203) (0.160::0.160)) + (IOPATH B Y (0.266::0.266) (0.222::0.222)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.199::0.199) (0.155::0.155)) + (IOPATH B Y (0.264::0.264) (0.231::0.231)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_4") + (INSTANCE user_wb_dat_gates\[20\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.158::0.158) (0.129::0.129)) + (IOPATH B Y (0.257::0.257) (0.192::0.192)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[21\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.202::0.202) (0.180::0.180)) + (IOPATH B Y (0.301::0.301) (0.275::0.275)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[22\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.200::0.200) (0.172::0.172)) + (IOPATH B Y (0.268::0.268) (0.238::0.238)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE user_wb_dat_gates\[23\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.165::0.165)) + (IOPATH B Y (0.183::0.183) (0.163::0.163)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE user_wb_dat_gates\[24\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.152::0.152) (0.139::0.139)) + (IOPATH B Y (0.268::0.268) (0.186::0.186)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE user_wb_dat_gates\[25\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.169) (0.143::0.143)) + (IOPATH B Y (0.273::0.273) (0.188::0.188)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE user_wb_dat_gates\[26\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.163::0.163) (0.134::0.134)) + (IOPATH B Y (0.274::0.274) (0.190::0.190)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE user_wb_dat_gates\[27\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.150::0.150) (0.121::0.121)) + (IOPATH B Y (0.270::0.270) (0.185::0.185)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE user_wb_dat_gates\[28\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.294::0.294) (0.242::0.242)) + (IOPATH B Y (0.398::0.398) (0.306::0.306)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE user_wb_dat_gates\[29\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.214::0.214) (0.170::0.170)) + (IOPATH B Y (0.325::0.325) (0.234::0.234)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.187::0.187) (0.163::0.163)) + (IOPATH B Y (0.254::0.255) (0.223::0.223)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE user_wb_dat_gates\[30\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.147::0.147) (0.123::0.123)) + (IOPATH B Y (0.260::0.260) (0.181::0.181)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_8") + (INSTANCE user_wb_dat_gates\[31\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.148::0.148) (0.119::0.119)) + (IOPATH B Y (0.266::0.266) (0.184::0.184)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_4") + (INSTANCE user_wb_dat_gates\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.169::0.169) (0.143::0.143)) + (IOPATH B Y (0.247::0.247) (0.194::0.194)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_4") + (INSTANCE user_wb_dat_gates\[4\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.168::0.168) (0.124::0.124)) + (IOPATH B Y (0.236::0.237) (0.176::0.176)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[5\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.182::0.182) (0.170::0.170)) + (IOPATH B Y (0.290::0.291) (0.260::0.260)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[6\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.183::0.183) (0.173::0.173)) + (IOPATH B Y (0.285::0.285) (0.253::0.253)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[7\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.171::0.171) (0.167::0.167)) + (IOPATH B Y (0.264::0.265) (0.232::0.232)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[8\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.199::0.199) (0.170::0.170)) + (IOPATH B Y (0.296::0.296) (0.268::0.268)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE user_wb_dat_gates\[9\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.175::0.175) (0.147::0.147)) + (IOPATH B Y (0.260::0.261) (0.222::0.222)) + ) + ) + ) +) diff --git a/sdf/mprj2_logic_high.sdf b/sdf/mprj2_logic_high.sdf new file mode 100644 index 00000000..a2954472 --- /dev/null +++ b/sdf/mprj2_logic_high.sdf @@ -0,0 +1,22 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "mprj2_logic_high") + (DATE "Sun Nov 28 13:25:10 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "mprj2_logic_high") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT inst/HI HI (0.000::0.000)) + ) + ) + ) +) diff --git a/sdf/mprj_logic_high.sdf b/sdf/mprj_logic_high.sdf new file mode 100644 index 00000000..8b95d94e --- /dev/null +++ b/sdf/mprj_logic_high.sdf @@ -0,0 +1,484 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "mprj_logic_high") + (DATE "Sun Nov 28 13:24:55 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "mprj_logic_high") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT insts\[0\]/HI HI[0] (0.000::0.000)) + (INTERCONNECT insts\[100\]/HI HI[100] (0.000::0.000)) + (INTERCONNECT insts\[101\]/HI HI[101] (0.000::0.000)) + (INTERCONNECT insts\[102\]/HI HI[102] (0.000::0.000)) + (INTERCONNECT insts\[103\]/HI HI[103] (0.000::0.000)) + (INTERCONNECT insts\[104\]/HI HI[104] (0.000::0.000)) + (INTERCONNECT insts\[105\]/HI HI[105] (0.000::0.000)) + (INTERCONNECT insts\[106\]/HI HI[106] (0.000::0.000)) + (INTERCONNECT insts\[107\]/HI HI[107] (0.000::0.000)) + (INTERCONNECT insts\[108\]/HI HI[108] (0.000::0.000)) + (INTERCONNECT insts\[109\]/HI HI[109] (0.000::0.000)) + (INTERCONNECT insts\[10\]/HI HI[10] (0.000::0.000)) + (INTERCONNECT insts\[110\]/HI HI[110] (0.000::0.000)) + (INTERCONNECT insts\[111\]/HI HI[111] (0.000::0.000)) + (INTERCONNECT insts\[112\]/HI HI[112] (0.000::0.000)) + (INTERCONNECT insts\[113\]/HI HI[113] (0.000::0.000)) + (INTERCONNECT insts\[114\]/HI HI[114] (0.000::0.000)) + (INTERCONNECT insts\[115\]/HI HI[115] (0.000::0.000)) + (INTERCONNECT insts\[116\]/HI HI[116] (0.000::0.000)) + (INTERCONNECT insts\[117\]/HI HI[117] (0.000::0.000)) + (INTERCONNECT insts\[118\]/HI HI[118] (0.000::0.000)) + (INTERCONNECT insts\[119\]/HI HI[119] (0.000::0.000)) + (INTERCONNECT insts\[11\]/HI HI[11] (0.000::0.000)) + (INTERCONNECT insts\[120\]/HI HI[120] (0.000::0.000)) + (INTERCONNECT insts\[121\]/HI HI[121] (0.000::0.000)) + (INTERCONNECT insts\[122\]/HI HI[122] (0.000::0.000)) + (INTERCONNECT insts\[123\]/HI HI[123] (0.000::0.000)) + (INTERCONNECT insts\[124\]/HI HI[124] (0.000::0.000)) + (INTERCONNECT insts\[125\]/HI HI[125] (0.000::0.000)) + (INTERCONNECT insts\[126\]/HI HI[126] (0.000::0.000)) + (INTERCONNECT insts\[127\]/HI HI[127] (0.000::0.000)) + (INTERCONNECT insts\[128\]/HI HI[128] (0.000::0.000)) + (INTERCONNECT insts\[129\]/HI HI[129] (0.000::0.000)) + (INTERCONNECT insts\[12\]/HI HI[12] (0.000::0.000)) + (INTERCONNECT insts\[130\]/HI HI[130] (0.000::0.000)) + (INTERCONNECT insts\[131\]/HI HI[131] (0.000::0.000)) + (INTERCONNECT insts\[132\]/HI HI[132] (0.000::0.000)) + (INTERCONNECT insts\[133\]/HI HI[133] (0.000::0.000)) + (INTERCONNECT insts\[134\]/HI HI[134] (0.000::0.000)) + (INTERCONNECT insts\[135\]/HI HI[135] (0.000::0.000)) + (INTERCONNECT insts\[136\]/HI HI[136] (0.000::0.000)) + (INTERCONNECT insts\[137\]/HI HI[137] (0.000::0.000)) + (INTERCONNECT insts\[138\]/HI HI[138] (0.000::0.000)) + (INTERCONNECT insts\[139\]/HI HI[139] (0.000::0.000)) + (INTERCONNECT insts\[13\]/HI HI[13] (0.000::0.000)) + (INTERCONNECT insts\[140\]/HI HI[140] (0.000::0.000)) + (INTERCONNECT insts\[141\]/HI HI[141] (0.000::0.000)) + (INTERCONNECT insts\[142\]/HI HI[142] (0.000::0.000)) + (INTERCONNECT insts\[143\]/HI HI[143] (0.000::0.000)) + (INTERCONNECT insts\[144\]/HI HI[144] (0.000::0.000)) + (INTERCONNECT insts\[145\]/HI HI[145] (0.000::0.000)) + (INTERCONNECT insts\[146\]/HI HI[146] (0.000::0.000)) + (INTERCONNECT insts\[147\]/HI HI[147] (0.000::0.000)) + (INTERCONNECT insts\[148\]/HI HI[148] (0.000::0.000)) + (INTERCONNECT insts\[149\]/HI HI[149] (0.000::0.000)) + (INTERCONNECT insts\[14\]/HI HI[14] (0.000::0.000)) + (INTERCONNECT insts\[150\]/HI HI[150] (0.000::0.000)) + (INTERCONNECT insts\[151\]/HI HI[151] (0.000::0.000)) + (INTERCONNECT insts\[152\]/HI HI[152] (0.000::0.000)) + (INTERCONNECT insts\[153\]/HI HI[153] (0.000::0.000)) + (INTERCONNECT insts\[154\]/HI HI[154] (0.000::0.000)) + (INTERCONNECT insts\[155\]/HI HI[155] (0.000::0.000)) + (INTERCONNECT insts\[156\]/HI HI[156] (0.000::0.000)) + (INTERCONNECT insts\[157\]/HI HI[157] (0.000::0.000)) + (INTERCONNECT insts\[158\]/HI HI[158] (0.000::0.000)) + (INTERCONNECT insts\[159\]/HI HI[159] (0.000::0.000)) + (INTERCONNECT insts\[15\]/HI HI[15] (0.000::0.000)) + (INTERCONNECT insts\[160\]/HI HI[160] (0.000::0.000)) + (INTERCONNECT insts\[161\]/HI HI[161] (0.000::0.000)) + (INTERCONNECT insts\[162\]/HI HI[162] (0.000::0.000)) + (INTERCONNECT insts\[163\]/HI HI[163] (0.000::0.000)) + (INTERCONNECT insts\[164\]/HI HI[164] (0.000::0.000)) + (INTERCONNECT insts\[165\]/HI HI[165] (0.000::0.000)) + (INTERCONNECT insts\[166\]/HI HI[166] (0.000::0.000)) + (INTERCONNECT insts\[167\]/HI HI[167] (0.000::0.000)) + (INTERCONNECT insts\[168\]/HI HI[168] (0.000::0.000)) + (INTERCONNECT insts\[169\]/HI HI[169] (0.000::0.000)) + (INTERCONNECT insts\[16\]/HI HI[16] (0.000::0.000)) + (INTERCONNECT insts\[170\]/HI HI[170] (0.000::0.000)) + (INTERCONNECT insts\[171\]/HI HI[171] (0.000::0.000)) + (INTERCONNECT insts\[172\]/HI HI[172] (0.000::0.000)) + (INTERCONNECT insts\[173\]/HI HI[173] (0.000::0.000)) + (INTERCONNECT insts\[174\]/HI HI[174] (0.000::0.000)) + (INTERCONNECT insts\[175\]/HI HI[175] (0.000::0.000)) + (INTERCONNECT insts\[176\]/HI HI[176] (0.000::0.000)) + (INTERCONNECT insts\[177\]/HI HI[177] (0.000::0.000)) + (INTERCONNECT insts\[178\]/HI HI[178] (0.000::0.000)) + (INTERCONNECT insts\[179\]/HI HI[179] (0.000::0.000)) + (INTERCONNECT insts\[17\]/HI HI[17] (0.000::0.000)) + (INTERCONNECT insts\[180\]/HI HI[180] (0.000::0.000)) + (INTERCONNECT insts\[181\]/HI HI[181] (0.000::0.000)) + (INTERCONNECT insts\[182\]/HI HI[182] (0.000::0.000)) + (INTERCONNECT insts\[183\]/HI HI[183] (0.000::0.000)) + (INTERCONNECT insts\[184\]/HI HI[184] (0.000::0.000)) + (INTERCONNECT insts\[185\]/HI HI[185] (0.000::0.000)) + (INTERCONNECT insts\[186\]/HI HI[186] (0.000::0.000)) + (INTERCONNECT insts\[187\]/HI HI[187] (0.000::0.000)) + (INTERCONNECT insts\[188\]/HI HI[188] (0.000::0.000)) + (INTERCONNECT insts\[189\]/HI HI[189] (0.000::0.000)) + (INTERCONNECT insts\[18\]/HI HI[18] (0.000::0.000)) + (INTERCONNECT insts\[190\]/HI HI[190] (0.000::0.000)) + (INTERCONNECT insts\[191\]/HI HI[191] (0.000::0.000)) + (INTERCONNECT insts\[192\]/HI HI[192] (0.000::0.000)) + (INTERCONNECT insts\[193\]/HI HI[193] (0.000::0.000)) + (INTERCONNECT insts\[194\]/HI HI[194] (0.000::0.000)) + (INTERCONNECT insts\[195\]/HI HI[195] (0.000::0.000)) + (INTERCONNECT insts\[196\]/HI HI[196] (0.000::0.000)) + (INTERCONNECT insts\[197\]/HI HI[197] (0.000::0.000)) + (INTERCONNECT insts\[198\]/HI HI[198] (0.000::0.000)) + (INTERCONNECT insts\[199\]/HI HI[199] (0.000::0.000)) + (INTERCONNECT insts\[19\]/HI HI[19] (0.000::0.000)) + (INTERCONNECT insts\[1\]/HI HI[1] (0.000::0.000)) + (INTERCONNECT insts\[200\]/HI HI[200] (0.000::0.000)) + (INTERCONNECT insts\[201\]/HI HI[201] (0.000::0.000)) + (INTERCONNECT insts\[202\]/HI HI[202] (0.000::0.000)) + (INTERCONNECT insts\[203\]/HI HI[203] (0.000::0.000)) + (INTERCONNECT insts\[204\]/HI HI[204] (0.000::0.000)) + (INTERCONNECT insts\[205\]/HI HI[205] (0.000::0.000)) + (INTERCONNECT insts\[206\]/HI HI[206] (0.000::0.000)) + (INTERCONNECT insts\[207\]/HI HI[207] (0.000::0.000)) + (INTERCONNECT insts\[208\]/HI HI[208] (0.000::0.000)) + (INTERCONNECT insts\[209\]/HI HI[209] (0.000::0.000)) + (INTERCONNECT insts\[20\]/HI HI[20] (0.000::0.000)) + (INTERCONNECT insts\[210\]/HI HI[210] (0.000::0.000)) + (INTERCONNECT insts\[211\]/HI HI[211] (0.000::0.000)) + (INTERCONNECT insts\[212\]/HI HI[212] (0.000::0.000)) + (INTERCONNECT insts\[213\]/HI HI[213] (0.000::0.000)) + (INTERCONNECT insts\[214\]/HI HI[214] (0.000::0.000)) + (INTERCONNECT insts\[215\]/HI HI[215] (0.000::0.000)) + (INTERCONNECT insts\[216\]/HI HI[216] (0.000::0.000)) + (INTERCONNECT insts\[217\]/HI HI[217] (0.000::0.000)) + (INTERCONNECT insts\[218\]/HI HI[218] (0.000::0.000)) + (INTERCONNECT insts\[219\]/HI HI[219] (0.000::0.000)) + (INTERCONNECT insts\[21\]/HI HI[21] (0.000::0.000)) + (INTERCONNECT insts\[220\]/HI HI[220] (0.000::0.000)) + (INTERCONNECT insts\[221\]/HI HI[221] (0.000::0.000)) + (INTERCONNECT insts\[222\]/HI HI[222] (0.000::0.000)) + (INTERCONNECT insts\[223\]/HI HI[223] (0.000::0.000)) + (INTERCONNECT insts\[224\]/HI HI[224] (0.000::0.000)) + (INTERCONNECT insts\[225\]/HI HI[225] (0.000::0.000)) + (INTERCONNECT insts\[226\]/HI HI[226] (0.000::0.000)) + (INTERCONNECT insts\[227\]/HI HI[227] (0.000::0.000)) + (INTERCONNECT insts\[228\]/HI HI[228] (0.000::0.000)) + (INTERCONNECT insts\[229\]/HI HI[229] (0.000::0.000)) + (INTERCONNECT insts\[22\]/HI HI[22] (0.000::0.000)) + (INTERCONNECT insts\[230\]/HI HI[230] (0.000::0.000)) + (INTERCONNECT insts\[231\]/HI HI[231] (0.000::0.000)) + (INTERCONNECT insts\[232\]/HI HI[232] (0.000::0.000)) + (INTERCONNECT insts\[233\]/HI HI[233] (0.000::0.000)) + (INTERCONNECT insts\[234\]/HI HI[234] (0.000::0.000)) + (INTERCONNECT insts\[235\]/HI HI[235] (0.000::0.000)) + (INTERCONNECT insts\[236\]/HI HI[236] (0.000::0.000)) + (INTERCONNECT insts\[237\]/HI HI[237] (0.000::0.000)) + (INTERCONNECT insts\[238\]/HI HI[238] (0.000::0.000)) + (INTERCONNECT insts\[239\]/HI HI[239] (0.000::0.000)) + (INTERCONNECT insts\[23\]/HI HI[23] (0.000::0.000)) + (INTERCONNECT insts\[240\]/HI HI[240] (0.000::0.000)) + (INTERCONNECT insts\[241\]/HI HI[241] (0.000::0.000)) + (INTERCONNECT insts\[242\]/HI HI[242] (0.000::0.000)) + (INTERCONNECT insts\[243\]/HI HI[243] (0.000::0.000)) + (INTERCONNECT insts\[244\]/HI HI[244] (0.000::0.000)) + (INTERCONNECT insts\[245\]/HI HI[245] (0.000::0.000)) + (INTERCONNECT insts\[246\]/HI HI[246] (0.000::0.000)) + (INTERCONNECT insts\[247\]/HI HI[247] (0.000::0.000)) + (INTERCONNECT insts\[248\]/HI HI[248] (0.000::0.000)) + (INTERCONNECT insts\[249\]/HI HI[249] (0.000::0.000)) + (INTERCONNECT insts\[24\]/HI HI[24] (0.000::0.000)) + (INTERCONNECT insts\[250\]/HI HI[250] (0.000::0.000)) + (INTERCONNECT insts\[251\]/HI HI[251] (0.000::0.000)) + (INTERCONNECT insts\[252\]/HI HI[252] (0.000::0.000)) + (INTERCONNECT insts\[253\]/HI HI[253] (0.000::0.000)) + (INTERCONNECT insts\[254\]/HI HI[254] (0.000::0.000)) + (INTERCONNECT insts\[255\]/HI HI[255] (0.000::0.000)) + (INTERCONNECT insts\[256\]/HI HI[256] (0.000::0.000)) + (INTERCONNECT insts\[257\]/HI HI[257] (0.000::0.000)) + (INTERCONNECT insts\[258\]/HI HI[258] (0.000::0.000)) + (INTERCONNECT insts\[259\]/HI HI[259] (0.000::0.000)) + (INTERCONNECT insts\[25\]/HI HI[25] (0.000::0.000)) + (INTERCONNECT insts\[260\]/HI HI[260] (0.000::0.000)) + (INTERCONNECT insts\[261\]/HI HI[261] (0.000::0.000)) + (INTERCONNECT insts\[262\]/HI HI[262] (0.000::0.000)) + (INTERCONNECT insts\[263\]/HI HI[263] (0.000::0.000)) + (INTERCONNECT insts\[264\]/HI HI[264] (0.000::0.000)) + (INTERCONNECT insts\[265\]/HI HI[265] (0.000::0.000)) + (INTERCONNECT insts\[266\]/HI HI[266] (0.000::0.000)) + (INTERCONNECT insts\[267\]/HI HI[267] (0.000::0.000)) + (INTERCONNECT insts\[268\]/HI HI[268] (0.000::0.000)) + (INTERCONNECT insts\[269\]/HI HI[269] (0.000::0.000)) + (INTERCONNECT insts\[26\]/HI HI[26] (0.000::0.000)) + (INTERCONNECT insts\[270\]/HI HI[270] (0.000::0.000)) + (INTERCONNECT insts\[271\]/HI HI[271] (0.000::0.000)) + (INTERCONNECT insts\[272\]/HI HI[272] (0.000::0.000)) + (INTERCONNECT insts\[273\]/HI HI[273] (0.000::0.000)) + (INTERCONNECT insts\[274\]/HI HI[274] (0.000::0.000)) + (INTERCONNECT insts\[275\]/HI HI[275] (0.000::0.000)) + (INTERCONNECT insts\[276\]/HI HI[276] (0.000::0.000)) + (INTERCONNECT insts\[277\]/HI HI[277] (0.000::0.000)) + (INTERCONNECT insts\[278\]/HI HI[278] (0.000::0.000)) + (INTERCONNECT insts\[279\]/HI HI[279] (0.000::0.000)) + (INTERCONNECT insts\[27\]/HI HI[27] (0.000::0.000)) + (INTERCONNECT insts\[280\]/HI HI[280] (0.000::0.000)) + (INTERCONNECT insts\[281\]/HI HI[281] (0.000::0.000)) + (INTERCONNECT insts\[282\]/HI HI[282] (0.000::0.000)) + (INTERCONNECT insts\[283\]/HI HI[283] (0.000::0.000)) + (INTERCONNECT insts\[284\]/HI HI[284] (0.000::0.000)) + (INTERCONNECT insts\[285\]/HI HI[285] (0.000::0.000)) + (INTERCONNECT insts\[286\]/HI HI[286] (0.000::0.000)) + (INTERCONNECT insts\[287\]/HI HI[287] (0.000::0.000)) + (INTERCONNECT insts\[288\]/HI HI[288] (0.000::0.000)) + (INTERCONNECT insts\[289\]/HI HI[289] (0.000::0.000)) + (INTERCONNECT insts\[28\]/HI HI[28] (0.000::0.000)) + (INTERCONNECT insts\[290\]/HI HI[290] (0.000::0.000)) + (INTERCONNECT insts\[291\]/HI HI[291] (0.000::0.000)) + (INTERCONNECT insts\[292\]/HI HI[292] (0.000::0.000)) + (INTERCONNECT insts\[293\]/HI HI[293] (0.000::0.000)) + (INTERCONNECT insts\[294\]/HI HI[294] (0.000::0.000)) + (INTERCONNECT insts\[295\]/HI HI[295] (0.000::0.000)) + (INTERCONNECT insts\[296\]/HI HI[296] (0.000::0.000)) + (INTERCONNECT insts\[297\]/HI HI[297] (0.000::0.000)) + (INTERCONNECT insts\[298\]/HI HI[298] (0.000::0.000)) + (INTERCONNECT insts\[299\]/HI HI[299] (0.000::0.000)) + (INTERCONNECT insts\[29\]/HI HI[29] (0.000::0.000)) + (INTERCONNECT insts\[2\]/HI HI[2] (0.000::0.000)) + (INTERCONNECT insts\[300\]/HI HI[300] (0.000::0.000)) + (INTERCONNECT insts\[301\]/HI HI[301] (0.000::0.000)) + (INTERCONNECT insts\[302\]/HI HI[302] (0.000::0.000)) + (INTERCONNECT insts\[303\]/HI HI[303] (0.000::0.000)) + (INTERCONNECT insts\[304\]/HI HI[304] (0.000::0.000)) + (INTERCONNECT insts\[305\]/HI HI[305] (0.000::0.000)) + (INTERCONNECT insts\[306\]/HI HI[306] (0.000::0.000)) + (INTERCONNECT insts\[307\]/HI HI[307] (0.000::0.000)) + (INTERCONNECT insts\[308\]/HI HI[308] (0.000::0.000)) + (INTERCONNECT insts\[309\]/HI HI[309] (0.000::0.000)) + (INTERCONNECT insts\[30\]/HI HI[30] (0.000::0.000)) + (INTERCONNECT insts\[310\]/HI HI[310] (0.000::0.000)) + (INTERCONNECT insts\[311\]/HI HI[311] (0.000::0.000)) + (INTERCONNECT insts\[312\]/HI HI[312] (0.000::0.000)) + (INTERCONNECT insts\[313\]/HI HI[313] (0.000::0.000)) + (INTERCONNECT insts\[314\]/HI HI[314] (0.000::0.000)) + (INTERCONNECT insts\[315\]/HI HI[315] (0.000::0.000)) + (INTERCONNECT insts\[316\]/HI HI[316] (0.000::0.000)) + (INTERCONNECT insts\[317\]/HI HI[317] (0.000::0.000)) + (INTERCONNECT insts\[318\]/HI HI[318] (0.000::0.000)) + (INTERCONNECT insts\[319\]/HI HI[319] (0.000::0.000)) + (INTERCONNECT insts\[31\]/HI HI[31] (0.000::0.000)) + (INTERCONNECT insts\[320\]/HI HI[320] (0.000::0.000)) + (INTERCONNECT insts\[321\]/HI HI[321] (0.000::0.000)) + (INTERCONNECT insts\[322\]/HI HI[322] (0.000::0.000)) + (INTERCONNECT insts\[323\]/HI HI[323] (0.000::0.000)) + (INTERCONNECT insts\[324\]/HI HI[324] (0.000::0.000)) + (INTERCONNECT insts\[325\]/HI HI[325] (0.000::0.000)) + (INTERCONNECT insts\[326\]/HI HI[326] (0.000::0.000)) + (INTERCONNECT insts\[327\]/HI HI[327] (0.000::0.000)) + (INTERCONNECT insts\[328\]/HI HI[328] (0.000::0.000)) + (INTERCONNECT insts\[329\]/HI HI[329] (0.000::0.000)) + (INTERCONNECT insts\[32\]/HI HI[32] (0.000::0.000)) + (INTERCONNECT insts\[330\]/HI HI[330] (0.000::0.000)) + (INTERCONNECT insts\[331\]/HI HI[331] (0.000::0.000)) + (INTERCONNECT insts\[332\]/HI HI[332] (0.000::0.000)) + (INTERCONNECT insts\[333\]/HI HI[333] (0.000::0.000)) + (INTERCONNECT insts\[334\]/HI HI[334] (0.000::0.000)) + (INTERCONNECT insts\[335\]/HI HI[335] (0.000::0.000)) + (INTERCONNECT insts\[336\]/HI HI[336] (0.000::0.000)) + (INTERCONNECT insts\[337\]/HI HI[337] (0.000::0.000)) + (INTERCONNECT insts\[338\]/HI HI[338] (0.000::0.000)) + (INTERCONNECT insts\[339\]/HI HI[339] (0.000::0.000)) + (INTERCONNECT insts\[33\]/HI HI[33] (0.000::0.000)) + (INTERCONNECT insts\[340\]/HI HI[340] (0.000::0.000)) + (INTERCONNECT insts\[341\]/HI HI[341] (0.000::0.000)) + (INTERCONNECT insts\[342\]/HI HI[342] (0.000::0.000)) + (INTERCONNECT insts\[343\]/HI HI[343] (0.000::0.000)) + (INTERCONNECT insts\[344\]/HI HI[344] (0.000::0.000)) + (INTERCONNECT insts\[345\]/HI HI[345] (0.000::0.000)) + (INTERCONNECT insts\[346\]/HI HI[346] (0.000::0.000)) + (INTERCONNECT insts\[347\]/HI HI[347] (0.000::0.000)) + (INTERCONNECT insts\[348\]/HI HI[348] (0.000::0.000)) + (INTERCONNECT insts\[349\]/HI HI[349] (0.000::0.000)) + (INTERCONNECT insts\[34\]/HI HI[34] (0.000::0.000)) + (INTERCONNECT insts\[350\]/HI HI[350] (0.000::0.000)) + (INTERCONNECT insts\[351\]/HI HI[351] (0.000::0.000)) + (INTERCONNECT insts\[352\]/HI HI[352] (0.000::0.000)) + (INTERCONNECT insts\[353\]/HI HI[353] (0.000::0.000)) + (INTERCONNECT insts\[354\]/HI HI[354] (0.000::0.000)) + (INTERCONNECT insts\[355\]/HI HI[355] (0.000::0.000)) + (INTERCONNECT insts\[356\]/HI HI[356] (0.000::0.000)) + (INTERCONNECT insts\[357\]/HI HI[357] (0.000::0.000)) + (INTERCONNECT insts\[358\]/HI HI[358] (0.000::0.000)) + (INTERCONNECT insts\[359\]/HI HI[359] (0.000::0.000)) + (INTERCONNECT insts\[35\]/HI HI[35] (0.000::0.000)) + (INTERCONNECT insts\[360\]/HI HI[360] (0.000::0.000)) + (INTERCONNECT insts\[361\]/HI HI[361] (0.000::0.000)) + (INTERCONNECT insts\[362\]/HI HI[362] (0.000::0.000)) + (INTERCONNECT insts\[363\]/HI HI[363] (0.000::0.000)) + (INTERCONNECT insts\[364\]/HI HI[364] (0.000::0.000)) + (INTERCONNECT insts\[365\]/HI HI[365] (0.000::0.000)) + (INTERCONNECT insts\[366\]/HI HI[366] (0.000::0.000)) + (INTERCONNECT insts\[367\]/HI HI[367] (0.000::0.000)) + (INTERCONNECT insts\[368\]/HI HI[368] (0.000::0.000)) + (INTERCONNECT insts\[369\]/HI HI[369] (0.000::0.000)) + (INTERCONNECT insts\[36\]/HI HI[36] (0.000::0.000)) + (INTERCONNECT insts\[370\]/HI HI[370] (0.000::0.000)) + (INTERCONNECT insts\[371\]/HI HI[371] (0.000::0.000)) + (INTERCONNECT insts\[372\]/HI HI[372] (0.000::0.000)) + (INTERCONNECT insts\[373\]/HI HI[373] (0.000::0.000)) + (INTERCONNECT insts\[374\]/HI HI[374] (0.000::0.000)) + (INTERCONNECT insts\[375\]/HI HI[375] (0.000::0.000)) + (INTERCONNECT insts\[376\]/HI HI[376] (0.000::0.000)) + (INTERCONNECT insts\[377\]/HI HI[377] (0.000::0.000)) + (INTERCONNECT insts\[378\]/HI HI[378] (0.000::0.000)) + (INTERCONNECT insts\[379\]/HI HI[379] (0.000::0.000)) + (INTERCONNECT insts\[37\]/HI HI[37] (0.000::0.000)) + (INTERCONNECT insts\[380\]/HI HI[380] (0.000::0.000)) + (INTERCONNECT insts\[381\]/HI HI[381] (0.000::0.000)) + (INTERCONNECT insts\[382\]/HI HI[382] (0.000::0.000)) + (INTERCONNECT insts\[383\]/HI HI[383] (0.000::0.000)) + (INTERCONNECT insts\[384\]/HI HI[384] (0.000::0.000)) + (INTERCONNECT insts\[385\]/HI HI[385] (0.000::0.000)) + (INTERCONNECT insts\[386\]/HI HI[386] (0.000::0.000)) + (INTERCONNECT insts\[387\]/HI HI[387] (0.000::0.000)) + (INTERCONNECT insts\[388\]/HI HI[388] (0.000::0.000)) + (INTERCONNECT insts\[389\]/HI HI[389] (0.000::0.000)) + (INTERCONNECT insts\[38\]/HI HI[38] (0.000::0.000)) + (INTERCONNECT insts\[390\]/HI HI[390] (0.000::0.000)) + (INTERCONNECT insts\[391\]/HI HI[391] (0.000::0.000)) + (INTERCONNECT insts\[392\]/HI HI[392] (0.000::0.000)) + (INTERCONNECT insts\[393\]/HI HI[393] (0.000::0.000)) + (INTERCONNECT insts\[394\]/HI HI[394] (0.000::0.000)) + (INTERCONNECT insts\[395\]/HI HI[395] (0.000::0.000)) + (INTERCONNECT insts\[396\]/HI HI[396] (0.000::0.000)) + (INTERCONNECT insts\[397\]/HI HI[397] (0.000::0.000)) + (INTERCONNECT insts\[398\]/HI HI[398] (0.000::0.000)) + (INTERCONNECT insts\[399\]/HI HI[399] (0.000::0.000)) + (INTERCONNECT insts\[39\]/HI HI[39] (0.000::0.000)) + (INTERCONNECT insts\[3\]/HI HI[3] (0.000::0.000)) + (INTERCONNECT insts\[400\]/HI HI[400] (0.000::0.000)) + (INTERCONNECT insts\[401\]/HI HI[401] (0.000::0.000)) + (INTERCONNECT insts\[402\]/HI HI[402] (0.000::0.000)) + (INTERCONNECT insts\[403\]/HI HI[403] (0.000::0.000)) + (INTERCONNECT insts\[404\]/HI HI[404] (0.000::0.000)) + (INTERCONNECT insts\[405\]/HI HI[405] (0.000::0.000)) + (INTERCONNECT insts\[406\]/HI HI[406] (0.000::0.000)) + (INTERCONNECT insts\[407\]/HI HI[407] (0.000::0.000)) + (INTERCONNECT insts\[408\]/HI HI[408] (0.000::0.000)) + (INTERCONNECT insts\[409\]/HI HI[409] (0.000::0.000)) + (INTERCONNECT insts\[40\]/HI HI[40] (0.000::0.000)) + (INTERCONNECT insts\[410\]/HI HI[410] (0.000::0.000)) + (INTERCONNECT insts\[411\]/HI HI[411] (0.000::0.000)) + (INTERCONNECT insts\[412\]/HI HI[412] (0.000::0.000)) + (INTERCONNECT insts\[413\]/HI HI[413] (0.000::0.000)) + (INTERCONNECT insts\[414\]/HI HI[414] (0.000::0.000)) + (INTERCONNECT insts\[415\]/HI HI[415] (0.000::0.000)) + (INTERCONNECT insts\[416\]/HI HI[416] (0.000::0.000)) + (INTERCONNECT insts\[417\]/HI HI[417] (0.000::0.000)) + (INTERCONNECT insts\[418\]/HI HI[418] (0.000::0.000)) + (INTERCONNECT insts\[419\]/HI HI[419] (0.000::0.000)) + (INTERCONNECT insts\[41\]/HI HI[41] (0.000::0.000)) + (INTERCONNECT insts\[420\]/HI HI[420] (0.000::0.000)) + (INTERCONNECT insts\[421\]/HI HI[421] (0.000::0.000)) + (INTERCONNECT insts\[422\]/HI HI[422] (0.000::0.000)) + (INTERCONNECT insts\[423\]/HI HI[423] (0.000::0.000)) + (INTERCONNECT insts\[424\]/HI HI[424] (0.000::0.000)) + (INTERCONNECT insts\[425\]/HI HI[425] (0.000::0.000)) + (INTERCONNECT insts\[426\]/HI HI[426] (0.000::0.000)) + (INTERCONNECT insts\[427\]/HI HI[427] (0.000::0.000)) + (INTERCONNECT insts\[428\]/HI HI[428] (0.000::0.000)) + (INTERCONNECT insts\[429\]/HI HI[429] (0.000::0.000)) + (INTERCONNECT insts\[42\]/HI HI[42] (0.000::0.000)) + (INTERCONNECT insts\[430\]/HI HI[430] (0.000::0.000)) + (INTERCONNECT insts\[431\]/HI HI[431] (0.000::0.000)) + (INTERCONNECT insts\[432\]/HI HI[432] (0.000::0.000)) + (INTERCONNECT insts\[433\]/HI HI[433] (0.000::0.000)) + (INTERCONNECT insts\[434\]/HI HI[434] (0.000::0.000)) + (INTERCONNECT insts\[435\]/HI HI[435] (0.000::0.000)) + (INTERCONNECT insts\[436\]/HI HI[436] (0.000::0.000)) + (INTERCONNECT insts\[437\]/HI HI[437] (0.000::0.000)) + (INTERCONNECT insts\[438\]/HI HI[438] (0.000::0.000)) + (INTERCONNECT insts\[439\]/HI HI[439] (0.000::0.000)) + (INTERCONNECT insts\[43\]/HI HI[43] (0.000::0.000)) + (INTERCONNECT insts\[440\]/HI HI[440] (0.000::0.000)) + (INTERCONNECT insts\[441\]/HI HI[441] (0.000::0.000)) + (INTERCONNECT insts\[442\]/HI HI[442] (0.000::0.000)) + (INTERCONNECT insts\[443\]/HI HI[443] (0.000::0.000)) + (INTERCONNECT insts\[444\]/HI HI[444] (0.000::0.000)) + (INTERCONNECT insts\[445\]/HI HI[445] (0.000::0.000)) + (INTERCONNECT insts\[446\]/HI HI[446] (0.000::0.000)) + (INTERCONNECT insts\[447\]/HI HI[447] (0.000::0.000)) + (INTERCONNECT insts\[448\]/HI HI[448] (0.000::0.000)) + (INTERCONNECT insts\[449\]/HI HI[449] (0.000::0.000)) + (INTERCONNECT insts\[44\]/HI HI[44] (0.000::0.000)) + (INTERCONNECT insts\[450\]/HI HI[450] (0.000::0.000)) + (INTERCONNECT insts\[451\]/HI HI[451] (0.000::0.000)) + (INTERCONNECT insts\[452\]/HI HI[452] (0.000::0.000)) + (INTERCONNECT insts\[453\]/HI HI[453] (0.000::0.000)) + (INTERCONNECT insts\[454\]/HI HI[454] (0.000::0.000)) + (INTERCONNECT insts\[455\]/HI HI[455] (0.000::0.000)) + (INTERCONNECT insts\[456\]/HI HI[456] (0.000::0.000)) + (INTERCONNECT insts\[457\]/HI HI[457] (0.000::0.000)) + (INTERCONNECT insts\[458\]/HI HI[458] (0.000::0.000)) + (INTERCONNECT insts\[459\]/HI HI[459] (0.000::0.000)) + (INTERCONNECT insts\[45\]/HI HI[45] (0.000::0.000)) + (INTERCONNECT insts\[460\]/HI HI[460] (0.000::0.000)) + (INTERCONNECT insts\[461\]/HI HI[461] (0.000::0.000)) + (INTERCONNECT insts\[462\]/HI HI[462] (0.000::0.000)) + (INTERCONNECT insts\[46\]/HI HI[46] (0.000::0.000)) + (INTERCONNECT insts\[47\]/HI HI[47] (0.000::0.000)) + (INTERCONNECT insts\[48\]/HI HI[48] (0.000::0.000)) + (INTERCONNECT insts\[49\]/HI HI[49] (0.000::0.000)) + (INTERCONNECT insts\[4\]/HI HI[4] (0.000::0.000)) + (INTERCONNECT insts\[50\]/HI HI[50] (0.000::0.000)) + (INTERCONNECT insts\[51\]/HI HI[51] (0.000::0.000)) + (INTERCONNECT insts\[52\]/HI HI[52] (0.000::0.000)) + (INTERCONNECT insts\[53\]/HI HI[53] (0.000::0.000)) + (INTERCONNECT insts\[54\]/HI HI[54] (0.000::0.000)) + (INTERCONNECT insts\[55\]/HI HI[55] (0.000::0.000)) + (INTERCONNECT insts\[56\]/HI HI[56] (0.000::0.000)) + (INTERCONNECT insts\[57\]/HI HI[57] (0.000::0.000)) + (INTERCONNECT insts\[58\]/HI HI[58] (0.000::0.000)) + (INTERCONNECT insts\[59\]/HI HI[59] (0.000::0.000)) + (INTERCONNECT insts\[5\]/HI HI[5] (0.000::0.000)) + (INTERCONNECT insts\[60\]/HI HI[60] (0.000::0.000)) + (INTERCONNECT insts\[61\]/HI HI[61] (0.000::0.000)) + (INTERCONNECT insts\[62\]/HI HI[62] (0.000::0.000)) + (INTERCONNECT insts\[63\]/HI HI[63] (0.000::0.000)) + (INTERCONNECT insts\[64\]/HI HI[64] (0.000::0.000)) + (INTERCONNECT insts\[65\]/HI HI[65] (0.000::0.000)) + (INTERCONNECT insts\[66\]/HI HI[66] (0.000::0.000)) + (INTERCONNECT insts\[67\]/HI HI[67] (0.000::0.000)) + (INTERCONNECT insts\[68\]/HI HI[68] (0.000::0.000)) + (INTERCONNECT insts\[69\]/HI HI[69] (0.000::0.000)) + (INTERCONNECT insts\[6\]/HI HI[6] (0.000::0.000)) + (INTERCONNECT insts\[70\]/HI HI[70] (0.000::0.000)) + (INTERCONNECT insts\[71\]/HI HI[71] (0.000::0.000)) + (INTERCONNECT insts\[72\]/HI HI[72] (0.000::0.000)) + (INTERCONNECT insts\[73\]/HI HI[73] (0.000::0.000)) + (INTERCONNECT insts\[74\]/HI HI[74] (0.000::0.000)) + (INTERCONNECT insts\[75\]/HI HI[75] (0.000::0.000)) + (INTERCONNECT insts\[76\]/HI HI[76] (0.000::0.000)) + (INTERCONNECT insts\[77\]/HI HI[77] (0.000::0.000)) + (INTERCONNECT insts\[78\]/HI HI[78] (0.000::0.000)) + (INTERCONNECT insts\[79\]/HI HI[79] (0.000::0.000)) + (INTERCONNECT insts\[7\]/HI HI[7] (0.000::0.000)) + (INTERCONNECT insts\[80\]/HI HI[80] (0.000::0.000)) + (INTERCONNECT insts\[81\]/HI HI[81] (0.000::0.000)) + (INTERCONNECT insts\[82\]/HI HI[82] (0.000::0.000)) + (INTERCONNECT insts\[83\]/HI HI[83] (0.000::0.000)) + (INTERCONNECT insts\[84\]/HI HI[84] (0.000::0.000)) + (INTERCONNECT insts\[85\]/HI HI[85] (0.000::0.000)) + (INTERCONNECT insts\[86\]/HI HI[86] (0.000::0.000)) + (INTERCONNECT insts\[87\]/HI HI[87] (0.000::0.000)) + (INTERCONNECT insts\[88\]/HI HI[88] (0.000::0.000)) + (INTERCONNECT insts\[89\]/HI HI[89] (0.000::0.000)) + (INTERCONNECT insts\[8\]/HI HI[8] (0.000::0.000)) + (INTERCONNECT insts\[90\]/HI HI[90] (0.000::0.000)) + (INTERCONNECT insts\[91\]/HI HI[91] (0.000::0.000)) + (INTERCONNECT insts\[92\]/HI HI[92] (0.000::0.000)) + (INTERCONNECT insts\[93\]/HI HI[93] (0.000::0.000)) + (INTERCONNECT insts\[94\]/HI HI[94] (0.000::0.000)) + (INTERCONNECT insts\[95\]/HI HI[95] (0.000::0.000)) + (INTERCONNECT insts\[96\]/HI HI[96] (0.000::0.000)) + (INTERCONNECT insts\[97\]/HI HI[97] (0.000::0.000)) + (INTERCONNECT insts\[98\]/HI HI[98] (0.000::0.000)) + (INTERCONNECT insts\[99\]/HI HI[99] (0.000::0.000)) + (INTERCONNECT insts\[9\]/HI HI[9] (0.000::0.000)) + ) + ) + ) +) diff --git a/sdf/spare_logic_block.sdf b/sdf/spare_logic_block.sdf new file mode 100644 index 00000000..b86465ff --- /dev/null +++ b/sdf/spare_logic_block.sdf @@ -0,0 +1,243 @@ +(DELAYFILE + (SDFVERSION "3.0") + (DESIGN "spare_logic_block") + (DATE "Sun Nov 28 13:27:22 2021") + (VENDOR "Parallax") + (PROGRAM "STA") + (VERSION "2.3.0") + (DIVIDER /) + (VOLTAGE 1.800::1.800) + (PROCESS "1.000::1.000") + (TEMPERATURE 25.000::25.000) + (TIMESCALE 1ns) + (CELL + (CELLTYPE "spare_logic_block") + (INSTANCE) + (DELAY + (ABSOLUTE + (INTERCONNECT spare_logic_biginv/Y spare_xib (0.000::0.000)) + (INTERCONNECT spare_logic_const\[0\]/LO spare_xz[0] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[0\]/LO spare_logic_inv\[0\]/A (0.000::0.000)) + (INTERCONNECT spare_logic_const\[10\]/LO spare_xz[10] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[10\]/LO spare_logic_nor\[1\]/A (0.000::0.000)) + (INTERCONNECT spare_logic_const\[11\]/LO spare_xz[11] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[11\]/LO spare_logic_nor\[0\]/B (0.000::0.000)) + (INTERCONNECT spare_logic_const\[12\]/LO spare_xz[12] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[12\]/LO spare_logic_nor\[1\]/B (0.000::0.000)) + (INTERCONNECT spare_logic_const\[13\]/LO spare_xz[13] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[13\]/LO spare_logic_mux\[0\]/A0 (0.000::0.000)) + (INTERCONNECT spare_logic_const\[14\]/LO spare_xz[14] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[14\]/LO spare_logic_mux\[1\]/A0 (0.000::0.000)) + (INTERCONNECT spare_logic_const\[15\]/LO spare_xz[15] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[15\]/LO spare_logic_mux\[0\]/A1 (0.000::0.000)) + (INTERCONNECT spare_logic_const\[16\]/LO spare_xz[16] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[16\]/LO spare_logic_mux\[1\]/A1 (0.000::0.000)) + (INTERCONNECT spare_logic_const\[17\]/LO spare_xz[17] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[17\]/LO spare_logic_mux\[0\]/S (0.000::0.000)) + (INTERCONNECT spare_logic_const\[18\]/LO spare_xz[18] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[18\]/LO spare_logic_mux\[1\]/S (0.000::0.000)) + (INTERCONNECT spare_logic_const\[19\]/LO spare_xz[19] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[19\]/LO spare_logic_flop\[0\]/D (0.000::0.000)) + (INTERCONNECT spare_logic_const\[1\]/LO spare_xz[1] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[1\]/LO spare_logic_inv\[1\]/A (0.000::0.000)) + (INTERCONNECT spare_logic_const\[20\]/LO spare_xz[20] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[20\]/LO spare_logic_flop\[1\]/D (0.000::0.000)) + (INTERCONNECT spare_logic_const\[21\]/LO spare_xz[21] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[21\]/LO spare_logic_flop\[0\]/CLK (0.000::0.000)) + (INTERCONNECT spare_logic_const\[22\]/LO spare_xz[22] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[22\]/LO spare_logic_flop\[1\]/CLK (0.000::0.000)) + (INTERCONNECT spare_logic_const\[23\]/LO spare_xz[23] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[23\]/LO spare_logic_flop\[0\]/SET_B (0.000::0.000)) + (INTERCONNECT spare_logic_const\[24\]/LO spare_xz[24] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[24\]/LO spare_logic_flop\[1\]/SET_B (0.000::0.000)) + (INTERCONNECT spare_logic_const\[25\]/LO spare_xz[25] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[25\]/LO spare_logic_flop\[0\]/RESET_B (0.000::0.000)) + (INTERCONNECT spare_logic_const\[26\]/LO spare_xz[26] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[26\]/LO spare_logic_flop\[1\]/RESET_B (0.000::0.000)) + (INTERCONNECT spare_logic_const\[2\]/LO spare_xz[2] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[2\]/LO spare_logic_inv\[2\]/A (0.000::0.000)) + (INTERCONNECT spare_logic_const\[3\]/LO spare_xz[3] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[3\]/LO spare_logic_inv\[3\]/A (0.000::0.000)) + (INTERCONNECT spare_logic_const\[4\]/LO spare_xz[4] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[4\]/LO spare_logic_biginv/A (0.000::0.000)) + (INTERCONNECT spare_logic_const\[5\]/LO spare_xz[5] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[5\]/LO spare_logic_nand\[0\]/A (0.000::0.000)) + (INTERCONNECT spare_logic_const\[6\]/LO spare_xz[6] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[6\]/LO spare_logic_nand\[1\]/A (0.000::0.000)) + (INTERCONNECT spare_logic_const\[7\]/LO spare_xz[7] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[7\]/LO spare_logic_nand\[0\]/B (0.000::0.000)) + (INTERCONNECT spare_logic_const\[8\]/LO spare_xz[8] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[8\]/LO spare_logic_nand\[1\]/B (0.000::0.000)) + (INTERCONNECT spare_logic_const\[9\]/LO spare_xz[9] (0.000::0.000)) + (INTERCONNECT spare_logic_const\[9\]/LO spare_logic_nor\[0\]/A (0.000::0.000)) + (INTERCONNECT spare_logic_flop\[0\]/Q spare_xfq[0] (0.001::0.001)) + (INTERCONNECT spare_logic_flop\[0\]/Q_N spare_xfqn[0] (0.001::0.001)) + (INTERCONNECT spare_logic_flop\[1\]/Q spare_xfq[1] (0.001::0.001)) + (INTERCONNECT spare_logic_flop\[1\]/Q_N spare_xfqn[1] (0.001::0.001)) + (INTERCONNECT spare_logic_inv\[0\]/Y spare_xi[0] (0.000::0.000)) + (INTERCONNECT spare_logic_inv\[1\]/Y spare_xi[1] (0.000::0.000)) + (INTERCONNECT spare_logic_inv\[2\]/Y spare_xi[2] (0.000::0.000)) + (INTERCONNECT spare_logic_inv\[3\]/Y spare_xi[3] (0.000::0.000)) + (INTERCONNECT spare_logic_mux\[0\]/X spare_xmx[0] (0.000::0.000)) + (INTERCONNECT spare_logic_mux\[1\]/X spare_xmx[1] (0.000::0.000)) + (INTERCONNECT spare_logic_nand\[0\]/Y spare_xna[0] (0.000::0.000)) + (INTERCONNECT spare_logic_nand\[1\]/Y spare_xna[1] (0.000::0.000)) + (INTERCONNECT spare_logic_nor\[0\]/Y spare_xno[0] (0.000::0.000)) + (INTERCONNECT spare_logic_nor\[1\]/Y spare_xno[1] (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_8") + (INSTANCE spare_logic_biginv) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbp_1") + (INSTANCE spare_logic_flop\[0\]) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q_N (0.000::0.000)) + (IOPATH CLK Q (0.000::0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.000::0.000)) + (RECOVERY (posedge SET_B) (posedge CLK) (0.000::0.000)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.000::0.000)) + (RECOVERY (posedge RESET_B) (posedge CLK) (0.000::0.000)) + (HOLD D (posedge CLK) (0.000::0.000)) + (SETUP D (posedge CLK) (0.000::0.000)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__dfbbp_1") + (INSTANCE spare_logic_flop\[1\]) + (DELAY + (ABSOLUTE + (IOPATH RESET_B Q_N (0.000::0.000)) + (IOPATH RESET_B Q () (0.000::0.000)) + (IOPATH SET_B Q_N () (0.000::0.000)) + (IOPATH SET_B Q (0.000::0.000)) + (IOPATH CLK Q_N (0.000::0.000)) + (IOPATH CLK Q (0.000::0.000)) + ) + ) + (TIMINGCHECK + (REMOVAL (posedge SET_B) (posedge CLK) (0.000::0.000)) + (RECOVERY (posedge SET_B) (posedge CLK) (0.000::0.000)) + (REMOVAL (posedge RESET_B) (posedge CLK) (0.000::0.000)) + (RECOVERY (posedge RESET_B) (posedge CLK) (0.000::0.000)) + (HOLD D (posedge CLK) (0.000::0.000)) + (SETUP D (posedge CLK) (0.000::0.000)) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE spare_logic_inv\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE spare_logic_inv\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE spare_logic_inv\[2\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__inv_2") + (INSTANCE spare_logic_inv\[3\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE spare_logic_mux\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.000::0.000)) + (IOPATH A1 X (0.000::0.000)) + (IOPATH S X (0.000::0.000)) + (IOPATH S X (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__mux2_2") + (INSTANCE spare_logic_mux\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A0 X (0.000::0.000)) + (IOPATH A1 X (0.000::0.000)) + (IOPATH S X (0.000::0.000)) + (IOPATH S X (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE spare_logic_nand\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.000::0.000)) + (IOPATH B Y (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nand2_2") + (INSTANCE spare_logic_nand\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.000::0.000)) + (IOPATH B Y (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE spare_logic_nor\[0\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.000::0.000)) + (IOPATH B Y (0.000::0.000)) + ) + ) + ) + (CELL + (CELLTYPE "sky130_fd_sc_hd__nor2_2") + (INSTANCE spare_logic_nor\[1\]) + (DELAY + (ABSOLUTE + (IOPATH A Y (0.000::0.000)) + (IOPATH B Y (0.000::0.000)) + ) + ) + ) +) diff --git a/spef/caravan.sdc b/spef/caravan.sdc new file mode 100644 index 00000000..99b149c6 --- /dev/null +++ b/spef/caravan.sdc @@ -0,0 +1,82 @@ +set ::env(IO_PCT) "0.2" +set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_1" +set ::env(SYNTH_DRIVING_CELL_PIN) "Y" +set ::env(SYNTH_MAX_FANOUT) "5" +set ::env(SYNTH_CAP_LOAD) "33.442" +set ::env(SYNTH_TIMING_DERATE) 0.05 +set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 +set ::env(SYNTH_CLOCK_TRANSITION) 0.15 + +## MASTER CLOCKS +create_clock [get_ports {"clock"} ] -name "clock" -period 25 +create_clock -name __VIRTUAL_CLK__ -period 25 + +## INPUT/OUTPUT DELAYS +set input_delay_value 1 +set output_delay_value [expr 25 * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" + +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] + + +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}] + +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] + +# TODO set this as parameter +set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +set_load $cap_load [all_outputs] + +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] + +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" +set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}] + +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}] diff --git a/spef/caravan.spef b/spef/caravan.spef new file mode 100644 index 00000000..2ebe5742 --- /dev/null +++ b/spef/caravan.spef @@ -0,0 +1,71420 @@ +*SPEF "ieee 1481-1999" +*DESIGN "caravan" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 clock +*2 flash_clk +*3 flash_csb +*4 flash_io0 +*5 flash_io1 +*6 gpio +*7 mprj_io[0] +*8 mprj_io[10] +*9 mprj_io[11] +*10 mprj_io[12] +*11 mprj_io[13] +*12 mprj_io[14] +*13 mprj_io[15] +*14 mprj_io[16] +*15 mprj_io[17] +*16 mprj_io[18] +*17 mprj_io[19] +*18 mprj_io[1] +*19 mprj_io[20] +*20 mprj_io[21] +*21 mprj_io[22] +*22 mprj_io[23] +*23 mprj_io[24] +*24 mprj_io[25] +*25 mprj_io[26] +*26 mprj_io[27] +*27 mprj_io[28] +*28 mprj_io[29] +*29 mprj_io[2] +*30 mprj_io[30] +*31 mprj_io[31] +*32 mprj_io[32] +*33 mprj_io[33] +*34 mprj_io[34] +*35 mprj_io[35] +*36 mprj_io[36] +*37 mprj_io[37] +*38 mprj_io[3] +*39 mprj_io[4] +*40 mprj_io[5] +*41 mprj_io[6] +*42 mprj_io[7] +*43 mprj_io[8] +*44 mprj_io[9] +*45 resetb +*46 vccd1 +*47 vccd2 +*48 vdda +*49 vdda1 +*50 vdda1_2 +*51 vdda2 +*52 vddio_2 +*53 vssa1 +*54 vssa1_2 +*55 vssa2 +*56 vssd1 +*57 vssd2 +*58 vssio_2 +*59 vddio +*60 vssio +*61 vssa +*62 vccd +*63 vssd +*64 caravel_clk +*65 caravel_clk2 +*66 caravel_rstn +*67 clock_core +*68 debug_in +*69 debug_mode +*70 debug_oeb +*71 debug_out +*72 ext_clk_sel +*73 ext_reset +*74 flash_clk_core +*75 flash_clk_frame +*76 flash_clk_oeb +*77 flash_csb_core +*78 flash_csb_frame +*79 flash_csb_oeb +*80 flash_io0_di +*81 flash_io0_di_core +*82 flash_io0_do +*83 flash_io0_do_core +*84 flash_io0_ieb +*85 flash_io0_oeb +*86 flash_io0_oeb_core +*87 flash_io1_di +*88 flash_io1_di_core +*89 flash_io1_do +*90 flash_io1_do_core +*91 flash_io1_ieb +*92 flash_io1_oeb +*93 flash_io1_oeb_core +*94 flash_io2_di_core +*95 flash_io2_do_core +*96 flash_io2_oeb_core +*97 flash_io3_di_core +*98 flash_io3_do_core +*99 flash_io3_oeb_core +*100 gpio_clock_1\[0\] +*101 gpio_clock_1\[10\] +*102 gpio_clock_1\[11\] +*103 gpio_clock_1\[12\] +*104 gpio_clock_1\[1\] +*105 gpio_clock_1\[2\] +*106 gpio_clock_1\[3\] +*107 gpio_clock_1\[4\] +*108 gpio_clock_1\[5\] +*109 gpio_clock_1\[6\] +*110 gpio_clock_1\[7\] +*111 gpio_clock_1\[8\] +*112 gpio_clock_1\[9\] +*113 gpio_clock_1_shifted\[0\] +*114 gpio_defaults\[0\] +*115 gpio_defaults\[100\] +*116 gpio_defaults\[101\] +*117 gpio_defaults\[102\] +*118 gpio_defaults\[103\] +*119 gpio_defaults\[104\] +*120 gpio_defaults\[105\] +*121 gpio_defaults\[106\] +*122 gpio_defaults\[107\] +*123 gpio_defaults\[108\] +*124 gpio_defaults\[109\] +*125 gpio_defaults\[10\] +*126 gpio_defaults\[110\] +*127 gpio_defaults\[111\] +*128 gpio_defaults\[112\] +*129 gpio_defaults\[113\] +*130 gpio_defaults\[114\] +*131 gpio_defaults\[115\] +*132 gpio_defaults\[116\] +*133 gpio_defaults\[117\] +*134 gpio_defaults\[118\] +*135 gpio_defaults\[119\] +*136 gpio_defaults\[11\] +*137 gpio_defaults\[120\] +*138 gpio_defaults\[121\] +*139 gpio_defaults\[122\] +*140 gpio_defaults\[123\] +*141 gpio_defaults\[124\] +*142 gpio_defaults\[125\] +*143 gpio_defaults\[126\] +*144 gpio_defaults\[127\] +*145 gpio_defaults\[128\] +*146 gpio_defaults\[129\] +*147 gpio_defaults\[12\] +*148 gpio_defaults\[130\] +*149 gpio_defaults\[131\] +*150 gpio_defaults\[132\] +*151 gpio_defaults\[133\] +*152 gpio_defaults\[134\] +*153 gpio_defaults\[135\] +*154 gpio_defaults\[136\] +*155 gpio_defaults\[137\] +*156 gpio_defaults\[138\] +*157 gpio_defaults\[139\] +*158 gpio_defaults\[13\] +*159 gpio_defaults\[140\] +*160 gpio_defaults\[141\] +*161 gpio_defaults\[142\] +*162 gpio_defaults\[143\] +*163 gpio_defaults\[144\] +*164 gpio_defaults\[145\] +*165 gpio_defaults\[146\] +*166 gpio_defaults\[147\] +*167 gpio_defaults\[148\] +*168 gpio_defaults\[149\] +*169 gpio_defaults\[14\] +*170 gpio_defaults\[150\] +*171 gpio_defaults\[151\] +*172 gpio_defaults\[152\] +*173 gpio_defaults\[153\] +*174 gpio_defaults\[154\] +*175 gpio_defaults\[155\] +*176 gpio_defaults\[156\] +*177 gpio_defaults\[157\] +*178 gpio_defaults\[158\] +*179 gpio_defaults\[159\] +*180 gpio_defaults\[15\] +*181 gpio_defaults\[160\] +*182 gpio_defaults\[161\] +*183 gpio_defaults\[162\] +*184 gpio_defaults\[163\] +*185 gpio_defaults\[164\] +*186 gpio_defaults\[165\] +*187 gpio_defaults\[166\] +*188 gpio_defaults\[167\] +*189 gpio_defaults\[168\] +*190 gpio_defaults\[169\] +*191 gpio_defaults\[16\] +*192 gpio_defaults\[170\] +*193 gpio_defaults\[171\] +*194 gpio_defaults\[172\] +*195 gpio_defaults\[173\] +*196 gpio_defaults\[174\] +*197 gpio_defaults\[175\] +*198 gpio_defaults\[176\] +*199 gpio_defaults\[177\] +*200 gpio_defaults\[178\] +*201 gpio_defaults\[179\] +*202 gpio_defaults\[17\] +*203 gpio_defaults\[180\] +*204 gpio_defaults\[181\] +*205 gpio_defaults\[182\] +*206 gpio_defaults\[183\] +*207 gpio_defaults\[184\] +*208 gpio_defaults\[185\] +*209 gpio_defaults\[186\] +*210 gpio_defaults\[187\] +*211 gpio_defaults\[188\] +*212 gpio_defaults\[189\] +*213 gpio_defaults\[18\] +*214 gpio_defaults\[190\] +*215 gpio_defaults\[191\] +*216 gpio_defaults\[192\] +*217 gpio_defaults\[193\] +*218 gpio_defaults\[194\] +*219 gpio_defaults\[195\] +*220 gpio_defaults\[196\] +*221 gpio_defaults\[197\] +*222 gpio_defaults\[198\] +*223 gpio_defaults\[199\] +*224 gpio_defaults\[19\] +*225 gpio_defaults\[1\] +*226 gpio_defaults\[200\] +*227 gpio_defaults\[201\] +*228 gpio_defaults\[202\] +*229 gpio_defaults\[203\] +*230 gpio_defaults\[204\] +*231 gpio_defaults\[205\] +*232 gpio_defaults\[206\] +*233 gpio_defaults\[207\] +*234 gpio_defaults\[208\] +*235 gpio_defaults\[209\] +*236 gpio_defaults\[20\] +*237 gpio_defaults\[210\] +*238 gpio_defaults\[211\] +*239 gpio_defaults\[212\] +*240 gpio_defaults\[213\] +*241 gpio_defaults\[214\] +*242 gpio_defaults\[215\] +*243 gpio_defaults\[216\] +*244 gpio_defaults\[217\] +*245 gpio_defaults\[218\] +*246 gpio_defaults\[219\] +*247 gpio_defaults\[21\] +*248 gpio_defaults\[220\] +*249 gpio_defaults\[221\] +*250 gpio_defaults\[222\] +*251 gpio_defaults\[223\] +*252 gpio_defaults\[224\] +*253 gpio_defaults\[225\] +*254 gpio_defaults\[226\] +*255 gpio_defaults\[227\] +*256 gpio_defaults\[228\] +*257 gpio_defaults\[229\] +*258 gpio_defaults\[22\] +*259 gpio_defaults\[230\] +*260 gpio_defaults\[231\] +*261 gpio_defaults\[232\] +*262 gpio_defaults\[233\] +*263 gpio_defaults\[234\] +*264 gpio_defaults\[235\] +*265 gpio_defaults\[236\] +*266 gpio_defaults\[237\] +*267 gpio_defaults\[238\] +*268 gpio_defaults\[239\] +*269 gpio_defaults\[23\] +*270 gpio_defaults\[240\] +*271 gpio_defaults\[241\] +*272 gpio_defaults\[242\] +*273 gpio_defaults\[243\] +*274 gpio_defaults\[244\] +*275 gpio_defaults\[245\] +*276 gpio_defaults\[246\] +*277 gpio_defaults\[247\] +*278 gpio_defaults\[248\] +*279 gpio_defaults\[249\] +*280 gpio_defaults\[24\] +*281 gpio_defaults\[250\] +*282 gpio_defaults\[251\] +*283 gpio_defaults\[252\] +*284 gpio_defaults\[253\] +*285 gpio_defaults\[254\] +*286 gpio_defaults\[255\] +*287 gpio_defaults\[256\] +*288 gpio_defaults\[257\] +*289 gpio_defaults\[258\] +*290 gpio_defaults\[259\] +*291 gpio_defaults\[25\] +*292 gpio_defaults\[260\] +*293 gpio_defaults\[261\] +*294 gpio_defaults\[262\] +*295 gpio_defaults\[263\] +*296 gpio_defaults\[264\] +*297 gpio_defaults\[265\] +*298 gpio_defaults\[266\] +*299 gpio_defaults\[267\] +*300 gpio_defaults\[268\] +*301 gpio_defaults\[269\] +*302 gpio_defaults\[26\] +*303 gpio_defaults\[270\] +*304 gpio_defaults\[271\] +*305 gpio_defaults\[272\] +*306 gpio_defaults\[273\] +*307 gpio_defaults\[274\] +*308 gpio_defaults\[275\] +*309 gpio_defaults\[276\] +*310 gpio_defaults\[277\] +*311 gpio_defaults\[278\] +*312 gpio_defaults\[279\] +*313 gpio_defaults\[27\] +*314 gpio_defaults\[280\] +*315 gpio_defaults\[281\] +*316 gpio_defaults\[282\] +*317 gpio_defaults\[283\] +*318 gpio_defaults\[284\] +*319 gpio_defaults\[285\] +*320 gpio_defaults\[286\] +*321 gpio_defaults\[287\] +*322 gpio_defaults\[288\] +*323 gpio_defaults\[289\] +*324 gpio_defaults\[28\] +*325 gpio_defaults\[290\] +*326 gpio_defaults\[291\] +*327 gpio_defaults\[292\] +*328 gpio_defaults\[293\] +*329 gpio_defaults\[294\] +*330 gpio_defaults\[295\] +*331 gpio_defaults\[296\] +*332 gpio_defaults\[297\] +*333 gpio_defaults\[298\] +*334 gpio_defaults\[299\] +*335 gpio_defaults\[29\] +*336 gpio_defaults\[2\] +*337 gpio_defaults\[300\] +*338 gpio_defaults\[301\] +*339 gpio_defaults\[302\] +*340 gpio_defaults\[303\] +*341 gpio_defaults\[304\] +*342 gpio_defaults\[305\] +*343 gpio_defaults\[306\] +*344 gpio_defaults\[307\] +*345 gpio_defaults\[308\] +*346 gpio_defaults\[309\] +*347 gpio_defaults\[30\] +*348 gpio_defaults\[310\] +*349 gpio_defaults\[311\] +*350 gpio_defaults\[312\] +*351 gpio_defaults\[313\] +*352 gpio_defaults\[314\] +*353 gpio_defaults\[315\] +*354 gpio_defaults\[316\] +*355 gpio_defaults\[317\] +*356 gpio_defaults\[318\] +*357 gpio_defaults\[319\] +*358 gpio_defaults\[31\] +*359 gpio_defaults\[320\] +*360 gpio_defaults\[321\] +*361 gpio_defaults\[322\] +*362 gpio_defaults\[323\] +*363 gpio_defaults\[324\] +*364 gpio_defaults\[325\] +*365 gpio_defaults\[326\] +*366 gpio_defaults\[327\] +*367 gpio_defaults\[328\] +*368 gpio_defaults\[329\] +*369 gpio_defaults\[32\] +*370 gpio_defaults\[330\] +*371 gpio_defaults\[331\] +*372 gpio_defaults\[332\] +*373 gpio_defaults\[333\] +*374 gpio_defaults\[334\] +*375 gpio_defaults\[335\] +*376 gpio_defaults\[336\] +*377 gpio_defaults\[337\] +*378 gpio_defaults\[338\] +*379 gpio_defaults\[339\] +*380 gpio_defaults\[33\] +*381 gpio_defaults\[340\] +*382 gpio_defaults\[341\] +*383 gpio_defaults\[342\] +*384 gpio_defaults\[343\] +*385 gpio_defaults\[344\] +*386 gpio_defaults\[345\] +*387 gpio_defaults\[346\] +*388 gpio_defaults\[347\] +*389 gpio_defaults\[348\] +*390 gpio_defaults\[349\] +*391 gpio_defaults\[34\] +*392 gpio_defaults\[350\] +*393 gpio_defaults\[35\] +*394 gpio_defaults\[36\] +*395 gpio_defaults\[37\] +*396 gpio_defaults\[38\] +*397 gpio_defaults\[39\] +*398 gpio_defaults\[3\] +*399 gpio_defaults\[40\] +*400 gpio_defaults\[41\] +*401 gpio_defaults\[42\] +*402 gpio_defaults\[43\] +*403 gpio_defaults\[44\] +*404 gpio_defaults\[45\] +*405 gpio_defaults\[46\] +*406 gpio_defaults\[47\] +*407 gpio_defaults\[48\] +*408 gpio_defaults\[49\] +*409 gpio_defaults\[4\] +*410 gpio_defaults\[50\] +*411 gpio_defaults\[51\] +*412 gpio_defaults\[52\] +*413 gpio_defaults\[53\] +*414 gpio_defaults\[54\] +*415 gpio_defaults\[55\] +*416 gpio_defaults\[56\] +*417 gpio_defaults\[57\] +*418 gpio_defaults\[58\] +*419 gpio_defaults\[59\] +*420 gpio_defaults\[5\] +*421 gpio_defaults\[60\] +*422 gpio_defaults\[61\] +*423 gpio_defaults\[62\] +*424 gpio_defaults\[63\] +*425 gpio_defaults\[64\] +*426 gpio_defaults\[65\] +*427 gpio_defaults\[66\] +*428 gpio_defaults\[67\] +*429 gpio_defaults\[68\] +*430 gpio_defaults\[69\] +*431 gpio_defaults\[6\] +*432 gpio_defaults\[70\] +*433 gpio_defaults\[71\] +*434 gpio_defaults\[72\] +*435 gpio_defaults\[73\] +*436 gpio_defaults\[74\] +*437 gpio_defaults\[75\] +*438 gpio_defaults\[76\] +*439 gpio_defaults\[77\] +*440 gpio_defaults\[78\] +*441 gpio_defaults\[79\] +*442 gpio_defaults\[7\] +*443 gpio_defaults\[80\] +*444 gpio_defaults\[81\] +*445 gpio_defaults\[82\] +*446 gpio_defaults\[83\] +*447 gpio_defaults\[84\] +*448 gpio_defaults\[85\] +*449 gpio_defaults\[86\] +*450 gpio_defaults\[87\] +*451 gpio_defaults\[88\] +*452 gpio_defaults\[89\] +*453 gpio_defaults\[8\] +*454 gpio_defaults\[90\] +*455 gpio_defaults\[91\] +*456 gpio_defaults\[92\] +*457 gpio_defaults\[93\] +*458 gpio_defaults\[94\] +*459 gpio_defaults\[95\] +*460 gpio_defaults\[96\] +*461 gpio_defaults\[97\] +*462 gpio_defaults\[98\] +*463 gpio_defaults\[99\] +*464 gpio_defaults\[9\] +*465 gpio_in_core +*466 gpio_inenb_core +*467 gpio_load_1\[0\] +*468 gpio_load_1\[10\] +*469 gpio_load_1\[11\] +*470 gpio_load_1\[12\] +*471 gpio_load_1\[1\] +*472 gpio_load_1\[2\] +*473 gpio_load_1\[3\] +*474 gpio_load_1\[4\] +*475 gpio_load_1\[5\] +*476 gpio_load_1\[6\] +*477 gpio_load_1\[7\] +*478 gpio_load_1\[8\] +*479 gpio_load_1\[9\] +*480 gpio_load_1_shifted\[0\] +*481 gpio_mode0_core +*482 gpio_mode1_core +*483 gpio_out_core +*484 gpio_outenb_core +*485 gpio_resetn_1\[0\] +*486 gpio_resetn_1\[10\] +*487 gpio_resetn_1\[11\] +*488 gpio_resetn_1\[12\] +*489 gpio_resetn_1\[1\] +*490 gpio_resetn_1\[2\] +*491 gpio_resetn_1\[3\] +*492 gpio_resetn_1\[4\] +*493 gpio_resetn_1\[5\] +*494 gpio_resetn_1\[6\] +*495 gpio_resetn_1\[7\] +*496 gpio_resetn_1\[8\] +*497 gpio_resetn_1\[9\] +*498 gpio_resetn_1_shifted\[0\] +*499 gpio_serial_link_1\[0\] +*500 gpio_serial_link_1\[10\] +*501 gpio_serial_link_1\[11\] +*502 gpio_serial_link_1\[12\] +*503 gpio_serial_link_1\[1\] +*504 gpio_serial_link_1\[2\] +*505 gpio_serial_link_1\[3\] +*506 gpio_serial_link_1\[4\] +*507 gpio_serial_link_1\[5\] +*508 gpio_serial_link_1\[6\] +*509 gpio_serial_link_1\[7\] +*510 gpio_serial_link_1\[8\] +*511 gpio_serial_link_1\[9\] +*512 gpio_serial_link_1_shifted\[0\] +*513 gpio_serial_link_2\[10\] +*514 gpio_serial_link_2\[11\] +*515 gpio_serial_link_2\[12\] +*516 gpio_serial_link_2\[1\] +*517 gpio_serial_link_2\[2\] +*518 gpio_serial_link_2\[3\] +*519 gpio_serial_link_2\[4\] +*520 gpio_serial_link_2\[5\] +*521 gpio_serial_link_2\[6\] +*522 gpio_serial_link_2\[7\] +*523 gpio_serial_link_2\[8\] +*524 gpio_serial_link_2\[9\] +*525 gpio_serial_link_2_shifted\[12\] +*526 hk_ack_i +*527 hk_dat_i\[0\] +*528 hk_dat_i\[10\] +*529 hk_dat_i\[11\] +*530 hk_dat_i\[12\] +*531 hk_dat_i\[13\] +*532 hk_dat_i\[14\] +*533 hk_dat_i\[15\] +*534 hk_dat_i\[16\] +*535 hk_dat_i\[17\] +*536 hk_dat_i\[18\] +*537 hk_dat_i\[19\] +*538 hk_dat_i\[1\] +*539 hk_dat_i\[20\] +*540 hk_dat_i\[21\] +*541 hk_dat_i\[22\] +*542 hk_dat_i\[23\] +*543 hk_dat_i\[24\] +*544 hk_dat_i\[25\] +*545 hk_dat_i\[26\] +*546 hk_dat_i\[27\] +*547 hk_dat_i\[28\] +*548 hk_dat_i\[29\] +*549 hk_dat_i\[2\] +*550 hk_dat_i\[30\] +*551 hk_dat_i\[31\] +*552 hk_dat_i\[3\] +*553 hk_dat_i\[4\] +*554 hk_dat_i\[5\] +*555 hk_dat_i\[6\] +*556 hk_dat_i\[7\] +*557 hk_dat_i\[8\] +*558 hk_dat_i\[9\] +*559 hk_stb_o +*560 hkspi_sram_addr\[0\] +*561 hkspi_sram_addr\[1\] +*562 hkspi_sram_addr\[2\] +*563 hkspi_sram_addr\[3\] +*564 hkspi_sram_addr\[4\] +*565 hkspi_sram_addr\[5\] +*566 hkspi_sram_addr\[6\] +*567 hkspi_sram_addr\[7\] +*568 hkspi_sram_clk +*569 hkspi_sram_csb +*570 hkspi_sram_data\[0\] +*571 hkspi_sram_data\[10\] +*572 hkspi_sram_data\[11\] +*573 hkspi_sram_data\[12\] +*574 hkspi_sram_data\[13\] +*575 hkspi_sram_data\[14\] +*576 hkspi_sram_data\[15\] +*577 hkspi_sram_data\[16\] +*578 hkspi_sram_data\[17\] +*579 hkspi_sram_data\[18\] +*580 hkspi_sram_data\[19\] +*581 hkspi_sram_data\[1\] +*582 hkspi_sram_data\[20\] +*583 hkspi_sram_data\[21\] +*584 hkspi_sram_data\[22\] +*585 hkspi_sram_data\[23\] +*586 hkspi_sram_data\[24\] +*587 hkspi_sram_data\[25\] +*588 hkspi_sram_data\[26\] +*589 hkspi_sram_data\[27\] +*590 hkspi_sram_data\[28\] +*591 hkspi_sram_data\[29\] +*592 hkspi_sram_data\[2\] +*593 hkspi_sram_data\[30\] +*594 hkspi_sram_data\[31\] +*595 hkspi_sram_data\[3\] +*596 hkspi_sram_data\[4\] +*597 hkspi_sram_data\[5\] +*598 hkspi_sram_data\[6\] +*599 hkspi_sram_data\[7\] +*600 hkspi_sram_data\[8\] +*601 hkspi_sram_data\[9\] +*602 irq_spi\[0\] +*603 irq_spi\[1\] +*604 irq_spi\[2\] +*605 la_data_in_mprj\[0\] +*606 la_data_in_mprj\[100\] +*607 la_data_in_mprj\[101\] +*608 la_data_in_mprj\[102\] +*609 la_data_in_mprj\[103\] +*610 la_data_in_mprj\[104\] +*611 la_data_in_mprj\[105\] +*612 la_data_in_mprj\[106\] +*613 la_data_in_mprj\[107\] +*614 la_data_in_mprj\[108\] +*615 la_data_in_mprj\[109\] +*616 la_data_in_mprj\[10\] +*617 la_data_in_mprj\[110\] +*618 la_data_in_mprj\[111\] +*619 la_data_in_mprj\[112\] +*620 la_data_in_mprj\[113\] +*621 la_data_in_mprj\[114\] +*622 la_data_in_mprj\[115\] +*623 la_data_in_mprj\[116\] +*624 la_data_in_mprj\[117\] +*625 la_data_in_mprj\[118\] +*626 la_data_in_mprj\[119\] +*627 la_data_in_mprj\[11\] +*628 la_data_in_mprj\[120\] +*629 la_data_in_mprj\[121\] +*630 la_data_in_mprj\[122\] +*631 la_data_in_mprj\[123\] +*632 la_data_in_mprj\[124\] +*633 la_data_in_mprj\[125\] +*634 la_data_in_mprj\[126\] +*635 la_data_in_mprj\[127\] +*636 la_data_in_mprj\[12\] +*637 la_data_in_mprj\[13\] +*638 la_data_in_mprj\[14\] +*639 la_data_in_mprj\[15\] +*640 la_data_in_mprj\[16\] +*641 la_data_in_mprj\[17\] +*642 la_data_in_mprj\[18\] +*643 la_data_in_mprj\[19\] +*644 la_data_in_mprj\[1\] +*645 la_data_in_mprj\[20\] +*646 la_data_in_mprj\[21\] +*647 la_data_in_mprj\[22\] +*648 la_data_in_mprj\[23\] +*649 la_data_in_mprj\[24\] +*650 la_data_in_mprj\[25\] +*651 la_data_in_mprj\[26\] +*652 la_data_in_mprj\[27\] +*653 la_data_in_mprj\[28\] +*654 la_data_in_mprj\[29\] +*655 la_data_in_mprj\[2\] +*656 la_data_in_mprj\[30\] +*657 la_data_in_mprj\[31\] +*658 la_data_in_mprj\[32\] +*659 la_data_in_mprj\[33\] +*660 la_data_in_mprj\[34\] +*661 la_data_in_mprj\[35\] +*662 la_data_in_mprj\[36\] +*663 la_data_in_mprj\[37\] +*664 la_data_in_mprj\[38\] +*665 la_data_in_mprj\[39\] +*666 la_data_in_mprj\[3\] +*667 la_data_in_mprj\[40\] +*668 la_data_in_mprj\[41\] +*669 la_data_in_mprj\[42\] +*670 la_data_in_mprj\[43\] +*671 la_data_in_mprj\[44\] +*672 la_data_in_mprj\[45\] +*673 la_data_in_mprj\[46\] +*674 la_data_in_mprj\[47\] +*675 la_data_in_mprj\[48\] +*676 la_data_in_mprj\[49\] +*677 la_data_in_mprj\[4\] +*678 la_data_in_mprj\[50\] +*679 la_data_in_mprj\[51\] +*680 la_data_in_mprj\[52\] +*681 la_data_in_mprj\[53\] +*682 la_data_in_mprj\[54\] +*683 la_data_in_mprj\[55\] +*684 la_data_in_mprj\[56\] +*685 la_data_in_mprj\[57\] +*686 la_data_in_mprj\[58\] +*687 la_data_in_mprj\[59\] +*688 la_data_in_mprj\[5\] +*689 la_data_in_mprj\[60\] +*690 la_data_in_mprj\[61\] +*691 la_data_in_mprj\[62\] +*692 la_data_in_mprj\[63\] +*693 la_data_in_mprj\[64\] +*694 la_data_in_mprj\[65\] +*695 la_data_in_mprj\[66\] +*696 la_data_in_mprj\[67\] +*697 la_data_in_mprj\[68\] +*698 la_data_in_mprj\[69\] +*699 la_data_in_mprj\[6\] +*700 la_data_in_mprj\[70\] +*701 la_data_in_mprj\[71\] +*702 la_data_in_mprj\[72\] +*703 la_data_in_mprj\[73\] +*704 la_data_in_mprj\[74\] +*705 la_data_in_mprj\[75\] +*706 la_data_in_mprj\[76\] +*707 la_data_in_mprj\[77\] +*708 la_data_in_mprj\[78\] +*709 la_data_in_mprj\[79\] +*710 la_data_in_mprj\[7\] +*711 la_data_in_mprj\[80\] +*712 la_data_in_mprj\[81\] +*713 la_data_in_mprj\[82\] +*714 la_data_in_mprj\[83\] +*715 la_data_in_mprj\[84\] +*716 la_data_in_mprj\[85\] +*717 la_data_in_mprj\[86\] +*718 la_data_in_mprj\[87\] +*719 la_data_in_mprj\[88\] +*720 la_data_in_mprj\[89\] +*721 la_data_in_mprj\[8\] +*722 la_data_in_mprj\[90\] +*723 la_data_in_mprj\[91\] +*724 la_data_in_mprj\[92\] +*725 la_data_in_mprj\[93\] +*726 la_data_in_mprj\[94\] +*727 la_data_in_mprj\[95\] +*728 la_data_in_mprj\[96\] +*729 la_data_in_mprj\[97\] +*730 la_data_in_mprj\[98\] +*731 la_data_in_mprj\[99\] +*732 la_data_in_mprj\[9\] +*733 la_data_in_user\[0\] +*734 la_data_in_user\[100\] +*735 la_data_in_user\[101\] +*736 la_data_in_user\[102\] +*737 la_data_in_user\[103\] +*738 la_data_in_user\[104\] +*739 la_data_in_user\[105\] +*740 la_data_in_user\[106\] +*741 la_data_in_user\[107\] +*742 la_data_in_user\[108\] +*743 la_data_in_user\[109\] +*744 la_data_in_user\[10\] +*745 la_data_in_user\[110\] +*746 la_data_in_user\[111\] +*747 la_data_in_user\[112\] +*748 la_data_in_user\[113\] +*749 la_data_in_user\[114\] +*750 la_data_in_user\[115\] +*751 la_data_in_user\[116\] +*752 la_data_in_user\[117\] +*753 la_data_in_user\[118\] +*754 la_data_in_user\[119\] +*755 la_data_in_user\[11\] +*756 la_data_in_user\[120\] +*757 la_data_in_user\[121\] +*758 la_data_in_user\[122\] +*759 la_data_in_user\[123\] +*760 la_data_in_user\[124\] +*761 la_data_in_user\[125\] +*762 la_data_in_user\[126\] +*763 la_data_in_user\[127\] +*764 la_data_in_user\[12\] +*765 la_data_in_user\[13\] +*766 la_data_in_user\[14\] +*767 la_data_in_user\[15\] +*768 la_data_in_user\[16\] +*769 la_data_in_user\[17\] +*770 la_data_in_user\[18\] +*771 la_data_in_user\[19\] +*772 la_data_in_user\[1\] +*773 la_data_in_user\[20\] +*774 la_data_in_user\[21\] +*775 la_data_in_user\[22\] +*776 la_data_in_user\[23\] +*777 la_data_in_user\[24\] +*778 la_data_in_user\[25\] +*779 la_data_in_user\[26\] +*780 la_data_in_user\[27\] +*781 la_data_in_user\[28\] +*782 la_data_in_user\[29\] +*783 la_data_in_user\[2\] +*784 la_data_in_user\[30\] +*785 la_data_in_user\[31\] +*786 la_data_in_user\[32\] +*787 la_data_in_user\[33\] +*788 la_data_in_user\[34\] +*789 la_data_in_user\[35\] +*790 la_data_in_user\[36\] +*791 la_data_in_user\[37\] +*792 la_data_in_user\[38\] +*793 la_data_in_user\[39\] +*794 la_data_in_user\[3\] +*795 la_data_in_user\[40\] +*796 la_data_in_user\[41\] +*797 la_data_in_user\[42\] +*798 la_data_in_user\[43\] +*799 la_data_in_user\[44\] +*800 la_data_in_user\[45\] +*801 la_data_in_user\[46\] +*802 la_data_in_user\[47\] +*803 la_data_in_user\[48\] +*804 la_data_in_user\[49\] +*805 la_data_in_user\[4\] +*806 la_data_in_user\[50\] +*807 la_data_in_user\[51\] +*808 la_data_in_user\[52\] +*809 la_data_in_user\[53\] +*810 la_data_in_user\[54\] +*811 la_data_in_user\[55\] +*812 la_data_in_user\[56\] +*813 la_data_in_user\[57\] +*814 la_data_in_user\[58\] +*815 la_data_in_user\[59\] +*816 la_data_in_user\[5\] +*817 la_data_in_user\[60\] +*818 la_data_in_user\[61\] +*819 la_data_in_user\[62\] +*820 la_data_in_user\[63\] +*821 la_data_in_user\[64\] +*822 la_data_in_user\[65\] +*823 la_data_in_user\[66\] +*824 la_data_in_user\[67\] +*825 la_data_in_user\[68\] +*826 la_data_in_user\[69\] +*827 la_data_in_user\[6\] +*828 la_data_in_user\[70\] +*829 la_data_in_user\[71\] +*830 la_data_in_user\[72\] +*831 la_data_in_user\[73\] +*832 la_data_in_user\[74\] +*833 la_data_in_user\[75\] +*834 la_data_in_user\[76\] +*835 la_data_in_user\[77\] +*836 la_data_in_user\[78\] +*837 la_data_in_user\[79\] +*838 la_data_in_user\[7\] +*839 la_data_in_user\[80\] +*840 la_data_in_user\[81\] +*841 la_data_in_user\[82\] +*842 la_data_in_user\[83\] +*843 la_data_in_user\[84\] +*844 la_data_in_user\[85\] +*845 la_data_in_user\[86\] +*846 la_data_in_user\[87\] +*847 la_data_in_user\[88\] +*848 la_data_in_user\[89\] +*849 la_data_in_user\[8\] +*850 la_data_in_user\[90\] +*851 la_data_in_user\[91\] +*852 la_data_in_user\[92\] +*853 la_data_in_user\[93\] +*854 la_data_in_user\[94\] +*855 la_data_in_user\[95\] +*856 la_data_in_user\[96\] +*857 la_data_in_user\[97\] +*858 la_data_in_user\[98\] +*859 la_data_in_user\[99\] +*860 la_data_in_user\[9\] +*861 la_data_out_mprj\[0\] +*862 la_data_out_mprj\[100\] +*863 la_data_out_mprj\[101\] +*864 la_data_out_mprj\[102\] +*865 la_data_out_mprj\[103\] +*866 la_data_out_mprj\[104\] +*867 la_data_out_mprj\[105\] +*868 la_data_out_mprj\[106\] +*869 la_data_out_mprj\[107\] +*870 la_data_out_mprj\[108\] +*871 la_data_out_mprj\[109\] +*872 la_data_out_mprj\[10\] +*873 la_data_out_mprj\[110\] +*874 la_data_out_mprj\[111\] +*875 la_data_out_mprj\[112\] +*876 la_data_out_mprj\[113\] +*877 la_data_out_mprj\[114\] +*878 la_data_out_mprj\[115\] +*879 la_data_out_mprj\[116\] +*880 la_data_out_mprj\[117\] +*881 la_data_out_mprj\[118\] +*882 la_data_out_mprj\[119\] +*883 la_data_out_mprj\[11\] +*884 la_data_out_mprj\[120\] +*885 la_data_out_mprj\[121\] +*886 la_data_out_mprj\[122\] +*887 la_data_out_mprj\[123\] +*888 la_data_out_mprj\[124\] +*889 la_data_out_mprj\[125\] +*890 la_data_out_mprj\[126\] +*891 la_data_out_mprj\[127\] +*892 la_data_out_mprj\[12\] +*893 la_data_out_mprj\[13\] +*894 la_data_out_mprj\[14\] +*895 la_data_out_mprj\[15\] +*896 la_data_out_mprj\[16\] +*897 la_data_out_mprj\[17\] +*898 la_data_out_mprj\[18\] +*899 la_data_out_mprj\[19\] +*900 la_data_out_mprj\[1\] +*901 la_data_out_mprj\[20\] +*902 la_data_out_mprj\[21\] +*903 la_data_out_mprj\[22\] +*904 la_data_out_mprj\[23\] +*905 la_data_out_mprj\[24\] +*906 la_data_out_mprj\[25\] +*907 la_data_out_mprj\[26\] +*908 la_data_out_mprj\[27\] +*909 la_data_out_mprj\[28\] +*910 la_data_out_mprj\[29\] +*911 la_data_out_mprj\[2\] +*912 la_data_out_mprj\[30\] +*913 la_data_out_mprj\[31\] +*914 la_data_out_mprj\[32\] +*915 la_data_out_mprj\[33\] +*916 la_data_out_mprj\[34\] +*917 la_data_out_mprj\[35\] +*918 la_data_out_mprj\[36\] +*919 la_data_out_mprj\[37\] +*920 la_data_out_mprj\[38\] +*921 la_data_out_mprj\[39\] +*922 la_data_out_mprj\[3\] +*923 la_data_out_mprj\[40\] +*924 la_data_out_mprj\[41\] +*925 la_data_out_mprj\[42\] +*926 la_data_out_mprj\[43\] +*927 la_data_out_mprj\[44\] +*928 la_data_out_mprj\[45\] +*929 la_data_out_mprj\[46\] +*930 la_data_out_mprj\[47\] +*931 la_data_out_mprj\[48\] +*932 la_data_out_mprj\[49\] +*933 la_data_out_mprj\[4\] +*934 la_data_out_mprj\[50\] +*935 la_data_out_mprj\[51\] +*936 la_data_out_mprj\[52\] +*937 la_data_out_mprj\[53\] +*938 la_data_out_mprj\[54\] +*939 la_data_out_mprj\[55\] +*940 la_data_out_mprj\[56\] +*941 la_data_out_mprj\[57\] +*942 la_data_out_mprj\[58\] +*943 la_data_out_mprj\[59\] +*944 la_data_out_mprj\[5\] +*945 la_data_out_mprj\[60\] +*946 la_data_out_mprj\[61\] +*947 la_data_out_mprj\[62\] +*948 la_data_out_mprj\[63\] +*949 la_data_out_mprj\[64\] +*950 la_data_out_mprj\[65\] +*951 la_data_out_mprj\[66\] +*952 la_data_out_mprj\[67\] +*953 la_data_out_mprj\[68\] +*954 la_data_out_mprj\[69\] +*955 la_data_out_mprj\[6\] +*956 la_data_out_mprj\[70\] +*957 la_data_out_mprj\[71\] +*958 la_data_out_mprj\[72\] +*959 la_data_out_mprj\[73\] +*960 la_data_out_mprj\[74\] +*961 la_data_out_mprj\[75\] +*962 la_data_out_mprj\[76\] +*963 la_data_out_mprj\[77\] +*964 la_data_out_mprj\[78\] +*965 la_data_out_mprj\[79\] +*966 la_data_out_mprj\[7\] +*967 la_data_out_mprj\[80\] +*968 la_data_out_mprj\[81\] +*969 la_data_out_mprj\[82\] +*970 la_data_out_mprj\[83\] +*971 la_data_out_mprj\[84\] +*972 la_data_out_mprj\[85\] +*973 la_data_out_mprj\[86\] +*974 la_data_out_mprj\[87\] +*975 la_data_out_mprj\[88\] +*976 la_data_out_mprj\[89\] +*977 la_data_out_mprj\[8\] +*978 la_data_out_mprj\[90\] +*979 la_data_out_mprj\[91\] +*980 la_data_out_mprj\[92\] +*981 la_data_out_mprj\[93\] +*982 la_data_out_mprj\[94\] +*983 la_data_out_mprj\[95\] +*984 la_data_out_mprj\[96\] +*985 la_data_out_mprj\[97\] +*986 la_data_out_mprj\[98\] +*987 la_data_out_mprj\[99\] +*988 la_data_out_mprj\[9\] +*989 la_data_out_user\[0\] +*990 la_data_out_user\[100\] +*991 la_data_out_user\[101\] +*992 la_data_out_user\[102\] +*993 la_data_out_user\[103\] +*994 la_data_out_user\[104\] +*995 la_data_out_user\[105\] +*996 la_data_out_user\[106\] +*997 la_data_out_user\[107\] +*998 la_data_out_user\[108\] +*999 la_data_out_user\[109\] +*1000 la_data_out_user\[10\] +*1001 la_data_out_user\[110\] +*1002 la_data_out_user\[111\] +*1003 la_data_out_user\[112\] +*1004 la_data_out_user\[113\] +*1005 la_data_out_user\[114\] +*1006 la_data_out_user\[115\] +*1007 la_data_out_user\[116\] +*1008 la_data_out_user\[117\] +*1009 la_data_out_user\[118\] +*1010 la_data_out_user\[119\] +*1011 la_data_out_user\[11\] +*1012 la_data_out_user\[120\] +*1013 la_data_out_user\[121\] +*1014 la_data_out_user\[122\] +*1015 la_data_out_user\[123\] +*1016 la_data_out_user\[124\] +*1017 la_data_out_user\[125\] +*1018 la_data_out_user\[126\] +*1019 la_data_out_user\[127\] +*1020 la_data_out_user\[12\] +*1021 la_data_out_user\[13\] +*1022 la_data_out_user\[14\] +*1023 la_data_out_user\[15\] +*1024 la_data_out_user\[16\] +*1025 la_data_out_user\[17\] +*1026 la_data_out_user\[18\] +*1027 la_data_out_user\[19\] +*1028 la_data_out_user\[1\] +*1029 la_data_out_user\[20\] +*1030 la_data_out_user\[21\] +*1031 la_data_out_user\[22\] +*1032 la_data_out_user\[23\] +*1033 la_data_out_user\[24\] +*1034 la_data_out_user\[25\] +*1035 la_data_out_user\[26\] +*1036 la_data_out_user\[27\] +*1037 la_data_out_user\[28\] +*1038 la_data_out_user\[29\] +*1039 la_data_out_user\[2\] +*1040 la_data_out_user\[30\] +*1041 la_data_out_user\[31\] +*1042 la_data_out_user\[32\] +*1043 la_data_out_user\[33\] +*1044 la_data_out_user\[34\] +*1045 la_data_out_user\[35\] +*1046 la_data_out_user\[36\] +*1047 la_data_out_user\[37\] +*1048 la_data_out_user\[38\] +*1049 la_data_out_user\[39\] +*1050 la_data_out_user\[3\] +*1051 la_data_out_user\[40\] +*1052 la_data_out_user\[41\] +*1053 la_data_out_user\[42\] +*1054 la_data_out_user\[43\] +*1055 la_data_out_user\[44\] +*1056 la_data_out_user\[45\] +*1057 la_data_out_user\[46\] +*1058 la_data_out_user\[47\] +*1059 la_data_out_user\[48\] +*1060 la_data_out_user\[49\] +*1061 la_data_out_user\[4\] +*1062 la_data_out_user\[50\] +*1063 la_data_out_user\[51\] +*1064 la_data_out_user\[52\] +*1065 la_data_out_user\[53\] +*1066 la_data_out_user\[54\] +*1067 la_data_out_user\[55\] +*1068 la_data_out_user\[56\] +*1069 la_data_out_user\[57\] +*1070 la_data_out_user\[58\] +*1071 la_data_out_user\[59\] +*1072 la_data_out_user\[5\] +*1073 la_data_out_user\[60\] +*1074 la_data_out_user\[61\] +*1075 la_data_out_user\[62\] +*1076 la_data_out_user\[63\] +*1077 la_data_out_user\[64\] +*1078 la_data_out_user\[65\] +*1079 la_data_out_user\[66\] +*1080 la_data_out_user\[67\] +*1081 la_data_out_user\[68\] +*1082 la_data_out_user\[69\] +*1083 la_data_out_user\[6\] +*1084 la_data_out_user\[70\] +*1085 la_data_out_user\[71\] +*1086 la_data_out_user\[72\] +*1087 la_data_out_user\[73\] +*1088 la_data_out_user\[74\] +*1089 la_data_out_user\[75\] +*1090 la_data_out_user\[76\] +*1091 la_data_out_user\[77\] +*1092 la_data_out_user\[78\] +*1093 la_data_out_user\[79\] +*1094 la_data_out_user\[7\] +*1095 la_data_out_user\[80\] +*1096 la_data_out_user\[81\] +*1097 la_data_out_user\[82\] +*1098 la_data_out_user\[83\] +*1099 la_data_out_user\[84\] +*1100 la_data_out_user\[85\] +*1101 la_data_out_user\[86\] +*1102 la_data_out_user\[87\] +*1103 la_data_out_user\[88\] +*1104 la_data_out_user\[89\] +*1105 la_data_out_user\[8\] +*1106 la_data_out_user\[90\] +*1107 la_data_out_user\[91\] +*1108 la_data_out_user\[92\] +*1109 la_data_out_user\[93\] +*1110 la_data_out_user\[94\] +*1111 la_data_out_user\[95\] +*1112 la_data_out_user\[96\] +*1113 la_data_out_user\[97\] +*1114 la_data_out_user\[98\] +*1115 la_data_out_user\[99\] +*1116 la_data_out_user\[9\] +*1117 la_iena_mprj\[0\] +*1118 la_iena_mprj\[100\] +*1119 la_iena_mprj\[101\] +*1120 la_iena_mprj\[102\] +*1121 la_iena_mprj\[103\] +*1122 la_iena_mprj\[104\] +*1123 la_iena_mprj\[105\] +*1124 la_iena_mprj\[106\] +*1125 la_iena_mprj\[107\] +*1126 la_iena_mprj\[108\] +*1127 la_iena_mprj\[109\] +*1128 la_iena_mprj\[10\] +*1129 la_iena_mprj\[110\] +*1130 la_iena_mprj\[111\] +*1131 la_iena_mprj\[112\] +*1132 la_iena_mprj\[113\] +*1133 la_iena_mprj\[114\] +*1134 la_iena_mprj\[115\] +*1135 la_iena_mprj\[116\] +*1136 la_iena_mprj\[117\] +*1137 la_iena_mprj\[118\] +*1138 la_iena_mprj\[119\] +*1139 la_iena_mprj\[11\] +*1140 la_iena_mprj\[120\] +*1141 la_iena_mprj\[121\] +*1142 la_iena_mprj\[122\] +*1143 la_iena_mprj\[123\] +*1144 la_iena_mprj\[124\] +*1145 la_iena_mprj\[125\] +*1146 la_iena_mprj\[126\] +*1147 la_iena_mprj\[127\] +*1148 la_iena_mprj\[12\] +*1149 la_iena_mprj\[13\] +*1150 la_iena_mprj\[14\] +*1151 la_iena_mprj\[15\] +*1152 la_iena_mprj\[16\] +*1153 la_iena_mprj\[17\] +*1154 la_iena_mprj\[18\] +*1155 la_iena_mprj\[19\] +*1156 la_iena_mprj\[1\] +*1157 la_iena_mprj\[20\] +*1158 la_iena_mprj\[21\] +*1159 la_iena_mprj\[22\] +*1160 la_iena_mprj\[23\] +*1161 la_iena_mprj\[24\] +*1162 la_iena_mprj\[25\] +*1163 la_iena_mprj\[26\] +*1164 la_iena_mprj\[27\] +*1165 la_iena_mprj\[28\] +*1166 la_iena_mprj\[29\] +*1167 la_iena_mprj\[2\] +*1168 la_iena_mprj\[30\] +*1169 la_iena_mprj\[31\] +*1170 la_iena_mprj\[32\] +*1171 la_iena_mprj\[33\] +*1172 la_iena_mprj\[34\] +*1173 la_iena_mprj\[35\] +*1174 la_iena_mprj\[36\] +*1175 la_iena_mprj\[37\] +*1176 la_iena_mprj\[38\] +*1177 la_iena_mprj\[39\] +*1178 la_iena_mprj\[3\] +*1179 la_iena_mprj\[40\] +*1180 la_iena_mprj\[41\] +*1181 la_iena_mprj\[42\] +*1182 la_iena_mprj\[43\] +*1183 la_iena_mprj\[44\] +*1184 la_iena_mprj\[45\] +*1185 la_iena_mprj\[46\] +*1186 la_iena_mprj\[47\] +*1187 la_iena_mprj\[48\] +*1188 la_iena_mprj\[49\] +*1189 la_iena_mprj\[4\] +*1190 la_iena_mprj\[50\] +*1191 la_iena_mprj\[51\] +*1192 la_iena_mprj\[52\] +*1193 la_iena_mprj\[53\] +*1194 la_iena_mprj\[54\] +*1195 la_iena_mprj\[55\] +*1196 la_iena_mprj\[56\] +*1197 la_iena_mprj\[57\] +*1198 la_iena_mprj\[58\] +*1199 la_iena_mprj\[59\] +*1200 la_iena_mprj\[5\] +*1201 la_iena_mprj\[60\] +*1202 la_iena_mprj\[61\] +*1203 la_iena_mprj\[62\] +*1204 la_iena_mprj\[63\] +*1205 la_iena_mprj\[64\] +*1206 la_iena_mprj\[65\] +*1207 la_iena_mprj\[66\] +*1208 la_iena_mprj\[67\] +*1209 la_iena_mprj\[68\] +*1210 la_iena_mprj\[69\] +*1211 la_iena_mprj\[6\] +*1212 la_iena_mprj\[70\] +*1213 la_iena_mprj\[71\] +*1214 la_iena_mprj\[72\] +*1215 la_iena_mprj\[73\] +*1216 la_iena_mprj\[74\] +*1217 la_iena_mprj\[75\] +*1218 la_iena_mprj\[76\] +*1219 la_iena_mprj\[77\] +*1220 la_iena_mprj\[78\] +*1221 la_iena_mprj\[79\] +*1222 la_iena_mprj\[7\] +*1223 la_iena_mprj\[80\] +*1224 la_iena_mprj\[81\] +*1225 la_iena_mprj\[82\] +*1226 la_iena_mprj\[83\] +*1227 la_iena_mprj\[84\] +*1228 la_iena_mprj\[85\] +*1229 la_iena_mprj\[86\] +*1230 la_iena_mprj\[87\] +*1231 la_iena_mprj\[88\] +*1232 la_iena_mprj\[89\] +*1233 la_iena_mprj\[8\] +*1234 la_iena_mprj\[90\] +*1235 la_iena_mprj\[91\] +*1236 la_iena_mprj\[92\] +*1237 la_iena_mprj\[93\] +*1238 la_iena_mprj\[94\] +*1239 la_iena_mprj\[95\] +*1240 la_iena_mprj\[96\] +*1241 la_iena_mprj\[97\] +*1242 la_iena_mprj\[98\] +*1243 la_iena_mprj\[99\] +*1244 la_iena_mprj\[9\] +*1245 la_oenb_mprj\[0\] +*1246 la_oenb_mprj\[100\] +*1247 la_oenb_mprj\[101\] +*1248 la_oenb_mprj\[102\] +*1249 la_oenb_mprj\[103\] +*1250 la_oenb_mprj\[104\] +*1251 la_oenb_mprj\[105\] +*1252 la_oenb_mprj\[106\] +*1253 la_oenb_mprj\[107\] +*1254 la_oenb_mprj\[108\] +*1255 la_oenb_mprj\[109\] +*1256 la_oenb_mprj\[10\] +*1257 la_oenb_mprj\[110\] +*1258 la_oenb_mprj\[111\] +*1259 la_oenb_mprj\[112\] +*1260 la_oenb_mprj\[113\] +*1261 la_oenb_mprj\[114\] +*1262 la_oenb_mprj\[115\] +*1263 la_oenb_mprj\[116\] +*1264 la_oenb_mprj\[117\] +*1265 la_oenb_mprj\[118\] +*1266 la_oenb_mprj\[119\] +*1267 la_oenb_mprj\[11\] +*1268 la_oenb_mprj\[120\] +*1269 la_oenb_mprj\[121\] +*1270 la_oenb_mprj\[122\] +*1271 la_oenb_mprj\[123\] +*1272 la_oenb_mprj\[124\] +*1273 la_oenb_mprj\[125\] +*1274 la_oenb_mprj\[126\] +*1275 la_oenb_mprj\[127\] +*1276 la_oenb_mprj\[12\] +*1277 la_oenb_mprj\[13\] +*1278 la_oenb_mprj\[14\] +*1279 la_oenb_mprj\[15\] +*1280 la_oenb_mprj\[16\] +*1281 la_oenb_mprj\[17\] +*1282 la_oenb_mprj\[18\] +*1283 la_oenb_mprj\[19\] +*1284 la_oenb_mprj\[1\] +*1285 la_oenb_mprj\[20\] +*1286 la_oenb_mprj\[21\] +*1287 la_oenb_mprj\[22\] +*1288 la_oenb_mprj\[23\] +*1289 la_oenb_mprj\[24\] +*1290 la_oenb_mprj\[25\] +*1291 la_oenb_mprj\[26\] +*1292 la_oenb_mprj\[27\] +*1293 la_oenb_mprj\[28\] +*1294 la_oenb_mprj\[29\] +*1295 la_oenb_mprj\[2\] +*1296 la_oenb_mprj\[30\] +*1297 la_oenb_mprj\[31\] +*1298 la_oenb_mprj\[32\] +*1299 la_oenb_mprj\[33\] +*1300 la_oenb_mprj\[34\] +*1301 la_oenb_mprj\[35\] +*1302 la_oenb_mprj\[36\] +*1303 la_oenb_mprj\[37\] +*1304 la_oenb_mprj\[38\] +*1305 la_oenb_mprj\[39\] +*1306 la_oenb_mprj\[3\] +*1307 la_oenb_mprj\[40\] +*1308 la_oenb_mprj\[41\] +*1309 la_oenb_mprj\[42\] +*1310 la_oenb_mprj\[43\] +*1311 la_oenb_mprj\[44\] +*1312 la_oenb_mprj\[45\] +*1313 la_oenb_mprj\[46\] +*1314 la_oenb_mprj\[47\] +*1315 la_oenb_mprj\[48\] +*1316 la_oenb_mprj\[49\] +*1317 la_oenb_mprj\[4\] +*1318 la_oenb_mprj\[50\] +*1319 la_oenb_mprj\[51\] +*1320 la_oenb_mprj\[52\] +*1321 la_oenb_mprj\[53\] +*1322 la_oenb_mprj\[54\] +*1323 la_oenb_mprj\[55\] +*1324 la_oenb_mprj\[56\] +*1325 la_oenb_mprj\[57\] +*1326 la_oenb_mprj\[58\] +*1327 la_oenb_mprj\[59\] +*1328 la_oenb_mprj\[5\] +*1329 la_oenb_mprj\[60\] +*1330 la_oenb_mprj\[61\] +*1331 la_oenb_mprj\[62\] +*1332 la_oenb_mprj\[63\] +*1333 la_oenb_mprj\[64\] +*1334 la_oenb_mprj\[65\] +*1335 la_oenb_mprj\[66\] +*1336 la_oenb_mprj\[67\] +*1337 la_oenb_mprj\[68\] +*1338 la_oenb_mprj\[69\] +*1339 la_oenb_mprj\[6\] +*1340 la_oenb_mprj\[70\] +*1341 la_oenb_mprj\[71\] +*1342 la_oenb_mprj\[72\] +*1343 la_oenb_mprj\[73\] +*1344 la_oenb_mprj\[74\] +*1345 la_oenb_mprj\[75\] +*1346 la_oenb_mprj\[76\] +*1347 la_oenb_mprj\[77\] +*1348 la_oenb_mprj\[78\] +*1349 la_oenb_mprj\[79\] +*1350 la_oenb_mprj\[7\] +*1351 la_oenb_mprj\[80\] +*1352 la_oenb_mprj\[81\] +*1353 la_oenb_mprj\[82\] +*1354 la_oenb_mprj\[83\] +*1355 la_oenb_mprj\[84\] +*1356 la_oenb_mprj\[85\] +*1357 la_oenb_mprj\[86\] +*1358 la_oenb_mprj\[87\] +*1359 la_oenb_mprj\[88\] +*1360 la_oenb_mprj\[89\] +*1361 la_oenb_mprj\[8\] +*1362 la_oenb_mprj\[90\] +*1363 la_oenb_mprj\[91\] +*1364 la_oenb_mprj\[92\] +*1365 la_oenb_mprj\[93\] +*1366 la_oenb_mprj\[94\] +*1367 la_oenb_mprj\[95\] +*1368 la_oenb_mprj\[96\] +*1369 la_oenb_mprj\[97\] +*1370 la_oenb_mprj\[98\] +*1371 la_oenb_mprj\[99\] +*1372 la_oenb_mprj\[9\] +*1373 la_oenb_user\[0\] +*1374 la_oenb_user\[100\] +*1375 la_oenb_user\[101\] +*1376 la_oenb_user\[102\] +*1377 la_oenb_user\[103\] +*1378 la_oenb_user\[104\] +*1379 la_oenb_user\[105\] +*1380 la_oenb_user\[106\] +*1381 la_oenb_user\[107\] +*1382 la_oenb_user\[108\] +*1383 la_oenb_user\[109\] +*1384 la_oenb_user\[10\] +*1385 la_oenb_user\[110\] +*1386 la_oenb_user\[111\] +*1387 la_oenb_user\[112\] +*1388 la_oenb_user\[113\] +*1389 la_oenb_user\[114\] +*1390 la_oenb_user\[115\] +*1391 la_oenb_user\[116\] +*1392 la_oenb_user\[117\] +*1393 la_oenb_user\[118\] +*1394 la_oenb_user\[119\] +*1395 la_oenb_user\[11\] +*1396 la_oenb_user\[120\] +*1397 la_oenb_user\[121\] +*1398 la_oenb_user\[122\] +*1399 la_oenb_user\[123\] +*1400 la_oenb_user\[124\] +*1401 la_oenb_user\[125\] +*1402 la_oenb_user\[126\] +*1403 la_oenb_user\[127\] +*1404 la_oenb_user\[12\] +*1405 la_oenb_user\[13\] +*1406 la_oenb_user\[14\] +*1407 la_oenb_user\[15\] +*1408 la_oenb_user\[16\] +*1409 la_oenb_user\[17\] +*1410 la_oenb_user\[18\] +*1411 la_oenb_user\[19\] +*1412 la_oenb_user\[1\] +*1413 la_oenb_user\[20\] +*1414 la_oenb_user\[21\] +*1415 la_oenb_user\[22\] +*1416 la_oenb_user\[23\] +*1417 la_oenb_user\[24\] +*1418 la_oenb_user\[25\] +*1419 la_oenb_user\[26\] +*1420 la_oenb_user\[27\] +*1421 la_oenb_user\[28\] +*1422 la_oenb_user\[29\] +*1423 la_oenb_user\[2\] +*1424 la_oenb_user\[30\] +*1425 la_oenb_user\[31\] +*1426 la_oenb_user\[32\] +*1427 la_oenb_user\[33\] +*1428 la_oenb_user\[34\] +*1429 la_oenb_user\[35\] +*1430 la_oenb_user\[36\] +*1431 la_oenb_user\[37\] +*1432 la_oenb_user\[38\] +*1433 la_oenb_user\[39\] +*1434 la_oenb_user\[3\] +*1435 la_oenb_user\[40\] +*1436 la_oenb_user\[41\] +*1437 la_oenb_user\[42\] +*1438 la_oenb_user\[43\] +*1439 la_oenb_user\[44\] +*1440 la_oenb_user\[45\] +*1441 la_oenb_user\[46\] +*1442 la_oenb_user\[47\] +*1443 la_oenb_user\[48\] +*1444 la_oenb_user\[49\] +*1445 la_oenb_user\[4\] +*1446 la_oenb_user\[50\] +*1447 la_oenb_user\[51\] +*1448 la_oenb_user\[52\] +*1449 la_oenb_user\[53\] +*1450 la_oenb_user\[54\] +*1451 la_oenb_user\[55\] +*1452 la_oenb_user\[56\] +*1453 la_oenb_user\[57\] +*1454 la_oenb_user\[58\] +*1455 la_oenb_user\[59\] +*1456 la_oenb_user\[5\] +*1457 la_oenb_user\[60\] +*1458 la_oenb_user\[61\] +*1459 la_oenb_user\[62\] +*1460 la_oenb_user\[63\] +*1461 la_oenb_user\[64\] +*1462 la_oenb_user\[65\] +*1463 la_oenb_user\[66\] +*1464 la_oenb_user\[67\] +*1465 la_oenb_user\[68\] +*1466 la_oenb_user\[69\] +*1467 la_oenb_user\[6\] +*1468 la_oenb_user\[70\] +*1469 la_oenb_user\[71\] +*1470 la_oenb_user\[72\] +*1471 la_oenb_user\[73\] +*1472 la_oenb_user\[74\] +*1473 la_oenb_user\[75\] +*1474 la_oenb_user\[76\] +*1475 la_oenb_user\[77\] +*1476 la_oenb_user\[78\] +*1477 la_oenb_user\[79\] +*1478 la_oenb_user\[7\] +*1479 la_oenb_user\[80\] +*1480 la_oenb_user\[81\] +*1481 la_oenb_user\[82\] +*1482 la_oenb_user\[83\] +*1483 la_oenb_user\[84\] +*1484 la_oenb_user\[85\] +*1485 la_oenb_user\[86\] +*1486 la_oenb_user\[87\] +*1487 la_oenb_user\[88\] +*1488 la_oenb_user\[89\] +*1489 la_oenb_user\[8\] +*1490 la_oenb_user\[90\] +*1491 la_oenb_user\[91\] +*1492 la_oenb_user\[92\] +*1493 la_oenb_user\[93\] +*1494 la_oenb_user\[94\] +*1495 la_oenb_user\[95\] +*1496 la_oenb_user\[96\] +*1497 la_oenb_user\[97\] +*1498 la_oenb_user\[98\] +*1499 la_oenb_user\[99\] +*1500 la_oenb_user\[9\] +*1501 mask_rev\[0\] +*1502 mask_rev\[10\] +*1503 mask_rev\[11\] +*1504 mask_rev\[12\] +*1505 mask_rev\[13\] +*1506 mask_rev\[14\] +*1507 mask_rev\[15\] +*1508 mask_rev\[16\] +*1509 mask_rev\[17\] +*1510 mask_rev\[18\] +*1511 mask_rev\[19\] +*1512 mask_rev\[1\] +*1513 mask_rev\[20\] +*1514 mask_rev\[21\] +*1515 mask_rev\[22\] +*1516 mask_rev\[23\] +*1517 mask_rev\[24\] +*1518 mask_rev\[25\] +*1519 mask_rev\[26\] +*1520 mask_rev\[27\] +*1521 mask_rev\[28\] +*1522 mask_rev\[29\] +*1523 mask_rev\[2\] +*1524 mask_rev\[30\] +*1525 mask_rev\[31\] +*1526 mask_rev\[3\] +*1527 mask_rev\[4\] +*1528 mask_rev\[5\] +*1529 mask_rev\[6\] +*1530 mask_rev\[7\] +*1531 mask_rev\[8\] +*1532 mask_rev\[9\] +*1533 mgmt_io_in\[0\] +*1534 mgmt_io_in\[10\] +*1535 mgmt_io_in\[11\] +*1536 mgmt_io_in\[12\] +*1537 mgmt_io_in\[13\] +*1538 mgmt_io_in\[14\] +*1539 mgmt_io_in\[15\] +*1540 mgmt_io_in\[16\] +*1541 mgmt_io_in\[17\] +*1542 mgmt_io_in\[18\] +*1543 mgmt_io_in\[19\] +*1544 mgmt_io_in\[1\] +*1545 mgmt_io_in\[20\] +*1546 mgmt_io_in\[21\] +*1547 mgmt_io_in\[22\] +*1548 mgmt_io_in\[23\] +*1549 mgmt_io_in\[24\] +*1550 mgmt_io_in\[25\] +*1551 mgmt_io_in\[26\] +*1552 mgmt_io_in\[27\] +*1553 mgmt_io_in\[28\] +*1554 mgmt_io_in\[29\] +*1555 mgmt_io_in\[2\] +*1556 mgmt_io_in\[30\] +*1557 mgmt_io_in\[31\] +*1558 mgmt_io_in\[32\] +*1559 mgmt_io_in\[33\] +*1560 mgmt_io_in\[34\] +*1561 mgmt_io_in\[35\] +*1562 mgmt_io_in\[36\] +*1563 mgmt_io_in\[37\] +*1564 mgmt_io_in\[3\] +*1565 mgmt_io_in\[4\] +*1566 mgmt_io_in\[5\] +*1567 mgmt_io_in\[6\] +*1568 mgmt_io_in\[7\] +*1569 mgmt_io_in\[8\] +*1570 mgmt_io_in\[9\] +*1571 mgmt_io_oeb\[2\] +*1572 mgmt_io_oeb\[3\] +*1573 mgmt_io_oeb\[4\] +*1574 mgmt_io_out\[2\] +*1575 mgmt_io_out\[3\] +*1576 mgmt_io_out\[4\] +*1577 mprj2_vcc_pwrgood +*1578 mprj2_vdd_pwrgood +*1579 mprj_ack_i_core +*1580 mprj_ack_i_user +*1581 mprj_adr_o_core\[0\] +*1582 mprj_adr_o_core\[10\] +*1583 mprj_adr_o_core\[11\] +*1584 mprj_adr_o_core\[12\] +*1585 mprj_adr_o_core\[13\] +*1586 mprj_adr_o_core\[14\] +*1587 mprj_adr_o_core\[15\] +*1588 mprj_adr_o_core\[16\] +*1589 mprj_adr_o_core\[17\] +*1590 mprj_adr_o_core\[18\] +*1591 mprj_adr_o_core\[19\] +*1592 mprj_adr_o_core\[1\] +*1593 mprj_adr_o_core\[20\] +*1594 mprj_adr_o_core\[21\] +*1595 mprj_adr_o_core\[22\] +*1596 mprj_adr_o_core\[23\] +*1597 mprj_adr_o_core\[24\] +*1598 mprj_adr_o_core\[25\] +*1599 mprj_adr_o_core\[26\] +*1600 mprj_adr_o_core\[27\] +*1601 mprj_adr_o_core\[28\] +*1602 mprj_adr_o_core\[29\] +*1603 mprj_adr_o_core\[2\] +*1604 mprj_adr_o_core\[30\] +*1605 mprj_adr_o_core\[31\] +*1606 mprj_adr_o_core\[3\] +*1607 mprj_adr_o_core\[4\] +*1608 mprj_adr_o_core\[5\] +*1609 mprj_adr_o_core\[6\] +*1610 mprj_adr_o_core\[7\] +*1611 mprj_adr_o_core\[8\] +*1612 mprj_adr_o_core\[9\] +*1613 mprj_adr_o_user\[0\] +*1614 mprj_adr_o_user\[10\] +*1615 mprj_adr_o_user\[11\] +*1616 mprj_adr_o_user\[12\] +*1617 mprj_adr_o_user\[13\] +*1618 mprj_adr_o_user\[14\] +*1619 mprj_adr_o_user\[15\] +*1620 mprj_adr_o_user\[16\] +*1621 mprj_adr_o_user\[17\] +*1622 mprj_adr_o_user\[18\] +*1623 mprj_adr_o_user\[19\] +*1624 mprj_adr_o_user\[1\] +*1625 mprj_adr_o_user\[20\] +*1626 mprj_adr_o_user\[21\] +*1627 mprj_adr_o_user\[22\] +*1628 mprj_adr_o_user\[23\] +*1629 mprj_adr_o_user\[24\] +*1630 mprj_adr_o_user\[25\] +*1631 mprj_adr_o_user\[26\] +*1632 mprj_adr_o_user\[27\] +*1633 mprj_adr_o_user\[28\] +*1634 mprj_adr_o_user\[29\] +*1635 mprj_adr_o_user\[2\] +*1636 mprj_adr_o_user\[30\] +*1637 mprj_adr_o_user\[31\] +*1638 mprj_adr_o_user\[3\] +*1639 mprj_adr_o_user\[4\] +*1640 mprj_adr_o_user\[5\] +*1641 mprj_adr_o_user\[6\] +*1642 mprj_adr_o_user\[7\] +*1643 mprj_adr_o_user\[8\] +*1644 mprj_adr_o_user\[9\] +*1645 mprj_clock +*1646 mprj_clock2 +*1647 mprj_cyc_o_core +*1648 mprj_cyc_o_user +*1649 mprj_dat_i_core\[0\] +*1650 mprj_dat_i_core\[10\] +*1651 mprj_dat_i_core\[11\] +*1652 mprj_dat_i_core\[12\] +*1653 mprj_dat_i_core\[13\] +*1654 mprj_dat_i_core\[14\] +*1655 mprj_dat_i_core\[15\] +*1656 mprj_dat_i_core\[16\] +*1657 mprj_dat_i_core\[17\] +*1658 mprj_dat_i_core\[18\] +*1659 mprj_dat_i_core\[19\] +*1660 mprj_dat_i_core\[1\] +*1661 mprj_dat_i_core\[20\] +*1662 mprj_dat_i_core\[21\] +*1663 mprj_dat_i_core\[22\] +*1664 mprj_dat_i_core\[23\] +*1665 mprj_dat_i_core\[24\] +*1666 mprj_dat_i_core\[25\] +*1667 mprj_dat_i_core\[26\] +*1668 mprj_dat_i_core\[27\] +*1669 mprj_dat_i_core\[28\] +*1670 mprj_dat_i_core\[29\] +*1671 mprj_dat_i_core\[2\] +*1672 mprj_dat_i_core\[30\] +*1673 mprj_dat_i_core\[31\] +*1674 mprj_dat_i_core\[3\] +*1675 mprj_dat_i_core\[4\] +*1676 mprj_dat_i_core\[5\] +*1677 mprj_dat_i_core\[6\] +*1678 mprj_dat_i_core\[7\] +*1679 mprj_dat_i_core\[8\] +*1680 mprj_dat_i_core\[9\] +*1681 mprj_dat_i_user\[0\] +*1682 mprj_dat_i_user\[10\] +*1683 mprj_dat_i_user\[11\] +*1684 mprj_dat_i_user\[12\] +*1685 mprj_dat_i_user\[13\] +*1686 mprj_dat_i_user\[14\] +*1687 mprj_dat_i_user\[15\] +*1688 mprj_dat_i_user\[16\] +*1689 mprj_dat_i_user\[17\] +*1690 mprj_dat_i_user\[18\] +*1691 mprj_dat_i_user\[19\] +*1692 mprj_dat_i_user\[1\] +*1693 mprj_dat_i_user\[20\] +*1694 mprj_dat_i_user\[21\] +*1695 mprj_dat_i_user\[22\] +*1696 mprj_dat_i_user\[23\] +*1697 mprj_dat_i_user\[24\] +*1698 mprj_dat_i_user\[25\] +*1699 mprj_dat_i_user\[26\] +*1700 mprj_dat_i_user\[27\] +*1701 mprj_dat_i_user\[28\] +*1702 mprj_dat_i_user\[29\] +*1703 mprj_dat_i_user\[2\] +*1704 mprj_dat_i_user\[30\] +*1705 mprj_dat_i_user\[31\] +*1706 mprj_dat_i_user\[3\] +*1707 mprj_dat_i_user\[4\] +*1708 mprj_dat_i_user\[5\] +*1709 mprj_dat_i_user\[6\] +*1710 mprj_dat_i_user\[7\] +*1711 mprj_dat_i_user\[8\] +*1712 mprj_dat_i_user\[9\] +*1713 mprj_dat_o_core\[0\] +*1714 mprj_dat_o_core\[10\] +*1715 mprj_dat_o_core\[11\] +*1716 mprj_dat_o_core\[12\] +*1717 mprj_dat_o_core\[13\] +*1718 mprj_dat_o_core\[14\] +*1719 mprj_dat_o_core\[15\] +*1720 mprj_dat_o_core\[16\] +*1721 mprj_dat_o_core\[17\] +*1722 mprj_dat_o_core\[18\] +*1723 mprj_dat_o_core\[19\] +*1724 mprj_dat_o_core\[1\] +*1725 mprj_dat_o_core\[20\] +*1726 mprj_dat_o_core\[21\] +*1727 mprj_dat_o_core\[22\] +*1728 mprj_dat_o_core\[23\] +*1729 mprj_dat_o_core\[24\] +*1730 mprj_dat_o_core\[25\] +*1731 mprj_dat_o_core\[26\] +*1732 mprj_dat_o_core\[27\] +*1733 mprj_dat_o_core\[28\] +*1734 mprj_dat_o_core\[29\] +*1735 mprj_dat_o_core\[2\] +*1736 mprj_dat_o_core\[30\] +*1737 mprj_dat_o_core\[31\] +*1738 mprj_dat_o_core\[3\] +*1739 mprj_dat_o_core\[4\] +*1740 mprj_dat_o_core\[5\] +*1741 mprj_dat_o_core\[6\] +*1742 mprj_dat_o_core\[7\] +*1743 mprj_dat_o_core\[8\] +*1744 mprj_dat_o_core\[9\] +*1745 mprj_dat_o_user\[0\] +*1746 mprj_dat_o_user\[10\] +*1747 mprj_dat_o_user\[11\] +*1748 mprj_dat_o_user\[12\] +*1749 mprj_dat_o_user\[13\] +*1750 mprj_dat_o_user\[14\] +*1751 mprj_dat_o_user\[15\] +*1752 mprj_dat_o_user\[16\] +*1753 mprj_dat_o_user\[17\] +*1754 mprj_dat_o_user\[18\] +*1755 mprj_dat_o_user\[19\] +*1756 mprj_dat_o_user\[1\] +*1757 mprj_dat_o_user\[20\] +*1758 mprj_dat_o_user\[21\] +*1759 mprj_dat_o_user\[22\] +*1760 mprj_dat_o_user\[23\] +*1761 mprj_dat_o_user\[24\] +*1762 mprj_dat_o_user\[25\] +*1763 mprj_dat_o_user\[26\] +*1764 mprj_dat_o_user\[27\] +*1765 mprj_dat_o_user\[28\] +*1766 mprj_dat_o_user\[29\] +*1767 mprj_dat_o_user\[2\] +*1768 mprj_dat_o_user\[30\] +*1769 mprj_dat_o_user\[31\] +*1770 mprj_dat_o_user\[3\] +*1771 mprj_dat_o_user\[4\] +*1772 mprj_dat_o_user\[5\] +*1773 mprj_dat_o_user\[6\] +*1774 mprj_dat_o_user\[7\] +*1775 mprj_dat_o_user\[8\] +*1776 mprj_dat_o_user\[9\] +*1777 mprj_io_analog_en\[0\] +*1778 mprj_io_analog_en\[10\] +*1779 mprj_io_analog_en\[11\] +*1780 mprj_io_analog_en\[12\] +*1781 mprj_io_analog_en\[13\] +*1782 mprj_io_analog_en\[14\] +*1783 mprj_io_analog_en\[15\] +*1784 mprj_io_analog_en\[16\] +*1785 mprj_io_analog_en\[17\] +*1786 mprj_io_analog_en\[18\] +*1787 mprj_io_analog_en\[19\] +*1788 mprj_io_analog_en\[1\] +*1789 mprj_io_analog_en\[20\] +*1790 mprj_io_analog_en\[21\] +*1791 mprj_io_analog_en\[22\] +*1792 mprj_io_analog_en\[23\] +*1793 mprj_io_analog_en\[24\] +*1794 mprj_io_analog_en\[25\] +*1795 mprj_io_analog_en\[26\] +*1796 mprj_io_analog_en\[2\] +*1797 mprj_io_analog_en\[3\] +*1798 mprj_io_analog_en\[4\] +*1799 mprj_io_analog_en\[5\] +*1800 mprj_io_analog_en\[6\] +*1801 mprj_io_analog_en\[7\] +*1802 mprj_io_analog_en\[8\] +*1803 mprj_io_analog_en\[9\] +*1804 mprj_io_analog_pol\[0\] +*1805 mprj_io_analog_pol\[10\] +*1806 mprj_io_analog_pol\[11\] +*1807 mprj_io_analog_pol\[12\] +*1808 mprj_io_analog_pol\[13\] +*1809 mprj_io_analog_pol\[14\] +*1810 mprj_io_analog_pol\[15\] +*1811 mprj_io_analog_pol\[16\] +*1812 mprj_io_analog_pol\[17\] +*1813 mprj_io_analog_pol\[18\] +*1814 mprj_io_analog_pol\[19\] +*1815 mprj_io_analog_pol\[1\] +*1816 mprj_io_analog_pol\[20\] +*1817 mprj_io_analog_pol\[21\] +*1818 mprj_io_analog_pol\[22\] +*1819 mprj_io_analog_pol\[23\] +*1820 mprj_io_analog_pol\[24\] +*1821 mprj_io_analog_pol\[25\] +*1822 mprj_io_analog_pol\[26\] +*1823 mprj_io_analog_pol\[2\] +*1824 mprj_io_analog_pol\[3\] +*1825 mprj_io_analog_pol\[4\] +*1826 mprj_io_analog_pol\[5\] +*1827 mprj_io_analog_pol\[6\] +*1828 mprj_io_analog_pol\[7\] +*1829 mprj_io_analog_pol\[8\] +*1830 mprj_io_analog_pol\[9\] +*1831 mprj_io_analog_sel\[0\] +*1832 mprj_io_analog_sel\[10\] +*1833 mprj_io_analog_sel\[11\] +*1834 mprj_io_analog_sel\[12\] +*1835 mprj_io_analog_sel\[13\] +*1836 mprj_io_analog_sel\[14\] +*1837 mprj_io_analog_sel\[15\] +*1838 mprj_io_analog_sel\[16\] +*1839 mprj_io_analog_sel\[17\] +*1840 mprj_io_analog_sel\[18\] +*1841 mprj_io_analog_sel\[19\] +*1842 mprj_io_analog_sel\[1\] +*1843 mprj_io_analog_sel\[20\] +*1844 mprj_io_analog_sel\[21\] +*1845 mprj_io_analog_sel\[22\] +*1846 mprj_io_analog_sel\[23\] +*1847 mprj_io_analog_sel\[24\] +*1848 mprj_io_analog_sel\[25\] +*1849 mprj_io_analog_sel\[26\] +*1850 mprj_io_analog_sel\[2\] +*1851 mprj_io_analog_sel\[3\] +*1852 mprj_io_analog_sel\[4\] +*1853 mprj_io_analog_sel\[5\] +*1854 mprj_io_analog_sel\[6\] +*1855 mprj_io_analog_sel\[7\] +*1856 mprj_io_analog_sel\[8\] +*1857 mprj_io_analog_sel\[9\] +*1858 mprj_io_dm\[0\] +*1859 mprj_io_dm\[10\] +*1860 mprj_io_dm\[11\] +*1861 mprj_io_dm\[12\] +*1862 mprj_io_dm\[13\] +*1863 mprj_io_dm\[14\] +*1864 mprj_io_dm\[15\] +*1865 mprj_io_dm\[16\] +*1866 mprj_io_dm\[17\] +*1867 mprj_io_dm\[18\] +*1868 mprj_io_dm\[19\] +*1869 mprj_io_dm\[1\] +*1870 mprj_io_dm\[20\] +*1871 mprj_io_dm\[21\] +*1872 mprj_io_dm\[22\] +*1873 mprj_io_dm\[23\] +*1874 mprj_io_dm\[24\] +*1875 mprj_io_dm\[25\] +*1876 mprj_io_dm\[26\] +*1877 mprj_io_dm\[27\] +*1878 mprj_io_dm\[28\] +*1879 mprj_io_dm\[29\] +*1880 mprj_io_dm\[2\] +*1881 mprj_io_dm\[30\] +*1882 mprj_io_dm\[31\] +*1883 mprj_io_dm\[32\] +*1884 mprj_io_dm\[33\] +*1885 mprj_io_dm\[34\] +*1886 mprj_io_dm\[35\] +*1887 mprj_io_dm\[36\] +*1888 mprj_io_dm\[37\] +*1889 mprj_io_dm\[38\] +*1890 mprj_io_dm\[39\] +*1891 mprj_io_dm\[3\] +*1892 mprj_io_dm\[40\] +*1893 mprj_io_dm\[41\] +*1894 mprj_io_dm\[42\] +*1895 mprj_io_dm\[43\] +*1896 mprj_io_dm\[44\] +*1897 mprj_io_dm\[45\] +*1898 mprj_io_dm\[46\] +*1899 mprj_io_dm\[47\] +*1900 mprj_io_dm\[48\] +*1901 mprj_io_dm\[49\] +*1902 mprj_io_dm\[4\] +*1903 mprj_io_dm\[50\] +*1904 mprj_io_dm\[51\] +*1905 mprj_io_dm\[52\] +*1906 mprj_io_dm\[53\] +*1907 mprj_io_dm\[54\] +*1908 mprj_io_dm\[55\] +*1909 mprj_io_dm\[56\] +*1910 mprj_io_dm\[57\] +*1911 mprj_io_dm\[58\] +*1912 mprj_io_dm\[59\] +*1913 mprj_io_dm\[5\] +*1914 mprj_io_dm\[60\] +*1915 mprj_io_dm\[61\] +*1916 mprj_io_dm\[62\] +*1917 mprj_io_dm\[63\] +*1918 mprj_io_dm\[64\] +*1919 mprj_io_dm\[65\] +*1920 mprj_io_dm\[66\] +*1921 mprj_io_dm\[67\] +*1922 mprj_io_dm\[68\] +*1923 mprj_io_dm\[69\] +*1924 mprj_io_dm\[6\] +*1925 mprj_io_dm\[70\] +*1926 mprj_io_dm\[71\] +*1927 mprj_io_dm\[72\] +*1928 mprj_io_dm\[73\] +*1929 mprj_io_dm\[74\] +*1930 mprj_io_dm\[75\] +*1931 mprj_io_dm\[76\] +*1932 mprj_io_dm\[77\] +*1933 mprj_io_dm\[78\] +*1934 mprj_io_dm\[79\] +*1935 mprj_io_dm\[7\] +*1936 mprj_io_dm\[80\] +*1937 mprj_io_dm\[8\] +*1938 mprj_io_dm\[9\] +*1939 mprj_io_holdover\[0\] +*1940 mprj_io_holdover\[10\] +*1941 mprj_io_holdover\[11\] +*1942 mprj_io_holdover\[12\] +*1943 mprj_io_holdover\[13\] +*1944 mprj_io_holdover\[14\] +*1945 mprj_io_holdover\[15\] +*1946 mprj_io_holdover\[16\] +*1947 mprj_io_holdover\[17\] +*1948 mprj_io_holdover\[18\] +*1949 mprj_io_holdover\[19\] +*1950 mprj_io_holdover\[1\] +*1951 mprj_io_holdover\[20\] +*1952 mprj_io_holdover\[21\] +*1953 mprj_io_holdover\[22\] +*1954 mprj_io_holdover\[23\] +*1955 mprj_io_holdover\[24\] +*1956 mprj_io_holdover\[25\] +*1957 mprj_io_holdover\[26\] +*1958 mprj_io_holdover\[2\] +*1959 mprj_io_holdover\[3\] +*1960 mprj_io_holdover\[4\] +*1961 mprj_io_holdover\[5\] +*1962 mprj_io_holdover\[6\] +*1963 mprj_io_holdover\[7\] +*1964 mprj_io_holdover\[8\] +*1965 mprj_io_holdover\[9\] +*1966 mprj_io_ib_mode_sel\[0\] +*1967 mprj_io_ib_mode_sel\[10\] +*1968 mprj_io_ib_mode_sel\[11\] +*1969 mprj_io_ib_mode_sel\[12\] +*1970 mprj_io_ib_mode_sel\[13\] +*1971 mprj_io_ib_mode_sel\[14\] +*1972 mprj_io_ib_mode_sel\[15\] +*1973 mprj_io_ib_mode_sel\[16\] +*1974 mprj_io_ib_mode_sel\[17\] +*1975 mprj_io_ib_mode_sel\[18\] +*1976 mprj_io_ib_mode_sel\[19\] +*1977 mprj_io_ib_mode_sel\[1\] +*1978 mprj_io_ib_mode_sel\[20\] +*1979 mprj_io_ib_mode_sel\[21\] +*1980 mprj_io_ib_mode_sel\[22\] +*1981 mprj_io_ib_mode_sel\[23\] +*1982 mprj_io_ib_mode_sel\[24\] +*1983 mprj_io_ib_mode_sel\[25\] +*1984 mprj_io_ib_mode_sel\[26\] +*1985 mprj_io_ib_mode_sel\[2\] +*1986 mprj_io_ib_mode_sel\[3\] +*1987 mprj_io_ib_mode_sel\[4\] +*1988 mprj_io_ib_mode_sel\[5\] +*1989 mprj_io_ib_mode_sel\[6\] +*1990 mprj_io_ib_mode_sel\[7\] +*1991 mprj_io_ib_mode_sel\[8\] +*1992 mprj_io_ib_mode_sel\[9\] +*1993 mprj_io_in\[0\] +*1994 mprj_io_in\[10\] +*1995 mprj_io_in\[11\] +*1996 mprj_io_in\[12\] +*1997 mprj_io_in\[13\] +*1998 mprj_io_in\[14\] +*1999 mprj_io_in\[15\] +*2000 mprj_io_in\[16\] +*2001 mprj_io_in\[17\] +*2002 mprj_io_in\[18\] +*2003 mprj_io_in\[19\] +*2004 mprj_io_in\[1\] +*2005 mprj_io_in\[20\] +*2006 mprj_io_in\[21\] +*2007 mprj_io_in\[22\] +*2008 mprj_io_in\[23\] +*2009 mprj_io_in\[24\] +*2010 mprj_io_in\[25\] +*2011 mprj_io_in\[26\] +*2012 mprj_io_in\[2\] +*2013 mprj_io_in\[3\] +*2014 mprj_io_in\[4\] +*2015 mprj_io_in\[5\] +*2016 mprj_io_in\[6\] +*2017 mprj_io_in\[7\] +*2018 mprj_io_in\[8\] +*2019 mprj_io_in\[9\] +*2020 mprj_io_in_3v3\[0\] +*2021 mprj_io_in_3v3\[10\] +*2022 mprj_io_in_3v3\[11\] +*2023 mprj_io_in_3v3\[12\] +*2024 mprj_io_in_3v3\[13\] +*2025 mprj_io_in_3v3\[14\] +*2026 mprj_io_in_3v3\[15\] +*2027 mprj_io_in_3v3\[16\] +*2028 mprj_io_in_3v3\[17\] +*2029 mprj_io_in_3v3\[18\] +*2030 mprj_io_in_3v3\[19\] +*2031 mprj_io_in_3v3\[1\] +*2032 mprj_io_in_3v3\[20\] +*2033 mprj_io_in_3v3\[21\] +*2034 mprj_io_in_3v3\[22\] +*2035 mprj_io_in_3v3\[23\] +*2036 mprj_io_in_3v3\[24\] +*2037 mprj_io_in_3v3\[25\] +*2038 mprj_io_in_3v3\[26\] +*2039 mprj_io_in_3v3\[2\] +*2040 mprj_io_in_3v3\[3\] +*2041 mprj_io_in_3v3\[4\] +*2042 mprj_io_in_3v3\[5\] +*2043 mprj_io_in_3v3\[6\] +*2044 mprj_io_in_3v3\[7\] +*2045 mprj_io_in_3v3\[8\] +*2046 mprj_io_in_3v3\[9\] +*2047 mprj_io_inp_dis\[0\] +*2048 mprj_io_inp_dis\[10\] +*2049 mprj_io_inp_dis\[11\] +*2050 mprj_io_inp_dis\[12\] +*2051 mprj_io_inp_dis\[13\] +*2052 mprj_io_inp_dis\[14\] +*2053 mprj_io_inp_dis\[15\] +*2054 mprj_io_inp_dis\[16\] +*2055 mprj_io_inp_dis\[17\] +*2056 mprj_io_inp_dis\[18\] +*2057 mprj_io_inp_dis\[19\] +*2058 mprj_io_inp_dis\[1\] +*2059 mprj_io_inp_dis\[20\] +*2060 mprj_io_inp_dis\[21\] +*2061 mprj_io_inp_dis\[22\] +*2062 mprj_io_inp_dis\[23\] +*2063 mprj_io_inp_dis\[24\] +*2064 mprj_io_inp_dis\[25\] +*2065 mprj_io_inp_dis\[26\] +*2066 mprj_io_inp_dis\[2\] +*2067 mprj_io_inp_dis\[3\] +*2068 mprj_io_inp_dis\[4\] +*2069 mprj_io_inp_dis\[5\] +*2070 mprj_io_inp_dis\[6\] +*2071 mprj_io_inp_dis\[7\] +*2072 mprj_io_inp_dis\[8\] +*2073 mprj_io_inp_dis\[9\] +*2074 mprj_io_oeb\[0\] +*2075 mprj_io_oeb\[10\] +*2076 mprj_io_oeb\[11\] +*2077 mprj_io_oeb\[12\] +*2078 mprj_io_oeb\[13\] +*2079 mprj_io_oeb\[14\] +*2080 mprj_io_oeb\[15\] +*2081 mprj_io_oeb\[16\] +*2082 mprj_io_oeb\[17\] +*2083 mprj_io_oeb\[18\] +*2084 mprj_io_oeb\[19\] +*2085 mprj_io_oeb\[1\] +*2086 mprj_io_oeb\[20\] +*2087 mprj_io_oeb\[21\] +*2088 mprj_io_oeb\[22\] +*2089 mprj_io_oeb\[23\] +*2090 mprj_io_oeb\[24\] +*2091 mprj_io_oeb\[25\] +*2092 mprj_io_oeb\[26\] +*2093 mprj_io_oeb\[2\] +*2094 mprj_io_oeb\[3\] +*2095 mprj_io_oeb\[4\] +*2096 mprj_io_oeb\[5\] +*2097 mprj_io_oeb\[6\] +*2098 mprj_io_oeb\[7\] +*2099 mprj_io_oeb\[8\] +*2100 mprj_io_oeb\[9\] +*2101 mprj_io_out\[0\] +*2102 mprj_io_out\[10\] +*2103 mprj_io_out\[11\] +*2104 mprj_io_out\[12\] +*2105 mprj_io_out\[13\] +*2106 mprj_io_out\[14\] +*2107 mprj_io_out\[15\] +*2108 mprj_io_out\[16\] +*2109 mprj_io_out\[17\] +*2110 mprj_io_out\[18\] +*2111 mprj_io_out\[19\] +*2112 mprj_io_out\[1\] +*2113 mprj_io_out\[20\] +*2114 mprj_io_out\[21\] +*2115 mprj_io_out\[22\] +*2116 mprj_io_out\[23\] +*2117 mprj_io_out\[24\] +*2118 mprj_io_out\[25\] +*2119 mprj_io_out\[26\] +*2120 mprj_io_out\[2\] +*2121 mprj_io_out\[3\] +*2122 mprj_io_out\[4\] +*2123 mprj_io_out\[5\] +*2124 mprj_io_out\[6\] +*2125 mprj_io_out\[7\] +*2126 mprj_io_out\[8\] +*2127 mprj_io_out\[9\] +*2128 mprj_io_slow_sel\[0\] +*2129 mprj_io_slow_sel\[10\] +*2130 mprj_io_slow_sel\[11\] +*2131 mprj_io_slow_sel\[12\] +*2132 mprj_io_slow_sel\[13\] +*2133 mprj_io_slow_sel\[14\] +*2134 mprj_io_slow_sel\[15\] +*2135 mprj_io_slow_sel\[16\] +*2136 mprj_io_slow_sel\[17\] +*2137 mprj_io_slow_sel\[18\] +*2138 mprj_io_slow_sel\[19\] +*2139 mprj_io_slow_sel\[1\] +*2140 mprj_io_slow_sel\[20\] +*2141 mprj_io_slow_sel\[21\] +*2142 mprj_io_slow_sel\[22\] +*2143 mprj_io_slow_sel\[23\] +*2144 mprj_io_slow_sel\[24\] +*2145 mprj_io_slow_sel\[25\] +*2146 mprj_io_slow_sel\[26\] +*2147 mprj_io_slow_sel\[2\] +*2148 mprj_io_slow_sel\[3\] +*2149 mprj_io_slow_sel\[4\] +*2150 mprj_io_slow_sel\[5\] +*2151 mprj_io_slow_sel\[6\] +*2152 mprj_io_slow_sel\[7\] +*2153 mprj_io_slow_sel\[8\] +*2154 mprj_io_slow_sel\[9\] +*2155 mprj_io_vtrip_sel\[0\] +*2156 mprj_io_vtrip_sel\[10\] +*2157 mprj_io_vtrip_sel\[11\] +*2158 mprj_io_vtrip_sel\[12\] +*2159 mprj_io_vtrip_sel\[13\] +*2160 mprj_io_vtrip_sel\[14\] +*2161 mprj_io_vtrip_sel\[15\] +*2162 mprj_io_vtrip_sel\[16\] +*2163 mprj_io_vtrip_sel\[17\] +*2164 mprj_io_vtrip_sel\[18\] +*2165 mprj_io_vtrip_sel\[19\] +*2166 mprj_io_vtrip_sel\[1\] +*2167 mprj_io_vtrip_sel\[20\] +*2168 mprj_io_vtrip_sel\[21\] +*2169 mprj_io_vtrip_sel\[22\] +*2170 mprj_io_vtrip_sel\[23\] +*2171 mprj_io_vtrip_sel\[24\] +*2172 mprj_io_vtrip_sel\[25\] +*2173 mprj_io_vtrip_sel\[26\] +*2174 mprj_io_vtrip_sel\[2\] +*2175 mprj_io_vtrip_sel\[3\] +*2176 mprj_io_vtrip_sel\[4\] +*2177 mprj_io_vtrip_sel\[5\] +*2178 mprj_io_vtrip_sel\[6\] +*2179 mprj_io_vtrip_sel\[7\] +*2180 mprj_io_vtrip_sel\[8\] +*2181 mprj_io_vtrip_sel\[9\] +*2182 mprj_reset +*2183 mprj_sel_o_core\[0\] +*2184 mprj_sel_o_core\[1\] +*2185 mprj_sel_o_core\[2\] +*2186 mprj_sel_o_core\[3\] +*2187 mprj_sel_o_user\[0\] +*2188 mprj_sel_o_user\[1\] +*2189 mprj_sel_o_user\[2\] +*2190 mprj_sel_o_user\[3\] +*2191 mprj_stb_o_core +*2192 mprj_stb_o_user +*2193 mprj_vcc_pwrgood +*2194 mprj_vdd_pwrgood +*2195 mprj_we_o_core +*2196 mprj_we_o_user +*2197 one_loop1\[0\] +*2198 one_loop1\[10\] +*2199 one_loop1\[11\] +*2200 one_loop1\[1\] +*2201 one_loop1\[2\] +*2202 one_loop1\[3\] +*2203 one_loop1\[4\] +*2204 one_loop1\[5\] +*2205 one_loop1\[6\] +*2206 one_loop1\[7\] +*2207 one_loop1\[8\] +*2208 one_loop1\[9\] +*2209 one_loop2\[0\] +*2210 one_loop2\[1\] +*2211 one_loop2\[2\] +*2212 one_loop2\[3\] +*2213 one_loop2\[4\] +*2214 one_loop2\[5\] +*2215 one_loop2\[6\] +*2216 one_loop2\[7\] +*2217 one_loop2\[8\] +*2218 one_loop2\[9\] +*2219 pll_clk +*2220 pll_clk90 +*2221 por_l +*2222 porb_h +*2223 porb_l +*2224 qspi_enabled +*2225 rstb_h +*2226 rstb_l +*2227 ser_rx +*2228 ser_tx +*2229 spi_csb +*2230 spi_enabled +*2231 spi_pll90_sel\[0\] +*2232 spi_pll90_sel\[1\] +*2233 spi_pll90_sel\[2\] +*2234 spi_pll_dco_ena +*2235 spi_pll_div\[0\] +*2236 spi_pll_div\[1\] +*2237 spi_pll_div\[2\] +*2238 spi_pll_div\[3\] +*2239 spi_pll_div\[4\] +*2240 spi_pll_ena +*2241 spi_pll_sel\[0\] +*2242 spi_pll_sel\[1\] +*2243 spi_pll_sel\[2\] +*2244 spi_pll_trim\[0\] +*2245 spi_pll_trim\[10\] +*2246 spi_pll_trim\[11\] +*2247 spi_pll_trim\[12\] +*2248 spi_pll_trim\[13\] +*2249 spi_pll_trim\[14\] +*2250 spi_pll_trim\[15\] +*2251 spi_pll_trim\[16\] +*2252 spi_pll_trim\[17\] +*2253 spi_pll_trim\[18\] +*2254 spi_pll_trim\[19\] +*2255 spi_pll_trim\[1\] +*2256 spi_pll_trim\[20\] +*2257 spi_pll_trim\[21\] +*2258 spi_pll_trim\[22\] +*2259 spi_pll_trim\[23\] +*2260 spi_pll_trim\[24\] +*2261 spi_pll_trim\[25\] +*2262 spi_pll_trim\[2\] +*2263 spi_pll_trim\[3\] +*2264 spi_pll_trim\[4\] +*2265 spi_pll_trim\[5\] +*2266 spi_pll_trim\[6\] +*2267 spi_pll_trim\[7\] +*2268 spi_pll_trim\[8\] +*2269 spi_pll_trim\[9\] +*2270 spi_sck +*2271 spi_sdi +*2272 spi_sdo +*2273 spi_sdoenb +*2274 trap +*2275 uart_enabled +*2276 user_analog\[0\] +*2277 user_analog\[10\] +*2278 user_analog\[1\] +*2279 user_analog\[2\] +*2280 user_analog\[3\] +*2281 user_analog\[4\] +*2282 user_analog\[5\] +*2283 user_analog\[6\] +*2284 user_analog\[7\] +*2285 user_analog\[8\] +*2286 user_analog\[9\] +*2287 user_clamp_high\[0\] +*2288 user_clamp_high\[1\] +*2289 user_clamp_high\[2\] +*2290 user_clamp_low\[0\] +*2291 user_clamp_low\[1\] +*2292 user_clamp_low\[2\] +*2293 user_gpio_analog\[0\] +*2294 user_gpio_analog\[10\] +*2295 user_gpio_analog\[11\] +*2296 user_gpio_analog\[12\] +*2297 user_gpio_analog\[13\] +*2298 user_gpio_analog\[14\] +*2299 user_gpio_analog\[15\] +*2300 user_gpio_analog\[16\] +*2301 user_gpio_analog\[17\] +*2302 user_gpio_analog\[1\] +*2303 user_gpio_analog\[2\] +*2304 user_gpio_analog\[3\] +*2305 user_gpio_analog\[4\] +*2306 user_gpio_analog\[5\] +*2307 user_gpio_analog\[6\] +*2308 user_gpio_analog\[7\] +*2309 user_gpio_analog\[8\] +*2310 user_gpio_analog\[9\] +*2311 user_gpio_noesd\[0\] +*2312 user_gpio_noesd\[10\] +*2313 user_gpio_noesd\[11\] +*2314 user_gpio_noesd\[12\] +*2315 user_gpio_noesd\[13\] +*2316 user_gpio_noesd\[14\] +*2317 user_gpio_noesd\[15\] +*2318 user_gpio_noesd\[16\] +*2319 user_gpio_noesd\[17\] +*2320 user_gpio_noesd\[1\] +*2321 user_gpio_noesd\[2\] +*2322 user_gpio_noesd\[3\] +*2323 user_gpio_noesd\[4\] +*2324 user_gpio_noesd\[5\] +*2325 user_gpio_noesd\[6\] +*2326 user_gpio_noesd\[7\] +*2327 user_gpio_noesd\[8\] +*2328 user_gpio_noesd\[9\] +*2329 user_io_in\[0\] +*2330 user_io_in\[10\] +*2331 user_io_in\[11\] +*2332 user_io_in\[12\] +*2333 user_io_in\[13\] +*2334 user_io_in\[14\] +*2335 user_io_in\[15\] +*2336 user_io_in\[16\] +*2337 user_io_in\[17\] +*2338 user_io_in\[18\] +*2339 user_io_in\[19\] +*2340 user_io_in\[1\] +*2341 user_io_in\[20\] +*2342 user_io_in\[21\] +*2343 user_io_in\[22\] +*2344 user_io_in\[23\] +*2345 user_io_in\[24\] +*2346 user_io_in\[25\] +*2347 user_io_in\[26\] +*2348 user_io_in\[2\] +*2349 user_io_in\[3\] +*2350 user_io_in\[4\] +*2351 user_io_in\[5\] +*2352 user_io_in\[6\] +*2353 user_io_in\[7\] +*2354 user_io_in\[8\] +*2355 user_io_in\[9\] +*2356 user_io_oeb\[0\] +*2357 user_io_oeb\[10\] +*2358 user_io_oeb\[11\] +*2359 user_io_oeb\[12\] +*2360 user_io_oeb\[13\] +*2361 user_io_oeb\[14\] +*2362 user_io_oeb\[15\] +*2363 user_io_oeb\[16\] +*2364 user_io_oeb\[17\] +*2365 user_io_oeb\[18\] +*2366 user_io_oeb\[19\] +*2367 user_io_oeb\[1\] +*2368 user_io_oeb\[20\] +*2369 user_io_oeb\[21\] +*2370 user_io_oeb\[22\] +*2371 user_io_oeb\[23\] +*2372 user_io_oeb\[24\] +*2373 user_io_oeb\[25\] +*2374 user_io_oeb\[26\] +*2375 user_io_oeb\[2\] +*2376 user_io_oeb\[3\] +*2377 user_io_oeb\[4\] +*2378 user_io_oeb\[5\] +*2379 user_io_oeb\[6\] +*2380 user_io_oeb\[7\] +*2381 user_io_oeb\[8\] +*2382 user_io_oeb\[9\] +*2383 user_io_out\[0\] +*2384 user_io_out\[10\] +*2385 user_io_out\[11\] +*2386 user_io_out\[12\] +*2387 user_io_out\[13\] +*2388 user_io_out\[14\] +*2389 user_io_out\[15\] +*2390 user_io_out\[16\] +*2391 user_io_out\[17\] +*2392 user_io_out\[18\] +*2393 user_io_out\[19\] +*2394 user_io_out\[1\] +*2395 user_io_out\[20\] +*2396 user_io_out\[21\] +*2397 user_io_out\[22\] +*2398 user_io_out\[23\] +*2399 user_io_out\[24\] +*2400 user_io_out\[25\] +*2401 user_io_out\[26\] +*2402 user_io_out\[2\] +*2403 user_io_out\[3\] +*2404 user_io_out\[4\] +*2405 user_io_out\[5\] +*2406 user_io_out\[6\] +*2407 user_io_out\[7\] +*2408 user_io_out\[8\] +*2409 user_io_out\[9\] +*2410 user_irq\[0\] +*2411 user_irq\[1\] +*2412 user_irq\[2\] +*2413 user_irq_core\[0\] +*2414 user_irq_core\[1\] +*2415 user_irq_core\[2\] +*2416 clocking +*2417 gpio_01_defaults\[0\] +*2418 gpio_01_defaults\[1\] +*2419 gpio_10_defaults +*2420 gpio_11_defaults +*2421 gpio_12_defaults +*2422 gpio_13_defaults +*2423 gpio_14_defaults +*2424 gpio_234_defaults\[0\] +*2425 gpio_234_defaults\[1\] +*2426 gpio_234_defaults\[2\] +*2427 gpio_26_defaults +*2428 gpio_27_defaults +*2429 gpio_28_defaults +*2430 gpio_29_defaults +*2431 gpio_30_defaults +*2432 gpio_31_defaults +*2433 gpio_32_defaults +*2434 gpio_33_defaults +*2435 gpio_34_defaults +*2436 gpio_35_defaults +*2437 gpio_36_defaults +*2438 gpio_37_defaults +*2439 gpio_5_defaults +*2440 gpio_6_defaults +*2441 gpio_7_defaults +*2442 gpio_8_defaults +*2443 gpio_9_defaults +*2444 gpio_control_bidir_1\[0\] +*2445 gpio_control_bidir_1\[1\] +*2446 gpio_control_bidir_2\[0\] +*2447 gpio_control_bidir_2\[1\] +*2448 gpio_control_bidir_2\[2\] +*2449 gpio_control_in_1\[0\] +*2450 gpio_control_in_1\[1\] +*2451 gpio_control_in_1\[2\] +*2452 gpio_control_in_1\[3\] +*2453 gpio_control_in_1\[4\] +*2454 gpio_control_in_1\[5\] +*2455 gpio_control_in_1a\[0\] +*2456 gpio_control_in_1a\[1\] +*2457 gpio_control_in_1a\[2\] +*2458 gpio_control_in_1a\[3\] +*2459 gpio_control_in_1a\[4\] +*2460 gpio_control_in_1a\[5\] +*2461 gpio_control_in_2\[0\] +*2462 gpio_control_in_2\[1\] +*2463 gpio_control_in_2\[2\] +*2464 gpio_control_in_2\[3\] +*2465 gpio_control_in_2\[4\] +*2466 gpio_control_in_2\[5\] +*2467 gpio_control_in_2\[6\] +*2468 gpio_control_in_2\[7\] +*2469 gpio_control_in_2\[8\] +*2470 gpio_control_in_2\[9\] +*2471 housekeeping +*2472 mgmt_buffers +*2473 mprj +*2474 padframe +*2475 pll +*2476 por +*2477 rstb_level +*2478 soc +*2479 user_id_value + +*PORTS +clock I +flash_clk O +flash_csb O +flash_io0 O +flash_io1 O +gpio I +mprj_io[0] I +mprj_io[10] I +mprj_io[11] I +mprj_io[12] I +mprj_io[13] I +mprj_io[14] I +mprj_io[15] I +mprj_io[16] I +mprj_io[17] I +mprj_io[18] I +mprj_io[19] I +mprj_io[1] I +mprj_io[20] I +mprj_io[21] I +mprj_io[22] I +mprj_io[23] I +mprj_io[24] I +mprj_io[25] I +mprj_io[26] I +mprj_io[27] I +mprj_io[28] I +mprj_io[29] I +mprj_io[2] I +mprj_io[30] I +mprj_io[31] I +mprj_io[32] I +mprj_io[33] I +mprj_io[34] I +mprj_io[35] I +mprj_io[36] I +mprj_io[37] I +mprj_io[3] I +mprj_io[4] I +mprj_io[5] I +mprj_io[6] I +mprj_io[7] I +mprj_io[8] I +mprj_io[9] I +resetb I +vccd1 I +vccd2 I +vdda I +vdda1 I +vdda1_2 I +vdda2 I +vddio_2 I +vssa1 I +vssa1_2 I +vssa2 I +vssd1 I +vssd2 I +vssio_2 I +vddio I +vssio I +vssa I +vccd I +vssd I + +*D_NET *64 0.541286 +*CONN +*I *2471:wb_clk_i I *D housekeeping +*I *2478:core_clk I *D mgmt_core_wrapper +*I *2472:caravel_clk I *D mgmt_protect +*I *2416:core_clk O *D caravel_clocking +*CAP +1 *2471:wb_clk_i 0.00111123 +2 *2478:core_clk 0.000477361 +3 *2472:caravel_clk 0.00152904 +4 *2416:core_clk 0.00165085 +5 *64:51 0.00679804 +6 *64:50 0.00568681 +7 *64:48 0.0639707 +8 *64:47 0.0640219 +9 *64:34 0.0169105 +10 *64:22 0.030332 +11 *64:21 0.0288029 +12 *64:19 0.0245901 +13 *64:18 0.0245901 +14 *64:16 0.0334715 +15 *64:15 0.0499558 +16 *64:13 0.00570652 +17 *64:12 0.0058659 +18 *64:7 0.00181023 +19 *2471:wb_clk_i *2475:ext_trim[19] 0.000269383 +20 *2471:wb_clk_i *66:17 0 +21 *2471:wb_clk_i *72:12 0.000315556 +22 *2471:wb_clk_i *2257:15 0.000266632 +23 *2471:wb_clk_i *2261:12 1.65872e-05 +24 *64:16 *2226:16 0.00484053 +25 *64:16 *2226:27 0.0039377 +26 *64:19 *65:13 0.0712627 +27 *64:34 *2226:27 0.0765658 +28 *64:51 *2471:mask_rev_in[0] 0 +29 *64:51 *72:13 0.0165299 +30 *64:51 *1526:11 0 +*RES +1 *2416:core_clk *64:7 46.8624 +2 *64:7 *64:12 13.051 +3 *64:12 *64:13 160.874 +4 *64:13 *64:15 4.5 +5 *64:15 *64:16 975.162 +6 *64:16 *64:18 4.5 +7 *64:18 *64:19 1137.96 +8 *64:19 *64:21 4.5 +9 *64:21 *64:22 801.016 +10 *64:22 *2472:caravel_clk 13.9709 +11 *64:15 *64:34 799.907 +12 *64:34 *2478:core_clk 16.4812 +13 *2478:core_clk *64:47 6.90602 +14 *64:47 *64:48 1784.88 +15 *64:48 *64:50 4.5 +16 *64:50 *64:51 263.856 +17 *64:51 *2471:wb_clk_i 42.4729 +*END + +*D_NET *65 0.314995 +*CONN +*I *2472:caravel_clk2 I *D mgmt_protect +*I *2416:user_clk O *D caravel_clocking +*CAP +1 *2472:caravel_clk2 0.00199685 +2 *2416:user_clk 9.19267e-05 +3 *65:16 0.0303285 +4 *65:15 0.0283316 +5 *65:13 0.0115887 +6 *65:12 0.0115887 +7 *65:10 0.0351353 +8 *65:9 0.0351353 +9 *65:7 0.00543666 +10 *65:5 0.00552859 +11 *65:13 *66:37 0.0759863 +12 *65:16 *1562:13 0.00258421 +13 *64:19 *65:13 0.0712627 +*RES +1 *2416:user_clk *65:5 2.61365 +2 *65:5 *65:7 154.437 +3 *65:7 *65:9 4.5 +4 *65:9 *65:10 983.481 +5 *65:10 *65:12 4.5 +6 *65:12 *65:13 1240.11 +7 *65:13 *65:15 4.5 +8 *65:15 *65:16 800.462 +9 *65:16 *2472:caravel_clk2 27.2589 +*END + +*D_NET *66 0.492225 +*CONN +*I *2478:core_rstn I *D mgmt_core_wrapper +*I *2472:caravel_rstn I *D mgmt_protect +*I *2471:wb_rstn_i I *D housekeeping +*I *2416:resetb_sync O *D caravel_clocking +*CAP +1 *2478:core_rstn 0.000979358 +2 *2472:caravel_rstn 0.00192382 +3 *2471:wb_rstn_i 1.28869e-05 +4 *2416:resetb_sync 9.19267e-05 +5 *66:40 0.0306413 +6 *66:39 0.0287175 +7 *66:37 0.0285967 +8 *66:36 0.0285967 +9 *66:34 0.0211102 +10 *66:33 0.0213887 +11 *66:30 0.00125789 +12 *66:28 0.0146081 +13 *66:27 0.0149394 +14 *66:17 0.00837873 +15 *66:16 0.00836584 +16 *66:14 0.0911453 +17 *66:13 0.0911453 +18 *66:11 0.00331698 +19 *66:9 0.00301139 +20 *66:7 0.00338883 +21 *66:5 0.00345498 +22 *66:14 *82:18 0.000876276 +23 *66:14 *89:22 0.000278113 +24 *66:17 *2471:mask_rev_in[0] 0 +25 *66:17 *2475:ext_trim[19] 8.39733e-05 +26 *66:17 *72:13 0.00855593 +27 *66:17 *2257:15 0.00108295 +28 *66:28 *466:10 0.000289628 +29 *66:37 *1117:7 0 +30 *2471:wb_clk_i *66:17 0 +31 *65:13 *66:37 0.0759863 +*RES +1 *2416:resetb_sync *66:5 2.61365 +2 *66:5 *66:7 95.7034 +3 *66:7 *66:9 0.732798 +4 *66:9 *66:11 84.2351 +5 *66:11 *66:13 4.5 +6 *66:13 *66:14 2559.66 +7 *66:14 *66:16 4.5 +8 *66:16 *66:17 324.691 +9 *66:17 *2471:wb_rstn_i 0.366399 +10 *66:11 *66:27 13.3913 +11 *66:27 *66:28 408.357 +12 *66:28 *66:30 4.5 +13 *66:30 *66:33 12.1455 +14 *66:33 *66:34 591.376 +15 *66:34 *66:36 4.5 +16 *66:36 *66:37 1280.39 +17 *66:37 *66:39 4.5 +18 *66:39 *66:40 799.907 +19 *66:40 *2472:caravel_rstn 25.1827 +20 *66:30 *2478:core_rstn 27.3212 +*END + +*D_NET *67 0.384619 +*CONN +*I *2475:osc I *D digital_pll +*I *2416:ext_clk I *D caravel_clocking +*I *2474:clock_core O *D chip_io_alt +*CAP +1 *2475:osc 1.28869e-05 +2 *2416:ext_clk 5.24068e-05 +3 *2474:clock_core 0.00506033 +4 *67:32 0.00766076 +5 *67:31 0.00764787 +6 *67:29 0.0539749 +7 *67:28 0.055531 +8 *67:15 0.00517568 +9 *67:14 0.00512327 +10 *67:12 0.00661645 +11 *67:29 *72:16 0.234318 +12 *67:29 *465:22 0.00273662 +13 *67:29 *2243:10 0.00070936 +*RES +1 *2474:clock_core *67:12 24.1758 +2 *67:12 *67:14 3.36879 +3 *67:14 *67:15 145.509 +4 *67:15 *2416:ext_clk 1.49002 +5 *67:12 *67:28 36.2611 +6 *67:28 *67:29 2573.53 +7 *67:29 *67:31 4.5 +8 *67:31 *67:32 216.725 +9 *67:32 *2475:osc 0.366399 +*END + +*D_NET *68 0.0486367 +*CONN +*I *2478:debug_in I *D mgmt_core_wrapper +*I *2471:debug_in O *D housekeeping +*CAP +1 *2478:debug_in 0.00117924 +2 *2471:debug_in 0.00187269 +3 *68:14 0.00267535 +4 *68:13 0.00149611 +5 *68:11 0.000864571 +6 *68:10 0.00273726 +7 *68:10 *2471:debug_mode 0 +8 *68:10 *2471:sram_ro_data[30] 7.77309e-06 +9 *68:10 *2471:sram_ro_data[31] 0.000476542 +10 *68:11 *593:11 0.0107111 +11 *68:11 *594:11 0.0105287 +12 *68:14 *70:8 5.66868e-06 +13 *68:14 *584:8 0.00566104 +14 *68:14 *589:8 0.00774116 +15 *68:14 *593:8 0.000486913 +16 *68:14 *2227:14 0.00135552 +17 *68:14 *2270:8 0.00083707 +*RES +1 *2471:debug_in *68:10 28.1987 +2 *68:10 *68:11 114.972 +3 *68:11 *68:13 4.5 +4 *68:13 *68:14 142.395 +5 *68:14 *2478:debug_in 7.25648 +*END + +*D_NET *69 0.048307 +*CONN +*I *2471:debug_mode I *D housekeeping +*I *2478:debug_mode O *D mgmt_core_wrapper +*CAP +1 *2471:debug_mode 0.00150361 +2 *2478:debug_mode 0.00140471 +3 *69:11 0.00219478 +4 *69:10 0.000691168 +5 *69:8 0.001585 +6 *69:7 0.00298971 +7 *2471:debug_mode *2471:debug_oeb 0.000223845 +8 *2471:debug_mode *2471:debug_out 0.000202487 +9 *69:8 *71:8 0.00123909 +10 *69:8 *575:8 0.00198572 +11 *69:8 *594:8 0.0082482 +12 *69:8 *604:14 0.000502815 +13 *69:8 *2272:8 0.00470526 +14 *69:11 *70:11 0.0104885 +15 *69:11 *71:11 0.0103421 +16 *68:10 *2471:debug_mode 0 +*RES +1 *2478:debug_mode *69:7 7.8684 +2 *69:7 *69:8 150.285 +3 *69:8 *69:10 4.5 +4 *69:10 *69:11 109.98 +5 *69:11 *2471:debug_mode 17.0634 +*END + +*D_NET *70 0.0402574 +*CONN +*I *2471:debug_oeb I *D housekeeping +*I *2478:debug_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:debug_oeb 0.00170361 +2 *2478:debug_oeb 0.00127691 +3 *70:11 0.00420391 +4 *70:10 0.0025003 +5 *70:8 0.00175276 +6 *70:7 0.00302967 +7 *2471:debug_oeb *2471:debug_out 0.000203088 +8 *70:8 *593:8 0.00761 +9 *70:8 *594:8 0 +10 *70:8 *603:14 0.0063597 +11 *70:8 *2227:14 0 +12 *70:8 *2274:8 0.000562744 +13 *70:8 *2275:8 0.000336704 +14 *2471:debug_mode *2471:debug_oeb 0.000223845 +15 *68:14 *70:8 5.66868e-06 +16 *69:11 *70:11 0.0104885 +*RES +1 *2478:debug_oeb *70:7 7.48595 +2 *70:7 *70:8 140.734 +3 *70:8 *70:10 4.5 +4 *70:10 *70:11 113.308 +5 *70:11 *2471:debug_oeb 24.0462 +*END + +*D_NET *71 0.0382811 +*CONN +*I *2471:debug_out I *D housekeeping +*I *2478:debug_out O *D mgmt_core_wrapper +*CAP +1 *2471:debug_out 0.00192412 +2 *2478:debug_out 0.00147749 +3 *71:11 0.00420213 +4 *71:10 0.00227802 +5 *71:8 0.00194817 +6 *71:7 0.00342566 +7 *2471:debug_out *2471:trap 0 +8 *71:8 *575:8 0.000843849 +9 *71:8 *577:8 0 +10 *71:8 *602:14 0.00018896 +11 *71:8 *2272:8 0.00472983 +12 *71:8 *2273:8 0.00527619 +13 *2471:debug_mode *2471:debug_out 0.000202487 +14 *2471:debug_oeb *2471:debug_out 0.000203088 +15 *69:8 *71:8 0.00123909 +16 *69:11 *71:11 0.0103421 +*RES +1 *2478:debug_out *71:7 8.02138 +2 *71:7 *71:8 132.429 +3 *71:8 *71:10 4.5 +4 *71:10 *71:11 108.316 +5 *71:11 *2471:debug_out 29.1822 +*END + +*D_NET *72 0.548649 +*CONN +*I *2416:ext_clk_sel I *D caravel_clocking +*I *2471:pll_bypass O *D housekeeping +*CAP +1 *2416:ext_clk_sel 0.00172964 +2 *2471:pll_bypass 0.00114928 +3 *72:19 0.0102047 +4 *72:18 0.00847505 +5 *72:16 0.0160641 +6 *72:15 0.0160641 +7 *72:13 0.00409011 +8 *72:12 0.00523939 +9 *72:12 *2475:ext_trim[18] 0 +10 *72:12 *2257:15 0.000169038 +11 *72:12 *2261:9 0 +12 *72:12 *2261:12 0.000680863 +13 *72:13 *2257:15 0.00107828 +14 *72:16 *2243:10 0.223986 +15 *2471:wb_clk_i *72:12 0.000315556 +16 *64:51 *72:13 0.0165299 +17 *66:17 *72:13 0.00855593 +18 *67:29 *72:16 0.234318 +*RES +1 *2471:pll_bypass *72:12 45.3852 +2 *72:12 *72:13 305.797 +3 *72:13 *72:15 4.5 +4 *72:15 *72:16 2469.82 +5 *72:16 *72:18 4.5 +6 *72:18 *72:19 238.941 +7 *72:19 *2416:ext_clk_sel 40.4387 +*END + +*D_NET *73 0.439627 +*CONN +*I *2416:ext_reset I *D caravel_clocking +*I *2471:reset O *D housekeeping +*CAP +1 *2416:ext_reset 0.00123118 +2 *2471:reset 0.0010976 +3 *73:19 0.00534138 +4 *73:18 0.0041102 +5 *73:16 0.0222052 +6 *73:15 0.0222052 +7 *73:13 0.00947438 +8 *73:12 0.0098908 +9 *73:9 0.00151403 +10 *73:9 *2471:porb 0.00163502 +11 *73:13 *92:15 0.00252768 +12 *73:16 *79:14 0.170598 +13 *73:16 *87:10 0.000409745 +14 *73:16 *465:22 0.141201 +15 *73:16 *2243:10 0.0359745 +16 *73:19 *2231:19 0.0102051 +17 *73:19 *2232:19 5.66868e-06 +18 *73:19 *2241:19 0 +19 *73:19 *2243:13 0 +*RES +1 *2471:reset *73:9 45.5489 +2 *73:9 *73:12 15.7609 +3 *73:12 *73:13 292.509 +4 *73:13 *73:15 4.5 +5 *73:15 *73:16 2303.44 +6 *73:16 *73:18 4.5 +7 *73:18 *73:19 178.107 +8 *73:19 *2416:ext_reset 7.79191 +*END + +*D_NET *74 0.0206956 +*CONN +*I *2471:spimemio_flash_clk I *D housekeeping +*I *2478:flash_clk O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_clk 0.00182054 +2 *2478:flash_clk 0.00144648 +3 *74:11 0.00395637 +4 *74:10 0.00358231 +5 *2471:spimemio_flash_clk *2471:spimemio_flash_csb 0 +6 *2471:spimemio_flash_clk *551:10 0 +7 *74:11 *77:11 0.00988993 +*RES +1 *2478:flash_clk *74:10 16.528 +2 *74:10 *74:11 103.325 +3 *74:11 *2471:spimemio_flash_clk 20.4291 +*END + +*D_NET *75 0.238734 +*CONN +*I *2474:flash_clk_core I *D chip_io_alt +*I *2471:pad_flash_clk O *D housekeeping +*CAP +1 *2474:flash_clk_core 0.000202261 +2 *2471:pad_flash_clk 0.00136931 +3 *75:46 0.0218511 +4 *75:45 0.0216671 +5 *75:40 0.00520396 +6 *75:39 0.00518573 +7 *75:37 0.00171496 +8 *75:34 0.00297904 +9 *75:33 0.0023303 +10 *75:30 0.00245467 +11 *75:25 0.00336117 +12 *75:24 0.00197273 +13 *75:22 0.0020888 +14 *75:21 0.0020888 +15 *75:19 0.00444001 +16 *75:18 0.00444001 +17 *75:16 0.00121366 +18 *75:13 0.00398775 +19 *75:12 0.00277409 +20 *75:10 0.00136931 +21 *2474:flash_clk_core *78:16 0 +22 *75:10 *76:9 0 +23 *75:10 *84:10 0.000296981 +24 *75:16 *91:16 0.000762248 +25 *75:19 *91:21 0 +26 *75:34 *92:30 0.0056751 +27 *75:40 *80:16 1.65872e-05 +28 *75:40 *85:20 0.0238996 +29 *75:40 *89:28 0.00303891 +30 *75:45 *85:25 1.66626e-05 +31 *75:46 *2474:flash_io0_oeb_core 0.000994562 +32 *75:46 *2474:flash_io1_do_core 0.000709959 +33 *75:46 *80:16 0.00721236 +34 *75:46 *85:26 0.0674974 +35 *75:46 *89:28 0.0348475 +36 *75:46 *482:16 0.00107171 +*RES +1 *2471:pad_flash_clk *75:10 45.7373 +2 *75:10 *75:12 4.5 +3 *75:12 *75:13 77.8232 +4 *75:13 *75:16 46.8187 +5 *75:16 *75:18 4.5 +6 *75:18 *75:19 125.577 +7 *75:19 *75:21 4.5 +8 *75:21 *75:22 57.8476 +9 *75:22 *75:24 4.5 +10 *75:24 *75:25 55.8148 +11 *75:25 *75:30 46.8818 +12 *75:30 *75:33 34.1539 +13 *75:33 *75:34 59.5114 +14 *75:34 *75:37 47.8572 +15 *75:37 *75:39 4.5 +16 *75:39 *75:40 250.295 +17 *75:40 *75:45 8.2474 +18 *75:45 *75:46 139.989 +19 *75:46 *2474:flash_clk_core 5.16821 +*END + +*D_NET *76 0.288149 +*CONN +*I *2474:flash_clk_oeb_core I *D chip_io_alt +*I *2471:pad_flash_clk_oeb O *D housekeeping +*CAP +1 *2474:flash_clk_oeb_core 6.22868e-05 +2 *2471:pad_flash_clk_oeb 6.28896e-05 +3 *76:21 0.00135184 +4 *76:12 0.0178718 +5 *76:11 0.0165822 +6 *76:9 0.00766888 +7 *76:7 0.00773177 +8 *76:7 *78:9 2.54668e-05 +9 *76:9 *78:9 0 +10 *76:9 *80:19 0.00921367 +11 *76:9 *91:13 0.00411881 +12 *76:12 *79:14 0.138587 +13 *76:12 *87:10 0.0845793 +14 *76:21 *2221:13 0.000292937 +15 *76:21 *2241:16 0 +16 *75:10 *76:9 0 +*RES +1 *2471:pad_flash_clk_oeb *76:7 2.19839 +2 *76:7 *76:9 328.843 +3 *76:9 *76:11 4.5 +4 *76:11 *76:12 1448.24 +5 *76:12 *76:21 49.1192 +6 *76:21 *2474:flash_clk_oeb_core 1.77093 +*END + +*D_NET *77 0.0203546 +*CONN +*I *2471:spimemio_flash_csb I *D housekeeping +*I *2478:flash_csb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_csb 0.00146141 +2 *2478:flash_csb 0.00116512 +3 *77:11 0.00406721 +4 *77:10 0.00377092 +5 *2471:spimemio_flash_csb *81:10 0 +6 *2471:spimemio_flash_clk *2471:spimemio_flash_csb 0 +7 *74:11 *77:11 0.00988993 +*RES +1 *2478:flash_csb *77:10 16.8887 +2 *77:10 *77:11 116.081 +3 *77:11 *2471:spimemio_flash_csb 13.7414 +*END + +*D_NET *78 0.376166 +*CONN +*I *2474:flash_csb_core I *D chip_io_alt +*I *2471:pad_flash_csb O *D housekeeping +*CAP +1 *2474:flash_csb_core 0.000333936 +2 *2471:pad_flash_csb 0.00110237 +3 *78:16 0.0443646 +4 *78:15 0.0440307 +5 *78:13 0.00859756 +6 *78:12 0.00859756 +7 *78:10 0.00556428 +8 *78:9 0.00666665 +9 *78:9 *79:7 0 +10 *78:9 *80:19 5.19205e-05 +11 *78:10 *89:10 0.0106049 +12 *78:10 *578:7 0.000241106 +13 *78:13 *89:19 0.0106136 +14 *78:13 *91:25 0.00131394 +15 *78:16 *2474:flash_io0_oeb_core 0 +16 *78:16 *2474:flash_io1_do_core 0 +17 *78:16 *2474:gpio_mode1_core 0 +18 *78:16 *84:22 0.105584 +19 *78:16 *2219:11 0.0407366 +20 *78:16 *2220:11 0.02277 +21 *78:16 *2231:16 0.00767111 +22 *78:16 *2232:16 0.00706908 +23 *78:16 *2233:16 0.0227635 +24 *78:16 *2241:16 0.00652931 +25 *78:16 *2242:10 0.0209337 +26 *2474:flash_clk_core *78:16 0 +27 *76:7 *78:9 2.54668e-05 +28 *76:9 *78:9 0 +*RES +1 *2471:pad_flash_csb *78:9 35.9981 +2 *78:9 *78:10 193.171 +3 *78:10 *78:12 4.5 +4 *78:12 *78:13 318.047 +5 *78:13 *78:15 3.36879 +6 *78:15 *78:16 223.172 +7 *78:16 *2474:flash_csb_core 12.2356 +*END + +*D_NET *79 0.375525 +*CONN +*I *2474:flash_csb_oeb_core I *D chip_io_alt +*I *2471:pad_flash_csb_oeb O *D housekeeping +*CAP +1 *2474:flash_csb_oeb_core 0.00125349 +2 *2471:pad_flash_csb_oeb 0.000111687 +3 *79:14 0.0162098 +4 *79:13 0.0149563 +5 *79:11 0.00598608 +6 *79:9 0.00611066 +7 *79:7 0.00208013 +8 *79:5 0.00206724 +9 *2474:flash_csb_oeb_core *2221:13 0.000156624 +10 *2474:flash_csb_oeb_core *2241:16 5.76883e-05 +11 *79:7 *2471:pad_flash_io0_di 0 +12 *79:7 *80:19 0 +13 *79:11 *80:19 0.0172898 +14 *79:14 *87:10 5.99527e-05 +15 *73:16 *79:14 0.170598 +16 *76:12 *79:14 0.138587 +17 *78:9 *79:7 0 +*RES +1 *2471:pad_flash_csb_oeb *79:5 3.17546 +2 *79:5 *79:7 54.8133 +3 *79:7 *79:9 3.54186 +4 *79:9 *79:11 276.277 +5 *79:11 *79:13 4.5 +6 *79:13 *79:14 1782.66 +7 *79:14 *2474:flash_csb_oeb_core 47.9828 +*END + +*D_NET *80 0.177826 +*CONN +*I *2471:pad_flash_io0_di I *D housekeeping +*I *2474:flash_io0_di_core O *D chip_io_alt +*CAP +1 *2471:pad_flash_io0_di 0.00132084 +2 *2474:flash_io0_di_core 8.04051e-05 +3 *80:19 0.00624581 +4 *80:18 0.00492496 +5 *80:16 0.0318511 +6 *80:15 0.031978 +7 *80:10 0.0045548 +8 *80:9 0.00450832 +9 *2471:pad_flash_io0_di *84:10 0.000213959 +10 *80:10 *2474:flash_io0_oeb_core 0.000996775 +11 *80:16 *2474:gpio_mode0_core 0 +12 *80:16 *85:20 0.0418966 +13 *80:16 *89:28 0.0114793 +14 *80:16 *465:15 0 +15 *80:16 *482:16 0.00399081 +16 *75:40 *80:16 1.65872e-05 +17 *75:46 *80:16 0.00721236 +18 *76:9 *80:19 0.00921367 +19 *78:9 *80:19 5.19205e-05 +20 *79:7 *2471:pad_flash_io0_di 0 +21 *79:7 *80:19 0 +22 *79:11 *80:19 0.0172898 +*RES +1 *2474:flash_io0_di_core *80:9 6.64954 +2 *80:9 *80:10 123.845 +3 *80:10 *80:15 12.0778 +4 *80:15 *80:16 1092.18 +5 *80:16 *80:18 4.5 +6 *80:18 *80:19 330.712 +7 *80:19 *2471:pad_flash_io0_di 47.4643 +*END + +*D_NET *81 0.013867 +*CONN +*I *2478:flash_io0_di I *D mgmt_core_wrapper +*I *2471:spimemio_flash_io0_di O *D housekeeping +*CAP +1 *2478:flash_io0_di 0.00157362 +2 *2471:spimemio_flash_io0_di 0.00154255 +3 *81:11 0.00539096 +4 *81:10 0.00535989 +5 *81:10 *2471:spimemio_flash_io0_do 0 +6 *2471:spimemio_flash_csb *81:10 0 +*RES +1 *2471:spimemio_flash_io0_di *81:10 15.8176 +2 *81:10 *81:11 106.653 +3 *81:11 *2478:flash_io0_di 18.189 +*END + +*D_NET *82 0.257234 +*CONN +*I *2474:flash_io0_do_core I *D chip_io_alt +*I *2471:pad_flash_io0_do O *D housekeeping +*CAP +1 *2474:flash_io0_do_core 0.000367808 +2 *2471:pad_flash_io0_do 5.24068e-05 +3 *82:22 0.0109218 +4 *82:21 0.0114228 +5 *82:18 0.00180947 +6 *82:13 0.00600178 +7 *82:12 0.00506115 +8 *82:10 0.00579952 +9 *82:9 0.00579952 +10 *82:7 0.00365826 +11 *82:5 0.00371067 +12 *82:7 *84:9 0.000831393 +13 *82:7 *87:13 0.00858197 +14 *82:10 *84:18 0 +15 *82:13 *567:14 0.00266023 +16 *82:22 *84:22 0.106317 +17 *82:22 *91:28 0.069641 +18 *82:22 *2241:16 0.013721 +19 *66:14 *82:18 0.000876276 +*RES +1 *2471:pad_flash_io0_do *82:5 1.49002 +2 *82:5 *82:7 164.403 +3 *82:7 *82:9 4.5 +4 *82:9 *82:10 159.895 +5 *82:10 *82:12 4.5 +6 *82:12 *82:13 159.213 +7 *82:13 *82:18 43.5542 +8 *82:18 *82:21 25.7558 +9 *82:21 *82:22 134.214 +10 *82:22 *2474:flash_io0_do_core 11.7593 +*END + +*D_NET *83 0.0139808 +*CONN +*I *2471:spimemio_flash_io0_do I *D housekeeping +*I *2478:flash_io0_do O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io0_do 0.00163018 +2 *2478:flash_io0_do 0.00128581 +3 *83:11 0.00570457 +4 *83:10 0.00536019 +5 *2471:spimemio_flash_io0_do *2471:spimemio_flash_io0_oeb 0 +6 *81:10 *2471:spimemio_flash_io0_do 0 +*RES +1 *2478:flash_io0_do *83:10 18.0251 +2 *83:10 *83:11 113.863 +3 *83:11 *2471:spimemio_flash_io0_do 18.3092 +*END + +*D_NET *84 0.30099 +*CONN +*I *2474:flash_io0_ieb_core I *D chip_io_alt +*I *2471:pad_flash_io0_ieb O *D housekeeping +*CAP +1 *2474:flash_io0_ieb_core 0.000196444 +2 *2471:pad_flash_io0_ieb 0.000773056 +3 *84:22 0.00796014 +4 *84:21 0.0077637 +5 *84:19 0.00643048 +6 *84:18 0.00763782 +7 *84:13 0.00618302 +8 *84:12 0.00497568 +9 *84:10 0.0022612 +10 *84:9 0.00303426 +11 *84:9 *85:7 0 +12 *84:9 *87:13 8.16827e-05 +13 *84:10 *2471:pad_flash_io1_di 1.03403e-05 +14 *84:10 *89:10 0.0105367 +15 *84:22 *2219:11 0.0014102 +16 *84:22 *2220:11 0.000765267 +17 *84:22 *2231:16 0.0144457 +18 *84:22 *2232:16 0.0132807 +19 *2471:pad_flash_io0_di *84:10 0.000213959 +20 *75:10 *84:10 0.000296981 +21 *78:16 *84:22 0.105584 +22 *82:7 *84:9 0.000831393 +23 *82:10 *84:18 0 +24 *82:22 *84:22 0.106317 +*RES +1 *2471:pad_flash_io0_ieb *84:9 34.6058 +2 *84:9 *84:10 111.09 +3 *84:10 *84:12 4.5 +4 *84:12 *84:13 138.865 +5 *84:13 *84:18 42.445 +6 *84:18 *84:19 179.352 +7 *84:19 *84:21 3.36879 +8 *84:21 *84:22 142.245 +9 *84:22 *2474:flash_io0_ieb_core 7.6068 +*END + +*D_NET *85 0.237367 +*CONN +*I *2474:flash_io0_oeb_core I *D chip_io_alt +*I *2471:pad_flash_io0_oeb O *D housekeeping +*CAP +1 *2474:flash_io0_oeb_core 0.00162895 +2 *2471:pad_flash_io0_oeb 0.000111687 +3 *85:26 0.00943886 +4 *85:25 0.00788582 +5 *85:20 0.00534446 +6 *85:19 0.00526855 +7 *85:17 0.00549078 +8 *85:16 0.00549078 +9 *85:14 0.00164868 +10 *85:11 0.00491763 +11 *85:9 0.003354 +12 *85:7 0.00203378 +13 *85:5 0.00206041 +14 *85:7 *2471:pad_flash_io1_di 0 +15 *85:7 *87:13 0 +16 *85:11 *87:13 0.00950654 +17 *85:20 *89:28 0.00165274 +18 *85:26 *89:28 1.98294e-05 +19 *85:26 *92:36 0.036212 +20 *75:40 *85:20 0.0238996 +21 *75:45 *85:25 1.66626e-05 +22 *75:46 *2474:flash_io0_oeb_core 0.000994562 +23 *75:46 *85:26 0.0674974 +24 *78:16 *2474:flash_io0_oeb_core 0 +25 *80:10 *2474:flash_io0_oeb_core 0.000996775 +26 *80:16 *85:20 0.0418966 +27 *84:9 *85:7 0 +*RES +1 *2471:pad_flash_io0_oeb *85:5 3.17546 +2 *85:5 *85:7 54.8133 +3 *85:7 *85:9 2.41823 +4 *85:9 *85:11 151.701 +5 *85:11 *85:14 49.0371 +6 *85:14 *85:16 4.5 +7 *85:16 *85:17 152.153 +8 *85:17 *85:19 4.5 +9 *85:19 *85:20 437.751 +10 *85:20 *85:25 9.49315 +11 *85:25 *85:26 85.2599 +12 *85:26 *2474:flash_io0_oeb_core 22.8288 +*END + +*D_NET *86 0.0212236 +*CONN +*I *2471:spimemio_flash_io0_oeb I *D housekeeping +*I *2478:flash_io0_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io0_oeb 0.00172165 +2 *2478:flash_io0_oeb 0.00128442 +3 *86:11 0.00417 +4 *86:10 0.00373277 +5 *2471:spimemio_flash_io0_oeb *88:10 0 +6 *86:10 *2478:flash_io1_di 0 +7 *86:11 *88:11 0.0103148 +8 *2471:spimemio_flash_io0_do *2471:spimemio_flash_io0_oeb 0 +*RES +1 *2478:flash_io0_oeb *86:10 18.0251 +2 *86:10 *86:11 113.863 +3 *86:11 *2471:spimemio_flash_io0_oeb 20.8007 +*END + +*D_NET *87 0.159202 +*CONN +*I *2471:pad_flash_io1_di I *D housekeeping +*I *2474:flash_io1_di_core O *D chip_io_alt +*CAP +1 *2471:pad_flash_io1_di 0.00129918 +2 *2474:flash_io1_di_core 0.00106014 +3 *87:13 0.0080405 +4 *87:12 0.00674132 +5 *87:10 0.0188229 +6 *87:9 0.019883 +7 *2471:pad_flash_io1_di *89:9 0 +8 *2471:pad_flash_io1_di *89:10 0.000125129 +9 *73:16 *87:10 0.000409745 +10 *76:12 *87:10 0.0845793 +11 *79:14 *87:10 5.99527e-05 +12 *82:7 *87:13 0.00858197 +13 *84:9 *87:13 8.16827e-05 +14 *84:10 *2471:pad_flash_io1_di 1.03403e-05 +15 *85:7 *2471:pad_flash_io1_di 0 +16 *85:7 *87:13 0 +17 *85:11 *87:13 0.00950654 +*RES +1 *2474:flash_io1_di_core *87:9 30.5998 +2 *87:9 *87:10 901.954 +3 *87:10 *87:12 4.5 +4 *87:12 *87:13 302.89 +5 *87:13 *2471:pad_flash_io1_di 46.3551 +*END + +*D_NET *88 0.021572 +*CONN +*I *2478:flash_io1_di I *D mgmt_core_wrapper +*I *2471:spimemio_flash_io1_di O *D housekeeping +*CAP +1 *2478:flash_io1_di 0.00153926 +2 *2471:spimemio_flash_io1_di 0.00177939 +3 *88:11 0.00384919 +4 *88:10 0.00408932 +5 *88:10 *2471:spimemio_flash_io1_do 0 +6 *2471:spimemio_flash_io0_oeb *88:10 0 +7 *86:10 *2478:flash_io1_di 0 +8 *86:11 *88:11 0.0103148 +*RES +1 *2471:spimemio_flash_io1_di *88:10 15.4133 +2 *88:10 *88:11 109.98 +3 *88:11 *2478:flash_io1_di 27.27 +*END + +*D_NET *89 0.158232 +*CONN +*I *2474:flash_io1_do_core I *D chip_io_alt +*I *2471:pad_flash_io1_do O *D housekeeping +*CAP +1 *2474:flash_io1_do_core 0.00117932 +2 *2471:pad_flash_io1_do 0.00110044 +3 *89:28 0.0149264 +4 *89:27 0.015175 +5 *89:22 0.00288665 +6 *89:19 0.00281326 +7 *89:18 0.00135449 +8 *89:16 0.00306846 +9 *89:15 0.00306846 +10 *89:13 0.00445086 +11 *89:12 0.00445086 +12 *89:10 0.00170283 +13 *89:9 0.00280328 +14 *89:9 *91:9 0 +15 *89:16 *596:7 0.000740377 +16 *89:19 *91:25 0.01061 +17 *89:28 *2474:gpio_inenb_core 8.63825e-06 +18 *89:28 *2474:gpio_mode1_core 0.000863521 +19 *89:28 *92:36 0.00312262 +20 *2471:pad_flash_io1_di *89:9 0 +21 *2471:pad_flash_io1_di *89:10 0.000125129 +22 *66:14 *89:22 0.000278113 +23 *75:40 *89:28 0.00303891 +24 *75:46 *2474:flash_io1_do_core 0.000709959 +25 *75:46 *89:28 0.0348475 +26 *78:10 *89:10 0.0106049 +27 *78:13 *89:19 0.0106136 +28 *78:16 *2474:flash_io1_do_core 0 +29 *80:16 *89:28 0.0114793 +30 *84:10 *89:10 0.0105367 +31 *85:20 *89:28 0.00165274 +32 *85:26 *89:28 1.98294e-05 +*RES +1 *2471:pad_flash_io1_do *89:9 35.5828 +2 *89:9 *89:10 138.82 +3 *89:10 *89:12 4.5 +4 *89:12 *89:13 124.331 +5 *89:13 *89:15 4.5 +6 *89:15 *89:16 81.1409 +7 *89:16 *89:18 4.5 +8 *89:18 *89:19 169.594 +9 *89:19 *89:22 47.9279 +10 *89:22 *89:27 43.5438 +11 *89:27 *89:28 75.8134 +12 *89:28 *2474:flash_io1_do_core 10.6402 +*END + +*D_NET *90 0.0145972 +*CONN +*I *2471:spimemio_flash_io1_do I *D housekeeping +*I *2478:flash_io1_do O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io1_do 0.00181905 +2 *2478:flash_io1_do 0.00159253 +3 *90:11 0.00564785 +4 *90:10 0.00542133 +5 *2471:spimemio_flash_io1_do *2471:spimemio_flash_io1_oeb 0.000116454 +6 *88:10 *2471:spimemio_flash_io1_do 0 +*RES +1 *2478:flash_io1_do *90:10 20.6805 +2 *90:10 *90:11 106.653 +3 *90:11 *2471:spimemio_flash_io1_do 24.9532 +*END + +*D_NET *91 0.153387 +*CONN +*I *2474:flash_io1_ieb_core I *D chip_io_alt +*I *2471:pad_flash_io1_ieb O *D housekeeping +*CAP +1 *2474:flash_io1_ieb_core 0.000308478 +2 *2471:pad_flash_io1_ieb 0.00132551 +3 *91:28 0.0136628 +4 *91:27 0.0133543 +5 *91:25 0.00487708 +6 *91:24 0.00487708 +7 *91:22 0.00475549 +8 *91:21 0.00573507 +9 *91:16 0.00292025 +10 *91:15 0.00194067 +11 *91:13 0.00142227 +12 *91:12 0.00273797 +13 *91:9 0.00264121 +14 *91:9 *92:13 0.000280625 +15 *91:28 *2221:13 0.00610192 +16 *75:16 *91:16 0.000762248 +17 *75:19 *91:21 0 +18 *76:9 *91:13 0.00411881 +19 *78:13 *91:25 0.00131394 +20 *82:22 *91:28 0.069641 +21 *89:9 *91:9 0 +22 *89:19 *91:25 0.01061 +*RES +1 *2471:pad_flash_io1_ieb *91:9 43.7413 +2 *91:9 *91:12 39.0542 +3 *91:12 *91:13 65.7808 +4 *91:13 *91:15 4.5 +5 *91:15 *91:16 62.2844 +6 *91:16 *91:21 36.5776 +7 *91:21 *91:22 127.173 +8 *91:22 *91:24 4.5 +9 *91:24 *91:25 214.233 +10 *91:25 *91:27 3.36879 +11 *91:27 *91:28 87.9371 +12 *91:28 *2474:flash_io1_ieb_core 9.63421 +*END + +*D_NET *92 0.119869 +*CONN +*I *2474:flash_io1_oeb_core I *D chip_io_alt +*I *2471:pad_flash_io1_oeb O *D housekeeping +*CAP +1 *2474:flash_io1_oeb_core 0.000487658 +2 *2471:pad_flash_io1_oeb 0.00153424 +3 *92:36 0.0104675 +4 *92:35 0.00997984 +5 *92:33 0.00177149 +6 *92:30 0.0107257 +7 *92:29 0.00895425 +8 *92:27 0.00389184 +9 *92:26 0.00511063 +10 *92:21 0.0042207 +11 *92:20 0.0030019 +12 *92:18 0.00279867 +13 *92:17 0.00279867 +14 *92:15 0.00209368 +15 *92:13 0.00362792 +16 *2474:flash_io1_oeb_core *465:19 0.000586138 +17 *2474:flash_io1_oeb_core *2219:11 0 +18 *73:13 *92:15 0.00252768 +19 *75:34 *92:30 0.0056751 +20 *85:26 *92:36 0.036212 +21 *89:28 *92:36 0.00312262 +22 *91:9 *92:13 0.000280625 +*RES +1 *2471:pad_flash_io1_oeb *92:13 45.4335 +2 *92:13 *92:15 83.4291 +3 *92:15 *92:17 4.5 +4 *92:17 *92:18 76.1495 +5 *92:18 *92:20 4.5 +6 *92:20 *92:21 84.8824 +7 *92:21 *92:26 42.445 +8 *92:26 *92:27 109.798 +9 *92:27 *92:29 4.5 +10 *92:29 *92:30 274.143 +11 *92:30 *92:33 49.3106 +12 *92:33 *92:35 3.36879 +13 *92:35 *92:36 61.395 +14 *92:36 *2474:flash_io1_oeb_core 23.9832 +*END + +*D_NET *93 0.0217923 +*CONN +*I *2471:spimemio_flash_io1_oeb I *D housekeeping +*I *2478:flash_io1_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io1_oeb 0.00228017 +2 *2478:flash_io1_oeb 0.00136305 +3 *93:11 0.00445023 +4 *93:10 0.00353311 +5 *2471:spimemio_flash_io1_oeb *94:10 0 +6 *93:11 *94:11 0.0100493 +7 *2471:spimemio_flash_io1_do *2471:spimemio_flash_io1_oeb 0.000116454 +*RES +1 *2478:flash_io1_oeb *93:10 13.206 +2 *93:10 *93:11 104.989 +3 *93:11 *2471:spimemio_flash_io1_oeb 36.3945 +*END + +*D_NET *94 0.0219853 +*CONN +*I *2478:flash_io2_di I *D mgmt_core_wrapper +*I *2471:spimemio_flash_io2_di O *D housekeeping +*CAP +1 *2478:flash_io2_di 0.00150883 +2 *2471:spimemio_flash_io2_di 0.00165782 +3 *94:11 0.00431018 +4 *94:10 0.00445918 +5 *94:10 *2471:spimemio_flash_io2_do 0 +6 *2471:spimemio_flash_io1_oeb *94:10 0 +7 *93:11 *94:11 0.0100493 +*RES +1 *2471:spimemio_flash_io2_di *94:10 27.7289 +2 *94:10 *94:11 121.627 +3 *94:11 *2478:flash_io2_di 22.4836 +*END + +*D_NET *95 0.0228355 +*CONN +*I *2471:spimemio_flash_io2_do I *D housekeeping +*I *2478:flash_io2_do O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io2_do 0.00220132 +2 *2478:flash_io2_do 0.0014006 +3 *95:11 0.00462074 +4 *95:10 0.00382002 +5 *2471:spimemio_flash_io2_do *2471:spimemio_flash_io2_oeb 0 +6 *95:10 *96:11 0.00012562 +7 *95:11 *96:11 0.0106672 +8 *94:10 *2471:spimemio_flash_io2_do 0 +*RES +1 *2478:flash_io2_do *95:10 14.867 +2 *95:10 *95:11 113.863 +3 *95:11 *2471:spimemio_flash_io2_do 39.7384 +*END + +*D_NET *96 0.0229902 +*CONN +*I *2471:spimemio_flash_io2_oeb I *D housekeeping +*I *2478:flash_io2_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io2_oeb 0.00203052 +2 *2478:flash_io2_oeb 0.0012533 +3 *96:11 0.00484538 +4 *96:10 0.00406816 +5 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_do 0 +6 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_oeb 0 +7 *2471:spimemio_flash_io2_oeb *97:10 0 +8 *2471:spimemio_flash_io2_do *2471:spimemio_flash_io2_oeb 0 +9 *95:10 *96:11 0.00012562 +10 *95:11 *96:11 0.0106672 +*RES +1 *2478:flash_io2_oeb *96:10 22.582 +2 *96:10 *96:11 124.955 +3 *96:11 *2471:spimemio_flash_io2_oeb 32.9851 +*END + +*D_NET *97 0.024133 +*CONN +*I *2478:flash_io3_di I *D mgmt_core_wrapper +*I *2471:spimemio_flash_io3_di O *D housekeeping +*CAP +1 *2478:flash_io3_di 0.00102137 +2 *2471:spimemio_flash_io3_di 0.00226535 +3 *97:11 0.00365298 +4 *97:10 0.00489696 +5 *2478:flash_io3_di *525:11 0.000620249 +6 *97:10 *2471:spimemio_flash_io3_do 0.00152064 +7 *97:11 *98:11 0.0101555 +8 *2471:spimemio_flash_io2_oeb *97:10 0 +*RES +1 *2471:spimemio_flash_io3_di *97:10 44.5465 +2 *97:10 *97:11 118.299 +3 *97:11 *2478:flash_io3_di 15.2604 +*END + +*D_NET *98 0.0244067 +*CONN +*I *2471:spimemio_flash_io3_do I *D housekeeping +*I *2478:flash_io3_do O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io3_do 0.00176963 +2 *2478:flash_io3_do 0.00178825 +3 *98:11 0.00398602 +4 *98:10 0.00400464 +5 *2471:spimemio_flash_io3_do *2471:spimemio_flash_io3_oeb 0.00118204 +6 *98:11 *525:11 0 +7 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_do 0 +8 *97:10 *2471:spimemio_flash_io3_do 0.00152064 +9 *97:11 *98:11 0.0101555 +*RES +1 *2478:flash_io3_do *98:10 25.6635 +2 *98:10 *98:11 106.653 +3 *98:11 *2471:spimemio_flash_io3_do 38.2413 +*END + +*D_NET *99 0.0288371 +*CONN +*I *2471:spimemio_flash_io3_oeb I *D housekeeping +*I *2478:flash_io3_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io3_oeb 0.00246874 +2 *2478:flash_io3_oeb 0.00125747 +3 *99:11 0.00468713 +4 *99:10 0.00347585 +5 *2471:spimemio_flash_io3_oeb *103:56 0.000252156 +6 *99:10 *480:17 0.000984253 +7 *99:10 *1607:35 0.000510617 +8 *99:10 *1609:37 0.000424011 +9 *99:10 *1611:35 0.000390675 +10 *99:10 *1713:33 0.000344331 +11 *99:10 *1716:27 0.000469437 +12 *99:10 *1724:33 0.000361052 +13 *99:10 *1738:33 0.000385684 +14 *99:10 *1740:31 0.000418593 +15 *99:10 *1742:7 0.000463439 +16 *99:10 *1744:33 0.000504093 +17 *99:11 *1563:13 0.0102575 +18 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_oeb 0 +19 *2471:spimemio_flash_io3_do *2471:spimemio_flash_io3_oeb 0.00118204 +*RES +1 *2478:flash_io3_oeb *99:10 18.189 +2 *99:10 *99:11 107.207 +3 *99:11 *2471:spimemio_flash_io3_oeb 48.9613 +*END + +*D_NET *100 1.28735 +*CONN +*I *2445:serial_clock I *D gpio_control_block +*I *2444:serial_clock_out O *D gpio_control_block +*I *2462:serial_clock I *D gpio_control_block +*I *2461:serial_clock_out O *D gpio_control_block +*CAP +1 *2445:serial_clock 0.000246859 +2 *2444:serial_clock_out 0.000246821 +3 *2462:serial_clock 6.94771e-05 +4 *2461:serial_clock_out 0.00323657 +5 *100:96 0.00284399 +6 *100:95 0.00264353 +7 *100:90 0.000814186 +8 *100:89 0.000861604 +9 *100:84 0.00134424 +10 *100:82 0.00157207 +11 *100:80 0.002996 +12 *100:79 0.00292125 +13 *100:77 0.0659933 +14 *100:76 0.0659933 +15 *100:74 0.0290979 +16 *100:73 0.0290979 +17 *100:71 0.00270407 +18 *100:70 0.00326233 +19 *100:54 0.00262767 +20 *100:53 0.00732817 +21 *100:48 0.00806511 +22 *100:47 0.00329513 +23 *100:45 0.00690318 +24 *100:44 0.00746144 +25 *100:42 0.00431793 +26 *100:27 0.0112013 +27 *100:26 0.0068834 +28 *100:24 0.00227276 +29 *100:23 0.00264871 +30 *100:13 0.00361252 +31 *2444:serial_clock_out *2444:resetn_out 0 +32 *2444:serial_clock_out *2444:serial_clock 3.84497e-05 +33 *2444:serial_clock_out *2444:serial_data_in 4.31122e-05 +34 *2445:serial_clock *2445:resetn 0 +35 *2445:serial_clock *2445:resetn_out 4.31122e-05 +36 *2445:serial_clock *2445:serial_clock_out 3.84497e-05 +37 *2445:serial_clock *2445:serial_data_in 0 +38 *2445:serial_clock *485:50 0 +39 *100:13 *485:7 0.00130834 +40 *100:13 *485:10 0.0143405 +41 *100:42 *2462:serial_load 7.50991e-05 +42 *100:42 *2474:mprj_io_analog_en[14] 0 +43 *100:42 *467:12 0.0127171 +44 *100:42 *467:29 5.76799e-05 +45 *100:70 *2462:user_gpio_oeb 1.1573e-05 +46 *100:70 *2335:15 1.1573e-05 +47 *100:71 *471:15 0.000179648 +48 *100:71 *485:26 0.000220183 +49 *100:71 *485:38 0.0110621 +50 *100:71 *2335:15 0.000150769 +51 *100:74 *104:34 0.194707 +52 *100:74 *467:33 0.00580022 +53 *100:74 *467:41 0 +54 *100:74 *480:25 0 +55 *100:74 *485:41 0 +56 *100:74 *498:22 0.212157 +57 *100:74 *1178:7 0 +58 *100:74 *1550:29 1.01451e-05 +59 *100:74 *1574:18 0 +60 *100:77 *480:17 0.0863597 +61 *100:77 *498:17 0.000180782 +62 *100:77 *1550:24 0.000164123 +63 *100:77 *1574:10 0.346032 +64 *100:77 *1607:31 0.00130552 +65 *100:77 *1609:33 0.00970483 +66 *100:77 *1609:37 7.02172e-06 +67 *100:77 *1611:33 0.00107703 +68 *100:77 *1611:35 8.75392e-05 +69 *100:77 *1718:25 0.0026997 +70 *100:77 *1722:25 0.0527978 +71 *100:77 *1724:27 0.00175037 +72 *100:77 *1738:27 0.000589703 +73 *100:77 *1738:31 2.79092e-05 +74 *100:77 *2066:13 2.42128e-05 +75 *100:77 *2120:13 0.000266832 +76 *100:77 *2183:37 0.00027253 +77 *100:77 *2222:29 0.000154145 +78 *100:80 *2445:resetn_out 0 +79 *100:80 *2445:serial_clock_out 0 +80 *100:80 *2445:serial_data_in 0 +81 *100:80 *2474:mprj_io_slow_sel[2] 9.71323e-06 +82 *100:80 *104:56 0.00764896 +83 *100:80 *467:53 0.000199624 +84 *100:80 *471:40 0.00187962 +85 *100:80 *471:46 8.74185e-05 +86 *100:80 *503:13 0 +87 *100:80 *503:14 0.00620413 +88 *100:80 *503:20 2.79471e-05 +89 *100:80 *1796:14 0.00365668 +90 *100:80 *1985:14 9.31501e-05 +91 *100:80 *2012:17 0.00159639 +92 *100:80 *2093:14 0 +93 *100:80 *2147:14 0.00481274 +94 *100:82 *467:53 2.9959e-05 +95 *100:84 *2445:resetn_out 0 +96 *100:84 *467:53 0 +97 *100:84 *467:55 0.0019163 +98 *100:84 *485:53 0 +99 *100:84 *2085:8 0.00157391 +100 *100:89 *1977:19 0 +101 *100:90 *467:55 0.00530294 +102 *100:90 *485:61 0.00363386 +103 *100:90 *1842:16 0.000153978 +104 *100:90 *1842:18 3.39725e-05 +105 *100:90 *1977:19 0.00145704 +106 *100:95 *2474:mprj_io_dm[4] 0.000111708 +107 *100:96 *2444:serial_data_in 0 +108 *100:96 *2444:serial_load 0 +109 *100:96 *2444:serial_load_out 0 +110 *100:96 *2474:mprj_io_dm[4] 0.000211282 +111 *100:96 *2474:mprj_io_slow_sel[1] 0.000223156 +112 *100:96 *467:55 0.00687522 +113 *100:96 *485:65 0.000347035 +114 *100:96 *499:15 0 +115 *100:96 *2004:10 0.000223156 +116 *100:96 *2356:22 0 +117 *100:96 *2383:22 0 +*RES +1 *2461:serial_clock_out *100:13 49.2812 +2 *100:13 *100:23 14.7988 +3 *100:23 *100:24 64.3275 +4 *100:24 *100:26 4.5 +5 *100:26 *100:27 192.616 +6 *100:27 *100:42 49.0325 +7 *100:42 *100:44 4.5 +8 *100:44 *100:45 193.171 +9 *100:45 *100:47 4.5 +10 *100:47 *100:48 93.3951 +11 *100:48 *100:53 24.9921 +12 *100:53 *100:54 72.4249 +13 *100:54 *2462:serial_clock 3.59493 +14 *100:44 *100:70 24.3001 +15 *100:70 *100:71 126.618 +16 *100:71 *100:73 4.5 +17 *100:73 *100:74 3398.59 +18 *100:74 *100:76 4.5 +19 *100:76 *100:77 3694.38 +20 *100:77 *100:79 4.5 +21 *100:79 *100:80 252.852 +22 *100:80 *100:82 1.85642 +23 *100:82 *100:84 65.9885 +24 *100:84 *100:89 10.8326 +25 *100:89 *100:90 85.7129 +26 *100:90 *100:95 10.278 +27 *100:95 *100:96 122.048 +28 *100:96 *2444:serial_clock_out 4.19688 +29 *100:82 *2445:serial_clock 4.12039 +*END + +*D_NET *101 1.00422 +*CONN +*I *2446:serial_clock_out O *D gpio_control_block +*I *2447:serial_clock I *D gpio_control_block +*I *2452:serial_clock I *D gpio_control_block +*I *2451:serial_clock_out O *D gpio_control_block +*CAP +1 *2446:serial_clock_out 0.000488368 +2 *2447:serial_clock 0.00183226 +3 *2452:serial_clock 0.000473904 +4 *2451:serial_clock_out 9.3786e-05 +5 *101:67 0.00542675 +6 *101:66 0.00493838 +7 *101:64 0.00214956 +8 *101:63 0.0092089 +9 *101:48 0.00901326 +10 *101:40 0.0817003 +11 *101:39 0.0815787 +12 *101:37 0.0219875 +13 *101:36 0.0234339 +14 *101:33 0.0017378 +15 *101:22 0.00321298 +16 *101:21 0.00289333 +17 *101:16 0.000708282 +18 *101:15 0.000918808 +19 *101:10 0.00258751 +20 *101:8 0.00228275 +21 *101:7 0.000445188 +22 *2446:serial_clock_out *2446:resetn_out 0.00140059 +23 *2447:serial_clock *2447:resetn 0.00186801 +24 *2447:serial_clock *486:44 1.83572e-05 +25 *2452:serial_clock *2452:resetn 0 +26 *2452:serial_clock *102:13 5.00967e-05 +27 *2452:serial_clock *501:13 0 +28 *101:7 *2451:serial_clock 1.05966e-05 +29 *101:7 *2451:serial_data_in 4.80459e-05 +30 *101:10 *2451:serial_data_in 1.48603e-05 +31 *101:10 *2451:user_gpio_oeb 0 +32 *101:10 *1879:32 0.00257857 +33 *101:10 *1965:8 0.000309229 +34 *101:10 *1967:20 0.000331297 +35 *101:10 *1994:50 0.000248812 +36 *101:10 *2129:13 2.79829e-05 +37 *101:10 *2330:10 0.000196723 +38 *101:15 *1877:19 5.04829e-06 +39 *101:15 *2019:10 4.35979e-05 +40 *101:15 *2073:49 0 +41 *101:16 *2474:mprj_io_dm[28] 0.00148821 +42 *101:16 *1882:14 0.00407454 +43 *101:16 *1994:20 0.00253423 +44 *101:16 *2073:52 2.01595e-05 +45 *101:16 *2102:10 0.000582729 +46 *101:21 *2474:mprj_io_out[9] 0 +47 *101:21 *1994:16 0.000263135 +48 *101:21 *2321:14 3.6695e-05 +49 *101:22 *2452:resetn 0 +50 *101:22 *2474:mprj_io_holdover[9] 0.00010487 +51 *101:22 *2474:mprj_io_ib_mode_sel[9] 4.84974e-05 +52 *101:22 *2474:mprj_io_oeb[9] 0.00010487 +53 *101:22 *2474:mprj_io_out[9] 0.000171079 +54 *101:22 *2474:mprj_io_vtrip_sel[9] 7.88241e-05 +55 *101:22 *1884:13 0 +56 *101:22 *1885:13 0 +57 *101:22 *1968:13 0 +58 *101:22 *1994:11 0.0034193 +59 *101:22 *2046:7 0.00123895 +60 *101:22 *2049:13 0 +61 *101:22 *2076:13 0 +62 *101:22 *2076:14 8.70609e-05 +63 *101:22 *2103:18 0.000106514 +64 *101:22 *2156:17 0.000481816 +65 *101:33 *2451:serial_clock 3.88655e-06 +66 *101:33 *1879:32 0 +67 *101:33 *2129:13 0.000778619 +68 *101:36 *112:47 0.000466287 +69 *101:36 *486:13 0 +70 *101:36 *486:17 0 +71 *101:36 *497:49 2.8182e-06 +72 *101:37 *468:18 7.87327e-05 +73 *101:37 *479:37 0.115362 +74 *101:37 *486:18 0.136092 +75 *101:37 *486:61 0 +76 *101:37 *487:18 1.27831e-06 +77 *101:37 *497:43 0 +78 *101:37 *2356:16 0 +79 *101:40 *468:21 0.0672116 +80 *101:40 *486:21 0.358501 +81 *101:40 *2036:8 0.00976513 +82 *101:48 *469:42 0.000581419 +83 *101:48 *486:29 0.000380888 +84 *101:48 *2036:7 7.86825e-06 +85 *101:63 *2474:mprj_io_vtrip_sel[24] 0.00653006 +86 *101:63 *486:44 0.0231092 +87 *101:64 *486:45 0.00624046 +*RES +1 *2451:serial_clock_out *101:7 3.66144 +2 *101:7 *101:8 1.29461 +3 *101:8 *101:10 90.0731 +4 *101:10 *101:15 16.3786 +5 *101:15 *101:16 65.3656 +6 *101:16 *101:21 13.6056 +7 *101:21 *101:22 117.48 +8 *101:22 *2452:serial_clock 4.19688 +9 *101:7 *101:33 17.3362 +10 *101:33 *101:36 42.9364 +11 *101:36 *101:37 2177.75 +12 *101:37 *101:39 4.5 +13 *101:39 *101:40 3781.46 +14 *101:40 *101:48 9.40057 +15 *101:48 *2447:serial_clock 8.90803 +16 *101:48 *101:63 49.2527 +17 *101:63 *101:64 99.6239 +18 *101:64 *101:66 4.5 +19 *101:66 *101:67 133.274 +20 *101:67 *2446:serial_clock_out 30.2558 +*END + +*D_NET *102 0.986589 +*CONN +*I *2453:serial_clock I *D gpio_control_block +*I *2447:serial_clock_out O *D gpio_control_block +*I *2448:serial_clock I *D gpio_control_block +*I *2452:serial_clock_out O *D gpio_control_block +*CAP +1 *2453:serial_clock 0.000105328 +2 *2447:serial_clock_out 0.000642678 +3 *2448:serial_clock 9.89961e-05 +4 *2452:serial_clock_out 0.000505984 +5 *102:60 0.000981711 +6 *102:54 0.00312315 +7 *102:53 0.00224677 +8 *102:44 0.00745899 +9 *102:43 0.00681632 +10 *102:32 0.00267038 +11 *102:31 0.00738134 +12 *102:26 0.0076261 +13 *102:25 0.00281614 +14 *102:23 0.00554175 +15 *102:21 0.0787319 +16 *102:20 0.0731901 +17 *102:18 0.0598548 +18 *102:17 0.0599461 +19 *102:13 0.000597346 +20 *2447:serial_clock_out *2447:resetn_out 0 +21 *2447:serial_clock_out *2447:serial_data_in 0.000106706 +22 *2447:serial_clock_out *514:7 0 +23 *2453:serial_clock *103:15 3.27908e-05 +24 *2453:serial_clock *488:11 4.2387e-05 +25 *2453:serial_clock *2158:10 0 +26 *102:13 *2452:resetn 0 +27 *102:13 *2452:serial_load 3.88358e-05 +28 *102:13 *469:12 2.01653e-05 +29 *102:13 *469:15 0.0031848 +30 *102:13 *2331:13 0.0032252 +31 *102:17 *469:15 0.00048346 +32 *102:17 *2331:13 0.000695917 +33 *102:18 *487:18 0.170906 +34 *102:21 *487:21 0.35549 +35 *102:21 *487:25 0.000751257 +36 *102:21 *1573:10 0 +37 *102:21 *1581:10 0.0683305 +38 *102:21 *1582:35 0.000598683 +39 *102:21 *1583:10 4.4379e-05 +40 *102:21 *1584:10 1.59204e-05 +41 *102:21 *1585:10 0 +42 *102:21 *1586:10 0 +43 *102:21 *1592:10 0.000113197 +44 *102:23 *1573:10 0 +45 *102:23 *1573:14 0.0143775 +46 *102:26 *1573:17 0.00593618 +47 *102:32 *2448:mgmt_gpio_oeb 0.000626464 +48 *102:44 *1932:8 0.00370754 +49 *102:44 *1956:14 5.78792e-05 +50 *102:44 *1983:8 0 +51 *102:44 *2145:8 0 +52 *102:54 *469:57 0.0164131 +53 *102:54 *487:46 0.0168493 +54 *102:60 *2453:resetn 0.00302181 +55 *102:60 *103:15 7.12582e-05 +56 *102:60 *488:11 0.000197406 +57 *102:60 *1942:11 0.00039558 +58 *102:60 *2050:13 0.000464113 +59 *102:60 *2104:13 0 +60 *102:60 *2131:10 4.3116e-06 +61 *2452:serial_clock *102:13 5.00967e-05 +*RES +1 *2452:serial_clock_out *102:13 44.1107 +2 *102:13 *102:17 11.8786 +3 *102:17 *102:18 2749.14 +4 *102:18 *102:20 4.5 +5 *102:20 *102:21 3768.15 +6 *102:21 *102:23 217.573 +7 *102:23 *102:25 4.5 +8 *102:25 *102:26 116.649 +9 *102:26 *102:31 25.1451 +10 *102:31 *102:32 76.5774 +11 *102:32 *2448:serial_clock 3.708 +12 *102:21 *102:43 4.5 +13 *102:43 *102:44 227.522 +14 *102:44 *2447:serial_clock_out 5.65019 +15 *102:13 *102:53 4.5 +16 *102:53 *102:54 269.254 +17 *102:54 *102:60 48.4978 +18 *102:60 *2453:serial_clock 3.73793 +*END + +*D_NET *103 1.01152 +*CONN +*I *2454:serial_clock I *D gpio_control_block +*I *2448:serial_clock_out O *D gpio_control_block +*I *2453:serial_clock_out O *D gpio_control_block +*CAP +1 *2454:serial_clock 0.00128897 +2 *2448:serial_clock_out 0.000530065 +3 *2453:serial_clock_out 0.00132521 +4 *103:72 0.0252293 +5 *103:71 0.0239403 +6 *103:59 0.0698346 +7 *103:58 0.0693046 +8 *103:56 0.00389319 +9 *103:55 0.00389319 +10 *103:53 0.00180052 +11 *103:52 0.00180052 +12 *103:50 0.00508856 +13 *103:49 0.00508856 +14 *103:47 0.00663653 +15 *103:46 0.00663653 +16 *103:44 0.00304476 +17 *103:43 0.00304476 +18 *103:41 0.00235733 +19 *103:40 0.00235733 +20 *103:38 0.0211002 +21 *103:37 0.0216748 +22 *103:34 0.00173847 +23 *103:31 0.0023329 +24 *103:26 0.00335987 +25 *103:25 0.00219083 +26 *103:23 0.00254357 +27 *103:22 0.00254357 +28 *103:20 0.003849 +29 *103:19 0.00411366 +30 *103:15 0.00158987 +31 *2448:serial_clock_out *2448:resetn 0 +32 *2448:serial_clock_out *2448:resetn_out 2.44479e-06 +33 *2448:serial_clock_out *2448:serial_data_in 9.52305e-06 +34 *2448:serial_clock_out *2448:serial_load_out 0 +35 *2448:serial_clock_out *515:7 0 +36 *2448:serial_clock_out *515:8 0.000388329 +37 *2454:serial_clock *2454:resetn 0 +38 *2454:serial_clock *2454:serial_data_in 0 +39 *2454:serial_clock *470:40 0 +40 *2454:serial_clock *2360:13 0 +41 *103:15 *2453:resetn 6.11359e-06 +42 *103:15 *2453:serial_data_in 3.27908e-05 +43 *103:15 *488:11 0.000845972 +44 *103:15 *1942:11 5.35541e-05 +45 *103:15 *2131:10 0.000416687 +46 *103:19 *488:11 0.00043038 +47 *103:20 *488:16 0 +48 *103:31 *2473:gpio_analog[3] 0.000231696 +49 *103:38 *469:22 0.153823 +50 *103:38 *488:20 0.157626 +51 *103:41 *469:25 0.00179582 +52 *103:59 *488:35 0.322046 +53 *103:72 *469:57 0.0009412 +54 *103:72 *470:34 0.0683009 +55 *103:72 *488:52 8.01542e-05 +56 *2453:serial_clock *103:15 3.27908e-05 +57 *2471:spimemio_flash_io3_oeb *103:56 0.000252156 +58 *102:60 *103:15 7.12582e-05 +*RES +1 *2453:serial_clock_out *103:15 49.1554 +2 *103:15 *103:19 12.9878 +3 *103:19 *103:20 108.967 +4 *103:20 *103:22 4.5 +5 *103:22 *103:23 70.6034 +6 *103:23 *103:25 4.5 +7 *103:25 *103:26 61.6283 +8 *103:26 *103:31 39.1174 +9 *103:31 *103:34 37.0607 +10 *103:34 *103:37 20.1977 +11 *103:37 *103:38 2521.17 +12 *103:38 *103:40 4.5 +13 *103:40 *103:41 65.0574 +14 *103:41 *103:43 4.5 +15 *103:43 *103:44 84.8824 +16 *103:44 *103:46 4.5 +17 *103:46 *103:47 185.406 +18 *103:47 *103:49 4.5 +19 *103:49 *103:50 143.433 +20 *103:50 *103:52 4.5 +21 *103:52 *103:53 50.0831 +22 *103:53 *103:55 4.5 +23 *103:55 *103:56 92.357 +24 *103:56 *103:58 4.5 +25 *103:58 *103:59 3363.84 +26 *103:59 *2448:serial_clock_out 20.1343 +27 *103:15 *103:71 4.5 +28 *103:71 *103:72 1108.06 +29 *103:72 *2454:serial_clock 45.854 +*END + +*D_NET *104 1.25185 +*CONN +*I *2455:serial_clock I *D gpio_control_block +*I *2445:serial_clock_out O *D gpio_control_block +*I *2463:serial_clock I *D gpio_control_block +*I *2462:serial_clock_out O *D gpio_control_block +*CAP +1 *2455:serial_clock 0.000272871 +2 *2445:serial_clock_out 0.000404266 +3 *2463:serial_clock 0.00131308 +4 *2462:serial_clock_out 0.00830566 +5 *104:56 0.00188562 +6 *104:55 0.0015828 +7 *104:50 0.000782148 +8 *104:49 0.00106883 +9 *104:40 0.00149314 +10 *104:39 0.00110501 +11 *104:37 0.0272819 +12 *104:36 0.027009 +13 *104:34 0.0253702 +14 *104:33 0.0253702 +15 *104:31 0.00466983 +16 *104:30 0.00546296 +17 *104:10 0.0104119 +18 *2445:serial_clock_out *2445:serial_data_in 9.35003e-05 +19 *2445:serial_clock_out *503:13 8.99353e-05 +20 *2445:serial_clock_out *2394:27 0 +21 *2455:serial_clock *2455:resetn 0.000196651 +22 *2455:serial_clock *2455:resetn_out 5.37048e-05 +23 *2455:serial_clock *2455:serial_clock_out 5.37048e-05 +24 *2455:serial_clock *503:26 0 +25 *104:30 *517:15 0.000423878 +26 *104:34 *467:33 0.000258189 +27 *104:34 *489:29 0.194165 +28 *104:37 *2455:resetn 4.66492e-05 +29 *104:37 *470:19 0.00100065 +30 *104:37 *489:32 0.349441 +31 *104:37 *1561:11 0.342146 +32 *104:37 *1571:10 1.92336e-05 +33 *104:40 *2455:mgmt_gpio_out 0.000100924 +34 *104:40 *2455:pad_gpio_in 0.000201392 +35 *104:40 *2455:resetn 0 +36 *104:40 *489:35 0.00501558 +37 *104:40 *1796:8 0.00284392 +38 *104:40 *1823:13 5.35541e-05 +39 *104:40 *1850:13 0 +40 *104:40 *1924:13 0 +41 *104:40 *1935:13 6.09999e-05 +42 *104:40 *1937:13 4.2993e-05 +43 *104:40 *1958:13 8.35615e-06 +44 *104:40 *2066:13 5.35541e-05 +45 *104:40 *2093:13 0 +46 *104:40 *2120:13 0 +47 *104:40 *2147:8 2.57465e-06 +48 *104:40 *2174:13 0 +49 *104:49 *2474:mprj_io_oeb[2] 0.000344954 +50 *104:49 *2147:13 0 +51 *104:50 *489:45 0.00320921 +52 *104:50 *503:20 1.3813e-05 +53 *104:50 *1935:24 0.000691052 +54 *104:50 *1958:14 0.000161551 +55 *104:50 *1985:14 0.000193047 +56 *104:50 *2120:14 0.0010439 +57 *104:55 *2474:mprj_io_analog_en[2] 5.04829e-06 +58 *104:56 *467:53 0.000196407 +59 *104:56 *489:45 1.90395e-05 +60 *104:56 *489:49 0.0020682 +61 *104:56 *503:20 0.00135285 +62 *2445:serial_clock *2445:serial_clock_out 3.84497e-05 +63 *100:74 *104:34 0.194707 +64 *100:80 *2445:serial_clock_out 0 +65 *100:80 *104:56 0.00764896 +*RES +1 *2462:serial_clock_out *104:10 33.7469 +2 *104:10 *2463:serial_clock 34.0393 +3 *104:10 *104:30 24.0167 +4 *104:30 *104:31 126.618 +5 *104:31 *104:33 4.5 +6 *104:33 *104:34 3117.47 +7 *104:34 *104:36 4.5 +8 *104:36 *104:37 3697.16 +9 *104:37 *104:39 4.5 +10 *104:39 *104:40 81.5604 +11 *104:40 *104:49 19.5616 +12 *104:49 *104:50 55.3995 +13 *104:50 *104:55 10.8326 +14 *104:55 *104:56 122.67 +15 *104:56 *2445:serial_clock_out 23.3855 +16 *104:37 *2455:serial_clock 14.5252 +*END + +*D_NET *105 0.94941 +*CONN +*I *2456:serial_clock I *D gpio_control_block +*I *2455:serial_clock_out O *D gpio_control_block +*I *2464:serial_clock I *D gpio_control_block +*I *2463:serial_clock_out O *D gpio_control_block +*CAP +1 *2456:serial_clock 0.000139872 +2 *2455:serial_clock_out 8.07371e-05 +3 *2464:serial_clock 0.00055001 +4 *2463:serial_clock_out 0.000562381 +5 *105:60 0.00201892 +6 *105:59 0.00207953 +7 *105:54 0.00121403 +8 *105:52 0.00150362 +9 *105:43 0.00138695 +10 *105:32 0.00224582 +11 *105:30 0.00300827 +12 *105:29 0.00157859 +13 *105:27 0.0839559 +14 *105:26 0.0839559 +15 *105:24 0.019968 +16 *105:23 0.0202751 +17 *105:14 0.000857038 +18 *105:12 0.00237639 +19 *105:10 0.00240217 +20 *105:8 0.00147607 +21 *105:7 0.00201267 +22 *2455:serial_clock_out *2455:resetn_out 0 +23 *2455:serial_clock_out *2455:serial_data_in 8.88774e-05 +24 *2456:serial_clock *2456:resetn 0 +25 *2456:serial_clock *2456:serial_data_in 0 +26 *2464:serial_clock *2464:resetn 0 +27 *2464:serial_clock *106:13 0.000378011 +28 *105:7 *2463:serial_data_in 0.000317363 +29 *105:7 *471:23 0.000279299 +30 *105:7 *490:7 0 +31 *105:8 *472:8 0.00188107 +32 *105:8 *490:8 0.00701861 +33 *105:8 *2162:16 0.00176959 +34 *105:12 *106:13 0 +35 *105:12 *472:8 0.00424781 +36 *105:12 *490:8 0.0045391 +37 *105:12 *518:8 0 +38 *105:23 *2464:resetn 0 +39 *105:24 *471:24 0.155636 +40 *105:24 *472:20 0.155584 +41 *105:24 *1557:27 2.19276e-05 +42 *105:27 *472:23 0.00283259 +43 *105:27 *475:34 0.00255922 +44 *105:27 *2222:33 0.353529 +45 *105:30 *2456:serial_data_in 8.52258e-05 +46 *105:30 *2456:user_gpio_out 0 +47 *105:30 *472:26 0.00393317 +48 *105:30 *472:30 0.000610253 +49 *105:30 *505:13 4.88112e-06 +50 *105:30 *2349:10 1.38068e-05 +51 *105:32 *2455:resetn_out 0.000315887 +52 *105:32 *472:30 0.00018079 +53 *105:32 *472:32 0 +54 *105:32 *1859:13 0.000119662 +55 *105:32 *1938:13 0.000145708 +56 *105:32 *2094:8 0.00249431 +57 *105:32 *2175:13 0.000107108 +58 *105:43 *2455:resetn_out 0.000272364 +59 *105:43 *2474:mprj_io_oeb[3] 0.00102781 +60 *105:43 *472:32 0 +61 *105:43 *472:37 1.21461e-06 +62 *105:43 *2094:8 0.000574919 +63 *105:52 *2455:resetn_out 0.00016984 +64 *105:52 *2474:mprj_io_ib_mode_sel[3] 5.6259e-05 +65 *105:52 *2474:mprj_io_oeb[3] 0 +66 *105:52 *2474:mprj_io_out[3] 5.481e-05 +67 *105:52 *472:38 9.71323e-06 +68 *105:52 *504:12 0.00109374 +69 *105:52 *2013:13 0.00105977 +70 *105:52 *2040:19 0.000444842 +71 *105:54 *2455:resetn_out 0.000629915 +72 *105:54 *472:38 0.00207446 +73 *105:54 *504:8 0.000686636 +74 *105:54 *504:12 0.00173287 +75 *105:59 *472:43 0.00042603 +76 *105:60 *2455:resetn_out 0.000614381 +77 *105:60 *2455:user_gpio_oeb 3.24019e-05 +78 *105:60 *2455:user_gpio_out 0.000922886 +79 *105:60 *471:30 0 +80 *105:60 *471:36 7.12702e-05 +81 *105:60 *471:40 0 +82 *105:60 *472:44 0.00505823 +83 *105:60 *503:26 0 +84 *2455:serial_clock *2455:serial_clock_out 5.37048e-05 +*RES +1 *2463:serial_clock_out *105:7 5.87966 +2 *105:7 *105:8 117.199 +3 *105:8 *105:10 0.732798 +4 *105:10 *105:12 149.735 +5 *105:12 *105:14 3.36879 +6 *105:14 *2464:serial_clock 2.43438 +7 *105:14 *105:23 4.41304 +8 *105:23 *105:24 2488.57 +9 *105:24 *105:26 4.5 +10 *105:26 *105:27 3799.2 +11 *105:27 *105:29 4.5 +12 *105:29 *105:30 73.0477 +13 *105:30 *105:32 53.4454 +14 *105:32 *105:43 47.3945 +15 *105:43 *105:52 36.6149 +16 *105:52 *105:54 69.3105 +17 *105:54 *105:59 14.1602 +18 *105:59 *105:60 91.3188 +19 *105:60 *2455:serial_clock_out 3.81442 +20 *105:30 *2456:serial_clock 3.81442 +*END + +*D_NET *106 1.21421 +*CONN +*I *2456:serial_clock_out O *D gpio_control_block +*I *2457:serial_clock I *D gpio_control_block +*I *2465:serial_clock I *D gpio_control_block +*I *2464:serial_clock_out O *D gpio_control_block +*CAP +1 *2456:serial_clock_out 0.000120058 +2 *2457:serial_clock 0.00128674 +3 *2465:serial_clock 0.00117781 +4 *2464:serial_clock_out 0.00125558 +5 *106:60 0.000881774 +6 *106:59 0.00139961 +7 *106:40 0.00291661 +8 *106:39 0.00162987 +9 *106:37 0.0210987 +10 *106:36 0.0204608 +11 *106:34 0.0181061 +12 *106:33 0.0181061 +13 *106:31 0.00435009 +14 *106:16 0.0055279 +15 *106:14 0.00211462 +16 *106:13 0.0033702 +17 *2457:serial_clock *2457:resetn_out 1.29141e-05 +18 *2457:serial_clock *2457:serial_clock_out 1.29141e-05 +19 *2457:serial_clock *492:31 0 +20 *2465:serial_clock *2465:serial_data_in 1.29018e-05 +21 *2465:serial_clock *2465:serial_load 0.000401123 +22 *2465:serial_clock *474:13 1.93695e-05 +23 *2465:serial_clock *492:9 8.63825e-06 +24 *2465:serial_clock *2338:11 0 +25 *2465:serial_clock *2365:19 0 +26 *2465:serial_clock *2392:19 0 +27 *106:13 *2464:serial_data_in 0.000261617 +28 *106:13 *2464:serial_load 0 +29 *106:13 *490:8 0 +30 *106:13 *490:12 0 +31 *106:13 *518:7 0 +32 *106:13 *519:22 0 +33 *106:13 *2082:10 0.000228329 +34 *106:13 *2163:8 0 +35 *106:14 *491:28 0.0098849 +36 *106:14 *519:10 0.00211279 +37 *106:14 *1905:8 1.5254e-05 +38 *106:14 *1947:8 0.00383441 +39 *106:14 *2082:12 0.00942927 +40 *106:31 *2338:11 0 +41 *106:31 *2392:19 0 +42 *106:34 *113:20 0.0161898 +43 *106:34 *473:28 0.139831 +44 *106:34 *485:41 8.6297e-06 +45 *106:34 *491:45 0.139358 +46 *106:37 *107:87 0.351104 +47 *106:37 *473:31 0.351145 +48 *106:37 *473:53 4.88955e-05 +49 *106:37 *474:57 0.0475258 +50 *106:37 *491:48 1.00981e-05 +51 *106:37 *497:34 1.00937e-05 +52 *106:40 *473:34 0.0121798 +53 *106:40 *491:51 0.0118845 +54 *106:40 *492:28 7.61581e-05 +55 *106:40 *1537:20 5.02971e-05 +56 *106:59 *107:87 0.000761577 +57 *106:59 *473:53 0.00408905 +58 *106:59 *491:70 0.00088455 +59 *106:60 *473:54 0.00404752 +60 *106:60 *491:71 0.0045918 +61 *2464:serial_clock *106:13 0.000378011 +62 *105:12 *106:13 0 +*RES +1 *2464:serial_clock_out *106:13 41.867 +2 *106:13 *106:14 221.085 +3 *106:14 *106:16 4.5 +4 *106:16 *2465:serial_clock 39.174 +5 *106:16 *106:31 115.526 +6 *106:31 *106:33 4.5 +7 *106:33 *106:34 2237.13 +8 *106:34 *106:36 4.5 +9 *106:36 *106:37 3669.98 +10 *106:37 *106:39 4.5 +11 *106:39 *106:40 195.34 +12 *106:40 *2457:serial_clock 40.3179 +13 *106:37 *106:59 49.0371 +14 *106:59 *106:60 74.7088 +15 *106:60 *2456:serial_clock_out 3.708 +*END + +*D_NET *107 1.25349 +*CONN +*I *2458:serial_clock I *D gpio_control_block +*I *2457:serial_clock_out O *D gpio_control_block +*I *2466:serial_clock I *D gpio_control_block +*I *2465:serial_clock_out O *D gpio_control_block +*CAP +1 *2458:serial_clock 0.00073291 +2 *2457:serial_clock_out 0.000812614 +3 *2466:serial_clock 0.000130443 +4 *2465:serial_clock_out 0.00225489 +5 *107:107 0.00293292 +6 *107:90 0.00535518 +7 *107:89 0.00234256 +8 *107:87 0.0205998 +9 *107:86 0.0205998 +10 *107:84 0.0197257 +11 *107:83 0.0197257 +12 *107:81 0.00466434 +13 *107:70 0.00132237 +14 *107:69 0.00596191 +15 *107:64 0.00771459 +16 *107:63 0.0029446 +17 *107:61 0.00743575 +18 *107:60 0.0121001 +19 *107:58 0.00302261 +20 *107:57 0.00350037 +21 *107:51 0.00130164 +22 *107:39 0.00154115 +23 *107:27 0.00613038 +24 *107:26 0.00541311 +25 *107:24 0.00267478 +26 *107:22 0.00694928 +27 *107:15 0.0065294 +28 *2457:serial_clock_out *2457:serial_data_in 1.29141e-05 +29 *2458:serial_clock *2458:resetn_out 4.31122e-05 +30 *2458:serial_clock *2458:serial_clock_out 3.84497e-05 +31 *107:22 *2465:gpio_defaults[0] 0 +32 *107:27 *1948:19 0.00993659 +33 *107:27 *1975:19 0.00108667 +34 *107:39 *2002:9 0.00042425 +35 *107:51 *2474:mprj_io_dm[55] 0.000127271 +36 *107:51 *2474:mprj_io_slow_sel[18] 0.00019711 +37 *107:51 *1786:18 0 +38 *107:51 *1840:27 0.000104535 +39 *107:51 *2002:7 0.000174661 +40 *107:51 *2137:10 0.000684338 +41 *107:51 *2313:15 0.000152131 +42 *107:57 *2474:mprj_io_holdover[18] 0 +43 *107:57 *1909:16 0.00198608 +44 *107:57 *1948:22 0.00157442 +45 *107:57 *2295:13 0 +46 *107:57 *2295:16 0.000142393 +47 *107:58 *2474:mprj_io_holdover[18] 0.000253457 +48 *107:58 *2474:mprj_io_oeb[18] 0 +49 *107:58 *474:14 0 +50 *107:58 *1909:16 0 +51 *107:58 *2029:9 0.000464416 +52 *107:64 *2466:gpio_defaults[0] 0 +53 *107:70 *108:10 0.00343943 +54 *107:84 *108:39 0.106718 +55 *107:84 *113:20 0.0156578 +56 *107:84 *475:31 4.3116e-06 +57 *107:84 *491:45 0.123933 +58 *107:87 *474:57 0.0518102 +59 *107:87 *491:48 4.03336e-05 +60 *107:87 *491:70 9.80747e-05 +61 *107:87 *496:41 0.35154 +62 *107:90 *474:60 0.0123599 +63 *107:90 *478:32 0.0016504 +64 *107:90 *492:34 0.000311015 +65 *107:90 *505:14 0.00584242 +66 *107:107 *474:60 0.000653242 +67 *107:107 *474:75 0.016458 +68 *107:107 *478:32 0.00232784 +69 *107:107 *492:34 0.0167972 +70 *107:107 *506:14 0.000148843 +71 *2457:serial_clock *2457:serial_clock_out 1.29141e-05 +72 *106:37 *107:87 0.351104 +73 *106:59 *107:87 0.000761577 +*RES +1 *2465:serial_clock_out *107:15 37.02 +2 *107:15 *107:22 35.5451 +3 *107:22 *107:24 75.9789 +4 *107:24 *107:26 4.5 +5 *107:26 *107:27 204.263 +6 *107:27 *107:39 37.8229 +7 *107:39 *107:51 47.6191 +8 *107:51 *107:57 44.6418 +9 *107:57 *107:58 93.1875 +10 *107:58 *107:60 4.5 +11 *107:60 *107:61 208.145 +12 *107:61 *107:63 4.5 +13 *107:63 *107:64 83.4291 +14 *107:64 *107:69 24.9921 +15 *107:69 *107:70 54.9843 +16 *107:70 *2466:serial_clock 3.708 +17 *107:60 *107:81 123.291 +18 *107:81 *107:83 4.5 +19 *107:83 *107:84 1982.58 +20 *107:84 *107:86 4.5 +21 *107:86 *107:87 3677.75 +22 *107:87 *107:89 4.5 +23 *107:89 *107:90 198.246 +24 *107:90 *2457:serial_clock_out 31.4443 +25 *107:90 *107:107 273.407 +26 *107:107 *2458:serial_clock 30.3251 +*END + +*D_NET *108 1.31132 +*CONN +*I *2459:serial_clock I *D gpio_control_block +*I *2458:serial_clock_out O *D gpio_control_block +*I *2467:serial_clock I *D gpio_control_block +*I *2466:serial_clock_out O *D gpio_control_block +*CAP +1 *2459:serial_clock 0.00250538 +2 *2458:serial_clock_out 0.000395487 +3 *2467:serial_clock 0.000590316 +4 *2466:serial_clock_out 9.89961e-05 +5 *108:49 0.00866469 +6 *108:47 0.0122248 +7 *108:42 0.0746875 +8 *108:41 0.0682265 +9 *108:39 0.0185278 +10 *108:38 0.0185278 +11 *108:36 0.00265194 +12 *108:35 0.00265194 +13 *108:22 0.001115 +14 *108:21 0.00860152 +15 *108:10 0.00994605 +16 *108:9 0.0019682 +17 *2458:serial_clock_out *2458:resetn_out 0 +18 *2458:serial_clock_out *2458:serial_data_in 4.31122e-05 +19 *2458:serial_clock_out *2458:serial_load 0 +20 *2458:serial_clock_out *507:10 0 +21 *2459:serial_clock *2459:resetn 0.0185843 +22 *2459:serial_clock *2459:resetn_out 3.84497e-05 +23 *2459:serial_clock *2459:serial_clock_out 3.74656e-05 +24 *2459:serial_clock *2459:serial_data_in 0 +25 *2459:serial_clock *2459:serial_load 0.0182494 +26 *2459:serial_clock *476:28 0 +27 *2459:serial_clock *494:26 0 +28 *2459:serial_clock *494:29 0.000148555 +29 *2459:serial_clock *507:12 0.000733756 +30 *2459:serial_clock *1854:14 0.000747342 +31 *2459:serial_clock *1962:16 0.000758727 +32 *2459:serial_clock *2016:13 0.000462724 +33 *2467:serial_clock *2341:12 1.1573e-05 +34 *108:22 *2467:user_gpio_oeb 6.23451e-05 +35 *108:22 *2467:user_gpio_out 1.1573e-05 +36 *108:36 *475:28 0.0116764 +37 *108:36 *2341:13 0.00138917 +38 *108:39 *113:20 0.0141324 +39 *108:39 *475:31 0.0401067 +40 *108:39 *485:41 0 +41 *108:39 *491:45 1.47875e-05 +42 *108:39 *496:38 0.0243437 +43 *108:42 *109:61 0.00129949 +44 *108:42 *475:34 0.399189 +45 *108:42 *478:29 0.398827 +46 *108:42 *479:34 0.00238228 +47 *108:42 *493:48 0.000239164 +48 *108:47 *110:96 0.000641599 +49 *108:47 *110:98 0.00168826 +50 *108:47 *475:37 4.22519e-05 +51 *108:47 *493:51 0.0190094 +52 *108:47 *495:56 0.00049479 +53 *108:47 *495:64 0.000723518 +54 *108:47 *1852:14 0.00072038 +55 *108:47 *1863:14 0.00066488 +56 *108:47 *1960:14 0.000539504 +57 *108:47 *2014:30 0.000224324 +58 *108:47 *2095:8 0.000562858 +59 *108:47 *2176:14 0.000988756 +60 *108:49 *2459:resetn 0.00036201 +61 *108:49 *2474:mprj_io_analog_pol[5] 0.000138177 +62 *108:49 *2474:mprj_io_analog_sel[5] 0.000211107 +63 *108:49 *2474:mprj_io_inp_dis[5] 0.000132081 +64 *108:49 *493:51 0.00405446 +65 *108:49 *493:55 0.000764356 +66 *108:49 *495:64 0.000760449 +67 *108:49 *1853:17 0.000428321 +68 *108:49 *1961:14 0.000597423 +69 *108:49 *1988:8 0.000546308 +70 *108:49 *2042:11 0.00030076 +71 *108:49 *2096:14 0.000567608 +72 *108:49 *2123:14 0.000789249 +73 *108:49 *2150:8 0.000299508 +74 *2458:serial_clock *2458:serial_clock_out 3.84497e-05 +75 *107:70 *108:10 0.00343943 +76 *107:84 *108:39 0.106718 +*RES +1 *2466:serial_clock_out *108:9 3.708 +2 *108:9 *108:10 74.0859 +3 *108:10 *108:21 38.957 +4 *108:21 *108:22 15.3277 +5 *108:22 *2467:serial_clock 17.7899 +6 *108:22 *108:35 4.5 +7 *108:35 *108:36 132.164 +8 *108:36 *108:38 4.5 +9 *108:38 *108:39 1709.97 +10 *108:39 *108:41 3.36879 +11 *108:41 *108:42 508.365 +12 *108:42 *108:47 38.7256 +13 *108:47 *108:49 27.7221 +14 *108:49 *2458:serial_clock_out 1.47244 +15 *108:49 *2459:serial_clock 39.1289 +*END + +*D_NET *109 0.876509 +*CONN +*I *2468:serial_clock I *D gpio_control_block +*I *2460:serial_clock I *D gpio_control_block +*I *2459:serial_clock_out O *D gpio_control_block +*I *2467:serial_clock_out O *D gpio_control_block +*CAP +1 *2468:serial_clock 2.68684e-05 +2 *2460:serial_clock 0 +3 *2459:serial_clock_out 4.9096e-05 +4 *2467:serial_clock_out 6.94498e-05 +5 *109:127 2.68684e-05 +6 *109:123 0.00195138 +7 *109:122 0.0064146 +8 *109:109 0.00300429 +9 *109:108 0.00383081 +10 *109:103 0.00565571 +11 *109:94 0.00600548 +12 *109:90 0.00168787 +13 *109:87 0.00208883 +14 *109:82 0.00331102 +15 *109:81 0.00178286 +16 *109:79 0.0015237 +17 *109:76 0.00570862 +18 *109:75 0.00473976 +19 *109:70 0.00415408 +20 *109:69 0.00484104 +21 *109:64 0.0112607 +22 *109:63 0.0100189 +23 *109:61 0.0473968 +24 *109:60 0.0483724 +25 *109:57 0.00218619 +26 *109:52 0.00226915 +27 *109:51 0.0010585 +28 *109:49 0.00271958 +29 *109:48 0.00271958 +30 *109:46 0.00248324 +31 *109:45 0.00275614 +32 *109:40 0.0126655 +33 *109:39 0.0142603 +34 *109:35 0.00249201 +35 *109:32 0.00152525 +36 *109:27 0.00687353 +37 *109:26 0.00597257 +38 *109:24 0.00508681 +39 *109:22 0.0139737 +40 *109:21 0.0113711 +41 *109:16 0.0247359 +42 *109:15 0.0182297 +43 *109:10 0.00241513 +44 *109:9 0.00204343 +45 *2459:serial_clock_out *2459:serial_data_in 3.27908e-05 +46 *109:10 *2467:resetn 0 +47 *109:16 *2474:mprj_io_holdover[20] 0 +48 *109:24 *110:31 0.000488419 +49 *109:24 *110:32 0 +50 *109:40 *479:16 0.00189414 +51 *109:40 *1558:29 0.00701027 +52 *109:40 *1559:31 0.0280684 +53 *109:40 *1560:29 0.011506 +54 *109:40 *2371:16 9.33066e-05 +55 *109:40 *2398:16 0 +56 *109:46 *2446:resetn 0 +57 *109:46 *112:26 0.000245425 +58 *109:46 *497:16 0 +59 *109:46 *1560:29 0 +60 *109:49 *2446:mgmt_gpio_out 0.00179582 +61 *109:52 *2473:io_in[25] 0 +62 *109:52 *2036:11 0.00282321 +63 *109:52 *2373:12 0 +64 *109:60 *475:31 0.00210751 +65 *109:61 *479:34 0.335471 +66 *109:61 *1551:26 0.0868108 +67 *109:61 *1554:24 0.000145086 +68 *109:64 *469:22 0.0278161 +69 *109:70 *2378:10 4.23937e-05 +70 *109:70 *2405:10 0.00193095 +71 *109:90 *1537:20 0.000443459 +72 *109:94 *494:36 6.75696e-05 +73 *109:94 *507:18 0 +74 *109:103 *476:34 0.0191414 +75 *109:103 *494:36 0.0260934 +76 *109:103 *507:18 0 +77 *109:109 *476:40 0.0036637 +78 *109:122 *110:31 0.000768038 +79 *109:123 *110:8 0.00495477 +80 *2459:serial_clock *2459:serial_clock_out 3.74656e-05 +81 *108:42 *109:61 0.00129949 +*RES +1 *2467:serial_clock_out *109:9 3.59493 +2 *109:9 *109:10 55.8148 +3 *109:10 *109:15 5.36335 +4 *109:15 *109:16 70.8046 +5 *109:16 *109:21 30.2227 +6 *109:21 *109:22 125.577 +7 *109:22 *109:24 144.056 +8 *109:24 *109:26 4.5 +9 *109:26 *109:27 167.104 +10 *109:27 *109:32 34.0861 +11 *109:32 *109:35 17.3856 +12 *109:35 *109:39 49.5917 +13 *109:39 *109:40 679.524 +14 *109:40 *109:45 15.2694 +15 *109:45 *109:46 70.7639 +16 *109:46 *109:48 4.5 +17 *109:48 *109:49 73.9311 +18 *109:49 *109:51 4.5 +19 *109:51 *109:52 45.8487 +20 *109:52 *109:57 34.126 +21 *109:57 *109:60 40.3827 +22 *109:60 *109:61 3536.88 +23 *109:61 *109:63 4.5 +24 *109:63 *109:64 448.228 +25 *109:64 *109:69 42.445 +26 *109:69 *109:70 113.95 +27 *109:70 *109:75 24.1431 +28 *109:75 *109:76 118.518 +29 *109:76 *109:79 46.8187 +30 *109:79 *109:81 4.5 +31 *109:81 *109:82 50.4165 +32 *109:82 *109:87 48.5456 +33 *109:87 *109:90 25.4336 +34 *109:90 *109:94 31.9443 +35 *109:94 *2459:serial_clock_out 3.58495 +36 *109:94 *109:103 416.877 +37 *109:103 *109:108 30.7983 +38 *109:108 *109:109 119.971 +39 *109:109 *2460:serial_clock 3.36879 +40 *109:22 *109:122 24.9156 +41 *109:122 *109:123 85.7129 +42 *109:123 *2468:serial_clock 3.36879 +43 *2468:serial_clock *109:127 0.0631875 +*END + +*D_NET *110 1.18852 +*CONN +*I *2449:serial_clock I *D gpio_control_block +*I *2460:serial_clock_out O *D gpio_control_block +*I *2469:serial_clock I *D gpio_control_block +*I *2468:serial_clock_out O *D gpio_control_block +*CAP +1 *2449:serial_clock 0.000122735 +2 *2460:serial_clock_out 0.000275308 +3 *2469:serial_clock 6.94771e-05 +4 *2468:serial_clock_out 1.65112e-05 +5 *110:123 0.00257203 +6 *110:121 0.00247507 +7 *110:119 0.00314787 +8 *110:118 0.00364206 +9 *110:104 0.0010557 +10 *110:98 0.0256857 +11 *110:96 0.0262349 +12 *110:91 0.0217599 +13 *110:90 0.0209503 +14 *110:88 0.0023514 +15 *110:87 0.00545692 +16 *110:82 0.0127091 +17 *110:81 0.00985323 +18 *110:68 0.00187063 +19 *110:67 0.00655115 +20 *110:62 0.00744857 +21 *110:61 0.00386346 +22 *110:53 0.00427055 +23 *110:52 0.00357469 +24 *110:46 0.00375034 +25 *110:43 0.0106589 +26 *110:38 0.0109156 +27 *110:37 0.0038219 +28 *110:32 0.00294917 +29 *110:31 0.0130347 +30 *110:8 0.012325 +31 *110:7 0.00172248 +32 *2449:serial_clock *2449:resetn_out 1.75358e-05 +33 *2449:serial_clock *2450:resetn 7.40436e-06 +34 *2449:serial_clock *2126:15 2.25102e-05 +35 *2460:serial_clock_out *2460:resetn_out 0 +36 *2460:serial_clock_out *2460:serial_data_in 3.74656e-05 +37 *110:46 *495:14 0.00907174 +38 *110:46 *495:44 0 +39 *110:52 *495:20 0.000145708 +40 *110:53 *495:21 0.0143999 +41 *110:61 *495:21 0.000211758 +42 *110:62 *2469:gpio_defaults[0] 1.78514e-05 +43 *110:62 *495:24 0.00640034 +44 *110:68 *495:30 0.00323575 +45 *110:82 *112:14 1.83756e-05 +46 *110:82 *495:44 0.032386 +47 *110:87 *2473:gpio_noesd[16] 1.7794e-05 +48 *110:87 *2473:io_in_3v3[23] 0 +49 *110:87 *2474:mprj_io_out[23] 6.88661e-06 +50 *110:87 *495:49 0.0130129 +51 *110:88 *111:42 0.014194 +52 *110:88 *479:31 0.00848641 +53 *110:88 *495:50 0.00276002 +54 *110:91 *111:45 0.352313 +55 *110:91 *473:53 0.000514643 +56 *110:91 *494:23 0.0517972 +57 *110:91 *495:53 0.353557 +58 *110:91 *497:34 0 +59 *110:96 *495:56 0.00219222 +60 *110:98 *2459:resetn 0.00186827 +61 *110:98 *493:51 0.00102273 +62 *110:98 *494:26 0.00364078 +63 *110:98 *495:56 0.00111783 +64 *110:98 *495:62 0.000292149 +65 *110:98 *495:64 0.0286027 +66 *110:98 *1801:14 0 +67 *110:98 *1825:16 0 +68 *110:98 *1852:14 0.00491881 +69 *110:98 *1870:14 0.00497089 +70 *110:98 *1872:14 0.000534875 +71 *110:98 *1987:14 3.46206e-05 +72 *110:98 *1990:8 0.000144223 +73 *110:98 *2014:21 0.00133189 +74 *110:98 *2017:13 0.00107501 +75 *110:98 *2043:13 0.00194722 +76 *110:98 *2070:14 1.69932e-05 +77 *110:98 *2122:14 0.000139567 +78 *110:98 *2123:14 0.00514303 +79 *110:98 *2124:14 0.0054535 +80 *110:98 *2150:14 0.00198036 +81 *110:98 *2176:14 0.005214 +82 *110:98 *2177:14 0.00529365 +83 *110:98 *2178:14 7.70741e-05 +84 *110:104 *2071:8 9.41515e-05 +85 *110:104 *2152:10 0.000275937 +86 *110:118 *2071:8 0.000372007 +87 *110:118 *2152:10 0.00114904 +88 *110:118 *2152:13 1.03403e-05 +89 *110:118 *2380:13 0 +90 *110:119 *495:87 0.00911021 +91 *110:123 *495:87 0.00236284 +92 *110:123 *1802:13 0.000298093 +93 *110:123 *1875:13 0.000725336 +94 *110:123 *2153:15 0.000436015 +95 *110:123 *2180:13 0.000369539 +96 *108:47 *110:96 0.000641599 +97 *108:47 *110:98 0.00168826 +98 *109:24 *110:31 0.000488419 +99 *109:24 *110:32 0 +100 *109:122 *110:31 0.000768038 +101 *109:123 *110:8 0.00495477 +*RES +1 *2468:serial_clock_out *110:7 3.43197 +2 *110:7 *110:8 79.0689 +3 *110:8 *110:31 19.6897 +4 *110:31 *110:32 68.2723 +5 *110:32 *110:37 8.69971 +6 *110:37 *110:38 93.1875 +7 *110:38 *110:43 32.6703 +8 *110:43 *110:46 19.6301 +9 *110:46 *110:52 12.0509 +10 *110:52 *110:53 150.466 +11 *110:53 *110:61 48.2224 +12 *110:61 *110:62 116.234 +13 *110:62 *110:67 24.9156 +14 *110:67 *110:68 70.7639 +15 *110:68 *2469:serial_clock 3.59493 +16 *110:46 *110:81 1.19142 +17 *110:81 *110:82 64.2892 +18 *110:82 *110:87 20.8144 +19 *110:87 *110:88 229.183 +20 *110:88 *110:90 4.5 +21 *110:90 *110:91 3697.16 +22 *110:91 *110:96 40.7369 +23 *110:96 *110:98 1247.55 +24 *110:98 *110:104 17.5822 +25 *110:104 *2460:serial_clock_out 4.12039 +26 *110:104 *110:118 32.116 +27 *110:118 *110:119 145.302 +28 *110:119 *110:121 0.732798 +29 *110:121 *110:123 101.077 +30 *110:123 *2449:serial_clock 3.72796 +*END + +*D_NET *111 1.13702 +*CONN +*I *2450:serial_clock I *D gpio_control_block +*I *2449:serial_clock_out O *D gpio_control_block +*I *2470:serial_clock I *D gpio_control_block +*I *2469:serial_clock_out O *D gpio_control_block +*CAP +1 *2450:serial_clock 0.00396593 +2 *2449:serial_clock_out 0.00509584 +3 *2470:serial_clock 0.00110079 +4 *2469:serial_clock_out 0.00156 +5 *111:48 0.0146968 +6 *111:47 0.0135669 +7 *111:45 0.020848 +8 *111:44 0.020848 +9 *111:42 0.00569205 +10 *111:41 0.00569205 +11 *111:39 0.00377489 +12 *111:24 0.00134786 +13 *111:19 0.00407136 +14 *111:16 0.00359089 +15 *111:14 0.00357179 +16 *111:12 0.000595406 +17 *111:10 0.00212511 +18 *2449:serial_clock_out *2449:resetn_out 0 +19 *2449:serial_clock_out *2099:15 0.000163509 +20 *2449:serial_clock_out *2126:15 0.00107825 +21 *2449:serial_clock_out *2180:13 1.7455e-05 +22 *2450:serial_clock *2449:serial_data_in 2.15141e-06 +23 *2450:serial_clock *2450:resetn 0.0183829 +24 *2450:serial_clock *2450:serial_load 0.00467644 +25 *2450:serial_clock *478:49 0.000207156 +26 *2450:serial_clock *1830:13 0.000109401 +27 *2450:serial_clock *1990:8 0 +28 *2470:serial_clock *2470:resetn 0.00175162 +29 *2470:serial_clock *2470:serial_data_in 0.000113456 +30 *2470:serial_clock *496:35 0.00137832 +31 *2470:serial_clock *524:15 0 +32 *111:10 *2469:serial_data_in 0.000312496 +33 *111:10 *474:54 0.000238504 +34 *111:10 *523:7 0 +35 *111:10 *524:22 0 +36 *111:10 *2088:10 0 +37 *111:12 *494:20 0.000527202 +38 *111:12 *1953:8 0.00347587 +39 *111:12 *1980:8 0.00358681 +40 *111:12 *2088:10 1.07248e-05 +41 *111:12 *2088:12 3.29976e-05 +42 *111:16 *494:20 0.00229192 +43 *111:16 *524:16 0 +44 *111:16 *1953:8 1.3813e-05 +45 *111:16 *1980:16 0.00560258 +46 *111:16 *2088:12 0.00561561 +47 *111:19 *2470:user_gpio_oeb 3.77925e-05 +48 *111:19 *2344:15 4.15559e-05 +49 *111:24 *524:16 0.000279887 +50 *111:39 *2470:user_gpio_oeb 0.000307782 +51 *111:39 *2470:user_gpio_out 0 +52 *111:39 *2344:15 0.000313296 +53 *111:42 *478:26 0.0247716 +54 *111:42 *479:31 8.6297e-06 +55 *111:42 *495:50 1.04352e-05 +56 *111:45 *473:31 0.351187 +57 *111:45 *473:53 0.000538037 +58 *111:45 *476:25 0.0475258 +59 *111:45 *497:34 0 +60 *111:48 *496:44 0.0970877 +61 *111:48 *1568:20 0.0773797 +62 *111:48 *1569:20 0.00814342 +63 *111:48 *1570:20 0.00114235 +64 *111:48 *2152:14 0 +65 *110:88 *111:42 0.014194 +66 *110:91 *111:45 0.352313 +*RES +1 *2469:serial_clock_out *111:10 31.6125 +2 *111:10 *111:12 59.2101 +3 *111:12 *111:14 0.732798 +4 *111:14 *111:16 168.556 +5 *111:16 *111:19 6.3326 +6 *111:19 *111:24 17.0608 +7 *111:24 *2470:serial_clock 49.5352 +8 *111:19 *111:39 106.653 +9 *111:39 *111:41 4.5 +10 *111:41 *111:42 398.398 +11 *111:42 *111:44 4.5 +12 *111:44 *111:45 3683.29 +13 *111:45 *111:47 4.5 +14 *111:47 *111:48 1555.91 +15 *111:48 *2449:serial_clock_out 6.82747 +16 *2449:serial_clock_out *2450:serial_clock 38.285 +*END + +*D_NET *112 1.11137 +*CONN +*I *2450:serial_clock_out O *D gpio_control_block +*I *2451:serial_clock I *D gpio_control_block +*I *2446:serial_clock I *D gpio_control_block +*I *2470:serial_clock_out O *D gpio_control_block +*CAP +1 *2450:serial_clock_out 0.00107609 +2 *2451:serial_clock 0.000319204 +3 *2446:serial_clock 9.56542e-05 +4 *2470:serial_clock_out 0.00574806 +5 *112:47 0.00102014 +6 *112:42 0.00665143 +7 *112:41 0.00595049 +8 *112:39 0.00121496 +9 *112:36 0.0146644 +10 *112:35 0.0145255 +11 *112:33 0.0245551 +12 *112:32 0.0245551 +13 *112:30 0.00138206 +14 *112:29 0.00138206 +15 *112:27 0.00319857 +16 *112:26 0.00435716 +17 *112:14 0.0070023 +18 *2446:serial_clock *2446:resetn 0 +19 *2446:serial_clock *2446:serial_data_in 0 +20 *2450:serial_clock_out *2450:resetn_out 0.00316997 +21 *2450:serial_clock_out *2450:serial_data_in 1.75765e-05 +22 *2450:serial_clock_out *511:13 0 +23 *2450:serial_clock_out *1879:8 0 +24 *2450:serial_clock_out *2127:13 0.000272655 +25 *2450:serial_clock_out *2154:13 0.000559658 +26 *2451:serial_clock *486:12 8.42618e-05 +27 *2451:serial_clock *497:49 0.000317191 +28 *2451:serial_clock *1879:32 0 +29 *2451:serial_clock *2129:13 0.000519205 +30 *112:14 *2474:mprj_io_oeb[23] 0.0111481 +31 *112:14 *477:26 0 +32 *112:14 *495:44 0.0107411 +33 *112:14 *1954:10 0.000166051 +34 *112:14 *2035:7 0.00053428 +35 *112:26 *2446:resetn 8.08111e-06 +36 *112:26 *2446:serial_data_in 0 +37 *112:26 *497:16 0.000165063 +38 *112:26 *2345:13 0.00269229 +39 *112:30 *479:31 8.02838e-05 +40 *112:30 *495:50 0.00787012 +41 *112:30 *497:31 0.00755556 +42 *112:30 *1553:33 0.00020097 +43 *112:33 *2473:la_data_in[57] 0.000101638 +44 *112:33 *472:23 0 +45 *112:33 *478:29 0.00279601 +46 *112:33 *479:34 0.347696 +47 *112:33 *497:34 0.347836 +48 *112:33 *1551:26 7.25324e-06 +49 *112:36 *479:37 0.114277 +50 *112:36 *497:37 0.114256 +51 *112:36 *2356:16 5.1493e-06 +52 *112:39 *2450:resetn_out 0.000102003 +53 *112:39 *497:40 0.00033061 +54 *112:42 *479:43 0.0158545 +55 *112:42 *497:43 0.000502815 +56 *112:47 *497:49 0.00306063 +57 *101:7 *2451:serial_clock 1.05966e-05 +58 *101:33 *2451:serial_clock 3.88655e-06 +59 *101:36 *112:47 0.000466287 +60 *109:46 *112:26 0.000245425 +61 *110:82 *112:14 1.83756e-05 +*RES +1 *2470:serial_clock_out *112:14 37.9279 +2 *112:14 *2446:serial_clock 0.330903 +3 *112:14 *112:26 20.8475 +4 *112:26 *112:27 86.687 +5 *112:27 *112:29 4.5 +6 *112:29 *112:30 131.391 +7 *112:30 *112:32 4.5 +8 *112:32 *112:33 3668.32 +9 *112:33 *112:35 4.5 +10 *112:35 *112:36 1825.62 +11 *112:36 *112:39 9.66022 +12 *112:39 *112:41 4.5 +13 *112:41 *112:42 270.5 +14 *112:42 *112:47 42.445 +15 *112:47 *2451:serial_clock 14.1292 +16 *112:39 *2450:serial_clock_out 49.0543 +*END + +*D_NET *113 1.3202 +*CONN +*I *2444:serial_clock I *D gpio_control_block +*I *2461:serial_clock I *D gpio_control_block +*I *2471:serial_clock O *D housekeeping +*CAP +1 *2444:serial_clock 0.000993397 +2 *2461:serial_clock 0.00305192 +3 *2471:serial_clock 0.00126826 +4 *113:20 0.137617 +5 *113:19 0.134565 +6 *113:17 0.0253528 +7 *113:16 0.0253528 +8 *113:14 0.012021 +9 *113:13 0.0120931 +10 *113:10 0.00106543 +11 *113:8 0.00277836 +12 *113:7 0.00404662 +13 *2444:serial_clock *2444:resetn 0 +14 *2444:serial_clock *2444:resetn_out 4.31122e-05 +15 *2444:serial_clock *485:65 0 +16 *2444:serial_clock *498:11 0 +17 *2461:serial_clock *2461:resetn 2.7413e-07 +18 *2461:serial_clock *2461:serial_data_in 0 +19 *2461:serial_clock *2461:serial_load 0.0131675 +20 *2461:serial_clock *2160:7 0 +21 *2461:serial_clock *2334:13 0.000103658 +22 *113:8 *480:8 0.00990286 +23 *113:8 *498:8 0.000206811 +24 *113:8 *1544:14 0.00601149 +25 *113:14 *1534:20 0 +26 *113:14 *1535:20 0.000653095 +27 *113:14 *1536:20 0.000941838 +28 *113:14 *1537:20 0.0146474 +29 *113:14 *1544:14 0.0141016 +30 *113:17 *485:44 0.400485 +31 *113:17 *1553:24 0.387953 +32 *113:17 *1611:25 0.000937295 +33 *113:17 *1726:25 0.00523011 +34 *113:17 *1728:31 0.00439555 +35 *113:20 *2478:la_input[3] 0.000431618 +36 *113:20 *473:28 0.0163917 +37 *113:20 *475:31 0.0152391 +38 *113:20 *480:25 0.000222955 +39 *113:20 *491:45 0.0167407 +40 *113:20 *496:38 0.00282599 +41 *113:20 *1553:27 0.00334581 +42 *2444:serial_clock_out *2444:serial_clock 3.84497e-05 +43 *106:34 *113:20 0.0161898 +44 *107:84 *113:20 0.0156578 +45 *108:39 *113:20 0.0141324 +*RES +1 *2471:serial_clock *113:7 8.17437 +2 *113:7 *113:8 178.522 +3 *113:8 *113:10 4.5 +4 *113:10 *113:13 5.778 +5 *113:13 *113:14 531.901 +6 *113:14 *113:16 3.36879 +7 *113:16 *113:17 505.994 +8 *113:17 *113:19 0.376635 +9 *113:19 *113:20 501.959 +10 *113:20 *2461:serial_clock 18.8191 +11 *113:10 *2444:serial_clock 32.4803 +*END + +*D_NET *114 5.84207e-05 +*CONN +*I *2444:gpio_defaults[0] I *D gpio_control_block +*I *2417:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[0] 2.92104e-05 +2 *2417:gpio_defaults[0] 2.92104e-05 +3 *2444:gpio_defaults[0] *2444:gpio_defaults[1] 0 +*RES +1 *2417:gpio_defaults[0] *2444:gpio_defaults[0] 0.830504 +*END + +*D_NET *115 5.84207e-05 +*CONN +*I *2460:gpio_defaults[9] I *D gpio_control_block +*I *2441:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[9] 2.92104e-05 +2 *2441:gpio_defaults[9] 2.92104e-05 +3 *2460:gpio_defaults[9] *2460:gpio_defaults[10] 0 +4 *2460:gpio_defaults[9] *2460:gpio_defaults[8] 0 +*RES +1 *2441:gpio_defaults[9] *2460:gpio_defaults[9] 0.830504 +*END + +*D_NET *116 5.84207e-05 +*CONN +*I *2460:gpio_defaults[10] I *D gpio_control_block +*I *2441:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[10] 2.92104e-05 +2 *2441:gpio_defaults[10] 2.92104e-05 +3 *2460:gpio_defaults[10] *2460:gpio_defaults[11] 0 +4 *2460:gpio_defaults[9] *2460:gpio_defaults[10] 0 +*RES +1 *2441:gpio_defaults[10] *2460:gpio_defaults[10] 0.830504 +*END + +*D_NET *117 5.84207e-05 +*CONN +*I *2460:gpio_defaults[11] I *D gpio_control_block +*I *2441:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[11] 2.92104e-05 +2 *2441:gpio_defaults[11] 2.92104e-05 +3 *2460:gpio_defaults[11] *2460:gpio_defaults[12] 0 +4 *2460:gpio_defaults[10] *2460:gpio_defaults[11] 0 +*RES +1 *2441:gpio_defaults[11] *2460:gpio_defaults[11] 0.830504 +*END + +*D_NET *118 5.84207e-05 +*CONN +*I *2460:gpio_defaults[12] I *D gpio_control_block +*I *2441:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[12] 2.92104e-05 +2 *2441:gpio_defaults[12] 2.92104e-05 +3 *2460:gpio_defaults[11] *2460:gpio_defaults[12] 0 +*RES +1 *2441:gpio_defaults[12] *2460:gpio_defaults[12] 0.830504 +*END + +*D_NET *119 5.84207e-05 +*CONN +*I *2449:gpio_defaults[0] I *D gpio_control_block +*I *2442:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[0] 2.92104e-05 +2 *2442:gpio_defaults[0] 2.92104e-05 +3 *2449:gpio_defaults[0] *2449:gpio_defaults[1] 0 +*RES +1 *2442:gpio_defaults[0] *2449:gpio_defaults[0] 0.830504 +*END + +*D_NET *120 5.84207e-05 +*CONN +*I *2449:gpio_defaults[1] I *D gpio_control_block +*I *2442:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[1] 2.92104e-05 +2 *2442:gpio_defaults[1] 2.92104e-05 +3 *2449:gpio_defaults[1] *2449:gpio_defaults[2] 0 +4 *2449:gpio_defaults[0] *2449:gpio_defaults[1] 0 +*RES +1 *2442:gpio_defaults[1] *2449:gpio_defaults[1] 0.830504 +*END + +*D_NET *121 5.84207e-05 +*CONN +*I *2449:gpio_defaults[2] I *D gpio_control_block +*I *2442:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[2] 2.92104e-05 +2 *2442:gpio_defaults[2] 2.92104e-05 +3 *2449:gpio_defaults[2] *2449:gpio_defaults[3] 0 +4 *2449:gpio_defaults[1] *2449:gpio_defaults[2] 0 +*RES +1 *2442:gpio_defaults[2] *2449:gpio_defaults[2] 0.830504 +*END + +*D_NET *122 5.84207e-05 +*CONN +*I *2449:gpio_defaults[3] I *D gpio_control_block +*I *2442:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[3] 2.92104e-05 +2 *2442:gpio_defaults[3] 2.92104e-05 +3 *2449:gpio_defaults[3] *2449:gpio_defaults[4] 0 +4 *2449:gpio_defaults[2] *2449:gpio_defaults[3] 0 +*RES +1 *2442:gpio_defaults[3] *2449:gpio_defaults[3] 0.830504 +*END + +*D_NET *123 5.84207e-05 +*CONN +*I *2449:gpio_defaults[4] I *D gpio_control_block +*I *2442:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[4] 2.92104e-05 +2 *2442:gpio_defaults[4] 2.92104e-05 +3 *2449:gpio_defaults[4] *2449:gpio_defaults[5] 0 +4 *2449:gpio_defaults[3] *2449:gpio_defaults[4] 0 +*RES +1 *2442:gpio_defaults[4] *2449:gpio_defaults[4] 0.830504 +*END + +*D_NET *124 5.84207e-05 +*CONN +*I *2449:gpio_defaults[5] I *D gpio_control_block +*I *2442:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[5] 2.92104e-05 +2 *2442:gpio_defaults[5] 2.92104e-05 +3 *2449:gpio_defaults[5] *2449:gpio_defaults[6] 0 +4 *2449:gpio_defaults[4] *2449:gpio_defaults[5] 0 +*RES +1 *2442:gpio_defaults[5] *2449:gpio_defaults[5] 0.830504 +*END + +*D_NET *125 5.84207e-05 +*CONN +*I *2444:gpio_defaults[10] I *D gpio_control_block +*I *2417:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[10] 2.92104e-05 +2 *2417:gpio_defaults[10] 2.92104e-05 +3 *2444:gpio_defaults[10] *2444:gpio_defaults[11] 0 +4 *2444:gpio_defaults[10] *2444:gpio_defaults[9] 0 +*RES +1 *2417:gpio_defaults[10] *2444:gpio_defaults[10] 0.830504 +*END + +*D_NET *126 5.84207e-05 +*CONN +*I *2449:gpio_defaults[6] I *D gpio_control_block +*I *2442:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[6] 2.92104e-05 +2 *2442:gpio_defaults[6] 2.92104e-05 +3 *2449:gpio_defaults[6] *2449:gpio_defaults[7] 0 +4 *2449:gpio_defaults[5] *2449:gpio_defaults[6] 0 +*RES +1 *2442:gpio_defaults[6] *2449:gpio_defaults[6] 0.830504 +*END + +*D_NET *127 5.84207e-05 +*CONN +*I *2449:gpio_defaults[7] I *D gpio_control_block +*I *2442:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[7] 2.92104e-05 +2 *2442:gpio_defaults[7] 2.92104e-05 +3 *2449:gpio_defaults[7] *2449:gpio_defaults[8] 0 +4 *2449:gpio_defaults[6] *2449:gpio_defaults[7] 0 +*RES +1 *2442:gpio_defaults[7] *2449:gpio_defaults[7] 0.830504 +*END + +*D_NET *128 5.84207e-05 +*CONN +*I *2449:gpio_defaults[8] I *D gpio_control_block +*I *2442:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[8] 2.92104e-05 +2 *2442:gpio_defaults[8] 2.92104e-05 +3 *2449:gpio_defaults[8] *2449:gpio_defaults[9] 0 +4 *2449:gpio_defaults[7] *2449:gpio_defaults[8] 0 +*RES +1 *2442:gpio_defaults[8] *2449:gpio_defaults[8] 0.830504 +*END + +*D_NET *129 5.84207e-05 +*CONN +*I *2449:gpio_defaults[9] I *D gpio_control_block +*I *2442:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[9] 2.92104e-05 +2 *2442:gpio_defaults[9] 2.92104e-05 +3 *2449:gpio_defaults[9] *2449:gpio_defaults[10] 0 +4 *2449:gpio_defaults[8] *2449:gpio_defaults[9] 0 +*RES +1 *2442:gpio_defaults[9] *2449:gpio_defaults[9] 0.830504 +*END + +*D_NET *130 5.84207e-05 +*CONN +*I *2449:gpio_defaults[10] I *D gpio_control_block +*I *2442:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[10] 2.92104e-05 +2 *2442:gpio_defaults[10] 2.92104e-05 +3 *2449:gpio_defaults[10] *2449:gpio_defaults[11] 0 +4 *2449:gpio_defaults[9] *2449:gpio_defaults[10] 0 +*RES +1 *2442:gpio_defaults[10] *2449:gpio_defaults[10] 0.830504 +*END + +*D_NET *131 5.84207e-05 +*CONN +*I *2449:gpio_defaults[11] I *D gpio_control_block +*I *2442:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[11] 2.92104e-05 +2 *2442:gpio_defaults[11] 2.92104e-05 +3 *2449:gpio_defaults[11] *2449:gpio_defaults[12] 0 +4 *2449:gpio_defaults[10] *2449:gpio_defaults[11] 0 +*RES +1 *2442:gpio_defaults[11] *2449:gpio_defaults[11] 0.830504 +*END + +*D_NET *132 5.84207e-05 +*CONN +*I *2449:gpio_defaults[12] I *D gpio_control_block +*I *2442:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[12] 2.92104e-05 +2 *2442:gpio_defaults[12] 2.92104e-05 +3 *2449:gpio_defaults[11] *2449:gpio_defaults[12] 0 +*RES +1 *2442:gpio_defaults[12] *2449:gpio_defaults[12] 0.830504 +*END + +*D_NET *133 5.84207e-05 +*CONN +*I *2450:gpio_defaults[0] I *D gpio_control_block +*I *2443:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[0] 2.92104e-05 +2 *2443:gpio_defaults[0] 2.92104e-05 +3 *2450:gpio_defaults[0] *2450:gpio_defaults[1] 0 +*RES +1 *2443:gpio_defaults[0] *2450:gpio_defaults[0] 0.830504 +*END + +*D_NET *134 5.84207e-05 +*CONN +*I *2450:gpio_defaults[1] I *D gpio_control_block +*I *2443:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[1] 2.92104e-05 +2 *2443:gpio_defaults[1] 2.92104e-05 +3 *2450:gpio_defaults[1] *2450:gpio_defaults[2] 0 +4 *2450:gpio_defaults[0] *2450:gpio_defaults[1] 0 +*RES +1 *2443:gpio_defaults[1] *2450:gpio_defaults[1] 0.830504 +*END + +*D_NET *135 5.84207e-05 +*CONN +*I *2450:gpio_defaults[2] I *D gpio_control_block +*I *2443:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[2] 2.92104e-05 +2 *2443:gpio_defaults[2] 2.92104e-05 +3 *2450:gpio_defaults[2] *2450:gpio_defaults[3] 0 +4 *2450:gpio_defaults[1] *2450:gpio_defaults[2] 0 +*RES +1 *2443:gpio_defaults[2] *2450:gpio_defaults[2] 0.830504 +*END + +*D_NET *136 5.84207e-05 +*CONN +*I *2444:gpio_defaults[11] I *D gpio_control_block +*I *2417:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[11] 2.92104e-05 +2 *2417:gpio_defaults[11] 2.92104e-05 +3 *2444:gpio_defaults[11] *2444:gpio_defaults[12] 0 +4 *2444:gpio_defaults[10] *2444:gpio_defaults[11] 0 +*RES +1 *2417:gpio_defaults[11] *2444:gpio_defaults[11] 0.830504 +*END + +*D_NET *137 5.84207e-05 +*CONN +*I *2450:gpio_defaults[3] I *D gpio_control_block +*I *2443:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[3] 2.92104e-05 +2 *2443:gpio_defaults[3] 2.92104e-05 +3 *2450:gpio_defaults[3] *2450:gpio_defaults[4] 0 +4 *2450:gpio_defaults[2] *2450:gpio_defaults[3] 0 +*RES +1 *2443:gpio_defaults[3] *2450:gpio_defaults[3] 0.830504 +*END + +*D_NET *138 5.84207e-05 +*CONN +*I *2450:gpio_defaults[4] I *D gpio_control_block +*I *2443:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[4] 2.92104e-05 +2 *2443:gpio_defaults[4] 2.92104e-05 +3 *2450:gpio_defaults[4] *2450:gpio_defaults[5] 0 +4 *2450:gpio_defaults[3] *2450:gpio_defaults[4] 0 +*RES +1 *2443:gpio_defaults[4] *2450:gpio_defaults[4] 0.830504 +*END + +*D_NET *139 5.84207e-05 +*CONN +*I *2450:gpio_defaults[5] I *D gpio_control_block +*I *2443:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[5] 2.92104e-05 +2 *2443:gpio_defaults[5] 2.92104e-05 +3 *2450:gpio_defaults[5] *2450:gpio_defaults[6] 0 +4 *2450:gpio_defaults[4] *2450:gpio_defaults[5] 0 +*RES +1 *2443:gpio_defaults[5] *2450:gpio_defaults[5] 0.830504 +*END + +*D_NET *140 5.84207e-05 +*CONN +*I *2450:gpio_defaults[6] I *D gpio_control_block +*I *2443:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[6] 2.92104e-05 +2 *2443:gpio_defaults[6] 2.92104e-05 +3 *2450:gpio_defaults[6] *2450:gpio_defaults[7] 0 +4 *2450:gpio_defaults[5] *2450:gpio_defaults[6] 0 +*RES +1 *2443:gpio_defaults[6] *2450:gpio_defaults[6] 0.830504 +*END + +*D_NET *141 5.84207e-05 +*CONN +*I *2450:gpio_defaults[7] I *D gpio_control_block +*I *2443:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[7] 2.92104e-05 +2 *2443:gpio_defaults[7] 2.92104e-05 +3 *2450:gpio_defaults[7] *2450:gpio_defaults[8] 0 +4 *2450:gpio_defaults[6] *2450:gpio_defaults[7] 0 +*RES +1 *2443:gpio_defaults[7] *2450:gpio_defaults[7] 0.830504 +*END + +*D_NET *142 5.84207e-05 +*CONN +*I *2450:gpio_defaults[8] I *D gpio_control_block +*I *2443:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[8] 2.92104e-05 +2 *2443:gpio_defaults[8] 2.92104e-05 +3 *2450:gpio_defaults[8] *2450:gpio_defaults[9] 0 +4 *2450:gpio_defaults[7] *2450:gpio_defaults[8] 0 +*RES +1 *2443:gpio_defaults[8] *2450:gpio_defaults[8] 0.830504 +*END + +*D_NET *143 5.84207e-05 +*CONN +*I *2450:gpio_defaults[9] I *D gpio_control_block +*I *2443:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[9] 2.92104e-05 +2 *2443:gpio_defaults[9] 2.92104e-05 +3 *2450:gpio_defaults[9] *2450:gpio_defaults[10] 0 +4 *2450:gpio_defaults[8] *2450:gpio_defaults[9] 0 +*RES +1 *2443:gpio_defaults[9] *2450:gpio_defaults[9] 0.830504 +*END + +*D_NET *144 5.84207e-05 +*CONN +*I *2450:gpio_defaults[10] I *D gpio_control_block +*I *2443:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[10] 2.92104e-05 +2 *2443:gpio_defaults[10] 2.92104e-05 +3 *2450:gpio_defaults[10] *2450:gpio_defaults[11] 0 +4 *2450:gpio_defaults[9] *2450:gpio_defaults[10] 0 +*RES +1 *2443:gpio_defaults[10] *2450:gpio_defaults[10] 0.830504 +*END + +*D_NET *145 5.84207e-05 +*CONN +*I *2450:gpio_defaults[11] I *D gpio_control_block +*I *2443:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[11] 2.92104e-05 +2 *2443:gpio_defaults[11] 2.92104e-05 +3 *2450:gpio_defaults[11] *2450:gpio_defaults[12] 0 +4 *2450:gpio_defaults[10] *2450:gpio_defaults[11] 0 +*RES +1 *2443:gpio_defaults[11] *2450:gpio_defaults[11] 0.830504 +*END + +*D_NET *146 5.84207e-05 +*CONN +*I *2450:gpio_defaults[12] I *D gpio_control_block +*I *2443:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[12] 2.92104e-05 +2 *2443:gpio_defaults[12] 2.92104e-05 +3 *2450:gpio_defaults[11] *2450:gpio_defaults[12] 0 +*RES +1 *2443:gpio_defaults[12] *2450:gpio_defaults[12] 0.830504 +*END + +*D_NET *147 5.84207e-05 +*CONN +*I *2444:gpio_defaults[12] I *D gpio_control_block +*I *2417:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[12] 2.92104e-05 +2 *2417:gpio_defaults[12] 2.92104e-05 +3 *2444:gpio_defaults[11] *2444:gpio_defaults[12] 0 +*RES +1 *2417:gpio_defaults[12] *2444:gpio_defaults[12] 0.830504 +*END + +*D_NET *148 5.84207e-05 +*CONN +*I *2451:gpio_defaults[0] I *D gpio_control_block +*I *2419:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[0] 2.92104e-05 +2 *2419:gpio_defaults[0] 2.92104e-05 +3 *2451:gpio_defaults[0] *2451:gpio_defaults[1] 0 +*RES +1 *2419:gpio_defaults[0] *2451:gpio_defaults[0] 0.830504 +*END + +*D_NET *149 5.84207e-05 +*CONN +*I *2451:gpio_defaults[1] I *D gpio_control_block +*I *2419:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[1] 2.92104e-05 +2 *2419:gpio_defaults[1] 2.92104e-05 +3 *2451:gpio_defaults[1] *2451:gpio_defaults[2] 0 +4 *2451:gpio_defaults[0] *2451:gpio_defaults[1] 0 +*RES +1 *2419:gpio_defaults[1] *2451:gpio_defaults[1] 0.830504 +*END + +*D_NET *150 5.84207e-05 +*CONN +*I *2451:gpio_defaults[2] I *D gpio_control_block +*I *2419:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[2] 2.92104e-05 +2 *2419:gpio_defaults[2] 2.92104e-05 +3 *2451:gpio_defaults[2] *2451:gpio_defaults[3] 0 +4 *2451:gpio_defaults[1] *2451:gpio_defaults[2] 0 +*RES +1 *2419:gpio_defaults[2] *2451:gpio_defaults[2] 0.830504 +*END + +*D_NET *151 5.84207e-05 +*CONN +*I *2451:gpio_defaults[3] I *D gpio_control_block +*I *2419:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[3] 2.92104e-05 +2 *2419:gpio_defaults[3] 2.92104e-05 +3 *2451:gpio_defaults[3] *2451:gpio_defaults[4] 0 +4 *2451:gpio_defaults[2] *2451:gpio_defaults[3] 0 +*RES +1 *2419:gpio_defaults[3] *2451:gpio_defaults[3] 0.830504 +*END + +*D_NET *152 5.84207e-05 +*CONN +*I *2451:gpio_defaults[4] I *D gpio_control_block +*I *2419:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[4] 2.92104e-05 +2 *2419:gpio_defaults[4] 2.92104e-05 +3 *2451:gpio_defaults[4] *2451:gpio_defaults[5] 0 +4 *2451:gpio_defaults[3] *2451:gpio_defaults[4] 0 +*RES +1 *2419:gpio_defaults[4] *2451:gpio_defaults[4] 0.830504 +*END + +*D_NET *153 5.84207e-05 +*CONN +*I *2451:gpio_defaults[5] I *D gpio_control_block +*I *2419:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[5] 2.92104e-05 +2 *2419:gpio_defaults[5] 2.92104e-05 +3 *2451:gpio_defaults[5] *2451:gpio_defaults[6] 0 +4 *2451:gpio_defaults[4] *2451:gpio_defaults[5] 0 +*RES +1 *2419:gpio_defaults[5] *2451:gpio_defaults[5] 0.830504 +*END + +*D_NET *154 5.84207e-05 +*CONN +*I *2451:gpio_defaults[6] I *D gpio_control_block +*I *2419:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[6] 2.92104e-05 +2 *2419:gpio_defaults[6] 2.92104e-05 +3 *2451:gpio_defaults[6] *2451:gpio_defaults[7] 0 +4 *2451:gpio_defaults[5] *2451:gpio_defaults[6] 0 +*RES +1 *2419:gpio_defaults[6] *2451:gpio_defaults[6] 0.830504 +*END + +*D_NET *155 5.84207e-05 +*CONN +*I *2451:gpio_defaults[7] I *D gpio_control_block +*I *2419:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[7] 2.92104e-05 +2 *2419:gpio_defaults[7] 2.92104e-05 +3 *2451:gpio_defaults[7] *2451:gpio_defaults[8] 0 +4 *2451:gpio_defaults[6] *2451:gpio_defaults[7] 0 +*RES +1 *2419:gpio_defaults[7] *2451:gpio_defaults[7] 0.830504 +*END + +*D_NET *156 5.84207e-05 +*CONN +*I *2451:gpio_defaults[8] I *D gpio_control_block +*I *2419:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[8] 2.92104e-05 +2 *2419:gpio_defaults[8] 2.92104e-05 +3 *2451:gpio_defaults[8] *2451:gpio_defaults[9] 0 +4 *2451:gpio_defaults[7] *2451:gpio_defaults[8] 0 +*RES +1 *2419:gpio_defaults[8] *2451:gpio_defaults[8] 0.830504 +*END + +*D_NET *157 5.84207e-05 +*CONN +*I *2451:gpio_defaults[9] I *D gpio_control_block +*I *2419:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[9] 2.92104e-05 +2 *2419:gpio_defaults[9] 2.92104e-05 +3 *2451:gpio_defaults[9] *2451:gpio_defaults[10] 0 +4 *2451:gpio_defaults[8] *2451:gpio_defaults[9] 0 +*RES +1 *2419:gpio_defaults[9] *2451:gpio_defaults[9] 0.830504 +*END + +*D_NET *158 5.84207e-05 +*CONN +*I *2445:gpio_defaults[0] I *D gpio_control_block +*I *2418:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[0] 2.92104e-05 +2 *2418:gpio_defaults[0] 2.92104e-05 +3 *2445:gpio_defaults[0] *2445:gpio_defaults[1] 0 +*RES +1 *2418:gpio_defaults[0] *2445:gpio_defaults[0] 0.830504 +*END + +*D_NET *159 5.84207e-05 +*CONN +*I *2451:gpio_defaults[10] I *D gpio_control_block +*I *2419:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[10] 2.92104e-05 +2 *2419:gpio_defaults[10] 2.92104e-05 +3 *2451:gpio_defaults[10] *2451:gpio_defaults[11] 0 +4 *2451:gpio_defaults[9] *2451:gpio_defaults[10] 0 +*RES +1 *2419:gpio_defaults[10] *2451:gpio_defaults[10] 0.830504 +*END + +*D_NET *160 5.84207e-05 +*CONN +*I *2451:gpio_defaults[11] I *D gpio_control_block +*I *2419:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[11] 2.92104e-05 +2 *2419:gpio_defaults[11] 2.92104e-05 +3 *2451:gpio_defaults[11] *2451:gpio_defaults[12] 0 +4 *2451:gpio_defaults[10] *2451:gpio_defaults[11] 0 +*RES +1 *2419:gpio_defaults[11] *2451:gpio_defaults[11] 0.830504 +*END + +*D_NET *161 5.84207e-05 +*CONN +*I *2451:gpio_defaults[12] I *D gpio_control_block +*I *2419:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[12] 2.92104e-05 +2 *2419:gpio_defaults[12] 2.92104e-05 +3 *2451:gpio_defaults[11] *2451:gpio_defaults[12] 0 +*RES +1 *2419:gpio_defaults[12] *2451:gpio_defaults[12] 0.830504 +*END + +*D_NET *162 5.84207e-05 +*CONN +*I *2452:gpio_defaults[0] I *D gpio_control_block +*I *2420:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[0] 2.92104e-05 +2 *2420:gpio_defaults[0] 2.92104e-05 +3 *2452:gpio_defaults[0] *2452:gpio_defaults[1] 0 +*RES +1 *2420:gpio_defaults[0] *2452:gpio_defaults[0] 0.830504 +*END + +*D_NET *163 5.84207e-05 +*CONN +*I *2452:gpio_defaults[1] I *D gpio_control_block +*I *2420:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[1] 2.92104e-05 +2 *2420:gpio_defaults[1] 2.92104e-05 +3 *2452:gpio_defaults[1] *2452:gpio_defaults[2] 0 +4 *2452:gpio_defaults[0] *2452:gpio_defaults[1] 0 +*RES +1 *2420:gpio_defaults[1] *2452:gpio_defaults[1] 0.830504 +*END + +*D_NET *164 5.84207e-05 +*CONN +*I *2452:gpio_defaults[2] I *D gpio_control_block +*I *2420:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[2] 2.92104e-05 +2 *2420:gpio_defaults[2] 2.92104e-05 +3 *2452:gpio_defaults[2] *2452:gpio_defaults[3] 0 +4 *2452:gpio_defaults[1] *2452:gpio_defaults[2] 0 +*RES +1 *2420:gpio_defaults[2] *2452:gpio_defaults[2] 0.830504 +*END + +*D_NET *165 5.84207e-05 +*CONN +*I *2452:gpio_defaults[3] I *D gpio_control_block +*I *2420:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[3] 2.92104e-05 +2 *2420:gpio_defaults[3] 2.92104e-05 +3 *2452:gpio_defaults[3] *2452:gpio_defaults[4] 0 +4 *2452:gpio_defaults[2] *2452:gpio_defaults[3] 0 +*RES +1 *2420:gpio_defaults[3] *2452:gpio_defaults[3] 0.830504 +*END + +*D_NET *166 5.84207e-05 +*CONN +*I *2452:gpio_defaults[4] I *D gpio_control_block +*I *2420:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[4] 2.92104e-05 +2 *2420:gpio_defaults[4] 2.92104e-05 +3 *2452:gpio_defaults[4] *2452:gpio_defaults[5] 0 +4 *2452:gpio_defaults[3] *2452:gpio_defaults[4] 0 +*RES +1 *2420:gpio_defaults[4] *2452:gpio_defaults[4] 0.830504 +*END + +*D_NET *167 5.84207e-05 +*CONN +*I *2452:gpio_defaults[5] I *D gpio_control_block +*I *2420:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[5] 2.92104e-05 +2 *2420:gpio_defaults[5] 2.92104e-05 +3 *2452:gpio_defaults[5] *2452:gpio_defaults[6] 0 +4 *2452:gpio_defaults[4] *2452:gpio_defaults[5] 0 +*RES +1 *2420:gpio_defaults[5] *2452:gpio_defaults[5] 0.830504 +*END + +*D_NET *168 5.84207e-05 +*CONN +*I *2452:gpio_defaults[6] I *D gpio_control_block +*I *2420:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[6] 2.92104e-05 +2 *2420:gpio_defaults[6] 2.92104e-05 +3 *2452:gpio_defaults[6] *2452:gpio_defaults[7] 0 +4 *2452:gpio_defaults[5] *2452:gpio_defaults[6] 0 +*RES +1 *2420:gpio_defaults[6] *2452:gpio_defaults[6] 0.830504 +*END + +*D_NET *169 5.84207e-05 +*CONN +*I *2445:gpio_defaults[1] I *D gpio_control_block +*I *2418:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[1] 2.92104e-05 +2 *2418:gpio_defaults[1] 2.92104e-05 +3 *2445:gpio_defaults[1] *2445:gpio_defaults[2] 0 +4 *2445:gpio_defaults[0] *2445:gpio_defaults[1] 0 +*RES +1 *2418:gpio_defaults[1] *2445:gpio_defaults[1] 0.830504 +*END + +*D_NET *170 5.84207e-05 +*CONN +*I *2452:gpio_defaults[7] I *D gpio_control_block +*I *2420:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[7] 2.92104e-05 +2 *2420:gpio_defaults[7] 2.92104e-05 +3 *2452:gpio_defaults[7] *2452:gpio_defaults[8] 0 +4 *2452:gpio_defaults[6] *2452:gpio_defaults[7] 0 +*RES +1 *2420:gpio_defaults[7] *2452:gpio_defaults[7] 0.830504 +*END + +*D_NET *171 5.84207e-05 +*CONN +*I *2452:gpio_defaults[8] I *D gpio_control_block +*I *2420:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[8] 2.92104e-05 +2 *2420:gpio_defaults[8] 2.92104e-05 +3 *2452:gpio_defaults[8] *2452:gpio_defaults[9] 0 +4 *2452:gpio_defaults[7] *2452:gpio_defaults[8] 0 +*RES +1 *2420:gpio_defaults[8] *2452:gpio_defaults[8] 0.830504 +*END + +*D_NET *172 5.84207e-05 +*CONN +*I *2452:gpio_defaults[9] I *D gpio_control_block +*I *2420:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[9] 2.92104e-05 +2 *2420:gpio_defaults[9] 2.92104e-05 +3 *2452:gpio_defaults[9] *2452:gpio_defaults[10] 0 +4 *2452:gpio_defaults[8] *2452:gpio_defaults[9] 0 +*RES +1 *2420:gpio_defaults[9] *2452:gpio_defaults[9] 0.830504 +*END + +*D_NET *173 5.84207e-05 +*CONN +*I *2452:gpio_defaults[10] I *D gpio_control_block +*I *2420:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[10] 2.92104e-05 +2 *2420:gpio_defaults[10] 2.92104e-05 +3 *2452:gpio_defaults[10] *2452:gpio_defaults[11] 0 +4 *2452:gpio_defaults[9] *2452:gpio_defaults[10] 0 +*RES +1 *2420:gpio_defaults[10] *2452:gpio_defaults[10] 0.830504 +*END + +*D_NET *174 5.84207e-05 +*CONN +*I *2452:gpio_defaults[11] I *D gpio_control_block +*I *2420:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[11] 2.92104e-05 +2 *2420:gpio_defaults[11] 2.92104e-05 +3 *2452:gpio_defaults[11] *2452:gpio_defaults[12] 0 +4 *2452:gpio_defaults[10] *2452:gpio_defaults[11] 0 +*RES +1 *2420:gpio_defaults[11] *2452:gpio_defaults[11] 0.830504 +*END + +*D_NET *175 5.84207e-05 +*CONN +*I *2452:gpio_defaults[12] I *D gpio_control_block +*I *2420:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[12] 2.92104e-05 +2 *2420:gpio_defaults[12] 2.92104e-05 +3 *2452:gpio_defaults[11] *2452:gpio_defaults[12] 0 +*RES +1 *2420:gpio_defaults[12] *2452:gpio_defaults[12] 0.830504 +*END + +*D_NET *176 5.84207e-05 +*CONN +*I *2453:gpio_defaults[0] I *D gpio_control_block +*I *2421:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[0] 2.92104e-05 +2 *2421:gpio_defaults[0] 2.92104e-05 +3 *2453:gpio_defaults[0] *2453:gpio_defaults[1] 0 +*RES +1 *2421:gpio_defaults[0] *2453:gpio_defaults[0] 0.830504 +*END + +*D_NET *177 5.84207e-05 +*CONN +*I *2453:gpio_defaults[1] I *D gpio_control_block +*I *2421:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[1] 2.92104e-05 +2 *2421:gpio_defaults[1] 2.92104e-05 +3 *2453:gpio_defaults[1] *2453:gpio_defaults[2] 0 +4 *2453:gpio_defaults[0] *2453:gpio_defaults[1] 0 +*RES +1 *2421:gpio_defaults[1] *2453:gpio_defaults[1] 0.830504 +*END + +*D_NET *178 5.84207e-05 +*CONN +*I *2453:gpio_defaults[2] I *D gpio_control_block +*I *2421:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[2] 2.92104e-05 +2 *2421:gpio_defaults[2] 2.92104e-05 +3 *2453:gpio_defaults[2] *2453:gpio_defaults[3] 0 +4 *2453:gpio_defaults[1] *2453:gpio_defaults[2] 0 +*RES +1 *2421:gpio_defaults[2] *2453:gpio_defaults[2] 0.830504 +*END + +*D_NET *179 5.84207e-05 +*CONN +*I *2453:gpio_defaults[3] I *D gpio_control_block +*I *2421:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[3] 2.92104e-05 +2 *2421:gpio_defaults[3] 2.92104e-05 +3 *2453:gpio_defaults[3] *2453:gpio_defaults[4] 0 +4 *2453:gpio_defaults[2] *2453:gpio_defaults[3] 0 +*RES +1 *2421:gpio_defaults[3] *2453:gpio_defaults[3] 0.830504 +*END + +*D_NET *180 5.84207e-05 +*CONN +*I *2445:gpio_defaults[2] I *D gpio_control_block +*I *2418:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[2] 2.92104e-05 +2 *2418:gpio_defaults[2] 2.92104e-05 +3 *2445:gpio_defaults[2] *2445:gpio_defaults[3] 0 +4 *2445:gpio_defaults[1] *2445:gpio_defaults[2] 0 +*RES +1 *2418:gpio_defaults[2] *2445:gpio_defaults[2] 0.830504 +*END + +*D_NET *181 5.84207e-05 +*CONN +*I *2453:gpio_defaults[4] I *D gpio_control_block +*I *2421:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[4] 2.92104e-05 +2 *2421:gpio_defaults[4] 2.92104e-05 +3 *2453:gpio_defaults[4] *2453:gpio_defaults[5] 0 +4 *2453:gpio_defaults[3] *2453:gpio_defaults[4] 0 +*RES +1 *2421:gpio_defaults[4] *2453:gpio_defaults[4] 0.830504 +*END + +*D_NET *182 5.84207e-05 +*CONN +*I *2453:gpio_defaults[5] I *D gpio_control_block +*I *2421:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[5] 2.92104e-05 +2 *2421:gpio_defaults[5] 2.92104e-05 +3 *2453:gpio_defaults[5] *2453:gpio_defaults[6] 0 +4 *2453:gpio_defaults[4] *2453:gpio_defaults[5] 0 +*RES +1 *2421:gpio_defaults[5] *2453:gpio_defaults[5] 0.830504 +*END + +*D_NET *183 5.84207e-05 +*CONN +*I *2453:gpio_defaults[6] I *D gpio_control_block +*I *2421:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[6] 2.92104e-05 +2 *2421:gpio_defaults[6] 2.92104e-05 +3 *2453:gpio_defaults[6] *2453:gpio_defaults[7] 0 +4 *2453:gpio_defaults[5] *2453:gpio_defaults[6] 0 +*RES +1 *2421:gpio_defaults[6] *2453:gpio_defaults[6] 0.830504 +*END + +*D_NET *184 5.84207e-05 +*CONN +*I *2453:gpio_defaults[7] I *D gpio_control_block +*I *2421:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[7] 2.92104e-05 +2 *2421:gpio_defaults[7] 2.92104e-05 +3 *2453:gpio_defaults[7] *2453:gpio_defaults[8] 0 +4 *2453:gpio_defaults[6] *2453:gpio_defaults[7] 0 +*RES +1 *2421:gpio_defaults[7] *2453:gpio_defaults[7] 0.830504 +*END + +*D_NET *185 5.84207e-05 +*CONN +*I *2453:gpio_defaults[8] I *D gpio_control_block +*I *2421:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[8] 2.92104e-05 +2 *2421:gpio_defaults[8] 2.92104e-05 +3 *2453:gpio_defaults[8] *2453:gpio_defaults[9] 0 +4 *2453:gpio_defaults[7] *2453:gpio_defaults[8] 0 +*RES +1 *2421:gpio_defaults[8] *2453:gpio_defaults[8] 0.830504 +*END + +*D_NET *186 5.84207e-05 +*CONN +*I *2453:gpio_defaults[9] I *D gpio_control_block +*I *2421:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[9] 2.92104e-05 +2 *2421:gpio_defaults[9] 2.92104e-05 +3 *2453:gpio_defaults[9] *2453:gpio_defaults[10] 0 +4 *2453:gpio_defaults[8] *2453:gpio_defaults[9] 0 +*RES +1 *2421:gpio_defaults[9] *2453:gpio_defaults[9] 0.830504 +*END + +*D_NET *187 5.84207e-05 +*CONN +*I *2453:gpio_defaults[10] I *D gpio_control_block +*I *2421:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[10] 2.92104e-05 +2 *2421:gpio_defaults[10] 2.92104e-05 +3 *2453:gpio_defaults[10] *2453:gpio_defaults[11] 0 +4 *2453:gpio_defaults[9] *2453:gpio_defaults[10] 0 +*RES +1 *2421:gpio_defaults[10] *2453:gpio_defaults[10] 0.830504 +*END + +*D_NET *188 5.84207e-05 +*CONN +*I *2453:gpio_defaults[11] I *D gpio_control_block +*I *2421:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[11] 2.92104e-05 +2 *2421:gpio_defaults[11] 2.92104e-05 +3 *2453:gpio_defaults[11] *2453:gpio_defaults[12] 0 +4 *2453:gpio_defaults[10] *2453:gpio_defaults[11] 0 +*RES +1 *2421:gpio_defaults[11] *2453:gpio_defaults[11] 0.830504 +*END + +*D_NET *189 5.84207e-05 +*CONN +*I *2453:gpio_defaults[12] I *D gpio_control_block +*I *2421:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[12] 2.92104e-05 +2 *2421:gpio_defaults[12] 2.92104e-05 +3 *2453:gpio_defaults[11] *2453:gpio_defaults[12] 0 +*RES +1 *2421:gpio_defaults[12] *2453:gpio_defaults[12] 0.830504 +*END + +*D_NET *190 5.84207e-05 +*CONN +*I *2454:gpio_defaults[0] I *D gpio_control_block +*I *2422:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[0] 2.92104e-05 +2 *2422:gpio_defaults[0] 2.92104e-05 +3 *2454:gpio_defaults[0] *2454:gpio_defaults[1] 0 +*RES +1 *2422:gpio_defaults[0] *2454:gpio_defaults[0] 0.830504 +*END + +*D_NET *191 5.84207e-05 +*CONN +*I *2445:gpio_defaults[3] I *D gpio_control_block +*I *2418:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[3] 2.92104e-05 +2 *2418:gpio_defaults[3] 2.92104e-05 +3 *2445:gpio_defaults[3] *2445:gpio_defaults[4] 0 +4 *2445:gpio_defaults[2] *2445:gpio_defaults[3] 0 +*RES +1 *2418:gpio_defaults[3] *2445:gpio_defaults[3] 0.830504 +*END + +*D_NET *192 5.84207e-05 +*CONN +*I *2454:gpio_defaults[1] I *D gpio_control_block +*I *2422:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[1] 2.92104e-05 +2 *2422:gpio_defaults[1] 2.92104e-05 +3 *2454:gpio_defaults[1] *2454:gpio_defaults[2] 0 +4 *2454:gpio_defaults[0] *2454:gpio_defaults[1] 0 +*RES +1 *2422:gpio_defaults[1] *2454:gpio_defaults[1] 0.830504 +*END + +*D_NET *193 5.84207e-05 +*CONN +*I *2454:gpio_defaults[2] I *D gpio_control_block +*I *2422:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[2] 2.92104e-05 +2 *2422:gpio_defaults[2] 2.92104e-05 +3 *2454:gpio_defaults[2] *2454:gpio_defaults[3] 0 +4 *2454:gpio_defaults[1] *2454:gpio_defaults[2] 0 +*RES +1 *2422:gpio_defaults[2] *2454:gpio_defaults[2] 0.830504 +*END + +*D_NET *194 5.84207e-05 +*CONN +*I *2454:gpio_defaults[3] I *D gpio_control_block +*I *2422:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[3] 2.92104e-05 +2 *2422:gpio_defaults[3] 2.92104e-05 +3 *2454:gpio_defaults[3] *2454:gpio_defaults[4] 0 +4 *2454:gpio_defaults[2] *2454:gpio_defaults[3] 0 +*RES +1 *2422:gpio_defaults[3] *2454:gpio_defaults[3] 0.830504 +*END + +*D_NET *195 5.84207e-05 +*CONN +*I *2454:gpio_defaults[4] I *D gpio_control_block +*I *2422:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[4] 2.92104e-05 +2 *2422:gpio_defaults[4] 2.92104e-05 +3 *2454:gpio_defaults[4] *2454:gpio_defaults[5] 0 +4 *2454:gpio_defaults[3] *2454:gpio_defaults[4] 0 +*RES +1 *2422:gpio_defaults[4] *2454:gpio_defaults[4] 0.830504 +*END + +*D_NET *196 5.84207e-05 +*CONN +*I *2454:gpio_defaults[5] I *D gpio_control_block +*I *2422:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[5] 2.92104e-05 +2 *2422:gpio_defaults[5] 2.92104e-05 +3 *2454:gpio_defaults[5] *2454:gpio_defaults[6] 0 +4 *2454:gpio_defaults[4] *2454:gpio_defaults[5] 0 +*RES +1 *2422:gpio_defaults[5] *2454:gpio_defaults[5] 0.830504 +*END + +*D_NET *197 5.84207e-05 +*CONN +*I *2454:gpio_defaults[6] I *D gpio_control_block +*I *2422:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[6] 2.92104e-05 +2 *2422:gpio_defaults[6] 2.92104e-05 +3 *2454:gpio_defaults[6] *2454:gpio_defaults[7] 0 +4 *2454:gpio_defaults[5] *2454:gpio_defaults[6] 0 +*RES +1 *2422:gpio_defaults[6] *2454:gpio_defaults[6] 0.830504 +*END + +*D_NET *198 5.84207e-05 +*CONN +*I *2454:gpio_defaults[7] I *D gpio_control_block +*I *2422:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[7] 2.92104e-05 +2 *2422:gpio_defaults[7] 2.92104e-05 +3 *2454:gpio_defaults[7] *2454:gpio_defaults[8] 0 +4 *2454:gpio_defaults[6] *2454:gpio_defaults[7] 0 +*RES +1 *2422:gpio_defaults[7] *2454:gpio_defaults[7] 0.830504 +*END + +*D_NET *199 5.84207e-05 +*CONN +*I *2454:gpio_defaults[8] I *D gpio_control_block +*I *2422:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[8] 2.92104e-05 +2 *2422:gpio_defaults[8] 2.92104e-05 +3 *2454:gpio_defaults[8] *2454:gpio_defaults[9] 0 +4 *2454:gpio_defaults[7] *2454:gpio_defaults[8] 0 +*RES +1 *2422:gpio_defaults[8] *2454:gpio_defaults[8] 0.830504 +*END + +*D_NET *200 5.84207e-05 +*CONN +*I *2454:gpio_defaults[9] I *D gpio_control_block +*I *2422:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[9] 2.92104e-05 +2 *2422:gpio_defaults[9] 2.92104e-05 +3 *2454:gpio_defaults[9] *2454:gpio_defaults[10] 0 +4 *2454:gpio_defaults[8] *2454:gpio_defaults[9] 0 +*RES +1 *2422:gpio_defaults[9] *2454:gpio_defaults[9] 0.830504 +*END + +*D_NET *201 5.84207e-05 +*CONN +*I *2454:gpio_defaults[10] I *D gpio_control_block +*I *2422:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[10] 2.92104e-05 +2 *2422:gpio_defaults[10] 2.92104e-05 +3 *2454:gpio_defaults[10] *2454:gpio_defaults[11] 0 +4 *2454:gpio_defaults[9] *2454:gpio_defaults[10] 0 +*RES +1 *2422:gpio_defaults[10] *2454:gpio_defaults[10] 0.830504 +*END + +*D_NET *202 5.84207e-05 +*CONN +*I *2445:gpio_defaults[4] I *D gpio_control_block +*I *2418:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[4] 2.92104e-05 +2 *2418:gpio_defaults[4] 2.92104e-05 +3 *2445:gpio_defaults[4] *2445:gpio_defaults[5] 0 +4 *2445:gpio_defaults[3] *2445:gpio_defaults[4] 0 +*RES +1 *2418:gpio_defaults[4] *2445:gpio_defaults[4] 0.830504 +*END + +*D_NET *203 5.84207e-05 +*CONN +*I *2454:gpio_defaults[11] I *D gpio_control_block +*I *2422:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[11] 2.92104e-05 +2 *2422:gpio_defaults[11] 2.92104e-05 +3 *2454:gpio_defaults[11] *2454:gpio_defaults[12] 0 +4 *2454:gpio_defaults[10] *2454:gpio_defaults[11] 0 +*RES +1 *2422:gpio_defaults[11] *2454:gpio_defaults[11] 0.830504 +*END + +*D_NET *204 5.84207e-05 +*CONN +*I *2454:gpio_defaults[12] I *D gpio_control_block +*I *2422:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[12] 2.92104e-05 +2 *2422:gpio_defaults[12] 2.92104e-05 +3 *2454:gpio_defaults[11] *2454:gpio_defaults[12] 0 +*RES +1 *2422:gpio_defaults[12] *2454:gpio_defaults[12] 0.830504 +*END + +*D_NET *205 6.74231e-05 +*CONN +*I *2461:gpio_defaults[0] I *D gpio_control_block +*I *2423:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[0] 3.37115e-05 +2 *2423:gpio_defaults[0] 3.37115e-05 +3 *2461:gpio_defaults[0] *2461:gpio_defaults[1] 0 +*RES +1 *2423:gpio_defaults[0] *2461:gpio_defaults[0] 0.830504 +*END + +*D_NET *206 6.74231e-05 +*CONN +*I *2461:gpio_defaults[1] I *D gpio_control_block +*I *2423:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[1] 3.37115e-05 +2 *2423:gpio_defaults[1] 3.37115e-05 +3 *2461:gpio_defaults[1] *2461:gpio_defaults[2] 0 +4 *2461:gpio_defaults[0] *2461:gpio_defaults[1] 0 +*RES +1 *2423:gpio_defaults[1] *2461:gpio_defaults[1] 0.830504 +*END + +*D_NET *207 6.74231e-05 +*CONN +*I *2461:gpio_defaults[2] I *D gpio_control_block +*I *2423:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[2] 3.37115e-05 +2 *2423:gpio_defaults[2] 3.37115e-05 +3 *2461:gpio_defaults[2] *2461:gpio_defaults[3] 0 +4 *2461:gpio_defaults[1] *2461:gpio_defaults[2] 0 +*RES +1 *2423:gpio_defaults[2] *2461:gpio_defaults[2] 0.830504 +*END + +*D_NET *208 6.74231e-05 +*CONN +*I *2461:gpio_defaults[3] I *D gpio_control_block +*I *2423:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[3] 3.37115e-05 +2 *2423:gpio_defaults[3] 3.37115e-05 +3 *2461:gpio_defaults[3] *2461:gpio_defaults[4] 0 +4 *2461:gpio_defaults[2] *2461:gpio_defaults[3] 0 +*RES +1 *2423:gpio_defaults[3] *2461:gpio_defaults[3] 0.830504 +*END + +*D_NET *209 6.74231e-05 +*CONN +*I *2461:gpio_defaults[4] I *D gpio_control_block +*I *2423:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[4] 3.37115e-05 +2 *2423:gpio_defaults[4] 3.37115e-05 +3 *2461:gpio_defaults[4] *2461:gpio_defaults[5] 0 +4 *2461:gpio_defaults[3] *2461:gpio_defaults[4] 0 +*RES +1 *2423:gpio_defaults[4] *2461:gpio_defaults[4] 0.830504 +*END + +*D_NET *210 6.74231e-05 +*CONN +*I *2461:gpio_defaults[5] I *D gpio_control_block +*I *2423:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[5] 3.37115e-05 +2 *2423:gpio_defaults[5] 3.37115e-05 +3 *2461:gpio_defaults[5] *2461:gpio_defaults[6] 0 +4 *2461:gpio_defaults[4] *2461:gpio_defaults[5] 0 +*RES +1 *2423:gpio_defaults[5] *2461:gpio_defaults[5] 0.830504 +*END + +*D_NET *211 6.74231e-05 +*CONN +*I *2461:gpio_defaults[6] I *D gpio_control_block +*I *2423:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[6] 3.37115e-05 +2 *2423:gpio_defaults[6] 3.37115e-05 +3 *2461:gpio_defaults[6] *2461:gpio_defaults[7] 0 +4 *2461:gpio_defaults[5] *2461:gpio_defaults[6] 0 +*RES +1 *2423:gpio_defaults[6] *2461:gpio_defaults[6] 0.830504 +*END + +*D_NET *212 6.74231e-05 +*CONN +*I *2461:gpio_defaults[7] I *D gpio_control_block +*I *2423:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[7] 3.37115e-05 +2 *2423:gpio_defaults[7] 3.37115e-05 +3 *2461:gpio_defaults[7] *2461:gpio_defaults[8] 0 +4 *2461:gpio_defaults[6] *2461:gpio_defaults[7] 0 +*RES +1 *2423:gpio_defaults[7] *2461:gpio_defaults[7] 0.830504 +*END + +*D_NET *213 5.84207e-05 +*CONN +*I *2445:gpio_defaults[5] I *D gpio_control_block +*I *2418:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[5] 2.92104e-05 +2 *2418:gpio_defaults[5] 2.92104e-05 +3 *2445:gpio_defaults[5] *2445:gpio_defaults[6] 0 +4 *2445:gpio_defaults[4] *2445:gpio_defaults[5] 0 +*RES +1 *2418:gpio_defaults[5] *2445:gpio_defaults[5] 0.830504 +*END + +*D_NET *214 6.74231e-05 +*CONN +*I *2461:gpio_defaults[8] I *D gpio_control_block +*I *2423:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[8] 3.37115e-05 +2 *2423:gpio_defaults[8] 3.37115e-05 +3 *2461:gpio_defaults[8] *2461:gpio_defaults[9] 0 +4 *2461:gpio_defaults[7] *2461:gpio_defaults[8] 0 +*RES +1 *2423:gpio_defaults[8] *2461:gpio_defaults[8] 0.830504 +*END + +*D_NET *215 6.74231e-05 +*CONN +*I *2461:gpio_defaults[9] I *D gpio_control_block +*I *2423:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[9] 3.37115e-05 +2 *2423:gpio_defaults[9] 3.37115e-05 +3 *2461:gpio_defaults[9] *2461:gpio_defaults[10] 0 +4 *2461:gpio_defaults[8] *2461:gpio_defaults[9] 0 +*RES +1 *2423:gpio_defaults[9] *2461:gpio_defaults[9] 0.830504 +*END + +*D_NET *216 6.74231e-05 +*CONN +*I *2461:gpio_defaults[10] I *D gpio_control_block +*I *2423:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[10] 3.37115e-05 +2 *2423:gpio_defaults[10] 3.37115e-05 +3 *2461:gpio_defaults[10] *2461:gpio_defaults[11] 0 +4 *2461:gpio_defaults[9] *2461:gpio_defaults[10] 0 +*RES +1 *2423:gpio_defaults[10] *2461:gpio_defaults[10] 0.830504 +*END + +*D_NET *217 6.74231e-05 +*CONN +*I *2461:gpio_defaults[11] I *D gpio_control_block +*I *2423:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[11] 3.37115e-05 +2 *2423:gpio_defaults[11] 3.37115e-05 +3 *2461:gpio_defaults[11] *2461:gpio_defaults[12] 0 +4 *2461:gpio_defaults[10] *2461:gpio_defaults[11] 0 +*RES +1 *2423:gpio_defaults[11] *2461:gpio_defaults[11] 0.830504 +*END + +*D_NET *218 6.74231e-05 +*CONN +*I *2461:gpio_defaults[12] I *D gpio_control_block +*I *2423:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[12] 3.37115e-05 +2 *2423:gpio_defaults[12] 3.37115e-05 +3 *2461:gpio_defaults[11] *2461:gpio_defaults[12] 0 +*RES +1 *2423:gpio_defaults[12] *2461:gpio_defaults[12] 0.830504 +*END + +*D_NET *219 5.84207e-05 +*CONN +*I *2462:gpio_defaults[0] I *D gpio_control_block +*I *2427:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[0] 2.92104e-05 +2 *2427:gpio_defaults[0] 2.92104e-05 +3 *2462:gpio_defaults[0] *2462:gpio_defaults[1] 0 +*RES +1 *2427:gpio_defaults[0] *2462:gpio_defaults[0] 0.830504 +*END + +*D_NET *220 5.84207e-05 +*CONN +*I *2462:gpio_defaults[1] I *D gpio_control_block +*I *2427:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[1] 2.92104e-05 +2 *2427:gpio_defaults[1] 2.92104e-05 +3 *2462:gpio_defaults[1] *2462:gpio_defaults[2] 0 +4 *2462:gpio_defaults[0] *2462:gpio_defaults[1] 0 +*RES +1 *2427:gpio_defaults[1] *2462:gpio_defaults[1] 0.830504 +*END + +*D_NET *221 5.84207e-05 +*CONN +*I *2462:gpio_defaults[2] I *D gpio_control_block +*I *2427:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[2] 2.92104e-05 +2 *2427:gpio_defaults[2] 2.92104e-05 +3 *2462:gpio_defaults[2] *2462:gpio_defaults[3] 0 +4 *2462:gpio_defaults[1] *2462:gpio_defaults[2] 0 +*RES +1 *2427:gpio_defaults[2] *2462:gpio_defaults[2] 0.830504 +*END + +*D_NET *222 5.84207e-05 +*CONN +*I *2462:gpio_defaults[3] I *D gpio_control_block +*I *2427:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[3] 2.92104e-05 +2 *2427:gpio_defaults[3] 2.92104e-05 +3 *2462:gpio_defaults[3] *2462:gpio_defaults[4] 0 +4 *2462:gpio_defaults[2] *2462:gpio_defaults[3] 0 +*RES +1 *2427:gpio_defaults[3] *2462:gpio_defaults[3] 0.830504 +*END + +*D_NET *223 5.84207e-05 +*CONN +*I *2462:gpio_defaults[4] I *D gpio_control_block +*I *2427:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[4] 2.92104e-05 +2 *2427:gpio_defaults[4] 2.92104e-05 +3 *2462:gpio_defaults[4] *2462:gpio_defaults[5] 0 +4 *2462:gpio_defaults[3] *2462:gpio_defaults[4] 0 +*RES +1 *2427:gpio_defaults[4] *2462:gpio_defaults[4] 0.830504 +*END + +*D_NET *224 5.84207e-05 +*CONN +*I *2445:gpio_defaults[6] I *D gpio_control_block +*I *2418:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[6] 2.92104e-05 +2 *2418:gpio_defaults[6] 2.92104e-05 +3 *2445:gpio_defaults[6] *2445:gpio_defaults[7] 0 +4 *2445:gpio_defaults[5] *2445:gpio_defaults[6] 0 +*RES +1 *2418:gpio_defaults[6] *2445:gpio_defaults[6] 0.830504 +*END + +*D_NET *225 5.84207e-05 +*CONN +*I *2444:gpio_defaults[1] I *D gpio_control_block +*I *2417:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[1] 2.92104e-05 +2 *2417:gpio_defaults[1] 2.92104e-05 +3 *2444:gpio_defaults[1] *2444:gpio_defaults[2] 0 +4 *2444:gpio_defaults[0] *2444:gpio_defaults[1] 0 +*RES +1 *2417:gpio_defaults[1] *2444:gpio_defaults[1] 0.830504 +*END + +*D_NET *226 5.84207e-05 +*CONN +*I *2462:gpio_defaults[5] I *D gpio_control_block +*I *2427:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[5] 2.92104e-05 +2 *2427:gpio_defaults[5] 2.92104e-05 +3 *2462:gpio_defaults[5] *2462:gpio_defaults[6] 0 +4 *2462:gpio_defaults[4] *2462:gpio_defaults[5] 0 +*RES +1 *2427:gpio_defaults[5] *2462:gpio_defaults[5] 0.830504 +*END + +*D_NET *227 5.84207e-05 +*CONN +*I *2462:gpio_defaults[6] I *D gpio_control_block +*I *2427:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[6] 2.92104e-05 +2 *2427:gpio_defaults[6] 2.92104e-05 +3 *2462:gpio_defaults[6] *2462:gpio_defaults[7] 0 +4 *2462:gpio_defaults[5] *2462:gpio_defaults[6] 0 +*RES +1 *2427:gpio_defaults[6] *2462:gpio_defaults[6] 0.830504 +*END + +*D_NET *228 5.84207e-05 +*CONN +*I *2462:gpio_defaults[7] I *D gpio_control_block +*I *2427:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[7] 2.92104e-05 +2 *2427:gpio_defaults[7] 2.92104e-05 +3 *2462:gpio_defaults[7] *2462:gpio_defaults[8] 0 +4 *2462:gpio_defaults[6] *2462:gpio_defaults[7] 0 +*RES +1 *2427:gpio_defaults[7] *2462:gpio_defaults[7] 0.830504 +*END + +*D_NET *229 5.84207e-05 +*CONN +*I *2462:gpio_defaults[8] I *D gpio_control_block +*I *2427:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[8] 2.92104e-05 +2 *2427:gpio_defaults[8] 2.92104e-05 +3 *2462:gpio_defaults[8] *2462:gpio_defaults[9] 0 +4 *2462:gpio_defaults[7] *2462:gpio_defaults[8] 0 +*RES +1 *2427:gpio_defaults[8] *2462:gpio_defaults[8] 0.830504 +*END + +*D_NET *230 5.84207e-05 +*CONN +*I *2462:gpio_defaults[9] I *D gpio_control_block +*I *2427:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[9] 2.92104e-05 +2 *2427:gpio_defaults[9] 2.92104e-05 +3 *2462:gpio_defaults[9] *2462:gpio_defaults[10] 0 +4 *2462:gpio_defaults[8] *2462:gpio_defaults[9] 0 +*RES +1 *2427:gpio_defaults[9] *2462:gpio_defaults[9] 0.830504 +*END + +*D_NET *231 5.84207e-05 +*CONN +*I *2462:gpio_defaults[10] I *D gpio_control_block +*I *2427:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[10] 2.92104e-05 +2 *2427:gpio_defaults[10] 2.92104e-05 +3 *2462:gpio_defaults[10] *2462:gpio_defaults[11] 0 +4 *2462:gpio_defaults[9] *2462:gpio_defaults[10] 0 +*RES +1 *2427:gpio_defaults[10] *2462:gpio_defaults[10] 0.830504 +*END + +*D_NET *232 5.84207e-05 +*CONN +*I *2462:gpio_defaults[11] I *D gpio_control_block +*I *2427:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[11] 2.92104e-05 +2 *2427:gpio_defaults[11] 2.92104e-05 +3 *2462:gpio_defaults[11] *2462:gpio_defaults[12] 0 +4 *2462:gpio_defaults[10] *2462:gpio_defaults[11] 0 +*RES +1 *2427:gpio_defaults[11] *2462:gpio_defaults[11] 0.830504 +*END + +*D_NET *233 5.84207e-05 +*CONN +*I *2462:gpio_defaults[12] I *D gpio_control_block +*I *2427:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[12] 2.92104e-05 +2 *2427:gpio_defaults[12] 2.92104e-05 +3 *2462:gpio_defaults[11] *2462:gpio_defaults[12] 0 +*RES +1 *2427:gpio_defaults[12] *2462:gpio_defaults[12] 0.830504 +*END + +*D_NET *234 5.84207e-05 +*CONN +*I *2463:gpio_defaults[0] I *D gpio_control_block +*I *2428:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[0] 2.92104e-05 +2 *2428:gpio_defaults[0] 2.92104e-05 +3 *2463:gpio_defaults[0] *2463:gpio_defaults[1] 0 +*RES +1 *2428:gpio_defaults[0] *2463:gpio_defaults[0] 0.830504 +*END + +*D_NET *235 5.84207e-05 +*CONN +*I *2463:gpio_defaults[1] I *D gpio_control_block +*I *2428:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[1] 2.92104e-05 +2 *2428:gpio_defaults[1] 2.92104e-05 +3 *2463:gpio_defaults[1] *2463:gpio_defaults[2] 0 +4 *2463:gpio_defaults[0] *2463:gpio_defaults[1] 0 +*RES +1 *2428:gpio_defaults[1] *2463:gpio_defaults[1] 0.830504 +*END + +*D_NET *236 5.84207e-05 +*CONN +*I *2445:gpio_defaults[7] I *D gpio_control_block +*I *2418:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[7] 2.92104e-05 +2 *2418:gpio_defaults[7] 2.92104e-05 +3 *2445:gpio_defaults[7] *2445:gpio_defaults[8] 0 +4 *2445:gpio_defaults[6] *2445:gpio_defaults[7] 0 +*RES +1 *2418:gpio_defaults[7] *2445:gpio_defaults[7] 0.830504 +*END + +*D_NET *237 5.84207e-05 +*CONN +*I *2463:gpio_defaults[2] I *D gpio_control_block +*I *2428:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[2] 2.92104e-05 +2 *2428:gpio_defaults[2] 2.92104e-05 +3 *2463:gpio_defaults[2] *2463:gpio_defaults[3] 0 +4 *2463:gpio_defaults[1] *2463:gpio_defaults[2] 0 +*RES +1 *2428:gpio_defaults[2] *2463:gpio_defaults[2] 0.830504 +*END + +*D_NET *238 5.84207e-05 +*CONN +*I *2463:gpio_defaults[3] I *D gpio_control_block +*I *2428:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[3] 2.92104e-05 +2 *2428:gpio_defaults[3] 2.92104e-05 +3 *2463:gpio_defaults[3] *2463:gpio_defaults[4] 0 +4 *2463:gpio_defaults[2] *2463:gpio_defaults[3] 0 +*RES +1 *2428:gpio_defaults[3] *2463:gpio_defaults[3] 0.830504 +*END + +*D_NET *239 5.84207e-05 +*CONN +*I *2463:gpio_defaults[4] I *D gpio_control_block +*I *2428:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[4] 2.92104e-05 +2 *2428:gpio_defaults[4] 2.92104e-05 +3 *2463:gpio_defaults[4] *2463:gpio_defaults[5] 0 +4 *2463:gpio_defaults[3] *2463:gpio_defaults[4] 0 +*RES +1 *2428:gpio_defaults[4] *2463:gpio_defaults[4] 0.830504 +*END + +*D_NET *240 5.84207e-05 +*CONN +*I *2463:gpio_defaults[5] I *D gpio_control_block +*I *2428:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[5] 2.92104e-05 +2 *2428:gpio_defaults[5] 2.92104e-05 +3 *2463:gpio_defaults[5] *2463:gpio_defaults[6] 0 +4 *2463:gpio_defaults[4] *2463:gpio_defaults[5] 0 +*RES +1 *2428:gpio_defaults[5] *2463:gpio_defaults[5] 0.830504 +*END + +*D_NET *241 5.84207e-05 +*CONN +*I *2463:gpio_defaults[6] I *D gpio_control_block +*I *2428:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[6] 2.92104e-05 +2 *2428:gpio_defaults[6] 2.92104e-05 +3 *2463:gpio_defaults[6] *2463:gpio_defaults[7] 0 +4 *2463:gpio_defaults[5] *2463:gpio_defaults[6] 0 +*RES +1 *2428:gpio_defaults[6] *2463:gpio_defaults[6] 0.830504 +*END + +*D_NET *242 5.84207e-05 +*CONN +*I *2463:gpio_defaults[7] I *D gpio_control_block +*I *2428:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[7] 2.92104e-05 +2 *2428:gpio_defaults[7] 2.92104e-05 +3 *2463:gpio_defaults[7] *2463:gpio_defaults[8] 0 +4 *2463:gpio_defaults[6] *2463:gpio_defaults[7] 0 +*RES +1 *2428:gpio_defaults[7] *2463:gpio_defaults[7] 0.830504 +*END + +*D_NET *243 5.84207e-05 +*CONN +*I *2463:gpio_defaults[8] I *D gpio_control_block +*I *2428:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[8] 2.92104e-05 +2 *2428:gpio_defaults[8] 2.92104e-05 +3 *2463:gpio_defaults[8] *2463:gpio_defaults[9] 0 +4 *2463:gpio_defaults[7] *2463:gpio_defaults[8] 0 +*RES +1 *2428:gpio_defaults[8] *2463:gpio_defaults[8] 0.830504 +*END + +*D_NET *244 5.84207e-05 +*CONN +*I *2463:gpio_defaults[9] I *D gpio_control_block +*I *2428:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[9] 2.92104e-05 +2 *2428:gpio_defaults[9] 2.92104e-05 +3 *2463:gpio_defaults[9] *2463:gpio_defaults[10] 0 +4 *2463:gpio_defaults[8] *2463:gpio_defaults[9] 0 +*RES +1 *2428:gpio_defaults[9] *2463:gpio_defaults[9] 0.830504 +*END + +*D_NET *245 5.84207e-05 +*CONN +*I *2463:gpio_defaults[10] I *D gpio_control_block +*I *2428:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[10] 2.92104e-05 +2 *2428:gpio_defaults[10] 2.92104e-05 +3 *2463:gpio_defaults[10] *2463:gpio_defaults[11] 0 +4 *2463:gpio_defaults[9] *2463:gpio_defaults[10] 0 +*RES +1 *2428:gpio_defaults[10] *2463:gpio_defaults[10] 0.830504 +*END + +*D_NET *246 5.84207e-05 +*CONN +*I *2463:gpio_defaults[11] I *D gpio_control_block +*I *2428:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[11] 2.92104e-05 +2 *2428:gpio_defaults[11] 2.92104e-05 +3 *2463:gpio_defaults[11] *2463:gpio_defaults[12] 0 +4 *2463:gpio_defaults[10] *2463:gpio_defaults[11] 0 +*RES +1 *2428:gpio_defaults[11] *2463:gpio_defaults[11] 0.830504 +*END + +*D_NET *247 5.84207e-05 +*CONN +*I *2445:gpio_defaults[8] I *D gpio_control_block +*I *2418:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[8] 2.92104e-05 +2 *2418:gpio_defaults[8] 2.92104e-05 +3 *2445:gpio_defaults[8] *2445:gpio_defaults[9] 0 +4 *2445:gpio_defaults[7] *2445:gpio_defaults[8] 0 +*RES +1 *2418:gpio_defaults[8] *2445:gpio_defaults[8] 0.830504 +*END + +*D_NET *248 5.84207e-05 +*CONN +*I *2463:gpio_defaults[12] I *D gpio_control_block +*I *2428:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[12] 2.92104e-05 +2 *2428:gpio_defaults[12] 2.92104e-05 +3 *2463:gpio_defaults[11] *2463:gpio_defaults[12] 0 +*RES +1 *2428:gpio_defaults[12] *2463:gpio_defaults[12] 0.830504 +*END + +*D_NET *249 5.84207e-05 +*CONN +*I *2464:gpio_defaults[0] I *D gpio_control_block +*I *2429:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[0] 2.92104e-05 +2 *2429:gpio_defaults[0] 2.92104e-05 +3 *2464:gpio_defaults[0] *2464:gpio_defaults[1] 0 +*RES +1 *2429:gpio_defaults[0] *2464:gpio_defaults[0] 0.830504 +*END + +*D_NET *250 5.84207e-05 +*CONN +*I *2464:gpio_defaults[1] I *D gpio_control_block +*I *2429:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[1] 2.92104e-05 +2 *2429:gpio_defaults[1] 2.92104e-05 +3 *2464:gpio_defaults[1] *2464:gpio_defaults[2] 0 +4 *2464:gpio_defaults[0] *2464:gpio_defaults[1] 0 +*RES +1 *2429:gpio_defaults[1] *2464:gpio_defaults[1] 0.830504 +*END + +*D_NET *251 5.84207e-05 +*CONN +*I *2464:gpio_defaults[2] I *D gpio_control_block +*I *2429:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[2] 2.92104e-05 +2 *2429:gpio_defaults[2] 2.92104e-05 +3 *2464:gpio_defaults[2] *2464:gpio_defaults[3] 0 +4 *2464:gpio_defaults[1] *2464:gpio_defaults[2] 0 +*RES +1 *2429:gpio_defaults[2] *2464:gpio_defaults[2] 0.830504 +*END + +*D_NET *252 5.84207e-05 +*CONN +*I *2464:gpio_defaults[3] I *D gpio_control_block +*I *2429:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[3] 2.92104e-05 +2 *2429:gpio_defaults[3] 2.92104e-05 +3 *2464:gpio_defaults[3] *2464:gpio_defaults[4] 0 +4 *2464:gpio_defaults[2] *2464:gpio_defaults[3] 0 +*RES +1 *2429:gpio_defaults[3] *2464:gpio_defaults[3] 0.830504 +*END + +*D_NET *253 5.84207e-05 +*CONN +*I *2464:gpio_defaults[4] I *D gpio_control_block +*I *2429:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[4] 2.92104e-05 +2 *2429:gpio_defaults[4] 2.92104e-05 +3 *2464:gpio_defaults[4] *2464:gpio_defaults[5] 0 +4 *2464:gpio_defaults[3] *2464:gpio_defaults[4] 0 +*RES +1 *2429:gpio_defaults[4] *2464:gpio_defaults[4] 0.830504 +*END + +*D_NET *254 5.84207e-05 +*CONN +*I *2464:gpio_defaults[5] I *D gpio_control_block +*I *2429:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[5] 2.92104e-05 +2 *2429:gpio_defaults[5] 2.92104e-05 +3 *2464:gpio_defaults[5] *2464:gpio_defaults[6] 0 +4 *2464:gpio_defaults[4] *2464:gpio_defaults[5] 0 +*RES +1 *2429:gpio_defaults[5] *2464:gpio_defaults[5] 0.830504 +*END + +*D_NET *255 5.84207e-05 +*CONN +*I *2464:gpio_defaults[6] I *D gpio_control_block +*I *2429:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[6] 2.92104e-05 +2 *2429:gpio_defaults[6] 2.92104e-05 +3 *2464:gpio_defaults[6] *2464:gpio_defaults[7] 0 +4 *2464:gpio_defaults[5] *2464:gpio_defaults[6] 0 +*RES +1 *2429:gpio_defaults[6] *2464:gpio_defaults[6] 0.830504 +*END + +*D_NET *256 5.84207e-05 +*CONN +*I *2464:gpio_defaults[7] I *D gpio_control_block +*I *2429:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[7] 2.92104e-05 +2 *2429:gpio_defaults[7] 2.92104e-05 +3 *2464:gpio_defaults[7] *2464:gpio_defaults[8] 0 +4 *2464:gpio_defaults[6] *2464:gpio_defaults[7] 0 +*RES +1 *2429:gpio_defaults[7] *2464:gpio_defaults[7] 0.830504 +*END + +*D_NET *257 5.84207e-05 +*CONN +*I *2464:gpio_defaults[8] I *D gpio_control_block +*I *2429:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[8] 2.92104e-05 +2 *2429:gpio_defaults[8] 2.92104e-05 +3 *2464:gpio_defaults[8] *2464:gpio_defaults[9] 0 +4 *2464:gpio_defaults[7] *2464:gpio_defaults[8] 0 +*RES +1 *2429:gpio_defaults[8] *2464:gpio_defaults[8] 0.830504 +*END + +*D_NET *258 5.84207e-05 +*CONN +*I *2445:gpio_defaults[9] I *D gpio_control_block +*I *2418:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[9] 2.92104e-05 +2 *2418:gpio_defaults[9] 2.92104e-05 +3 *2445:gpio_defaults[9] *2445:gpio_defaults[10] 0 +4 *2445:gpio_defaults[8] *2445:gpio_defaults[9] 0 +*RES +1 *2418:gpio_defaults[9] *2445:gpio_defaults[9] 0.830504 +*END + +*D_NET *259 5.84207e-05 +*CONN +*I *2464:gpio_defaults[9] I *D gpio_control_block +*I *2429:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[9] 2.92104e-05 +2 *2429:gpio_defaults[9] 2.92104e-05 +3 *2464:gpio_defaults[9] *2464:gpio_defaults[10] 0 +4 *2464:gpio_defaults[8] *2464:gpio_defaults[9] 0 +*RES +1 *2429:gpio_defaults[9] *2464:gpio_defaults[9] 0.830504 +*END + +*D_NET *260 5.84207e-05 +*CONN +*I *2464:gpio_defaults[10] I *D gpio_control_block +*I *2429:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[10] 2.92104e-05 +2 *2429:gpio_defaults[10] 2.92104e-05 +3 *2464:gpio_defaults[10] *2464:gpio_defaults[11] 0 +4 *2464:gpio_defaults[9] *2464:gpio_defaults[10] 0 +*RES +1 *2429:gpio_defaults[10] *2464:gpio_defaults[10] 0.830504 +*END + +*D_NET *261 5.84207e-05 +*CONN +*I *2464:gpio_defaults[11] I *D gpio_control_block +*I *2429:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[11] 2.92104e-05 +2 *2429:gpio_defaults[11] 2.92104e-05 +3 *2464:gpio_defaults[11] *2464:gpio_defaults[12] 0 +4 *2464:gpio_defaults[10] *2464:gpio_defaults[11] 0 +*RES +1 *2429:gpio_defaults[11] *2464:gpio_defaults[11] 0.830504 +*END + +*D_NET *262 5.84207e-05 +*CONN +*I *2464:gpio_defaults[12] I *D gpio_control_block +*I *2429:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[12] 2.92104e-05 +2 *2429:gpio_defaults[12] 2.92104e-05 +3 *2464:gpio_defaults[11] *2464:gpio_defaults[12] 0 +*RES +1 *2429:gpio_defaults[12] *2464:gpio_defaults[12] 0.830504 +*END + +*D_NET *263 5.84207e-05 +*CONN +*I *2465:gpio_defaults[0] I *D gpio_control_block +*I *2430:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[0] 2.92104e-05 +2 *2430:gpio_defaults[0] 2.92104e-05 +3 *2465:gpio_defaults[0] *2465:gpio_defaults[1] 0 +4 *107:22 *2465:gpio_defaults[0] 0 +*RES +1 *2430:gpio_defaults[0] *2465:gpio_defaults[0] 0.830504 +*END + +*D_NET *264 5.84207e-05 +*CONN +*I *2465:gpio_defaults[1] I *D gpio_control_block +*I *2430:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[1] 2.92104e-05 +2 *2430:gpio_defaults[1] 2.92104e-05 +3 *2465:gpio_defaults[1] *2465:gpio_defaults[2] 0 +4 *2465:gpio_defaults[0] *2465:gpio_defaults[1] 0 +*RES +1 *2430:gpio_defaults[1] *2465:gpio_defaults[1] 0.830504 +*END + +*D_NET *265 5.84207e-05 +*CONN +*I *2465:gpio_defaults[2] I *D gpio_control_block +*I *2430:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[2] 2.92104e-05 +2 *2430:gpio_defaults[2] 2.92104e-05 +3 *2465:gpio_defaults[2] *2465:gpio_defaults[3] 0 +4 *2465:gpio_defaults[1] *2465:gpio_defaults[2] 0 +*RES +1 *2430:gpio_defaults[2] *2465:gpio_defaults[2] 0.830504 +*END + +*D_NET *266 5.84207e-05 +*CONN +*I *2465:gpio_defaults[3] I *D gpio_control_block +*I *2430:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[3] 2.92104e-05 +2 *2430:gpio_defaults[3] 2.92104e-05 +3 *2465:gpio_defaults[3] *2465:gpio_defaults[4] 0 +4 *2465:gpio_defaults[2] *2465:gpio_defaults[3] 0 +*RES +1 *2430:gpio_defaults[3] *2465:gpio_defaults[3] 0.830504 +*END + +*D_NET *267 5.84207e-05 +*CONN +*I *2465:gpio_defaults[4] I *D gpio_control_block +*I *2430:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[4] 2.92104e-05 +2 *2430:gpio_defaults[4] 2.92104e-05 +3 *2465:gpio_defaults[4] *2465:gpio_defaults[5] 0 +4 *2465:gpio_defaults[3] *2465:gpio_defaults[4] 0 +*RES +1 *2430:gpio_defaults[4] *2465:gpio_defaults[4] 0.830504 +*END + +*D_NET *268 5.84207e-05 +*CONN +*I *2465:gpio_defaults[5] I *D gpio_control_block +*I *2430:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[5] 2.92104e-05 +2 *2430:gpio_defaults[5] 2.92104e-05 +3 *2465:gpio_defaults[5] *2465:gpio_defaults[6] 0 +4 *2465:gpio_defaults[4] *2465:gpio_defaults[5] 0 +*RES +1 *2430:gpio_defaults[5] *2465:gpio_defaults[5] 0.830504 +*END + +*D_NET *269 5.84207e-05 +*CONN +*I *2445:gpio_defaults[10] I *D gpio_control_block +*I *2418:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[10] 2.92104e-05 +2 *2418:gpio_defaults[10] 2.92104e-05 +3 *2445:gpio_defaults[10] *2445:gpio_defaults[11] 0 +4 *2445:gpio_defaults[9] *2445:gpio_defaults[10] 0 +*RES +1 *2418:gpio_defaults[10] *2445:gpio_defaults[10] 0.830504 +*END + +*D_NET *270 5.84207e-05 +*CONN +*I *2465:gpio_defaults[6] I *D gpio_control_block +*I *2430:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[6] 2.92104e-05 +2 *2430:gpio_defaults[6] 2.92104e-05 +3 *2465:gpio_defaults[6] *2465:gpio_defaults[7] 0 +4 *2465:gpio_defaults[5] *2465:gpio_defaults[6] 0 +*RES +1 *2430:gpio_defaults[6] *2465:gpio_defaults[6] 0.830504 +*END + +*D_NET *271 5.84207e-05 +*CONN +*I *2465:gpio_defaults[7] I *D gpio_control_block +*I *2430:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[7] 2.92104e-05 +2 *2430:gpio_defaults[7] 2.92104e-05 +3 *2465:gpio_defaults[7] *2465:gpio_defaults[8] 0 +4 *2465:gpio_defaults[6] *2465:gpio_defaults[7] 0 +*RES +1 *2430:gpio_defaults[7] *2465:gpio_defaults[7] 0.830504 +*END + +*D_NET *272 5.84207e-05 +*CONN +*I *2465:gpio_defaults[8] I *D gpio_control_block +*I *2430:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[8] 2.92104e-05 +2 *2430:gpio_defaults[8] 2.92104e-05 +3 *2465:gpio_defaults[8] *2465:gpio_defaults[9] 0 +4 *2465:gpio_defaults[7] *2465:gpio_defaults[8] 0 +*RES +1 *2430:gpio_defaults[8] *2465:gpio_defaults[8] 0.830504 +*END + +*D_NET *273 5.84207e-05 +*CONN +*I *2465:gpio_defaults[9] I *D gpio_control_block +*I *2430:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[9] 2.92104e-05 +2 *2430:gpio_defaults[9] 2.92104e-05 +3 *2465:gpio_defaults[9] *2465:gpio_defaults[10] 0 +4 *2465:gpio_defaults[8] *2465:gpio_defaults[9] 0 +*RES +1 *2430:gpio_defaults[9] *2465:gpio_defaults[9] 0.830504 +*END + +*D_NET *274 5.84207e-05 +*CONN +*I *2465:gpio_defaults[10] I *D gpio_control_block +*I *2430:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[10] 2.92104e-05 +2 *2430:gpio_defaults[10] 2.92104e-05 +3 *2465:gpio_defaults[10] *2465:gpio_defaults[11] 0 +4 *2465:gpio_defaults[9] *2465:gpio_defaults[10] 0 +*RES +1 *2430:gpio_defaults[10] *2465:gpio_defaults[10] 0.830504 +*END + +*D_NET *275 5.84207e-05 +*CONN +*I *2465:gpio_defaults[11] I *D gpio_control_block +*I *2430:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[11] 2.92104e-05 +2 *2430:gpio_defaults[11] 2.92104e-05 +3 *2465:gpio_defaults[11] *2465:gpio_defaults[12] 0 +4 *2465:gpio_defaults[10] *2465:gpio_defaults[11] 0 +*RES +1 *2430:gpio_defaults[11] *2465:gpio_defaults[11] 0.830504 +*END + +*D_NET *276 5.84207e-05 +*CONN +*I *2465:gpio_defaults[12] I *D gpio_control_block +*I *2430:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[12] 2.92104e-05 +2 *2430:gpio_defaults[12] 2.92104e-05 +3 *2465:gpio_defaults[11] *2465:gpio_defaults[12] 0 +*RES +1 *2430:gpio_defaults[12] *2465:gpio_defaults[12] 0.830504 +*END + +*D_NET *277 5.84207e-05 +*CONN +*I *2466:gpio_defaults[0] I *D gpio_control_block +*I *2431:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[0] 2.92104e-05 +2 *2431:gpio_defaults[0] 2.92104e-05 +3 *2466:gpio_defaults[0] *2466:gpio_defaults[1] 0 +4 *107:64 *2466:gpio_defaults[0] 0 +*RES +1 *2431:gpio_defaults[0] *2466:gpio_defaults[0] 0.830504 +*END + +*D_NET *278 5.84207e-05 +*CONN +*I *2466:gpio_defaults[1] I *D gpio_control_block +*I *2431:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[1] 2.92104e-05 +2 *2431:gpio_defaults[1] 2.92104e-05 +3 *2466:gpio_defaults[1] *2466:gpio_defaults[2] 0 +4 *2466:gpio_defaults[0] *2466:gpio_defaults[1] 0 +*RES +1 *2431:gpio_defaults[1] *2466:gpio_defaults[1] 0.830504 +*END + +*D_NET *279 5.84207e-05 +*CONN +*I *2466:gpio_defaults[2] I *D gpio_control_block +*I *2431:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[2] 2.92104e-05 +2 *2431:gpio_defaults[2] 2.92104e-05 +3 *2466:gpio_defaults[2] *2466:gpio_defaults[3] 0 +4 *2466:gpio_defaults[1] *2466:gpio_defaults[2] 0 +*RES +1 *2431:gpio_defaults[2] *2466:gpio_defaults[2] 0.830504 +*END + +*D_NET *280 5.84207e-05 +*CONN +*I *2445:gpio_defaults[11] I *D gpio_control_block +*I *2418:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[11] 2.92104e-05 +2 *2418:gpio_defaults[11] 2.92104e-05 +3 *2445:gpio_defaults[11] *2445:gpio_defaults[12] 0 +4 *2445:gpio_defaults[10] *2445:gpio_defaults[11] 0 +*RES +1 *2418:gpio_defaults[11] *2445:gpio_defaults[11] 0.830504 +*END + +*D_NET *281 5.84207e-05 +*CONN +*I *2466:gpio_defaults[3] I *D gpio_control_block +*I *2431:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[3] 2.92104e-05 +2 *2431:gpio_defaults[3] 2.92104e-05 +3 *2466:gpio_defaults[3] *2466:gpio_defaults[4] 0 +4 *2466:gpio_defaults[2] *2466:gpio_defaults[3] 0 +*RES +1 *2431:gpio_defaults[3] *2466:gpio_defaults[3] 0.830504 +*END + +*D_NET *282 5.84207e-05 +*CONN +*I *2466:gpio_defaults[4] I *D gpio_control_block +*I *2431:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[4] 2.92104e-05 +2 *2431:gpio_defaults[4] 2.92104e-05 +3 *2466:gpio_defaults[4] *2466:gpio_defaults[5] 0 +4 *2466:gpio_defaults[3] *2466:gpio_defaults[4] 0 +*RES +1 *2431:gpio_defaults[4] *2466:gpio_defaults[4] 0.830504 +*END + +*D_NET *283 5.84207e-05 +*CONN +*I *2466:gpio_defaults[5] I *D gpio_control_block +*I *2431:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[5] 2.92104e-05 +2 *2431:gpio_defaults[5] 2.92104e-05 +3 *2466:gpio_defaults[5] *2466:gpio_defaults[6] 0 +4 *2466:gpio_defaults[4] *2466:gpio_defaults[5] 0 +*RES +1 *2431:gpio_defaults[5] *2466:gpio_defaults[5] 0.830504 +*END + +*D_NET *284 5.84207e-05 +*CONN +*I *2466:gpio_defaults[6] I *D gpio_control_block +*I *2431:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[6] 2.92104e-05 +2 *2431:gpio_defaults[6] 2.92104e-05 +3 *2466:gpio_defaults[6] *2466:gpio_defaults[7] 0 +4 *2466:gpio_defaults[5] *2466:gpio_defaults[6] 0 +*RES +1 *2431:gpio_defaults[6] *2466:gpio_defaults[6] 0.830504 +*END + +*D_NET *285 5.84207e-05 +*CONN +*I *2466:gpio_defaults[7] I *D gpio_control_block +*I *2431:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[7] 2.92104e-05 +2 *2431:gpio_defaults[7] 2.92104e-05 +3 *2466:gpio_defaults[7] *2466:gpio_defaults[8] 0 +4 *2466:gpio_defaults[6] *2466:gpio_defaults[7] 0 +*RES +1 *2431:gpio_defaults[7] *2466:gpio_defaults[7] 0.830504 +*END + +*D_NET *286 5.84207e-05 +*CONN +*I *2466:gpio_defaults[8] I *D gpio_control_block +*I *2431:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[8] 2.92104e-05 +2 *2431:gpio_defaults[8] 2.92104e-05 +3 *2466:gpio_defaults[8] *2466:gpio_defaults[9] 0 +4 *2466:gpio_defaults[7] *2466:gpio_defaults[8] 0 +*RES +1 *2431:gpio_defaults[8] *2466:gpio_defaults[8] 0.830504 +*END + +*D_NET *287 5.84207e-05 +*CONN +*I *2466:gpio_defaults[9] I *D gpio_control_block +*I *2431:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[9] 2.92104e-05 +2 *2431:gpio_defaults[9] 2.92104e-05 +3 *2466:gpio_defaults[9] *2466:gpio_defaults[10] 0 +4 *2466:gpio_defaults[8] *2466:gpio_defaults[9] 0 +*RES +1 *2431:gpio_defaults[9] *2466:gpio_defaults[9] 0.830504 +*END + +*D_NET *288 5.84207e-05 +*CONN +*I *2466:gpio_defaults[10] I *D gpio_control_block +*I *2431:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[10] 2.92104e-05 +2 *2431:gpio_defaults[10] 2.92104e-05 +3 *2466:gpio_defaults[10] *2466:gpio_defaults[11] 0 +4 *2466:gpio_defaults[9] *2466:gpio_defaults[10] 0 +*RES +1 *2431:gpio_defaults[10] *2466:gpio_defaults[10] 0.830504 +*END + +*D_NET *289 5.84207e-05 +*CONN +*I *2466:gpio_defaults[11] I *D gpio_control_block +*I *2431:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[11] 2.92104e-05 +2 *2431:gpio_defaults[11] 2.92104e-05 +3 *2466:gpio_defaults[11] *2466:gpio_defaults[12] 0 +4 *2466:gpio_defaults[10] *2466:gpio_defaults[11] 0 +*RES +1 *2431:gpio_defaults[11] *2466:gpio_defaults[11] 0.830504 +*END + +*D_NET *290 5.84207e-05 +*CONN +*I *2466:gpio_defaults[12] I *D gpio_control_block +*I *2431:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[12] 2.92104e-05 +2 *2431:gpio_defaults[12] 2.92104e-05 +3 *2466:gpio_defaults[11] *2466:gpio_defaults[12] 0 +*RES +1 *2431:gpio_defaults[12] *2466:gpio_defaults[12] 0.830504 +*END + +*D_NET *291 5.84207e-05 +*CONN +*I *2445:gpio_defaults[12] I *D gpio_control_block +*I *2418:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[12] 2.92104e-05 +2 *2418:gpio_defaults[12] 2.92104e-05 +3 *2445:gpio_defaults[11] *2445:gpio_defaults[12] 0 +*RES +1 *2418:gpio_defaults[12] *2445:gpio_defaults[12] 0.830504 +*END + +*D_NET *292 5.84207e-05 +*CONN +*I *2467:gpio_defaults[0] I *D gpio_control_block +*I *2432:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[0] 2.92104e-05 +2 *2432:gpio_defaults[0] 2.92104e-05 +3 *2467:gpio_defaults[0] *2467:gpio_defaults[1] 0 +*RES +1 *2432:gpio_defaults[0] *2467:gpio_defaults[0] 0.830504 +*END + +*D_NET *293 5.84207e-05 +*CONN +*I *2467:gpio_defaults[1] I *D gpio_control_block +*I *2432:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[1] 2.92104e-05 +2 *2432:gpio_defaults[1] 2.92104e-05 +3 *2467:gpio_defaults[1] *2467:gpio_defaults[2] 0 +4 *2467:gpio_defaults[0] *2467:gpio_defaults[1] 0 +*RES +1 *2432:gpio_defaults[1] *2467:gpio_defaults[1] 0.830504 +*END + +*D_NET *294 5.84207e-05 +*CONN +*I *2467:gpio_defaults[2] I *D gpio_control_block +*I *2432:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[2] 2.92104e-05 +2 *2432:gpio_defaults[2] 2.92104e-05 +3 *2467:gpio_defaults[2] *2467:gpio_defaults[3] 0 +4 *2467:gpio_defaults[1] *2467:gpio_defaults[2] 0 +*RES +1 *2432:gpio_defaults[2] *2467:gpio_defaults[2] 0.830504 +*END + +*D_NET *295 5.84207e-05 +*CONN +*I *2467:gpio_defaults[3] I *D gpio_control_block +*I *2432:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[3] 2.92104e-05 +2 *2432:gpio_defaults[3] 2.92104e-05 +3 *2467:gpio_defaults[3] *2467:gpio_defaults[4] 0 +4 *2467:gpio_defaults[2] *2467:gpio_defaults[3] 0 +*RES +1 *2432:gpio_defaults[3] *2467:gpio_defaults[3] 0.830504 +*END + +*D_NET *296 5.84207e-05 +*CONN +*I *2467:gpio_defaults[4] I *D gpio_control_block +*I *2432:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[4] 2.92104e-05 +2 *2432:gpio_defaults[4] 2.92104e-05 +3 *2467:gpio_defaults[4] *2467:gpio_defaults[5] 0 +4 *2467:gpio_defaults[3] *2467:gpio_defaults[4] 0 +*RES +1 *2432:gpio_defaults[4] *2467:gpio_defaults[4] 0.830504 +*END + +*D_NET *297 5.84207e-05 +*CONN +*I *2467:gpio_defaults[5] I *D gpio_control_block +*I *2432:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[5] 2.92104e-05 +2 *2432:gpio_defaults[5] 2.92104e-05 +3 *2467:gpio_defaults[5] *2467:gpio_defaults[6] 0 +4 *2467:gpio_defaults[4] *2467:gpio_defaults[5] 0 +*RES +1 *2432:gpio_defaults[5] *2467:gpio_defaults[5] 0.830504 +*END + +*D_NET *298 5.84207e-05 +*CONN +*I *2467:gpio_defaults[6] I *D gpio_control_block +*I *2432:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[6] 2.92104e-05 +2 *2432:gpio_defaults[6] 2.92104e-05 +3 *2467:gpio_defaults[6] *2467:gpio_defaults[7] 0 +4 *2467:gpio_defaults[5] *2467:gpio_defaults[6] 0 +*RES +1 *2432:gpio_defaults[6] *2467:gpio_defaults[6] 0.830504 +*END + +*D_NET *299 5.84207e-05 +*CONN +*I *2467:gpio_defaults[7] I *D gpio_control_block +*I *2432:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[7] 2.92104e-05 +2 *2432:gpio_defaults[7] 2.92104e-05 +3 *2467:gpio_defaults[7] *2467:gpio_defaults[8] 0 +4 *2467:gpio_defaults[6] *2467:gpio_defaults[7] 0 +*RES +1 *2432:gpio_defaults[7] *2467:gpio_defaults[7] 0.830504 +*END + +*D_NET *300 5.84207e-05 +*CONN +*I *2467:gpio_defaults[8] I *D gpio_control_block +*I *2432:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[8] 2.92104e-05 +2 *2432:gpio_defaults[8] 2.92104e-05 +3 *2467:gpio_defaults[8] *2467:gpio_defaults[9] 0 +4 *2467:gpio_defaults[7] *2467:gpio_defaults[8] 0 +*RES +1 *2432:gpio_defaults[8] *2467:gpio_defaults[8] 0.830504 +*END + +*D_NET *301 5.84207e-05 +*CONN +*I *2467:gpio_defaults[9] I *D gpio_control_block +*I *2432:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[9] 2.92104e-05 +2 *2432:gpio_defaults[9] 2.92104e-05 +3 *2467:gpio_defaults[9] *2467:gpio_defaults[10] 0 +4 *2467:gpio_defaults[8] *2467:gpio_defaults[9] 0 +*RES +1 *2432:gpio_defaults[9] *2467:gpio_defaults[9] 0.830504 +*END + +*D_NET *302 5.84207e-05 +*CONN +*I *2455:gpio_defaults[0] I *D gpio_control_block +*I *2424:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[0] 2.92104e-05 +2 *2424:gpio_defaults[0] 2.92104e-05 +3 *2455:gpio_defaults[0] *2455:gpio_defaults[1] 0 +*RES +1 *2424:gpio_defaults[0] *2455:gpio_defaults[0] 0.830504 +*END + +*D_NET *303 5.84207e-05 +*CONN +*I *2467:gpio_defaults[10] I *D gpio_control_block +*I *2432:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[10] 2.92104e-05 +2 *2432:gpio_defaults[10] 2.92104e-05 +3 *2467:gpio_defaults[10] *2467:gpio_defaults[11] 0 +4 *2467:gpio_defaults[9] *2467:gpio_defaults[10] 0 +*RES +1 *2432:gpio_defaults[10] *2467:gpio_defaults[10] 0.830504 +*END + +*D_NET *304 5.84207e-05 +*CONN +*I *2467:gpio_defaults[11] I *D gpio_control_block +*I *2432:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[11] 2.92104e-05 +2 *2432:gpio_defaults[11] 2.92104e-05 +3 *2467:gpio_defaults[11] *2467:gpio_defaults[12] 0 +4 *2467:gpio_defaults[10] *2467:gpio_defaults[11] 0 +*RES +1 *2432:gpio_defaults[11] *2467:gpio_defaults[11] 0.830504 +*END + +*D_NET *305 5.84207e-05 +*CONN +*I *2467:gpio_defaults[12] I *D gpio_control_block +*I *2432:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[12] 2.92104e-05 +2 *2432:gpio_defaults[12] 2.92104e-05 +3 *2467:gpio_defaults[11] *2467:gpio_defaults[12] 0 +*RES +1 *2432:gpio_defaults[12] *2467:gpio_defaults[12] 0.830504 +*END + +*D_NET *306 5.98503e-05 +*CONN +*I *2468:gpio_defaults[0] I *D gpio_control_block +*I *2433:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[0] 2.99252e-05 +2 *2433:gpio_defaults[0] 2.99252e-05 +*RES +1 *2433:gpio_defaults[0] *2468:gpio_defaults[0] 0.830504 +*END + +*D_NET *307 5.91355e-05 +*CONN +*I *2468:gpio_defaults[1] I *D gpio_control_block +*I *2433:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[1] 2.95678e-05 +2 *2433:gpio_defaults[1] 2.95678e-05 +3 *2468:gpio_defaults[1] *2468:gpio_defaults[2] 0 +*RES +1 *2433:gpio_defaults[1] *2468:gpio_defaults[1] 0.830504 +*END + +*D_NET *308 5.84207e-05 +*CONN +*I *2468:gpio_defaults[2] I *D gpio_control_block +*I *2433:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[2] 2.92104e-05 +2 *2433:gpio_defaults[2] 2.92104e-05 +3 *2468:gpio_defaults[2] *2468:gpio_defaults[3] 0 +4 *2468:gpio_defaults[1] *2468:gpio_defaults[2] 0 +*RES +1 *2433:gpio_defaults[2] *2468:gpio_defaults[2] 0.830504 +*END + +*D_NET *309 5.84207e-05 +*CONN +*I *2468:gpio_defaults[3] I *D gpio_control_block +*I *2433:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[3] 2.92104e-05 +2 *2433:gpio_defaults[3] 2.92104e-05 +3 *2468:gpio_defaults[3] *2468:gpio_defaults[4] 0 +4 *2468:gpio_defaults[2] *2468:gpio_defaults[3] 0 +*RES +1 *2433:gpio_defaults[3] *2468:gpio_defaults[3] 0.830504 +*END + +*D_NET *310 5.84207e-05 +*CONN +*I *2468:gpio_defaults[4] I *D gpio_control_block +*I *2433:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[4] 2.92104e-05 +2 *2433:gpio_defaults[4] 2.92104e-05 +3 *2468:gpio_defaults[4] *2468:gpio_defaults[5] 0 +4 *2468:gpio_defaults[3] *2468:gpio_defaults[4] 0 +*RES +1 *2433:gpio_defaults[4] *2468:gpio_defaults[4] 0.830504 +*END + +*D_NET *311 5.84207e-05 +*CONN +*I *2468:gpio_defaults[5] I *D gpio_control_block +*I *2433:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[5] 2.92104e-05 +2 *2433:gpio_defaults[5] 2.92104e-05 +3 *2468:gpio_defaults[5] *2468:gpio_defaults[6] 0 +4 *2468:gpio_defaults[4] *2468:gpio_defaults[5] 0 +*RES +1 *2433:gpio_defaults[5] *2468:gpio_defaults[5] 0.830504 +*END + +*D_NET *312 5.84207e-05 +*CONN +*I *2468:gpio_defaults[6] I *D gpio_control_block +*I *2433:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[6] 2.92104e-05 +2 *2433:gpio_defaults[6] 2.92104e-05 +3 *2468:gpio_defaults[6] *2468:gpio_defaults[7] 0 +4 *2468:gpio_defaults[5] *2468:gpio_defaults[6] 0 +*RES +1 *2433:gpio_defaults[6] *2468:gpio_defaults[6] 0.830504 +*END + +*D_NET *313 5.84207e-05 +*CONN +*I *2455:gpio_defaults[1] I *D gpio_control_block +*I *2424:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[1] 2.92104e-05 +2 *2424:gpio_defaults[1] 2.92104e-05 +3 *2455:gpio_defaults[1] *2455:gpio_defaults[2] 0 +4 *2455:gpio_defaults[0] *2455:gpio_defaults[1] 0 +*RES +1 *2424:gpio_defaults[1] *2455:gpio_defaults[1] 0.830504 +*END + +*D_NET *314 5.84207e-05 +*CONN +*I *2468:gpio_defaults[7] I *D gpio_control_block +*I *2433:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[7] 2.92104e-05 +2 *2433:gpio_defaults[7] 2.92104e-05 +3 *2468:gpio_defaults[7] *2468:gpio_defaults[8] 0 +4 *2468:gpio_defaults[6] *2468:gpio_defaults[7] 0 +*RES +1 *2433:gpio_defaults[7] *2468:gpio_defaults[7] 0.830504 +*END + +*D_NET *315 5.84207e-05 +*CONN +*I *2468:gpio_defaults[8] I *D gpio_control_block +*I *2433:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[8] 2.92104e-05 +2 *2433:gpio_defaults[8] 2.92104e-05 +3 *2468:gpio_defaults[8] *2468:gpio_defaults[9] 0 +4 *2468:gpio_defaults[7] *2468:gpio_defaults[8] 0 +*RES +1 *2433:gpio_defaults[8] *2468:gpio_defaults[8] 0.830504 +*END + +*D_NET *316 5.84207e-05 +*CONN +*I *2468:gpio_defaults[9] I *D gpio_control_block +*I *2433:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[9] 2.92104e-05 +2 *2433:gpio_defaults[9] 2.92104e-05 +3 *2468:gpio_defaults[9] *2468:gpio_defaults[10] 0 +4 *2468:gpio_defaults[8] *2468:gpio_defaults[9] 0 +*RES +1 *2433:gpio_defaults[9] *2468:gpio_defaults[9] 0.830504 +*END + +*D_NET *317 5.84207e-05 +*CONN +*I *2468:gpio_defaults[10] I *D gpio_control_block +*I *2433:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[10] 2.92104e-05 +2 *2433:gpio_defaults[10] 2.92104e-05 +3 *2468:gpio_defaults[10] *2468:gpio_defaults[11] 0 +4 *2468:gpio_defaults[9] *2468:gpio_defaults[10] 0 +*RES +1 *2433:gpio_defaults[10] *2468:gpio_defaults[10] 0.830504 +*END + +*D_NET *318 5.84207e-05 +*CONN +*I *2468:gpio_defaults[11] I *D gpio_control_block +*I *2433:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[11] 2.92104e-05 +2 *2433:gpio_defaults[11] 2.92104e-05 +3 *2468:gpio_defaults[11] *2468:gpio_defaults[12] 0 +4 *2468:gpio_defaults[10] *2468:gpio_defaults[11] 0 +*RES +1 *2433:gpio_defaults[11] *2468:gpio_defaults[11] 0.830504 +*END + +*D_NET *319 5.84207e-05 +*CONN +*I *2468:gpio_defaults[12] I *D gpio_control_block +*I *2433:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[12] 2.92104e-05 +2 *2433:gpio_defaults[12] 2.92104e-05 +3 *2468:gpio_defaults[11] *2468:gpio_defaults[12] 0 +*RES +1 *2433:gpio_defaults[12] *2468:gpio_defaults[12] 0.830504 +*END + +*D_NET *320 6.1367e-05 +*CONN +*I *2469:gpio_defaults[0] I *D gpio_control_block +*I *2434:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[0] 2.17578e-05 +2 *2434:gpio_defaults[0] 2.17578e-05 +3 *2469:gpio_defaults[0] *2469:gpio_defaults[1] 0 +4 *110:62 *2469:gpio_defaults[0] 1.78514e-05 +*RES +1 *2434:gpio_defaults[0] *2469:gpio_defaults[0] 0.830504 +*END + +*D_NET *321 5.84207e-05 +*CONN +*I *2469:gpio_defaults[1] I *D gpio_control_block +*I *2434:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[1] 2.92104e-05 +2 *2434:gpio_defaults[1] 2.92104e-05 +3 *2469:gpio_defaults[1] *2469:gpio_defaults[2] 0 +4 *2469:gpio_defaults[0] *2469:gpio_defaults[1] 0 +*RES +1 *2434:gpio_defaults[1] *2469:gpio_defaults[1] 0.830504 +*END + +*D_NET *322 5.84207e-05 +*CONN +*I *2469:gpio_defaults[2] I *D gpio_control_block +*I *2434:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[2] 2.92104e-05 +2 *2434:gpio_defaults[2] 2.92104e-05 +3 *2469:gpio_defaults[2] *2469:gpio_defaults[3] 0 +4 *2469:gpio_defaults[1] *2469:gpio_defaults[2] 0 +*RES +1 *2434:gpio_defaults[2] *2469:gpio_defaults[2] 0.830504 +*END + +*D_NET *323 5.84207e-05 +*CONN +*I *2469:gpio_defaults[3] I *D gpio_control_block +*I *2434:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[3] 2.92104e-05 +2 *2434:gpio_defaults[3] 2.92104e-05 +3 *2469:gpio_defaults[3] *2469:gpio_defaults[4] 0 +4 *2469:gpio_defaults[2] *2469:gpio_defaults[3] 0 +*RES +1 *2434:gpio_defaults[3] *2469:gpio_defaults[3] 0.830504 +*END + +*D_NET *324 5.84207e-05 +*CONN +*I *2455:gpio_defaults[2] I *D gpio_control_block +*I *2424:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[2] 2.92104e-05 +2 *2424:gpio_defaults[2] 2.92104e-05 +3 *2455:gpio_defaults[2] *2455:gpio_defaults[3] 0 +4 *2455:gpio_defaults[1] *2455:gpio_defaults[2] 0 +*RES +1 *2424:gpio_defaults[2] *2455:gpio_defaults[2] 0.830504 +*END + +*D_NET *325 5.84207e-05 +*CONN +*I *2469:gpio_defaults[4] I *D gpio_control_block +*I *2434:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[4] 2.92104e-05 +2 *2434:gpio_defaults[4] 2.92104e-05 +3 *2469:gpio_defaults[4] *2469:gpio_defaults[5] 0 +4 *2469:gpio_defaults[3] *2469:gpio_defaults[4] 0 +*RES +1 *2434:gpio_defaults[4] *2469:gpio_defaults[4] 0.830504 +*END + +*D_NET *326 5.84207e-05 +*CONN +*I *2469:gpio_defaults[5] I *D gpio_control_block +*I *2434:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[5] 2.92104e-05 +2 *2434:gpio_defaults[5] 2.92104e-05 +3 *2469:gpio_defaults[5] *2469:gpio_defaults[6] 0 +4 *2469:gpio_defaults[4] *2469:gpio_defaults[5] 0 +*RES +1 *2434:gpio_defaults[5] *2469:gpio_defaults[5] 0.830504 +*END + +*D_NET *327 5.84207e-05 +*CONN +*I *2469:gpio_defaults[6] I *D gpio_control_block +*I *2434:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[6] 2.92104e-05 +2 *2434:gpio_defaults[6] 2.92104e-05 +3 *2469:gpio_defaults[6] *2469:gpio_defaults[7] 0 +4 *2469:gpio_defaults[5] *2469:gpio_defaults[6] 0 +*RES +1 *2434:gpio_defaults[6] *2469:gpio_defaults[6] 0.830504 +*END + +*D_NET *328 5.84207e-05 +*CONN +*I *2469:gpio_defaults[7] I *D gpio_control_block +*I *2434:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[7] 2.92104e-05 +2 *2434:gpio_defaults[7] 2.92104e-05 +3 *2469:gpio_defaults[7] *2469:gpio_defaults[8] 0 +4 *2469:gpio_defaults[6] *2469:gpio_defaults[7] 0 +*RES +1 *2434:gpio_defaults[7] *2469:gpio_defaults[7] 0.830504 +*END + +*D_NET *329 5.84207e-05 +*CONN +*I *2469:gpio_defaults[8] I *D gpio_control_block +*I *2434:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[8] 2.92104e-05 +2 *2434:gpio_defaults[8] 2.92104e-05 +3 *2469:gpio_defaults[8] *2469:gpio_defaults[9] 0 +4 *2469:gpio_defaults[7] *2469:gpio_defaults[8] 0 +*RES +1 *2434:gpio_defaults[8] *2469:gpio_defaults[8] 0.830504 +*END + +*D_NET *330 5.84207e-05 +*CONN +*I *2469:gpio_defaults[9] I *D gpio_control_block +*I *2434:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[9] 2.92104e-05 +2 *2434:gpio_defaults[9] 2.92104e-05 +3 *2469:gpio_defaults[9] *2469:gpio_defaults[10] 0 +4 *2469:gpio_defaults[8] *2469:gpio_defaults[9] 0 +*RES +1 *2434:gpio_defaults[9] *2469:gpio_defaults[9] 0.830504 +*END + +*D_NET *331 5.84207e-05 +*CONN +*I *2469:gpio_defaults[10] I *D gpio_control_block +*I *2434:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[10] 2.92104e-05 +2 *2434:gpio_defaults[10] 2.92104e-05 +3 *2469:gpio_defaults[10] *2469:gpio_defaults[11] 0 +4 *2469:gpio_defaults[9] *2469:gpio_defaults[10] 0 +*RES +1 *2434:gpio_defaults[10] *2469:gpio_defaults[10] 0.830504 +*END + +*D_NET *332 5.84207e-05 +*CONN +*I *2469:gpio_defaults[11] I *D gpio_control_block +*I *2434:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[11] 2.92104e-05 +2 *2434:gpio_defaults[11] 2.92104e-05 +3 *2469:gpio_defaults[11] *2469:gpio_defaults[12] 0 +4 *2469:gpio_defaults[10] *2469:gpio_defaults[11] 0 +*RES +1 *2434:gpio_defaults[11] *2469:gpio_defaults[11] 0.830504 +*END + +*D_NET *333 5.84207e-05 +*CONN +*I *2469:gpio_defaults[12] I *D gpio_control_block +*I *2434:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[12] 2.92104e-05 +2 *2434:gpio_defaults[12] 2.92104e-05 +3 *2469:gpio_defaults[11] *2469:gpio_defaults[12] 0 +*RES +1 *2434:gpio_defaults[12] *2469:gpio_defaults[12] 0.830504 +*END + +*D_NET *334 5.84207e-05 +*CONN +*I *2470:gpio_defaults[0] I *D gpio_control_block +*I *2435:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[0] 2.92104e-05 +2 *2435:gpio_defaults[0] 2.92104e-05 +3 *2470:gpio_defaults[0] *2470:gpio_defaults[1] 0 +*RES +1 *2435:gpio_defaults[0] *2470:gpio_defaults[0] 0.830504 +*END + +*D_NET *335 5.84207e-05 +*CONN +*I *2455:gpio_defaults[3] I *D gpio_control_block +*I *2424:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[3] 2.92104e-05 +2 *2424:gpio_defaults[3] 2.92104e-05 +3 *2455:gpio_defaults[3] *2455:gpio_defaults[4] 0 +4 *2455:gpio_defaults[2] *2455:gpio_defaults[3] 0 +*RES +1 *2424:gpio_defaults[3] *2455:gpio_defaults[3] 0.830504 +*END + +*D_NET *336 5.84207e-05 +*CONN +*I *2444:gpio_defaults[2] I *D gpio_control_block +*I *2417:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[2] 2.92104e-05 +2 *2417:gpio_defaults[2] 2.92104e-05 +3 *2444:gpio_defaults[2] *2444:gpio_defaults[3] 0 +4 *2444:gpio_defaults[1] *2444:gpio_defaults[2] 0 +*RES +1 *2417:gpio_defaults[2] *2444:gpio_defaults[2] 0.830504 +*END + +*D_NET *337 5.84207e-05 +*CONN +*I *2470:gpio_defaults[1] I *D gpio_control_block +*I *2435:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[1] 2.92104e-05 +2 *2435:gpio_defaults[1] 2.92104e-05 +3 *2470:gpio_defaults[1] *2470:gpio_defaults[2] 0 +4 *2470:gpio_defaults[0] *2470:gpio_defaults[1] 0 +*RES +1 *2435:gpio_defaults[1] *2470:gpio_defaults[1] 0.830504 +*END + +*D_NET *338 5.84207e-05 +*CONN +*I *2470:gpio_defaults[2] I *D gpio_control_block +*I *2435:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[2] 2.92104e-05 +2 *2435:gpio_defaults[2] 2.92104e-05 +3 *2470:gpio_defaults[2] *2470:gpio_defaults[3] 0 +4 *2470:gpio_defaults[1] *2470:gpio_defaults[2] 0 +*RES +1 *2435:gpio_defaults[2] *2470:gpio_defaults[2] 0.830504 +*END + +*D_NET *339 5.84207e-05 +*CONN +*I *2470:gpio_defaults[3] I *D gpio_control_block +*I *2435:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[3] 2.92104e-05 +2 *2435:gpio_defaults[3] 2.92104e-05 +3 *2470:gpio_defaults[3] *2470:gpio_defaults[4] 0 +4 *2470:gpio_defaults[2] *2470:gpio_defaults[3] 0 +*RES +1 *2435:gpio_defaults[3] *2470:gpio_defaults[3] 0.830504 +*END + +*D_NET *340 5.84207e-05 +*CONN +*I *2470:gpio_defaults[4] I *D gpio_control_block +*I *2435:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[4] 2.92104e-05 +2 *2435:gpio_defaults[4] 2.92104e-05 +3 *2470:gpio_defaults[4] *2470:gpio_defaults[5] 0 +4 *2470:gpio_defaults[3] *2470:gpio_defaults[4] 0 +*RES +1 *2435:gpio_defaults[4] *2470:gpio_defaults[4] 0.830504 +*END + +*D_NET *341 5.84207e-05 +*CONN +*I *2470:gpio_defaults[5] I *D gpio_control_block +*I *2435:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[5] 2.92104e-05 +2 *2435:gpio_defaults[5] 2.92104e-05 +3 *2470:gpio_defaults[5] *2470:gpio_defaults[6] 0 +4 *2470:gpio_defaults[4] *2470:gpio_defaults[5] 0 +*RES +1 *2435:gpio_defaults[5] *2470:gpio_defaults[5] 0.830504 +*END + +*D_NET *342 5.84207e-05 +*CONN +*I *2470:gpio_defaults[6] I *D gpio_control_block +*I *2435:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[6] 2.92104e-05 +2 *2435:gpio_defaults[6] 2.92104e-05 +3 *2470:gpio_defaults[6] *2470:gpio_defaults[7] 0 +4 *2470:gpio_defaults[5] *2470:gpio_defaults[6] 0 +*RES +1 *2435:gpio_defaults[6] *2470:gpio_defaults[6] 0.830504 +*END + +*D_NET *343 5.84207e-05 +*CONN +*I *2470:gpio_defaults[7] I *D gpio_control_block +*I *2435:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[7] 2.92104e-05 +2 *2435:gpio_defaults[7] 2.92104e-05 +3 *2470:gpio_defaults[7] *2470:gpio_defaults[8] 0 +4 *2470:gpio_defaults[6] *2470:gpio_defaults[7] 0 +*RES +1 *2435:gpio_defaults[7] *2470:gpio_defaults[7] 0.830504 +*END + +*D_NET *344 5.84207e-05 +*CONN +*I *2470:gpio_defaults[8] I *D gpio_control_block +*I *2435:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[8] 2.92104e-05 +2 *2435:gpio_defaults[8] 2.92104e-05 +3 *2470:gpio_defaults[8] *2470:gpio_defaults[9] 0 +4 *2470:gpio_defaults[7] *2470:gpio_defaults[8] 0 +*RES +1 *2435:gpio_defaults[8] *2470:gpio_defaults[8] 0.830504 +*END + +*D_NET *345 5.84207e-05 +*CONN +*I *2470:gpio_defaults[9] I *D gpio_control_block +*I *2435:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[9] 2.92104e-05 +2 *2435:gpio_defaults[9] 2.92104e-05 +3 *2470:gpio_defaults[9] *2470:gpio_defaults[10] 0 +4 *2470:gpio_defaults[8] *2470:gpio_defaults[9] 0 +*RES +1 *2435:gpio_defaults[9] *2470:gpio_defaults[9] 0.830504 +*END + +*D_NET *346 5.84207e-05 +*CONN +*I *2470:gpio_defaults[10] I *D gpio_control_block +*I *2435:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[10] 2.92104e-05 +2 *2435:gpio_defaults[10] 2.92104e-05 +3 *2470:gpio_defaults[10] *2470:gpio_defaults[11] 0 +4 *2470:gpio_defaults[9] *2470:gpio_defaults[10] 0 +*RES +1 *2435:gpio_defaults[10] *2470:gpio_defaults[10] 0.830504 +*END + +*D_NET *347 5.84207e-05 +*CONN +*I *2455:gpio_defaults[4] I *D gpio_control_block +*I *2424:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[4] 2.92104e-05 +2 *2424:gpio_defaults[4] 2.92104e-05 +3 *2455:gpio_defaults[4] *2455:gpio_defaults[5] 0 +4 *2455:gpio_defaults[3] *2455:gpio_defaults[4] 0 +*RES +1 *2424:gpio_defaults[4] *2455:gpio_defaults[4] 0.830504 +*END + +*D_NET *348 5.84207e-05 +*CONN +*I *2470:gpio_defaults[11] I *D gpio_control_block +*I *2435:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[11] 2.92104e-05 +2 *2435:gpio_defaults[11] 2.92104e-05 +3 *2470:gpio_defaults[11] *2470:gpio_defaults[12] 0 +4 *2470:gpio_defaults[10] *2470:gpio_defaults[11] 0 +*RES +1 *2435:gpio_defaults[11] *2470:gpio_defaults[11] 0.830504 +*END + +*D_NET *349 5.84207e-05 +*CONN +*I *2470:gpio_defaults[12] I *D gpio_control_block +*I *2435:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[12] 2.92104e-05 +2 *2435:gpio_defaults[12] 2.92104e-05 +3 *2470:gpio_defaults[11] *2470:gpio_defaults[12] 0 +*RES +1 *2435:gpio_defaults[12] *2470:gpio_defaults[12] 0.830504 +*END + +*D_NET *350 7.20426e-05 +*CONN +*I *2446:gpio_defaults[0] I *D gpio_control_block +*I *2436:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[0] 3.60213e-05 +2 *2436:gpio_defaults[0] 3.60213e-05 +3 *2446:gpio_defaults[0] *2446:gpio_defaults[1] 0 +4 *2446:gpio_defaults[0] *486:45 0 +*RES +1 *2436:gpio_defaults[0] *2446:gpio_defaults[0] 0.830504 +*END + +*D_NET *351 7.20426e-05 +*CONN +*I *2446:gpio_defaults[1] I *D gpio_control_block +*I *2436:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[1] 3.60213e-05 +2 *2436:gpio_defaults[1] 3.60213e-05 +3 *2446:gpio_defaults[1] *2446:gpio_defaults[2] 0 +4 *2446:gpio_defaults[0] *2446:gpio_defaults[1] 0 +*RES +1 *2436:gpio_defaults[1] *2446:gpio_defaults[1] 0.830504 +*END + +*D_NET *352 7.20426e-05 +*CONN +*I *2446:gpio_defaults[2] I *D gpio_control_block +*I *2436:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[2] 3.60213e-05 +2 *2436:gpio_defaults[2] 3.60213e-05 +3 *2446:gpio_defaults[2] *2446:gpio_defaults[3] 0 +4 *2446:gpio_defaults[1] *2446:gpio_defaults[2] 0 +*RES +1 *2436:gpio_defaults[2] *2446:gpio_defaults[2] 0.830504 +*END + +*D_NET *353 7.20426e-05 +*CONN +*I *2446:gpio_defaults[3] I *D gpio_control_block +*I *2436:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[3] 3.60213e-05 +2 *2436:gpio_defaults[3] 3.60213e-05 +3 *2446:gpio_defaults[3] *2446:gpio_defaults[4] 0 +4 *2446:gpio_defaults[2] *2446:gpio_defaults[3] 0 +*RES +1 *2436:gpio_defaults[3] *2446:gpio_defaults[3] 0.830504 +*END + +*D_NET *354 7.20426e-05 +*CONN +*I *2446:gpio_defaults[4] I *D gpio_control_block +*I *2436:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[4] 3.60213e-05 +2 *2436:gpio_defaults[4] 3.60213e-05 +3 *2446:gpio_defaults[4] *2446:gpio_defaults[5] 0 +4 *2446:gpio_defaults[3] *2446:gpio_defaults[4] 0 +*RES +1 *2436:gpio_defaults[4] *2446:gpio_defaults[4] 0.830504 +*END + +*D_NET *355 7.20426e-05 +*CONN +*I *2446:gpio_defaults[5] I *D gpio_control_block +*I *2436:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[5] 3.60213e-05 +2 *2436:gpio_defaults[5] 3.60213e-05 +3 *2446:gpio_defaults[5] *2446:gpio_defaults[6] 0 +4 *2446:gpio_defaults[4] *2446:gpio_defaults[5] 0 +*RES +1 *2436:gpio_defaults[5] *2446:gpio_defaults[5] 0.830504 +*END + +*D_NET *356 7.20426e-05 +*CONN +*I *2446:gpio_defaults[6] I *D gpio_control_block +*I *2436:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[6] 3.60213e-05 +2 *2436:gpio_defaults[6] 3.60213e-05 +3 *2446:gpio_defaults[6] *2446:gpio_defaults[7] 0 +4 *2446:gpio_defaults[5] *2446:gpio_defaults[6] 0 +*RES +1 *2436:gpio_defaults[6] *2446:gpio_defaults[6] 0.830504 +*END + +*D_NET *357 7.20426e-05 +*CONN +*I *2446:gpio_defaults[7] I *D gpio_control_block +*I *2436:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[7] 3.60213e-05 +2 *2436:gpio_defaults[7] 3.60213e-05 +3 *2446:gpio_defaults[7] *2446:gpio_defaults[8] 0 +4 *2446:gpio_defaults[6] *2446:gpio_defaults[7] 0 +*RES +1 *2436:gpio_defaults[7] *2446:gpio_defaults[7] 0.830504 +*END + +*D_NET *358 5.84207e-05 +*CONN +*I *2455:gpio_defaults[5] I *D gpio_control_block +*I *2424:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[5] 2.92104e-05 +2 *2424:gpio_defaults[5] 2.92104e-05 +3 *2455:gpio_defaults[5] *2455:gpio_defaults[6] 0 +4 *2455:gpio_defaults[4] *2455:gpio_defaults[5] 0 +*RES +1 *2424:gpio_defaults[5] *2455:gpio_defaults[5] 0.830504 +*END + +*D_NET *359 7.20426e-05 +*CONN +*I *2446:gpio_defaults[8] I *D gpio_control_block +*I *2436:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[8] 3.60213e-05 +2 *2436:gpio_defaults[8] 3.60213e-05 +3 *2446:gpio_defaults[8] *2446:gpio_defaults[9] 0 +4 *2446:gpio_defaults[7] *2446:gpio_defaults[8] 0 +*RES +1 *2436:gpio_defaults[8] *2446:gpio_defaults[8] 0.830504 +*END + +*D_NET *360 7.20426e-05 +*CONN +*I *2446:gpio_defaults[9] I *D gpio_control_block +*I *2436:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[9] 3.60213e-05 +2 *2436:gpio_defaults[9] 3.60213e-05 +3 *2446:gpio_defaults[9] *2446:gpio_defaults[10] 0 +4 *2446:gpio_defaults[8] *2446:gpio_defaults[9] 0 +*RES +1 *2436:gpio_defaults[9] *2446:gpio_defaults[9] 0.830504 +*END + +*D_NET *361 7.20426e-05 +*CONN +*I *2446:gpio_defaults[10] I *D gpio_control_block +*I *2436:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[10] 3.60213e-05 +2 *2436:gpio_defaults[10] 3.60213e-05 +3 *2446:gpio_defaults[10] *2446:gpio_defaults[11] 0 +4 *2446:gpio_defaults[9] *2446:gpio_defaults[10] 0 +*RES +1 *2436:gpio_defaults[10] *2446:gpio_defaults[10] 0.830504 +*END + +*D_NET *362 7.20426e-05 +*CONN +*I *2446:gpio_defaults[11] I *D gpio_control_block +*I *2436:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[11] 3.60213e-05 +2 *2436:gpio_defaults[11] 3.60213e-05 +3 *2446:gpio_defaults[11] *2446:gpio_defaults[12] 0 +4 *2446:gpio_defaults[10] *2446:gpio_defaults[11] 0 +*RES +1 *2436:gpio_defaults[11] *2446:gpio_defaults[11] 0.830504 +*END + +*D_NET *363 7.20426e-05 +*CONN +*I *2446:gpio_defaults[12] I *D gpio_control_block +*I *2436:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[12] 3.60213e-05 +2 *2436:gpio_defaults[12] 3.60213e-05 +3 *2446:gpio_defaults[11] *2446:gpio_defaults[12] 0 +*RES +1 *2436:gpio_defaults[12] *2446:gpio_defaults[12] 0.830504 +*END + +*D_NET *364 5.84207e-05 +*CONN +*I *2447:gpio_defaults[0] I *D gpio_control_block +*I *2437:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[0] 2.92104e-05 +2 *2437:gpio_defaults[0] 2.92104e-05 +3 *2447:gpio_defaults[0] *2447:gpio_defaults[1] 0 +*RES +1 *2437:gpio_defaults[0] *2447:gpio_defaults[0] 0.830504 +*END + +*D_NET *365 5.84207e-05 +*CONN +*I *2447:gpio_defaults[1] I *D gpio_control_block +*I *2437:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[1] 2.92104e-05 +2 *2437:gpio_defaults[1] 2.92104e-05 +3 *2447:gpio_defaults[1] *2447:gpio_defaults[2] 0 +4 *2447:gpio_defaults[0] *2447:gpio_defaults[1] 0 +*RES +1 *2437:gpio_defaults[1] *2447:gpio_defaults[1] 0.830504 +*END + +*D_NET *366 5.84207e-05 +*CONN +*I *2447:gpio_defaults[2] I *D gpio_control_block +*I *2437:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[2] 2.92104e-05 +2 *2437:gpio_defaults[2] 2.92104e-05 +3 *2447:gpio_defaults[2] *2447:gpio_defaults[3] 0 +4 *2447:gpio_defaults[1] *2447:gpio_defaults[2] 0 +*RES +1 *2437:gpio_defaults[2] *2447:gpio_defaults[2] 0.830504 +*END + +*D_NET *367 5.84207e-05 +*CONN +*I *2447:gpio_defaults[3] I *D gpio_control_block +*I *2437:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[3] 2.92104e-05 +2 *2437:gpio_defaults[3] 2.92104e-05 +3 *2447:gpio_defaults[3] *2447:gpio_defaults[4] 0 +4 *2447:gpio_defaults[2] *2447:gpio_defaults[3] 0 +*RES +1 *2437:gpio_defaults[3] *2447:gpio_defaults[3] 0.830504 +*END + +*D_NET *368 5.84207e-05 +*CONN +*I *2447:gpio_defaults[4] I *D gpio_control_block +*I *2437:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[4] 2.92104e-05 +2 *2437:gpio_defaults[4] 2.92104e-05 +3 *2447:gpio_defaults[4] *2447:gpio_defaults[5] 0 +4 *2447:gpio_defaults[3] *2447:gpio_defaults[4] 0 +*RES +1 *2437:gpio_defaults[4] *2447:gpio_defaults[4] 0.830504 +*END + +*D_NET *369 5.84207e-05 +*CONN +*I *2455:gpio_defaults[6] I *D gpio_control_block +*I *2424:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[6] 2.92104e-05 +2 *2424:gpio_defaults[6] 2.92104e-05 +3 *2455:gpio_defaults[6] *2455:gpio_defaults[7] 0 +4 *2455:gpio_defaults[5] *2455:gpio_defaults[6] 0 +*RES +1 *2424:gpio_defaults[6] *2455:gpio_defaults[6] 0.830504 +*END + +*D_NET *370 5.84207e-05 +*CONN +*I *2447:gpio_defaults[5] I *D gpio_control_block +*I *2437:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[5] 2.92104e-05 +2 *2437:gpio_defaults[5] 2.92104e-05 +3 *2447:gpio_defaults[5] *2447:gpio_defaults[6] 0 +4 *2447:gpio_defaults[4] *2447:gpio_defaults[5] 0 +*RES +1 *2437:gpio_defaults[5] *2447:gpio_defaults[5] 0.830504 +*END + +*D_NET *371 5.84207e-05 +*CONN +*I *2447:gpio_defaults[6] I *D gpio_control_block +*I *2437:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[6] 2.92104e-05 +2 *2437:gpio_defaults[6] 2.92104e-05 +3 *2447:gpio_defaults[6] *2447:gpio_defaults[7] 0 +4 *2447:gpio_defaults[5] *2447:gpio_defaults[6] 0 +*RES +1 *2437:gpio_defaults[6] *2447:gpio_defaults[6] 0.830504 +*END + +*D_NET *372 5.84207e-05 +*CONN +*I *2447:gpio_defaults[7] I *D gpio_control_block +*I *2437:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[7] 2.92104e-05 +2 *2437:gpio_defaults[7] 2.92104e-05 +3 *2447:gpio_defaults[7] *2447:gpio_defaults[8] 0 +4 *2447:gpio_defaults[6] *2447:gpio_defaults[7] 0 +*RES +1 *2437:gpio_defaults[7] *2447:gpio_defaults[7] 0.830504 +*END + +*D_NET *373 5.84207e-05 +*CONN +*I *2447:gpio_defaults[8] I *D gpio_control_block +*I *2437:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[8] 2.92104e-05 +2 *2437:gpio_defaults[8] 2.92104e-05 +3 *2447:gpio_defaults[8] *2447:gpio_defaults[9] 0 +4 *2447:gpio_defaults[7] *2447:gpio_defaults[8] 0 +*RES +1 *2437:gpio_defaults[8] *2447:gpio_defaults[8] 0.830504 +*END + +*D_NET *374 5.84207e-05 +*CONN +*I *2447:gpio_defaults[9] I *D gpio_control_block +*I *2437:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[9] 2.92104e-05 +2 *2437:gpio_defaults[9] 2.92104e-05 +3 *2447:gpio_defaults[9] *2447:gpio_defaults[10] 0 +4 *2447:gpio_defaults[8] *2447:gpio_defaults[9] 0 +*RES +1 *2437:gpio_defaults[9] *2447:gpio_defaults[9] 0.830504 +*END + +*D_NET *375 5.84207e-05 +*CONN +*I *2447:gpio_defaults[10] I *D gpio_control_block +*I *2437:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[10] 2.92104e-05 +2 *2437:gpio_defaults[10] 2.92104e-05 +3 *2447:gpio_defaults[10] *2447:gpio_defaults[11] 0 +4 *2447:gpio_defaults[9] *2447:gpio_defaults[10] 0 +*RES +1 *2437:gpio_defaults[10] *2447:gpio_defaults[10] 0.830504 +*END + +*D_NET *376 5.84207e-05 +*CONN +*I *2447:gpio_defaults[11] I *D gpio_control_block +*I *2437:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[11] 2.92104e-05 +2 *2437:gpio_defaults[11] 2.92104e-05 +3 *2447:gpio_defaults[11] *2447:gpio_defaults[12] 0 +4 *2447:gpio_defaults[10] *2447:gpio_defaults[11] 0 +*RES +1 *2437:gpio_defaults[11] *2447:gpio_defaults[11] 0.830504 +*END + +*D_NET *377 5.84207e-05 +*CONN +*I *2447:gpio_defaults[12] I *D gpio_control_block +*I *2437:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[12] 2.92104e-05 +2 *2437:gpio_defaults[12] 2.92104e-05 +3 *2447:gpio_defaults[11] *2447:gpio_defaults[12] 0 +*RES +1 *2437:gpio_defaults[12] *2447:gpio_defaults[12] 0.830504 +*END + +*D_NET *378 5.84207e-05 +*CONN +*I *2448:gpio_defaults[0] I *D gpio_control_block +*I *2438:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[0] 2.92104e-05 +2 *2438:gpio_defaults[0] 2.92104e-05 +3 *2448:gpio_defaults[0] *2448:gpio_defaults[1] 0 +4 *2448:gpio_defaults[0] *1573:17 0 +*RES +1 *2438:gpio_defaults[0] *2448:gpio_defaults[0] 0.830504 +*END + +*D_NET *379 5.84207e-05 +*CONN +*I *2448:gpio_defaults[1] I *D gpio_control_block +*I *2438:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[1] 2.92104e-05 +2 *2438:gpio_defaults[1] 2.92104e-05 +3 *2448:gpio_defaults[1] *2448:gpio_defaults[2] 0 +4 *2448:gpio_defaults[0] *2448:gpio_defaults[1] 0 +*RES +1 *2438:gpio_defaults[1] *2448:gpio_defaults[1] 0.830504 +*END + +*D_NET *380 5.84207e-05 +*CONN +*I *2455:gpio_defaults[7] I *D gpio_control_block +*I *2424:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[7] 2.92104e-05 +2 *2424:gpio_defaults[7] 2.92104e-05 +3 *2455:gpio_defaults[7] *2455:gpio_defaults[8] 0 +4 *2455:gpio_defaults[6] *2455:gpio_defaults[7] 0 +*RES +1 *2424:gpio_defaults[7] *2455:gpio_defaults[7] 0.830504 +*END + +*D_NET *381 5.84207e-05 +*CONN +*I *2448:gpio_defaults[2] I *D gpio_control_block +*I *2438:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[2] 2.92104e-05 +2 *2438:gpio_defaults[2] 2.92104e-05 +3 *2448:gpio_defaults[2] *2448:gpio_defaults[3] 0 +4 *2448:gpio_defaults[1] *2448:gpio_defaults[2] 0 +*RES +1 *2438:gpio_defaults[2] *2448:gpio_defaults[2] 0.830504 +*END + +*D_NET *382 5.84207e-05 +*CONN +*I *2448:gpio_defaults[3] I *D gpio_control_block +*I *2438:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[3] 2.92104e-05 +2 *2438:gpio_defaults[3] 2.92104e-05 +3 *2448:gpio_defaults[3] *2448:gpio_defaults[4] 0 +4 *2448:gpio_defaults[2] *2448:gpio_defaults[3] 0 +*RES +1 *2438:gpio_defaults[3] *2448:gpio_defaults[3] 0.830504 +*END + +*D_NET *383 5.84207e-05 +*CONN +*I *2448:gpio_defaults[4] I *D gpio_control_block +*I *2438:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[4] 2.92104e-05 +2 *2438:gpio_defaults[4] 2.92104e-05 +3 *2448:gpio_defaults[4] *2448:gpio_defaults[5] 0 +4 *2448:gpio_defaults[3] *2448:gpio_defaults[4] 0 +*RES +1 *2438:gpio_defaults[4] *2448:gpio_defaults[4] 0.830504 +*END + +*D_NET *384 5.84207e-05 +*CONN +*I *2448:gpio_defaults[5] I *D gpio_control_block +*I *2438:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[5] 2.92104e-05 +2 *2438:gpio_defaults[5] 2.92104e-05 +3 *2448:gpio_defaults[5] *2448:gpio_defaults[6] 0 +4 *2448:gpio_defaults[4] *2448:gpio_defaults[5] 0 +*RES +1 *2438:gpio_defaults[5] *2448:gpio_defaults[5] 0.830504 +*END + +*D_NET *385 5.84207e-05 +*CONN +*I *2448:gpio_defaults[6] I *D gpio_control_block +*I *2438:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[6] 2.92104e-05 +2 *2438:gpio_defaults[6] 2.92104e-05 +3 *2448:gpio_defaults[6] *2448:gpio_defaults[7] 0 +4 *2448:gpio_defaults[5] *2448:gpio_defaults[6] 0 +*RES +1 *2438:gpio_defaults[6] *2448:gpio_defaults[6] 0.830504 +*END + +*D_NET *386 5.84207e-05 +*CONN +*I *2448:gpio_defaults[7] I *D gpio_control_block +*I *2438:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[7] 2.92104e-05 +2 *2438:gpio_defaults[7] 2.92104e-05 +3 *2448:gpio_defaults[7] *2448:gpio_defaults[8] 0 +4 *2448:gpio_defaults[6] *2448:gpio_defaults[7] 0 +*RES +1 *2438:gpio_defaults[7] *2448:gpio_defaults[7] 0.830504 +*END + +*D_NET *387 5.84207e-05 +*CONN +*I *2448:gpio_defaults[8] I *D gpio_control_block +*I *2438:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[8] 2.92104e-05 +2 *2438:gpio_defaults[8] 2.92104e-05 +3 *2448:gpio_defaults[8] *2448:gpio_defaults[9] 0 +4 *2448:gpio_defaults[7] *2448:gpio_defaults[8] 0 +*RES +1 *2438:gpio_defaults[8] *2448:gpio_defaults[8] 0.830504 +*END + +*D_NET *388 5.84207e-05 +*CONN +*I *2448:gpio_defaults[9] I *D gpio_control_block +*I *2438:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[9] 2.92104e-05 +2 *2438:gpio_defaults[9] 2.92104e-05 +3 *2448:gpio_defaults[9] *2448:gpio_defaults[10] 0 +4 *2448:gpio_defaults[8] *2448:gpio_defaults[9] 0 +*RES +1 *2438:gpio_defaults[9] *2448:gpio_defaults[9] 0.830504 +*END + +*D_NET *389 5.84207e-05 +*CONN +*I *2448:gpio_defaults[10] I *D gpio_control_block +*I *2438:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[10] 2.92104e-05 +2 *2438:gpio_defaults[10] 2.92104e-05 +3 *2448:gpio_defaults[10] *2448:gpio_defaults[11] 0 +4 *2448:gpio_defaults[9] *2448:gpio_defaults[10] 0 +*RES +1 *2438:gpio_defaults[10] *2448:gpio_defaults[10] 0.830504 +*END + +*D_NET *390 5.84207e-05 +*CONN +*I *2448:gpio_defaults[11] I *D gpio_control_block +*I *2438:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[11] 2.92104e-05 +2 *2438:gpio_defaults[11] 2.92104e-05 +3 *2448:gpio_defaults[11] *2448:gpio_defaults[12] 0 +4 *2448:gpio_defaults[10] *2448:gpio_defaults[11] 0 +*RES +1 *2438:gpio_defaults[11] *2448:gpio_defaults[11] 0.830504 +*END + +*D_NET *391 5.84207e-05 +*CONN +*I *2455:gpio_defaults[8] I *D gpio_control_block +*I *2424:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[8] 2.92104e-05 +2 *2424:gpio_defaults[8] 2.92104e-05 +3 *2455:gpio_defaults[8] *2455:gpio_defaults[9] 0 +4 *2455:gpio_defaults[7] *2455:gpio_defaults[8] 0 +*RES +1 *2424:gpio_defaults[8] *2455:gpio_defaults[8] 0.830504 +*END + +*D_NET *392 5.84207e-05 +*CONN +*I *2448:gpio_defaults[12] I *D gpio_control_block +*I *2438:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[12] 2.92104e-05 +2 *2438:gpio_defaults[12] 2.92104e-05 +3 *2448:gpio_defaults[11] *2448:gpio_defaults[12] 0 +*RES +1 *2438:gpio_defaults[12] *2448:gpio_defaults[12] 0.830504 +*END + +*D_NET *393 5.84207e-05 +*CONN +*I *2455:gpio_defaults[9] I *D gpio_control_block +*I *2424:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[9] 2.92104e-05 +2 *2424:gpio_defaults[9] 2.92104e-05 +3 *2455:gpio_defaults[9] *2455:gpio_defaults[10] 0 +4 *2455:gpio_defaults[8] *2455:gpio_defaults[9] 0 +*RES +1 *2424:gpio_defaults[9] *2455:gpio_defaults[9] 0.830504 +*END + +*D_NET *394 5.84207e-05 +*CONN +*I *2455:gpio_defaults[10] I *D gpio_control_block +*I *2424:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[10] 2.92104e-05 +2 *2424:gpio_defaults[10] 2.92104e-05 +3 *2455:gpio_defaults[10] *2455:gpio_defaults[11] 0 +4 *2455:gpio_defaults[9] *2455:gpio_defaults[10] 0 +*RES +1 *2424:gpio_defaults[10] *2455:gpio_defaults[10] 0.830504 +*END + +*D_NET *395 5.84207e-05 +*CONN +*I *2455:gpio_defaults[11] I *D gpio_control_block +*I *2424:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[11] 2.92104e-05 +2 *2424:gpio_defaults[11] 2.92104e-05 +3 *2455:gpio_defaults[11] *2455:gpio_defaults[12] 0 +4 *2455:gpio_defaults[10] *2455:gpio_defaults[11] 0 +*RES +1 *2424:gpio_defaults[11] *2455:gpio_defaults[11] 0.830504 +*END + +*D_NET *396 5.84207e-05 +*CONN +*I *2455:gpio_defaults[12] I *D gpio_control_block +*I *2424:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[12] 2.92104e-05 +2 *2424:gpio_defaults[12] 2.92104e-05 +3 *2455:gpio_defaults[11] *2455:gpio_defaults[12] 0 +*RES +1 *2424:gpio_defaults[12] *2455:gpio_defaults[12] 0.830504 +*END + +*D_NET *397 5.84207e-05 +*CONN +*I *2456:gpio_defaults[0] I *D gpio_control_block +*I *2425:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[0] 2.92104e-05 +2 *2425:gpio_defaults[0] 2.92104e-05 +3 *2456:gpio_defaults[0] *2456:gpio_defaults[1] 0 +*RES +1 *2425:gpio_defaults[0] *2456:gpio_defaults[0] 0.830504 +*END + +*D_NET *398 5.84207e-05 +*CONN +*I *2444:gpio_defaults[3] I *D gpio_control_block +*I *2417:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[3] 2.92104e-05 +2 *2417:gpio_defaults[3] 2.92104e-05 +3 *2444:gpio_defaults[3] *2444:gpio_defaults[4] 0 +4 *2444:gpio_defaults[2] *2444:gpio_defaults[3] 0 +*RES +1 *2417:gpio_defaults[3] *2444:gpio_defaults[3] 0.830504 +*END + +*D_NET *399 5.84207e-05 +*CONN +*I *2456:gpio_defaults[1] I *D gpio_control_block +*I *2425:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[1] 2.92104e-05 +2 *2425:gpio_defaults[1] 2.92104e-05 +3 *2456:gpio_defaults[1] *2456:gpio_defaults[2] 0 +4 *2456:gpio_defaults[0] *2456:gpio_defaults[1] 0 +*RES +1 *2425:gpio_defaults[1] *2456:gpio_defaults[1] 0.830504 +*END + +*D_NET *400 5.84207e-05 +*CONN +*I *2456:gpio_defaults[2] I *D gpio_control_block +*I *2425:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[2] 2.92104e-05 +2 *2425:gpio_defaults[2] 2.92104e-05 +3 *2456:gpio_defaults[2] *2456:gpio_defaults[3] 0 +4 *2456:gpio_defaults[1] *2456:gpio_defaults[2] 0 +*RES +1 *2425:gpio_defaults[2] *2456:gpio_defaults[2] 0.830504 +*END + +*D_NET *401 5.84207e-05 +*CONN +*I *2456:gpio_defaults[3] I *D gpio_control_block +*I *2425:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[3] 2.92104e-05 +2 *2425:gpio_defaults[3] 2.92104e-05 +3 *2456:gpio_defaults[3] *2456:gpio_defaults[4] 0 +4 *2456:gpio_defaults[2] *2456:gpio_defaults[3] 0 +*RES +1 *2425:gpio_defaults[3] *2456:gpio_defaults[3] 0.830504 +*END + +*D_NET *402 5.84207e-05 +*CONN +*I *2456:gpio_defaults[4] I *D gpio_control_block +*I *2425:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[4] 2.92104e-05 +2 *2425:gpio_defaults[4] 2.92104e-05 +3 *2456:gpio_defaults[4] *2456:gpio_defaults[5] 0 +4 *2456:gpio_defaults[3] *2456:gpio_defaults[4] 0 +*RES +1 *2425:gpio_defaults[4] *2456:gpio_defaults[4] 0.830504 +*END + +*D_NET *403 5.84207e-05 +*CONN +*I *2456:gpio_defaults[5] I *D gpio_control_block +*I *2425:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[5] 2.92104e-05 +2 *2425:gpio_defaults[5] 2.92104e-05 +3 *2456:gpio_defaults[5] *2456:gpio_defaults[6] 0 +4 *2456:gpio_defaults[4] *2456:gpio_defaults[5] 0 +*RES +1 *2425:gpio_defaults[5] *2456:gpio_defaults[5] 0.830504 +*END + +*D_NET *404 5.84207e-05 +*CONN +*I *2456:gpio_defaults[6] I *D gpio_control_block +*I *2425:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[6] 2.92104e-05 +2 *2425:gpio_defaults[6] 2.92104e-05 +3 *2456:gpio_defaults[6] *2456:gpio_defaults[7] 0 +4 *2456:gpio_defaults[5] *2456:gpio_defaults[6] 0 +*RES +1 *2425:gpio_defaults[6] *2456:gpio_defaults[6] 0.830504 +*END + +*D_NET *405 5.84207e-05 +*CONN +*I *2456:gpio_defaults[7] I *D gpio_control_block +*I *2425:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[7] 2.92104e-05 +2 *2425:gpio_defaults[7] 2.92104e-05 +3 *2456:gpio_defaults[7] *2456:gpio_defaults[8] 0 +4 *2456:gpio_defaults[6] *2456:gpio_defaults[7] 0 +*RES +1 *2425:gpio_defaults[7] *2456:gpio_defaults[7] 0.830504 +*END + +*D_NET *406 5.84207e-05 +*CONN +*I *2456:gpio_defaults[8] I *D gpio_control_block +*I *2425:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[8] 2.92104e-05 +2 *2425:gpio_defaults[8] 2.92104e-05 +3 *2456:gpio_defaults[8] *2456:gpio_defaults[9] 0 +4 *2456:gpio_defaults[7] *2456:gpio_defaults[8] 0 +*RES +1 *2425:gpio_defaults[8] *2456:gpio_defaults[8] 0.830504 +*END + +*D_NET *407 5.84207e-05 +*CONN +*I *2456:gpio_defaults[9] I *D gpio_control_block +*I *2425:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[9] 2.92104e-05 +2 *2425:gpio_defaults[9] 2.92104e-05 +3 *2456:gpio_defaults[9] *2456:gpio_defaults[10] 0 +4 *2456:gpio_defaults[8] *2456:gpio_defaults[9] 0 +*RES +1 *2425:gpio_defaults[9] *2456:gpio_defaults[9] 0.830504 +*END + +*D_NET *408 5.84207e-05 +*CONN +*I *2456:gpio_defaults[10] I *D gpio_control_block +*I *2425:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[10] 2.92104e-05 +2 *2425:gpio_defaults[10] 2.92104e-05 +3 *2456:gpio_defaults[10] *2456:gpio_defaults[11] 0 +4 *2456:gpio_defaults[9] *2456:gpio_defaults[10] 0 +*RES +1 *2425:gpio_defaults[10] *2456:gpio_defaults[10] 0.830504 +*END + +*D_NET *409 5.84207e-05 +*CONN +*I *2444:gpio_defaults[4] I *D gpio_control_block +*I *2417:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[4] 2.92104e-05 +2 *2417:gpio_defaults[4] 2.92104e-05 +3 *2444:gpio_defaults[4] *2444:gpio_defaults[5] 0 +4 *2444:gpio_defaults[3] *2444:gpio_defaults[4] 0 +*RES +1 *2417:gpio_defaults[4] *2444:gpio_defaults[4] 0.830504 +*END + +*D_NET *410 5.84207e-05 +*CONN +*I *2456:gpio_defaults[11] I *D gpio_control_block +*I *2425:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[11] 2.92104e-05 +2 *2425:gpio_defaults[11] 2.92104e-05 +3 *2456:gpio_defaults[11] *2456:gpio_defaults[12] 0 +4 *2456:gpio_defaults[10] *2456:gpio_defaults[11] 0 +*RES +1 *2425:gpio_defaults[11] *2456:gpio_defaults[11] 0.830504 +*END + +*D_NET *411 5.84207e-05 +*CONN +*I *2456:gpio_defaults[12] I *D gpio_control_block +*I *2425:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[12] 2.92104e-05 +2 *2425:gpio_defaults[12] 2.92104e-05 +3 *2456:gpio_defaults[11] *2456:gpio_defaults[12] 0 +*RES +1 *2425:gpio_defaults[12] *2456:gpio_defaults[12] 0.830504 +*END + +*D_NET *412 5.84207e-05 +*CONN +*I *2457:gpio_defaults[0] I *D gpio_control_block +*I *2426:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[0] 2.92104e-05 +2 *2426:gpio_defaults[0] 2.92104e-05 +3 *2457:gpio_defaults[0] *2457:gpio_defaults[1] 0 +*RES +1 *2426:gpio_defaults[0] *2457:gpio_defaults[0] 0.830504 +*END + +*D_NET *413 5.84207e-05 +*CONN +*I *2457:gpio_defaults[1] I *D gpio_control_block +*I *2426:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[1] 2.92104e-05 +2 *2426:gpio_defaults[1] 2.92104e-05 +3 *2457:gpio_defaults[1] *2457:gpio_defaults[2] 0 +4 *2457:gpio_defaults[0] *2457:gpio_defaults[1] 0 +*RES +1 *2426:gpio_defaults[1] *2457:gpio_defaults[1] 0.830504 +*END + +*D_NET *414 5.84207e-05 +*CONN +*I *2457:gpio_defaults[2] I *D gpio_control_block +*I *2426:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[2] 2.92104e-05 +2 *2426:gpio_defaults[2] 2.92104e-05 +3 *2457:gpio_defaults[2] *2457:gpio_defaults[3] 0 +4 *2457:gpio_defaults[1] *2457:gpio_defaults[2] 0 +*RES +1 *2426:gpio_defaults[2] *2457:gpio_defaults[2] 0.830504 +*END + +*D_NET *415 5.84207e-05 +*CONN +*I *2457:gpio_defaults[3] I *D gpio_control_block +*I *2426:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[3] 2.92104e-05 +2 *2426:gpio_defaults[3] 2.92104e-05 +3 *2457:gpio_defaults[3] *2457:gpio_defaults[4] 0 +4 *2457:gpio_defaults[2] *2457:gpio_defaults[3] 0 +*RES +1 *2426:gpio_defaults[3] *2457:gpio_defaults[3] 0.830504 +*END + +*D_NET *416 5.84207e-05 +*CONN +*I *2457:gpio_defaults[4] I *D gpio_control_block +*I *2426:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[4] 2.92104e-05 +2 *2426:gpio_defaults[4] 2.92104e-05 +3 *2457:gpio_defaults[4] *2457:gpio_defaults[5] 0 +4 *2457:gpio_defaults[3] *2457:gpio_defaults[4] 0 +*RES +1 *2426:gpio_defaults[4] *2457:gpio_defaults[4] 0.830504 +*END + +*D_NET *417 5.84207e-05 +*CONN +*I *2457:gpio_defaults[5] I *D gpio_control_block +*I *2426:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[5] 2.92104e-05 +2 *2426:gpio_defaults[5] 2.92104e-05 +3 *2457:gpio_defaults[5] *2457:gpio_defaults[6] 0 +4 *2457:gpio_defaults[4] *2457:gpio_defaults[5] 0 +*RES +1 *2426:gpio_defaults[5] *2457:gpio_defaults[5] 0.830504 +*END + +*D_NET *418 5.84207e-05 +*CONN +*I *2457:gpio_defaults[6] I *D gpio_control_block +*I *2426:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[6] 2.92104e-05 +2 *2426:gpio_defaults[6] 2.92104e-05 +3 *2457:gpio_defaults[6] *2457:gpio_defaults[7] 0 +4 *2457:gpio_defaults[5] *2457:gpio_defaults[6] 0 +*RES +1 *2426:gpio_defaults[6] *2457:gpio_defaults[6] 0.830504 +*END + +*D_NET *419 5.84207e-05 +*CONN +*I *2457:gpio_defaults[7] I *D gpio_control_block +*I *2426:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[7] 2.92104e-05 +2 *2426:gpio_defaults[7] 2.92104e-05 +3 *2457:gpio_defaults[7] *2457:gpio_defaults[8] 0 +4 *2457:gpio_defaults[6] *2457:gpio_defaults[7] 0 +*RES +1 *2426:gpio_defaults[7] *2457:gpio_defaults[7] 0.830504 +*END + +*D_NET *420 5.84207e-05 +*CONN +*I *2444:gpio_defaults[5] I *D gpio_control_block +*I *2417:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[5] 2.92104e-05 +2 *2417:gpio_defaults[5] 2.92104e-05 +3 *2444:gpio_defaults[5] *2444:gpio_defaults[6] 0 +4 *2444:gpio_defaults[4] *2444:gpio_defaults[5] 0 +*RES +1 *2417:gpio_defaults[5] *2444:gpio_defaults[5] 0.830504 +*END + +*D_NET *421 5.84207e-05 +*CONN +*I *2457:gpio_defaults[8] I *D gpio_control_block +*I *2426:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[8] 2.92104e-05 +2 *2426:gpio_defaults[8] 2.92104e-05 +3 *2457:gpio_defaults[8] *2457:gpio_defaults[9] 0 +4 *2457:gpio_defaults[7] *2457:gpio_defaults[8] 0 +*RES +1 *2426:gpio_defaults[8] *2457:gpio_defaults[8] 0.830504 +*END + +*D_NET *422 5.84207e-05 +*CONN +*I *2457:gpio_defaults[9] I *D gpio_control_block +*I *2426:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[9] 2.92104e-05 +2 *2426:gpio_defaults[9] 2.92104e-05 +3 *2457:gpio_defaults[9] *2457:gpio_defaults[10] 0 +4 *2457:gpio_defaults[8] *2457:gpio_defaults[9] 0 +*RES +1 *2426:gpio_defaults[9] *2457:gpio_defaults[9] 0.830504 +*END + +*D_NET *423 5.84207e-05 +*CONN +*I *2457:gpio_defaults[10] I *D gpio_control_block +*I *2426:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[10] 2.92104e-05 +2 *2426:gpio_defaults[10] 2.92104e-05 +3 *2457:gpio_defaults[10] *2457:gpio_defaults[11] 0 +4 *2457:gpio_defaults[9] *2457:gpio_defaults[10] 0 +*RES +1 *2426:gpio_defaults[10] *2457:gpio_defaults[10] 0.830504 +*END + +*D_NET *424 5.84207e-05 +*CONN +*I *2457:gpio_defaults[11] I *D gpio_control_block +*I *2426:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[11] 2.92104e-05 +2 *2426:gpio_defaults[11] 2.92104e-05 +3 *2457:gpio_defaults[11] *2457:gpio_defaults[12] 0 +4 *2457:gpio_defaults[10] *2457:gpio_defaults[11] 0 +*RES +1 *2426:gpio_defaults[11] *2457:gpio_defaults[11] 0.830504 +*END + +*D_NET *425 5.84207e-05 +*CONN +*I *2457:gpio_defaults[12] I *D gpio_control_block +*I *2426:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[12] 2.92104e-05 +2 *2426:gpio_defaults[12] 2.92104e-05 +3 *2457:gpio_defaults[11] *2457:gpio_defaults[12] 0 +*RES +1 *2426:gpio_defaults[12] *2457:gpio_defaults[12] 0.830504 +*END + +*D_NET *426 5.84207e-05 +*CONN +*I *2458:gpio_defaults[0] I *D gpio_control_block +*I *2439:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[0] 2.92104e-05 +2 *2439:gpio_defaults[0] 2.92104e-05 +3 *2458:gpio_defaults[0] *2458:gpio_defaults[1] 0 +*RES +1 *2439:gpio_defaults[0] *2458:gpio_defaults[0] 0.830504 +*END + +*D_NET *427 5.84207e-05 +*CONN +*I *2458:gpio_defaults[1] I *D gpio_control_block +*I *2439:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[1] 2.92104e-05 +2 *2439:gpio_defaults[1] 2.92104e-05 +3 *2458:gpio_defaults[1] *2458:gpio_defaults[2] 0 +4 *2458:gpio_defaults[0] *2458:gpio_defaults[1] 0 +*RES +1 *2439:gpio_defaults[1] *2458:gpio_defaults[1] 0.830504 +*END + +*D_NET *428 5.84207e-05 +*CONN +*I *2458:gpio_defaults[2] I *D gpio_control_block +*I *2439:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[2] 2.92104e-05 +2 *2439:gpio_defaults[2] 2.92104e-05 +3 *2458:gpio_defaults[2] *2458:gpio_defaults[3] 0 +4 *2458:gpio_defaults[1] *2458:gpio_defaults[2] 0 +*RES +1 *2439:gpio_defaults[2] *2458:gpio_defaults[2] 0.830504 +*END + +*D_NET *429 5.84207e-05 +*CONN +*I *2458:gpio_defaults[3] I *D gpio_control_block +*I *2439:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[3] 2.92104e-05 +2 *2439:gpio_defaults[3] 2.92104e-05 +3 *2458:gpio_defaults[3] *2458:gpio_defaults[4] 0 +4 *2458:gpio_defaults[2] *2458:gpio_defaults[3] 0 +*RES +1 *2439:gpio_defaults[3] *2458:gpio_defaults[3] 0.830504 +*END + +*D_NET *430 5.84207e-05 +*CONN +*I *2458:gpio_defaults[4] I *D gpio_control_block +*I *2439:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[4] 2.92104e-05 +2 *2439:gpio_defaults[4] 2.92104e-05 +3 *2458:gpio_defaults[4] *2458:gpio_defaults[5] 0 +4 *2458:gpio_defaults[3] *2458:gpio_defaults[4] 0 +*RES +1 *2439:gpio_defaults[4] *2458:gpio_defaults[4] 0.830504 +*END + +*D_NET *431 5.84207e-05 +*CONN +*I *2444:gpio_defaults[6] I *D gpio_control_block +*I *2417:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[6] 2.92104e-05 +2 *2417:gpio_defaults[6] 2.92104e-05 +3 *2444:gpio_defaults[6] *2444:gpio_defaults[7] 0 +4 *2444:gpio_defaults[5] *2444:gpio_defaults[6] 0 +*RES +1 *2417:gpio_defaults[6] *2444:gpio_defaults[6] 0.830504 +*END + +*D_NET *432 5.84207e-05 +*CONN +*I *2458:gpio_defaults[5] I *D gpio_control_block +*I *2439:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[5] 2.92104e-05 +2 *2439:gpio_defaults[5] 2.92104e-05 +3 *2458:gpio_defaults[5] *2458:gpio_defaults[6] 0 +4 *2458:gpio_defaults[4] *2458:gpio_defaults[5] 0 +*RES +1 *2439:gpio_defaults[5] *2458:gpio_defaults[5] 0.830504 +*END + +*D_NET *433 5.84207e-05 +*CONN +*I *2458:gpio_defaults[6] I *D gpio_control_block +*I *2439:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[6] 2.92104e-05 +2 *2439:gpio_defaults[6] 2.92104e-05 +3 *2458:gpio_defaults[6] *2458:gpio_defaults[7] 0 +4 *2458:gpio_defaults[5] *2458:gpio_defaults[6] 0 +*RES +1 *2439:gpio_defaults[6] *2458:gpio_defaults[6] 0.830504 +*END + +*D_NET *434 5.84207e-05 +*CONN +*I *2458:gpio_defaults[7] I *D gpio_control_block +*I *2439:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[7] 2.92104e-05 +2 *2439:gpio_defaults[7] 2.92104e-05 +3 *2458:gpio_defaults[7] *2458:gpio_defaults[8] 0 +4 *2458:gpio_defaults[6] *2458:gpio_defaults[7] 0 +*RES +1 *2439:gpio_defaults[7] *2458:gpio_defaults[7] 0.830504 +*END + +*D_NET *435 5.84207e-05 +*CONN +*I *2458:gpio_defaults[8] I *D gpio_control_block +*I *2439:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[8] 2.92104e-05 +2 *2439:gpio_defaults[8] 2.92104e-05 +3 *2458:gpio_defaults[8] *2458:gpio_defaults[9] 0 +4 *2458:gpio_defaults[7] *2458:gpio_defaults[8] 0 +*RES +1 *2439:gpio_defaults[8] *2458:gpio_defaults[8] 0.830504 +*END + +*D_NET *436 5.84207e-05 +*CONN +*I *2458:gpio_defaults[9] I *D gpio_control_block +*I *2439:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[9] 2.92104e-05 +2 *2439:gpio_defaults[9] 2.92104e-05 +3 *2458:gpio_defaults[9] *2458:gpio_defaults[10] 0 +4 *2458:gpio_defaults[8] *2458:gpio_defaults[9] 0 +*RES +1 *2439:gpio_defaults[9] *2458:gpio_defaults[9] 0.830504 +*END + +*D_NET *437 5.84207e-05 +*CONN +*I *2458:gpio_defaults[10] I *D gpio_control_block +*I *2439:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[10] 2.92104e-05 +2 *2439:gpio_defaults[10] 2.92104e-05 +3 *2458:gpio_defaults[10] *2458:gpio_defaults[11] 0 +4 *2458:gpio_defaults[9] *2458:gpio_defaults[10] 0 +*RES +1 *2439:gpio_defaults[10] *2458:gpio_defaults[10] 0.830504 +*END + +*D_NET *438 5.84207e-05 +*CONN +*I *2458:gpio_defaults[11] I *D gpio_control_block +*I *2439:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[11] 2.92104e-05 +2 *2439:gpio_defaults[11] 2.92104e-05 +3 *2458:gpio_defaults[11] *2458:gpio_defaults[12] 0 +4 *2458:gpio_defaults[10] *2458:gpio_defaults[11] 0 +*RES +1 *2439:gpio_defaults[11] *2458:gpio_defaults[11] 0.830504 +*END + +*D_NET *439 5.84207e-05 +*CONN +*I *2458:gpio_defaults[12] I *D gpio_control_block +*I *2439:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[12] 2.92104e-05 +2 *2439:gpio_defaults[12] 2.92104e-05 +3 *2458:gpio_defaults[11] *2458:gpio_defaults[12] 0 +*RES +1 *2439:gpio_defaults[12] *2458:gpio_defaults[12] 0.830504 +*END + +*D_NET *440 5.84207e-05 +*CONN +*I *2459:gpio_defaults[0] I *D gpio_control_block +*I *2440:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[0] 2.92104e-05 +2 *2440:gpio_defaults[0] 2.92104e-05 +3 *2459:gpio_defaults[0] *2459:gpio_defaults[1] 0 +*RES +1 *2440:gpio_defaults[0] *2459:gpio_defaults[0] 0.830504 +*END + +*D_NET *441 5.84207e-05 +*CONN +*I *2459:gpio_defaults[1] I *D gpio_control_block +*I *2440:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[1] 2.92104e-05 +2 *2440:gpio_defaults[1] 2.92104e-05 +3 *2459:gpio_defaults[1] *2459:gpio_defaults[2] 0 +4 *2459:gpio_defaults[0] *2459:gpio_defaults[1] 0 +*RES +1 *2440:gpio_defaults[1] *2459:gpio_defaults[1] 0.830504 +*END + +*D_NET *442 5.84207e-05 +*CONN +*I *2444:gpio_defaults[7] I *D gpio_control_block +*I *2417:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[7] 2.92104e-05 +2 *2417:gpio_defaults[7] 2.92104e-05 +3 *2444:gpio_defaults[7] *2444:gpio_defaults[8] 0 +4 *2444:gpio_defaults[6] *2444:gpio_defaults[7] 0 +*RES +1 *2417:gpio_defaults[7] *2444:gpio_defaults[7] 0.830504 +*END + +*D_NET *443 5.84207e-05 +*CONN +*I *2459:gpio_defaults[2] I *D gpio_control_block +*I *2440:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[2] 2.92104e-05 +2 *2440:gpio_defaults[2] 2.92104e-05 +3 *2459:gpio_defaults[2] *2459:gpio_defaults[3] 0 +4 *2459:gpio_defaults[1] *2459:gpio_defaults[2] 0 +*RES +1 *2440:gpio_defaults[2] *2459:gpio_defaults[2] 0.830504 +*END + +*D_NET *444 5.84207e-05 +*CONN +*I *2459:gpio_defaults[3] I *D gpio_control_block +*I *2440:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[3] 2.92104e-05 +2 *2440:gpio_defaults[3] 2.92104e-05 +3 *2459:gpio_defaults[3] *2459:gpio_defaults[4] 0 +4 *2459:gpio_defaults[2] *2459:gpio_defaults[3] 0 +*RES +1 *2440:gpio_defaults[3] *2459:gpio_defaults[3] 0.830504 +*END + +*D_NET *445 5.84207e-05 +*CONN +*I *2459:gpio_defaults[4] I *D gpio_control_block +*I *2440:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[4] 2.92104e-05 +2 *2440:gpio_defaults[4] 2.92104e-05 +3 *2459:gpio_defaults[4] *2459:gpio_defaults[5] 0 +4 *2459:gpio_defaults[3] *2459:gpio_defaults[4] 0 +*RES +1 *2440:gpio_defaults[4] *2459:gpio_defaults[4] 0.830504 +*END + +*D_NET *446 5.84207e-05 +*CONN +*I *2459:gpio_defaults[5] I *D gpio_control_block +*I *2440:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[5] 2.92104e-05 +2 *2440:gpio_defaults[5] 2.92104e-05 +3 *2459:gpio_defaults[5] *2459:gpio_defaults[6] 0 +4 *2459:gpio_defaults[4] *2459:gpio_defaults[5] 0 +*RES +1 *2440:gpio_defaults[5] *2459:gpio_defaults[5] 0.830504 +*END + +*D_NET *447 5.84207e-05 +*CONN +*I *2459:gpio_defaults[6] I *D gpio_control_block +*I *2440:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[6] 2.92104e-05 +2 *2440:gpio_defaults[6] 2.92104e-05 +3 *2459:gpio_defaults[6] *2459:gpio_defaults[7] 0 +4 *2459:gpio_defaults[5] *2459:gpio_defaults[6] 0 +*RES +1 *2440:gpio_defaults[6] *2459:gpio_defaults[6] 0.830504 +*END + +*D_NET *448 5.84207e-05 +*CONN +*I *2459:gpio_defaults[7] I *D gpio_control_block +*I *2440:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[7] 2.92104e-05 +2 *2440:gpio_defaults[7] 2.92104e-05 +3 *2459:gpio_defaults[7] *2459:gpio_defaults[8] 0 +4 *2459:gpio_defaults[6] *2459:gpio_defaults[7] 0 +*RES +1 *2440:gpio_defaults[7] *2459:gpio_defaults[7] 0.830504 +*END + +*D_NET *449 5.84207e-05 +*CONN +*I *2459:gpio_defaults[8] I *D gpio_control_block +*I *2440:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[8] 2.92104e-05 +2 *2440:gpio_defaults[8] 2.92104e-05 +3 *2459:gpio_defaults[8] *2459:gpio_defaults[9] 0 +4 *2459:gpio_defaults[7] *2459:gpio_defaults[8] 0 +*RES +1 *2440:gpio_defaults[8] *2459:gpio_defaults[8] 0.830504 +*END + +*D_NET *450 5.84207e-05 +*CONN +*I *2459:gpio_defaults[9] I *D gpio_control_block +*I *2440:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[9] 2.92104e-05 +2 *2440:gpio_defaults[9] 2.92104e-05 +3 *2459:gpio_defaults[9] *2459:gpio_defaults[10] 0 +4 *2459:gpio_defaults[8] *2459:gpio_defaults[9] 0 +*RES +1 *2440:gpio_defaults[9] *2459:gpio_defaults[9] 0.830504 +*END + +*D_NET *451 5.84207e-05 +*CONN +*I *2459:gpio_defaults[10] I *D gpio_control_block +*I *2440:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[10] 2.92104e-05 +2 *2440:gpio_defaults[10] 2.92104e-05 +3 *2459:gpio_defaults[10] *2459:gpio_defaults[11] 0 +4 *2459:gpio_defaults[9] *2459:gpio_defaults[10] 0 +*RES +1 *2440:gpio_defaults[10] *2459:gpio_defaults[10] 0.830504 +*END + +*D_NET *452 5.84207e-05 +*CONN +*I *2459:gpio_defaults[11] I *D gpio_control_block +*I *2440:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[11] 2.92104e-05 +2 *2440:gpio_defaults[11] 2.92104e-05 +3 *2459:gpio_defaults[11] *2459:gpio_defaults[12] 0 +4 *2459:gpio_defaults[10] *2459:gpio_defaults[11] 0 +*RES +1 *2440:gpio_defaults[11] *2459:gpio_defaults[11] 0.830504 +*END + +*D_NET *453 5.84207e-05 +*CONN +*I *2444:gpio_defaults[8] I *D gpio_control_block +*I *2417:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[8] 2.92104e-05 +2 *2417:gpio_defaults[8] 2.92104e-05 +3 *2444:gpio_defaults[8] *2444:gpio_defaults[9] 0 +4 *2444:gpio_defaults[7] *2444:gpio_defaults[8] 0 +*RES +1 *2417:gpio_defaults[8] *2444:gpio_defaults[8] 0.830504 +*END + +*D_NET *454 5.84207e-05 +*CONN +*I *2459:gpio_defaults[12] I *D gpio_control_block +*I *2440:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[12] 2.92104e-05 +2 *2440:gpio_defaults[12] 2.92104e-05 +3 *2459:gpio_defaults[11] *2459:gpio_defaults[12] 0 +*RES +1 *2440:gpio_defaults[12] *2459:gpio_defaults[12] 0.830504 +*END + +*D_NET *455 5.84207e-05 +*CONN +*I *2460:gpio_defaults[0] I *D gpio_control_block +*I *2441:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[0] 2.92104e-05 +2 *2441:gpio_defaults[0] 2.92104e-05 +3 *2460:gpio_defaults[0] *2460:gpio_defaults[1] 0 +*RES +1 *2441:gpio_defaults[0] *2460:gpio_defaults[0] 0.830504 +*END + +*D_NET *456 5.84207e-05 +*CONN +*I *2460:gpio_defaults[1] I *D gpio_control_block +*I *2441:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[1] 2.92104e-05 +2 *2441:gpio_defaults[1] 2.92104e-05 +3 *2460:gpio_defaults[1] *2460:gpio_defaults[2] 0 +4 *2460:gpio_defaults[0] *2460:gpio_defaults[1] 0 +*RES +1 *2441:gpio_defaults[1] *2460:gpio_defaults[1] 0.830504 +*END + +*D_NET *457 5.84207e-05 +*CONN +*I *2460:gpio_defaults[2] I *D gpio_control_block +*I *2441:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[2] 2.92104e-05 +2 *2441:gpio_defaults[2] 2.92104e-05 +3 *2460:gpio_defaults[2] *2460:gpio_defaults[3] 0 +4 *2460:gpio_defaults[1] *2460:gpio_defaults[2] 0 +*RES +1 *2441:gpio_defaults[2] *2460:gpio_defaults[2] 0.830504 +*END + +*D_NET *458 5.84207e-05 +*CONN +*I *2460:gpio_defaults[3] I *D gpio_control_block +*I *2441:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[3] 2.92104e-05 +2 *2441:gpio_defaults[3] 2.92104e-05 +3 *2460:gpio_defaults[3] *2460:gpio_defaults[4] 0 +4 *2460:gpio_defaults[2] *2460:gpio_defaults[3] 0 +*RES +1 *2441:gpio_defaults[3] *2460:gpio_defaults[3] 0.830504 +*END + +*D_NET *459 5.84207e-05 +*CONN +*I *2460:gpio_defaults[4] I *D gpio_control_block +*I *2441:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[4] 2.92104e-05 +2 *2441:gpio_defaults[4] 2.92104e-05 +3 *2460:gpio_defaults[4] *2460:gpio_defaults[5] 0 +4 *2460:gpio_defaults[3] *2460:gpio_defaults[4] 0 +*RES +1 *2441:gpio_defaults[4] *2460:gpio_defaults[4] 0.830504 +*END + +*D_NET *460 5.84207e-05 +*CONN +*I *2460:gpio_defaults[5] I *D gpio_control_block +*I *2441:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[5] 2.92104e-05 +2 *2441:gpio_defaults[5] 2.92104e-05 +3 *2460:gpio_defaults[5] *2460:gpio_defaults[6] 0 +4 *2460:gpio_defaults[4] *2460:gpio_defaults[5] 0 +*RES +1 *2441:gpio_defaults[5] *2460:gpio_defaults[5] 0.830504 +*END + +*D_NET *461 5.84207e-05 +*CONN +*I *2460:gpio_defaults[6] I *D gpio_control_block +*I *2441:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[6] 2.92104e-05 +2 *2441:gpio_defaults[6] 2.92104e-05 +3 *2460:gpio_defaults[6] *2460:gpio_defaults[7] 0 +4 *2460:gpio_defaults[5] *2460:gpio_defaults[6] 0 +*RES +1 *2441:gpio_defaults[6] *2460:gpio_defaults[6] 0.830504 +*END + +*D_NET *462 5.84207e-05 +*CONN +*I *2460:gpio_defaults[7] I *D gpio_control_block +*I *2441:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[7] 2.92104e-05 +2 *2441:gpio_defaults[7] 2.92104e-05 +3 *2460:gpio_defaults[7] *2460:gpio_defaults[8] 0 +4 *2460:gpio_defaults[6] *2460:gpio_defaults[7] 0 +*RES +1 *2441:gpio_defaults[7] *2460:gpio_defaults[7] 0.830504 +*END + +*D_NET *463 5.84207e-05 +*CONN +*I *2460:gpio_defaults[8] I *D gpio_control_block +*I *2441:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[8] 2.92104e-05 +2 *2441:gpio_defaults[8] 2.92104e-05 +3 *2460:gpio_defaults[7] *2460:gpio_defaults[8] 0 +4 *2460:gpio_defaults[9] *2460:gpio_defaults[8] 0 +*RES +1 *2441:gpio_defaults[8] *2460:gpio_defaults[8] 0.830504 +*END + +*D_NET *464 5.84207e-05 +*CONN +*I *2444:gpio_defaults[9] I *D gpio_control_block +*I *2417:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[9] 2.92104e-05 +2 *2417:gpio_defaults[9] 2.92104e-05 +3 *2444:gpio_defaults[10] *2444:gpio_defaults[9] 0 +4 *2444:gpio_defaults[8] *2444:gpio_defaults[9] 0 +*RES +1 *2417:gpio_defaults[9] *2444:gpio_defaults[9] 0.830504 +*END + +*D_NET *465 0.393839 +*CONN +*I *2478:gpio_in_pad I *D mgmt_core_wrapper +*I *2474:gpio_in_core O *D chip_io_alt +*CAP +1 *2478:gpio_in_pad 0.00159363 +2 *2474:gpio_in_core 0.00071862 +3 *465:22 0.04014 +4 *465:21 0.0385464 +5 *465:19 0.00157977 +6 *465:16 0.00883029 +7 *465:15 0.00796915 +8 *465:15 *2474:gpio_mode0_core 0.00048572 +9 *465:15 *481:25 0.00123957 +10 *465:16 *481:20 0.00669929 +11 *465:22 *2243:10 0.141513 +12 *2474:flash_io1_oeb_core *465:19 0.000586138 +13 *67:29 *465:22 0.00273662 +14 *73:16 *465:22 0.141201 +15 *80:16 *465:15 0 +*RES +1 *2474:gpio_in_core *465:15 41.3281 +2 *465:15 *465:16 231.993 +3 *465:16 *465:19 48.2725 +4 *465:19 *465:21 4.5 +5 *465:21 *465:22 2353.91 +6 *465:22 *2478:gpio_in_pad 49.2862 +*END + +*D_NET *466 0.183851 +*CONN +*I *2474:gpio_inenb_core I *D chip_io_alt +*I *2478:gpio_inenb_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_inenb_core 0.00147677 +2 *2478:gpio_inenb_pad 0.00106801 +3 *466:10 0.0679332 +4 *466:9 0.0675245 +5 *466:10 *481:10 0.0347446 +6 *466:10 *482:10 0.00694851 +7 *466:10 *483:10 0.00385697 +8 *66:28 *466:10 0.000289628 +9 *89:28 *2474:gpio_inenb_core 8.63825e-06 +*RES +1 *2478:gpio_inenb_pad *466:9 32.9983 +2 *466:9 *466:10 254.112 +3 *466:10 *2474:gpio_inenb_core 10.0516 +*END + +*D_NET *467 1.46848 +*CONN +*I *2445:serial_load I *D gpio_control_block +*I *2444:serial_load_out O *D gpio_control_block +*I *2462:serial_load I *D gpio_control_block +*I *2461:serial_load_out O *D gpio_control_block +*CAP +1 *2445:serial_load 0.000389369 +2 *2444:serial_load_out 0.000292434 +3 *2462:serial_load 0.000687711 +4 *2461:serial_load_out 0.00711199 +5 *467:55 0.00380331 +6 *467:53 0.00378768 +7 *467:48 0.00627146 +8 *467:42 0.0292856 +9 *467:41 0.0239328 +10 *467:33 0.0324181 +11 *467:32 0.0321656 +12 *467:30 0.00464583 +13 *467:29 0.005036 +14 *467:12 0.00818988 +15 *2444:serial_load_out *2444:serial_load 3.84497e-05 +16 *2444:serial_load_out *2444:user_gpio_oeb 0 +17 *2444:serial_load_out *2329:13 9.52305e-06 +18 *2444:serial_load_out *2356:22 0.000117185 +19 *2445:serial_load *2445:serial_load_out 0.000159506 +20 *2445:serial_load *503:13 3.84497e-05 +21 *467:12 *2461:serial_load 5.35899e-05 +22 *467:12 *2474:mprj_io_analog_en[14] 0 +23 *467:12 *2334:13 5.02212e-05 +24 *467:33 *485:41 0.0232972 +25 *467:33 *489:29 0.194161 +26 *467:33 *490:16 0.160109 +27 *467:33 *498:22 0 +28 *467:33 *1178:7 0.00366745 +29 *467:41 *480:25 8.51012e-06 +30 *467:41 *485:41 0.000349904 +31 *467:41 *485:44 0 +32 *467:41 *498:17 0 +33 *467:41 *1574:18 0.000406539 +34 *467:42 *480:17 0.401551 +35 *467:42 *485:44 0.000102622 +36 *467:42 *498:17 0.401502 +37 *467:42 *1550:24 0.0470158 +38 *467:42 *1578:15 0.0146612 +39 *467:48 *485:50 0.0169073 +40 *467:48 *1850:14 0.000682375 +41 *467:48 *1937:14 0.000850726 +42 *467:48 *2066:14 0.000825799 +43 *467:53 *489:49 0.000235981 +44 *467:55 *2474:mprj_io_analog_en[1] 0.000202472 +45 *467:55 *2474:mprj_io_analog_pol[1] 0.00134341 +46 *467:55 *2474:mprj_io_analog_sel[1] 4.3116e-06 +47 *467:55 *2474:mprj_io_dm[3] 0.000215112 +48 *467:55 *2474:mprj_io_dm[5] 0.000791367 +49 *467:55 *2474:mprj_io_ib_mode_sel[1] 0.000197391 +50 *467:55 *2474:mprj_io_oeb[1] 0.00156332 +51 *467:55 *2474:mprj_io_vtrip_sel[1] 3.87462e-05 +52 *467:55 *485:61 0.00178881 +53 *467:55 *485:65 0.00318611 +54 *467:55 *1842:16 0.000819535 +55 *467:55 *1913:19 8.13993e-05 +56 *467:55 *2085:8 0 +57 *467:55 *2112:14 0 +58 *467:55 *2166:14 0 +59 *100:42 *2462:serial_load 7.50991e-05 +60 *100:42 *467:12 0.0127171 +61 *100:42 *467:29 5.76799e-05 +62 *100:74 *467:33 0.00580022 +63 *100:74 *467:41 0 +64 *100:80 *467:53 0.000199624 +65 *100:82 *467:53 2.9959e-05 +66 *100:84 *467:53 0 +67 *100:84 *467:55 0.0019163 +68 *100:90 *467:55 0.00530294 +69 *100:96 *2444:serial_load_out 0 +70 *100:96 *467:55 0.00687522 +71 *104:34 *467:33 0.000258189 +72 *104:56 *467:53 0.000196407 +*RES +1 *2461:serial_load_out *467:12 36.6965 +2 *467:12 *2462:serial_load 2.84993 +3 *467:12 *467:29 10.1983 +4 *467:29 *467:30 128.282 +5 *467:30 *467:32 4.5 +6 *467:32 *467:33 3405.45 +7 *467:33 *467:41 18.0312 +8 *467:41 *467:42 507.906 +9 *467:42 *467:48 35.3773 +10 *467:48 *467:53 16.1928 +11 *467:53 *467:55 260.742 +12 *467:55 *2444:serial_load_out 19.7848 +13 *467:48 *2445:serial_load 1.13405 +*END + +*D_NET *468 0.820491 +*CONN +*I *2452:serial_load I *D gpio_control_block +*I *2446:serial_load_out O *D gpio_control_block +*I *2447:serial_load I *D gpio_control_block +*I *2451:serial_load_out O *D gpio_control_block +*CAP +1 *2452:serial_load 0.00037691 +2 *2446:serial_load_out 0.00653291 +3 *2447:serial_load 0.002121 +4 *2451:serial_load_out 0.000586624 +5 *468:54 0.00121046 +6 *468:49 0.0101715 +7 *468:48 0.00933794 +8 *468:23 0.00865391 +9 *468:21 0.168445 +10 *468:20 0.168445 +11 *468:18 0.017762 +12 *468:17 0.0178189 +13 *468:13 0.000643479 +14 *2446:serial_load_out *2446:serial_load 0.0002035 +15 *2446:serial_load_out *2448:serial_load 1.80524e-05 +16 *2446:serial_load_out *2474:mprj_io_ib_mode_sel[24] 0.000309803 +17 *2446:serial_load_out *469:28 0.000267782 +18 *2446:serial_load_out *474:54 0 +19 *2446:serial_load_out *476:22 0 +20 *2446:serial_load_out *477:26 0.00893909 +21 *2446:serial_load_out *486:44 0 +22 *2446:serial_load_out *494:20 0.00084449 +23 *2446:serial_load_out *514:14 0.000244213 +24 *2446:serial_load_out *1928:8 0.000555017 +25 *2446:serial_load_out *1929:20 0.000237742 +26 *2446:serial_load_out *1955:14 0.000435822 +27 *2446:serial_load_out *2090:8 0.0010458 +28 *2446:serial_load_out *2345:13 0.000185151 +29 *2447:serial_load *2448:serial_load 0.00105572 +30 *2447:serial_load *514:7 0.000172143 +31 *2452:serial_load *469:12 0.000228463 +32 *2452:serial_load *501:13 1.9775e-05 +33 *468:13 *2451:user_gpio_oeb 0.000289124 +34 *468:13 *479:52 0.000151667 +35 *468:13 *2330:10 7.92492e-05 +36 *468:13 *2357:13 0.00308242 +37 *468:13 *2384:13 0.00309016 +38 *468:17 *2357:13 0.000695917 +39 *468:17 *2384:13 0.000691706 +40 *468:18 *486:18 0.136093 +41 *468:18 *486:61 0 +42 *468:18 *487:18 0.137263 +43 *468:21 *486:21 0.034295 +44 *468:21 *490:19 0 +45 *468:21 *1012:14 0.00324704 +46 *468:21 *1019:14 0.0013824 +47 *468:21 *2036:8 0.00218674 +48 *468:49 *486:61 0 +49 *468:49 *487:46 0.00036177 +50 *468:54 *2076:13 0.000204874 +51 *468:54 *2331:13 0.00317984 +52 *101:37 *468:18 7.87327e-05 +53 *101:40 *468:21 0.0672116 +54 *102:13 *2452:serial_load 3.88358e-05 +*RES +1 *2451:serial_load_out *468:13 47.154 +2 *468:13 *468:17 11.8786 +3 *468:17 *468:18 2193.74 +4 *468:18 *468:20 3.36879 +5 *468:20 *468:21 520.91 +6 *468:21 *468:23 0.376635 +7 *468:23 *2447:serial_load 49.3826 +8 *468:23 *2446:serial_load_out 31.2806 +9 *468:13 *468:48 4.5 +10 *468:48 *468:49 268.424 +11 *468:49 *468:54 43.5542 +12 *468:54 *2452:serial_load 12.8069 +*END + +*D_NET *469 0.910454 +*CONN +*I *2453:serial_load I *D gpio_control_block +*I *2447:serial_load_out O *D gpio_control_block +*I *2448:serial_load I *D gpio_control_block +*I *2452:serial_load_out O *D gpio_control_block +*CAP +1 *2453:serial_load 0.00102631 +2 *2447:serial_load_out 9.89961e-05 +3 *2448:serial_load 0.0121991 +4 *2452:serial_load_out 0.000426436 +5 *469:57 0.0069661 +6 *469:56 0.00618146 +7 *469:43 0.00181008 +8 *469:42 0.00266106 +9 *469:28 0.0133496 +10 *469:25 0.146886 +11 *469:24 0.146685 +12 *469:22 0.0473668 +13 *469:21 0.0473668 +14 *469:19 0.00427014 +15 *469:18 0.00476114 +16 *469:15 0.00125536 +17 *469:12 0.00143247 +18 *2448:serial_load *2447:resetn 0.000425575 +19 *2448:serial_load *2448:serial_load_out 1.57892e-05 +20 *2448:serial_load *2474:mprj_io_analog_pol[25] 0.000127577 +21 *2448:serial_load *2474:mprj_io_analog_sel[25] 0.000284916 +22 *2448:serial_load *2474:mprj_io_dm[76] 0.000190544 +23 *2448:serial_load *2474:mprj_io_oeb[25] 0 +24 *2448:serial_load *486:44 5.86491e-06 +25 *2448:serial_load *515:7 0.000138605 +26 *2448:serial_load *515:8 0.00158975 +27 *2448:serial_load *515:12 0.000258215 +28 *2448:serial_load *1821:16 0.000478558 +29 *2448:serial_load *1848:16 0.000516867 +30 *2448:serial_load *1930:10 0.000197477 +31 *2448:serial_load *1930:12 0.000555176 +32 *2448:serial_load *1931:8 0.000556537 +33 *2448:serial_load *2010:9 0.000481922 +34 *2448:serial_load *2037:7 0.000376127 +35 *2448:serial_load *2118:8 0.000168181 +36 *2448:serial_load *2172:8 0.000271272 +37 *2453:serial_load *470:11 3.27908e-05 +38 *2453:serial_load *502:13 0.000108398 +39 *2453:serial_load *1942:11 0.00032161 +40 *2453:serial_load *2131:10 1.66771e-05 +41 *2453:serial_load *2332:11 0 +42 *2453:serial_load *2359:13 0.00113281 +43 *469:12 *2452:user_gpio_oeb 0 +44 *469:12 *2452:user_gpio_out 0 +45 *469:12 *501:13 2.71025e-05 +46 *469:12 *2076:14 0 +47 *469:18 *487:46 0 +48 *469:22 *488:20 3.26582e-06 +49 *469:25 *746:8 0.0134906 +50 *469:25 *747:8 0.0149734 +51 *469:25 *748:8 0.000157133 +52 *469:25 *749:8 0.000190972 +53 *469:25 *750:8 0.000364076 +54 *469:25 *751:8 0.136728 +55 *469:25 *845:8 0.00486911 +56 *469:25 *847:8 0.00579944 +57 *469:25 *850:8 0.00682183 +58 *469:25 *852:8 0.007901 +59 *469:25 *854:8 0.0093123 +60 *469:25 *1001:8 0 +61 *469:25 *1003:8 5.62259e-05 +62 *469:25 *1005:8 0.0218418 +63 *469:25 *1580:8 0.0212041 +64 *469:25 *1615:8 0.00645101 +65 *469:28 *486:44 5.57527e-05 +66 *469:42 *486:29 1.98294e-05 +67 *469:57 *487:46 9.22013e-06 +68 *469:57 *488:16 0 +69 *469:57 *488:52 0.000554108 +70 *2446:serial_load_out *2448:serial_load 1.80524e-05 +71 *2446:serial_load_out *469:28 0.000267782 +72 *2447:serial_load *2448:serial_load 0.00105572 +73 *2452:serial_load *469:12 0.000228463 +74 *101:48 *469:42 0.000581419 +75 *102:13 *469:12 2.01653e-05 +76 *102:13 *469:15 0.0031848 +77 *102:17 *469:15 0.00048346 +78 *102:54 *469:57 0.0164131 +79 *103:38 *469:22 0.153823 +80 *103:41 *469:25 0.00179582 +81 *103:72 *469:57 0.0009412 +82 *109:64 *469:22 0.0278161 +*RES +1 *2452:serial_load_out *469:12 18.6292 +2 *469:12 *469:15 44.0456 +3 *469:15 *469:18 11.315 +4 *469:18 *469:19 117.745 +5 *469:19 *469:21 4.5 +6 *469:21 *469:22 2460.75 +7 *469:22 *469:24 3.36879 +8 *469:24 *469:25 505.153 +9 *469:25 *469:28 1.5701 +10 *469:28 *2448:serial_load 44.8054 +11 *469:28 *469:42 7.3521 +12 *469:42 *469:43 48.3402 +13 *469:43 *2447:serial_load_out 3.708 +14 *469:18 *469:56 10.2148 +15 *469:56 *469:57 278.39 +16 *469:57 *2453:serial_load 46.4086 +*END + +*D_NET *470 0.946702 +*CONN +*I *2454:serial_load I *D gpio_control_block +*I *2448:serial_load_out O *D gpio_control_block +*I *2453:serial_load_out O *D gpio_control_block +*CAP +1 *2454:serial_load 0.000150181 +2 *2448:serial_load_out 0.000799846 +3 *2453:serial_load_out 0.00110365 +4 *470:40 0.000523386 +5 *470:34 0.00912663 +6 *470:33 0.00875342 +7 *470:19 0.079118 +8 *470:18 0.0783181 +9 *470:16 0.101995 +10 *470:15 0.102574 +11 *470:11 0.00168283 +12 *2448:serial_load_out *2448:resetn_out 8.10016e-06 +13 *2448:serial_load_out *2448:user_gpio_oeb 0.000752866 +14 *2448:serial_load_out *2448:user_gpio_out 0 +15 *2448:serial_load_out *515:8 0 +16 *2448:serial_load_out *2347:12 7.93861e-05 +17 *2448:serial_load_out *2401:16 0.00163095 +18 *2454:serial_load *2454:serial_data_in 1.67063e-05 +19 *2454:serial_load *2333:10 0 +20 *470:11 *2131:10 4.15201e-05 +21 *470:11 *2332:10 7.79528e-05 +22 *470:11 *2332:11 0.00126666 +23 *470:15 *2332:11 0.00105742 +24 *470:16 *2020:21 0.000137254 +25 *470:16 *2031:15 0.000758132 +26 *470:16 *2039:15 0 +27 *470:16 *2222:30 0.0221395 +28 *470:16 *2394:20 0 +29 *470:19 *612:8 0.000721049 +30 *470:19 *614:8 0.039841 +31 *470:19 *1557:24 0.000110213 +32 *470:19 *1561:11 0.349977 +33 *470:34 *488:52 0.0679899 +34 *470:40 *2454:user_gpio_oeb 7.77309e-06 +35 *470:40 *2333:10 0.000272862 +36 *470:40 *2333:11 0.00318552 +37 *470:40 *2360:13 0.00313465 +38 *2448:serial_clock_out *2448:serial_load_out 0 +39 *2448:serial_load *2448:serial_load_out 1.57892e-05 +40 *2453:serial_load *470:11 3.27908e-05 +41 *2454:serial_clock *470:40 0 +42 *103:72 *470:34 0.0683009 +43 *104:37 *470:19 0.00100065 +*RES +1 *2453:serial_load_out *470:11 42.3238 +2 *470:11 *470:15 29.0714 +3 *470:15 *470:16 3029.02 +4 *470:16 *470:18 4.5 +5 *470:18 *470:19 3767.04 +6 *470:19 *2448:serial_load_out 42.1855 +7 *470:11 *470:33 4.5 +8 *470:33 *470:34 1093.53 +9 *470:34 *470:40 47.946 +10 *470:40 *2454:serial_load 3.78449 +*END + +*D_NET *471 0.935065 +*CONN +*I *2463:serial_load I *D gpio_control_block +*I *2455:serial_load I *D gpio_control_block +*I *2445:serial_load_out O *D gpio_control_block +*I *2462:serial_load_out O *D gpio_control_block +*CAP +1 *2463:serial_load 0.000357196 +2 *2455:serial_load 0.000154053 +3 *2445:serial_load_out 0.000178986 +4 *2462:serial_load_out 0.000440908 +5 *471:46 0.00224358 +6 *471:45 0.00212143 +7 *471:40 0.00305451 +8 *471:38 0.00306298 +9 *471:36 0.00062557 +10 *471:30 0.00188238 +11 *471:27 0.0790684 +12 *471:26 0.0775923 +13 *471:24 0.0703421 +14 *471:23 0.0709409 +15 *471:16 0.00611109 +16 *471:15 0.00559594 +17 *2445:serial_load_out *2340:11 3.84497e-05 +18 *2455:serial_load *2455:serial_load_out 8.15092e-05 +19 *2455:serial_load *504:7 9.67643e-05 +20 *2463:serial_load *2463:serial_data_in 0 +21 *2463:serial_load *472:7 0.000316991 +22 *2463:serial_load *517:15 1.53622e-05 +23 *471:15 *485:26 9.90116e-05 +24 *471:15 *485:38 8.90486e-05 +25 *471:15 *516:7 6.19346e-06 +26 *471:15 *2335:15 1.29018e-05 +27 *471:16 *2462:resetn 0 +28 *471:16 *2474:mprj_io_analog_sel[15] 0.00115603 +29 *471:16 *489:14 0 +30 *471:16 *516:8 9.20633e-05 +31 *471:16 *517:16 0.00303265 +32 *471:16 *517:20 0.000352663 +33 *471:16 *1810:16 0.00331202 +34 *471:16 *1837:16 1.2366e-05 +35 *471:16 *1897:8 0.00124681 +36 *471:16 *1898:8 4.61488e-05 +37 *471:16 *2053:14 0.00300455 +38 *471:16 *2080:8 0.00180177 +39 *471:16 *2107:8 0 +40 *471:16 *2161:17 0.0022651 +41 *471:16 *2309:13 1.87125e-05 +42 *471:16 *2336:15 0 +43 *471:23 *2463:serial_data_in 0.000216058 +44 *471:23 *472:7 0 +45 *471:23 *489:14 0 +46 *471:23 *518:8 1.25836e-05 +47 *471:23 *2336:15 0.00105852 +48 *471:24 *472:20 0.000296518 +49 *471:24 *487:36 0.0091684 +50 *471:24 *490:8 0 +51 *471:24 *490:12 0 +52 *471:24 *1557:27 0.00296728 +53 *471:24 *2337:14 9.64545e-05 +54 *471:27 *623:8 0.000334676 +55 *471:27 *624:8 0.0494946 +56 *471:27 *629:8 0 +57 *471:27 *630:8 0 +58 *471:27 *1142:10 0 +59 *471:27 *1266:10 0.0011524 +60 *471:27 *1575:16 0.352013 +61 *471:36 *472:44 0.000515024 +62 *471:36 *504:8 0 +63 *471:40 *2474:mprj_io_analog_en[2] 0.00019711 +64 *471:40 *2474:mprj_io_analog_pol[2] 4.35659e-05 +65 *471:40 *2474:mprj_io_analog_sel[2] 0.000145018 +66 *471:40 *2474:mprj_io_dm[6] 0.00019711 +67 *471:40 *2474:mprj_io_dm[7] 0.00019711 +68 *471:40 *2474:mprj_io_dm[8] 0.00019711 +69 *471:40 *2474:mprj_io_holdover[2] 9.29258e-05 +70 *471:40 *2474:mprj_io_ib_mode_sel[2] 0.000111209 +71 *471:40 *2474:mprj_io_inp_dis[2] 0.00019711 +72 *471:40 *2474:mprj_io_oeb[2] 0.000102001 +73 *471:40 *2474:mprj_io_out[2] 0.000211282 +74 *471:40 *2474:mprj_io_vtrip_sel[2] 0.000131612 +75 *471:40 *503:26 0.00555187 +76 *471:40 *504:8 5.27687e-05 +77 *471:40 *2039:10 3.78286e-05 +78 *471:40 *2093:14 0 +79 *471:40 *2147:8 1.55462e-05 +80 *471:40 *2147:14 0.00477008 +81 *471:40 *2174:14 0 +82 *471:46 *2445:user_gpio_out 0 +83 *471:46 *2474:mprj_io_slow_sel[2] 0.000148615 +84 *471:46 *503:14 0.0059796 +85 *471:46 *2012:10 0.00019711 +86 *2445:serial_load *2445:serial_load_out 0.000159506 +87 *100:71 *471:15 0.000179648 +88 *100:80 *471:40 0.00187962 +89 *100:80 *471:46 8.74185e-05 +90 *105:7 *471:23 0.000279299 +91 *105:24 *471:24 0.155636 +92 *105:60 *471:30 0 +93 *105:60 *471:36 7.12702e-05 +94 *105:60 *471:40 0 +*RES +1 *2462:serial_load_out *471:15 25.6195 +2 *471:15 *471:16 264.064 +3 *471:16 *471:23 14.4548 +4 *471:23 *471:24 3043.35 +5 *471:24 *471:26 4.5 +6 *471:26 *471:27 3801.98 +7 *471:27 *471:30 46.1962 +8 *471:30 *471:36 23.2563 +9 *471:36 *471:38 1.85642 +10 *471:38 *471:40 173.124 +11 *471:40 *471:45 10.3986 +12 *471:45 *471:46 98.3781 +13 *471:46 *2445:serial_load_out 4.19688 +14 *471:36 *2455:serial_load 3.9674 +15 *471:16 *2463:serial_load 5.03827 +*END + +*D_NET *472 1.16108 +*CONN +*I *2456:serial_load I *D gpio_control_block +*I *2455:serial_load_out O *D gpio_control_block +*I *2464:serial_load I *D gpio_control_block +*I *2463:serial_load_out O *D gpio_control_block +*CAP +1 *2456:serial_load 0.000151155 +2 *2455:serial_load_out 0.000143788 +3 *2464:serial_load 0.000434211 +4 *2463:serial_load_out 0.000482471 +5 *472:44 0.0017896 +6 *472:43 0.00180691 +7 *472:38 0.00181555 +8 *472:37 0.00184007 +9 *472:32 0.00241526 +10 *472:30 0.00260751 +11 *472:26 0.00195142 +12 *472:25 0.0014224 +13 *472:23 0.0279993 +14 *472:22 0.0279993 +15 *472:20 0.026349 +16 *472:19 0.0267402 +17 *472:10 0.000825413 +18 *472:8 0.00481767 +19 *472:7 0.00530014 +20 *2455:serial_load_out *2348:10 3.84497e-05 +21 *2456:serial_load *505:13 3.84497e-05 +22 *2456:serial_load *2349:10 0 +23 *2464:serial_load *473:7 0.000206243 +24 *2464:serial_load *518:7 0.000373077 +25 *472:7 *2463:serial_data_in 0 +26 *472:7 *2463:user_gpio_oeb 2.9322e-05 +27 *472:7 *2336:15 0.00160176 +28 *472:8 *2463:user_gpio_out 0 +29 *472:8 *518:8 0 +30 *472:8 *1973:8 0.00560656 +31 *472:8 *1973:16 9.71323e-06 +32 *472:8 *2081:8 0 +33 *472:8 *2081:19 0.00101426 +34 *472:8 *2081:20 0.00044857 +35 *472:8 *2108:8 0.00022036 +36 *472:8 *2162:16 0.000358272 +37 *472:8 *2162:20 0.0029677 +38 *472:8 *2336:15 0.000150805 +39 *472:20 *492:22 0.00941994 +40 *472:20 *1557:27 0.102916 +41 *472:20 *2337:14 0.0102791 +42 *472:23 *475:34 0.00180173 +43 *472:23 *479:34 0 +44 *472:23 *491:48 0 +45 *472:23 *491:70 0 +46 *472:23 *497:34 0 +47 *472:23 *1551:26 2.41483e-05 +48 *472:23 *1554:24 0.346311 +49 *472:23 *2222:33 0.353525 +50 *472:30 *2456:serial_data_in 0 +51 *472:32 *2455:resetn_out 0.00031116 +52 *472:32 *2456:serial_data_in 1.07248e-05 +53 *472:32 *504:12 0.00248328 +54 *472:32 *1859:13 0 +55 *472:32 *1938:13 0 +56 *472:38 *2455:resetn_out 0.0010111 +57 *472:38 *2474:mprj_io_holdover[3] 0.00150762 +58 *472:38 *504:8 5.68225e-06 +59 *472:38 *1851:22 0.00197138 +60 *472:38 *2013:13 5.07721e-05 +61 *472:38 *2148:26 0.000772464 +62 *472:44 *2455:resetn_out 0.000674611 +63 *472:44 *504:8 0 +64 *2455:serial_load *2455:serial_load_out 8.15092e-05 +65 *2463:serial_load *472:7 0.000316991 +66 *105:8 *472:8 0.00188107 +67 *105:12 *472:8 0.00424781 +68 *105:24 *472:20 0.155584 +69 *105:27 *472:23 0.00283259 +70 *105:30 *472:26 0.00393317 +71 *105:30 *472:30 0.000610253 +72 *105:32 *472:30 0.00018079 +73 *105:32 *472:32 0 +74 *105:43 *472:32 0 +75 *105:43 *472:37 1.21461e-06 +76 *105:52 *472:38 9.71323e-06 +77 *105:54 *472:38 0.00207446 +78 *105:59 *472:43 0.00042603 +79 *105:60 *472:44 0.00505823 +80 *106:13 *2464:serial_load 0 +81 *112:33 *472:23 0 +82 *471:23 *472:7 0 +83 *471:24 *472:20 0.000296518 +84 *471:36 *472:44 0.000515024 +*RES +1 *2463:serial_load_out *472:7 5.65019 +2 *472:7 *472:8 266.763 +3 *472:8 *472:10 3.36879 +4 *472:10 *2464:serial_load 2.2814 +5 *472:10 *472:19 4.64251 +6 *472:19 *472:20 2497.71 +7 *472:20 *472:22 4.5 +8 *472:22 *472:23 3798.09 +9 *472:23 *472:25 4.5 +10 *472:25 *472:26 63.9122 +11 *472:26 *472:30 15.2666 +12 *472:30 *472:32 84.0397 +13 *472:32 *472:37 12.4964 +14 *472:37 *472:38 96.0942 +15 *472:38 *472:43 14.7148 +16 *472:43 *472:44 80.9375 +17 *472:44 *2455:serial_load_out 3.89091 +18 *472:26 *2456:serial_load 3.89091 +*END + +*D_NET *473 1.19963 +*CONN +*I *2456:serial_load_out O *D gpio_control_block +*I *2457:serial_load I *D gpio_control_block +*I *2465:serial_load I *D gpio_control_block +*I *2464:serial_load_out O *D gpio_control_block +*CAP +1 *2456:serial_load_out 0.000149479 +2 *2457:serial_load 0.000741014 +3 *2465:serial_load 0.00111249 +4 *2464:serial_load_out 0.000249929 +5 *473:54 0.00157874 +6 *473:53 0.002252 +7 *473:34 0.00534931 +8 *473:33 0.0046083 +9 *473:31 0.0212902 +10 *473:30 0.0204675 +11 *473:28 0.0183353 +12 *473:27 0.0183353 +13 *473:25 0.0045591 +14 *473:10 0.0056716 +15 *473:8 0.00809594 +16 *473:7 0.00834586 +17 *2457:serial_load *2457:serial_data_in 0 +18 *2457:serial_load *2457:serial_load_out 5.17837e-06 +19 *2457:serial_load *506:13 0.00040907 +20 *2457:serial_load *2350:12 0 +21 *2457:serial_load *2377:13 0.00247411 +22 *2457:serial_load *2404:13 1.92172e-05 +23 *2465:serial_load *2465:user_gpio_oeb 0.000130155 +24 *2465:serial_load *2465:user_gpio_out 1.64979e-05 +25 *2465:serial_load *474:13 8.59705e-05 +26 *2465:serial_load *519:9 2.7185e-05 +27 *2465:serial_load *2338:10 7.86825e-06 +28 *473:7 *2337:13 5.83673e-05 +29 *473:8 *519:22 0 +30 *473:8 *1785:16 0 +31 *473:8 *1904:8 0.00111559 +32 *473:8 *1906:22 0.000322335 +33 *473:8 *1974:8 0 +34 *473:8 *1974:22 0 +35 *473:8 *1974:24 0 +36 *473:8 *2028:9 0.000579885 +37 *473:8 *2055:14 0 +38 *473:8 *2136:8 0 +39 *473:8 *2163:23 0 +40 *473:8 *2294:13 0 +41 *473:28 *485:41 0.140845 +42 *473:31 *476:25 0.0517972 +43 *473:31 *497:34 3.62662e-06 +44 *473:34 *1537:20 0 +45 *473:53 *495:53 1.41291e-05 +46 *2464:serial_load *473:7 0.000206243 +47 *2465:serial_clock *2465:serial_load 0.000401123 +48 *106:34 *473:28 0.139831 +49 *106:37 *473:31 0.351145 +50 *106:37 *473:53 4.88955e-05 +51 *106:40 *473:34 0.0121798 +52 *106:59 *473:53 0.00408905 +53 *106:60 *473:54 0.00404752 +54 *110:91 *473:53 0.000514643 +55 *111:45 *473:31 0.351187 +56 *111:45 *473:53 0.000538037 +57 *113:20 *473:28 0.0163917 +*RES +1 *2464:serial_load_out *473:7 4.42635 +2 *473:7 *473:8 242.055 +3 *473:8 *473:10 4.5 +4 *473:10 *2465:serial_load 38.2695 +5 *473:10 *473:25 121.627 +6 *473:25 *473:27 4.5 +7 *473:27 *473:28 2252.91 +8 *473:28 *473:30 4.5 +9 *473:30 *473:31 3669.98 +10 *473:31 *473:33 4.5 +11 *473:33 *473:34 204.89 +12 *473:34 *2457:serial_load 40.8725 +13 *473:31 *473:53 49.0371 +14 *473:53 *473:54 65.158 +15 *473:54 *2456:serial_load_out 3.708 +*END + +*D_NET *474 1.27099 +*CONN +*I *2458:serial_load I *D gpio_control_block +*I *2457:serial_load_out O *D gpio_control_block +*I *2466:serial_load I *D gpio_control_block +*I *2465:serial_load_out O *D gpio_control_block +*CAP +1 *2458:serial_load 0.000748739 +2 *2457:serial_load_out 0.000693815 +3 *2466:serial_load 0.000568366 +4 *2465:serial_load_out 0.000543685 +5 *474:75 0.00403438 +6 *474:60 0.00600667 +7 *474:59 0.00202721 +8 *474:57 0.0254228 +9 *474:56 0.0254228 +10 *474:54 0.0209527 +11 *474:53 0.021277 +12 *474:48 0.0211466 +13 *474:47 0.0208796 +14 *474:42 0.0121529 +15 *474:41 0.0121825 +16 *474:17 0.000779554 +17 *474:14 0.00584702 +18 *474:13 0.00626649 +19 *2457:serial_load_out *2457:user_gpio_oeb 2.04189e-05 +20 *2457:serial_load_out *506:13 0 +21 *2457:serial_load_out *2350:12 1.53622e-05 +22 *2457:serial_load_out *2350:13 0.000286826 +23 *2458:serial_load *2458:serial_load_out 7.68345e-05 +24 *2458:serial_load *495:64 0 +25 *2458:serial_load *507:10 8.85901e-05 +26 *2458:serial_load *2378:13 0 +27 *2466:serial_load *2466:user_gpio_out 0 +28 *2466:serial_load *475:15 2.56241e-05 +29 *2466:serial_load *520:15 0.000479552 +30 *2466:serial_load *2393:19 0.000243133 +31 *474:13 *519:9 0.000237017 +32 *474:13 *2338:10 4.2387e-05 +33 *474:14 *2465:resetn 0.000397726 +34 *474:14 *2474:mprj_io_inp_dis[18] 0.000287352 +35 *474:14 *520:16 0 +36 *474:14 *1813:16 0.00230879 +37 *474:14 *1907:10 0.00547833 +38 *474:14 *1908:10 4.61488e-05 +39 *474:14 *1909:16 0.000797637 +40 *474:14 *1975:22 0.00090832 +41 *474:14 *2056:10 0.00438821 +42 *474:14 *2110:10 0 +43 *474:14 *2110:16 1.32509e-05 +44 *474:14 *2164:10 0 +45 *474:17 *2393:19 0.000215716 +46 *474:41 *520:15 1.93857e-05 +47 *474:42 *2474:mprj_io_analog_sel[19] 0.000126093 +48 *474:42 *475:16 0.00274791 +49 *474:42 *522:32 0.00637025 +50 *474:42 *1911:18 0.00038975 +51 *474:42 *1912:14 0.000339251 +52 *474:42 *1949:8 0.000357167 +53 *474:42 *1976:8 0.000405863 +54 *474:42 *2057:29 0.000803122 +55 *474:42 *2086:13 0.00518522 +56 *474:42 *2138:12 0.000347446 +57 *474:42 *2165:14 0.000357167 +58 *474:42 *2314:19 0.000116362 +59 *474:48 *2474:mprj_io_ib_mode_sel[20] 0 +60 *474:48 *2474:mprj_io_oeb[20] 0 +61 *474:48 *2474:mprj_io_vtrip_sel[20] 0.000642796 +62 *474:48 *522:14 0.000673094 +63 *474:48 *522:31 0.00119336 +64 *474:48 *522:32 0.00861327 +65 *474:48 *1789:16 0 +66 *474:48 *1843:16 0 +67 *474:48 *1915:8 0 +68 *474:48 *1916:18 0 +69 *474:48 *2032:13 0 +70 *474:48 *2059:14 0 +71 *474:48 *2113:16 0 +72 *474:48 *2297:12 0 +73 *474:48 *2315:13 0 +74 *474:53 *476:20 6.21462e-05 +75 *474:54 *476:20 0.000702084 +76 *474:54 *476:22 0.0678278 +77 *474:54 *494:8 0.000702874 +78 *474:54 *522:14 0 +79 *474:54 *524:16 0.00223508 +80 *474:54 *1844:10 0.000270979 +81 *474:54 *1844:12 0.00045085 +82 *474:54 *1847:10 0.000329532 +83 *474:54 *1847:16 0.000337909 +84 *474:54 *1919:12 0.000861194 +85 *474:54 *1929:19 0.000149105 +86 *474:57 *476:25 0.414093 +87 *474:57 *478:29 4.42985e-05 +88 *474:57 *493:48 0.399306 +89 *474:60 *478:32 0.00163931 +90 *474:60 *505:14 1.81081e-06 +91 *474:60 *1565:20 0.00863553 +92 *474:60 *1566:20 0.00212345 +93 *474:75 *478:32 0.00220247 +94 *474:75 *506:14 9.81824e-05 +95 *474:75 *1566:20 0.00649045 +96 *474:75 *1567:20 0.0012715 +97 *2446:serial_load_out *474:54 0 +98 *2457:serial_load *2457:serial_load_out 5.17837e-06 +99 *2458:serial_clock_out *2458:serial_load 0 +100 *2465:serial_clock *474:13 1.93695e-05 +101 *2465:serial_load *474:13 8.59705e-05 +102 *106:37 *474:57 0.0475258 +103 *107:58 *474:14 0 +104 *107:87 *474:57 0.0518102 +105 *107:90 *474:60 0.0123599 +106 *107:107 *474:60 0.000653242 +107 *107:107 *474:75 0.016458 +108 *111:10 *474:54 0.000238504 +*RES +1 *2465:serial_load_out *474:13 25.8226 +2 *474:13 *474:14 253.475 +3 *474:14 *474:17 9.66022 +4 *474:17 *2466:serial_load 26.6904 +5 *474:17 *474:41 9.12742 +6 *474:41 *474:42 51.1443 +7 *474:42 *474:47 3.83355 +8 *474:47 *474:48 612.253 +9 *474:48 *474:53 4.90441 +10 *474:53 *474:54 136.644 +11 *474:54 *474:56 0.376635 +12 *474:56 *474:57 523.052 +13 *474:57 *474:59 3.36879 +14 *474:59 *474:60 209.25 +15 *474:60 *2457:serial_load_out 30.8797 +16 *474:60 *474:75 272.576 +17 *474:75 *2458:serial_load 28.9672 +*END + +*D_NET *475 1.11477 +*CONN +*I *2458:serial_load_out O *D gpio_control_block +*I *2459:serial_load I *D gpio_control_block +*I *2467:serial_load I *D gpio_control_block +*I *2466:serial_load_out O *D gpio_control_block +*CAP +1 *2458:serial_load_out 0.000278414 +2 *2459:serial_load 0.00684241 +3 *2467:serial_load 0.00039929 +4 *2466:serial_load_out 0.000684626 +5 *475:40 0.00745939 +6 *475:37 0.00388656 +7 *475:36 0.003548 +8 *475:34 0.195157 +9 *475:33 0.195157 +10 *475:31 0.0414805 +11 *475:30 0.0414805 +12 *475:28 0.00286584 +13 *475:27 0.00286584 +14 *475:16 0.00867546 +15 *475:15 0.00896079 +16 *2458:serial_load_out *2351:10 3.84497e-05 +17 *2459:serial_load *2459:serial_data_in 0 +18 *2459:serial_load *2459:serial_load_out 3.71128e-05 +19 *2459:serial_load *476:28 0 +20 *2459:serial_load *476:31 0.000129337 +21 *2459:serial_load *507:10 0.000146476 +22 *2459:serial_load *507:18 0.000909965 +23 *2459:serial_load *1989:14 0.000562156 +24 *2459:serial_load *2097:8 0.000382785 +25 *2459:serial_load *2151:22 0.000708538 +26 *2467:serial_load *476:7 0.000204503 +27 *2467:serial_load *521:7 0.000204503 +28 *475:15 *2466:serial_data_in 0 +29 *475:15 *520:15 8.44986e-05 +30 *475:15 *2339:15 3.77653e-05 +31 *475:16 *2474:mprj_io_analog_pol[19] 0 +32 *475:16 *2474:mprj_io_analog_sel[19] 0 +33 *475:16 *2474:mprj_io_dm[58] 0 +34 *475:16 *2474:mprj_io_holdover[19] 0 +35 *475:16 *493:8 0 +36 *475:16 *1787:8 0 +37 *475:16 *1911:18 0 +38 *475:16 *1976:8 0.00133027 +39 *475:16 *2030:15 0.000678081 +40 *475:16 *2057:14 0 +41 *475:16 *2057:29 0 +42 *475:16 *2138:10 0 +43 *475:16 *2165:28 0 +44 *475:16 *2296:13 0 +45 *475:16 *2314:19 0 +46 *475:16 *2339:15 0 +47 *475:31 *485:41 0 +48 *475:31 *496:38 0.0243403 +49 *475:34 *1551:26 0.00120224 +50 *475:34 *1554:24 0.00132631 +51 *475:34 *2222:33 0.00133075 +52 *475:37 *2459:resetn 0.000318615 +53 *475:37 *476:28 1.48618e-05 +54 *475:37 *478:32 1.04758e-05 +55 *475:37 *493:51 0.0318714 +56 *475:37 *493:55 0.000236666 +57 *475:37 *494:26 0.0334809 +58 *475:37 *1825:16 0.000819002 +59 *475:37 *1826:23 0.00030076 +60 *475:37 *1864:14 0.000495239 +61 *2458:serial_load *2458:serial_load_out 7.68345e-05 +62 *2459:serial_clock *2459:serial_load 0.0182494 +63 *2466:serial_load *475:15 2.56241e-05 +64 *105:27 *475:34 0.00255922 +65 *107:84 *475:31 4.3116e-06 +66 *108:36 *475:28 0.0116764 +67 *108:39 *475:31 0.0401067 +68 *108:42 *475:34 0.399189 +69 *108:47 *475:37 4.22519e-05 +70 *109:60 *475:31 0.00210751 +71 *113:20 *475:31 0.0152391 +72 *472:23 *475:34 0.00180173 +73 *474:42 *475:16 0.00274791 +*RES +1 *2466:serial_load_out *475:15 26.5683 +2 *475:15 *475:16 251.814 +3 *475:16 *2467:serial_load 10.6185 +4 *475:16 *475:27 4.5 +5 *475:27 *475:28 124.955 +6 *475:28 *475:30 4.5 +7 *475:30 *475:31 1711.22 +8 *475:31 *475:33 3.36879 +9 *475:33 *475:34 507.906 +10 *475:34 *475:36 0.376635 +11 *475:36 *475:37 67.1468 +12 *475:37 *475:40 1.19142 +13 *475:40 *2459:serial_load 39.3525 +14 *475:40 *2458:serial_load_out 0.942825 +*END + +*D_NET *476 1.36218 +*CONN +*I *2468:serial_load I *D gpio_control_block +*I *2459:serial_load_out O *D gpio_control_block +*I *2460:serial_load I *D gpio_control_block +*I *2467:serial_load_out O *D gpio_control_block +*CAP +1 *2468:serial_load 0.00312194 +2 *2459:serial_load_out 6.46553e-05 +3 *2460:serial_load 0 +4 *2467:serial_load_out 0.000729129 +5 *476:40 0.00605725 +6 *476:39 0.00686402 +7 *476:34 0.00740093 +8 *476:33 0.00659416 +9 *476:31 0.000734818 +10 *476:28 0.00589088 +11 *476:27 0.00522071 +12 *476:25 0.0217321 +13 *476:24 0.0217321 +14 *476:22 0.00843431 +15 *476:20 0.0121875 +16 *476:8 0.0184763 +17 *476:7 0.0185742 +18 *2459:serial_load_out *2352:10 4.70618e-05 +19 *2468:serial_load *477:7 5.35899e-05 +20 *2468:serial_load *522:14 0.00128917 +21 *476:7 *521:7 0 +22 *476:7 *2341:12 2.12195e-05 +23 *476:8 *493:22 0 +24 *476:8 *493:29 0 +25 *476:8 *494:8 0.0210921 +26 *476:8 *521:8 0 +27 *476:20 *494:8 0.000135815 +28 *476:20 *522:14 0 +29 *476:22 *2474:mprj_io_analog_sel[22] 0.00019411 +30 *476:22 *2474:mprj_io_dm[68] 0.000223271 +31 *476:22 *477:14 0.00186582 +32 *476:22 *494:8 0.000574175 +33 *476:22 *494:20 0.0625991 +34 *476:22 *522:14 0 +35 *476:22 *523:8 0.000110809 +36 *476:22 *1844:18 0.000342008 +37 *476:22 *1845:16 0.000522092 +38 *476:22 *1919:10 0.000258066 +39 *476:22 *1929:8 0.000313476 +40 *476:22 *1953:8 0.000946932 +41 *476:22 *1979:10 0.000281066 +42 *476:22 *1979:14 0.000427103 +43 *476:22 *1982:8 0.000681341 +44 *476:25 *493:48 0.000193559 +45 *476:25 *494:23 0.414659 +46 *476:28 *477:32 0.0525856 +47 *476:28 *478:32 2.97235e-05 +48 *476:28 *494:26 0.0515845 +49 *476:31 *2352:10 2.5204e-05 +50 *476:34 *2352:10 1.5714e-05 +51 *476:40 *494:42 0.00209796 +52 *2446:serial_load_out *476:22 0 +53 *2459:serial_clock *476:28 0 +54 *2459:serial_load *2459:serial_load_out 3.71128e-05 +55 *2459:serial_load *476:28 0 +56 *2459:serial_load *476:31 0.000129337 +57 *2467:serial_load *476:7 0.000204503 +58 *109:103 *476:34 0.0191414 +59 *109:109 *476:40 0.0036637 +60 *111:45 *476:25 0.0475258 +61 *473:31 *476:25 0.0517972 +62 *474:53 *476:20 6.21462e-05 +63 *474:54 *476:20 0.000702084 +64 *474:54 *476:22 0.0678278 +65 *474:57 *476:25 0.414093 +66 *475:37 *476:28 1.48618e-05 +*RES +1 *2467:serial_load_out *476:7 5.80317 +2 *476:7 *476:8 705.892 +3 *476:8 *476:20 24.2201 +4 *476:20 *476:22 135.043 +5 *476:22 *476:24 0.376635 +6 *476:24 *476:25 523.855 +7 *476:25 *476:27 0.376635 +8 *476:27 *476:28 104.524 +9 *476:28 *476:31 2.14755 +10 *476:31 *476:33 3.36879 +11 *476:33 *476:34 305.589 +12 *476:34 *476:39 30.2437 +13 *476:39 *476:40 231.259 +14 *476:40 *2460:serial_load 3.36879 +15 *476:31 *2459:serial_load_out 0.292658 +16 *476:20 *2468:serial_load 24.6179 +*END + +*D_NET *477 0.949003 +*CONN +*I *2460:serial_load_out O *D gpio_control_block +*I *2449:serial_load I *D gpio_control_block +*I *2469:serial_load I *D gpio_control_block +*I *2468:serial_load_out O *D gpio_control_block +*CAP +1 *2460:serial_load_out 9.02885e-05 +2 *2449:serial_load 6.28555e-05 +3 *2469:serial_load 0.000162232 +4 *2468:serial_load_out 0.000412654 +5 *477:46 0.00320433 +6 *477:44 0.00399152 +7 *477:35 0.00157168 +8 *477:32 0.0166963 +9 *477:31 0.016065 +10 *477:29 0.0804088 +11 *477:28 0.0804088 +12 *477:26 0.0184559 +13 *477:25 0.0184559 +14 *477:17 0.000474365 +15 *477:14 0.00365627 +16 *477:13 0.00360371 +17 *477:8 0.00250453 +18 *477:7 0.00265761 +19 *2449:serial_load *2449:serial_load_out 4.891e-05 +20 *2449:serial_load *478:35 1.08428e-05 +21 *2449:serial_load *510:13 4.31122e-05 +22 *2449:serial_load *2099:15 1.61139e-05 +23 *2460:serial_load_out *2460:user_gpio_out 0 +24 *2460:serial_load_out *509:15 0 +25 *2460:serial_load_out *2353:10 3.74656e-05 +26 *2469:serial_load *478:10 0.000208248 +27 *2469:serial_load *523:7 0.000208248 +28 *477:7 *2468:serial_data_in 0 +29 *477:7 *522:14 0 +30 *477:7 *2342:13 8.18368e-05 +31 *477:8 *494:20 0.000204442 +32 *477:8 *523:8 0 +33 *477:8 *1790:8 0.00154711 +34 *477:8 *1817:8 6.80434e-05 +35 *477:8 *2087:8 0 +36 *477:8 *2141:8 0 +37 *477:8 *2168:8 0.000590812 +38 *477:14 *523:8 0.00269001 +39 *477:14 *1844:18 0.00224201 +40 *477:14 *1919:12 0.000115351 +41 *477:14 *1952:8 0.00239469 +42 *477:14 *1979:14 0.00275934 +43 *477:14 *1979:18 0.00389012 +44 *477:17 *478:10 1.98647e-05 +45 *477:17 *523:7 0.000123505 +46 *477:26 *2474:mprj_io_vtrip_sel[24] 0 +47 *477:26 *2474:mprj_io_out[22] 0.00015305 +48 *477:26 *2474:mprj_io_ib_mode_sel[23] 0 +49 *477:26 *2474:mprj_io_oeb[23] 0 +50 *477:26 *2474:mprj_io_out[23] 0.000356237 +51 *477:26 *2474:mprj_io_vtrip_sel[23] 0.00209855 +52 *477:26 *478:10 0.0172116 +53 *477:26 *486:44 0 +54 *477:26 *494:20 0 +55 *477:26 *495:44 0 +56 *477:26 *496:17 0 +57 *477:26 *1791:19 0.000411592 +58 *477:26 *1793:14 0.00067925 +59 *477:26 *1846:8 0.000981609 +60 *477:26 *1923:8 0.00066067 +61 *477:26 *1927:15 0.000140228 +62 *477:26 *1954:16 0.00070011 +63 *477:26 *1955:8 0.000229405 +64 *477:26 *2007:9 0.000246961 +65 *477:26 *2062:8 0.000879339 +66 *477:26 *2142:8 0.000295704 +67 *477:26 *2142:14 0.000313439 +68 *477:26 *2299:21 0.000169016 +69 *477:26 *2301:11 0.000437268 +70 *477:26 *2317:12 0.000139306 +71 *477:29 *2473:io_in_3v3[0] 0 +72 *477:29 *492:25 0.0540683 +73 *477:29 *494:23 0.414617 +74 *477:29 *2020:24 0.000726008 +75 *477:29 *2374:9 0 +76 *477:32 *478:32 0.0900709 +77 *477:32 *1798:14 0.00100548 +78 *477:32 *1800:14 0.000876323 +79 *477:32 *1801:14 0.000263647 +80 *477:32 *1827:20 0.000409501 +81 *477:32 *1828:14 0.000320798 +82 *477:32 *1861:16 0.000963396 +83 *477:32 *1864:20 0.000539902 +84 *477:32 *1873:14 0.000303582 +85 *477:32 *1990:8 0 +86 *477:32 *2069:14 0.000888379 +87 *477:32 *2151:16 0.000875832 +88 *477:35 *2098:13 2.93105e-05 +89 *477:35 *2179:13 0.000184544 +90 *477:44 *2460:user_gpio_out 9.51799e-07 +91 *477:44 *495:87 0.000632845 +92 *477:44 *1963:8 0.000965381 +93 *477:44 *1990:8 0.00017403 +94 *477:44 *2098:13 3.52699e-05 +95 *477:44 *2125:8 0.000681442 +96 *477:46 *495:87 0.0134748 +97 *477:46 *510:13 8.10016e-06 +98 *477:46 *1876:13 0.000558552 +99 *477:46 *1963:8 0.00468359 +100 *477:46 *1990:8 0.00179192 +101 *477:46 *2180:13 0.000122412 +102 *2446:serial_load_out *477:26 0.00893909 +103 *2468:serial_load *477:7 5.35899e-05 +104 *112:14 *477:26 0 +105 *476:22 *477:14 0.00186582 +106 *476:28 *477:32 0.0525856 +*RES +1 *2468:serial_load_out *477:7 4.8088 +2 *477:7 *477:8 77.2003 +3 *477:8 *477:13 13.6056 +4 *477:13 *477:14 189.734 +5 *477:14 *477:17 4.3748 +6 *477:17 *2469:serial_load 1.0958 +7 *477:17 *477:25 0.376635 +8 *477:25 *477:26 90.3505 +9 *477:26 *477:28 0.376635 +10 *477:28 *477:29 524.62 +11 *477:29 *477:31 0.376635 +12 *477:31 *477:32 178.479 +13 *477:32 *477:35 2.26228 +14 *477:35 *477:44 36.978 +15 *477:44 *477:46 230.27 +16 *477:46 *2449:serial_load 3.73793 +17 *477:35 *2460:serial_load_out 0.330903 +*END + +*D_NET *478 1.25494 +*CONN +*I *2449:serial_load_out O *D gpio_control_block +*I *2450:serial_load I *D gpio_control_block +*I *2470:serial_load I *D gpio_control_block +*I *2469:serial_load_out O *D gpio_control_block +*CAP +1 *2449:serial_load_out 9.59728e-05 +2 *2450:serial_load 0.00670638 +3 *2470:serial_load 0.000805579 +4 *2469:serial_load_out 0.0051344 +5 *478:49 0.00810219 +6 *478:35 0.0024573 +7 *478:32 0.0354848 +8 *478:31 0.0345193 +9 *478:29 0.0684617 +10 *478:28 0.0684617 +11 *478:26 0.00484564 +12 *478:25 0.00928243 +13 *478:10 0.0103768 +14 *2449:serial_load_out *2449:user_gpio_oeb 6.78021e-06 +15 *2449:serial_load_out *2449:user_gpio_out 0 +16 *2449:serial_load_out *2099:15 0 +17 *2450:serial_load *2450:serial_data_in 0 +18 *2450:serial_load *2450:serial_load_out 1.75765e-05 +19 *2450:serial_load *2474:mprj_io_analog_sel[7] 8.99001e-06 +20 *2450:serial_load *511:13 4.65656e-05 +21 *2450:serial_load *1830:13 0 +22 *2450:serial_load *1965:8 0 +23 *2450:serial_load *1990:8 0 +24 *2450:serial_load *2126:16 0 +25 *2470:serial_load *2470:serial_data_in 0 +26 *2470:serial_load *479:15 4.46752e-05 +27 *2470:serial_load *496:20 0.000355876 +28 *2470:serial_load *524:15 2.12195e-05 +29 *478:10 *2469:user_gpio_out 0 +30 *478:10 *494:20 0.00167858 +31 *478:10 *495:44 0 +32 *478:10 *1818:16 0.00060648 +33 *478:10 *1921:8 0.000710132 +34 *478:10 *1922:33 0.000130189 +35 *478:10 *2115:8 0.000989926 +36 *478:10 *2343:12 2.12195e-05 +37 *478:26 *479:31 4.0855e-05 +38 *478:26 *493:45 0.00997597 +39 *478:26 *1574:19 0.00491749 +40 *478:29 *493:48 0.399582 +41 *478:29 *497:34 0.00238228 +42 *478:32 *492:34 0.0023888 +43 *478:32 *505:14 0.00188046 +44 *478:32 *506:14 0.0025703 +45 *478:32 *1565:20 0.0011378 +46 *478:32 *1799:14 0.000904028 +47 *478:32 *1827:14 0.000535945 +48 *478:32 *1828:14 0.0024402 +49 *478:32 *1855:14 0.000245504 +50 *478:32 *1862:16 0.000991102 +51 *478:32 *1865:14 0.00120624 +52 *478:32 *1867:14 0.000942381 +53 *478:32 *1868:14 0.00107658 +54 *478:32 *1873:14 0.0021823 +55 *478:32 *1876:14 0.00013735 +56 *478:32 *1990:8 0 +57 *478:32 *2014:18 0.000230029 +58 *478:32 *2015:11 0.00147391 +59 *478:32 *2068:16 0.00101385 +60 *478:32 *2070:28 0.000345966 +61 *478:32 *2098:14 0.00566643 +62 *478:32 *2099:16 0.000477461 +63 *478:32 *2149:14 0.0013108 +64 *478:32 *2152:14 0.00254347 +65 *478:32 *2179:14 5.58573e-06 +66 *478:35 *2099:15 0 +67 *478:49 *1963:8 0 +68 *478:49 *1990:8 0.000209508 +69 *2449:serial_load *2449:serial_load_out 4.891e-05 +70 *2449:serial_load *478:35 1.08428e-05 +71 *2450:serial_clock *2450:serial_load 0.00467644 +72 *2450:serial_clock *478:49 0.000207156 +73 *2469:serial_load *478:10 0.000208248 +74 *107:90 *478:32 0.0016504 +75 *107:107 *478:32 0.00232784 +76 *108:42 *478:29 0.398827 +77 *111:42 *478:26 0.0247716 +78 *112:33 *478:29 0.00279601 +79 *474:57 *478:29 4.42985e-05 +80 *474:60 *478:32 0.00163931 +81 *474:75 *478:32 0.00220247 +82 *475:37 *478:32 1.04758e-05 +83 *476:28 *478:32 2.97235e-05 +84 *477:17 *478:10 1.98647e-05 +85 *477:26 *478:10 0.0172116 +86 *477:32 *478:32 0.0900709 +*RES +1 *2469:serial_load_out *478:10 36.8009 +2 *478:10 *2470:serial_load 21.4953 +3 *478:10 *478:25 19.0609 +4 *478:25 *478:26 410.44 +5 *478:26 *478:28 3.36879 +6 *478:28 *478:29 508.671 +7 *478:29 *478:31 0.376635 +8 *478:31 *478:32 216.085 +9 *478:32 *478:35 2.41526 +10 *478:35 *478:49 22.5369 +11 *478:49 *2450:serial_load 32.4301 +12 *478:35 *2449:serial_load_out 0.437324 +*END + +*D_NET *479 1.11307 +*CONN +*I *2450:serial_load_out O *D gpio_control_block +*I *2451:serial_load I *D gpio_control_block +*I *2446:serial_load I *D gpio_control_block +*I *2470:serial_load_out O *D gpio_control_block +*CAP +1 *2450:serial_load_out 0.00115902 +2 *2451:serial_load 0.000105233 +3 *2446:serial_load 0.00145422 +4 *2470:serial_load_out 0.00113254 +5 *479:52 0.000625176 +6 *479:43 0.00301953 +7 *479:42 0.00249959 +8 *479:40 0.00130472 +9 *479:37 0.0150498 +10 *479:36 0.014904 +11 *479:34 0.0263638 +12 *479:33 0.0263638 +13 *479:31 0.00139242 +14 *479:30 0.00139242 +15 *479:28 0.00320445 +16 *479:27 0.00320445 +17 *479:16 0.00466226 +18 *479:15 0.00434057 +19 *2446:serial_load *2446:serial_data_in 0 +20 *2446:serial_load *497:16 0 +21 *2446:serial_load *513:13 5.02212e-05 +22 *2446:serial_load *1560:29 0.000513945 +23 *2450:serial_load_out *511:13 0 +24 *2450:serial_load_out *1879:8 0 +25 *2450:serial_load_out *2355:12 1.29141e-05 +26 *2450:serial_load_out *2382:13 0.00132642 +27 *2451:serial_load *2452:serial_data_in 1.09423e-05 +28 *479:15 *496:20 1.66771e-05 +29 *479:15 *524:15 0.000656296 +30 *479:15 *2344:15 1.29018e-05 +31 *479:16 *497:16 0 +32 *479:16 *1560:29 0.0121348 +33 *479:16 *2371:16 0.0119264 +34 *479:28 *2399:13 0.000437454 +35 *479:31 *495:50 0.00846577 +36 *479:31 *1553:33 0.000281071 +37 *479:34 *1551:26 0.000160376 +38 *479:34 *1554:24 0.000154498 +39 *479:37 *497:37 1.2693e-05 +40 *479:37 *497:43 0 +41 *479:37 *2356:16 2.55661e-06 +42 *479:40 *2382:13 0.000207277 +43 *479:43 *486:61 0.000365677 +44 *479:43 *497:43 0.0158299 +45 *479:52 *2451:serial_data_in 2.1051e-05 +46 *479:52 *2451:user_gpio_oeb 7.77309e-06 +47 *479:52 *2330:10 0.000184601 +48 *479:52 *2330:11 0.00304351 +49 *479:52 *2357:13 0.00309016 +50 *2446:serial_load_out *2446:serial_load 0.0002035 +51 *2450:serial_load *2450:serial_load_out 1.75765e-05 +52 *2470:serial_load *479:15 4.46752e-05 +53 *101:37 *479:37 0.115362 +54 *108:42 *479:34 0.00238228 +55 *109:40 *479:16 0.00189414 +56 *109:61 *479:34 0.335471 +57 *110:88 *479:31 0.00848641 +58 *111:42 *479:31 8.6297e-06 +59 *112:30 *479:31 8.02838e-05 +60 *112:33 *479:34 0.347696 +61 *112:36 *479:37 0.114277 +62 *112:42 *479:43 0.0158545 +63 *468:13 *479:52 0.000151667 +64 *472:23 *479:34 0 +65 *478:26 *479:31 4.0855e-05 +*RES +1 *2470:serial_load_out *479:15 42.0948 +2 *479:15 *479:16 256.797 +3 *479:16 *2446:serial_load 15.6779 +4 *479:16 *479:27 4.5 +5 *479:27 *479:28 91.6784 +6 *479:28 *479:30 4.5 +7 *479:30 *479:31 141.357 +8 *479:31 *479:33 4.5 +9 *479:33 *479:34 3666.65 +10 *479:34 *479:36 4.5 +11 *479:36 *479:37 1843.06 +12 *479:37 *479:40 9.66022 +13 *479:40 *479:42 4.5 +14 *479:42 *479:43 270.5 +15 *479:43 *479:52 49.8184 +16 *479:52 *2451:serial_load 0.251087 +17 *479:40 *2450:serial_load_out 43.0278 +*END + +*D_NET *480 1.29759 +*CONN +*I *2444:serial_load I *D gpio_control_block +*I *2461:serial_load I *D gpio_control_block +*I *2471:serial_load O *D housekeeping +*CAP +1 *2444:serial_load 0.000589892 +2 *2461:serial_load 0.00143624 +3 *2471:serial_load 0.0013112 +4 *480:28 0.054002 +5 *480:27 0.0525657 +6 *480:25 0.00111818 +7 *480:17 0.0848404 +8 *480:16 0.0837222 +9 *480:14 0.00785559 +10 *480:13 0.00785559 +11 *480:11 0.000882544 +12 *480:8 0.00180842 +13 *480:7 0.00282697 +14 *2444:serial_load *2444:serial_data_in 0 +15 *2444:serial_load *499:15 0.000413016 +16 *2461:serial_load *2461:serial_data_in 0 +17 *2461:serial_load *2334:13 0.0115385 +18 *480:8 *498:8 0.000321904 +19 *480:8 *512:8 0.00954449 +20 *480:8 *1544:14 0.0003032 +21 *480:8 *1564:20 0 +22 *480:14 *485:50 0.00202783 +23 *480:14 *498:14 0.0157911 +24 *480:14 *499:16 0.0169637 +25 *480:14 *1823:19 0.000990376 +26 *480:14 *1823:20 0.00124392 +27 *480:14 *1935:14 0.000393517 +28 *480:14 *1935:23 0.00113596 +29 *480:14 *2012:11 0.000776534 +30 *480:17 *1574:10 0.0440279 +31 *480:17 *1607:31 0.0011778 +32 *480:17 *1607:35 0.00485587 +33 *480:17 *1609:33 0.00134687 +34 *480:17 *1609:37 0.00507928 +35 *480:17 *1611:33 0.000319727 +36 *480:17 *1611:35 0.0051791 +37 *480:17 *1716:27 0.00476053 +38 *480:17 *1718:25 0.00619868 +39 *480:17 *1722:25 0.00586024 +40 *480:17 *1724:27 0.000895693 +41 *480:17 *1738:31 0.000416377 +42 *480:17 *1740:29 0.000337843 +43 *480:17 *2183:37 0.000664751 +44 *480:25 *2478:la_input[3] 0.000489405 +45 *480:25 *485:41 0.0004231 +46 *480:25 *911:10 0 +47 *480:25 *1553:27 0 +48 *480:25 *1574:18 0.000414208 +49 *480:28 *493:45 0.10616 +50 *480:28 *498:22 0.00101546 +51 *480:28 *911:9 0.000234966 +52 *480:28 *1550:31 0.222937 +53 *480:28 *1574:19 0.010244 +54 *2444:serial_load_out *2444:serial_load 3.84497e-05 +55 *2461:serial_clock *2461:serial_load 0.0131675 +56 *99:10 *480:17 0.000984253 +57 *100:74 *480:25 0 +58 *100:77 *480:17 0.0863597 +59 *100:96 *2444:serial_load 0 +60 *113:8 *480:8 0.00990286 +61 *113:20 *480:25 0.000222955 +62 *467:12 *2461:serial_load 5.35899e-05 +63 *467:41 *480:25 8.51012e-06 +64 *467:42 *480:17 0.401551 +*RES +1 *2471:serial_load *480:7 8.25085 +2 *480:7 *480:8 168.556 +3 *480:8 *480:11 11.324 +4 *480:11 *480:13 4.5 +5 *480:13 *480:14 518.613 +6 *480:14 *480:16 3.36879 +7 *480:16 *480:17 507.486 +8 *480:17 *480:25 45.563 +9 *480:25 *480:27 4.5 +10 *480:27 *480:28 3620.55 +11 *480:28 *2461:serial_load 37.4138 +12 *480:11 *2444:serial_load 25.2705 +*END + +*D_NET *481 0.187429 +*CONN +*I *2474:gpio_mode0_core I *D chip_io_alt +*I *2478:gpio_mode0_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_mode0_core 0.00141133 +2 *2478:gpio_mode0_pad 0.000999138 +3 *481:25 0.00195643 +4 *481:20 0.00199905 +5 *481:19 0.00208351 +6 *481:15 0.0023617 +7 *481:10 0.0410584 +8 *481:9 0.0403254 +9 *2474:gpio_mode0_core *2474:gpio_mode1_core 0.000325899 +10 *481:10 *482:10 0.0517386 +11 *481:25 *2474:gpio_mode1_core 0 +12 *80:16 *2474:gpio_mode0_core 0 +13 *465:15 *2474:gpio_mode0_core 0.00048572 +14 *465:15 *481:25 0.00123957 +15 *465:16 *481:20 0.00669929 +16 *466:10 *481:10 0.0347446 +*RES +1 *2478:gpio_mode0_pad *481:9 32.383 +2 *481:9 *481:10 1331.22 +3 *481:10 *481:15 48.3824 +4 *481:15 *481:19 21.4887 +5 *481:19 *481:20 70.0488 +6 *481:20 *481:25 30.3488 +7 *481:25 *2474:gpio_mode0_core 45.6406 +*END + +*D_NET *482 0.109711 +*CONN +*I *2474:gpio_mode1_core I *D chip_io_alt +*I *2478:gpio_mode1_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_mode1_core 0.00116373 +2 *2478:gpio_mode1_pad 0.00104428 +3 *482:16 0.00203578 +4 *482:13 0.00257087 +5 *482:10 0.0111478 +6 *482:9 0.0104933 +7 *482:10 *483:10 0.0163159 +8 *2474:gpio_mode0_core *2474:gpio_mode1_core 0.000325899 +9 *75:46 *482:16 0.00107171 +10 *78:16 *2474:gpio_mode1_core 0 +11 *80:16 *482:16 0.00399081 +12 *89:28 *2474:gpio_mode1_core 0.000863521 +13 *466:10 *482:10 0.00694851 +14 *481:10 *482:10 0.0517386 +15 *481:25 *2474:gpio_mode1_core 0 +*RES +1 *2478:gpio_mode1_pad *482:9 33.0913 +2 *482:9 *482:10 565.31 +3 *482:10 *482:13 47.442 +4 *482:13 *482:16 46.2641 +5 *482:16 *2474:gpio_mode1_core 20.264 +*END + +*D_NET *483 0.0408278 +*CONN +*I *2474:gpio_out_core I *D chip_io_alt +*I *2478:gpio_out_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_out_core 0.00152649 +2 *2478:gpio_out_pad 0.00102968 +3 *483:10 0.00929777 +4 *483:9 0.00880095 +5 *466:10 *483:10 0.00385697 +6 *482:10 *483:10 0.0163159 +*RES +1 *2478:gpio_out_pad *483:9 32.6761 +2 *483:9 *483:10 289.672 +3 *483:10 *2474:gpio_out_core 43.4116 +*END + +*D_NET *484 0.0111172 +*CONN +*I *2474:gpio_outenb_core I *D chip_io_alt +*I *2478:gpio_outenb_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_outenb_core 0.000231632 +2 *2478:gpio_outenb_pad 9.24304e-05 +3 *484:10 0.00288697 +4 *484:9 0.00265534 +5 *484:7 0.00231718 +6 *484:5 0.00240961 +7 *484:10 *2219:11 0.000524076 +*RES +1 *2478:gpio_outenb_pad *484:5 2.05183 +2 *484:5 *484:7 61.0054 +3 *484:7 *484:9 4.5 +4 *484:9 *484:10 80.5863 +5 *484:10 *2474:gpio_outenb_core 10.9486 +*END + +*D_NET *485 1.44427 +*CONN +*I *2445:resetn I *D gpio_control_block +*I *2444:resetn_out O *D gpio_control_block +*I *2462:resetn I *D gpio_control_block +*I *2461:resetn_out O *D gpio_control_block +*CAP +1 *2445:resetn 9.45324e-05 +2 *2444:resetn_out 0.000370513 +3 *2462:resetn 0.000804024 +4 *2461:resetn_out 0.000700969 +5 *485:85 2.68684e-05 +6 *485:65 0.00197719 +7 *485:63 0.00163245 +8 *485:61 0.00250552 +9 *485:60 0.0029184 +10 *485:53 0.0024079 +11 *485:52 0.00196925 +12 *485:50 0.00673909 +13 *485:44 0.0300216 +14 *485:43 0.0233771 +15 *485:41 0.0457594 +16 *485:40 0.0457594 +17 *485:38 0.00256296 +18 *485:26 0.00339478 +19 *485:23 0.00385393 +20 *485:22 0.0039179 +21 *485:17 0.000814148 +22 *485:16 0.000722371 +23 *485:14 0.00812833 +24 *485:13 0.00812833 +25 *485:11 0.00298841 +26 *485:10 0.0063528 +27 *485:7 0.00403849 +28 *2444:resetn_out *2444:resetn 2.12195e-05 +29 *2444:resetn_out *2155:13 0 +30 *2445:resetn *2445:resetn_out 4.31122e-05 +31 *2445:resetn *2085:7 0 +32 *2445:resetn *2166:13 3.84497e-05 +33 *2462:resetn *489:7 0.000267264 +34 *2462:resetn *516:8 0 +35 *2462:resetn *2161:7 0.000271938 +36 *485:14 *1894:11 7.56549e-05 +37 *485:17 *1836:14 0 +38 *485:17 *2052:8 0.00363982 +39 *485:17 *2079:8 0.00454127 +40 *485:17 *2106:17 1.14755e-05 +41 *485:17 *2106:21 0.00113432 +42 *485:23 *516:8 0 +43 *485:23 *2025:9 0 +44 *485:23 *2106:21 0.000544925 +45 *485:23 *2160:8 0 +46 *485:23 *2326:13 0.00032133 +47 *485:41 *2478:la_input[3] 0 +48 *485:41 *490:16 0.160104 +49 *485:41 *491:45 1.56527e-05 +50 *485:41 *1178:7 0.00385396 +51 *485:41 *1553:27 0 +52 *485:44 *498:17 0.401562 +53 *485:44 *1713:25 0.000782018 +54 *485:44 *1720:24 0.00579314 +55 *485:44 *2193:11 0.0158453 +56 *485:50 *498:14 0.00215031 +57 *485:50 *1565:20 0.00215031 +58 *485:50 *1823:19 0.000327945 +59 *485:50 *1823:20 0.000513186 +60 *485:50 *1924:14 0.000892143 +61 *485:50 *1935:14 0.000459689 +62 *485:50 *2012:11 0.00087705 +63 *485:50 *2085:7 0 +64 *485:53 *2445:pad_gpio_in 0.000134703 +65 *485:53 *2474:mprj_io_oeb[1] 0 +66 *485:53 *1544:13 4.89469e-06 +67 *485:53 *1788:13 4.3116e-06 +68 *485:53 *1815:13 9.20603e-05 +69 *485:53 *1842:13 8.35615e-06 +70 *485:53 *1891:13 0.000130139 +71 *485:53 *1902:13 5.88867e-05 +72 *485:53 *1913:13 6.19102e-05 +73 *485:53 *1950:13 3.93117e-06 +74 *485:53 *1977:8 0.00285572 +75 *485:53 *2058:13 5.88867e-05 +76 *485:53 *2085:8 0.000289922 +77 *485:53 *2112:13 6.19102e-05 +78 *485:53 *2139:13 8.67462e-05 +79 *485:53 *2166:13 1.38204e-05 +80 *485:60 *2474:mprj_io_vtrip_sel[1] 0 +81 *485:60 *1977:19 5.481e-05 +82 *485:61 *1842:18 0.00157562 +83 *485:61 *2004:11 0 +84 *2444:serial_clock *2444:resetn_out 4.31122e-05 +85 *2444:serial_clock *485:65 0 +86 *2444:serial_clock_out *2444:resetn_out 0 +87 *2445:serial_clock *2445:resetn 0 +88 *2445:serial_clock *485:50 0 +89 *100:13 *485:7 0.00130834 +90 *100:13 *485:10 0.0143405 +91 *100:71 *485:26 0.000220183 +92 *100:71 *485:38 0.0110621 +93 *100:74 *485:41 0 +94 *100:84 *485:53 0 +95 *100:90 *485:61 0.00363386 +96 *100:96 *485:65 0.000347035 +97 *106:34 *485:41 8.6297e-06 +98 *108:39 *485:41 0 +99 *113:17 *485:44 0.400485 +100 *467:33 *485:41 0.0232972 +101 *467:41 *485:41 0.000349904 +102 *467:41 *485:44 0 +103 *467:42 *485:44 0.000102622 +104 *467:48 *485:50 0.0169073 +105 *467:55 *485:61 0.00178881 +106 *467:55 *485:65 0.00318611 +107 *471:15 *485:26 9.90116e-05 +108 *471:15 *485:38 8.90486e-05 +109 *471:16 *2462:resetn 0 +110 *473:28 *485:41 0.140845 +111 *475:31 *485:41 0 +112 *480:14 *485:50 0.00202783 +113 *480:25 *485:41 0.0004231 +*RES +1 *2461:resetn_out *485:7 33.4847 +2 *485:7 *485:10 23.8415 +3 *485:10 *485:11 84.6748 +4 *485:11 *485:13 4.5 +5 *485:13 *485:14 226.447 +6 *485:14 *485:16 4.5 +7 *485:16 *485:17 77.4079 +8 *485:17 *485:22 10.8326 +9 *485:22 *485:23 115.196 +10 *485:23 *485:26 6.88721 +11 *485:26 *2462:resetn 25.8058 +12 *485:26 *485:38 118.854 +13 *485:38 *485:40 4.5 +14 *485:40 *485:41 3395.9 +15 *485:41 *485:43 3.36879 +16 *485:43 *485:44 507.83 +17 *485:44 *485:50 38.5047 +18 *485:50 *485:52 3.36879 +19 *485:52 *485:53 80.9375 +20 *485:53 *485:60 20.7431 +21 *485:60 *485:61 117.895 +22 *485:61 *485:63 0.732798 +23 *485:63 *485:65 67.5884 +24 *485:65 *2444:resetn_out 8.35777 +25 *485:50 *2445:resetn 0.445638 +26 *2461:resetn_out *485:85 0.0631875 +*END + +*D_NET *486 0.977288 +*CONN +*I *2452:resetn I *D gpio_control_block +*I *2446:resetn_out O *D gpio_control_block +*I *2447:resetn I *D gpio_control_block +*I *2451:resetn_out O *D gpio_control_block +*CAP +1 *2452:resetn 0.000423946 +2 *2446:resetn_out 0.00116496 +3 *2447:resetn 0.00173369 +4 *2451:resetn_out 0.000373174 +5 *486:66 0.00135782 +6 *486:61 0.0103514 +7 *486:60 0.00941754 +8 *486:55 1.65112e-05 +9 *486:50 0.00593917 +10 *486:45 0.00746063 +11 *486:44 0.0103601 +12 *486:29 0.0096058 +13 *486:21 0.0820296 +14 *486:20 0.0818476 +15 *486:18 0.0173602 +16 *486:17 0.0175509 +17 *486:13 0.00104149 +18 *486:12 0.00122402 +19 *2447:resetn *2447:resetn_out 5.56976e-05 +20 *2447:resetn *2172:7 6.59948e-05 +21 *2452:resetn *2049:13 0.000115266 +22 *2452:resetn *2076:13 0.000178041 +23 *486:12 *2451:resetn 6.25838e-06 +24 *486:12 *497:49 0.000335926 +25 *486:13 *497:49 0.0032092 +26 *486:13 *2048:13 0.00095438 +27 *486:21 *812:8 0 +28 *486:21 *815:8 0.00282239 +29 *486:21 *1007:14 0 +30 *486:21 *1012:14 0 +31 *486:21 *1074:8 0 +32 *486:29 *2036:7 5.94052e-06 +33 *486:44 *2474:mprj_io_holdover[24] 0.000321121 +34 *486:44 *2474:mprj_io_out[24] 0.000184273 +35 *486:44 *2474:mprj_io_vtrip_sel[24] 0.00647548 +36 *486:44 *1927:15 0.000376332 +37 *486:44 *2009:11 0.000223362 +38 *486:44 *2036:7 0.000345713 +39 *486:61 *487:18 0 +40 *486:61 *487:46 2.95757e-05 +41 *486:61 *497:43 1.44611e-05 +42 *486:66 *487:13 0.0032946 +43 *486:66 *487:17 6.08467e-05 +44 *486:66 *2049:13 0 +45 *2446:gpio_defaults[0] *486:45 0 +46 *2446:serial_clock_out *2446:resetn_out 0.00140059 +47 *2446:serial_load_out *486:44 0 +48 *2447:serial_clock *2447:resetn 0.00186801 +49 *2447:serial_clock *486:44 1.83572e-05 +50 *2448:serial_load *2447:resetn 0.000425575 +51 *2448:serial_load *486:44 5.86491e-06 +52 *2451:serial_clock *486:12 8.42618e-05 +53 *2452:serial_clock *2452:resetn 0 +54 *101:22 *2452:resetn 0 +55 *101:36 *486:13 0 +56 *101:36 *486:17 0 +57 *101:37 *486:18 0.136092 +58 *101:37 *486:61 0 +59 *101:40 *486:21 0.358501 +60 *101:48 *486:29 0.000380888 +61 *101:63 *486:44 0.0231092 +62 *101:64 *486:45 0.00624046 +63 *102:13 *2452:resetn 0 +64 *468:18 *486:18 0.136093 +65 *468:18 *486:61 0 +66 *468:21 *486:21 0.034295 +67 *468:49 *486:61 0 +68 *469:28 *486:44 5.57527e-05 +69 *469:42 *486:29 1.98294e-05 +70 *477:26 *486:44 0 +71 *479:43 *486:61 0.000365677 +*RES +1 *2451:resetn_out *486:12 16.8917 +2 *486:12 *486:13 36.7726 +3 *486:13 *486:17 9.10562 +4 *486:17 *486:18 2174.85 +5 *486:18 *486:20 4.5 +6 *486:20 *486:21 3780.35 +7 *486:21 *486:29 10.0016 +8 *486:29 *2447:resetn 9.78798 +9 *486:29 *486:44 49.4822 +10 *486:44 *486:45 113.95 +11 *486:45 *486:50 24.9921 +12 *486:50 *2446:resetn_out 44.2345 +13 *2446:resetn_out *486:55 0.0631875 +14 *486:13 *486:60 4.5 +15 *486:60 *486:61 270.5 +16 *486:61 *486:66 45.218 +17 *486:66 *2452:resetn 14.4679 +*END + +*D_NET *487 0.972916 +*CONN +*I *2453:resetn I *D gpio_control_block +*I *2447:resetn_out O *D gpio_control_block +*I *2448:resetn I *D gpio_control_block +*I *2452:resetn_out O *D gpio_control_block +*CAP +1 *2453:resetn 0.000473828 +2 *2447:resetn_out 0.000986394 +3 *2448:resetn 0.00219024 +4 *2452:resetn_out 0.000570117 +5 *487:46 0.00681506 +6 *487:45 0.00634123 +7 *487:36 0.00436446 +8 *487:35 0.00337807 +9 *487:25 0.00234012 +10 *487:21 0.0741266 +11 *487:20 0.0739768 +12 *487:18 0.0291902 +13 *487:17 0.0294271 +14 *487:13 0.00162962 +15 *487:12 0.00196279 +16 *2447:resetn_out *2145:7 0 +17 *2447:resetn_out *2172:7 0 +18 *2448:resetn *2448:resetn_out 3.7591e-06 +19 *2453:resetn *488:11 0.00319704 +20 *2453:resetn *2050:13 1.92172e-05 +21 *2453:resetn *2131:10 6.75696e-05 +22 *2453:resetn *2158:10 3.74656e-05 +23 *487:12 *2130:10 0.000318589 +24 *487:13 *2452:pad_gpio_in 7.49541e-05 +25 *487:13 *1968:13 0.000574696 +26 *487:18 *2356:16 0 +27 *487:21 *1573:10 0.022849 +28 *487:21 *1574:18 0.000599849 +29 *487:21 *1577:16 0.000260374 +30 *487:25 *1573:10 5.04351e-05 +31 *487:36 *1557:27 0.00323471 +32 *487:36 *1575:19 0.00658658 +33 *2447:resetn *2447:resetn_out 5.56976e-05 +34 *2447:serial_clock_out *2447:resetn_out 0 +35 *2448:serial_clock_out *2448:resetn 0 +36 *101:37 *487:18 1.27831e-06 +37 *102:18 *487:18 0.170906 +38 *102:21 *487:21 0.35549 +39 *102:21 *487:25 0.000751257 +40 *102:54 *487:46 0.0168493 +41 *102:60 *2453:resetn 0.00302181 +42 *103:15 *2453:resetn 6.11359e-06 +43 *468:18 *487:18 0.137263 +44 *468:49 *487:46 0.00036177 +45 *469:18 *487:46 0 +46 *469:57 *487:46 9.22013e-06 +47 *471:24 *487:36 0.0091684 +48 *486:61 *487:18 0 +49 *486:61 *487:46 2.95757e-05 +50 *486:66 *487:13 0.0032946 +51 *486:66 *487:17 6.08467e-05 +*RES +1 *2452:resetn_out *487:12 21.8747 +2 *487:12 *487:13 52.3015 +3 *487:13 *487:17 10.7694 +4 *487:17 *487:18 2731.7 +5 *487:18 *487:20 4.5 +6 *487:20 *487:21 3760.38 +7 *487:21 *487:25 12.4332 +8 *487:25 *2448:resetn 48.8763 +9 *487:21 *487:35 4.5 +10 *487:35 *487:36 222.954 +11 *487:36 *2447:resetn_out 6.79754 +12 *487:13 *487:45 4.5 +13 *487:45 *487:46 287.526 +14 *487:46 *2453:resetn 48.3483 +*END + +*D_NET *488 0.925343 +*CONN +*I *2454:resetn I *D gpio_control_block +*I *2448:resetn_out O *D gpio_control_block +*I *2453:resetn_out O *D gpio_control_block +*CAP +1 *2454:resetn 0.00135148 +2 *2448:resetn_out 0.00055689 +3 *2453:resetn_out 0.000800226 +4 *488:52 0.0250142 +5 *488:51 0.0238023 +6 *488:35 0.0751574 +7 *488:34 0.0746005 +8 *488:32 0.00300652 +9 *488:31 0.00300652 +10 *488:29 0.00243325 +11 *488:28 0.00243325 +12 *488:26 0.0065851 +13 *488:25 0.0065851 +14 *488:23 0.00360299 +15 *488:22 0.00360299 +16 *488:20 0.0643103 +17 *488:19 0.0643103 +18 *488:17 0.0043575 +19 *488:16 0.00474864 +20 *488:11 0.00105176 +21 *2448:resetn_out *2448:serial_data_in 0.000258162 +22 *2454:resetn *2132:13 0 +23 *488:11 *2131:10 1.2366e-05 +24 *488:11 *2158:10 0 +25 *488:32 *2471:wb_dat_i[1] 0.000728314 +26 *488:32 *1735:13 0 +27 *488:35 *525:11 0 +28 *2448:resetn *2448:resetn_out 3.7591e-06 +29 *2448:serial_clock_out *2448:resetn_out 2.44479e-06 +30 *2448:serial_load_out *2448:resetn_out 8.10016e-06 +31 *2453:resetn *488:11 0.00319704 +32 *2453:serial_clock *488:11 4.2387e-05 +33 *2454:serial_clock *2454:resetn 0 +34 *102:60 *488:11 0.000197406 +35 *103:15 *488:11 0.000845972 +36 *103:19 *488:11 0.00043038 +37 *103:20 *488:16 0 +38 *103:38 *488:20 0.157626 +39 *103:59 *488:35 0.322046 +40 *103:72 *488:52 8.01542e-05 +41 *469:22 *488:20 3.26582e-06 +42 *469:57 *488:16 0 +43 *469:57 *488:52 0.000554108 +44 *470:34 *488:52 0.0679899 +*RES +1 *2453:resetn_out *488:11 48.2195 +2 *488:11 *488:16 15.815 +3 *488:16 *488:17 120.518 +4 *488:17 *488:19 4.5 +5 *488:19 *488:20 2789 +6 *488:20 *488:22 4.5 +7 *488:22 *488:23 99.9974 +8 *488:23 *488:25 4.5 +9 *488:25 *488:26 185.789 +10 *488:26 *488:28 4.5 +11 *488:28 *488:29 67.8304 +12 *488:29 *488:31 4.5 +13 *488:31 *488:32 76.1621 +14 *488:32 *488:34 4.5 +15 *488:34 *488:35 3500.83 +16 *488:35 *2448:resetn_out 23.4226 +17 *488:16 *488:51 7.99641 +18 *488:51 *488:52 1096.85 +19 *488:52 *2454:resetn 46.9632 +*END + +*D_NET *489 1.25746 +*CONN +*I *2455:resetn I *D gpio_control_block +*I *2445:resetn_out O *D gpio_control_block +*I *2463:resetn I *D gpio_control_block +*I *2462:resetn_out O *D gpio_control_block +*CAP +1 *2455:resetn 0.000176974 +2 *2445:resetn_out 0.000522251 +3 *2463:resetn 0.000467769 +4 *2462:resetn_out 0.000512538 +5 *489:49 0.00333431 +6 *489:47 0.00283784 +7 *489:45 0.000980431 +8 *489:44 0.00176753 +9 *489:35 0.00160841 +10 *489:34 0.000795535 +11 *489:32 0.02735 +12 *489:31 0.027173 +13 *489:29 0.0252628 +14 *489:28 0.0252628 +15 *489:26 0.00443273 +16 *489:25 0.00443273 +17 *489:14 0.00535592 +18 *489:13 0.00528369 +19 *489:8 0.00156644 +20 *489:7 0.00168343 +21 *2445:resetn_out *2445:serial_data_in 0 +22 *2455:resetn *2455:resetn_out 4.31122e-05 +23 *2455:resetn *2174:13 3.84497e-05 +24 *2463:resetn *490:7 0.000210918 +25 *2463:resetn *2162:7 0.000210918 +26 *489:7 *2462:serial_data_in 0 +27 *489:7 *2161:7 0 +28 *489:8 *517:20 0.00045517 +29 *489:8 *1899:8 1.87269e-05 +30 *489:8 *1945:8 0.00357093 +31 *489:8 *2080:14 0.00228543 +32 *489:8 *2161:8 0.00699644 +33 *489:13 *2474:mprj_io_analog_en[15] 5.04829e-06 +34 *489:13 *2474:mprj_io_inp_dis[15] 0 +35 *489:13 *2161:13 0 +36 *489:14 *2474:mprj_io_dm[45] 0 +37 *489:14 *2026:9 0.000473066 +38 *489:14 *2107:14 0 +39 *489:14 *2161:17 0 +40 *489:14 *2309:13 0 +41 *489:26 *2336:15 0.00141018 +42 *489:32 *1562:19 0.000135103 +43 *489:32 *1571:10 0.342348 +44 *489:32 *1572:10 4.4379e-05 +45 *489:35 *2455:mgmt_gpio_out 0.000100924 +46 *489:35 *503:26 0.000175656 +47 *489:35 *2147:8 0.00466969 +48 *489:45 *503:20 0.00455234 +49 *489:45 *1935:24 0 +50 *2445:resetn *2445:resetn_out 4.31122e-05 +51 *2445:serial_clock *2445:resetn_out 4.31122e-05 +52 *2455:serial_clock *2455:resetn 0.000196651 +53 *2462:resetn *489:7 0.000267264 +54 *100:80 *2445:resetn_out 0 +55 *100:84 *2445:resetn_out 0 +56 *104:34 *489:29 0.194165 +57 *104:37 *2455:resetn 4.66492e-05 +58 *104:37 *489:32 0.349441 +59 *104:40 *2455:resetn 0 +60 *104:40 *489:35 0.00501558 +61 *104:50 *489:45 0.00320921 +62 *104:56 *489:45 1.90395e-05 +63 *104:56 *489:49 0.0020682 +64 *467:33 *489:29 0.194161 +65 *467:53 *489:49 0.000235981 +66 *471:16 *489:14 0 +67 *471:23 *489:14 0 +*RES +1 *2462:resetn_out *489:7 5.49721 +2 *489:7 *489:8 117.064 +3 *489:8 *489:13 16.9332 +4 *489:13 *489:14 140.526 +5 *489:14 *2463:resetn 13.11 +6 *489:14 *489:25 4.5 +7 *489:25 *489:26 119.409 +8 *489:26 *489:28 4.5 +9 *489:28 *489:29 3108.75 +10 *489:29 *489:31 4.5 +11 *489:31 *489:32 3696.05 +12 *489:32 *489:34 4.5 +13 *489:34 *489:35 81.768 +14 *489:35 *489:44 8.62987 +15 *489:44 *489:45 74.0859 +16 *489:45 *489:47 0.732798 +17 *489:47 *489:49 105.437 +18 *489:49 *2445:resetn_out 25.0493 +19 *489:32 *2455:resetn 12.2359 +*END + +*D_NET *490 0.698623 +*CONN +*I *2464:resetn I *D gpio_control_block +*I *2456:resetn I *D gpio_control_block +*I *2455:resetn_out O *D gpio_control_block +*I *2463:resetn_out O *D gpio_control_block +*CAP +1 *2464:resetn 0.000637513 +2 *2456:resetn 0.000293262 +3 *2455:resetn_out 0.0107419 +4 *2463:resetn_out 0.000670727 +5 *490:25 0.0115893 +6 *490:19 0.133851 +7 *490:18 0.133297 +8 *490:16 0.0207306 +9 *490:15 0.0207306 +10 *490:13 0.00409986 +11 *490:12 0.00431089 +12 *490:8 0.00725115 +13 *490:7 0.00707334 +14 *2455:resetn_out *2455:serial_data_in 0 +15 *2455:resetn_out *2474:mprj_io_analog_en[3] 0.000106863 +16 *2455:resetn_out *2474:mprj_io_holdover[3] 0.000240961 +17 *2455:resetn_out *2474:mprj_io_oeb[3] 0.000113186 +18 *2455:resetn_out *2474:mprj_io_out[3] 0.000107678 +19 *2455:resetn_out *2474:mprj_io_slow_sel[3] 0.000102556 +20 *2455:resetn_out *504:8 0.00160609 +21 *2455:resetn_out *504:12 0.000974597 +22 *2455:resetn_out *1851:16 0.000404814 +23 *2455:resetn_out *1851:22 0.000266116 +24 *2455:resetn_out *1860:16 0.000386267 +25 *2455:resetn_out *1959:18 0.000391491 +26 *2455:resetn_out *1986:14 0.000539902 +27 *2455:resetn_out *2013:7 0.000482261 +28 *2455:resetn_out *2013:13 0.00080517 +29 *2455:resetn_out *2040:19 0.000141831 +30 *2455:resetn_out *2094:8 0.000273081 +31 *2455:resetn_out *2147:7 0 +32 *2456:resetn *2094:7 0 +33 *2456:resetn *2175:13 3.84497e-05 +34 *2464:resetn *2082:10 0 +35 *2464:resetn *2163:7 0.000286481 +36 *490:7 *2135:7 0 +37 *490:7 *2162:7 0 +38 *2455:resetn *2455:resetn_out 4.31122e-05 +39 *2455:serial_clock *2455:resetn_out 5.37048e-05 +40 *2455:serial_clock_out *2455:resetn_out 0 +41 *2456:serial_clock *2456:resetn 0 +42 *2463:resetn *490:7 0.000210918 +43 *2464:serial_clock *2464:resetn 0 +44 *105:7 *490:7 0 +45 *105:8 *490:8 0.00701861 +46 *105:12 *490:8 0.0045391 +47 *105:23 *2464:resetn 0 +48 *105:32 *2455:resetn_out 0.000315887 +49 *105:43 *2455:resetn_out 0.000272364 +50 *105:52 *2455:resetn_out 0.00016984 +51 *105:54 *2455:resetn_out 0.000629915 +52 *105:60 *2455:resetn_out 0.000614381 +53 *106:13 *490:8 0 +54 *106:13 *490:12 0 +55 *467:33 *490:16 0.160109 +56 *468:21 *490:19 0 +57 *471:24 *490:8 0 +58 *471:24 *490:12 0 +59 *472:32 *2455:resetn_out 0.00031116 +60 *472:38 *2455:resetn_out 0.0010111 +61 *472:44 *2455:resetn_out 0.000674611 +62 *485:41 *490:16 0.160104 +*RES +1 *2463:resetn_out *490:7 5.95615 +2 *490:7 *490:8 266.763 +3 *490:8 *490:12 10.2769 +4 *490:12 *490:13 109.426 +5 *490:13 *490:15 4.5 +6 *490:15 *490:16 2562.48 +7 *490:16 *490:18 3.36879 +8 *490:18 *490:19 508.365 +9 *490:19 *490:25 2.6859 +10 *490:25 *2455:resetn_out 39.3525 +11 *490:25 *2456:resetn 0.942825 +12 *490:8 *2464:resetn 5.95615 +*END + +*D_NET *491 1.24267 +*CONN +*I *2456:resetn_out O *D gpio_control_block +*I *2457:resetn I *D gpio_control_block +*I *2465:resetn I *D gpio_control_block +*I *2464:resetn_out O *D gpio_control_block +*CAP +1 *2456:resetn_out 8.86388e-05 +2 *2457:resetn 0.00120379 +3 *2465:resetn 0.000620568 +4 *2464:resetn_out 0.00584431 +5 *491:71 0.00185956 +6 *491:70 0.00308463 +7 *491:51 0.00286059 +8 *491:50 0.0016568 +9 *491:48 0.0239761 +10 *491:47 0.0226624 +11 *491:45 0.0213579 +12 *491:44 0.0213579 +13 *491:42 0.00441558 +14 *491:41 0.00441558 +15 *491:28 0.00332314 +16 *491:27 0.00270257 +17 *491:25 0.000637349 +18 *491:17 0.00489074 +19 *491:16 0.0042534 +20 *491:14 0.00298062 +21 *491:13 0.00882492 +22 *2457:resetn *2457:resetn_out 1.29141e-05 +23 *2457:resetn *492:31 0 +24 *2457:resetn *2176:13 0.000155558 +25 *2465:resetn *492:9 0.00151334 +26 *2465:resetn *519:10 2.71975e-05 +27 *2465:resetn *520:16 0.000215786 +28 *2465:resetn *2164:9 0.000132991 +29 *491:17 *1839:33 0.0195892 +30 *491:25 *1904:13 0.000244445 +31 *491:25 *1906:8 0.0015941 +32 *491:25 *1947:13 1.61631e-05 +33 *491:25 *2001:13 0 +34 *491:25 *2136:8 0.0016265 +35 *491:25 *2294:14 2.57111e-05 +36 *491:28 *519:10 0.000160387 +37 *491:28 *1904:16 0.000375885 +38 *491:28 *1905:8 0.00146064 +39 *491:28 *1947:23 0.00192077 +40 *491:28 *2163:14 1.2819e-05 +41 *491:28 *2163:23 0.000383673 +42 *491:48 *2473:la_data_in[57] 0.000164024 +43 *491:48 *493:48 0.051813 +44 *491:48 *496:41 0.351063 +45 *491:48 *497:34 0.346955 +46 *491:51 *492:28 0.0117947 +47 *491:51 *1537:20 4.11944e-05 +48 *491:70 *496:41 0.000497846 +49 *106:14 *491:28 0.0098849 +50 *106:34 *491:45 0.139358 +51 *106:37 *491:48 1.00981e-05 +52 *106:40 *491:51 0.0118845 +53 *106:59 *491:70 0.00088455 +54 *106:60 *491:71 0.0045918 +55 *107:84 *491:45 0.123933 +56 *107:87 *491:48 4.03336e-05 +57 *107:87 *491:70 9.80747e-05 +58 *108:39 *491:45 1.47875e-05 +59 *113:20 *491:45 0.0167407 +60 *472:23 *491:48 0 +61 *472:23 *491:70 0 +62 *474:14 *2465:resetn 0.000397726 +63 *485:41 *491:45 1.56527e-05 +*RES +1 *2464:resetn_out *491:13 26.4136 +2 *491:13 *491:14 84.2596 +3 *491:14 *491:16 4.5 +4 *491:16 *491:17 204.818 +5 *491:17 *491:25 45.5076 +6 *491:25 *491:27 4.5 +7 *491:27 *491:28 166.272 +8 *491:28 *2465:resetn 19.5692 +9 *491:28 *491:41 4.5 +10 *491:41 *491:42 116.636 +11 *491:42 *491:44 4.5 +12 *491:44 *491:45 2230.49 +13 *491:45 *491:47 4.5 +14 *491:47 *491:48 3669.98 +15 *491:48 *491:50 4.5 +16 *491:50 *491:51 191.602 +17 *491:51 *2457:resetn 39.7633 +18 *491:48 *491:70 49.0371 +19 *491:70 *491:71 78.446 +20 *491:71 *2456:resetn_out 3.708 +*END + +*D_NET *492 0.896982 +*CONN +*I *2457:resetn_out O *D gpio_control_block +*I *2458:resetn I *D gpio_control_block +*I *2466:resetn I *D gpio_control_block +*I *2465:resetn_out O *D gpio_control_block +*CAP +1 *2457:resetn_out 0.000774602 +2 *2458:resetn 0.000719496 +3 *2466:resetn 0.000491457 +4 *2465:resetn_out 0.000451265 +5 *492:34 0.00296828 +6 *492:33 0.00224879 +7 *492:31 0.00123772 +8 *492:28 0.001979 +9 *492:27 0.00151589 +10 *492:25 0.0789435 +11 *492:24 0.0789435 +12 *492:22 0.0315738 +13 *492:21 0.0319947 +14 *492:12 0.000912331 +15 *492:10 0.00650535 +16 *492:9 0.00695662 +17 *2458:resetn *2458:resetn_out 3.84497e-05 +18 *2458:resetn *2177:13 3.84497e-05 +19 *2466:resetn *493:7 0.000114713 +20 *2466:resetn *2165:13 0.000406155 +21 *2466:resetn *2339:15 0 +22 *492:9 *2465:serial_data_in 0 +23 *492:10 *2474:mprj_io_inp_dis[18] 0.000253824 +24 *492:10 *520:16 0.00188321 +25 *492:10 *521:14 0.000194127 +26 *492:10 *2083:10 0.008934 +27 *492:10 *2164:16 0.000332901 +28 *492:21 *2339:15 0 +29 *492:22 *1557:27 0.102505 +30 *492:22 *1558:29 0.0537323 +31 *492:25 *495:53 0.352078 +32 *492:25 *497:34 0 +33 *492:25 *2020:24 0.00303298 +34 *492:28 *1537:20 0.0119459 +35 *492:34 *505:14 0.000465807 +36 *492:34 *506:14 0.0164065 +37 *2457:resetn *2457:resetn_out 1.29141e-05 +38 *2457:resetn *492:31 0 +39 *2457:serial_clock *2457:resetn_out 1.29141e-05 +40 *2457:serial_clock *492:31 0 +41 *2465:resetn *492:9 0.00151334 +42 *2465:serial_clock *492:9 8.63825e-06 +43 *106:40 *492:28 7.61581e-05 +44 *107:90 *492:34 0.000311015 +45 *107:107 *492:34 0.0167972 +46 *472:20 *492:22 0.00941994 +47 *477:29 *492:25 0.0540683 +48 *478:32 *492:34 0.0023888 +49 *491:51 *492:28 0.0117947 +*RES +1 *2465:resetn_out *492:9 5.75661 +2 *492:9 *492:10 265.932 +3 *492:10 *492:12 3.36879 +4 *492:12 *2466:resetn 2.2814 +5 *492:12 *492:21 4.79549 +6 *492:21 *492:22 1948.33 +7 *492:22 *492:24 4.5 +8 *492:24 *492:25 3774.25 +9 *492:25 *492:27 4.5 +10 *492:27 *492:28 190.772 +11 *492:28 *492:31 12.9878 +12 *492:31 *492:33 4.5 +13 *492:33 *492:34 273.407 +14 *492:34 *2458:resetn 29.7705 +15 *492:31 *2457:resetn_out 26.3897 +*END + +*D_NET *493 1.2882 +*CONN +*I *2459:resetn I *D gpio_control_block +*I *2458:resetn_out O *D gpio_control_block +*I *2467:resetn I *D gpio_control_block +*I *2466:resetn_out O *D gpio_control_block +*CAP +1 *2459:resetn 0.00520189 +2 *2458:resetn_out 0.000442557 +3 *2467:resetn 0.000135285 +4 *2466:resetn_out 0.000127697 +5 *493:55 0.00592934 +6 *493:51 0.00441084 +7 *493:50 0.00412594 +8 *493:48 0.0255418 +9 *493:47 0.0255418 +10 *493:45 0.0325076 +11 *493:44 0.0325076 +12 *493:42 0.00406663 +13 *493:41 0.00406663 +14 *493:29 0.00160219 +15 *493:22 0.00394093 +16 *493:20 0.00263589 +17 *493:16 0.000955441 +18 *493:8 0.00330903 +19 *493:7 0.00264315 +20 *2458:resetn_out *2150:7 0 +21 *2459:resetn *2459:resetn_out 3.31632e-05 +22 *2459:resetn *494:26 0.00176011 +23 *2459:resetn *494:29 0.000166086 +24 *2459:resetn *495:64 0.00141797 +25 *2459:resetn *1870:14 0.000804732 +26 *2459:resetn *2043:13 0.000988992 +27 *2459:resetn *2070:14 0.000640931 +28 *2459:resetn *2097:7 0 +29 *2459:resetn *2124:14 0.000836744 +30 *2459:resetn *2178:13 3.84497e-05 +31 *2459:resetn *2178:14 0.000617283 +32 *493:7 *2466:serial_data_in 0 +33 *493:8 *1911:18 0.000645639 +34 *493:8 *1976:8 0.000860035 +35 *493:8 *2003:11 0 +36 *493:16 *521:14 9.71323e-06 +37 *493:16 *1841:8 0.00153368 +38 *493:16 *1976:13 0.000356844 +39 *493:16 *1976:16 0.00152574 +40 *493:20 *521:14 0.000700943 +41 *493:20 *1841:19 0.000700943 +42 *493:20 *1976:16 9.71323e-06 +43 *493:20 *2084:8 8.35615e-06 +44 *493:22 *521:8 0.00830535 +45 *493:22 *1841:19 9.71323e-06 +46 *493:22 *2084:8 0.00255743 +47 *493:22 *2165:28 0 +48 *493:29 *494:7 0.000393802 +49 *493:45 *1574:19 0.00488005 +50 *493:48 *2473:la_data_in[57] 0.000297298 +51 *493:48 *494:23 3.05102e-05 +52 *493:48 *496:41 0.0476344 +53 *493:51 *2474:mprj_io_dm[17] 0.000290304 +54 *493:51 *495:64 0.000425703 +55 *493:51 *1826:19 0.000382316 +56 *493:51 *1866:14 0.000530008 +57 *493:51 *1987:14 0.000642807 +58 *493:51 *2014:21 0.000425124 +59 *493:51 *2122:14 0.000691809 +60 *493:51 *2150:14 0.000911944 +61 *493:51 *2177:14 0.000880813 +62 *2458:resetn *2458:resetn_out 3.84497e-05 +63 *2458:serial_clock *2458:resetn_out 4.31122e-05 +64 *2458:serial_clock_out *2458:resetn_out 0 +65 *2459:serial_clock *2459:resetn 0.0185843 +66 *2466:resetn *493:7 0.000114713 +67 *108:42 *493:48 0.000239164 +68 *108:47 *493:51 0.0190094 +69 *108:49 *2459:resetn 0.00036201 +70 *108:49 *493:51 0.00405446 +71 *108:49 *493:55 0.000764356 +72 *109:10 *2467:resetn 0 +73 *110:98 *2459:resetn 0.00186827 +74 *110:98 *493:51 0.00102273 +75 *474:57 *493:48 0.399306 +76 *475:16 *493:8 0 +77 *475:37 *2459:resetn 0.000318615 +78 *475:37 *493:51 0.0318714 +79 *475:37 *493:55 0.000236666 +80 *476:8 *493:22 0 +81 *476:8 *493:29 0 +82 *476:25 *493:48 0.000193559 +83 *478:26 *493:45 0.00997597 +84 *478:29 *493:48 0.399582 +85 *480:28 *493:45 0.10616 +86 *491:48 *493:48 0.051813 +*RES +1 *2466:resetn_out *493:7 3.9674 +2 *493:7 *493:8 83.8443 +3 *493:8 *493:16 47.9584 +4 *493:16 *493:20 13.0927 +5 *493:20 *493:22 135.751 +6 *493:22 *493:29 49.2572 +7 *493:29 *2467:resetn 4.50187 +8 *493:22 *493:41 4.5 +9 *493:41 *493:42 109.426 +10 *493:42 *493:44 4.5 +11 *493:44 *493:45 1698.34 +12 *493:45 *493:47 3.36879 +13 *493:47 *493:48 509.283 +14 *493:48 *493:50 0.376635 +15 *493:50 *493:51 63.2605 +16 *493:51 *493:55 1.8961 +17 *493:55 *2458:resetn_out 1.62542 +18 *493:55 *2459:resetn 39.2818 +*END + +*D_NET *494 1.33506 +*CONN +*I *2459:resetn_out O *D gpio_control_block +*I *2460:resetn I *D gpio_control_block +*I *2468:resetn I *D gpio_control_block +*I *2467:resetn_out O *D gpio_control_block +*CAP +1 *2459:resetn_out 4.15864e-05 +2 *2460:resetn 2.68684e-05 +3 *2468:resetn 0.000429635 +4 *2467:resetn_out 0.000693501 +5 *494:46 2.68684e-05 +6 *494:42 0.00257989 +7 *494:41 0.00326483 +8 *494:36 0.0104434 +9 *494:34 0.00979467 +10 *494:29 0.000542794 +11 *494:26 0.00829964 +12 *494:25 0.00783461 +13 *494:23 0.0218912 +14 *494:22 0.0218912 +15 *494:20 0.019997 +16 *494:19 0.019997 +17 *494:11 0.000653477 +18 *494:8 0.0207237 +19 *494:7 0.0211933 +20 *2468:resetn *2468:user_gpio_oeb 0 +21 *2468:resetn *495:14 5.56976e-05 +22 *2468:resetn *2168:7 0.000307124 +23 *494:7 *2467:serial_data_in 0 +24 *494:7 *2140:7 0 +25 *494:7 *2167:19 0 +26 *494:8 *523:8 0 +27 *494:8 *1816:16 0 +28 *494:8 *1843:16 0 +29 *494:8 *1914:16 0 +30 *494:8 *2032:13 0 +31 *494:8 *2140:8 0 +32 *494:11 *2468:user_gpio_oeb 0 +33 *494:20 *495:14 0 +34 *494:20 *513:14 0.00304724 +35 *494:20 *514:8 0.000429551 +36 *494:20 *523:8 0.00303514 +37 *494:20 *1790:8 0.000667635 +38 *494:20 *1792:8 0.000729048 +39 *494:20 *1817:8 0.000776783 +40 *494:20 *1819:8 0.000804228 +41 *494:20 *1820:14 0.000520968 +42 *494:20 *1917:14 0.000443731 +43 *494:20 *1920:8 0.000831196 +44 *494:20 *1926:16 0.000737797 +45 *494:20 *1929:20 0.000182793 +46 *494:20 *1952:8 0.000639653 +47 *494:20 *1953:17 0.000158897 +48 *494:20 *1979:18 0.000595313 +49 *494:20 *1980:8 0.000550827 +50 *494:20 *1980:16 0.000812647 +51 *494:20 *2060:8 0.000964879 +52 *494:20 *2061:16 0.00092497 +53 *494:20 *2063:8 0.000642796 +54 *494:20 *2088:12 0.00157095 +55 *494:20 *2114:8 0.00111099 +56 *494:20 *2117:12 0.000649082 +57 *494:20 *2144:8 0.000496457 +58 *494:20 *2168:8 0.00143652 +59 *494:20 *2169:16 0.00109157 +60 *494:20 *2300:21 0.000202475 +61 *494:20 *2319:11 0.000495892 +62 *494:23 *495:53 0.0475258 +63 *494:26 *2016:19 0.000883061 +64 *494:36 *2459:user_gpio_oeb 7.22572e-05 +65 *494:36 *2459:user_gpio_out 8.21013e-05 +66 *494:36 *507:18 0 +67 *2446:serial_load_out *494:20 0.00084449 +68 *2459:resetn *2459:resetn_out 3.31632e-05 +69 *2459:resetn *494:26 0.00176011 +70 *2459:resetn *494:29 0.000166086 +71 *2459:serial_clock *2459:resetn_out 3.84497e-05 +72 *2459:serial_clock *494:26 0 +73 *2459:serial_clock *494:29 0.000148555 +74 *109:94 *494:36 6.75696e-05 +75 *109:103 *494:36 0.0260934 +76 *110:91 *494:23 0.0517972 +77 *110:98 *494:26 0.00364078 +78 *111:12 *494:20 0.000527202 +79 *111:16 *494:20 0.00229192 +80 *474:54 *494:8 0.000702874 +81 *475:37 *494:26 0.0334809 +82 *476:8 *494:8 0.0210921 +83 *476:20 *494:8 0.000135815 +84 *476:22 *494:8 0.000574175 +85 *476:22 *494:20 0.0625991 +86 *476:25 *494:23 0.414659 +87 *476:28 *494:26 0.0515845 +88 *476:40 *494:42 0.00209796 +89 *477:8 *494:20 0.000204442 +90 *477:26 *494:20 0 +91 *477:29 *494:23 0.414617 +92 *478:10 *494:20 0.00167858 +93 *493:29 *494:7 0.000393802 +94 *493:48 *494:23 3.05102e-05 +*RES +1 *2467:resetn_out *494:7 5.65019 +2 *494:7 *494:8 781.676 +3 *494:8 *494:11 3.83937 +4 *494:11 *2468:resetn 1.86071 +5 *494:11 *494:19 0.376635 +6 *494:19 *494:20 124.756 +7 *494:20 *494:22 0.376635 +8 *494:22 *494:23 524.161 +9 *494:23 *494:25 0.376635 +10 *494:25 *494:26 102.352 +11 *494:26 *494:29 2.07106 +12 *494:29 *494:34 4.3947 +13 *494:34 *494:36 438.763 +14 *494:36 *494:41 27.4707 +15 *494:41 *494:42 97.9629 +16 *494:42 *2460:resetn 3.36879 +17 *2460:resetn *494:46 0.0631875 +18 *494:29 *2459:resetn_out 0.216168 +*END + +*D_NET *495 1.14368 +*CONN +*I *2449:resetn I *D gpio_control_block +*I *2460:resetn_out O *D gpio_control_block +*I *2469:resetn I *D gpio_control_block +*I *2468:resetn_out O *D gpio_control_block +*CAP +1 *2449:resetn 7.7646e-05 +2 *2460:resetn_out 8.683e-05 +3 *2469:resetn 8.80772e-05 +4 *2468:resetn_out 0.00591734 +5 *495:87 0.00237734 +6 *495:85 0.00284189 +7 *495:70 0.0011903 +8 *495:64 0.0247702 +9 *495:62 0.0243538 +10 *495:56 0.00135961 +11 *495:55 0.00121474 +12 *495:53 0.0212505 +13 *495:52 0.0212505 +14 *495:50 0.00375801 +15 *495:49 0.00658659 +16 *495:44 0.00846692 +17 *495:43 0.00599563 +18 *495:30 0.00120566 +19 *495:29 0.00593264 +20 *495:24 0.00709093 +21 *495:23 0.00227587 +22 *495:21 0.00424135 +23 *495:20 0.00494675 +24 *495:14 0.00698002 +25 *2449:resetn *2449:resetn_out 0.000105107 +26 *2449:resetn *2180:13 3.18067e-05 +27 *2460:resetn_out *2179:13 0 +28 *495:14 *2468:serial_data_in 0 +29 *495:14 *2468:user_gpio_oeb 3.27616e-06 +30 *495:44 *496:17 0.00851853 +31 *495:44 *496:20 0.000100822 +32 *495:44 *1818:15 0.000311148 +33 *495:44 *1926:12 0.000615079 +34 *495:49 *2473:gpio_noesd[16] 0.000523689 +35 *495:50 *1553:33 0.00145675 +36 *495:53 *497:34 0 +37 *495:56 *2474:mprj_io_analog_pol[4] 0.000545108 +38 *495:56 *2474:mprj_io_dm[12] 9.69513e-05 +39 *495:56 *2474:mprj_io_slow_sel[4] 0.000658312 +40 *495:56 *2014:12 0.000280067 +41 *495:62 *2474:mprj_io_analog_pol[4] 2.1558e-06 +42 *495:62 *2474:mprj_io_analog_sel[4] 6.73609e-05 +43 *495:64 *2458:user_gpio_out 0 +44 *495:64 *2474:mprj_io_analog_en[5] 9.69513e-05 +45 *495:64 *2474:mprj_io_analog_pol[5] 0.000525313 +46 *495:64 *2474:mprj_io_dm[15] 0.000103111 +47 *495:64 *2474:mprj_io_dm[16] 0.000205402 +48 *495:64 *2474:mprj_io_slow_sel[5] 0 +49 *495:64 *507:10 0 +50 *495:64 *507:12 0.00458026 +51 *495:64 *507:18 0 +52 *495:64 *1852:14 0.00491521 +53 *495:64 *1853:17 0.00267971 +54 *495:64 *1854:14 0.000210876 +55 *495:64 *1863:14 0.00518213 +56 *495:64 *1870:14 0.00496369 +57 *495:64 *1871:14 0.000434634 +58 *495:64 *1873:13 0 +59 *495:64 *1960:14 0.000156062 +60 *495:64 *1961:14 1.2819e-05 +61 *495:64 *1962:16 0.00471551 +62 *495:64 *1963:8 0 +63 *495:64 *2015:10 0 +64 *495:64 *2016:13 0.00140631 +65 *495:64 *2017:13 0.00034574 +66 *495:64 *2042:11 0.00200821 +67 *495:64 *2095:8 0 +68 *495:64 *2096:14 0.000988862 +69 *495:64 *2123:14 0.00513585 +70 *495:64 *2150:8 0 +71 *495:64 *2151:22 1.28326e-05 +72 *495:70 *2125:8 0.000674071 +73 *495:85 *2460:serial_data_in 0.000143544 +74 *495:85 *2460:user_gpio_oeb 1.66771e-05 +75 *495:85 *2460:user_gpio_out 8.36854e-05 +76 *495:85 *509:15 0.000182455 +77 *495:85 *2125:8 0.00105467 +78 *495:85 *2353:10 9.3612e-05 +79 *495:87 *1802:13 0.000306656 +80 *495:87 *1875:13 0.0007339 +81 *495:87 *2125:8 0.000431804 +82 *495:87 *2180:13 0.000158426 +83 *2458:serial_load *495:64 0 +84 *2459:resetn *495:64 0.00141797 +85 *2460:serial_clock_out *2460:resetn_out 0 +86 *2468:resetn *495:14 5.56976e-05 +87 *108:47 *495:56 0.00049479 +88 *108:47 *495:64 0.000723518 +89 *108:49 *495:64 0.000760449 +90 *110:46 *495:14 0.00907174 +91 *110:46 *495:44 0 +92 *110:52 *495:20 0.000145708 +93 *110:53 *495:21 0.0143999 +94 *110:61 *495:21 0.000211758 +95 *110:62 *495:24 0.00640034 +96 *110:68 *495:30 0.00323575 +97 *110:82 *495:44 0.032386 +98 *110:87 *495:49 0.0130129 +99 *110:88 *495:50 0.00276002 +100 *110:91 *495:53 0.353557 +101 *110:96 *495:56 0.00219222 +102 *110:98 *495:56 0.00111783 +103 *110:98 *495:62 0.000292149 +104 *110:98 *495:64 0.0286027 +105 *110:119 *495:87 0.00911021 +106 *110:123 *495:87 0.00236284 +107 *111:42 *495:50 1.04352e-05 +108 *112:14 *495:44 0.0107411 +109 *112:30 *495:50 0.00787012 +110 *473:53 *495:53 1.41291e-05 +111 *477:26 *495:44 0 +112 *477:44 *495:87 0.000632845 +113 *477:46 *495:87 0.0134748 +114 *478:10 *495:44 0 +115 *479:31 *495:50 0.00846577 +116 *492:25 *495:53 0.352078 +117 *493:51 *495:64 0.000425703 +118 *494:20 *495:14 0 +119 *494:23 *495:53 0.0475258 +*RES +1 *2468:resetn_out *495:14 30.7864 +2 *495:14 *495:20 20.5417 +3 *495:20 *495:21 184.297 +4 *495:21 *495:23 4.5 +5 *495:23 *495:24 104.192 +6 *495:24 *495:29 25.0686 +7 *495:29 *495:30 51.6623 +8 *495:30 *2469:resetn 3.59493 +9 *495:14 *495:43 1.49738 +10 *495:43 *495:44 65.4323 +11 *495:44 *495:49 20.5084 +12 *495:49 *495:50 229.598 +13 *495:50 *495:52 4.5 +14 *495:52 *495:53 3698.82 +15 *495:53 *495:55 4.5 +16 *495:55 *495:56 72.6325 +17 *495:56 *495:62 6.20436 +18 *495:62 *495:64 1193.81 +19 *495:64 *495:70 26.4446 +20 *495:70 *2460:resetn_out 3.66144 +21 *495:70 *495:85 26.1853 +22 *495:85 *495:87 243.094 +23 *495:87 *2449:resetn 3.66144 +*END + +*D_NET *496 1.12112 +*CONN +*I *2450:resetn I *D gpio_control_block +*I *2449:resetn_out O *D gpio_control_block +*I *2470:resetn I *D gpio_control_block +*I *2469:resetn_out O *D gpio_control_block +*CAP +1 *2450:resetn 0.00220433 +2 *2449:resetn_out 0.00334409 +3 *2470:resetn 0.000832416 +4 *2469:resetn_out 0.00652975 +5 *496:44 0.0251871 +6 *496:43 0.0240474 +7 *496:41 0.0205107 +8 *496:40 0.0205107 +9 *496:38 0.00335519 +10 *496:37 0.00335519 +11 *496:35 0.00486895 +12 *496:20 0.00619701 +13 *496:17 0.0070254 +14 *2449:resetn_out *2153:15 0 +15 *2449:resetn_out *2180:13 0.000120971 +16 *2450:resetn *1803:13 4.38142e-05 +17 *2450:resetn *1829:13 0.016466 +18 *2450:resetn *1830:13 0.000997457 +19 *2450:resetn *1990:8 0 +20 *496:17 *1791:12 0.00041836 +21 *496:17 *1845:12 0.000207875 +22 *496:17 *1922:8 0.000467083 +23 *496:17 *2034:7 0.00043359 +24 *496:20 *2470:user_gpio_oeb 1.66771e-05 +25 *496:20 *2470:user_gpio_out 1.66771e-05 +26 *496:20 *524:15 9.09898e-05 +27 *496:20 *2344:15 1.66771e-05 +28 *496:35 *2470:serial_data_in 0.000246273 +29 *496:41 *2473:la_data_in[57] 0.000107951 +30 *496:44 *1567:20 0.0435134 +31 *496:44 *1568:20 2.05972e-05 +32 *496:44 *1855:14 0 +33 *496:44 *1868:14 0 +34 *496:44 *2152:14 0 +35 *2449:resetn *2449:resetn_out 0.000105107 +36 *2449:serial_clock *2449:resetn_out 1.75358e-05 +37 *2449:serial_clock *2450:resetn 7.40436e-06 +38 *2449:serial_clock_out *2449:resetn_out 0 +39 *2450:serial_clock *2450:resetn 0.0183829 +40 *2470:serial_clock *2470:resetn 0.00175162 +41 *2470:serial_clock *496:35 0.00137832 +42 *2470:serial_load *496:20 0.000355876 +43 *107:87 *496:41 0.35154 +44 *108:39 *496:38 0.0243437 +45 *111:48 *496:44 0.0970877 +46 *113:20 *496:38 0.00282599 +47 *475:31 *496:38 0.0243403 +48 *477:26 *496:17 0 +49 *479:15 *496:20 1.66771e-05 +50 *491:48 *496:41 0.351063 +51 *491:70 *496:41 0.000497846 +52 *493:48 *496:41 0.0476344 +53 *495:44 *496:17 0.00851853 +54 *495:44 *496:20 0.000100822 +*RES +1 *2469:resetn_out *496:17 37.3451 +2 *496:17 *496:20 21.0734 +3 *496:20 *2470:resetn 36.9103 +4 *496:20 *496:35 131.055 +5 *496:35 *496:37 4.5 +6 *496:37 *496:38 391.754 +7 *496:38 *496:40 4.5 +8 *496:40 *496:41 3673.86 +9 *496:41 *496:43 4.5 +10 *496:43 *496:44 1551.76 +11 *496:44 *2449:resetn_out 6.55809 +12 *2449:resetn_out *2450:resetn 38.285 +*END + +*D_NET *497 1.04084 +*CONN +*I *2450:resetn_out O *D gpio_control_block +*I *2451:resetn I *D gpio_control_block +*I *2446:resetn I *D gpio_control_block +*I *2470:resetn_out O *D gpio_control_block +*CAP +1 *2450:resetn_out 0.0010968 +2 *2451:resetn 0.000149744 +3 *2446:resetn 0.00162034 +4 *2470:resetn_out 0.000101059 +5 *497:49 0.000654059 +6 *497:43 0.0064083 +7 *497:42 0.00590399 +8 *497:40 0.00117889 +9 *497:37 0.0391707 +10 *497:36 0.0390886 +11 *497:34 0.0247602 +12 *497:33 0.0247602 +13 *497:31 0.00284882 +14 *497:30 0.00284882 +15 *497:28 0.00326104 +16 *497:27 0.00326104 +17 *497:16 0.00671007 +18 *497:15 0.00508973 +19 *497:13 0.0032543 +20 *497:12 0.0032543 +21 *497:10 0.00122144 +22 *497:9 0.0013225 +23 *2446:resetn *2474:mprj_io_vtrip_sel[24] 5.04815e-05 +24 *2446:resetn *1560:29 0 +25 *2446:resetn *2144:7 0 +26 *2450:resetn_out *2181:7 6.19346e-06 +27 *2451:resetn *2156:17 4.46752e-05 +28 *497:10 *2143:10 0.00376968 +29 *497:10 *2170:10 0.00385617 +30 *497:16 *1560:29 0 +31 *497:16 *2371:16 0.000353784 +32 *497:16 *2398:16 0.00486939 +33 *497:28 *2345:13 0.00154377 +34 *497:31 *1553:33 0.000269598 +35 *497:34 *2473:la_data_in[57] 0.000154432 +36 *497:34 *1551:26 0 +37 *497:37 *2356:16 0.00189096 +38 *497:37 *2367:16 0 +39 *497:49 *1879:32 0 +40 *2446:serial_clock *2446:resetn 0 +41 *2446:serial_load *497:16 0 +42 *2450:serial_clock_out *2450:resetn_out 0.00316997 +43 *2451:serial_clock *497:49 0.000317191 +44 *101:36 *497:49 2.8182e-06 +45 *101:37 *497:43 0 +46 *106:37 *497:34 1.00937e-05 +47 *109:46 *2446:resetn 0 +48 *109:46 *497:16 0 +49 *110:91 *497:34 0 +50 *111:45 *497:34 0 +51 *112:26 *2446:resetn 8.08111e-06 +52 *112:26 *497:16 0.000165063 +53 *112:30 *497:31 0.00755556 +54 *112:33 *497:34 0.347836 +55 *112:36 *497:37 0.114256 +56 *112:39 *2450:resetn_out 0.000102003 +57 *112:39 *497:40 0.00033061 +58 *112:42 *497:43 0.000502815 +59 *112:47 *497:49 0.00306063 +60 *472:23 *497:34 0 +61 *473:31 *497:34 3.62662e-06 +62 *478:29 *497:34 0.00238228 +63 *479:16 *497:16 0 +64 *479:37 *497:37 1.2693e-05 +65 *479:37 *497:43 0 +66 *479:43 *497:43 0.0158299 +67 *486:12 *2451:resetn 6.25838e-06 +68 *486:12 *497:49 0.000335926 +69 *486:13 *497:49 0.0032092 +70 *486:61 *497:43 1.44611e-05 +71 *491:48 *497:34 0.346955 +72 *492:25 *497:34 0 +73 *495:53 *497:34 0 +*RES +1 *2470:resetn_out *497:9 3.708 +2 *497:9 *497:10 81.3528 +3 *497:10 *497:12 4.5 +4 *497:12 *497:13 82.8047 +5 *497:13 *497:15 4.5 +6 *497:15 *497:16 178.314 +7 *497:16 *2446:resetn 13.4923 +8 *497:16 *497:27 4.5 +9 *497:27 *497:28 87.7962 +10 *497:28 *497:30 4.5 +11 *497:30 *497:31 123.086 +12 *497:31 *497:33 4.5 +13 *497:33 *497:34 3669.43 +14 *497:34 *497:36 4.5 +15 *497:36 *497:37 1825.62 +16 *497:37 *497:40 7.99641 +17 *497:40 *497:42 4.5 +18 *497:42 *497:43 269.67 +19 *497:43 *497:49 49.8857 +20 *497:49 *2451:resetn 3.708 +21 *497:40 *2450:resetn_out 45.2434 +*END + +*D_NET *498 1.48311 +*CONN +*I *2444:resetn I *D gpio_control_block +*I *2461:resetn I *D gpio_control_block +*I *2471:serial_resetn O *D housekeeping +*CAP +1 *2444:resetn 0.00076689 +2 *2461:resetn 0.00503397 +3 *2471:serial_resetn 0.00134077 +4 *498:22 0.0379931 +5 *498:20 0.0329912 +6 *498:17 0.0238954 +7 *498:16 0.0238633 +8 *498:14 0.00661475 +9 *498:13 0.00661475 +10 *498:11 0.000968991 +11 *498:8 0.00276737 +12 *498:7 0.00390603 +13 *2444:resetn *2155:13 9.52305e-06 +14 *2461:resetn *2461:pad_gpio_in 0.000139329 +15 *2461:resetn *1971:7 9.37015e-05 +16 *2461:resetn *2052:7 0.000732038 +17 *2461:resetn *2079:7 0 +18 *2461:resetn *2133:8 0 +19 *2461:resetn *2160:7 5.02212e-05 +20 *498:8 *512:8 0.00900261 +21 *498:8 *1533:16 0.00472831 +22 *498:8 *1555:20 0 +23 *498:8 *1564:20 0.000196785 +24 *498:14 *499:16 2.54199e-05 +25 *498:14 *1555:20 0 +26 *498:14 *1565:20 0.0320744 +27 *498:17 *1577:17 0.0159501 +28 *498:17 *2194:11 0.0146638 +29 *498:22 *1550:29 0.000804144 +30 *498:22 *1550:31 0.222975 +31 *2444:resetn_out *2444:resetn 2.12195e-05 +32 *2444:serial_clock *2444:resetn 0 +33 *2444:serial_clock *498:11 0 +34 *2461:serial_clock *2461:resetn 2.7413e-07 +35 *100:74 *498:22 0.212157 +36 *100:77 *498:17 0.000180782 +37 *113:8 *498:8 0.000206811 +38 *467:33 *498:22 0 +39 *467:41 *498:17 0 +40 *467:42 *498:17 0.401502 +41 *480:8 *498:8 0.000321904 +42 *480:14 *498:14 0.0157911 +43 *480:28 *498:22 0.00101546 +44 *485:44 *498:17 0.401562 +45 *485:50 *498:14 0.00215031 +*RES +1 *2471:serial_resetn *498:7 8.40384 +2 *498:7 *498:8 164.818 +3 *498:8 *498:11 9.10562 +4 *498:11 *498:13 4.5 +5 *498:13 *498:14 533.978 +6 *498:14 *498:16 3.36879 +7 *498:16 *498:17 508.021 +8 *498:17 *498:20 4.10158 +9 *498:20 *498:22 3631.14 +10 *498:22 *2461:resetn 40.8888 +11 *498:11 *2444:resetn 27.4989 +*END + +*D_NET *499 0.0356063 +*CONN +*I *2445:serial_data_in I *D gpio_control_block +*I *2444:serial_data_out O *D gpio_control_block +*CAP +1 *2445:serial_data_in 0.000671544 +2 *2444:serial_data_out 0.000595432 +3 *499:16 0.00474138 +4 *499:15 0.00466527 +5 *2445:serial_data_in *503:13 3.84497e-05 +6 *499:15 *2444:serial_data_in 9.52305e-06 +7 *499:15 *2329:13 0 +8 *499:16 *1902:20 0.00555344 +9 *499:16 *2139:14 0.00183558 +10 *2444:serial_load *499:15 0.000413016 +11 *2445:resetn_out *2445:serial_data_in 0 +12 *2445:serial_clock *2445:serial_data_in 0 +13 *2445:serial_clock_out *2445:serial_data_in 9.35003e-05 +14 *100:80 *2445:serial_data_in 0 +15 *100:96 *499:15 0 +16 *480:14 *499:16 0.0169637 +17 *498:14 *499:16 2.54199e-05 +*RES +1 *2444:serial_data_out *499:15 30.335 +2 *499:15 *499:16 272.161 +3 *499:16 *2445:serial_data_in 28.7377 +*END + +*D_NET *500 0.023148 +*CONN +*I *2452:serial_data_in I *D gpio_control_block +*I *2451:serial_data_out O *D gpio_control_block +*CAP +1 *2452:serial_data_in 0.00516966 +2 *2451:serial_data_out 0.00516966 +3 *2452:serial_data_in *1779:13 0.00296635 +4 *2452:serial_data_in *1832:8 0.00499525 +5 *2452:serial_data_in *1965:8 0 +6 *2452:serial_data_in *1967:20 0.00483617 +7 *2451:serial_load *2452:serial_data_in 1.09423e-05 +*RES +1 *2451:serial_data_out *2452:serial_data_in 38.3416 +*END + +*D_NET *501 0.0317544 +*CONN +*I *2453:serial_data_in I *D gpio_control_block +*I *2452:serial_data_out O *D gpio_control_block +*CAP +1 *2453:serial_data_in 0.000849081 +2 *2452:serial_data_out 0.000877584 +3 *501:14 0.00891659 +4 *501:13 0.00894509 +5 *2453:serial_data_in *502:13 3.27908e-05 +6 *2453:serial_data_in *1942:11 4.89469e-06 +7 *2453:serial_data_in *2131:10 1.66771e-05 +8 *501:13 *2076:14 0 +9 *501:14 *1778:16 0.00736799 +10 *501:14 *1834:14 0 +11 *501:14 *1881:14 0 +12 *501:14 *1884:14 0 +13 *501:14 *1941:8 0.0014791 +14 *501:14 *1969:13 0.000500505 +15 *501:14 *2048:20 0 +16 *501:14 *2075:8 0.00268445 +17 *2452:serial_clock *501:13 0 +18 *2452:serial_load *501:13 1.9775e-05 +19 *103:15 *2453:serial_data_in 3.27908e-05 +20 *469:12 *501:13 2.71025e-05 +*RES +1 *2452:serial_data_out *501:13 29.7705 +2 *501:13 *501:14 272.992 +3 *501:14 *2453:serial_data_in 29.7705 +*END + +*D_NET *502 0.0858659 +*CONN +*I *2454:serial_data_in I *D gpio_control_block +*I *2453:serial_data_out O *D gpio_control_block +*CAP +1 *2454:serial_data_in 0.00051471 +2 *2453:serial_data_out 0.00078632 +3 *502:22 0.0316007 +4 *502:20 0.0311315 +5 *502:18 0.00302643 +6 *502:16 0.00396528 +7 *502:13 0.0017707 +8 *2454:serial_data_in *2454:user_gpio_oeb 1.66771e-05 +9 *2454:serial_data_in *2333:11 0.000654439 +10 *502:13 *1942:11 4.88112e-06 +11 *502:13 *2131:10 1.66626e-05 +12 *502:16 *1834:14 0.000527146 +13 *502:16 *1885:20 0.000636594 +14 *502:16 *1969:13 0.000585884 +15 *502:18 *1885:20 0.000986812 +16 *502:18 *1941:8 0.000424884 +17 *502:18 *1942:22 0.00174278 +18 *502:18 *1942:26 0.000939007 +19 *502:18 *1969:13 0.000710384 +20 *502:22 *1781:14 0 +21 *502:22 *1834:14 0.00481959 +22 *502:22 *1890:14 0 +23 *502:22 *1942:26 1.07248e-05 +24 *502:22 *1942:33 0.000835872 +25 *502:22 *2104:14 0 +26 *502:22 *2132:14 0 +27 *2453:serial_data_in *502:13 3.27908e-05 +28 *2453:serial_load *502:13 0.000108398 +29 *2454:serial_clock *2454:serial_data_in 0 +30 *2454:serial_load *2454:serial_data_in 1.67063e-05 +*RES +1 *2453:serial_data_out *502:13 29.2159 +2 *502:13 *502:16 37.1895 +3 *502:16 *502:18 118.151 +4 *502:18 *502:20 1.29461 +5 *502:20 *502:22 946.47 +6 *502:22 *2454:serial_data_in 28.2596 +*END + +*D_NET *503 0.0398386 +*CONN +*I *2455:serial_data_in I *D gpio_control_block +*I *2445:serial_data_out O *D gpio_control_block +*CAP +1 *2455:serial_data_in 0.000194138 +2 *2445:serial_data_out 0.000209209 +3 *503:26 0.00118231 +4 *503:25 0.00109069 +5 *503:20 0.000867293 +6 *503:19 0.000868064 +7 *503:14 0.000974112 +8 *503:13 0.00108003 +9 *2455:serial_data_in *504:7 0.000128271 +10 *503:13 *2340:11 0 +11 *503:13 *2367:21 3.62662e-06 +12 *503:13 *2394:27 9.91731e-05 +13 *503:14 *2445:user_gpio_out 0 +14 *503:20 *1796:14 0.00366386 +15 *503:20 *1985:14 0.000510121 +16 *503:25 *1796:13 0.000211492 +17 *503:26 *2147:8 0.00464232 +18 *2445:serial_clock_out *503:13 8.99353e-05 +19 *2445:serial_data_in *503:13 3.84497e-05 +20 *2445:serial_load *503:13 3.84497e-05 +21 *2455:resetn_out *2455:serial_data_in 0 +22 *2455:serial_clock *503:26 0 +23 *2455:serial_clock_out *2455:serial_data_in 8.88774e-05 +24 *100:80 *503:13 0 +25 *100:80 *503:14 0.00620413 +26 *100:80 *503:20 2.79471e-05 +27 *104:50 *503:20 1.3813e-05 +28 *104:56 *503:20 0.00135285 +29 *105:60 *503:26 0 +30 *471:40 *503:26 0.00555187 +31 *471:46 *503:14 0.0059796 +32 *489:35 *503:26 0.000175656 +33 *489:45 *503:20 0.00455234 +*RES +1 *2445:serial_data_out *503:13 18.9543 +2 *503:13 *503:14 99.4163 +3 *503:14 *503:19 10.8326 +4 *503:19 *503:20 82.3909 +5 *503:20 *503:25 11.9418 +6 *503:25 *503:26 90.0731 +7 *503:26 *2455:serial_data_in 4.12039 +*END + +*D_NET *504 0.0313013 +*CONN +*I *2456:serial_data_in I *D gpio_control_block +*I *2455:serial_data_out O *D gpio_control_block +*CAP +1 *2456:serial_data_in 0.000549952 +2 *2455:serial_data_out 0.000294317 +3 *504:12 0.00294758 +4 *504:10 0.00242396 +5 *504:8 0.00322966 +6 *504:7 0.00349764 +7 *2456:serial_data_in *505:13 3.84497e-05 +8 *504:8 *2013:7 0.000185169 +9 *504:12 *1986:14 0.00352546 +10 *504:12 *2013:7 0.00365762 +11 *504:12 *2013:13 0.000487144 +12 *504:12 *2040:19 0.00150769 +13 *504:12 *2121:14 0 +14 *2455:resetn_out *504:8 0.00160609 +15 *2455:resetn_out *504:12 0.000974597 +16 *2455:serial_data_in *504:7 0.000128271 +17 *2455:serial_load *504:7 9.67643e-05 +18 *2456:serial_clock *2456:serial_data_in 0 +19 *105:30 *2456:serial_data_in 8.52258e-05 +20 *105:52 *504:12 0.00109374 +21 *105:54 *504:8 0.000686636 +22 *105:54 *504:12 0.00173287 +23 *471:36 *504:8 0 +24 *471:40 *504:8 5.27687e-05 +25 *472:30 *2456:serial_data_in 0 +26 *472:32 *2456:serial_data_in 1.07248e-05 +27 *472:32 *504:12 0.00248328 +28 *472:38 *504:8 5.68225e-06 +29 *472:44 *504:8 0 +*RES +1 *2455:serial_data_out *504:7 4.42635 +2 *504:7 *504:8 97.169 +3 *504:8 *504:10 0.732798 +4 *504:10 *504:12 168.715 +5 *504:12 *2456:serial_data_in 26.5143 +*END + +*D_NET *505 0.0319198 +*CONN +*I *2457:serial_data_in I *D gpio_control_block +*I *2456:serial_data_out O *D gpio_control_block +*CAP +1 *2457:serial_data_in 0.000752447 +2 *2456:serial_data_out 0.000675949 +3 *505:14 0.0063232 +4 *505:13 0.0062467 +5 *2457:serial_data_in *506:13 5.17837e-06 +6 *505:14 *1565:20 0 +7 *505:14 *1798:14 0 +8 *505:14 *1862:16 8.92568e-06 +9 *505:14 *2014:18 0.0014693 +10 *505:14 *2149:14 0.00815295 +11 *2456:serial_data_in *505:13 3.84497e-05 +12 *2456:serial_load *505:13 3.84497e-05 +13 *2457:serial_clock_out *2457:serial_data_in 1.29141e-05 +14 *2457:serial_load *2457:serial_data_in 0 +15 *105:30 *505:13 4.88112e-06 +16 *107:90 *505:14 0.00584242 +17 *474:60 *505:14 1.81081e-06 +18 *478:32 *505:14 0.00188046 +19 *492:34 *505:14 0.000465807 +*RES +1 *2456:serial_data_out *505:13 29.2159 +2 *505:13 *505:14 271.331 +3 *505:14 *2457:serial_data_in 30.335 +*END + +*D_NET *506 0.0396335 +*CONN +*I *2458:serial_data_in I *D gpio_control_block +*I *2457:serial_data_out O *D gpio_control_block +*CAP +1 *2458:serial_data_in 0.000463533 +2 *2457:serial_data_out 0.000587778 +3 *506:14 0.0044924 +4 *506:13 0.00461664 +5 *2458:serial_data_in *2458:user_gpio_out 8.11463e-06 +6 *2458:serial_data_in *507:10 3.84497e-05 +7 *2458:serial_data_in *2351:11 2.42138e-05 +8 *2458:serial_data_in *2405:13 0.00140694 +9 *506:14 *1865:14 0.0075018 +10 *506:14 *2015:11 0.000812353 +11 *506:14 *2069:14 0 +12 *2457:serial_data_in *506:13 5.17837e-06 +13 *2457:serial_load *506:13 0.00040907 +14 *2457:serial_load_out *506:13 0 +15 *2458:serial_clock_out *2458:serial_data_in 4.31122e-05 +16 *107:107 *506:14 0.000148843 +17 *474:75 *506:14 9.81824e-05 +18 *478:32 *506:14 0.0025703 +19 *492:34 *506:14 0.0164065 +*RES +1 *2457:serial_data_out *506:13 29.2159 +2 *506:13 *506:14 271.746 +3 *506:14 *2458:serial_data_in 29.6311 +*END + +*D_NET *507 0.0318463 +*CONN +*I *2459:serial_data_in I *D gpio_control_block +*I *2458:serial_data_out O *D gpio_control_block +*CAP +1 *2459:serial_data_in 0.000238778 +2 *2458:serial_data_out 0.00117648 +3 *507:18 0.00425166 +4 *507:17 0.00411617 +5 *507:12 0.00150911 +6 *507:10 0.0025823 +7 *507:10 *2458:user_gpio_out 0.000771902 +8 *507:12 *2016:13 0.000749438 +9 *507:18 *2474:mprj_io_analog_en[6] 9.4338e-05 +10 *507:18 *2474:mprj_io_analog_pol[6] 8.1645e-05 +11 *507:18 *2474:mprj_io_analog_sel[6] 0.000223156 +12 *507:18 *2474:mprj_io_dm[18] 0.000145018 +13 *507:18 *2474:mprj_io_dm[19] 0.00019711 +14 *507:18 *2474:mprj_io_dm[20] 0.00019711 +15 *507:18 *2474:mprj_io_holdover[6] 0.000171064 +16 *507:18 *2474:mprj_io_ib_mode_sel[6] 0.00019711 +17 *507:18 *2474:mprj_io_inp_dis[6] 0.00019711 +18 *507:18 *2474:mprj_io_oeb[6] 0 +19 *507:18 *2474:mprj_io_out[6] 0.000223156 +20 *507:18 *2474:mprj_io_vtrip_sel[6] 0.000133144 +21 *507:18 *1854:14 0.000116798 +22 *507:18 *1962:16 5.66868e-06 +23 *507:18 *1989:14 0.00347336 +24 *507:18 *2043:12 0.000109929 +25 *507:18 *2097:8 0 +26 *507:18 *2151:22 0.0043545 +27 *507:18 *2178:13 0 +28 *2458:serial_clock_out *507:10 0 +29 *2458:serial_data_in *507:10 3.84497e-05 +30 *2458:serial_load *507:10 8.85901e-05 +31 *2459:serial_clock *2459:serial_data_in 0 +32 *2459:serial_clock *507:12 0.000733756 +33 *2459:serial_clock_out *2459:serial_data_in 3.27908e-05 +34 *2459:serial_load *2459:serial_data_in 0 +35 *2459:serial_load *507:10 0.000146476 +36 *2459:serial_load *507:18 0.000909965 +37 *109:94 *507:18 0 +38 *109:103 *507:18 0 +39 *494:36 *507:18 0 +40 *495:64 *507:10 0 +41 *495:64 *507:12 0.00458026 +42 *495:64 *507:18 0 +*RES +1 *2458:serial_data_out *507:10 38.5735 +2 *507:10 *507:12 73.0233 +3 *507:12 *507:17 10.8326 +4 *507:17 *507:18 170.632 +5 *507:18 *2459:serial_data_in 4.19688 +*END + +*D_NET *508 0.0388669 +*CONN +*I *2460:serial_data_in I *D gpio_control_block +*I *2459:serial_data_out O *D gpio_control_block +*CAP +1 *2460:serial_data_in 0.000618013 +2 *2459:serial_data_out 9.89961e-05 +3 *508:10 0.0192252 +4 *508:9 0.0187062 +5 *2460:serial_data_in *509:15 3.74656e-05 +6 *2460:serial_clock_out *2460:serial_data_in 3.74656e-05 +7 *495:85 *2460:serial_data_in 0.000143544 +*RES +1 *2459:serial_data_out *508:9 3.708 +2 *508:9 *508:10 528.164 +3 *508:10 *2460:serial_data_in 28.8355 +*END + +*D_NET *509 0.0217681 +*CONN +*I *2449:serial_data_in I *D gpio_control_block +*I *2460:serial_data_out O *D gpio_control_block +*CAP +1 *2449:serial_data_in 9.74501e-05 +2 *2460:serial_data_out 0.00060071 +3 *509:16 0.00869264 +4 *509:15 0.0091959 +5 *2449:serial_data_in *2099:15 1.29018e-05 +6 *2449:serial_data_in *2126:15 1.29018e-05 +7 *509:16 *1829:13 0.000601249 +8 *509:16 *1856:10 0.00233223 +9 *2450:serial_clock *2449:serial_data_in 2.15141e-06 +10 *2460:serial_data_in *509:15 3.74656e-05 +11 *2460:serial_load_out *509:15 0 +12 *495:85 *509:15 0.000182455 +*RES +1 *2460:serial_data_out *509:15 28.6961 +2 *509:15 *509:16 257.835 +3 *509:16 *2449:serial_data_in 3.65147 +*END + +*D_NET *510 0.0360069 +*CONN +*I *2450:serial_data_in I *D gpio_control_block +*I *2449:serial_data_out O *D gpio_control_block +*CAP +1 *2450:serial_data_in 0.00089026 +2 *2449:serial_data_out 0.000828821 +3 *510:14 0.0063853 +4 *510:13 0.00632387 +5 *2450:serial_data_in *511:13 5.17837e-06 +6 *2450:serial_data_in *1879:8 0 +7 *510:13 *2099:15 9.93625e-05 +8 *510:14 *1828:23 0.00173846 +9 *510:14 *1828:28 0.00213783 +10 *510:14 *1855:14 3.67391e-05 +11 *510:14 *1874:21 0.000593099 +12 *510:14 *1876:14 0.00125535 +13 *510:14 *1991:16 0.00340367 +14 *510:14 *2018:19 0.0053783 +15 *510:14 *2018:27 0.000326993 +16 *510:14 *2099:16 0.00090114 +17 *510:14 *2152:14 0.00563371 +18 *2449:serial_load *510:13 4.31122e-05 +19 *2450:serial_clock_out *2450:serial_data_in 1.75765e-05 +20 *2450:serial_load *2450:serial_data_in 0 +21 *477:46 *510:13 8.10016e-06 +*RES +1 *2449:serial_data_out *510:13 29.2159 +2 *510:13 *510:14 270.915 +3 *510:14 *2450:serial_data_in 30.335 +*END + +*D_NET *511 0.0287932 +*CONN +*I *2451:serial_data_in I *D gpio_control_block +*I *2450:serial_data_out O *D gpio_control_block +*CAP +1 *2451:serial_data_in 0.000539984 +2 *2450:serial_data_out 0.000902795 +3 *511:14 0.00862168 +4 *511:13 0.00898449 +5 *2451:serial_data_in *2129:13 0.000557425 +6 *2451:serial_data_in *2330:10 1.5714e-05 +7 *2451:serial_data_in *2330:11 0.00142299 +8 *511:13 *1879:8 0 +9 *511:14 *1778:16 0.00269555 +10 *511:14 *1802:20 0.0027723 +11 *511:14 *1875:20 1.78514e-05 +12 *511:14 *1876:14 0 +13 *511:14 *1991:16 0.0015744 +14 *511:14 *2073:37 0.000240993 +15 *511:14 *2075:8 0.000311341 +16 *511:14 *2127:14 0 +17 *2450:serial_clock_out *511:13 0 +18 *2450:serial_data_in *511:13 5.17837e-06 +19 *2450:serial_load *511:13 4.65656e-05 +20 *2450:serial_load_out *511:13 0 +21 *101:7 *2451:serial_data_in 4.80459e-05 +22 *101:10 *2451:serial_data_in 1.48603e-05 +23 *479:52 *2451:serial_data_in 2.1051e-05 +*RES +1 *2450:serial_data_out *511:13 29.7705 +2 *511:13 *511:14 273.407 +3 *511:14 *2451:serial_data_in 29.7076 +*END + +*D_NET *512 0.025911 +*CONN +*I *2444:serial_data_in I *D gpio_control_block +*I *2471:serial_data_1 O *D housekeeping +*CAP +1 *2444:serial_data_in 0.00095291 +2 *2471:serial_data_1 0.00134376 +3 *512:8 0.00231187 +4 *512:7 0.00270272 +5 *512:8 *1564:20 0 +6 *2444:serial_clock_out *2444:serial_data_in 4.31122e-05 +7 *2444:serial_load *2444:serial_data_in 0 +8 *100:96 *2444:serial_data_in 0 +9 *480:8 *512:8 0.00954449 +10 *498:8 *512:8 0.00900261 +11 *499:15 *2444:serial_data_in 9.52305e-06 +*RES +1 *2471:serial_data_1 *512:7 8.32734 +2 *512:7 *512:8 152.776 +3 *512:8 *2444:serial_data_in 35.8711 +*END + +*D_NET *513 0.0307479 +*CONN +*I *2470:serial_data_in I *D gpio_control_block +*I *2446:serial_data_out O *D gpio_control_block +*CAP +1 *2470:serial_data_in 0.000459005 +2 *2446:serial_data_out 0.000748094 +3 *513:14 0.00637024 +4 *513:13 0.00665933 +5 *2470:serial_data_in *524:15 9.52305e-06 +6 *513:13 *2446:serial_data_in 5.19526e-05 +7 *513:13 *2446:user_gpio_oeb 0.000119658 +8 *513:13 *2345:13 0 +9 *513:13 *2399:13 0.00039806 +10 *513:14 *2474:mprj_io_holdover[23] 0 +11 *513:14 *1792:8 0.00502203 +12 *513:14 *1819:8 4.61488e-05 +13 *513:14 *1923:8 0.00539719 +14 *513:14 *1926:16 0.000873795 +15 *513:14 *1954:16 0.000468185 +16 *513:14 *2062:8 0.000667497 +17 *2446:serial_load *513:13 5.02212e-05 +18 *2470:serial_clock *2470:serial_data_in 0.000113456 +19 *2470:serial_load *2470:serial_data_in 0 +20 *494:20 *513:14 0.00304724 +21 *496:35 *2470:serial_data_in 0.000246273 +*RES +1 *2446:serial_data_out *513:13 34.2642 +2 *513:13 *513:14 249.945 +3 *513:14 *2470:serial_data_in 4.96178 +*END + +*D_NET *514 0.0285031 +*CONN +*I *2446:serial_data_in I *D gpio_control_block +*I *2447:serial_data_out O *D gpio_control_block +*CAP +1 *2446:serial_data_in 0.000450826 +2 *2447:serial_data_out 0.000471165 +3 *514:14 0.00266211 +4 *514:13 0.00243776 +5 *514:8 0.00496938 +6 *514:7 0.00521406 +7 *2446:serial_data_in *2345:13 1.31158e-05 +8 *514:7 *2447:serial_data_in 0.000106706 +9 *514:8 *1847:16 0 +10 *514:8 *1929:20 0.00307228 +11 *514:8 *2063:8 1.87125e-05 +12 *514:8 *2090:8 0 +13 *514:8 *2117:12 0.00336386 +14 *514:8 *2144:8 0.00148817 +15 *514:13 *1955:13 5.04829e-06 +16 *514:14 *1793:14 0 +17 *514:14 *1928:8 0.0028444 +18 *514:14 *1955:8 0 +19 *514:14 *2090:8 0.000487628 +20 *514:14 *2144:8 0 +21 *514:14 *2301:11 0 +22 *514:14 *2345:13 0 +23 *2446:serial_clock *2446:serial_data_in 0 +24 *2446:serial_load *2446:serial_data_in 0 +25 *2446:serial_load_out *514:14 0.000244213 +26 *2447:serial_clock_out *514:7 0 +27 *2447:serial_load *514:7 0.000172143 +28 *112:26 *2446:serial_data_in 0 +29 *494:20 *514:8 0.000429551 +30 *513:13 *2446:serial_data_in 5.19526e-05 +*RES +1 *2447:serial_data_out *514:7 5.26774 +2 *514:7 *514:8 181.013 +3 *514:8 *514:13 13.051 +4 *514:13 *514:14 80.9375 +5 *514:14 *2446:serial_data_in 4.73231 +*END + +*D_NET *515 0.0275535 +*CONN +*I *2447:serial_data_in I *D gpio_control_block +*I *2448:serial_data_out O *D gpio_control_block +*CAP +1 *2447:serial_data_in 0.000150961 +2 *2448:serial_data_out 0.000162358 +3 *515:12 0.00195835 +4 *515:10 0.00183317 +5 *515:8 0.00530079 +6 *515:7 0.00543738 +7 *515:7 *2448:serial_data_in 1.53622e-05 +8 *515:8 *2474:mprj_io_analog_pol[25] 0.000908956 +9 *515:8 *2474:mprj_io_analog_sel[25] 0.001109 +10 *515:8 *2474:mprj_io_dm[76] 0.00136946 +11 *515:8 *2474:mprj_io_ib_mode_sel[25] 9.39647e-05 +12 *515:8 *2474:mprj_io_oeb[25] 0 +13 *515:8 *2474:mprj_io_out[25] 0.000661137 +14 *515:8 *2474:mprj_io_vtrip_sel[25] 4.76719e-05 +15 *515:8 *1821:16 0 +16 *515:8 *1848:16 0 +17 *515:8 *1930:12 0 +18 *515:8 *1931:8 0 +19 *515:8 *2010:9 0.00173918 +20 *515:8 *2037:7 0.000812949 +21 *515:8 *2401:16 0 +22 *515:12 *2447:mgmt_gpio_oeb 0 +23 *515:12 *1562:12 0 +24 *515:12 *1794:15 0 +25 *515:12 *1931:8 0 +26 *515:12 *2172:8 0.0033645 +27 *2447:serial_clock_out *2447:serial_data_in 0.000106706 +28 *2448:serial_clock_out *515:7 0 +29 *2448:serial_clock_out *515:8 0.000388329 +30 *2448:serial_load *515:7 0.000138605 +31 *2448:serial_load *515:8 0.00158975 +32 *2448:serial_load *515:12 0.000258215 +33 *2448:serial_load_out *515:8 0 +34 *514:7 *2447:serial_data_in 0.000106706 +*RES +1 *2448:serial_data_out *515:7 4.12039 +2 *515:7 *515:8 192.848 +3 *515:8 *515:10 0.732798 +4 *515:10 *515:12 68.2723 +5 *515:12 *2447:serial_data_in 4.04389 +*END + +*D_NET *516 0.0301727 +*CONN +*I *2461:serial_data_in I *D gpio_control_block +*I *2462:serial_data_out O *D gpio_control_block +*CAP +1 *2461:serial_data_in 0.000471568 +2 *2462:serial_data_out 0.000433056 +3 *516:8 0.00585166 +4 *516:7 0.00581315 +5 *2461:serial_data_in *2334:13 0.000139498 +6 *516:7 *2462:serial_data_in 0.000352176 +7 *516:8 *1809:14 0.00554117 +8 *516:8 *1971:8 0.00934598 +9 *516:8 *2160:8 0.0021262 +10 *2461:serial_clock *2461:serial_data_in 0 +11 *2461:serial_load *2461:serial_data_in 0 +12 *2462:resetn *516:8 0 +13 *471:15 *516:7 6.19346e-06 +14 *471:16 *516:8 9.20633e-05 +15 *485:23 *516:8 0 +*RES +1 *2462:serial_data_out *516:7 5.19125 +2 *516:7 *516:8 261.78 +3 *516:8 *2461:serial_data_in 5.19125 +*END + +*D_NET *517 0.0305787 +*CONN +*I *2462:serial_data_in I *D gpio_control_block +*I *2463:serial_data_out O *D gpio_control_block +*CAP +1 *2462:serial_data_in 0.000411881 +2 *2463:serial_data_out 0.000866203 +3 *517:20 0.00207803 +4 *517:18 0.00169988 +5 *517:16 0.0024 +6 *517:15 0.00323247 +7 *517:15 *2463:serial_data_in 9.52305e-06 +8 *517:15 *2336:15 0 +9 *517:16 *2474:mprj_io_analog_sel[15] 0.000182084 +10 *517:16 *1972:26 0 +11 *517:16 *2080:14 0.000598039 +12 *517:20 *2474:mprj_io_analog_sel[15] 0.000466976 +13 *517:20 *1810:16 1.87269e-05 +14 *517:20 *1837:16 0.00265651 +15 *517:20 *1899:8 0.00660067 +16 *517:20 *1945:8 6.80434e-05 +17 *517:20 *2080:8 0.00439156 +18 *517:20 *2080:14 0.000266226 +19 *2463:serial_load *517:15 1.53622e-05 +20 *104:30 *517:15 0.000423878 +21 *471:16 *517:16 0.00303265 +22 *471:16 *517:20 0.000352663 +23 *489:7 *2462:serial_data_in 0 +24 *489:8 *517:20 0.00045517 +25 *516:7 *2462:serial_data_in 0.000352176 +*RES +1 *2463:serial_data_out *517:15 35.8643 +2 *517:15 *517:16 103.117 +3 *517:16 *517:18 0.732798 +4 *517:18 *517:20 146.999 +5 *517:20 *2462:serial_data_in 5.26774 +*END + +*D_NET *518 0.0295039 +*CONN +*I *2463:serial_data_in I *D gpio_control_block +*I *2464:serial_data_out O *D gpio_control_block +*CAP +1 *2463:serial_data_in 0.00038153 +2 *2464:serial_data_out 0.000299516 +3 *518:8 0.00628365 +4 *518:7 0.00620164 +5 *518:7 *2464:serial_data_in 0.000261617 +6 *518:8 *1784:8 0.00493371 +7 *518:8 *1903:16 0.00043935 +8 *518:8 *1946:8 0.000313919 +9 *518:8 *1973:16 0.00284277 +10 *518:8 *2054:8 2.01595e-05 +11 *518:8 *2081:8 0.00523405 +12 *518:8 *2081:20 0.000321049 +13 *518:8 *2108:16 0 +14 *518:8 *2135:8 0 +15 *518:8 *2162:8 0 +16 *518:8 *2328:19 0.0010388 +17 *518:8 *2336:15 3.5534e-06 +18 *2463:serial_load *2463:serial_data_in 0 +19 *2464:serial_load *518:7 0.000373077 +20 *105:7 *2463:serial_data_in 0.000317363 +21 *105:12 *518:8 0 +22 *106:13 *518:7 0 +23 *471:23 *2463:serial_data_in 0.000216058 +24 *471:23 *518:8 1.25836e-05 +25 *472:7 *2463:serial_data_in 0 +26 *472:8 *518:8 0 +27 *517:15 *2463:serial_data_in 9.52305e-06 +*RES +1 *2464:serial_data_out *518:7 5.26774 +2 *518:7 *518:8 261.78 +3 *518:8 *2463:serial_data_in 5.26774 +*END + +*D_NET *519 0.0304708 +*CONN +*I *2464:serial_data_in I *D gpio_control_block +*I *2465:serial_data_out O *D gpio_control_block +*CAP +1 *2464:serial_data_in 0.000200894 +2 *2465:serial_data_out 0.000659948 +3 *519:22 0.00236496 +4 *519:21 0.00254069 +5 *519:10 0.00345736 +6 *519:9 0.00374068 +7 *519:9 *2465:serial_data_in 0 +8 *519:10 *2082:12 0.00564597 +9 *519:10 *2109:18 0.00442689 +10 *519:21 *1839:36 1.87269e-05 +11 *519:21 *1905:8 0.000649613 +12 *519:21 *2055:13 5.04829e-06 +13 *519:21 *2109:8 0.000159821 +14 *519:21 *2163:14 0.000449909 +15 *519:21 *2294:14 0.000251655 +16 *519:22 *1785:16 0.00155346 +17 *519:22 *2163:8 0.00125734 +18 *2465:resetn *519:10 2.71975e-05 +19 *2465:serial_load *519:9 2.7185e-05 +20 *106:13 *2464:serial_data_in 0.000261617 +21 *106:13 *519:22 0 +22 *106:14 *519:10 0.00211279 +23 *473:8 *519:22 0 +24 *474:13 *519:9 0.000237017 +25 *491:28 *519:10 0.000160387 +26 *518:7 *2464:serial_data_in 0.000261617 +*RES +1 *2465:serial_data_out *519:9 5.7167 +2 *519:9 *519:10 169.802 +3 *519:10 *519:21 34.4359 +4 *519:21 *519:22 80.107 +5 *519:22 *2464:serial_data_in 4.73231 +*END + +*D_NET *520 0.0299864 +*CONN +*I *2465:serial_data_in I *D gpio_control_block +*I *2466:serial_data_out O *D gpio_control_block +*CAP +1 *2465:serial_data_in 0.000645512 +2 *2466:serial_data_out 0.000975702 +3 *520:16 0.00507213 +4 *520:15 0.00540232 +5 *520:15 *2466:serial_data_in 9.52305e-06 +6 *520:15 *2466:user_gpio_oeb 0.000195457 +7 *520:15 *2466:user_gpio_out 8.79706e-05 +8 *520:15 *2339:15 0 +9 *520:16 *2474:mprj_io_inp_dis[18] 0.000253824 +10 *520:16 *1840:13 0.00088682 +11 *520:16 *2056:10 0.000370009 +12 *520:16 *2083:10 0.00186098 +13 *520:16 *2110:16 0.00573938 +14 *520:16 *2164:16 0.00579147 +15 *2465:resetn *520:16 0.000215786 +16 *2465:serial_clock *2465:serial_data_in 1.29018e-05 +17 *2466:serial_load *520:15 0.000479552 +18 *474:14 *520:16 0 +19 *474:41 *520:15 1.93857e-05 +20 *475:15 *520:15 8.44986e-05 +21 *492:9 *2465:serial_data_in 0 +22 *492:10 *520:16 0.00188321 +23 *519:9 *2465:serial_data_in 0 +*RES +1 *2466:serial_data_out *520:15 22.5082 +2 *520:15 *520:16 249.322 +3 *520:16 *2465:serial_data_in 5.64021 +*END + +*D_NET *521 0.0342523 +*CONN +*I *2466:serial_data_in I *D gpio_control_block +*I *2467:serial_data_out O *D gpio_control_block +*CAP +1 *2466:serial_data_in 0.000546413 +2 *2467:serial_data_out 0.000455043 +3 *521:14 0.00210966 +4 *521:13 0.00162007 +5 *521:8 0.00261517 +6 *521:7 0.00301339 +7 *2466:serial_data_in *2466:user_gpio_oeb 0.000799259 +8 *2466:serial_data_in *2339:15 0 +9 *521:7 *2467:serial_data_in 0.000177738 +10 *521:8 *1841:19 0.000204856 +11 *521:8 *1976:16 0 +12 *521:8 *1976:21 0.000929799 +13 *521:14 *1841:8 1.1246e-05 +14 *521:14 *1910:8 0.00559807 +15 *521:14 *2084:8 0.000593035 +16 *521:14 *2111:8 0.00593399 +17 *521:14 *2138:10 0 +18 *521:14 *2165:13 0.00022036 +19 *2467:serial_load *521:7 0.000204503 +20 *475:15 *2466:serial_data_in 0 +21 *476:7 *521:7 0 +22 *476:8 *521:8 0 +23 *492:10 *521:14 0.000194127 +24 *493:7 *2466:serial_data_in 0 +25 *493:16 *521:14 9.71323e-06 +26 *493:20 *521:14 0.000700943 +27 *493:22 *521:8 0.00830535 +28 *520:15 *2466:serial_data_in 9.52305e-06 +*RES +1 *2467:serial_data_out *521:7 5.42072 +2 *521:7 *521:8 133.259 +3 *521:8 *521:13 10.3986 +4 *521:13 *521:14 127.446 +5 *521:14 *2466:serial_data_in 5.42072 +*END + +*D_NET *522 0.0756689 +*CONN +*I *2467:serial_data_in I *D gpio_control_block +*I *2468:serial_data_out O *D gpio_control_block +*CAP +1 *2467:serial_data_in 0.000203789 +2 *2468:serial_data_out 0.00318749 +3 *522:32 0.0184315 +4 *522:31 0.0203108 +5 *522:14 0.00527058 +6 *522:14 *2468:serial_data_in 0.000146232 +7 *522:32 *2474:mprj_io_ib_mode_sel[20] 0.000355114 +8 *522:32 *2474:mprj_io_oeb[20] 0.000182532 +9 *522:32 *2474:mprj_io_vtrip_sel[20] 0.00028775 +10 *522:32 *2059:14 0.00575901 +11 *522:32 *2086:13 0.00202352 +12 *522:32 *2113:16 0.00119378 +13 *522:32 *2167:19 0 +14 *2468:serial_load *522:14 0.00128917 +15 *474:42 *522:32 0.00637025 +16 *474:48 *522:14 0.000673094 +17 *474:48 *522:31 0.00119336 +18 *474:48 *522:32 0.00861327 +19 *474:54 *522:14 0 +20 *476:20 *522:14 0 +21 *476:22 *522:14 0 +22 *477:7 *522:14 0 +23 *494:7 *2467:serial_data_in 0 +24 *521:7 *2467:serial_data_in 0.000177738 +*RES +1 *2468:serial_data_out *522:14 46.7251 +2 *522:14 *522:31 47.4727 +3 *522:31 *522:32 84.1781 +4 *522:32 *2467:serial_data_in 1.31946 +*END + +*D_NET *523 0.034617 +*CONN +*I *2468:serial_data_in I *D gpio_control_block +*I *2469:serial_data_out O *D gpio_control_block +*CAP +1 *2468:serial_data_in 0.000375321 +2 *2469:serial_data_out 0.000364658 +3 *523:8 0.00497414 +4 *523:7 0.00496348 +5 *2468:serial_data_in *2468:user_gpio_oeb 3.45837e-05 +6 *2468:serial_data_in *2342:13 0.000274219 +7 *523:7 *2469:serial_data_in 0.000312496 +8 *523:8 *1952:8 0.0048553 +9 *523:8 *1979:10 6.80434e-05 +10 *523:8 *1979:18 0.00389371 +11 *523:8 *2060:8 0.00707465 +12 *523:8 *2114:8 0.000678167 +13 *523:8 *2168:8 0.000434278 +14 *2469:serial_load *523:7 0.000208248 +15 *111:10 *523:7 0 +16 *476:22 *523:8 0.000110809 +17 *477:7 *2468:serial_data_in 0 +18 *477:8 *523:8 0 +19 *477:14 *523:8 0.00269001 +20 *477:17 *523:7 0.000123505 +21 *494:8 *523:8 0 +22 *494:20 *523:8 0.00303514 +23 *495:14 *2468:serial_data_in 0 +24 *522:14 *2468:serial_data_in 0.000146232 +*RES +1 *2469:serial_data_out *523:7 5.26774 +2 *523:7 *523:8 261.78 +3 *523:8 *2468:serial_data_in 5.26774 +*END + +*D_NET *524 0.028717 +*CONN +*I *2469:serial_data_in I *D gpio_control_block +*I *2470:serial_data_out O *D gpio_control_block +*CAP +1 *2469:serial_data_in 0.000304335 +2 *2470:serial_data_out 0.000499857 +3 *524:22 0.00127863 +4 *524:16 0.00716787 +5 *524:15 0.00669343 +6 *524:16 *2474:mprj_io_analog_sel[22] 1.23804e-05 +7 *524:16 *2474:mprj_io_dm[68] 0.00145196 +8 *524:16 *1845:16 0.00343217 +9 *524:16 *1953:8 0.00120872 +10 *524:16 *1980:16 0.000459535 +11 *524:22 *2061:14 3.3461e-05 +12 *524:22 *2088:10 0.000272107 +13 *524:22 *2088:12 0.000582247 +14 *524:22 *2169:15 0.00140225 +15 *2470:serial_clock *524:15 0 +16 *2470:serial_data_in *524:15 9.52305e-06 +17 *2470:serial_load *524:15 2.12195e-05 +18 *111:10 *2469:serial_data_in 0.000312496 +19 *111:10 *524:22 0 +20 *111:16 *524:16 0 +21 *111:24 *524:16 0.000279887 +22 *474:54 *524:16 0.00223508 +23 *479:15 *524:15 0.000656296 +24 *496:20 *524:15 9.09898e-05 +25 *523:7 *2469:serial_data_in 0.000312496 +*RES +1 *2470:serial_data_out *524:15 29.917 +2 *524:15 *524:16 222.746 +3 *524:16 *524:22 48.5867 +4 *524:22 *2469:serial_data_in 5.11476 +*END + +*D_NET *525 0.339965 +*CONN +*I *2448:serial_data_in I *D gpio_control_block +*I *2471:serial_data_2 O *D housekeeping +*CAP +1 *2448:serial_data_in 0.00017468 +2 *2471:serial_data_2 0.000148978 +3 *525:11 0.135831 +4 *525:10 0.135656 +5 *525:8 0.0128694 +6 *525:7 0.0130184 +7 *525:8 *2471:mgmt_gpio_in[10] 0.000153775 +8 *525:8 *2471:mgmt_gpio_in[4] 0.00063009 +9 *525:8 *2471:mgmt_gpio_in[6] 0.00063009 +10 *525:8 *2471:mgmt_gpio_in[7] 0.000604703 +11 *525:8 *2471:mgmt_gpio_in[8] 0.00063009 +12 *525:8 *2471:mgmt_gpio_in[9] 0.00063009 +13 *525:8 *2020:11 0.00225124 +14 *525:8 *2020:15 0.00193015 +15 *525:8 *2031:11 0.00646948 +16 *525:8 *2031:15 1.3813e-05 +17 *525:8 *2222:12 0 +18 *525:8 *2222:24 0.0134757 +19 *525:8 *2394:24 0.0139445 +20 *2448:resetn_out *2448:serial_data_in 0.000258162 +21 *2448:serial_clock_out *2448:serial_data_in 9.52305e-06 +22 *2478:flash_io3_di *525:11 0.000620249 +23 *98:11 *525:11 0 +24 *488:35 *525:11 0 +25 *515:7 *2448:serial_data_in 1.53622e-05 +*RES +1 *2471:serial_data_2 *525:7 3.89091 +2 *525:7 *525:8 646.096 +3 *525:8 *525:10 4.5 +4 *525:10 *525:11 3770.36 +5 *525:11 *2448:serial_data_in 12.2108 +*END + +*D_NET *526 0.0391101 +*CONN +*I *2478:hk_ack_i I *D mgmt_core_wrapper +*I *2471:wb_ack_o O *D housekeeping +*CAP +1 *2478:hk_ack_i 0.00127925 +2 *2471:wb_ack_o 0.00172397 +3 *526:14 0.00308265 +4 *526:13 0.00180341 +5 *526:11 0.00070238 +6 *526:10 0.00242635 +7 *526:10 *2471:spi_enabled 0.000124349 +8 *526:10 *2471:wb_stb_i 0.000205961 +9 *526:11 *559:11 0.0104483 +10 *526:11 *2230:11 0.0102446 +11 *526:14 *527:14 0 +12 *526:14 *553:14 0 +13 *526:14 *557:18 0 +14 *526:14 *559:8 0.00519031 +15 *526:14 *604:14 0.00161238 +16 *526:14 *2272:8 0.000266226 +*RES +1 *2471:wb_ack_o *526:10 22.5382 +2 *526:10 *526:11 109.98 +3 *526:11 *526:13 4.5 +4 *526:13 *526:14 93.3951 +5 *526:14 *2478:hk_ack_i 7.79191 +*END + +*D_NET *527 0.031927 +*CONN +*I *2478:hk_dat_i[0] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[0] O *D housekeeping +*CAP +1 *2478:hk_dat_i[0] 0.00114163 +2 *2471:wb_dat_o[0] 0.00158801 +3 *527:14 0.00283127 +4 *527:13 0.00168964 +5 *527:11 0.00238336 +6 *527:10 0.00397137 +7 *527:10 *2471:wb_stb_i 0 +8 *527:10 *538:10 0.000275937 +9 *527:11 *538:11 0.0108159 +10 *527:14 *553:14 0.00336824 +11 *527:14 *555:14 0 +12 *527:14 *603:14 0.000222466 +13 *527:14 *604:14 0 +14 *527:14 *2275:8 0.00363921 +15 *526:14 *527:14 0 +*RES +1 *2471:wb_dat_o[0] *527:10 18.3092 +2 *527:10 *527:11 113.308 +3 *527:11 *527:13 4.5 +4 *527:13 *527:14 91.7341 +5 *527:14 *2478:hk_dat_i[0] 7.40946 +*END + +*D_NET *528 0.0256062 +*CONN +*I *2478:hk_dat_i[10] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[10] O *D housekeeping +*CAP +1 *2478:hk_dat_i[10] 0.00171621 +2 *2471:wb_dat_o[10] 0.00114615 +3 *528:11 0.00430812 +4 *528:10 0.00259191 +5 *528:8 0.0018772 +6 *528:7 0.00302335 +7 *2478:hk_dat_i[10] *529:14 0.000171694 +8 *2478:hk_dat_i[10] *553:14 0 +9 *2478:hk_dat_i[10] *557:18 0.000447468 +10 *528:7 *529:10 0 +11 *528:7 *558:10 0 +12 *528:8 *530:8 0 +13 *528:11 *549:11 0.000120742 +14 *528:11 *552:11 1.41291e-05 +15 *528:11 *553:11 0.0101892 +*RES +1 *2471:wb_dat_o[10] *528:7 7.71542 +2 *528:7 *528:8 51.0394 +3 *528:8 *528:10 4.5 +4 *528:10 *528:11 117.745 +5 *528:11 *2478:hk_dat_i[10] 27.3573 +*END + +*D_NET *529 0.0254509 +*CONN +*I *2478:hk_dat_i[11] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[11] O *D housekeeping +*CAP +1 *2478:hk_dat_i[11] 0.00121853 +2 *2471:wb_dat_o[11] 0.00155159 +3 *529:14 0.00324395 +4 *529:13 0.00202542 +5 *529:11 0.00231345 +6 *529:10 0.00386503 +7 *529:10 *530:7 0 +8 *529:10 *534:8 0.000358763 +9 *529:10 *535:10 0.000168224 +10 *529:11 *534:11 0.0105101 +11 *529:11 *535:11 2.41483e-05 +12 *529:14 *2478:hk_dat_i[14] 0 +13 *529:14 *531:14 0 +14 *529:14 *553:14 0 +15 *529:14 *557:16 0 +16 *529:14 *557:18 0 +17 *2478:hk_dat_i[10] *529:14 0.000171694 +18 *528:7 *529:10 0 +*RES +1 *2471:wb_dat_o[11] *529:10 19.1397 +2 *529:10 *529:11 110.535 +3 *529:11 *529:13 4.5 +4 *529:13 *529:14 56.8529 +5 *529:14 *2478:hk_dat_i[11] 7.79191 +*END + +*D_NET *530 0.0258724 +*CONN +*I *2478:hk_dat_i[12] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[12] O *D housekeeping +*CAP +1 *2478:hk_dat_i[12] 0.00105473 +2 *2471:wb_dat_o[12] 0.00134602 +3 *530:11 0.00368519 +4 *530:10 0.00263046 +5 *530:8 0.0015999 +6 *530:7 0.00294593 +7 *2478:hk_dat_i[12] *554:14 0.000841182 +8 *2478:hk_dat_i[12] *558:14 0.000281894 +9 *530:7 *531:10 0 +10 *530:8 *532:10 0 +11 *530:8 *535:10 0.000274185 +12 *530:8 *555:10 0 +13 *530:8 *556:10 0 +14 *530:8 *557:10 0 +15 *530:11 *554:11 0.0110856 +16 *530:11 *555:11 1.41291e-05 +17 *530:11 *556:11 0.00011318 +18 *528:8 *530:8 0 +19 *529:10 *530:7 0 +*RES +1 *2471:wb_dat_o[12] *530:7 8.48033 +2 *530:7 *530:8 46.4716 +3 *530:8 *530:10 4.5 +4 *530:10 *530:11 122.182 +5 *530:11 *2478:hk_dat_i[12] 24.7348 +*END + +*D_NET *531 0.0249488 +*CONN +*I *2478:hk_dat_i[13] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[13] O *D housekeeping +*CAP +1 *2478:hk_dat_i[13] 0.00110901 +2 *2471:wb_dat_o[13] 0.00149889 +3 *531:14 0.00315257 +4 *531:13 0.00204356 +5 *531:11 0.00241941 +6 *531:10 0.0039183 +7 *531:10 *532:7 0 +8 *531:10 *534:8 7.22572e-05 +9 *531:10 *536:10 6.75696e-05 +10 *531:11 *536:11 0.0106672 +11 *531:14 *2478:hk_dat_i[15] 0 +12 *531:14 *2478:hk_dat_i[18] 0 +13 *531:14 *555:14 0 +14 *529:14 *531:14 0 +15 *530:7 *531:10 0 +*RES +1 *2471:wb_dat_o[13] *531:10 14.5719 +2 *531:10 *531:11 113.863 +3 *531:11 *531:13 4.5 +4 *531:13 *531:14 55.6072 +5 *531:14 *2478:hk_dat_i[13] 7.33297 +*END + +*D_NET *532 0.033975 +*CONN +*I *2478:hk_dat_i[14] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[14] O *D housekeeping +*CAP +1 *2478:hk_dat_i[14] 0.00154659 +2 *2471:wb_dat_o[14] 0.00152881 +3 *532:11 0.00218075 +4 *532:10 0.00121646 +5 *532:7 0.00211111 +6 *2478:hk_dat_i[14] *557:16 0.000739665 +7 *532:7 *533:7 0 +8 *532:10 *533:8 0.00261251 +9 *532:10 *534:8 0.00156296 +10 *532:10 *557:10 0.000122352 +11 *532:11 *533:11 0.0101979 +12 *532:11 *557:11 5.99527e-05 +13 *532:11 *558:11 0.0100959 +14 *529:14 *2478:hk_dat_i[14] 0 +15 *530:8 *532:10 0 +16 *531:10 *532:7 0 +*RES +1 *2471:wb_dat_o[14] *532:7 9.01576 +2 *532:7 *532:10 46.8191 +3 *532:10 *532:11 107.207 +4 *532:11 *2478:hk_dat_i[14] 24.6035 +*END + +*D_NET *533 0.0258579 +*CONN +*I *2478:hk_dat_i[15] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[15] O *D housekeeping +*CAP +1 *2478:hk_dat_i[15] 0.00105005 +2 *2471:wb_dat_o[15] 0.00155858 +3 *533:11 0.00350519 +4 *533:10 0.00245514 +5 *533:8 0.00104021 +6 *533:7 0.00259879 +7 *2478:hk_dat_i[15] *558:14 0 +8 *533:7 *534:8 0 +9 *533:8 *534:8 0.000172802 +10 *533:8 *558:10 0.000302927 +11 *533:11 *558:11 0.000363739 +12 *531:14 *2478:hk_dat_i[15] 0 +13 *532:7 *533:7 0 +14 *532:10 *533:8 0.00261251 +15 *532:11 *533:11 0.0101979 +*RES +1 *2471:wb_dat_o[15] *533:7 9.09225 +2 *533:7 *533:8 48.5479 +3 *533:8 *533:10 4.5 +4 *533:10 *533:11 115.526 +5 *533:11 *2478:hk_dat_i[15] 13.8289 +*END + +*D_NET *534 0.0324843 +*CONN +*I *2478:hk_dat_i[16] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[16] O *D housekeeping +*CAP +1 *2478:hk_dat_i[16] 0.00110455 +2 *2471:wb_dat_o[16] 0.00207443 +3 *534:11 0.0022337 +4 *534:10 0.00112915 +5 *534:8 0.00207443 +6 *534:8 *535:7 0 +7 *534:8 *535:10 0.00023581 +8 *534:8 *536:10 0.000435472 +9 *534:8 *537:10 0 +10 *534:8 *539:10 0.000275937 +11 *534:11 *2478:hk_dat_i[17] 0.000101365 +12 *534:11 *535:11 0.0101426 +13 *529:10 *534:8 0.000358763 +14 *529:11 *534:11 0.0105101 +15 *531:10 *534:8 7.22572e-05 +16 *532:10 *534:8 0.00156296 +17 *533:7 *534:8 0 +18 *533:8 *534:8 0.000172802 +*RES +1 *2471:wb_dat_o[16] *534:8 46.6906 +2 *534:8 *534:10 4.5 +3 *534:10 *534:11 121.627 +4 *534:11 *2478:hk_dat_i[16] 20.615 +*END + +*D_NET *535 0.0247627 +*CONN +*I *2478:hk_dat_i[17] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[17] O *D housekeeping +*CAP +1 *2478:hk_dat_i[17] 0.00144172 +2 *2471:wb_dat_o[17] 0.00140598 +3 *535:11 0.00371013 +4 *535:10 0.00324549 +5 *535:7 0.00238306 +6 *535:7 *536:10 0 +7 *535:10 *536:10 0.00163001 +8 *529:10 *535:10 0.000168224 +9 *529:11 *535:11 2.41483e-05 +10 *530:8 *535:10 0.000274185 +11 *534:8 *535:7 0 +12 *534:8 *535:10 0.00023581 +13 *534:11 *2478:hk_dat_i[17] 0.000101365 +14 *534:11 *535:11 0.0101426 +*RES +1 *2471:wb_dat_o[17] *535:7 8.7098 +2 *535:7 *535:10 48.4801 +3 *535:10 *535:11 107.762 +4 *535:11 *2478:hk_dat_i[17] 13.206 +*END + +*D_NET *536 0.0252616 +*CONN +*I *2478:hk_dat_i[18] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[18] O *D housekeeping +*CAP +1 *2478:hk_dat_i[18] 0.001452 +2 *2471:wb_dat_o[18] 0.00189148 +3 *536:11 0.00381209 +4 *536:10 0.00425158 +5 *536:10 *537:10 0 +6 *536:10 *539:10 0.00105424 +7 *531:10 *536:10 6.75696e-05 +8 *531:11 *536:11 0.0106672 +9 *531:14 *2478:hk_dat_i[18] 0 +10 *534:8 *536:10 0.000435472 +11 *535:7 *536:10 0 +12 *535:10 *536:10 0.00163001 +*RES +1 *2471:wb_dat_o[18] *536:10 46.4698 +2 *536:10 *536:11 112.199 +3 *536:11 *2478:hk_dat_i[18] 20.8226 +*END + +*D_NET *537 0.0230512 +*CONN +*I *2478:hk_dat_i[19] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[19] O *D housekeeping +*CAP +1 *2478:hk_dat_i[19] 0.00154349 +2 *2471:wb_dat_o[19] 0.00251478 +3 *537:11 0.00385341 +4 *537:10 0.0048247 +5 *2478:hk_dat_i[19] *2478:hk_dat_i[20] 0 +6 *537:10 *539:10 0 +7 *537:10 *540:10 0 +8 *537:11 *539:11 0.0103148 +9 *534:8 *537:10 0 +10 *536:10 *537:10 0 +*RES +1 *2471:wb_dat_o[19] *537:10 36.5911 +2 *537:10 *537:11 109.98 +3 *537:11 *2478:hk_dat_i[19] 27.6852 +*END + +*D_NET *538 0.0281619 +*CONN +*I *2478:hk_dat_i[1] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[1] O *D housekeeping +*CAP +1 *2478:hk_dat_i[1] 0.000815998 +2 *2471:wb_dat_o[1] 0.0017475 +3 *538:14 0.00309816 +4 *538:13 0.00228216 +5 *538:11 0.00267853 +6 *538:10 0.00442603 +7 *538:10 *549:10 0 +8 *538:14 *554:14 0.00137052 +9 *538:14 *556:14 0.000377919 +10 *538:14 *558:14 0.000273238 +11 *538:14 *2224:8 0 +12 *538:14 *2228:8 0 +13 *527:10 *538:10 0.000275937 +14 *527:11 *538:11 0.0108159 +*RES +1 *2471:wb_dat_o[1] *538:10 23.631 +2 *538:10 *538:11 120.518 +3 *538:11 *538:13 4.5 +4 *538:13 *538:14 83.0138 +5 *538:14 *2478:hk_dat_i[1] 6.49158 +*END + +*D_NET *539 0.0244677 +*CONN +*I *2478:hk_dat_i[20] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[20] O *D housekeeping +*CAP +1 *2478:hk_dat_i[20] 0.00129902 +2 *2471:wb_dat_o[20] 0.00190267 +3 *539:11 0.00375885 +4 *539:10 0.00436249 +5 *539:10 *540:10 0.000762639 +6 *539:10 *541:10 0.000737002 +7 *2478:hk_dat_i[19] *2478:hk_dat_i[20] 0 +8 *534:8 *539:10 0.000275937 +9 *536:10 *539:10 0.00105424 +10 *537:10 *539:10 0 +11 *537:11 *539:11 0.0103148 +*RES +1 *2471:wb_dat_o[20] *539:10 42.809 +2 *539:10 *539:11 113.863 +3 *539:11 *2478:hk_dat_i[20] 18.4404 +*END + +*D_NET *540 0.0238638 +*CONN +*I *2478:hk_dat_i[21] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[21] O *D housekeeping +*CAP +1 *2478:hk_dat_i[21] 0.00138352 +2 *2471:wb_dat_o[21] 0.00193321 +3 *540:11 0.00382558 +4 *540:10 0.00437527 +5 *2478:hk_dat_i[21] *2478:hk_dat_i[22] 0.000275934 +6 *540:10 *541:10 0.000139296 +7 *540:10 *542:10 6.75696e-05 +8 *540:10 *543:10 0 +9 *540:11 *541:11 0.0111008 +10 *537:10 *540:10 0 +11 *539:10 *540:10 0.000762639 +*RES +1 *2471:wb_dat_o[21] *540:10 31.6737 +2 *540:10 *540:11 116.636 +3 *540:11 *2478:hk_dat_i[21] 25.8712 +*END + +*D_NET *541 0.023848 +*CONN +*I *2478:hk_dat_i[22] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[22] O *D housekeeping +*CAP +1 *2478:hk_dat_i[22] 0.00107795 +2 *2471:wb_dat_o[22] 0.00200563 +3 *541:11 0.00355126 +4 *541:10 0.00447894 +5 *541:10 *542:10 0.00048123 +6 *2478:hk_dat_i[21] *2478:hk_dat_i[22] 0.000275934 +7 *539:10 *541:10 0.000737002 +8 *540:10 *541:10 0.000139296 +9 *540:11 *541:11 0.0111008 +*RES +1 *2471:wb_dat_o[22] *541:10 37.7495 +2 *541:10 *541:11 117.19 +3 *541:11 *2478:hk_dat_i[22] 16.3969 +*END + +*D_NET *542 0.022792 +*CONN +*I *2478:hk_dat_i[23] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[23] O *D housekeeping +*CAP +1 *2478:hk_dat_i[23] 0.00132249 +2 *2471:wb_dat_o[23] 0.00191914 +3 *542:11 0.00394097 +4 *542:10 0.00453761 +5 *2478:hk_dat_i[23] *2478:hk_dat_i[24] 0 +6 *542:10 *543:10 0 +7 *542:11 *543:11 0.010523 +8 *540:10 *542:10 6.75696e-05 +9 *541:10 *542:10 0.00048123 +*RES +1 *2471:wb_dat_o[23] *542:10 27.0295 +2 *542:10 *542:11 118.854 +3 *542:11 *2478:hk_dat_i[23] 23.5655 +*END + +*D_NET *543 0.0221854 +*CONN +*I *2478:hk_dat_i[24] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[24] O *D housekeeping +*CAP +1 *2478:hk_dat_i[24] 0.00115251 +2 *2471:wb_dat_o[24] 0.00240327 +3 *543:11 0.00342794 +4 *543:10 0.0046787 +5 *543:10 *544:10 0 +6 *2478:hk_dat_i[23] *2478:hk_dat_i[24] 0 +7 *540:10 *543:10 0 +8 *542:10 *543:10 0 +9 *542:11 *543:11 0.010523 +*RES +1 *2471:wb_dat_o[24] *543:10 34.7772 +2 *543:10 *543:11 109.98 +3 *543:11 *2478:hk_dat_i[24] 14.5501 +*END + +*D_NET *544 0.0227292 +*CONN +*I *2478:hk_dat_i[25] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[25] O *D housekeeping +*CAP +1 *2478:hk_dat_i[25] 0.00118412 +2 *2471:wb_dat_o[25] 0.0013158 +3 *544:11 0.00414129 +4 *544:10 0.00427296 +5 *544:10 *545:10 0.000559368 +6 *544:11 *2478:hk_dat_i[26] 0.00015065 +7 *544:11 *545:11 0.011105 +8 *543:10 *544:10 0 +*RES +1 *2471:wb_dat_o[25] *544:10 21.5001 +2 *544:10 *544:11 131.055 +3 *544:11 *2478:hk_dat_i[25] 21.5985 +*END + +*D_NET *545 0.022546 +*CONN +*I *2478:hk_dat_i[26] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[26] O *D housekeeping +*CAP +1 *2478:hk_dat_i[26] 0.00139706 +2 *2471:wb_dat_o[26] 0.00156036 +3 *545:11 0.00380515 +4 *545:10 0.00396846 +5 *545:10 *546:10 0 +6 *544:10 *545:10 0.000559368 +7 *544:11 *2478:hk_dat_i[26] 0.00015065 +8 *544:11 *545:11 0.011105 +*RES +1 *2471:wb_dat_o[26] *545:10 27.8054 +2 *545:10 *545:11 116.081 +3 *545:11 *2478:hk_dat_i[26] 14.867 +*END + +*D_NET *546 0.0144686 +*CONN +*I *2478:hk_dat_i[27] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[27] O *D housekeeping +*CAP +1 *2478:hk_dat_i[27] 0.00111003 +2 *2471:wb_dat_o[27] 0.00162075 +3 *546:11 0.00548101 +4 *546:10 0.00599173 +5 *546:10 *547:10 0 +6 *546:11 *2478:hk_dat_i[28] 0.000265069 +7 *545:10 *546:10 0 +*RES +1 *2471:wb_dat_o[27] *546:10 17.8939 +2 *546:10 *546:11 122.182 +3 *546:11 *2478:hk_dat_i[27] 20.615 +*END + +*D_NET *547 0.0210656 +*CONN +*I *2478:hk_dat_i[28] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[28] O *D housekeeping +*CAP +1 *2478:hk_dat_i[28] 0.00140142 +2 *2471:wb_dat_o[28] 0.00155683 +3 *547:11 0.00386981 +4 *547:10 0.00402521 +5 *547:10 *548:10 0 +6 *547:11 *548:11 0.00994725 +7 *546:10 *547:10 0 +8 *546:11 *2478:hk_dat_i[28] 0.000265069 +*RES +1 *2471:wb_dat_o[28] *547:10 16.2329 +2 *547:10 *547:11 112.753 +3 *547:11 *2478:hk_dat_i[28] 20.2544 +*END + +*D_NET *548 0.020703 +*CONN +*I *2478:hk_dat_i[29] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[29] O *D housekeeping +*CAP +1 *2478:hk_dat_i[29] 0.00138121 +2 *2471:wb_dat_o[29] 0.00185213 +3 *548:11 0.00352575 +4 *548:10 0.00399668 +5 *548:10 *550:10 0 +6 *547:10 *548:10 0 +7 *547:11 *548:11 0.00994725 +*RES +1 *2471:wb_dat_o[29] *548:10 22.0136 +2 *548:10 *548:11 103.88 +3 *548:11 *2478:hk_dat_i[29] 13.6212 +*END + +*D_NET *549 0.0394913 +*CONN +*I *2478:hk_dat_i[2] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[2] O *D housekeeping +*CAP +1 *2478:hk_dat_i[2] 0.00142642 +2 *2471:wb_dat_o[2] 0.00157981 +3 *549:14 0.00241064 +4 *549:13 0.000984227 +5 *549:11 0.000627554 +6 *549:10 0.00220737 +7 *549:10 *552:10 0 +8 *549:11 *552:11 0.0101979 +9 *549:11 *553:11 0.00998549 +10 *549:14 *552:14 0.00503334 +11 *549:14 *557:18 0.00099579 +12 *549:14 *2229:8 0.000399583 +13 *549:14 *2230:8 0.00352242 +14 *528:11 *549:11 0.000120742 +15 *538:10 *549:10 0 +*RES +1 *2471:wb_dat_o[2] *549:10 13.7414 +2 *549:10 *549:11 107.207 +3 *549:11 *549:13 4.5 +4 *549:13 *549:14 90.4883 +5 *549:14 *2478:hk_dat_i[2] 8.25085 +*END + +*D_NET *550 0.0208948 +*CONN +*I *2478:hk_dat_i[30] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[30] O *D housekeeping +*CAP +1 *2478:hk_dat_i[30] 0.00155012 +2 *2471:wb_dat_o[30] 0.00153218 +3 *550:11 0.00380821 +4 *550:10 0.00379026 +5 *2478:hk_dat_i[30] *2478:hk_dat_i[31] 3.31733e-05 +6 *550:10 *551:10 2.95757e-05 +7 *550:11 *551:11 0.0101513 +8 *548:10 *550:10 0 +*RES +1 *2471:wb_dat_o[30] *550:10 15.8176 +2 *550:10 *550:11 107.207 +3 *550:11 *2478:hk_dat_i[30] 20.1888 +*END + +*D_NET *551 0.0206544 +*CONN +*I *2478:hk_dat_i[31] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[31] O *D housekeeping +*CAP +1 *2478:hk_dat_i[31] 0.00139926 +2 *2471:wb_dat_o[31] 0.00162536 +3 *551:11 0.00359483 +4 *551:10 0.00382092 +5 *2471:spimemio_flash_clk *551:10 0 +6 *2478:hk_dat_i[30] *2478:hk_dat_i[31] 3.31733e-05 +7 *550:10 *551:10 2.95757e-05 +8 *550:11 *551:11 0.0101513 +*RES +1 *2471:wb_dat_o[31] *551:10 17.5551 +2 *551:10 *551:11 106.098 +3 *551:11 *2478:hk_dat_i[31] 15.2822 +*END + +*D_NET *552 0.0296126 +*CONN +*I *2478:hk_dat_i[3] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[3] O *D housekeeping +*CAP +1 *2478:hk_dat_i[3] 0.00144422 +2 *2471:wb_dat_o[3] 0.00164609 +3 *552:14 0.00330131 +4 *552:13 0.0018571 +5 *552:11 0.00223173 +6 *552:10 0.00387782 +7 *552:10 *553:10 0 +8 *552:14 *557:18 8.92568e-06 +9 *528:11 *552:11 1.41291e-05 +10 *549:10 *552:10 0 +11 *549:11 *552:11 0.0101979 +12 *549:14 *552:14 0.00503334 +*RES +1 *2471:wb_dat_o[3] *552:10 19.0632 +2 *552:10 *552:11 107.207 +3 *552:11 *552:13 4.5 +4 *552:13 *552:14 81.768 +5 *552:14 *2478:hk_dat_i[3] 8.32734 +*END + +*D_NET *553 0.0352269 +*CONN +*I *2478:hk_dat_i[4] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[4] O *D housekeeping +*CAP +1 *2478:hk_dat_i[4] 0.00116372 +2 *2471:wb_dat_o[4] 0.0020536 +3 *553:14 0.00299916 +4 *553:13 0.00183544 +5 *553:11 0.00078918 +6 *553:10 0.00284278 +7 *553:10 *554:10 0 +8 *553:14 *555:14 0 +9 *553:14 *557:18 0 +10 *2478:hk_dat_i[10] *553:14 0 +11 *526:14 *553:14 0 +12 *527:14 *553:14 0.00336824 +13 *528:11 *553:11 0.0101892 +14 *529:14 *553:14 0 +15 *549:11 *553:11 0.00998549 +16 *552:10 *553:10 0 +*RES +1 *2471:wb_dat_o[4] *553:10 26.9967 +2 *553:10 *553:11 109.98 +3 *553:11 *553:13 4.5 +4 *553:13 *553:14 70.9715 +5 *553:14 *2478:hk_dat_i[4] 7.48595 +*END + +*D_NET *554 0.0360722 +*CONN +*I *2478:hk_dat_i[5] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[5] O *D housekeeping +*CAP +1 *2478:hk_dat_i[5] 0.000878901 +2 *2471:wb_dat_o[5] 0.00174461 +3 *554:14 0.00238214 +4 *554:13 0.00150323 +5 *554:11 0.000682848 +6 *554:10 0.00242745 +7 *554:10 *555:10 0 +8 *554:10 *556:10 0 +9 *554:11 *556:11 0.011075 +10 *554:14 *555:14 0.00208066 +11 *554:14 *558:14 0 +12 *554:14 *2224:8 0 +13 *2478:hk_dat_i[12] *554:14 0.000841182 +14 *530:11 *554:11 0.0110856 +15 *538:14 *554:14 0.00137052 +16 *553:10 *554:10 0 +*RES +1 *2471:wb_dat_o[5] *554:10 15.7848 +2 *554:10 *554:11 116.081 +3 *554:11 *554:13 4.5 +4 *554:13 *554:14 78.8613 +5 *554:14 *2478:hk_dat_i[5] 6.64456 +*END + +*D_NET *555 0.027623 +*CONN +*I *2478:hk_dat_i[6] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[6] O *D housekeeping +*CAP +1 *2478:hk_dat_i[6] 0.000921034 +2 *2471:wb_dat_o[6] 0.0016569 +3 *555:14 0.00275385 +4 *555:13 0.00183282 +5 *555:11 0.00248383 +6 *555:10 0.00414073 +7 *555:10 *556:10 0.00048123 +8 *555:11 *556:11 0.0111876 +9 *555:14 *558:14 0 +10 *555:14 *2224:8 7.01502e-05 +11 *555:14 *2227:14 0 +12 *555:14 *2275:8 0 +13 *527:14 *555:14 0 +14 *530:8 *555:10 0 +15 *530:11 *555:11 1.41291e-05 +16 *531:14 *555:14 0 +17 *553:14 *555:14 0 +18 *554:10 *555:10 0 +19 *554:14 *555:14 0.00208066 +*RES +1 *2471:wb_dat_o[6] *555:10 21.2159 +2 *555:10 *555:11 117.745 +3 *555:11 *555:13 4.5 +4 *555:13 *555:14 70.5562 +5 *555:14 *2478:hk_dat_i[6] 6.79754 +*END + +*D_NET *556 0.0358336 +*CONN +*I *2478:hk_dat_i[7] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[7] O *D housekeeping +*CAP +1 *2478:hk_dat_i[7] 0.000756037 +2 *2471:wb_dat_o[7] 0.00191511 +3 *556:14 0.00222528 +4 *556:13 0.00146924 +5 *556:11 0.0008384 +6 *556:10 0.00275351 +7 *556:10 *557:10 0 +8 *556:14 *558:14 0.00264111 +9 *530:8 *556:10 0 +10 *530:11 *556:11 0.00011318 +11 *538:14 *556:14 0.000377919 +12 *554:10 *556:10 0 +13 *554:11 *556:11 0.011075 +14 *555:10 *556:10 0.00048123 +15 *555:11 *556:11 0.0111876 +*RES +1 *2471:wb_dat_o[7] *556:10 27.5212 +2 *556:10 *556:11 121.072 +3 *556:11 *556:13 4.5 +4 *556:13 *556:14 61.0054 +5 *556:14 *2478:hk_dat_i[7] 6.26211 +*END + +*D_NET *557 0.0267268 +*CONN +*I *2478:hk_dat_i[8] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[8] O *D housekeeping +*CAP +1 *2478:hk_dat_i[8] 0.00136212 +2 *2471:wb_dat_o[8] 0.00157712 +3 *557:18 0.00270275 +4 *557:16 0.00188209 +5 *557:11 0.00282574 +6 *557:10 0.00386139 +7 *557:10 *558:10 0 +8 *557:11 *558:11 0.0100451 +9 *557:18 *559:8 9.34618e-06 +10 *557:18 *2230:8 8.70315e-05 +11 *2478:hk_dat_i[10] *557:18 0.000447468 +12 *2478:hk_dat_i[14] *557:16 0.000739665 +13 *526:14 *557:18 0 +14 *529:14 *557:16 0 +15 *529:14 *557:18 0 +16 *530:8 *557:10 0 +17 *532:10 *557:10 0.000122352 +18 *532:11 *557:11 5.99527e-05 +19 *549:14 *557:18 0.00099579 +20 *552:14 *557:18 8.92568e-06 +21 *553:14 *557:18 0 +22 *556:10 *557:10 0 +*RES +1 *2471:wb_dat_o[8] *557:10 17.4787 +2 *557:10 *557:11 107.762 +3 *557:11 *557:16 22.6856 +4 *557:16 *557:18 51.1982 +5 *557:18 *2478:hk_dat_i[8] 8.09787 +*END + +*D_NET *558 0.0340074 +*CONN +*I *2478:hk_dat_i[9] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[9] O *D housekeeping +*CAP +1 *2478:hk_dat_i[9] 0.000788568 +2 *2471:wb_dat_o[9] 0.00188055 +3 *558:14 0.00214045 +4 *558:13 0.00135188 +5 *558:11 0.00098075 +6 *558:10 0.0028613 +7 *2478:hk_dat_i[12] *558:14 0.000281894 +8 *2478:hk_dat_i[15] *558:14 0 +9 *528:7 *558:10 0 +10 *532:11 *558:11 0.0100959 +11 *533:8 *558:10 0.000302927 +12 *533:11 *558:11 0.000363739 +13 *538:14 *558:14 0.000273238 +14 *554:14 *558:14 0 +15 *555:14 *558:14 0 +16 *556:14 *558:14 0.00264111 +17 *557:10 *558:10 0 +18 *557:11 *558:11 0.0100451 +*RES +1 *2471:wb_dat_o[9] *558:10 24.0899 +2 *558:10 *558:11 118.299 +3 *558:11 *558:13 4.5 +4 *558:13 *558:14 58.9292 +5 *558:14 *2478:hk_dat_i[9] 6.3386 +*END + +*D_NET *559 0.0319987 +*CONN +*I *2471:wb_stb_i I *D housekeeping +*I *2478:hk_stb_o O *D mgmt_core_wrapper +*CAP +1 *2471:wb_stb_i 0.00190088 +2 *2478:hk_stb_o 0.00129179 +3 *559:11 0.00423772 +4 *559:10 0.00233685 +5 *559:8 0.00143109 +6 *559:7 0.00272288 +7 *2471:wb_stb_i *2471:spi_enabled 0.000119662 +8 *559:8 *2230:8 0 +9 *559:8 *2272:8 0.00207973 +10 *559:11 *2230:11 2.41483e-05 +11 *526:10 *2471:wb_stb_i 0.000205961 +12 *526:11 *559:11 0.0104483 +13 *526:14 *559:8 0.00519031 +14 *527:10 *2471:wb_stb_i 0 +15 *557:18 *559:8 9.34618e-06 +*RES +1 *2478:hk_stb_o *559:7 7.8684 +2 *559:7 *559:8 83.8443 +3 *559:8 *559:10 4.5 +4 *559:10 *559:11 110.535 +5 *559:11 *2471:wb_stb_i 28.614 +*END + +*D_NET *560 0.0623247 +*CONN +*I *2478:sram_ro_addr[0] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[0] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[0] 0.00125866 +2 *2471:sram_ro_addr[0] 0.00127864 +3 *560:14 0.00375029 +4 *560:13 0.00249163 +5 *560:11 0.000719053 +6 *560:10 0.000719053 +7 *560:8 0.000857321 +8 *560:7 0.00213597 +9 *560:7 *561:7 0 +10 *560:7 *569:10 0 +11 *560:8 *562:8 0.00645653 +12 *560:8 *563:8 0.00650393 +13 *560:8 *565:8 2.04806e-05 +14 *560:11 *561:11 0.0106414 +15 *560:11 *562:11 4.0752e-05 +16 *560:11 *563:11 0.0107412 +17 *560:11 *570:11 1.65872e-05 +18 *560:14 *561:14 0.00505051 +19 *560:14 *562:14 0.00018896 +20 *560:14 *563:14 0.00935736 +21 *560:14 *564:14 9.22013e-06 +22 *560:14 *571:8 8.71534e-05 +*RES +1 *2471:sram_ro_addr[0] *560:7 8.17437 +2 *560:7 *560:8 104.192 +3 *560:8 *560:10 4.5 +4 *560:10 *560:11 113.308 +5 *560:11 *560:13 4.5 +6 *560:13 *560:14 177.691 +7 *560:14 *2478:sram_ro_addr[0] 8.09787 +*END + +*D_NET *561 0.0588453 +*CONN +*I *2478:sram_ro_addr[1] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[1] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[1] 0.0012083 +2 *2471:sram_ro_addr[1] 0.00136891 +3 *561:14 0.00466475 +4 *561:13 0.00345645 +5 *561:11 0.000751558 +6 *561:10 0.000751558 +7 *561:8 0.000916239 +8 *561:7 0.00228515 +9 *561:7 *562:7 0 +10 *561:8 *564:8 0.00684722 +11 *561:8 *565:8 0.00688953 +12 *561:8 *570:14 8.62321e-06 +13 *561:11 *562:11 0.0104908 +14 *561:11 *564:11 1.65872e-05 +15 *561:11 *570:11 1.58551e-05 +16 *561:14 *566:14 0 +17 *561:14 *568:14 0 +18 *561:14 *569:14 0 +19 *561:14 *571:8 0.000109602 +20 *561:14 *592:8 0.000383211 +21 *561:14 *596:8 0.000549646 +22 *561:14 *598:8 0.00243941 +23 *560:7 *561:7 0 +24 *560:11 *561:11 0.0106414 +25 *560:14 *561:14 0.00505051 +*RES +1 *2471:sram_ro_addr[1] *561:7 8.40384 +2 *561:7 *561:8 110.42 +3 *561:8 *561:10 4.5 +4 *561:10 *561:11 112.753 +5 *561:11 *561:13 4.5 +6 *561:13 *561:14 168.971 +7 *561:14 *2478:sram_ro_addr[1] 7.94489 +*END + +*D_NET *562 0.0602448 +*CONN +*I *2478:sram_ro_addr[2] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[2] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[2] 0.00134371 +2 *2471:sram_ro_addr[2] 0.0012986 +3 *562:14 0.00494627 +4 *562:13 0.00360256 +5 *562:11 0.000709047 +6 *562:10 0.000709047 +7 *562:8 0.000997022 +8 *562:7 0.00229563 +9 *562:7 *563:7 0 +10 *562:8 *563:8 0.000367776 +11 *562:8 *565:8 0.00723015 +12 *562:11 *564:11 0.0104419 +13 *562:14 *563:14 0.000271132 +14 *562:14 *564:14 0.00885496 +15 *560:8 *562:8 0.00645653 +16 *560:11 *562:11 4.0752e-05 +17 *560:14 *562:14 0.00018896 +18 *561:7 *562:7 0 +19 *561:11 *562:11 0.0104908 +*RES +1 *2471:sram_ro_addr[2] *562:7 8.25085 +2 *562:7 *562:8 115.819 +3 *562:8 *562:10 4.5 +4 *562:10 *562:11 111.09 +5 *562:11 *562:13 4.5 +6 *562:13 *562:14 160.251 +7 *562:14 *2478:sram_ro_addr[2] 8.32734 +*END + +*D_NET *563 0.0613909 +*CONN +*I *2478:sram_ro_addr[3] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[3] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[3] 0.00129335 +2 *2471:sram_ro_addr[3] 0.00124609 +3 *563:14 0.00255447 +4 *563:13 0.00126112 +5 *563:11 0.000740185 +6 *563:10 0.000740185 +7 *563:8 0.00280479 +8 *563:7 0.00405088 +9 *563:7 *564:7 0 +10 *563:8 *565:8 0.000147122 +11 *563:11 *565:11 9.16621e-05 +12 *563:11 *570:11 0.0104312 +13 *563:14 *564:14 0.0087885 +14 *560:8 *563:8 0.00650393 +15 *560:11 *563:11 0.0107412 +16 *560:14 *563:14 0.00935736 +17 *562:7 *563:7 0 +18 *562:8 *563:8 0.000367776 +19 *562:14 *563:14 0.000271132 +*RES +1 *2471:sram_ro_addr[3] *563:7 8.09787 +2 *563:7 *563:8 123.709 +3 *563:8 *563:10 4.5 +4 *563:10 *563:11 113.308 +5 *563:11 *563:13 4.5 +6 *563:13 *563:14 149.869 +7 *563:14 *2478:sram_ro_addr[3] 8.17437 +*END + +*D_NET *564 0.0646921 +*CONN +*I *2478:sram_ro_addr[4] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[4] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[4] 0.00133632 +2 *2471:sram_ro_addr[4] 0.00136374 +3 *564:14 0.00253047 +4 *564:13 0.00119415 +5 *564:11 0.00081634 +6 *564:10 0.00081634 +7 *564:8 0.00110496 +8 *564:7 0.0024687 +9 *564:7 *565:7 0 +10 *564:8 *565:8 0.000553593 +11 *564:8 *567:8 0.0079672 +12 *564:8 *570:14 7.77309e-06 +13 *564:11 *567:11 0.00955197 +14 *564:14 *571:8 2.21765e-05 +15 *560:14 *564:14 9.22013e-06 +16 *561:8 *564:8 0.00684722 +17 *561:11 *564:11 1.65872e-05 +18 *562:11 *564:11 0.0104419 +19 *562:14 *564:14 0.00885496 +20 *563:7 *564:7 0 +21 *563:14 *564:14 0.0087885 +*RES +1 *2471:sram_ro_addr[4] *564:7 8.48033 +2 *564:7 *564:8 127.861 +3 *564:8 *564:10 4.5 +4 *564:10 *564:11 109.98 +5 *564:11 *564:13 4.5 +6 *564:13 *564:14 142.395 +7 *564:14 *2478:sram_ro_addr[4] 8.25085 +*END + +*D_NET *565 0.0563617 +*CONN +*I *2478:sram_ro_addr[5] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[5] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[5] 0.000986281 +2 *2471:sram_ro_addr[5] 0.00130605 +3 *565:14 0.00264581 +4 *565:13 0.00165953 +5 *565:11 0.00267315 +6 *565:10 0.00267315 +7 *565:8 0.00148733 +8 *565:7 0.00279338 +9 *565:7 *566:10 0 +10 *565:8 *567:8 0.000142811 +11 *565:11 *570:11 0.0110885 +12 *565:14 *569:14 0.00803739 +13 *565:14 *570:8 0.0057695 +14 *565:14 *595:10 0.00016622 +15 *560:8 *565:8 2.04806e-05 +16 *561:8 *565:8 0.00688953 +17 *562:8 *565:8 0.00723015 +18 *563:8 *565:8 0.000147122 +19 *563:11 *565:11 9.16621e-05 +20 *564:7 *565:7 0 +21 *564:8 *565:8 0.000553593 +*RES +1 *2471:sram_ro_addr[5] *565:7 8.32734 +2 *565:7 *565:8 136.997 +3 *565:8 *565:10 4.5 +4 *565:10 *565:11 119.409 +5 *565:11 *565:13 4.5 +6 *565:13 *565:14 129.937 +7 *565:14 *2478:sram_ro_addr[5] 7.1035 +*END + +*D_NET *566 0.0492173 +*CONN +*I *2478:sram_ro_addr[6] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[6] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[6] 0.00106097 +2 *2471:sram_ro_addr[6] 0.001913 +3 *566:14 0.00357553 +4 *566:13 0.00251455 +5 *566:11 0.00423515 +6 *566:10 0.00614815 +7 *566:10 *567:7 0 +8 *566:10 *570:14 0.000339599 +9 *566:14 *568:14 0.0142383 +10 *566:14 *569:14 0.00109585 +11 *566:14 *581:8 0.0130881 +12 *566:14 *595:10 0.00100807 +13 *561:14 *566:14 0 +14 *565:7 *566:10 0 +*RES +1 *2471:sram_ro_addr[6] *566:10 29.521 +2 *566:10 *566:11 113.863 +3 *566:11 *566:13 4.5 +4 *566:13 *566:14 247.454 +5 *566:14 *2478:sram_ro_addr[6] 7.33297 +*END + +*D_NET *567 0.0501462 +*CONN +*I *2478:sram_ro_addr[7] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[7] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[7] 0.00182245 +2 *2471:sram_ro_addr[7] 0.00136601 +3 *567:14 0.00511695 +4 *567:13 0.0032945 +5 *567:11 0.00209694 +6 *567:10 0.00209694 +7 *567:8 0.00138993 +8 *567:7 0.00275594 +9 *567:7 *568:10 0 +10 *567:8 *570:14 0.00915755 +11 *567:14 *601:14 0.000726815 +12 *82:13 *567:14 0.00266023 +13 *564:8 *567:8 0.0079672 +14 *564:11 *567:11 0.00955197 +15 *565:8 *567:8 0.000142811 +16 *566:10 *567:7 0 +*RES +1 *2471:sram_ro_addr[7] *567:7 8.55682 +2 *567:7 *567:8 146.547 +3 *567:8 *567:10 4.5 +4 *567:10 *567:11 99.9974 +5 *567:11 *567:13 4.5 +6 *567:13 *567:14 112.704 +7 *567:14 *2478:sram_ro_addr[7] 42.2747 +*END + +*D_NET *568 0.0474709 +*CONN +*I *2478:sram_ro_clk I *D mgmt_core_wrapper +*I *2471:sram_ro_clk O *D housekeeping +*CAP +1 *2478:sram_ro_clk 0.0010935 +2 *2471:sram_ro_clk 0.00202149 +3 *568:14 0.00408418 +4 *568:13 0.00299068 +5 *568:11 0.00406548 +6 *568:10 0.00608698 +7 *568:10 *2471:sram_ro_data[0] 0 +8 *568:10 *570:14 0 +9 *568:14 *581:8 0.000520414 +10 *568:14 *592:8 0 +11 *568:14 *595:10 0.00111871 +12 *568:14 *597:8 0.000537358 +13 *568:14 *599:8 0.0107138 +14 *561:14 *568:14 0 +15 *566:14 *568:14 0.0142383 +16 *567:7 *568:10 0 +*RES +1 *2471:sram_ro_clk *568:10 25.4886 +2 *568:10 *568:11 109.426 +3 *568:11 *568:13 4.5 +4 *568:13 *568:14 246.208 +5 *568:14 *2478:sram_ro_clk 7.40946 +*END + +*D_NET *569 0.0476735 +*CONN +*I *2478:sram_ro_csb I *D mgmt_core_wrapper +*I *2471:sram_ro_csb O *D housekeeping +*CAP +1 *2478:sram_ro_csb 0.000995883 +2 *2471:sram_ro_csb 0.00174498 +3 *569:14 0.00584147 +4 *569:13 0.00484558 +5 *569:11 0.00429767 +6 *569:10 0.00604265 +7 *569:10 *570:14 0.000134308 +8 *569:14 *570:8 8.03699e-06 +9 *569:14 *576:8 0.00292338 +10 *569:14 *581:8 0.0109799 +11 *569:14 *595:10 0.000726473 +12 *560:7 *569:10 0 +13 *561:14 *569:14 0 +14 *565:14 *569:14 0.00803739 +15 *566:14 *569:14 0.00109585 +*RES +1 *2471:sram_ro_csb *569:10 19.9702 +2 *569:10 *569:11 114.972 +3 *569:11 *569:13 4.5 +4 *569:13 *569:14 278.598 +5 *569:14 *2478:sram_ro_csb 7.17999 +*END + +*D_NET *570 0.0553598 +*CONN +*I *2471:sram_ro_data[0] I *D housekeeping +*I *2478:sram_ro_data[0] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[0] 0.001386 +2 *2478:sram_ro_data[0] 0.000966293 +3 *570:14 0.00495166 +4 *570:13 0.00356567 +5 *570:11 0.000967578 +6 *570:10 0.000967578 +7 *570:8 0.00213536 +8 *570:7 0.00310166 +9 *2471:sram_ro_data[0] *2471:sram_ro_data[1] 0 +10 *570:8 *595:10 0.000317773 +11 *570:14 *2471:sram_ro_data[1] 2.2746e-05 +12 *560:11 *570:11 1.65872e-05 +13 *561:8 *570:14 8.62321e-06 +14 *561:11 *570:11 1.58551e-05 +15 *563:11 *570:11 0.0104312 +16 *564:8 *570:14 7.77309e-06 +17 *565:11 *570:11 0.0110885 +18 *565:14 *570:8 0.0057695 +19 *566:10 *570:14 0.000339599 +20 *567:8 *570:14 0.00915755 +21 *568:10 *2471:sram_ro_data[0] 0 +22 *568:10 *570:14 0 +23 *569:10 *570:14 0.000134308 +24 *569:14 *570:8 8.03699e-06 +*RES +1 *2478:sram_ro_data[0] *570:7 7.02701 +2 *570:7 *570:8 93.8104 +3 *570:8 *570:10 4.5 +4 *570:10 *570:11 117.745 +5 *570:11 *570:13 4.5 +6 *570:13 *570:14 161.496 +7 *570:14 *2471:sram_ro_data[0] 8.63331 +*END + +*D_NET *571 0.056855 +*CONN +*I *2471:sram_ro_data[10] I *D housekeeping +*I *2478:sram_ro_data[10] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[10] 0.00182856 +2 *2478:sram_ro_data[10] 0.00142709 +3 *571:11 0.00268466 +4 *571:10 0.000856105 +5 *571:8 0.00208832 +6 *571:7 0.00351541 +7 *2471:sram_ro_data[10] *2471:sram_ro_data[11] 0 +8 *2471:sram_ro_data[10] *2471:sram_ro_data[8] 4.69495e-06 +9 *2471:sram_ro_data[10] *2471:sram_ro_data[9] 0.000351464 +10 *571:8 *572:8 0.00018896 +11 *571:8 *573:8 0.0120402 +12 *571:8 *575:8 0.0108612 +13 *571:8 *598:8 0.00105246 +14 *571:11 *600:11 0.0103314 +15 *571:11 *601:17 0.00940553 +16 *560:14 *571:8 8.71534e-05 +17 *561:14 *571:8 0.000109602 +18 *564:14 *571:8 2.21765e-05 +*RES +1 *2478:sram_ro_data[10] *571:7 8.02138 +2 *571:7 *571:8 211.742 +3 *571:8 *571:10 4.5 +4 *571:10 *571:11 109.426 +5 *571:11 *2471:sram_ro_data[10] 26.1225 +*END + +*D_NET *572 0.0496321 +*CONN +*I *2471:sram_ro_data[11] I *D housekeeping +*I *2478:sram_ro_data[11] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[11] 0.00148082 +2 *2478:sram_ro_data[11] 0.00145024 +3 *572:11 0.00371593 +4 *572:10 0.00223511 +5 *572:8 0.00257556 +6 *572:7 0.0040258 +7 *2471:sram_ro_data[11] *2471:sram_ro_data[12] 7.22572e-05 +8 *2471:sram_ro_data[11] *2471:sram_ro_data[13] 6.75696e-05 +9 *572:8 *573:8 0.000280352 +10 *572:8 *574:8 0.0125195 +11 *572:8 *575:8 0 +12 *572:8 *577:8 0.010798 +13 *572:11 *573:11 0.0101979 +14 *572:11 *574:11 2.41483e-05 +15 *2471:sram_ro_data[10] *2471:sram_ro_data[11] 0 +16 *571:8 *572:8 0.00018896 +*RES +1 *2478:sram_ro_data[11] *572:7 8.25085 +2 *572:7 *572:8 220.047 +3 *572:8 *572:10 4.5 +4 *572:10 *572:11 107.207 +5 *572:11 *2471:sram_ro_data[11] 14.5719 +*END + +*D_NET *573 0.0573437 +*CONN +*I *2471:sram_ro_data[12] I *D housekeeping +*I *2478:sram_ro_data[12] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[12] 0.00170614 +2 *2478:sram_ro_data[12] 0.00141026 +3 *573:11 0.00235311 +4 *573:10 0.000646965 +5 *573:8 0.00186399 +6 *573:7 0.00327426 +7 *2471:sram_ro_data[12] *2471:sram_ro_data[13] 0.000205961 +8 *573:8 *574:8 0.0125231 +9 *573:8 *575:8 0.000520414 +10 *573:11 *574:11 0.0102488 +11 *2471:sram_ro_data[11] *2471:sram_ro_data[12] 7.22572e-05 +12 *571:8 *573:8 0.0120402 +13 *572:8 *573:8 0.000280352 +14 *572:11 *573:11 0.0101979 +*RES +1 *2478:sram_ro_data[12] *573:7 8.09787 +2 *573:7 *573:8 210.496 +3 *573:8 *573:10 4.5 +4 *573:10 *573:11 107.762 +5 *573:11 *2471:sram_ro_data[12] 21.7077 +*END + +*D_NET *574 0.0501643 +*CONN +*I *2471:sram_ro_data[13] I *D housekeeping +*I *2478:sram_ro_data[13] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[13] 0.00188305 +2 *2478:sram_ro_data[13] 0.00145323 +3 *574:11 0.00415452 +4 *574:10 0.00227148 +5 *574:8 0.00167991 +6 *574:7 0.00313315 +7 *2471:sram_ro_data[13] *2471:sram_ro_data[14] 0 +8 *2471:sram_ro_data[11] *2471:sram_ro_data[13] 6.75696e-05 +9 *2471:sram_ro_data[12] *2471:sram_ro_data[13] 0.000205961 +10 *572:8 *574:8 0.0125195 +11 *572:11 *574:11 2.41483e-05 +12 *573:8 *574:8 0.0125231 +13 *573:11 *574:11 0.0102488 +*RES +1 *2478:sram_ro_data[13] *574:7 8.17437 +2 *574:7 *574:8 200.945 +3 *574:8 *574:10 4.5 +4 *574:10 *574:11 108.316 +5 *574:11 *2471:sram_ro_data[13] 27.7835 +*END + +*D_NET *575 0.0564003 +*CONN +*I *2471:sram_ro_data[14] I *D housekeeping +*I *2478:sram_ro_data[14] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[14] 0.00162501 +2 *2478:sram_ro_data[14] 0.00135775 +3 *575:11 0.00228962 +4 *575:10 0.000664604 +5 *575:8 0.00191989 +6 *575:7 0.00327763 +7 *2471:sram_ro_data[14] *2471:sram_ro_data[15] 7.77309e-06 +8 *2471:sram_ro_data[14] *2471:sram_ro_data[16] 0.000223845 +9 *575:8 *577:8 0 +10 *575:8 *594:8 0.00055148 +11 *575:8 *598:8 0.0098183 +12 *575:11 *576:11 0.010355 +13 *575:11 *577:11 0.0100982 +14 *2471:sram_ro_data[13] *2471:sram_ro_data[14] 0 +15 *69:8 *575:8 0.00198572 +16 *71:8 *575:8 0.000843849 +17 *571:8 *575:8 0.0108612 +18 *572:8 *575:8 0 +19 *573:8 *575:8 0.000520414 +*RES +1 *2478:sram_ro_data[14] *575:7 7.94489 +2 *575:7 *575:8 208.005 +3 *575:8 *575:10 4.5 +4 *575:10 *575:11 108.316 +5 *575:11 *2471:sram_ro_data[14] 17.6316 +*END + +*D_NET *576 0.0492264 +*CONN +*I *2471:sram_ro_data[15] I *D housekeeping +*I *2478:sram_ro_data[15] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[15] 0.00169961 +2 *2478:sram_ro_data[15] 0.000986281 +3 *576:11 0.0043147 +4 *576:10 0.00261509 +5 *576:8 0.00203102 +6 *576:7 0.0030173 +7 *2471:sram_ro_data[15] *2471:sram_ro_data[16] 0.000585414 +8 *576:8 *578:8 0.000184359 +9 *576:8 *579:8 0.0106463 +10 *576:8 *581:8 0.000543693 +11 *576:8 *584:8 0.00831413 +12 *576:8 *595:10 0.000978169 +13 *576:11 *577:11 2.41483e-05 +14 *2471:sram_ro_data[14] *2471:sram_ro_data[15] 7.77309e-06 +15 *569:14 *576:8 0.00292338 +16 *575:11 *576:11 0.010355 +*RES +1 *2478:sram_ro_data[15] *576:7 7.1035 +2 *576:7 *576:8 198.454 +3 *576:8 *576:10 4.5 +4 *576:10 *576:11 115.526 +5 *576:11 *2471:sram_ro_data[15] 23.7074 +*END + +*D_NET *577 0.0418686 +*CONN +*I *2471:sram_ro_data[16] I *D housekeeping +*I *2478:sram_ro_data[16] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[16] 0.00188048 +2 *2478:sram_ro_data[16] 0.00153308 +3 *577:11 0.00408289 +4 *577:10 0.00220241 +5 *577:8 0.00445356 +6 *577:7 0.00598664 +7 *2471:sram_ro_data[16] *2471:sram_ro_data[17] 0 +8 *2471:sram_ro_data[14] *2471:sram_ro_data[16] 0.000223845 +9 *2471:sram_ro_data[15] *2471:sram_ro_data[16] 0.000585414 +10 *71:8 *577:8 0 +11 *572:8 *577:8 0.010798 +12 *575:8 *577:8 0 +13 *575:11 *577:11 0.0100982 +14 *576:11 *577:11 2.41483e-05 +*RES +1 *2478:sram_ro_data[16] *577:7 8.32734 +2 *577:7 *577:8 190.149 +3 *577:8 *577:10 4.5 +4 *577:10 *577:11 106.098 +5 *577:11 *2471:sram_ro_data[16] 29.5975 +*END + +*D_NET *578 0.0489302 +*CONN +*I *2471:sram_ro_data[17] I *D housekeeping +*I *2478:sram_ro_data[17] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[17] 0.00158472 +2 *2478:sram_ro_data[17] 0.000915934 +3 *578:11 0.00417664 +4 *578:10 0.00259191 +5 *578:8 0.00205556 +6 *578:7 0.00297149 +7 *2471:sram_ro_data[17] *2471:sram_ro_data[18] 0.000332717 +8 *578:8 *579:8 0.000567649 +9 *578:8 *580:8 0.0111257 +10 *578:8 *582:8 0.0105047 +11 *578:8 *595:10 0.000694564 +12 *578:11 *579:11 0.0109831 +13 *2471:sram_ro_data[16] *2471:sram_ro_data[17] 0 +14 *78:10 *578:7 0.000241106 +15 *576:8 *578:8 0.000184359 +*RES +1 *2478:sram_ro_data[17] *578:7 6.87403 +2 *578:7 *578:8 197.208 +3 *578:8 *578:10 4.5 +4 *578:10 *578:11 117.19 +5 *578:11 *2471:sram_ro_data[17] 19.1397 +*END + +*D_NET *579 0.0485902 +*CONN +*I *2471:sram_ro_data[18] I *D housekeeping +*I *2478:sram_ro_data[18] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[18] 0.00183037 +2 *2478:sram_ro_data[18] 0.000978837 +3 *579:11 0.00432851 +4 *579:10 0.00249814 +5 *579:8 0.00174349 +6 *579:7 0.00272232 +7 *2471:sram_ro_data[18] *2471:sram_ro_data[19] 0 +8 *579:8 *582:8 0.0105293 +9 *579:8 *584:8 0.000508484 +10 *579:8 *595:10 0.000920971 +11 *2471:sram_ro_data[17] *2471:sram_ro_data[18] 0.000332717 +12 *576:8 *579:8 0.0106463 +13 *578:8 *579:8 0.000567649 +14 *578:11 *579:11 0.0109831 +*RES +1 *2478:sram_ro_data[18] *579:7 7.02701 +2 *579:7 *579:8 188.488 +3 *579:8 *579:10 4.5 +4 *579:10 *579:11 115.526 +5 *579:11 *2471:sram_ro_data[18] 25.4449 +*END + +*D_NET *580 0.0483932 +*CONN +*I *2471:sram_ro_data[19] I *D housekeeping +*I *2478:sram_ro_data[19] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[19] 0.00149171 +2 *2478:sram_ro_data[19] 0.000895947 +3 *580:11 0.00407307 +4 *580:10 0.00258136 +5 *580:8 0.0020045 +6 *580:7 0.00290044 +7 *2471:sram_ro_data[19] *2471:sram_ro_data[20] 4.11147e-05 +8 *2471:sram_ro_data[19] *2471:sram_ro_data[21] 1.66771e-05 +9 *580:8 *582:8 0.000500711 +10 *580:8 *583:8 0.0109799 +11 *580:8 *595:10 0.000694564 +12 *580:11 *582:11 0.0110275 +13 *580:11 *583:11 5.99691e-05 +14 *2471:sram_ro_data[18] *2471:sram_ro_data[19] 0 +15 *578:8 *580:8 0.0111257 +*RES +1 *2478:sram_ro_data[19] *580:7 6.79754 +2 *580:7 *580:8 195.962 +3 *580:8 *580:10 4.5 +4 *580:10 *580:11 117.745 +5 *580:11 *2471:sram_ro_data[19] 14.5719 +*END + +*D_NET *581 0.0561254 +*CONN +*I *2471:sram_ro_data[1] I *D housekeeping +*I *2478:sram_ro_data[1] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[1] 0.00160819 +2 *2478:sram_ro_data[1] 0.00105356 +3 *581:11 0.00418077 +4 *581:10 0.00257258 +5 *581:8 0.00229806 +6 *581:7 0.00335162 +7 *2471:sram_ro_data[1] *2471:sram_ro_data[2] 0.000410855 +8 *581:8 *584:8 0.00311078 +9 *581:8 *589:8 0.000197796 +10 *581:8 *595:10 0.00137177 +11 *581:8 *599:8 0.00029239 +12 *581:11 *592:11 0.0105222 +13 *2471:sram_ro_data[0] *2471:sram_ro_data[1] 0 +14 *566:14 *581:8 0.0130881 +15 *568:14 *581:8 0.000520414 +16 *569:14 *581:8 0.0109799 +17 *570:14 *2471:sram_ro_data[1] 2.2746e-05 +18 *576:8 *581:8 0.000543693 +*RES +1 *2478:sram_ro_data[1] *581:7 7.25648 +2 *581:7 *581:8 244.962 +3 *581:8 *581:10 4.5 +4 *581:10 *581:11 114.417 +5 *581:11 *2471:sram_ro_data[1] 20.3854 +*END + +*D_NET *582 0.0552248 +*CONN +*I *2471:sram_ro_data[20] I *D housekeeping +*I *2478:sram_ro_data[20] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[20] 0.00168581 +2 *2478:sram_ro_data[20] 0.000971448 +3 *582:11 0.00243897 +4 *582:10 0.000753163 +5 *582:8 0.00175434 +6 *582:7 0.00272579 +7 *2471:sram_ro_data[20] *2471:sram_ro_data[21] 0.000198188 +8 *582:8 *583:8 8.92568e-06 +9 *582:8 *584:8 0.000343271 +10 *582:8 *595:10 0.000718201 +11 *582:11 *583:11 0.0110233 +12 *2471:sram_ro_data[19] *2471:sram_ro_data[20] 4.11147e-05 +13 *578:8 *582:8 0.0105047 +14 *579:8 *582:8 0.0105293 +15 *580:8 *582:8 0.000500711 +16 *580:11 *582:11 0.0110275 +*RES +1 *2478:sram_ro_data[20] *582:7 6.95052 +2 *582:7 *582:8 186.412 +3 *582:8 *582:10 4.5 +4 *582:10 *582:11 116.081 +5 *582:11 *2471:sram_ro_data[20] 20.8772 +*END + +*D_NET *583 0.0464545 +*CONN +*I *2471:sram_ro_data[21] I *D housekeeping +*I *2478:sram_ro_data[21] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[21] 0.0018324 +2 *2478:sram_ro_data[21] 0.00087596 +3 *583:11 0.00447123 +4 *583:10 0.00263883 +5 *583:8 0.00178582 +6 *583:7 0.00266178 +7 *2471:sram_ro_data[21] *2471:sram_ro_data[22] 0 +8 *583:8 *584:8 0 +9 *583:8 *585:8 0.00990152 +10 *2471:sram_ro_data[19] *2471:sram_ro_data[21] 1.66771e-05 +11 *2471:sram_ro_data[20] *2471:sram_ro_data[21] 0.000198188 +12 *580:8 *583:8 0.0109799 +13 *580:11 *583:11 5.99691e-05 +14 *582:8 *583:8 8.92568e-06 +15 *582:11 *583:11 0.0110233 +*RES +1 *2478:sram_ro_data[21] *583:7 6.72105 +2 *583:7 *583:8 177.691 +3 *583:8 *583:10 4.5 +4 *583:10 *583:11 118.854 +5 *583:11 *2471:sram_ro_data[21] 26.1225 +*END + +*D_NET *584 0.0454655 +*CONN +*I *2471:sram_ro_data[22] I *D housekeeping +*I *2478:sram_ro_data[22] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[22] 0.00148907 +2 *2478:sram_ro_data[22] 0.00108273 +3 *584:11 0.00400243 +4 *584:10 0.00251336 +5 *584:8 0.00218376 +6 *584:7 0.00326649 +7 *2471:sram_ro_data[22] *2471:sram_ro_data[23] 0.000176441 +8 *2471:sram_ro_data[22] *2471:sram_ro_data[24] 0.000171753 +9 *584:8 *585:8 0 +10 *584:8 *587:8 0 +11 *584:8 *589:8 0.00129362 +12 *584:8 *595:10 0.000460046 +13 *584:11 *585:11 0.0108639 +14 *584:11 *586:11 2.41483e-05 +15 *2471:sram_ro_data[21] *2471:sram_ro_data[22] 0 +16 *68:14 *584:8 0.00566104 +17 *576:8 *584:8 0.00831413 +18 *579:8 *584:8 0.000508484 +19 *581:8 *584:8 0.00311078 +20 *582:8 *584:8 0.000343271 +21 *583:8 *584:8 0 +*RES +1 *2478:sram_ro_data[22] *584:7 7.17999 +2 *584:7 *584:8 185.166 +3 *584:8 *584:10 4.5 +4 *584:10 *584:11 114.972 +5 *584:11 *2471:sram_ro_data[22] 16.2329 +*END + +*D_NET *585 0.0529656 +*CONN +*I *2471:sram_ro_data[23] I *D housekeeping +*I *2478:sram_ro_data[23] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[23] 0.00172106 +2 *2478:sram_ro_data[23] 0.000866358 +3 *585:11 0.00255484 +4 *585:10 0.000833783 +5 *585:8 0.00190991 +6 *585:7 0.00277627 +7 *2471:sram_ro_data[23] *2471:sram_ro_data[24] 0.000180575 +8 *585:8 *586:8 0.00018896 +9 *585:8 *587:8 0.00975845 +10 *585:11 *586:11 0.0112335 +11 *2471:sram_ro_data[22] *2471:sram_ro_data[23] 0.000176441 +12 *583:8 *585:8 0.00990152 +13 *584:8 *585:8 0 +14 *584:11 *585:11 0.0108639 +*RES +1 *2478:sram_ro_data[23] *585:7 6.64456 +2 *585:7 *585:8 175.615 +3 *585:8 *585:10 4.5 +4 *585:10 *585:11 118.299 +5 *585:11 *2471:sram_ro_data[23] 22.5382 +*END + +*D_NET *586 0.0441384 +*CONN +*I *2471:sram_ro_data[24] I *D housekeeping +*I *2478:sram_ro_data[24] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[24] 0.00192718 +2 *2478:sram_ro_data[24] 0.000796011 +3 *586:11 0.00463911 +4 *586:10 0.00271193 +5 *586:8 0.00204492 +6 *586:7 0.00284093 +7 *2471:sram_ro_data[24] *2471:sram_ro_data[25] 0 +8 *586:8 *587:8 0.000296518 +9 *586:8 *588:8 0.00910878 +10 *586:8 *590:8 0.00797412 +11 *2471:sram_ro_data[22] *2471:sram_ro_data[24] 0.000171753 +12 *2471:sram_ro_data[23] *2471:sram_ro_data[24] 0.000180575 +13 *584:11 *586:11 2.41483e-05 +14 *585:8 *586:8 0.00018896 +15 *585:11 *586:11 0.0112335 +*RES +1 *2478:sram_ro_data[24] *586:7 6.41509 +2 *586:7 *586:8 166.064 +3 *586:8 *586:10 4.5 +4 *586:10 *586:11 121.072 +5 *586:11 *2471:sram_ro_data[24] 29.4445 +*END + +*D_NET *587 0.0454651 +*CONN +*I *2471:sram_ro_data[25] I *D housekeeping +*I *2478:sram_ro_data[25] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[25] 0.00157316 +2 *2478:sram_ro_data[25] 0.000858914 +3 *587:11 0.00420006 +4 *587:10 0.00262691 +5 *587:8 0.00178588 +6 *587:7 0.00264479 +7 *2471:sram_ro_data[25] *2471:sram_ro_data[26] 0.000280625 +8 *587:8 *588:8 0.0101597 +9 *587:8 *2270:8 0 +10 *587:11 *588:11 0.0112801 +11 *2471:sram_ro_data[24] *2471:sram_ro_data[25] 0 +12 *584:8 *587:8 0 +13 *585:8 *587:8 0.00975845 +14 *586:8 *587:8 0.000296518 +*RES +1 *2478:sram_ro_data[25] *587:7 6.56807 +2 *587:7 *587:8 173.954 +3 *587:8 *587:10 4.5 +4 *587:10 *587:11 119.409 +5 *587:11 *2471:sram_ro_data[25] 18.3092 +*END + +*D_NET *588 0.044908 +*CONN +*I *2471:sram_ro_data[26] I *D housekeeping +*I *2478:sram_ro_data[26] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[26] 0.00181254 +2 *2478:sram_ro_data[26] 0.000828542 +3 *588:11 0.00443944 +4 *588:10 0.0026269 +5 *588:8 0.00151301 +6 *588:7 0.00234155 +7 *2471:sram_ro_data[26] *2471:sram_ro_data[27] 0 +8 *588:8 *590:8 0.000516877 +9 *588:8 *2270:8 0 +10 *2471:sram_ro_data[25] *2471:sram_ro_data[26] 0.000280625 +11 *586:8 *588:8 0.00910878 +12 *587:8 *588:8 0.0101597 +13 *587:11 *588:11 0.0112801 +*RES +1 *2478:sram_ro_data[26] *588:7 6.49158 +2 *588:7 *588:8 164.403 +3 *588:8 *588:10 4.5 +4 *588:10 *588:11 119.409 +5 *588:11 *2471:sram_ro_data[26] 24.6144 +*END + +*D_NET *589 0.0438564 +*CONN +*I *2471:sram_ro_data[27] I *D housekeeping +*I *2478:sram_ro_data[27] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[27] 0.00146596 +2 *2478:sram_ro_data[27] 0.00122299 +3 *589:11 0.00394694 +4 *589:10 0.00248098 +5 *589:8 0.00184478 +6 *589:7 0.00306777 +7 *2471:sram_ro_data[27] *2471:sram_ro_data[28] 1.9101e-05 +8 *2471:sram_ro_data[27] *2471:sram_ro_data[29] 1.5714e-05 +9 *589:8 *593:8 0.0089279 +10 *589:8 *599:8 0.000816604 +11 *589:11 *591:11 0.0108151 +12 *2471:sram_ro_data[26] *2471:sram_ro_data[27] 0 +13 *68:14 *589:8 0.00774116 +14 *581:8 *589:8 0.000197796 +15 *584:8 *589:8 0.00129362 +*RES +1 *2478:sram_ro_data[27] *589:7 7.33297 +2 *589:7 *589:8 172.293 +3 *589:8 *589:10 4.5 +4 *589:10 *589:11 113.863 +5 *589:11 *2471:sram_ro_data[27] 13.7414 +*END + +*D_NET *590 0.0397968 +*CONN +*I *2471:sram_ro_data[28] I *D housekeeping +*I *2478:sram_ro_data[28] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[28] 0.00169916 +2 *2478:sram_ro_data[28] 0.000786409 +3 *590:11 0.00435925 +4 *590:10 0.00266009 +5 *590:8 0.00241544 +6 *590:7 0.00320185 +7 *2471:sram_ro_data[28] *2471:sram_ro_data[29] 0.000194296 +8 *590:8 *591:8 0.00454788 +9 *590:8 *2270:8 0 +10 *590:8 *2271:14 0 +11 *590:11 *591:11 0.0114223 +12 *2471:sram_ro_data[27] *2471:sram_ro_data[28] 1.9101e-05 +13 *586:8 *590:8 0.00797412 +14 *588:8 *590:8 0.000516877 +*RES +1 *2478:sram_ro_data[28] *590:7 6.3386 +2 *590:7 *590:8 162.327 +3 *590:8 *590:10 4.5 +4 *590:10 *590:11 120.518 +5 *590:11 *2471:sram_ro_data[28] 20.8772 +*END + +*D_NET *591 0.0422486 +*CONN +*I *2471:sram_ro_data[29] I *D housekeeping +*I *2478:sram_ro_data[29] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[29] 0.00183705 +2 *2478:sram_ro_data[29] 0.00073605 +3 *591:11 0.0028525 +4 *591:10 0.00101545 +5 *591:8 0.00403813 +6 *591:7 0.00477418 +7 *2471:sram_ro_data[29] *2471:sram_ro_data[30] 0 +8 *2471:sram_ro_data[27] *2471:sram_ro_data[29] 1.5714e-05 +9 *2471:sram_ro_data[28] *2471:sram_ro_data[29] 0.000194296 +10 *589:11 *591:11 0.0108151 +11 *590:8 *591:8 0.00454788 +12 *590:11 *591:11 0.0114223 +*RES +1 *2478:sram_ro_data[29] *591:7 6.18562 +2 *591:7 *591:8 153.607 +3 *591:8 *591:10 4.5 +4 *591:10 *591:11 122.736 +5 *591:11 *2471:sram_ro_data[29] 26.1225 +*END + +*D_NET *592 0.0545277 +*CONN +*I *2471:sram_ro_data[2] I *D housekeeping +*I *2478:sram_ro_data[2] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[2] 0.00152318 +2 *2478:sram_ro_data[2] 0.00121119 +3 *592:11 0.00389621 +4 *592:10 0.00237302 +5 *592:8 0.00257131 +6 *592:7 0.0037825 +7 *2471:sram_ro_data[2] *2471:sram_ro_data[3] 0.000835188 +8 *592:8 *595:10 0.00148256 +9 *592:8 *596:8 0.0135104 +10 *592:8 *597:8 0.00084199 +11 *592:8 *600:8 0.0111838 +12 *2471:sram_ro_data[1] *2471:sram_ro_data[2] 0.000410855 +13 *561:14 *592:8 0.000383211 +14 *568:14 *592:8 0 +15 *581:11 *592:11 0.0105222 +*RES +1 *2478:sram_ro_data[2] *592:7 7.71542 +2 *592:7 *592:8 235.411 +3 *592:8 *592:10 4.5 +4 *592:10 *592:11 110.535 +5 *592:11 *2471:sram_ro_data[2] 26.6907 +*END + +*D_NET *593 0.0429303 +*CONN +*I *2471:sram_ro_data[30] I *D housekeeping +*I *2478:sram_ro_data[30] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[30] 0.00159099 +2 *2478:sram_ro_data[30] 0.0012468 +3 *593:11 0.004042 +4 *593:10 0.00245101 +5 *593:8 0.00177402 +6 *593:7 0.00302081 +7 *2471:sram_ro_data[30] *2471:sram_ro_data[31] 0.000119662 +8 *593:7 *2471:sram_ro_data[3] 0 +9 *593:8 *594:8 0 +10 *593:8 *599:8 0.000585452 +11 *593:8 *600:8 0.000355947 +12 *2471:sram_ro_data[29] *2471:sram_ro_data[30] 0 +13 *68:10 *2471:sram_ro_data[30] 7.77309e-06 +14 *68:11 *593:11 0.0107111 +15 *68:14 *593:8 0.000486913 +16 *70:8 *593:8 0.00761 +17 *589:8 *593:8 0.0089279 +*RES +1 *2478:sram_ro_data[30] *593:7 7.40946 +2 *593:7 *593:8 161.081 +3 *593:8 *593:10 4.5 +4 *593:10 *593:11 112.753 +5 *593:11 *2471:sram_ro_data[30] 16.3094 +*END + +*D_NET *594 0.0413917 +*CONN +*I *2471:sram_ro_data[31] I *D housekeeping +*I *2478:sram_ro_data[31] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[31] 0.00161999 +2 *2478:sram_ro_data[31] 0.00137724 +3 *594:11 0.00398314 +4 *594:10 0.00236316 +5 *594:8 0.00198 +6 *594:7 0.00335723 +7 *594:8 *598:8 4.0143e-05 +8 *594:8 *600:8 0.000507437 +9 *594:8 *604:14 0.00597261 +10 *594:8 *2274:8 0.000266226 +11 *2471:sram_ro_data[30] *2471:sram_ro_data[31] 0.000119662 +12 *68:10 *2471:sram_ro_data[31] 0.000476542 +13 *68:11 *594:11 0.0105287 +14 *69:8 *594:8 0.0082482 +15 *70:8 *594:8 0 +16 *575:8 *594:8 0.00055148 +17 *593:8 *594:8 0 +*RES +1 *2478:sram_ro_data[31] *594:7 7.79191 +2 *594:7 *594:8 152.776 +3 *594:8 *594:10 4.5 +4 *594:10 *594:11 110.535 +5 *594:11 *2471:sram_ro_data[31] 21.2159 +*END + +*D_NET *595 0.0591792 +*CONN +*I *2471:sram_ro_data[3] I *D housekeeping +*I *2478:sram_ro_data[3] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[3] 0.00553816 +2 *2478:sram_ro_data[3] 0.0132008 +3 *595:10 0.0187389 +4 *2471:sram_ro_data[3] *596:11 0.00148867 +5 *2471:sram_ro_data[3] *597:11 0.00297733 +6 *595:10 *596:8 0.00161591 +7 *595:10 *597:8 0.00146267 +8 *595:10 *599:8 0.00135401 +9 *595:10 *600:8 0.00130945 +10 *2471:sram_ro_data[2] *2471:sram_ro_data[3] 0.000835188 +11 *565:14 *595:10 0.00016622 +12 *566:14 *595:10 0.00100807 +13 *568:14 *595:10 0.00111871 +14 *569:14 *595:10 0.000726473 +15 *570:8 *595:10 0.000317773 +16 *576:8 *595:10 0.000978169 +17 *578:8 *595:10 0.000694564 +18 *579:8 *595:10 0.000920971 +19 *580:8 *595:10 0.000694564 +20 *581:8 *595:10 0.00137177 +21 *582:8 *595:10 0.000718201 +22 *584:8 *595:10 0.000460046 +23 *592:8 *595:10 0.00148256 +24 *593:7 *2471:sram_ro_data[3] 0 +*RES +1 *2478:sram_ro_data[3] *595:10 38.0299 +2 *595:10 *2471:sram_ro_data[3] 21.6783 +*END + +*D_NET *596 0.0577625 +*CONN +*I *2471:sram_ro_data[4] I *D housekeeping +*I *2478:sram_ro_data[4] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[4] 0.00165315 +2 *2478:sram_ro_data[4] 0.00131012 +3 *596:11 0.00403884 +4 *596:10 0.00238569 +5 *596:8 0.00211389 +6 *596:7 0.00342401 +7 *2471:sram_ro_data[4] *2471:sram_ro_data[5] 0.00056452 +8 *596:8 *598:8 0.0133986 +9 *596:8 *600:8 0.000497294 +10 *596:11 *597:11 0.0104714 +11 *2471:sram_ro_data[3] *596:11 0.00148867 +12 *89:16 *596:7 0.000740377 +13 *561:14 *596:8 0.000549646 +14 *592:8 *596:8 0.0135104 +15 *595:10 *596:8 0.00161591 +*RES +1 *2478:sram_ro_data[4] *596:7 7.79191 +2 *596:7 *596:8 233.75 +3 *596:8 *596:10 4.5 +4 *596:10 *596:11 110.535 +5 *596:11 *2471:sram_ro_data[4] 22.4617 +*END + +*D_NET *597 0.0571822 +*CONN +*I *2471:sram_ro_data[5] I *D housekeeping +*I *2478:sram_ro_data[5] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[5] 0.00192528 +2 *2478:sram_ro_data[5] 0.00121788 +3 *597:11 0.00436198 +4 *597:10 0.0024367 +5 *597:8 0.00207983 +6 *597:7 0.00329771 +7 *2471:sram_ro_data[5] *2471:sram_ro_data[6] 0 +8 *597:8 *599:8 0.0128142 +9 *597:8 *600:8 0.0121934 +10 *2471:sram_ro_data[3] *597:11 0.00297733 +11 *2471:sram_ro_data[4] *2471:sram_ro_data[5] 0.00056452 +12 *568:14 *597:8 0.000537358 +13 *592:8 *597:8 0.00084199 +14 *595:10 *597:8 0.00146267 +15 *596:11 *597:11 0.0104714 +*RES +1 *2478:sram_ro_data[5] *597:7 7.56244 +2 *597:7 *597:8 224.2 +3 *597:8 *597:10 4.5 +4 *597:10 *597:11 111.644 +5 *597:11 *2471:sram_ro_data[5] 28.767 +*END + +*D_NET *598 0.0527002 +*CONN +*I *2471:sram_ro_data[6] I *D housekeeping +*I *2478:sram_ro_data[6] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[6] 0.00155511 +2 *2478:sram_ro_data[6] 0.00132511 +3 *598:11 0.00389697 +4 *598:10 0.00234186 +5 *598:8 0.00220216 +6 *598:7 0.00352727 +7 *2471:sram_ro_data[6] *2471:sram_ro_data[7] 0.000275937 +8 *598:8 *600:8 0.000344865 +9 *598:11 *599:11 0.010482 +10 *2471:sram_ro_data[5] *2471:sram_ro_data[6] 0 +11 *561:14 *598:8 0.00243941 +12 *571:8 *598:8 0.00105246 +13 *575:8 *598:8 0.0098183 +14 *594:8 *598:8 4.0143e-05 +15 *596:8 *598:8 0.0133986 +*RES +1 *2478:sram_ro_data[6] *598:7 7.8684 +2 *598:7 *598:8 232.505 +3 *598:8 *598:10 4.5 +4 *598:10 *598:11 109.98 +5 *598:11 *2471:sram_ro_data[6] 17.8939 +*END + +*D_NET *599 0.0529994 +*CONN +*I *2471:sram_ro_data[7] I *D housekeeping +*I *2478:sram_ro_data[7] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[7] 0.00176451 +2 *2478:sram_ro_data[7] 0.00118751 +3 *599:11 0.00426315 +4 *599:10 0.00249865 +5 *599:8 0.00212893 +6 *599:7 0.00331644 +7 *2471:sram_ro_data[7] *2471:sram_ro_data[8] 0 +8 *599:8 *600:8 0.000505754 +9 *2471:sram_ro_data[6] *2471:sram_ro_data[7] 0.000275937 +10 *568:14 *599:8 0.0107138 +11 *581:8 *599:8 0.00029239 +12 *589:8 *599:8 0.000816604 +13 *593:8 *599:8 0.000585452 +14 *595:10 *599:8 0.00135401 +15 *597:8 *599:8 0.0128142 +16 *598:11 *599:11 0.010482 +*RES +1 *2478:sram_ro_data[7] *599:7 7.48595 +2 *599:7 *599:8 222.954 +3 *599:8 *599:10 4.5 +4 *599:10 *599:11 113.308 +5 *599:11 *2471:sram_ro_data[7] 24.0462 +*END + +*D_NET *600 0.0522524 +*CONN +*I *2471:sram_ro_data[8] I *D housekeeping +*I *2478:sram_ro_data[8] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[8] 0.00153251 +2 *2478:sram_ro_data[8] 0.00126304 +3 *600:11 0.00395635 +4 *600:10 0.00242384 +5 *600:8 0.0022898 +6 *600:7 0.00355284 +7 *2471:sram_ro_data[8] *2471:sram_ro_data[9] 0 +8 *2471:sram_ro_data[10] *2471:sram_ro_data[8] 4.69495e-06 +9 *2471:sram_ro_data[7] *2471:sram_ro_data[8] 0 +10 *571:11 *600:11 0.0103314 +11 *592:8 *600:8 0.0111838 +12 *593:8 *600:8 0.000355947 +13 *594:8 *600:8 0.000507437 +14 *595:10 *600:8 0.00130945 +15 *596:8 *600:8 0.000497294 +16 *597:8 *600:8 0.0121934 +17 *598:8 *600:8 0.000344865 +18 *599:8 *600:8 0.000505754 +*RES +1 *2478:sram_ro_data[8] *600:7 7.63893 +2 *600:7 *600:8 229.598 +3 *600:8 *600:10 4.5 +4 *600:10 *600:11 111.09 +5 *600:11 *2471:sram_ro_data[8] 14.2331 +*END + +*D_NET *601 0.0369286 +*CONN +*I *2471:sram_ro_data[9] I *D housekeeping +*I *2478:sram_ro_data[9] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[9] 0.00159224 +2 *2478:sram_ro_data[9] 0.00182536 +3 *601:17 0.00363524 +4 *601:16 0.002043 +5 *601:14 0.00776181 +6 *601:13 0.00958716 +7 *2471:sram_ro_data[10] *2471:sram_ro_data[9] 0.000351464 +8 *2471:sram_ro_data[8] *2471:sram_ro_data[9] 0 +9 *567:14 *601:14 0.000726815 +10 *571:11 *601:17 0.00940553 +*RES +1 *2478:sram_ro_data[9] *601:13 40.0591 +2 *601:13 *601:14 221.085 +3 *601:14 *601:16 4.5 +4 *601:16 *601:17 98.3336 +5 *601:17 *2471:sram_ro_data[9] 19.1397 +*END + +*D_NET *602 0.0343516 +*CONN +*I *2478:irq[3] I *D mgmt_core_wrapper +*I *2471:irq[0] O *D housekeeping +*CAP +1 *2478:irq[3] 0.00152698 +2 *2471:irq[0] 0.00181899 +3 *602:14 0.00334013 +4 *602:13 0.00181315 +5 *602:11 0.00221685 +6 *602:10 0.00403584 +7 *602:10 *2471:trap 0.000384809 +8 *602:10 *603:10 0 +9 *602:11 *2274:11 0.0101979 +10 *602:14 *2229:8 0.00461661 +11 *602:14 *2230:8 0.00230153 +12 *602:14 *2273:8 0.00190982 +13 *71:8 *602:14 0.00018896 +*RES +1 *2471:irq[0] *602:10 25.4449 +2 *602:10 *602:11 106.653 +3 *602:11 *602:13 4.5 +4 *602:13 *602:14 111.251 +5 *602:14 *2478:irq[3] 8.25085 +*END + +*D_NET *603 0.0391706 +*CONN +*I *2478:irq[4] I *D mgmt_core_wrapper +*I *2471:irq[1] O *D housekeeping +*CAP +1 *2478:irq[4] 0.0013156 +2 *2471:irq[1] 0.00149775 +3 *603:14 0.00280101 +4 *603:13 0.00148541 +5 *603:11 0.00241143 +6 *603:10 0.00390918 +7 *603:10 *2471:spi_sdoenb 6.75696e-05 +8 *603:10 *604:10 9.32067e-05 +9 *603:11 *604:11 0.0105416 +10 *603:11 *2273:11 1.92172e-05 +11 *603:14 *604:14 0.000508826 +12 *603:14 *2274:8 0.00739229 +13 *603:14 *2275:8 0.00054535 +14 *70:8 *603:14 0.0063597 +15 *527:14 *603:14 0.000222466 +16 *602:10 *603:10 0 +*RES +1 *2471:irq[1] *603:10 15.4024 +2 *603:10 *603:11 112.199 +3 *603:11 *603:13 4.5 +4 *603:13 *603:14 136.997 +5 *603:14 *2478:irq[4] 7.56244 +*END + +*D_NET *604 0.0484233 +*CONN +*I *2478:irq[5] I *D mgmt_core_wrapper +*I *2471:irq[2] O *D housekeeping +*CAP +1 *2478:irq[5] 0.0013598 +2 *2471:irq[2] 0.0016829 +3 *604:14 0.00287857 +4 *604:13 0.00151877 +5 *604:11 0.000713068 +6 *604:10 0.00239597 +7 *604:10 *2471:spi_sdoenb 0.000172802 +8 *604:11 *2273:11 0.0102977 +9 *604:14 *2272:8 0.000158505 +10 *604:14 *2274:8 0.0080138 +11 *69:8 *604:14 0.000502815 +12 *526:14 *604:14 0.00161238 +13 *527:14 *604:14 0 +14 *594:8 *604:14 0.00597261 +15 *603:10 *604:10 9.32067e-05 +16 *603:11 *604:11 0.0105416 +17 *603:14 *604:14 0.000508826 +*RES +1 *2471:irq[2] *604:10 20.8772 +2 *604:10 *604:11 110.535 +3 *604:11 *604:13 4.5 +4 *604:13 *604:14 147.378 +5 *604:14 *2478:irq[5] 7.71542 +*END + +*D_NET *605 0.172336 +*CONN +*I *2478:la_input[0] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[0] O *D mgmt_protect +*CAP +1 *2478:la_input[0] 0.00105545 +2 *2472:la_data_in_mprj[0] 0.00143485 +3 *605:14 0.00820083 +4 *605:13 0.00870263 +5 *605:8 0.00275282 +6 *605:7 0.00263042 +7 *2478:la_input[0] *1117:7 0 +8 *2478:la_input[0] *1245:9 0 +9 *2478:la_input[0] *1552:27 0.000410798 +10 *2478:la_input[0] *1556:27 8.92568e-06 +11 *605:7 *2472:la_data_out_mprj[0] 0.000455073 +12 *605:8 *652:8 0.00535648 +13 *605:8 *896:10 0.000622721 +14 *605:8 *921:10 0 +15 *605:8 *1176:10 0 +16 *605:14 *677:14 0 +17 *605:14 *911:10 0.0690763 +18 *605:14 *933:10 0.065305 +19 *605:14 *1245:10 0.00295416 +20 *605:14 *2401:13 0.00336945 +*RES +1 *2472:la_data_in_mprj[0] *605:7 37.1828 +2 *605:7 *605:8 56.1838 +3 *605:8 *605:13 34.0861 +4 *605:13 *605:14 780.496 +5 *605:14 *2478:la_input[0] 36.4134 +*END + +*D_NET *606 0.0729689 +*CONN +*I *2478:la_input[100] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[100] O *D mgmt_protect +*CAP +1 *2478:la_input[100] 0.0012076 +2 *2472:la_data_in_mprj[100] 0.000628775 +3 *606:14 0.00166339 +4 *606:11 0.00206287 +5 *606:8 0.00429798 +6 *606:7 0.00331969 +7 *2478:la_input[100] *608:11 3.62682e-05 +8 *2478:la_input[100] *1118:9 0 +9 *2478:la_input[100] *1246:9 0 +10 *606:7 *2472:la_data_out_mprj[100] 0.000384624 +11 *606:7 *2472:la_oenb_mprj[99] 0 +12 *606:7 *1118:13 0 +13 *606:8 *728:8 0.0231329 +14 *606:8 *729:8 0.0249845 +15 *606:8 *730:8 0.000233938 +16 *606:8 *865:16 0.000656515 +17 *606:8 *1671:8 0.000618181 +18 *606:8 *2191:16 0.00033964 +19 *606:11 *2472:mprj_adr_o_core[4] 0.00191532 +20 *606:11 *1242:9 6.90376e-05 +21 *606:14 *1606:10 0.00420899 +22 *606:14 *1607:16 0 +23 *606:14 *1609:19 0.00304707 +24 *606:14 *1676:8 0.000161493 +*RES +1 *2472:la_data_in_mprj[100] *606:7 25.1405 +2 *606:7 *606:8 284.126 +3 *606:8 *606:11 45.781 +4 *606:11 *606:14 49.0371 +5 *606:14 *2478:la_input[100] 32.2608 +*END + +*D_NET *607 0.0716707 +*CONN +*I *2478:la_input[101] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[101] O *D mgmt_protect +*CAP +1 *2478:la_input[101] 0.00155995 +2 *2472:la_data_in_mprj[101] 0.000637874 +3 *607:14 0.00283506 +4 *607:13 0.00272642 +5 *607:8 0.00386974 +6 *607:7 0.00305631 +7 *2478:la_input[101] *1119:7 0 +8 *2478:la_input[101] *1247:9 0 +9 *2478:la_input[101] *1654:7 0 +10 *607:7 *2472:la_data_out_mprj[101] 0 +11 *607:7 *2472:la_oenb_mprj[100] 0 +12 *607:8 *608:8 0.000396844 +13 *607:8 *609:8 0.000329628 +14 *607:8 *610:8 6.75302e-05 +15 *607:8 *724:8 0.000143231 +16 *607:8 *866:16 0.0212002 +17 *607:8 *867:20 0.0203805 +18 *607:8 *1359:16 0.00263889 +19 *607:13 *2472:mprj_adr_o_core[1] 0 +20 *607:13 *2472:mprj_adr_o_core[2] 0 +21 *607:13 *2472:mprj_dat_o_core[1] 0.000677005 +22 *607:13 *2472:mprj_sel_o_core[1] 0.000699164 +23 *607:13 *1241:9 7.09666e-06 +24 *607:14 *609:14 0.00804223 +25 *607:14 *610:14 0.000228796 +26 *607:14 *862:10 0.00180637 +27 *607:14 *986:12 0.000212637 +28 *607:14 *1121:10 2.493e-05 +29 *607:14 *1714:16 0.000130331 +*RES +1 *2472:la_data_in_mprj[101] *607:7 21.4032 +2 *607:7 *607:8 254.732 +3 *607:8 *607:13 48.2047 +4 *607:13 *607:14 85.5777 +5 *607:14 *2478:la_input[101] 38.0744 +*END + +*D_NET *608 0.0737293 +*CONN +*I *2478:la_input[102] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[102] O *D mgmt_protect +*CAP +1 *2478:la_input[102] 0.00106541 +2 *2472:la_data_in_mprj[102] 0.000540007 +3 *608:14 0.00172004 +4 *608:11 0.00255258 +5 *608:10 0.00189795 +6 *608:8 0.00308613 +7 *608:7 0.00362614 +8 *2478:la_input[102] *2472:mprj_dat_o_core[16] 0 +9 *2478:la_input[102] *1120:9 0 +10 *2478:la_input[102] *1248:9 0 +11 *608:7 *2472:la_data_out_mprj[102] 0.00035459 +12 *608:7 *2472:la_oenb_mprj[101] 0 +13 *608:8 *610:8 0.0272842 +14 *608:8 *724:8 0.0138903 +15 *608:8 *730:8 1.5006e-05 +16 *608:8 *863:16 0.00319215 +17 *608:8 *1649:8 0.0070112 +18 *608:8 *2191:16 0.000159331 +19 *608:11 *2472:mprj_adr_o_core[11] 0.000173895 +20 *608:11 *1118:9 0 +21 *608:11 *1650:5 0 +22 *608:11 *1714:19 0.00192147 +23 *608:14 *613:8 0.000613464 +24 *608:14 *1251:10 0.00397947 +25 *608:14 *1656:8 4.62974e-05 +26 *608:14 *1720:10 0.000166542 +27 *2478:la_input[100] *608:11 3.62682e-05 +28 *607:8 *608:8 0.000396844 +*RES +1 *2472:la_data_in_mprj[102] *608:7 22.649 +2 *608:7 *608:8 309.638 +3 *608:8 *608:10 4.5 +4 *608:10 *608:11 47.0945 +5 *608:11 *608:14 46.8187 +6 *608:14 *2478:la_input[102] 28.9388 +*END + +*D_NET *609 0.0654342 +*CONN +*I *2478:la_input[103] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[103] O *D mgmt_protect +*CAP +1 *2478:la_input[103] 0.00153805 +2 *2472:la_data_in_mprj[103] 0.000601842 +3 *609:14 0.00294772 +4 *609:13 0.00297519 +5 *609:8 0.00699728 +6 *609:7 0.00603361 +7 *2478:la_input[103] *1121:9 0 +8 *2478:la_input[103] *1249:9 0 +9 *609:7 *2472:la_data_out_mprj[103] 0 +10 *609:7 *2472:la_oenb_mprj[102] 0 +11 *609:8 *630:8 0 +12 *609:8 *632:8 0 +13 *609:8 *635:8 5.13878e-05 +14 *609:8 *867:20 0.0195588 +15 *609:8 *1145:10 0 +16 *609:8 *1359:16 0.00104186 +17 *609:8 *1579:8 0.000133137 +18 *609:8 *1581:24 0.000115028 +19 *609:13 *2472:mprj_adr_o_core[1] 0 +20 *609:13 *2472:mprj_dat_o_core[0] 0.000642613 +21 *609:13 *2472:mprj_sel_o_core[0] 0.000138841 +22 *609:13 *730:11 0.000592538 +23 *609:14 *610:14 0.000989836 +24 *609:14 *862:10 4.91225e-06 +25 *609:14 *863:10 0.0100281 +26 *609:14 *1241:10 0.000791462 +27 *609:14 *1370:12 0.000212637 +28 *609:14 *1652:8 0.00120288 +29 *609:14 *1714:16 0.000464675 +30 *607:8 *609:8 0.000329628 +31 *607:14 *609:14 0.00804223 +*RES +1 *2472:la_data_in_mprj[103] *609:7 20.5727 +2 *609:7 *609:8 228.665 +3 *609:8 *609:13 49.4505 +4 *609:13 *609:14 135.492 +5 *609:14 *2478:la_input[103] 37.6591 +*END + +*D_NET *610 0.0767443 +*CONN +*I *2478:la_input[104] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[104] O *D mgmt_protect +*CAP +1 *2478:la_input[104] 0.00153937 +2 *2472:la_data_in_mprj[104] 0.00054024 +3 *610:14 0.00308912 +4 *610:13 0.00299844 +5 *610:8 0.00450686 +6 *610:7 0.00359842 +7 *2478:la_input[104] *1250:7 0 +8 *610:7 *2472:la_data_out_mprj[104] 0.000335368 +9 *610:7 *2472:la_oenb_mprj[103] 0 +10 *610:7 *1359:13 0 +11 *610:8 *866:16 0.0241284 +12 *610:8 *1581:24 0 +13 *610:8 *1612:36 0.00254977 +14 *610:8 *1649:8 0.000647488 +15 *610:13 *2472:mprj_adr_o_core[13] 0.00032249 +16 *610:13 *2472:mprj_dat_o_core[12] 0 +17 *610:13 *2472:mprj_dat_o_core[13] 0 +18 *610:13 *865:15 0.00167282 +19 *610:14 *1121:10 0.000986034 +20 *610:14 *1122:12 0.000352103 +21 *610:14 *1652:8 7.68065e-05 +22 *610:14 *1652:12 0 +23 *610:14 *1727:24 0.000284653 +24 *610:14 *1744:10 0.000545583 +25 *607:8 *610:8 6.75302e-05 +26 *607:14 *610:14 0.000228796 +27 *608:8 *610:8 0.0272842 +28 *609:14 *610:14 0.000989836 +*RES +1 *2472:la_data_in_mprj[104] *610:7 22.2337 +2 *610:7 *610:8 308.528 +3 *610:8 *610:13 46.5437 +4 *610:13 *610:14 67.8304 +5 *610:14 *2478:la_input[104] 37.7812 +*END + +*D_NET *611 0.0851568 +*CONN +*I *2478:la_input[105] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[105] O *D mgmt_protect +*CAP +1 *2478:la_input[105] 0.00182565 +2 *2472:la_data_in_mprj[105] 0.00100468 +3 *611:16 0.00222672 +4 *611:13 0.00187608 +5 *611:8 0.0046937 +6 *611:7 0.00422336 +7 *2478:la_input[105] *1123:13 0 +8 *2478:la_input[105] *1251:9 0 +9 *2478:la_input[105] *1667:7 0.000240764 +10 *2478:la_input[105] *1731:27 8.86708e-05 +11 *611:7 *2472:la_data_out_mprj[105] 0 +12 *611:7 *2472:la_iena_mprj[105] 0 +13 *611:7 *2472:la_oenb_mprj[104] 0 +14 *611:8 *723:8 0.000614281 +15 *611:8 *1250:10 0.034322 +16 *611:8 *1252:10 0.0329268 +17 *611:13 *2472:mprj_adr_o_core[23] 0 +18 *611:13 *1250:7 0 +19 *611:13 *1664:7 0 +20 *611:16 *867:10 0.000311854 +21 *611:16 *1658:8 0.000802325 +*RES +1 *2472:la_data_in_mprj[105] *611:7 28.4625 +2 *611:7 *611:8 367.871 +3 *611:8 *611:13 34.9166 +4 *611:13 *611:16 24.0799 +5 *611:16 *2478:la_input[105] 39.8031 +*END + +*D_NET *612 0.0627319 +*CONN +*I *2478:la_input[106] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[106] O *D mgmt_protect +*CAP +1 *2478:la_input[106] 0.000515937 +2 *2472:la_data_in_mprj[106] 0.00305108 +3 *612:8 0.00953773 +4 *612:7 0.00902179 +5 *612:5 0.00305108 +6 *2478:la_input[106] *1124:9 0 +7 *2478:la_input[106] *1252:7 0 +8 *612:5 *2472:la_data_out_mprj[106] 0.000421916 +9 *612:5 *2472:la_iena_mprj[106] 0 +10 *612:5 *2472:la_oenb_mprj[105] 0 +11 *612:5 *973:9 0 +12 *612:5 *1357:9 0 +13 *612:5 *1363:15 0 +14 *612:5 *1368:15 0.000397835 +15 *612:8 *614:8 0.0360134 +16 *470:19 *612:8 0.000721049 +*RES +1 *2472:la_data_in_mprj[106] *612:5 75.869 +2 *612:5 *612:7 4.5 +3 *612:7 *612:8 398.374 +4 *612:8 *2478:la_input[106] 18.1423 +*END + +*D_NET *613 0.071408 +*CONN +*I *2478:la_input[107] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[107] O *D mgmt_protect +*CAP +1 *2478:la_input[107] 0.000980703 +2 *2472:la_data_in_mprj[107] 0.00272204 +3 *613:8 0.00755669 +4 *613:7 0.00657599 +5 *613:5 0.00272204 +6 *2478:la_input[107] *1125:9 0 +7 *613:5 *2472:la_data_out_mprj[107] 0 +8 *613:5 *2472:la_iena_mprj[106] 0 +9 *613:5 *2472:la_oenb_mprj[106] 0 +10 *613:5 *973:9 0 +11 *613:5 *1230:9 0.000129352 +12 *613:5 *1367:15 0.000464812 +13 *613:8 *615:8 0.000366369 +14 *613:8 *617:8 0.0362827 +15 *613:8 *730:16 0.000598459 +16 *613:8 *1243:16 0.00024397 +17 *613:8 *1251:10 0.000639917 +18 *613:8 *1363:10 0.00132561 +19 *613:8 *1656:8 0.0101859 +20 *608:14 *613:8 0.000613464 +*RES +1 *2472:la_data_in_mprj[107] *613:5 66.7335 +2 *613:5 *613:7 4.5 +3 *613:7 *613:8 410.575 +4 *613:8 *2478:la_input[107] 27.2778 +*END + +*D_NET *614 0.0911014 +*CONN +*I *2478:la_input[108] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[108] O *D mgmt_protect +*CAP +1 *2478:la_input[108] 0.000530542 +2 *2472:la_data_in_mprj[108] 0.00291026 +3 *614:8 0.00415701 +4 *614:7 0.00362646 +5 *614:5 0.00291026 +6 *2478:la_input[108] *1126:9 0 +7 *2478:la_input[108] *1254:7 0 +8 *614:5 *2472:la_data_out_mprj[108] 0.000723931 +9 *614:5 *2472:la_iena_mprj[107] 0 +10 *614:5 *2472:la_iena_mprj[108] 0 +11 *614:5 *2472:la_oenb_mprj[107] 0 +12 *614:5 *2478:la_input[87] 0 +13 *614:5 *1358:9 0.000388524 +14 *470:19 *614:8 0.039841 +15 *612:8 *614:8 0.0360134 +*RES +1 *2472:la_data_in_mprj[108] *614:5 75.4538 +2 *614:5 *614:7 4.5 +3 *614:7 *614:8 421.668 +4 *614:8 *2478:la_input[108] 18.5575 +*END + +*D_NET *615 0.0955924 +*CONN +*I *2478:la_input[109] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[109] O *D mgmt_protect +*CAP +1 *2478:la_input[109] 0.000907626 +2 *2472:la_data_in_mprj[109] 0.00256659 +3 *615:8 0.00437386 +4 *615:7 0.00346623 +5 *615:5 0.00256659 +6 *2478:la_input[109] *1127:9 0 +7 *2478:la_input[109] *1255:7 0 +8 *615:5 *2472:la_data_out_mprj[109] 0 +9 *615:5 *2472:la_iena_mprj[108] 0 +10 *615:5 *2472:la_oenb_mprj[108] 0 +11 *615:5 *974:9 0 +12 *615:5 *1231:9 0 +13 *615:5 *1369:15 0.00184111 +14 *615:8 *617:8 0.040146 +15 *615:8 *618:8 0.039358 +16 *615:8 *1552:24 0 +17 *613:8 *615:8 0.000366369 +*RES +1 *2472:la_data_in_mprj[109] *615:5 67.564 +2 *615:5 *615:7 4.5 +3 *615:7 *615:8 434.423 +4 *615:8 *2478:la_input[109] 25.8855 +*END + +*D_NET *616 0.111544 +*CONN +*I *2478:la_input[10] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[10] O *D mgmt_protect +*CAP +1 *2478:la_input[10] 0.00158059 +2 *2472:la_data_in_mprj[10] 0.00211688 +3 *616:14 0.0123751 +4 *616:13 0.0151679 +5 *616:7 0.0064903 +6 *2478:la_input[10] *1128:7 0 +7 *2478:la_input[10] *1256:7 0 +8 *616:7 *2472:la_data_out_mprj[10] 0.000565095 +9 *616:7 *2472:la_oenb_mprj[9] 0 +10 *616:7 *668:13 0 +11 *616:13 *627:10 0.022285 +12 *616:13 *638:8 0.000283528 +13 *616:13 *663:8 0.00108613 +14 *616:13 *665:8 0.00103175 +15 *616:13 *667:8 0.000260662 +16 *616:13 *1151:10 0.00406948 +17 *616:13 *1301:7 7.17667e-05 +18 *616:14 *636:22 0.00780192 +19 *616:14 *677:14 0 +20 *616:14 *1149:10 0.00307947 +21 *616:14 *1155:10 0 +22 *616:14 *1159:10 0 +23 *616:14 *1161:10 0.000179601 +24 *616:14 *1163:10 0.000443728 +25 *616:14 *1165:10 0.00041958 +26 *616:14 *1233:10 0.000228912 +27 *616:14 *1267:10 0 +28 *616:14 *1287:10 0.000190613 +29 *616:14 *1289:10 0.000738221 +30 *616:14 *1291:10 0.0174567 +31 *616:14 *1293:10 0.0136208 +*RES +1 *2472:la_data_in_mprj[10] *616:7 49.9625 +2 *616:7 *616:13 41.2429 +3 *616:13 *616:14 514.286 +4 *616:14 *2478:la_input[10] 42.6421 +*END + +*D_NET *617 0.0948911 +*CONN +*I *2478:la_input[110] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[110] O *D mgmt_protect +*CAP +1 *2478:la_input[110] 0.000945585 +2 *2472:la_data_in_mprj[110] 0.00257235 +3 *617:8 0.00440961 +4 *617:7 0.00346402 +5 *617:5 0.00257235 +6 *2478:la_input[110] *1129:9 0 +7 *2478:la_input[110] *1257:9 0 +8 *617:5 *2472:la_data_out_mprj[110] 0.000559246 +9 *617:5 *2472:la_iena_mprj[109] 0 +10 *617:5 *2472:la_iena_mprj[110] 0 +11 *617:5 *2472:la_oenb_mprj[109] 0 +12 *617:5 *2478:la_input[88] 0.000549425 +13 *617:5 *1359:9 0 +14 *617:8 *618:8 0.00083916 +15 *617:8 *1656:8 0.00255066 +16 *613:8 *617:8 0.0362827 +17 *615:8 *617:8 0.040146 +*RES +1 *2472:la_data_in_mprj[110] *617:5 67.1487 +2 *617:5 *617:7 4.5 +3 *617:7 *617:8 445.515 +4 *617:8 *2478:la_input[110] 26.8626 +*END + +*D_NET *618 0.0983833 +*CONN +*I *2478:la_input[111] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[111] O *D mgmt_protect +*CAP +1 *2478:la_input[111] 0.000909563 +2 *2472:la_data_in_mprj[111] 0.00294899 +3 *618:8 0.00460112 +4 *618:7 0.00369156 +5 *618:5 0.00294899 +6 *2478:la_input[111] *1130:7 0 +7 *2478:la_input[111] *1258:7 0 +8 *618:5 *2472:la_data_out_mprj[111] 0 +9 *618:5 *2472:la_iena_mprj[110] 0 +10 *618:5 *2472:la_iena_mprj[111] 0 +11 *618:5 *2472:la_oenb_mprj[110] 0 +12 *618:5 *975:9 0.000447014 +13 *618:5 *1247:15 0 +14 *618:5 *1359:9 0 +15 *618:8 *619:8 0.0422998 +16 *618:8 *1552:24 0 +17 *618:8 *1656:8 0.000339015 +18 *615:8 *618:8 0.039358 +19 *617:8 *618:8 0.00083916 +*RES +1 *2472:la_data_in_mprj[111] *618:5 67.9792 +2 *618:5 *618:7 4.5 +3 *618:7 *618:8 457.162 +4 *618:8 *2478:la_input[111] 26.032 +*END + +*D_NET *619 0.101517 +*CONN +*I *2478:la_input[112] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[112] O *D mgmt_protect +*CAP +1 *2478:la_input[112] 0.000888127 +2 *2472:la_data_in_mprj[112] 0.00284501 +3 *619:8 0.00468372 +4 *619:7 0.00379559 +5 *619:5 0.00284501 +6 *2478:la_input[112] *1131:7 0 +7 *2478:la_input[112] *1259:9 0 +8 *619:5 *2472:la_data_out_mprj[112] 0.000572208 +9 *619:5 *2472:la_iena_mprj[111] 0 +10 *619:5 *2472:la_oenb_mprj[111] 0 +11 *619:5 *2478:la_input[89] 0 +12 *619:5 *1232:9 0 +13 *619:8 *620:8 0.0434618 +14 *619:8 *1552:24 0 +15 *619:8 *1656:8 0.000125334 +16 *618:8 *619:8 0.0422998 +*RES +1 *2472:la_data_in_mprj[112] *619:5 68.3945 +2 *619:5 *619:7 4.5 +3 *619:7 *619:8 468.809 +4 *619:8 *2478:la_input[112] 25.6168 +*END + +*D_NET *620 0.103236 +*CONN +*I *2478:la_input[113] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[113] O *D mgmt_protect +*CAP +1 *2478:la_input[113] 0.000796572 +2 *2472:la_data_in_mprj[113] 0.00303951 +3 *620:8 0.00481118 +4 *620:7 0.00401461 +5 *620:5 0.00303951 +6 *2478:la_input[113] *1260:7 0 +7 *620:5 *2472:la_data_out_mprj[113] 0 +8 *620:5 *2472:la_iena_mprj[112] 0 +9 *620:5 *2472:la_iena_mprj[113] 0 +10 *620:5 *2472:la_oenb_mprj[112] 0 +11 *620:5 *976:9 0 +12 *620:5 *1360:9 0.000315176 +13 *620:8 *621:10 0.000648604 +14 *620:8 *1262:10 0.0431094 +15 *620:8 *1552:24 0 +16 *620:8 *1656:8 0 +17 *619:8 *620:8 0.0434618 +*RES +1 *2472:la_data_in_mprj[113] *620:5 68.8097 +2 *620:5 *620:7 4.5 +3 *620:7 *620:8 482.119 +4 *620:8 *2478:la_input[113] 23.5161 +*END + +*D_NET *621 0.106469 +*CONN +*I *2478:la_input[114] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[114] O *D mgmt_protect +*CAP +1 *2478:la_input[114] 0.000823818 +2 *2472:la_data_in_mprj[114] 4.25268e-05 +3 *621:10 0.00466364 +4 *621:9 0.00383982 +5 *621:7 0.00256827 +6 *621:5 0.00261079 +7 *2478:la_input[114] *1133:7 0 +8 *2478:la_input[114] *1261:7 0 +9 *621:7 *2472:la_data_out_mprj[114] 0.00220578 +10 *621:7 *2472:la_iena_mprj[113] 0 +11 *621:7 *2472:la_oenb_mprj[113] 0 +12 *621:7 *1234:9 0.00021575 +13 *621:10 *1262:10 0.0448934 +14 *621:10 *1264:10 0.043428 +15 *621:10 *1552:24 0.000528711 +16 *620:8 *621:10 0.000648604 +*RES +1 *2472:la_data_in_mprj[114] *621:5 1.20912 +2 *621:5 *621:7 69.7257 +3 *621:7 *621:9 4.5 +4 *621:9 *621:10 490.993 +5 *621:10 *2478:la_input[114] 24.371 +*END + +*D_NET *622 0.0984133 +*CONN +*I *2478:la_input[115] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[115] O *D mgmt_protect +*CAP +1 *2478:la_input[115] 8.30061e-05 +2 *2472:la_data_in_mprj[115] 0.000178231 +3 *622:11 0.00436426 +4 *622:10 0.00428126 +5 *622:8 0.00523021 +6 *622:7 0.00540845 +7 *622:7 *2472:la_data_out_mprj[115] 0 +8 *622:7 *2472:la_iena_mprj[114] 0 +9 *622:7 *2472:la_oenb_mprj[114] 0 +10 *622:8 *1254:10 0.0341595 +11 *622:8 *1255:10 0.0360433 +12 *622:8 *1258:10 0.00175528 +13 *622:8 *1260:10 0.00102524 +14 *622:8 *1261:10 0.00525072 +15 *622:8 *1558:26 0.000633819 +16 *622:11 *1134:7 0 +17 *622:11 *1262:9 0 +*RES +1 *2472:la_data_in_mprj[115] *622:7 8.94564 +2 *622:7 *622:8 503.749 +3 *622:8 *622:10 4.5 +4 *622:10 *622:11 83.0138 +5 *622:11 *2478:la_input[115] 2.05183 +*END + +*D_NET *623 0.112084 +*CONN +*I *2478:la_input[116] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[116] O *D mgmt_protect +*CAP +1 *2478:la_input[116] 8.30061e-05 +2 *2472:la_data_in_mprj[116] 0.000219083 +3 *623:11 0.00414851 +4 *623:10 0.00406551 +5 *623:8 0.00454974 +6 *623:7 0.00476882 +7 *623:7 *2472:la_data_out_mprj[116] 0.000126215 +8 *623:7 *1262:13 0.000478162 +9 *623:8 *624:8 0.0475339 +10 *623:8 *625:8 0.000189342 +11 *623:8 *626:8 0.000269776 +12 *623:8 *1266:10 0.0453169 +13 *623:11 *1135:7 0 +14 *471:27 *623:8 0.000334676 +*RES +1 *2472:la_data_in_mprj[116] *623:7 13.0982 +2 *623:7 *623:8 515.396 +3 *623:8 *623:10 4.5 +4 *623:10 *623:11 78.8613 +5 *623:11 *2478:la_input[116] 2.05183 +*END + +*D_NET *624 0.115457 +*CONN +*I *2478:la_input[117] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[117] O *D mgmt_protect +*CAP +1 *2478:la_input[117] 8.30061e-05 +2 *2472:la_data_in_mprj[117] 0.000372171 +3 *624:11 0.00414089 +4 *624:10 0.00405789 +5 *624:8 0.00425799 +6 *624:7 0.00463016 +7 *624:7 *2472:la_data_out_mprj[117] 0 +8 *624:7 *2472:la_oenb_mprj[116] 0 +9 *624:8 *1266:10 0.000885943 +10 *624:11 *1136:9 0 +11 *624:11 *1264:9 0 +12 *624:11 *1604:29 0 +13 *471:27 *624:8 0.0494946 +14 *623:8 *624:8 0.0475339 +*RES +1 *2472:la_data_in_mprj[117] *624:7 12.6829 +2 *624:7 *624:8 527.597 +3 *624:8 *624:10 4.5 +4 *624:10 *624:11 79.2765 +5 *624:11 *2478:la_input[117] 2.05183 +*END + +*D_NET *625 0.116756 +*CONN +*I *2478:la_input[118] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[118] O *D mgmt_protect +*CAP +1 *2478:la_input[118] 8.30061e-05 +2 *2472:la_data_in_mprj[118] 0.000383329 +3 *625:11 0.0040548 +4 *625:10 0.0039718 +5 *625:8 0.004599 +6 *625:7 0.00498233 +7 *625:7 *2472:la_data_out_mprj[118] 0.000143764 +8 *625:7 *2472:la_oenb_mprj[117] 0 +9 *625:8 *626:8 0.0498095 +10 *625:8 *628:8 0.000334676 +11 *625:8 *629:8 0.0482041 +12 *625:11 *1137:7 0 +13 *625:11 *1265:9 0 +14 *623:8 *625:8 0.000189342 +*RES +1 *2472:la_data_in_mprj[118] *625:7 14.3439 +2 *625:7 *625:8 538.689 +3 *625:8 *625:10 4.5 +4 *625:10 *625:11 77.6155 +5 *625:11 *2478:la_input[118] 2.05183 +*END + +*D_NET *626 0.11974 +*CONN +*I *2478:la_input[119] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[119] O *D mgmt_protect +*CAP +1 *2478:la_input[119] 8.30061e-05 +2 *2472:la_data_in_mprj[119] 0.000429639 +3 *626:11 0.00403372 +4 *626:10 0.00395072 +5 *626:8 0.00434893 +6 *626:7 0.00477857 +7 *626:7 *2472:la_data_out_mprj[119] 0 +8 *626:7 *2472:la_oenb_mprj[118] 0 +9 *626:8 *629:8 0.000885943 +10 *626:8 *1266:10 0.0511501 +11 *626:11 *1138:7 0 +12 *626:11 *1266:7 0 +13 *626:11 *1608:29 0 +14 *626:11 *1610:29 0 +15 *623:8 *626:8 0.000269776 +16 *625:8 *626:8 0.0498095 +*RES +1 *2472:la_data_in_mprj[119] *626:7 13.9287 +2 *626:7 *626:8 550.89 +3 *626:8 *626:10 4.5 +4 *626:10 *626:11 78.0308 +5 *626:11 *2478:la_input[119] 2.05183 +*END + +*D_NET *627 0.112335 +*CONN +*I *2478:la_input[11] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[11] O *D mgmt_protect +*CAP +1 *2478:la_input[11] 0.00116602 +2 *2472:la_data_in_mprj[11] 0.00175449 +3 *627:14 0.0133439 +4 *627:13 0.0129521 +5 *627:10 0.00469079 +6 *627:7 0.00567106 +7 *2478:la_input[11] *1139:9 0 +8 *2478:la_input[11] *1267:9 0 +9 *627:7 *2472:la_data_out_mprj[11] 0.00214562 +10 *627:7 *2472:la_oenb_mprj[10] 0 +11 *627:7 *2478:la_input[46] 0 +12 *627:7 *637:11 0 +13 *627:10 *636:16 0.000222357 +14 *627:10 *645:8 0.0312542 +15 *627:10 *662:8 0.00148438 +16 *627:10 *664:8 0.0011814 +17 *627:10 *1151:10 0.00107588 +18 *627:13 *1170:9 5.48015e-06 +19 *627:14 *909:10 0 +20 *627:14 *911:10 0.0102571 +21 *627:14 *913:10 0 +22 *627:14 *1153:10 0.00284556 +23 *616:13 *627:10 0.022285 +*RES +1 *2472:la_data_in_mprj[11] *627:7 49.132 +2 *627:7 *627:10 43.1936 +3 *627:10 *627:13 18.1667 +4 *627:13 *627:14 419.449 +5 *627:14 *2478:la_input[11] 34.7523 +*END + +*D_NET *628 0.122419 +*CONN +*I *2478:la_input[120] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[120] O *D mgmt_protect +*CAP +1 *2478:la_input[120] 8.30061e-05 +2 *2472:la_data_in_mprj[120] 0.000430162 +3 *628:11 0.00395794 +4 *628:10 0.00387493 +5 *628:8 0.00444123 +6 *628:7 0.00487139 +7 *628:7 *2472:la_data_out_mprj[120] 0.000147773 +8 *628:7 *2472:la_iena_mprj[119] 0 +9 *628:7 *2472:la_oenb_mprj[119] 0 +10 *628:8 *629:8 0.0520658 +11 *628:8 *1140:10 0.052002 +12 *628:8 *1142:10 0.00020979 +13 *628:11 *1140:7 0 +14 *628:11 *1268:7 0 +15 *625:8 *628:8 0.000334676 +*RES +1 *2472:la_data_in_mprj[120] *628:7 15.1744 +2 *628:7 *628:8 561.982 +3 *628:8 *628:10 4.5 +4 *628:10 *628:11 76.785 +5 *628:11 *2478:la_input[120] 2.05183 +*END + +*D_NET *629 0.120907 +*CONN +*I *2478:la_input[121] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[121] O *D mgmt_protect +*CAP +1 *2478:la_input[121] 8.30061e-05 +2 *2472:la_data_in_mprj[121] 0.000479352 +3 *629:11 0.00395073 +4 *629:10 0.00386772 +5 *629:8 0.0051269 +6 *629:7 0.00560625 +7 *629:7 *2472:la_data_out_mprj[121] 0 +8 *629:7 *2472:la_oenb_mprj[120] 0 +9 *629:8 *1142:10 0.00051659 +10 *629:8 *1266:10 0.000120974 +11 *629:11 *1141:9 0 +12 *629:11 *1269:7 0 +13 *629:11 *1649:13 0 +14 *471:27 *629:8 0 +15 *625:8 *629:8 0.0482041 +16 *626:8 *629:8 0.000885943 +17 *628:8 *629:8 0.0520658 +*RES +1 *2472:la_data_in_mprj[121] *629:7 14.7592 +2 *629:7 *629:8 574.184 +3 *629:8 *629:10 4.5 +4 *629:10 *629:11 77.2003 +5 *629:11 *2478:la_input[121] 2.05183 +*END + +*D_NET *630 0.12546 +*CONN +*I *2478:la_input[122] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[122] O *D mgmt_protect +*CAP +1 *2478:la_input[122] 1.28869e-05 +2 *2472:la_data_in_mprj[122] 0.000489902 +3 *630:11 0.00378985 +4 *630:10 0.00377697 +5 *630:8 0.00493273 +6 *630:7 0.00542263 +7 *630:7 *2472:la_data_out_mprj[122] 0.000160708 +8 *630:7 *2472:la_oenb_mprj[121] 0 +9 *630:8 *632:8 0.000189342 +10 *630:8 *633:8 0.000512773 +11 *630:8 *1140:10 0.000156579 +12 *630:8 *1142:10 0.0542625 +13 *630:8 *1146:10 0.0517527 +14 *630:11 *1270:9 0 +15 *630:11 *1651:13 0 +16 *471:27 *630:8 0 +17 *609:8 *630:8 0 +*RES +1 *2472:la_data_in_mprj[122] *630:7 16.4202 +2 *630:7 *630:8 587.494 +3 *630:8 *630:10 4.5 +4 *630:10 *630:11 75.5393 +5 *630:11 *2478:la_input[122] 0.366399 +*END + +*D_NET *631 0.121621 +*CONN +*I *2478:la_input[123] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[123] O *D mgmt_protect +*CAP +1 *2478:la_input[123] 0.000780946 +2 *2472:la_data_in_mprj[123] 0.0032059 +3 *631:8 0.00689132 +4 *631:7 0.00611037 +5 *631:5 0.0032059 +6 *2478:la_input[123] *1143:9 0 +7 *2478:la_input[123] *1271:7 0 +8 *631:5 *2472:la_data_out_mprj[123] 0 +9 *631:5 *2472:la_oenb_mprj[122] 0 +10 *631:5 *981:9 0.000347135 +11 *631:5 *1121:15 0 +12 *631:5 *1238:9 0 +13 *631:8 *1264:10 0.0454268 +14 *631:8 *1272:10 0.055023 +15 *631:8 *1552:24 0.00062937 +16 *631:8 *1656:8 0 +*RES +1 *2472:la_data_in_mprj[123] *631:5 70.4707 +2 *631:5 *631:7 4.5 +3 *631:7 *631:8 597.477 +4 *631:8 *2478:la_input[123] 23.5405 +*END + +*D_NET *632 0.131467 +*CONN +*I *2478:la_input[124] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[124] O *D mgmt_protect +*CAP +1 *2478:la_input[124] 8.30061e-05 +2 *2472:la_data_in_mprj[124] 0.000539931 +3 *632:11 0.00360762 +4 *632:10 0.00352461 +5 *632:8 0.00475416 +6 *632:7 0.00529409 +7 *632:7 *2472:la_data_out_mprj[124] 0.000178257 +8 *632:7 *2472:la_oenb_mprj[123] 0 +9 *632:8 *633:8 0.0566572 +10 *632:8 *1145:10 0.0562411 +11 *632:11 *1144:7 0 +12 *632:11 *1272:9 0 +13 *632:11 *1655:17 0.000397377 +14 *609:8 *632:8 0 +15 *630:8 *632:8 0.000189342 +*RES +1 *2472:la_data_in_mprj[124] *632:7 17.6659 +2 *632:7 *632:8 609.124 +3 *632:8 *632:10 4.5 +4 *632:10 *632:11 74.2935 +5 *632:11 *2478:la_input[124] 2.05183 +*END + +*D_NET *633 0.13341 +*CONN +*I *2478:la_input[125] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[125] O *D mgmt_protect +*CAP +1 *2478:la_input[125] 8.30061e-05 +2 *2472:la_data_in_mprj[125] 0.000601129 +3 *633:11 0.00360101 +4 *633:10 0.003518 +5 *633:8 0.00464904 +6 *633:7 0.00525017 +7 *633:7 *2472:la_data_out_mprj[125] 0 +8 *633:7 *2472:la_oenb_mprj[124] 0 +9 *633:8 *635:8 0.000120974 +10 *633:8 *1145:10 0.000644459 +11 *633:8 *1146:10 0.0573798 +12 *633:11 *1145:7 0 +13 *633:11 *1273:7 0 +14 *633:11 *1657:13 0.000392227 +15 *630:8 *633:8 0.000512773 +16 *632:8 *633:8 0.0566572 +*RES +1 *2472:la_data_in_mprj[125] *633:7 17.2507 +2 *633:7 *633:8 620.77 +3 *633:8 *633:10 4.5 +4 *633:10 *633:11 74.7088 +5 *633:11 *2478:la_input[125] 2.05183 +*END + +*D_NET *634 0.131066 +*CONN +*I *2478:la_input[126] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[126] O *D mgmt_protect +*CAP +1 *2478:la_input[126] 0.00102823 +2 *2472:la_data_in_mprj[126] 0.00251748 +3 *634:8 0.00652349 +4 *634:7 0.00549526 +5 *634:5 0.00251748 +6 *2478:la_input[126] *1146:7 0 +7 *2478:la_input[126] *1274:7 0 +8 *634:5 *2472:la_data_out_mprj[126] 0.000368364 +9 *634:5 *2472:la_oenb_mprj[125] 0 +10 *634:5 *2478:la_input[95] 0 +11 *634:5 *864:15 0.00133537 +12 *634:5 *1239:9 0.000148202 +13 *634:8 *1270:10 0.0526084 +14 *634:8 *1367:10 0 +15 *634:8 *1369:10 0 +16 *634:8 *1371:12 0.000102215 +17 *634:8 *1592:24 0.0565657 +18 *634:8 *1722:10 0.00185525 +*RES +1 *2472:la_data_in_mprj[126] *634:5 63.8267 +2 *634:5 *634:7 4.5 +3 *634:7 *634:8 632.417 +4 *634:8 *2478:la_input[126] 30.1846 +*END + +*D_NET *635 0.140455 +*CONN +*I *2478:la_input[127] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[127] O *D mgmt_protect +*CAP +1 *2478:la_input[127] 3.626e-05 +2 *2472:la_data_in_mprj[127] 0.000672278 +3 *635:11 0.00319233 +4 *635:10 0.00315607 +5 *635:8 0.00530789 +6 *635:7 0.00598017 +7 *635:7 *2472:la_data_out_mprj[127] 0 +8 *635:7 *2472:la_oenb_mprj[126] 0 +9 *635:8 *1145:10 0.0564793 +10 *635:8 *1146:10 0.000276534 +11 *635:8 *1579:8 0.060097 +12 *635:8 *1741:24 0.00352548 +13 *635:11 *1275:7 0 +14 *635:11 *2191:15 0.00155927 +15 *609:8 *635:8 5.13878e-05 +16 *633:8 *635:8 0.000120974 +*RES +1 *2472:la_data_in_mprj[127] *635:7 18.4964 +2 *635:7 *635:8 645.173 +3 *635:8 *635:10 4.5 +4 *635:10 *635:11 73.463 +5 *635:11 *2478:la_input[127] 0.928211 +*END + +*D_NET *636 0.0799745 +*CONN +*I *2478:la_input[12] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[12] O *D mgmt_protect +*CAP +1 *2478:la_input[12] 0.0016077 +2 *2472:la_data_in_mprj[12] 0.00204202 +3 *636:22 0.010679 +4 *636:21 0.00918202 +5 *636:16 0.00506438 +6 *636:14 0.00503277 +7 *636:12 0.00303454 +8 *636:10 0.00297609 +9 *636:8 0.00137057 +10 *636:7 0.00134994 +11 *636:5 0.00204202 +12 *2478:la_input[12] *1148:7 0 +13 *636:5 *2472:la_data_out_mprj[12] 0.000388577 +14 *636:5 *2472:la_oenb_mprj[11] 0 +15 *636:5 *929:7 0.000462439 +16 *636:5 *1184:15 0.000158189 +17 *636:8 *668:14 0.00387062 +18 *636:8 *909:16 0.00061052 +19 *636:8 *915:16 0.000110701 +20 *636:8 *1151:10 0.000738553 +21 *636:8 *1296:10 0.0114002 +22 *636:12 *661:8 0 +23 *636:12 *662:8 0 +24 *636:12 *663:8 0 +25 *636:12 *665:8 0 +26 *636:12 *667:8 2.41916e-05 +27 *636:12 *909:16 0.000236373 +28 *636:12 *1151:10 0.000706329 +29 *636:12 *1296:10 0.00443635 +30 *636:12 *1305:13 0.00211272 +31 *636:16 *645:8 0.00014667 +32 *636:16 *654:8 0 +33 *636:16 *657:8 0 +34 *636:16 *659:8 0 +35 *636:16 *1165:10 0 +36 *636:16 *1168:10 0 +37 *636:16 *1296:10 0 +38 *636:21 *908:7 6.68802e-05 +39 *636:22 *1277:10 0.00209983 +40 *616:14 *636:22 0.00780192 +41 *627:10 *636:16 0.000222357 +*RES +1 *2472:la_data_in_mprj[12] *636:5 49.2929 +2 *636:5 *636:7 4.5 +3 *636:7 *636:8 121.072 +4 *636:8 *636:10 0.578717 +5 *636:10 *636:12 126.896 +6 *636:12 *636:14 2.21841 +7 *636:14 *636:16 132.996 +8 *636:16 *636:21 12.493 +9 *636:21 *636:22 316.847 +10 *636:22 *2478:la_input[12] 42.7643 +*END + +*D_NET *637 0.149969 +*CONN +*I *2478:la_input[13] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[13] O *D mgmt_protect +*CAP +1 *2478:la_input[13] 8.29983e-05 +2 *2472:la_data_in_mprj[13] 0.00341276 +3 *637:21 0.0021528 +4 *637:20 0.0020698 +5 *637:18 0.00394142 +6 *637:16 0.00396445 +7 *637:14 0.000823991 +8 *637:13 0.000800957 +9 *637:11 0.00341276 +10 *637:11 *2472:la_data_out_mprj[10] 0.000364941 +11 *637:11 *2472:la_data_out_mprj[13] 0 +12 *637:11 *2472:la_iena_mprj[10] 2.27175e-05 +13 *637:11 *2472:la_oenb_mprj[12] 0 +14 *637:11 *1256:10 0.000386033 +15 *637:14 *640:8 0.0079971 +16 *637:14 *710:8 0.000118134 +17 *637:14 *892:10 0.00107631 +18 *637:14 *892:14 0.00551661 +19 *637:18 *638:20 0.0528396 +20 *637:18 *640:8 1.41853e-05 +21 *637:18 *688:8 0.00613545 +22 *637:18 *892:10 0.0548361 +23 *637:21 *1149:9 0 +24 *637:21 *1277:9 0 +25 *627:7 *637:11 0 +*RES +1 *2472:la_data_in_mprj[13] *637:11 48.5909 +2 *637:11 *637:13 4.5 +3 *637:13 *637:14 85.5777 +4 *637:14 *637:16 0.578717 +5 *637:16 *637:18 576.402 +6 *637:18 *637:20 4.5 +7 *637:20 *637:21 48.5479 +8 *637:21 *2478:la_input[13] 2.05183 +*END + +*D_NET *638 0.150708 +*CONN +*I *2478:la_input[14] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[14] O *D mgmt_protect +*CAP +1 *2478:la_input[14] 8.29983e-05 +2 *2472:la_data_in_mprj[14] 0.00181575 +3 *638:23 0.00218612 +4 *638:22 0.00210312 +5 *638:20 0.00397398 +6 *638:19 0.00406581 +7 *638:16 0.00069475 +8 *638:8 0.00130612 +9 *638:7 0.000703201 +10 *638:5 0.00181575 +11 *638:5 *2472:la_data_out_mprj[14] 0.000401477 +12 *638:5 *2472:la_oenb_mprj[13] 0 +13 *638:5 *1314:7 0.00109711 +14 *638:8 *665:8 0.00839686 +15 *638:8 *667:8 0.00840527 +16 *638:16 *2478:la_input[43] 0 +17 *638:16 *883:10 0.00265596 +18 *638:16 *892:10 0.00254977 +19 *638:16 *892:14 3.58044e-05 +20 *638:16 *1182:7 0.000324719 +21 *638:19 *2472:la_data_out_mprj[0] 1.54479e-05 +22 *638:19 *872:15 7.09666e-06 +23 *638:19 *1308:15 8.72256e-06 +24 *638:20 *640:8 0.0490014 +25 *638:20 *688:8 0.00551756 +26 *638:20 *895:10 0.00041958 +27 *638:23 *1150:7 0 +28 *638:23 *1278:7 0 +29 *616:13 *638:8 0.000283528 +30 *637:18 *638:20 0.0528396 +*RES +1 *2472:la_data_in_mprj[14] *638:5 46.8014 +2 *638:5 *638:7 4.5 +3 *638:7 *638:8 89.46 +4 *638:8 *638:16 49.1838 +5 *638:16 *638:19 5.91674 +6 *638:19 *638:20 555.327 +7 *638:20 *638:22 4.5 +8 *638:22 *638:23 48.9631 +9 *638:23 *2478:la_input[14] 2.05183 +*END + +*D_NET *639 0.167253 +*CONN +*I *2478:la_input[15] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[15] O *D mgmt_protect +*CAP +1 *2478:la_input[15] 8.29983e-05 +2 *2472:la_data_in_mprj[15] 0.001577 +3 *639:11 0.00240232 +4 *639:10 0.00231933 +5 *639:8 0.00681015 +6 *639:7 0.00838716 +7 *639:7 *2472:la_data_out_mprj[15] 0 +8 *639:7 *2472:la_oenb_mprj[14] 0 +9 *639:8 *651:8 0.00350483 +10 *639:8 *653:8 0.00322218 +11 *639:8 *893:10 0.0701477 +12 *639:8 *894:10 0.000351128 +13 *639:8 *896:10 0.0684485 +14 *639:8 *897:10 0 +15 *639:8 *1150:10 0 +16 *639:8 *1152:10 0 +17 *639:11 *1151:9 0 +18 *639:11 *1279:9 0 +*RES +1 *2472:la_data_in_mprj[15] *639:7 37.505 +2 *639:7 *639:8 91.2262 +3 *639:8 *639:10 3.36879 +4 *639:10 *639:11 53.3233 +5 *639:11 *2478:la_input[15] 2.05183 +*END + +*D_NET *640 0.142802 +*CONN +*I *2478:la_input[16] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[16] O *D mgmt_protect +*CAP +1 *2478:la_input[16] 8.29983e-05 +2 *2472:la_data_in_mprj[16] 0.00154447 +3 *640:11 0.00223388 +4 *640:10 0.00215088 +5 *640:8 0.00463341 +6 *640:7 0.00617788 +7 *640:7 *2472:la_data_out_mprj[16] 0.000505105 +8 *640:7 *2472:la_oenb_mprj[15] 0 +9 *640:8 *641:8 0.000269759 +10 *640:8 *673:8 0.000204688 +11 *640:8 *674:8 0.000471507 +12 *640:8 *675:8 2.65831e-05 +13 *640:8 *710:8 0.00582041 +14 *640:8 *892:14 0.000665158 +15 *640:8 *895:10 0.0610027 +16 *640:11 *1152:7 0 +17 *640:11 *1280:7 0 +18 *637:14 *640:8 0.0079971 +19 *637:18 *640:8 1.41853e-05 +20 *638:20 *640:8 0.0490014 +*RES +1 *2472:la_data_in_mprj[16] *640:7 42.5811 +2 *640:7 *640:8 649.61 +3 *640:8 *640:10 4.5 +4 *640:10 *640:11 49.3784 +5 *640:11 *2478:la_input[16] 2.05183 +*END + +*D_NET *641 0.140928 +*CONN +*I *2478:la_input[17] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[17] O *D mgmt_protect +*CAP +1 *2478:la_input[17] 8.29983e-05 +2 *2472:la_data_in_mprj[17] 0.00158961 +3 *641:11 0.00230029 +4 *641:10 0.00221729 +5 *641:8 0.00463736 +6 *641:7 0.00622697 +7 *641:7 *2472:la_data_out_mprj[17] 0 +8 *641:7 *2472:la_iena_mprj[16] 0 +9 *641:7 *2472:la_oenb_mprj[16] 0 +10 *641:7 *925:15 8.62625e-06 +11 *641:7 *931:7 0.000292263 +12 *641:8 *642:8 0.0585555 +13 *641:8 *675:8 0.000151406 +14 *641:8 *721:8 0.00548963 +15 *641:8 *895:10 0.059106 +16 *641:11 *1153:9 0 +17 *641:11 *1281:9 0 +18 *640:8 *641:8 0.000269759 +*RES +1 *2472:la_data_in_mprj[17] *641:7 41.7506 +2 *641:7 *641:8 637.963 +3 *641:8 *641:10 4.5 +4 *641:10 *641:11 50.2089 +5 *641:11 *2478:la_input[17] 2.05183 +*END + +*D_NET *642 0.136378 +*CONN +*I *2478:la_input[18] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[18] O *D mgmt_protect +*CAP +1 *2478:la_input[18] 8.29983e-05 +2 *2472:la_data_in_mprj[18] 0.00145635 +3 *642:11 0.00223829 +4 *642:10 0.00215529 +5 *642:8 0.00475286 +6 *642:7 0.00620921 +7 *642:7 *2472:la_data_out_mprj[18] 0.000543865 +8 *642:7 *1188:7 0 +9 *642:7 *1281:13 0 +10 *642:8 *646:8 0.0536037 +11 *642:8 *675:8 1.75155e-06 +12 *642:8 *721:8 0.00439521 +13 *642:8 *899:10 0.00128892 +14 *642:8 *925:16 0.000776327 +15 *642:11 *677:13 0.000317956 +16 *642:11 *1282:7 0 +17 *641:8 *642:8 0.0585555 +*RES +1 *2472:la_data_in_mprj[18] *642:7 41.3353 +2 *642:7 *642:8 626.316 +3 *642:8 *642:10 4.5 +4 *642:10 *642:11 50.6241 +5 *642:11 *2478:la_input[18] 2.05183 +*END + +*D_NET *643 0.156177 +*CONN +*I *2478:la_input[19] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[19] O *D mgmt_protect +*CAP +1 *2478:la_input[19] 0.00193382 +2 *2472:la_data_in_mprj[19] 0.00174399 +3 *643:8 0.00798834 +4 *643:7 0.0077985 +5 *2478:la_input[19] *1155:9 0 +6 *2478:la_input[19] *1283:9 0 +7 *643:7 *2472:la_data_out_mprj[19] 0 +8 *643:7 *2472:la_iena_mprj[19] 0 +9 *643:7 *2472:la_oenb_mprj[18] 0 +10 *643:7 *1316:7 0.00115441 +11 *643:8 *645:8 0.064374 +12 *643:8 *657:8 0.00284564 +13 *643:8 *660:8 0.00248882 +14 *643:8 *898:10 0.0658491 +15 *643:8 *1151:10 0 +*RES +1 *2472:la_data_in_mprj[19] *643:7 47.471 +2 *643:7 *643:8 84.7245 +3 *643:8 *2478:la_input[19] 48.7778 +*END + +*D_NET *644 0.196057 +*CONN +*I *2478:la_input[1] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[1] O *D mgmt_protect +*CAP +1 *2478:la_input[1] 8.29983e-05 +2 *2472:la_data_in_mprj[1] 0.00208332 +3 *644:11 0.0014906 +4 *644:8 0.0155009 +5 *644:7 0.0161767 +6 *644:7 *2472:la_data_out_mprj[1] 0 +7 *644:7 *2472:la_oenb_mprj[0] 0 +8 *644:7 *966:15 0.00037536 +9 *644:8 *655:8 0.0068933 +10 *644:8 *861:10 0.0108374 +11 *644:8 *897:10 0.053281 +12 *644:8 *900:10 0.0884201 +13 *644:8 *1151:10 0 +14 *644:11 *1156:7 0 +15 *644:11 *1284:7 0 +16 *644:11 *1562:16 0.000915109 +*RES +1 *2472:la_data_in_mprj[1] *644:7 44.9795 +2 *644:7 *644:8 113.791 +3 *644:8 *644:11 49.2175 +4 *644:11 *2478:la_input[1] 2.05183 +*END + +*D_NET *645 0.135612 +*CONN +*I *2478:la_input[20] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[20] O *D mgmt_protect +*CAP +1 *2478:la_input[20] 0.00192266 +2 *2472:la_data_in_mprj[20] 0.00151935 +3 *645:8 0.0146984 +4 *645:7 0.0142951 +5 *2478:la_input[20] *1157:9 0 +6 *2478:la_input[20] *1285:9 0 +7 *645:7 *2472:la_data_out_mprj[20] 0.000643436 +8 *645:7 *2472:la_oenb_mprj[19] 0 +9 *645:7 *1190:7 0.00115462 +10 *645:8 *659:8 0.00239925 +11 *645:8 *661:8 0.00227617 +12 *645:8 *662:8 0.000291404 +13 *645:8 *663:8 0.000241979 +14 *645:8 *664:8 0.000267904 +15 *645:8 *665:8 0.000126544 +16 *645:8 *1151:10 0 +17 *627:10 *645:8 0.0312542 +18 *636:16 *645:8 0.00014667 +19 *643:8 *645:8 0.064374 +*RES +1 *2472:la_data_in_mprj[20] *645:7 48.3015 +2 *645:7 *645:8 83.1947 +3 *645:8 *2478:la_input[20] 47.9473 +*END + +*D_NET *646 0.132325 +*CONN +*I *2478:la_input[21] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[21] O *D mgmt_protect +*CAP +1 *2478:la_input[21] 5.96252e-05 +2 *2472:la_data_in_mprj[21] 0.00117934 +3 *646:11 0.00241573 +4 *646:10 0.0023561 +5 *646:8 0.00421521 +6 *646:7 0.00539455 +7 *646:7 *2472:la_oenb_mprj[20] 0 +8 *646:7 *902:13 0.00200392 +9 *646:8 *647:8 0.000594244 +10 *646:8 *732:8 0.00478076 +11 *646:8 *894:10 0.000101365 +12 *646:8 *899:10 0.0545847 +13 *646:8 *925:16 0.00103534 +14 *646:11 *1158:7 0 +15 *646:11 *1286:7 0 +16 *642:8 *646:8 0.0536037 +*RES +1 *2472:la_data_in_mprj[21] *646:7 40.9201 +2 *646:7 *646:8 591.376 +3 *646:8 *646:10 4.5 +4 *646:10 *646:11 51.0394 +5 *646:11 *2478:la_input[21] 1.49002 +*END + +*D_NET *647 0.128127 +*CONN +*I *2478:la_input[22] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[22] O *D mgmt_protect +*CAP +1 *2478:la_input[22] 8.29983e-05 +2 *2472:la_data_in_mprj[22] 0.00130596 +3 *647:11 0.00251151 +4 *647:10 0.00242851 +5 *647:8 0.00448385 +6 *647:7 0.00578981 +7 *647:7 *2472:la_data_out_mprj[22] 0.000534661 +8 *647:7 *2472:la_iena_mprj[21] 0 +9 *647:7 *2472:la_oenb_mprj[21] 0 +10 *647:7 *934:7 0.000261499 +11 *647:8 *648:8 0.0528825 +12 *647:8 *894:10 0.00447358 +13 *647:8 *899:10 0.0526284 +14 *647:8 *925:16 0.00014932 +15 *647:11 *1159:9 0 +16 *647:11 *1287:9 0 +17 *646:8 *647:8 0.000594244 +*RES +1 *2472:la_data_in_mprj[22] *647:7 40.0896 +2 *647:7 *647:8 579.73 +3 *647:8 *647:10 4.5 +4 *647:10 *647:11 51.8699 +5 *647:11 *2478:la_input[22] 2.05183 +*END + +*D_NET *648 0.125734 +*CONN +*I *2478:la_input[23] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[23] O *D mgmt_protect +*CAP +1 *2478:la_input[23] 8.29983e-05 +2 *2472:la_data_in_mprj[23] 0.00159928 +3 *648:11 0.00255083 +4 *648:10 0.00246783 +5 *648:8 0.0044121 +6 *648:7 0.00601138 +7 *648:7 *2472:la_data_out_mprj[23] 0 +8 *648:7 *2472:la_oenb_mprj[22] 0 +9 *648:8 *649:8 0.0517544 +10 *648:8 *894:10 0.00392454 +11 *648:8 *925:16 4.77564e-05 +12 *648:11 *1160:7 0 +13 *648:11 *1288:7 0 +14 *647:8 *648:8 0.0528825 +*RES +1 *2472:la_data_in_mprj[23] *648:7 39.6743 +2 *648:7 *648:8 567.528 +3 *648:8 *648:10 4.5 +4 *648:10 *648:11 52.2851 +5 *648:11 *2478:la_input[23] 2.05183 +*END + +*D_NET *649 0.124577 +*CONN +*I *2478:la_input[24] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[24] O *D mgmt_protect +*CAP +1 *2478:la_input[24] 8.29983e-05 +2 *2472:la_data_in_mprj[24] 0.00111214 +3 *649:11 0.00259598 +4 *649:10 0.00251298 +5 *649:8 0.00439564 +6 *649:7 0.00550778 +7 *649:7 *2472:la_iena_mprj[23] 0 +8 *649:7 *2472:la_oenb_mprj[23] 0 +9 *649:7 *905:13 0.00192257 +10 *649:7 *1319:7 0 +11 *649:8 *650:8 0.0506262 +12 *649:8 *893:10 0.00405615 +13 *649:8 *925:16 0 +14 *649:8 *925:20 1.01703e-05 +15 *649:11 *1161:9 0 +16 *649:11 *1289:9 0 +17 *648:8 *649:8 0.0517544 +*RES +1 *2472:la_data_in_mprj[24] *649:7 39.2591 +2 *649:7 *649:8 556.436 +3 *649:8 *649:10 4.5 +4 *649:10 *649:11 52.7004 +5 *649:11 *2478:la_input[24] 2.05183 +*END + +*D_NET *650 0.120722 +*CONN +*I *2478:la_input[25] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[25] O *D mgmt_protect +*CAP +1 *2478:la_input[25] 8.29983e-05 +2 *2472:la_data_in_mprj[25] 0.00149631 +3 *650:11 0.0026353 +4 *650:10 0.0025523 +5 *650:8 0.00435689 +6 *650:7 0.0058532 +7 *650:7 *2472:la_data_out_mprj[25] 0 +8 *650:7 *2472:la_oenb_mprj[24] 0 +9 *650:7 *1192:7 0.000146694 +10 *650:8 *651:8 0.0494385 +11 *650:8 *893:10 0.00353353 +12 *650:8 *925:20 0 +13 *650:11 *1162:7 0 +14 *650:11 *1290:7 0 +15 *649:8 *650:8 0.0506262 +*RES +1 *2472:la_data_in_mprj[25] *650:7 38.8438 +2 *650:7 *650:8 544.235 +3 *650:8 *650:10 4.5 +4 *650:10 *650:11 53.1156 +5 *650:11 *2478:la_input[25] 2.05183 +*END + +*D_NET *651 0.11777 +*CONN +*I *2478:la_input[26] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[26] O *D mgmt_protect +*CAP +1 *2478:la_input[26] 8.29983e-05 +2 *2472:la_data_in_mprj[26] 0.00114261 +3 *651:11 0.00268045 +4 *651:10 0.00259745 +5 *651:8 0.00435149 +6 *651:7 0.0054941 +7 *651:7 *2472:la_data_out_mprj[26] 0.000523125 +8 *651:7 *2472:la_oenb_mprj[25] 0 +9 *651:7 *1320:7 0.000665846 +10 *651:8 *652:8 0.000870853 +11 *651:8 *653:8 0.0464178 +12 *651:8 *925:20 0 +13 *651:11 *1163:9 0 +14 *651:11 *1291:9 0 +15 *639:8 *651:8 0.00350483 +16 *650:8 *651:8 0.0494385 +*RES +1 *2472:la_data_in_mprj[26] *651:7 38.4285 +2 *651:7 *651:8 532.588 +3 *651:8 *651:10 4.5 +4 *651:10 *651:11 53.5309 +5 *651:11 *2478:la_input[26] 2.05183 +*END + +*D_NET *652 0.0856327 +*CONN +*I *2478:la_input[27] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[27] O *D mgmt_protect +*CAP +1 *2478:la_input[27] 8.29983e-05 +2 *2472:la_data_in_mprj[27] 0.00149 +3 *652:11 0.00273896 +4 *652:10 0.00265596 +5 *652:8 0.0101659 +6 *652:7 0.0116559 +7 *652:7 *2472:la_data_out_mprj[27] 0 +8 *652:7 *2472:la_iena_mprj[27] 0 +9 *652:7 *2472:la_oenb_mprj[26] 0 +10 *652:7 *681:11 0 +11 *652:8 *653:8 0.0471929 +12 *652:8 *896:10 0.000800634 +13 *652:8 *921:10 0 +14 *652:8 *924:16 0 +15 *652:8 *966:16 0.000746177 +16 *652:8 *1176:10 0 +17 *652:8 *1267:18 0.00185279 +18 *652:8 *1308:16 2.31462e-05 +19 *652:11 *1164:7 0 +20 *652:11 *1292:7 0 +21 *605:8 *652:8 0.00535648 +22 *651:8 *652:8 0.000870853 +*RES +1 *2472:la_data_in_mprj[27] *652:7 37.598 +2 *652:7 *652:8 520.942 +3 *652:8 *652:10 4.5 +4 *652:10 *652:11 54.3614 +5 *652:11 *2478:la_input[27] 2.05183 +*END + +*D_NET *653 0.113657 +*CONN +*I *2478:la_input[28] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[28] O *D mgmt_protect +*CAP +1 *2478:la_input[28] 8.29983e-05 +2 *2472:la_data_in_mprj[28] 0.00127008 +3 *653:11 0.00272963 +4 *653:10 0.00264663 +5 *653:8 0.00414485 +6 *653:7 0.00541493 +7 *653:7 *2472:la_data_out_mprj[28] 0.000534618 +8 *653:7 *2472:la_oenb_mprj[27] 0 +9 *653:7 *681:11 0 +10 *653:8 *923:16 0 +11 *653:8 *924:16 0 +12 *653:8 *925:20 0 +13 *653:11 *1165:9 0 +14 *653:11 *1293:9 0 +15 *639:8 *653:8 0.00322218 +16 *651:8 *653:8 0.0464178 +17 *652:8 *653:8 0.0471929 +*RES +1 *2472:la_data_in_mprj[28] *653:7 38.0133 +2 *653:7 *653:8 509.295 +3 *653:8 *653:10 4.5 +4 *653:10 *653:11 53.9461 +5 *653:11 *2478:la_input[28] 2.05183 +*END + +*D_NET *654 0.108365 +*CONN +*I *2478:la_input[29] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[29] O *D mgmt_protect +*CAP +1 *2478:la_input[29] 8.29983e-05 +2 *2472:la_data_in_mprj[29] 0.00178947 +3 *654:11 0.0021596 +4 *654:8 0.00639287 +5 *654:7 0.00610574 +6 *654:7 *2472:la_data_out_mprj[29] 0 +7 *654:7 *2472:la_oenb_mprj[28] 0 +8 *654:7 *1304:15 0.00057315 +9 *654:8 *656:8 0.0450355 +10 *654:8 *657:8 0.000878398 +11 *654:8 *658:8 0.0411822 +12 *654:8 *677:8 0.000870853 +13 *654:8 *898:10 0.00329458 +14 *654:11 *1166:7 0 +15 *654:11 *1294:7 0 +16 *636:16 *654:8 0 +*RES +1 *2472:la_data_in_mprj[29] *654:7 47.1488 +2 *654:7 *654:8 497.648 +3 *654:8 *654:11 49.3106 +4 *654:11 *2478:la_input[29] 2.05183 +*END + +*D_NET *655 0.175196 +*CONN +*I *2478:la_input[2] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[2] O *D mgmt_protect +*CAP +1 *2478:la_input[2] 0.00184581 +2 *2472:la_data_in_mprj[2] 0.00190722 +3 *655:10 0.00184581 +4 *655:8 0.00649213 +5 *655:7 0.00839935 +6 *2478:la_input[2] *1295:9 0 +7 *2478:la_input[2] *2374:10 0 +8 *2478:la_input[2] *2401:10 0 +9 *655:7 *2472:la_data_out_mprj[2] 0.000520322 +10 *655:7 *2472:la_oenb_mprj[1] 0 +11 *655:7 *669:15 8.62625e-06 +12 *655:8 *666:8 0.000398957 +13 *655:8 *677:8 1.67988e-05 +14 *655:8 *699:8 0.0696327 +15 *655:8 *861:10 0.075809 +16 *655:8 *872:16 0.00142653 +17 *644:8 *655:8 0.0068933 +*RES +1 *2472:la_data_in_mprj[2] *655:7 45.4878 +2 *655:7 *655:8 813.218 +3 *655:8 *655:10 4.5 +4 *655:10 *2478:la_input[2] 48.5234 +*END + +*D_NET *656 0.110261 +*CONN +*I *2478:la_input[30] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[30] O *D mgmt_protect +*CAP +1 *2478:la_input[30] 8.29983e-05 +2 *2472:la_data_in_mprj[30] 0.00156395 +3 *656:11 0.00219785 +4 *656:8 0.00564956 +5 *656:7 0.00509866 +6 *656:7 *2472:la_data_out_mprj[30] 0.0003988 +7 *656:7 *2472:la_oenb_mprj[29] 0 +8 *656:7 *682:11 0.000441347 +9 *656:8 *658:8 0.000386373 +10 *656:8 *670:10 0.0208203 +11 *656:8 *677:8 0.0249642 +12 *656:8 *872:16 3.83172e-05 +13 *656:8 *897:10 0.00358358 +14 *656:11 *1168:9 0 +15 *656:11 *1296:9 0 +16 *654:8 *656:8 0.0450355 +*RES +1 *2472:la_data_in_mprj[30] *656:7 46.7336 +2 *656:7 *656:8 486.002 +3 *656:8 *656:11 49.7258 +4 *656:11 *2478:la_input[30] 2.05183 +*END + +*D_NET *657 0.102482 +*CONN +*I *2478:la_input[31] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[31] O *D mgmt_protect +*CAP +1 *2478:la_input[31] 8.29983e-05 +2 *2472:la_data_in_mprj[31] 0.00171845 +3 *657:11 0.0021377 +4 *657:8 0.00639719 +5 *657:7 0.00606093 +6 *657:7 *2472:la_data_out_mprj[31] 0 +7 *657:7 *2472:la_oenb_mprj[30] 0 +8 *657:7 *928:23 0.000295476 +9 *657:7 *932:21 0.000283365 +10 *657:8 *658:8 0.042805 +11 *657:8 *659:8 0.00070936 +12 *657:8 *660:8 0.0382677 +13 *657:11 *1169:7 0 +14 *657:11 *1297:7 0 +15 *636:16 *657:8 0 +16 *643:8 *657:8 0.00284564 +17 *654:8 *657:8 0.000878398 +*RES +1 *2472:la_data_in_mprj[31] *657:7 47.9793 +2 *657:7 *657:8 474.355 +3 *657:8 *657:11 48.4801 +4 *657:11 *2478:la_input[31] 2.05183 +*END + +*D_NET *658 0.103706 +*CONN +*I *2478:la_input[32] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[32] O *D mgmt_protect +*CAP +1 *2478:la_input[32] 8.29983e-05 +2 *2472:la_data_in_mprj[32] 0.00175433 +3 *658:11 0.00218498 +4 *658:8 0.00569243 +5 *658:7 0.00534478 +6 *658:7 *2472:la_data_out_mprj[32] 0.000429377 +7 *658:7 *2472:la_iena_mprj[31] 0 +8 *658:7 *2472:la_oenb_mprj[31] 0 +9 *658:7 *930:19 0 +10 *658:7 *1170:13 0 +11 *658:8 *660:8 0.000363723 +12 *658:8 *670:10 0.000384441 +13 *658:8 *898:10 0.00309526 +14 *658:11 *1170:9 0 +15 *658:11 *1298:9 0 +16 *654:8 *658:8 0.0411822 +17 *656:8 *658:8 0.000386373 +18 *657:8 *658:8 0.042805 +*RES +1 *2472:la_data_in_mprj[32] *658:7 47.5641 +2 *658:7 *658:8 462.708 +3 *658:8 *658:11 48.8953 +4 *658:11 *2478:la_input[32] 2.05183 +*END + +*D_NET *659 0.0984432 +*CONN +*I *2478:la_input[33] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[33] O *D mgmt_protect +*CAP +1 *2478:la_input[33] 0.000965545 +2 *2472:la_data_in_mprj[33] 0.00197888 +3 *659:16 0.00249044 +4 *659:8 0.00527387 +5 *659:7 0.00572785 +6 *2478:la_input[33] *1171:7 0 +7 *2478:la_input[33] *1299:7 0 +8 *659:7 *2472:la_data_out_mprj[33] 0 +9 *659:7 *2472:la_oenb_mprj[32] 0 +10 *659:8 *660:8 0.0398776 +11 *659:8 *661:8 0.038773 +12 *659:16 *907:10 6.41427e-05 +13 *659:16 *909:10 0 +14 *659:16 *915:9 0.000183244 +15 *659:16 *1172:7 0 +16 *659:16 *1299:7 0 +17 *636:16 *659:8 0 +18 *645:8 *659:8 0.00239925 +19 *657:8 *659:8 0.00070936 +*RES +1 *2472:la_data_in_mprj[33] *659:7 48.8099 +2 *659:7 *659:8 439.969 +3 *659:8 *659:16 46.3856 +4 *659:16 *2478:la_input[33] 23.1931 +*END + +*D_NET *660 0.097528 +*CONN +*I *2478:la_input[34] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[34] O *D mgmt_protect +*CAP +1 *2478:la_input[34] 0.000965545 +2 *2472:la_data_in_mprj[34] 0.00179063 +3 *660:16 0.0024501 +4 *660:8 0.00504417 +5 *660:7 0.00535025 +6 *2478:la_input[34] *1172:7 0 +7 *2478:la_input[34] *1300:9 0 +8 *660:7 *2472:la_data_out_mprj[34] 0.000445147 +9 *660:7 *2472:la_oenb_mprj[33] 0 +10 *660:7 *938:13 0 +11 *660:7 *939:11 0 +12 *660:8 *661:8 0.0003486 +13 *660:8 *670:10 9.10502e-05 +14 *660:16 *907:10 4.45957e-05 +15 *660:16 *915:10 0 +16 *660:16 *916:7 0 +17 *660:16 *1300:9 0 +18 *643:8 *660:8 0.00248882 +19 *657:8 *660:8 0.0382677 +20 *658:8 *660:8 0.000363723 +21 *659:8 *660:8 0.0398776 +*RES +1 *2472:la_data_in_mprj[34] *660:7 48.3946 +2 *660:7 *660:8 432.205 +3 *660:8 *660:16 43.4732 +4 *660:16 *2478:la_input[34] 23.1931 +*END + +*D_NET *661 0.0947283 +*CONN +*I *2478:la_input[35] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[35] O *D mgmt_protect +*CAP +1 *2478:la_input[35] 0.00206287 +2 *2472:la_data_in_mprj[35] 0.00179996 +3 *661:8 0.00600377 +4 *661:7 0.00574086 +5 *2478:la_input[35] *1173:9 0 +6 *661:7 *2472:la_data_out_mprj[35] 0 +7 *661:7 *2472:la_oenb_mprj[34] 0 +8 *661:7 *684:11 0.000569046 +9 *661:8 *662:8 0.037154 +10 *661:8 *670:10 0 +11 *636:12 *661:8 0 +12 *645:8 *661:8 0.00227617 +13 *659:8 *661:8 0.038773 +14 *660:8 *661:8 0.0003486 +*RES +1 *2472:la_data_in_mprj[35] *661:7 49.2251 +2 *661:7 *661:8 428.877 +3 *661:8 *2478:la_input[35] 47.6007 +*END + +*D_NET *662 0.0921201 +*CONN +*I *2478:la_input[36] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[36] O *D mgmt_protect +*CAP +1 *2478:la_input[36] 0.000967956 +2 *2472:la_data_in_mprj[36] 0.00145803 +3 *662:16 0.00248843 +4 *662:8 0.00497079 +5 *662:7 0.00490835 +6 *2478:la_input[36] *1302:9 0 +7 *662:7 *2472:la_data_out_mprj[36] 0.000458095 +8 *662:7 *2472:la_oenb_mprj[35] 0 +9 *662:7 *940:7 0.00151161 +10 *662:8 *663:8 0.00085425 +11 *662:8 *664:8 0.0352694 +12 *662:8 *670:10 0 +13 *662:16 *907:10 7.50226e-05 +14 *662:16 *917:10 0 +15 *662:16 *918:7 0.000228381 +16 *662:16 *1175:9 0 +17 *627:10 *662:8 0.00148438 +18 *636:12 *662:8 0 +19 *645:8 *662:8 0.000291404 +20 *661:8 *662:8 0.037154 +*RES +1 *2472:la_data_in_mprj[36] *662:7 49.6404 +2 *662:7 *662:8 403.92 +3 *662:8 *662:16 47.2189 +4 *662:16 *2478:la_input[36] 23.1931 +*END + +*D_NET *663 0.0883287 +*CONN +*I *2478:la_input[37] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[37] O *D mgmt_protect +*CAP +1 *2478:la_input[37] 0.00209961 +2 *2472:la_data_in_mprj[37] 0.00189613 +3 *663:8 0.00590263 +4 *663:7 0.00380302 +5 *663:5 0.00189613 +6 *2478:la_input[37] *1175:9 0 +7 *2478:la_input[37] *1303:10 0 +8 *663:5 *2472:la_data_out_mprj[37] 0 +9 *663:5 *2472:la_oenb_mprj[36] 0 +10 *663:5 *685:11 0.000373035 +11 *663:8 *664:8 0.0360618 +12 *663:8 *665:8 0.034114 +13 *616:13 *663:8 0.00108613 +14 *636:12 *663:8 0 +15 *645:8 *663:8 0.000241979 +16 *662:8 *663:8 0.00085425 +*RES +1 *2472:la_data_in_mprj[37] *663:5 45.9709 +2 *663:5 *663:7 4.5 +3 *663:7 *663:8 403.92 +4 *663:8 *2478:la_input[37] 48.0404 +*END + +*D_NET *664 0.0885058 +*CONN +*I *2478:la_input[38] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[38] O *D mgmt_protect +*CAP +1 *2478:la_input[38] 0.00213879 +2 *2472:la_data_in_mprj[38] 0.00158527 +3 *664:8 0.00555188 +4 *664:7 0.00341309 +5 *664:5 0.00158527 +6 *2478:la_input[38] *1176:7 0 +7 *2478:la_input[38] *1303:15 0 +8 *2478:la_input[38] *1304:9 0 +9 *664:5 *2472:la_data_out_mprj[38] 0.00070986 +10 *664:5 *2472:la_oenb_mprj[37] 0 +11 *664:5 *1185:15 0.000392459 +12 *664:8 *665:8 0.000348617 +13 *664:8 *670:10 0 +14 *627:10 *664:8 0.0011814 +15 *645:8 *664:8 0.000267904 +16 *662:8 *664:8 0.0352694 +17 *663:8 *664:8 0.0360618 +*RES +1 *2472:la_data_in_mprj[38] *664:5 45.5556 +2 *664:5 *664:7 4.5 +3 *664:7 *664:8 392.828 +4 *664:8 *2478:la_input[38] 48.4557 +*END + +*D_NET *665 0.0806904 +*CONN +*I *2478:la_input[39] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[39] O *D mgmt_protect +*CAP +1 *2478:la_input[39] 0.00210344 +2 *2472:la_data_in_mprj[39] 0.00207639 +3 *665:8 0.00582544 +4 *665:7 0.00372199 +5 *665:5 0.00207639 +6 *2478:la_input[39] *1177:9 0 +7 *2478:la_input[39] *1305:9 0 +8 *665:5 *2472:la_data_out_mprj[39] 0 +9 *665:5 *2472:la_oenb_mprj[38] 0 +10 *665:5 *941:7 0 +11 *665:5 *1177:17 0 +12 *665:8 *667:8 0.00361491 +13 *665:8 *920:16 0.0172541 +14 *616:13 *665:8 0.00103175 +15 *636:12 *665:8 0 +16 *638:8 *665:8 0.00839686 +17 *645:8 *665:8 0.000126544 +18 *663:8 *665:8 0.034114 +19 *664:8 *665:8 0.000348617 +*RES +1 *2472:la_data_in_mprj[39] *665:5 46.3861 +2 *665:5 *665:7 4.5 +3 *665:7 *665:8 380.627 +4 *665:8 *2478:la_input[39] 47.6252 +*END + +*D_NET *666 0.207298 +*CONN +*I *2478:la_input[3] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[3] O *D mgmt_protect +*CAP +1 *2478:la_input[3] 0.00100769 +2 *2472:la_data_in_mprj[3] 0.00206783 +3 *666:10 0.00100769 +4 *666:8 0.0079496 +5 *666:7 0.0100174 +6 *2478:la_input[3] *1553:27 0.00285028 +7 *666:7 *2472:la_data_out_mprj[3] 0 +8 *666:7 *2472:la_oenb_mprj[2] 0 +9 *666:7 *1182:7 0 +10 *666:8 *688:8 0.0826391 +11 *666:8 *872:10 0.00675766 +12 *666:8 *892:10 0.00564065 +13 *666:8 *897:10 0.000383642 +14 *666:8 *900:10 0.085656 +15 *113:20 *2478:la_input[3] 0.000431618 +16 *480:25 *2478:la_input[3] 0.000489405 +17 *485:41 *2478:la_input[3] 0 +18 *655:8 *666:8 0.000398957 +*RES +1 *2472:la_data_in_mprj[3] *666:7 43.3185 +2 *666:7 *666:8 110.808 +3 *666:8 *666:10 3.36879 +4 *666:10 *2478:la_input[3] 47.8761 +*END + +*D_NET *667 0.0745627 +*CONN +*I *2478:la_input[40] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[40] O *D mgmt_protect +*CAP +1 *2478:la_input[40] 0.00203548 +2 *2472:la_data_in_mprj[40] 0.00188574 +3 *667:8 0.00566692 +4 *667:7 0.00363144 +5 *667:5 0.00188574 +6 *2478:la_input[40] *909:15 0.000107579 +7 *2478:la_input[40] *1179:9 0 +8 *2478:la_input[40] *1307:9 0 +9 *667:5 *2472:la_data_out_mprj[40] 0.000571133 +10 *667:5 *2472:la_iena_mprj[40] 0 +11 *667:5 *2472:la_oenb_mprj[39] 0 +12 *667:5 *686:11 0 +13 *667:8 *672:8 0.0250891 +14 *667:8 *909:16 0.00412627 +15 *667:8 *920:16 0.0172583 +16 *616:13 *667:8 0.000260662 +17 *636:12 *667:8 2.41916e-05 +18 *638:8 *667:8 0.00840527 +19 *665:8 *667:8 0.00361491 +*RES +1 *2472:la_data_in_mprj[40] *667:5 47.2166 +2 *667:5 *667:7 4.5 +3 *667:7 *667:8 368.98 +4 *667:8 *2478:la_input[40] 46.7947 +*END + +*D_NET *668 0.0777365 +*CONN +*I *2478:la_input[41] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[41] O *D mgmt_protect +*CAP +1 *2478:la_input[41] 0.00203567 +2 *2472:la_data_in_mprj[41] 0.00189914 +3 *668:14 0.0030215 +4 *668:13 0.00141857 +5 *668:8 0.00278349 +6 *668:7 0.00424989 +7 *2478:la_input[41] *1308:12 0 +8 *668:7 *2472:la_data_out_mprj[41] 0 +9 *668:7 *942:7 0 +10 *668:7 *1307:23 0 +11 *668:8 *669:8 0.0245906 +12 *668:8 *670:10 0.0245948 +13 *668:8 *897:10 0.000241979 +14 *668:13 *2472:la_data_out_mprj[10] 8.62625e-06 +15 *668:14 *909:16 0.0087686 +16 *668:14 *1151:10 0.000253087 +17 *616:7 *668:13 0 +18 *636:8 *668:14 0.00387062 +*RES +1 *2472:la_data_in_mprj[41] *668:7 45.9031 +2 *668:7 *668:8 264.16 +3 *668:8 *668:13 16.2303 +4 *668:13 *668:14 93.3422 +5 *668:14 *2478:la_input[41] 45.5489 +*END + +*D_NET *669 0.0788729 +*CONN +*I *2478:la_input[42] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[42] O *D mgmt_protect +*CAP +1 *2478:la_input[42] 0.000104397 +2 *2472:la_data_in_mprj[42] 0.00148734 +3 *669:15 0.00248498 +4 *669:10 0.00238059 +5 *669:8 0.00303472 +6 *669:7 0.00452207 +7 *669:7 *2472:la_data_out_mprj[42] 0.000589004 +8 *669:7 *2472:la_iena_mprj[41] 0 +9 *669:7 *2472:la_oenb_mprj[41] 0 +10 *669:7 *687:11 0.000379451 +11 *669:8 *670:10 0.000392997 +12 *669:8 *671:8 0.0285494 +13 *669:8 *699:8 0.00317413 +14 *669:8 *872:16 0.00655097 +15 *669:8 *883:10 0.000127366 +16 *669:8 *897:10 0.000244978 +17 *669:15 *2472:la_data_out_mprj[2] 0.000251333 +18 *669:15 *924:15 0 +19 *669:15 *1181:12 0 +20 *669:15 *1309:12 0 +21 *655:7 *669:15 8.62625e-06 +22 *668:8 *669:8 0.0245906 +*RES +1 *2472:la_data_in_mprj[42] *669:7 45.4878 +2 *669:7 *669:8 343.468 +3 *669:8 *669:10 4.5 +4 *669:10 *669:15 48.499 +5 *669:15 *2478:la_input[42] 2.61365 +*END + +*D_NET *670 0.070405 +*CONN +*I *2478:la_input[43] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[43] O *D mgmt_protect +*CAP +1 *2478:la_input[43] 0.00222069 +2 *2472:la_data_in_mprj[43] 0.00163307 +3 *670:12 0.00222069 +4 *670:10 0.00450507 +5 *670:9 0.00613814 +6 *2478:la_input[43] *2472:la_data_out_mprj[4] 0.000690049 +7 *2478:la_input[43] *677:7 3.20069e-06 +8 *2478:la_input[43] *1182:7 0 +9 *2478:la_input[43] *1310:7 0 +10 *670:9 *2472:la_data_out_mprj[43] 0 +11 *670:9 *2472:la_oenb_mprj[42] 0 +12 *670:9 *943:7 0 +13 *670:9 *1195:15 0.000864827 +14 *670:9 *1196:15 0.000256938 +15 *670:10 *671:8 0.000159304 +16 *670:10 *872:16 0.00490087 +17 *670:10 *897:10 0.000528566 +18 *638:16 *2478:la_input[43] 0 +19 *656:8 *670:10 0.0208203 +20 *658:8 *670:10 0.000384441 +21 *660:8 *670:10 9.10502e-05 +22 *661:8 *670:10 0 +23 *662:8 *670:10 0 +24 *664:8 *670:10 0 +25 *668:8 *670:10 0.0245948 +26 *669:8 *670:10 0.000392997 +*RES +1 *2472:la_data_in_mprj[43] *670:9 48.1748 +2 *670:9 *670:10 332.376 +3 *670:10 *670:12 4.5 +4 *670:12 *2478:la_input[43] 47.6929 +*END + +*D_NET *671 0.0735311 +*CONN +*I *2478:la_input[44] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[44] O *D mgmt_protect +*CAP +1 *2478:la_input[44] 0.00217435 +2 *2472:la_data_in_mprj[44] 0.00160979 +3 *671:10 0.00217435 +4 *671:8 0.00305589 +5 *671:7 0.00466568 +6 *2478:la_input[44] *2472:la_data_out_mprj[6] 0.000145026 +7 *2478:la_input[44] *2472:la_iena_mprj[6] 0.000579101 +8 *2478:la_input[44] *1139:18 4.3116e-06 +9 *2478:la_input[44] *1183:9 0 +10 *2478:la_input[44] *1267:15 1.98891e-05 +11 *671:7 *2472:la_data_out_mprj[44] 0.000367669 +12 *671:7 *2472:la_iena_mprj[44] 0 +13 *671:7 *2472:la_oenb_mprj[43] 0 +14 *671:7 *1322:15 0.000150958 +15 *671:7 *1323:15 0 +16 *671:8 *673:8 0.000233938 +17 *671:8 *883:10 0.00376477 +18 *671:8 *892:14 2.50864e-05 +19 *671:8 *897:10 0.000118462 +20 *671:8 *1184:16 0.0257332 +21 *669:8 *671:8 0.0285494 +22 *670:10 *671:8 0.000159304 +*RES +1 *2472:la_data_in_mprj[44] *671:7 45.0726 +2 *671:7 *671:8 323.503 +3 *671:8 *671:10 4.5 +4 *671:10 *2478:la_input[44] 47.8151 +*END + +*D_NET *672 0.0614302 +*CONN +*I *2478:la_input[45] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[45] O *D mgmt_protect +*CAP +1 *2478:la_input[45] 0.00192654 +2 *2472:la_data_in_mprj[45] 0.00176973 +3 *672:8 0.00674221 +4 *672:7 0.00481567 +5 *672:5 0.00176973 +6 *2478:la_input[45] *2472:la_data_out_mprj[9] 0 +7 *2478:la_input[45] *2472:la_oenb_mprj[8] 0.000173926 +8 *2478:la_input[45] *1184:9 0 +9 *2478:la_input[45] *1312:9 0 +10 *672:5 *2472:la_data_out_mprj[45] 0 +11 *672:5 *2472:la_oenb_mprj[44] 0 +12 *672:5 *1199:15 0.00136581 +13 *672:8 *909:16 0.0156177 +14 *672:8 *915:16 0.00178054 +15 *672:8 *917:16 0.000266271 +16 *672:8 *1151:10 0.000112976 +17 *672:8 *1196:10 0 +18 *672:8 *1323:10 0 +19 *667:8 *672:8 0.0250891 +*RES +1 *2472:la_data_in_mprj[45] *672:5 47.6319 +2 *672:5 *672:7 4.5 +3 *672:7 *672:8 310.747 +4 *672:8 *2478:la_input[45] 46.3794 +*END + +*D_NET *673 0.0680631 +*CONN +*I *2478:la_input[46] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[46] O *D mgmt_protect +*CAP +1 *2478:la_input[46] 0.00244383 +2 *2472:la_data_in_mprj[46] 0.0015987 +3 *673:10 0.00244383 +4 *673:8 0.00289138 +5 *673:7 0.00449008 +6 *2478:la_input[46] *2472:la_data_out_mprj[11] 9.35753e-06 +7 *2478:la_input[46] *915:15 0 +8 *2478:la_input[46] *1184:15 0 +9 *2478:la_input[46] *1185:9 0 +10 *2478:la_input[46] *1313:9 0 +11 *673:7 *2472:la_data_out_mprj[46] 0.000370225 +12 *673:7 *2472:la_oenb_mprj[45] 0 +13 *673:7 *1318:15 0.000124484 +14 *673:7 *1327:15 0 +15 *673:8 *674:8 0.0259004 +16 *673:8 *892:14 0.00034957 +17 *673:8 *897:10 0.00011251 +18 *673:8 *1184:16 0.0268901 +19 *627:7 *2478:la_input[46] 0 +20 *640:8 *673:8 0.000204688 +21 *671:8 *673:8 0.000233938 +*RES +1 *2472:la_data_in_mprj[46] *673:7 44.2421 +2 *673:7 *673:8 299.1 +3 *673:8 *673:10 4.5 +4 *673:10 *2478:la_input[46] 49.7692 +*END + +*D_NET *674 0.0659774 +*CONN +*I *2478:la_input[47] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[47] O *D mgmt_protect +*CAP +1 *2478:la_input[47] 8.29983e-05 +2 *2472:la_data_in_mprj[47] 0.00157354 +3 *674:11 0.00230467 +4 *674:10 0.00222167 +5 *674:8 0.00291829 +6 *674:7 0.00449182 +7 *674:7 *2472:la_data_out_mprj[47] 0 +8 *674:7 *1313:13 0 +9 *674:7 *1315:18 0.000625622 +10 *674:7 *1329:15 0.000215788 +11 *674:8 *675:8 0.0247722 +12 *674:11 *2472:la_iena_mprj[13] 0.000206324 +13 *674:11 *2472:la_oenb_mprj[13] 0.000192538 +14 *674:11 *1186:7 0 +15 *674:11 *1314:7 0 +16 *640:8 *674:8 0.000471507 +17 *673:8 *674:8 0.0259004 +*RES +1 *2472:la_data_in_mprj[47] *674:7 43.8268 +2 *674:7 *674:8 286.899 +3 *674:8 *674:10 4.5 +4 *674:10 *674:11 48.1326 +5 *674:11 *2478:la_input[47] 2.05183 +*END + +*D_NET *675 0.0613414 +*CONN +*I *2478:la_input[48] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[48] O *D mgmt_protect +*CAP +1 *2478:la_input[48] 8.29983e-05 +2 *2472:la_data_in_mprj[48] 0.0016217 +3 *675:11 0.00220985 +4 *675:10 0.00212685 +5 *675:8 0.00307772 +6 *675:7 0.00469943 +7 *675:7 *2472:la_data_out_mprj[48] 0.000373236 +8 *675:7 *2472:la_oenb_mprj[47] 0 +9 *675:8 *676:8 0.000452929 +10 *675:8 *678:8 0.000840642 +11 *675:8 *679:8 0.019922 +12 *675:8 *925:16 0.000159221 +13 *675:11 *2472:la_oenb_mprj[15] 0.000103038 +14 *675:11 *917:15 0.000719833 +15 *675:11 *1187:9 0 +16 *675:11 *1315:9 0 +17 *640:8 *675:8 2.65831e-05 +18 *641:8 *675:8 0.000151406 +19 *642:8 *675:8 1.75155e-06 +20 *674:8 *675:8 0.0247722 +*RES +1 *2472:la_data_in_mprj[48] *675:7 43.4116 +2 *675:7 *675:8 275.807 +3 *675:8 *675:10 4.5 +4 *675:10 *675:11 48.5479 +5 *675:11 *2478:la_input[48] 2.05183 +*END + +*D_NET *676 0.0604006 +*CONN +*I *2478:la_input[49] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[49] O *D mgmt_protect +*CAP +1 *2478:la_input[49] 8.29983e-05 +2 *2472:la_data_in_mprj[49] 0.00167664 +3 *676:11 0.00240975 +4 *676:10 0.00232675 +5 *676:8 0.00259639 +6 *676:7 0.00427303 +7 *676:7 *2472:la_data_out_mprj[49] 0 +8 *676:7 *2472:la_oenb_mprj[48] 0 +9 *676:8 *678:8 0.0226427 +10 *676:8 *680:8 0.0188519 +11 *676:8 *925:16 0.0044495 +12 *676:8 *925:20 0.000519766 +13 *676:11 *2472:la_data_out_mprj[18] 0.000118323 +14 *676:11 *1188:7 0 +15 *676:11 *1316:7 0 +16 *675:8 *676:8 0.000452929 +*RES +1 *2472:la_data_in_mprj[49] *676:7 42.1658 +2 *676:7 *676:8 263.605 +3 *676:8 *676:10 4.5 +4 *676:10 *676:11 49.7936 +5 *676:11 *2478:la_input[49] 2.05183 +*END + +*D_NET *677 0.134649 +*CONN +*I *2478:la_input[4] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[4] O *D mgmt_protect +*CAP +1 *2478:la_input[4] 0.00139592 +2 *2472:la_data_in_mprj[4] 0.00191241 +3 *677:14 0.00946457 +4 *677:13 0.00849507 +5 *677:8 0.00703917 +6 *677:7 0.00852516 +7 *2478:la_input[4] *1189:9 0 +8 *2478:la_input[4] *1317:9 0 +9 *677:7 *2472:la_data_out_mprj[4] 0.000541451 +10 *677:7 *2472:la_oenb_mprj[3] 0 +11 *677:7 *1182:7 0 +12 *677:8 *861:10 0.0449551 +13 *677:8 *872:16 0.00303416 +14 *677:8 *897:10 0.00720221 +15 *677:13 *1282:7 0 +16 *677:14 *933:10 0 +17 *677:14 *955:10 0 +18 *677:14 *977:10 0.00110908 +19 *677:14 *1139:10 0.000427125 +20 *677:14 *1189:10 0.00125989 +21 *677:14 *1267:10 0.013081 +22 *677:14 *1361:10 3.40535e-05 +23 *2478:la_input[43] *677:7 3.20069e-06 +24 *605:14 *677:14 0 +25 *616:14 *677:14 0 +26 *642:11 *677:13 0.000317956 +27 *654:8 *677:8 0.000870853 +28 *655:8 *677:8 1.67988e-05 +29 *656:8 *677:8 0.0249642 +*RES +1 *2472:la_data_in_mprj[4] *677:7 46.3183 +2 *677:7 *677:8 504.858 +3 *677:8 *677:13 21.6286 +4 *677:13 *677:14 285.235 +5 *677:14 *2478:la_input[4] 39.7354 +*END + +*D_NET *678 0.0589467 +*CONN +*I *2478:la_input[50] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[50] O *D mgmt_protect +*CAP +1 *2478:la_input[50] 8.29983e-05 +2 *2472:la_data_in_mprj[50] 0.00150218 +3 *678:11 0.00195798 +4 *678:10 0.00187498 +5 *678:8 0.00235471 +6 *678:7 0.00385689 +7 *678:7 *2472:la_data_out_mprj[50] 0.000380675 +8 *678:7 *2472:la_iena_mprj[50] 0 +9 *678:7 *2472:la_oenb_mprj[49] 0 +10 *678:8 *679:8 0.0215661 +11 *678:8 *680:8 0.000381442 +12 *678:11 *2472:la_data_out_mprj[20] 0 +13 *678:11 *2472:la_iena_mprj[20] 0.000260423 +14 *678:11 *2472:la_oenb_mprj[20] 0.00124505 +15 *678:11 *902:13 0 +16 *678:11 *1318:9 0 +17 *675:8 *678:8 0.000840642 +18 *676:8 *678:8 0.0226427 +*RES +1 *2472:la_data_in_mprj[50] *678:7 42.5811 +2 *678:7 *678:8 252.513 +3 *678:8 *678:10 4.5 +4 *678:10 *678:11 49.3784 +5 *678:11 *2478:la_input[50] 2.05183 +*END + +*D_NET *679 0.0549208 +*CONN +*I *2478:la_input[51] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[51] O *D mgmt_protect +*CAP +1 *2478:la_input[51] 8.29983e-05 +2 *2472:la_data_in_mprj[51] 0.00164592 +3 *679:11 0.0021836 +4 *679:10 0.0021006 +5 *679:8 0.00259722 +6 *679:7 0.00424314 +7 *679:7 *2472:la_data_out_mprj[51] 0 +8 *679:7 *2472:la_iena_mprj[51] 0 +9 *679:7 *2472:la_oenb_mprj[50] 0 +10 *679:8 *680:8 0.000207893 +11 *679:11 *2472:la_data_out_mprj[23] 0.000371341 +12 *679:11 *2472:la_oenb_mprj[22] 0 +13 *679:11 *1191:9 0 +14 *679:11 *1319:7 0 +15 *675:8 *679:8 0.019922 +16 *678:8 *679:8 0.0215661 +*RES +1 *2472:la_data_in_mprj[51] *679:7 42.9963 +2 *679:7 *679:8 240.312 +3 *679:8 *679:10 4.5 +4 *679:10 *679:11 48.9631 +5 *679:11 *2478:la_input[51] 2.05183 +*END + +*D_NET *680 0.0483372 +*CONN +*I *2478:la_input[52] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[52] O *D mgmt_protect +*CAP +1 *2478:la_input[52] 8.29983e-05 +2 *2472:la_data_in_mprj[52] 0.00143476 +3 *680:11 0.00214404 +4 *680:10 0.00206104 +5 *680:8 0.00346997 +6 *680:7 0.00490473 +7 *680:7 *2472:la_data_out_mprj[52] 0.000336725 +8 *680:7 *2472:la_iena_mprj[51] 0 +9 *680:7 *2472:la_iena_mprj[52] 0 +10 *680:7 *2472:la_oenb_mprj[51] 0 +11 *680:8 *925:20 0.0134539 +12 *680:8 *926:26 0.000356178 +13 *680:11 *2472:la_data_out_mprj[25] 0.000651606 +14 *680:11 *1192:7 0 +15 *680:11 *1320:7 0 +16 *676:8 *680:8 0.0188519 +17 *678:8 *680:8 0.000381442 +18 *679:8 *680:8 0.000207893 +*RES +1 *2472:la_data_in_mprj[52] *680:7 41.7506 +2 *680:7 *680:8 229.22 +3 *680:8 *680:10 4.5 +4 *680:10 *680:11 50.2089 +5 *680:11 *2478:la_input[52] 2.05183 +*END + +*D_NET *681 0.051067 +*CONN +*I *2478:la_input[53] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[53] O *D mgmt_protect +*CAP +1 *2478:la_input[53] 3.62521e-05 +2 *2472:la_data_in_mprj[53] 0.000854545 +3 *681:11 0.00314389 +4 *681:10 0.00310763 +5 *681:8 0.00220531 +6 *681:7 0.00305985 +7 *681:7 *2472:la_data_out_mprj[53] 0 +8 *681:7 *2472:la_iena_mprj[53] 0 +9 *681:7 *2472:la_oenb_mprj[52] 0 +10 *681:8 *682:8 5.2472e-05 +11 *681:8 *934:10 0.0179958 +12 *681:8 *1192:10 0.0198137 +13 *681:8 *1198:16 0.000582801 +14 *681:8 *1316:12 0.000118134 +15 *681:8 *1320:10 9.65932e-05 +16 *681:11 *2472:la_data_out_mprj[28] 0 +17 *681:11 *923:15 0 +18 *681:11 *926:25 0 +19 *681:11 *1193:9 0 +20 *652:7 *681:11 0 +21 *653:7 *681:11 0 +*RES +1 *2472:la_data_in_mprj[53] *681:7 25.971 +2 *681:7 *681:8 218.128 +3 *681:8 *681:10 4.5 +4 *681:10 *681:11 65.9885 +5 *681:11 *2478:la_input[53] 0.928211 +*END + +*D_NET *682 0.0483186 +*CONN +*I *2478:la_input[54] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[54] O *D mgmt_protect +*CAP +1 *2478:la_input[54] 8.29983e-05 +2 *2472:la_data_in_mprj[54] 0.000652057 +3 *682:11 0.00299147 +4 *682:10 0.00290848 +5 *682:8 0.00242234 +6 *682:7 0.0030744 +7 *682:7 *2472:la_data_out_mprj[54] 0.000332413 +8 *682:7 *2472:la_iena_mprj[54] 0 +9 *682:7 *2472:la_oenb_mprj[53] 0 +10 *682:8 *683:8 0.0171784 +11 *682:8 *1169:10 0 +12 *682:8 *1198:16 0 +13 *682:8 *1297:10 0 +14 *682:8 *1320:10 0.0179829 +15 *682:11 *2472:la_data_out_mprj[30] 0.000199327 +16 *682:11 *2472:la_iena_mprj[30] 0 +17 *682:11 *1179:15 0 +18 *682:11 *1194:9 0 +19 *682:11 *1322:9 0 +20 *656:7 *682:11 0.000441347 +21 *681:8 *682:8 5.2472e-05 +*RES +1 *2472:la_data_in_mprj[54] *682:7 24.7252 +2 *682:7 *682:8 205.372 +3 *682:8 *682:10 4.5 +4 *682:10 *682:11 67.2342 +5 *682:11 *2478:la_input[54] 2.05183 +*END + +*D_NET *683 0.0464953 +*CONN +*I *2478:la_input[55] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[55] O *D mgmt_protect +*CAP +1 *2478:la_input[55] 8.29983e-05 +2 *2472:la_data_in_mprj[55] 0.000762974 +3 *683:11 0.00298636 +4 *683:10 0.00290337 +5 *683:8 0.00209164 +6 *683:7 0.00285462 +7 *683:7 *2472:la_data_out_mprj[55] 0 +8 *683:7 *2472:la_oenb_mprj[54] 0 +9 *683:8 *937:16 4.97617e-05 +10 *683:8 *939:14 0.017098 +11 *683:8 *1198:16 0 +12 *683:11 *2472:la_oenb_mprj[32] 0 +13 *683:11 *1170:13 0.000487207 +14 *683:11 *1195:9 0 +15 *683:11 *1323:9 0 +16 *682:8 *683:8 0.0171784 +*RES +1 *2472:la_data_in_mprj[55] *683:7 24.31 +2 *683:7 *683:8 193.725 +3 *683:8 *683:10 4.5 +4 *683:10 *683:11 67.6495 +5 *683:11 *2478:la_input[55] 2.05183 +*END + +*D_NET *684 0.0422836 +*CONN +*I *2478:la_input[56] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[56] O *D mgmt_protect +*CAP +1 *2478:la_input[56] 8.29983e-05 +2 *2472:la_data_in_mprj[56] 0.000546757 +3 *684:11 0.00269761 +4 *684:10 0.00261461 +5 *684:8 0.00205994 +6 *684:7 0.0026067 +7 *684:7 *2472:la_data_out_mprj[56] 0.000328102 +8 *684:7 *2472:la_iena_mprj[56] 0 +9 *684:7 *2472:la_oenb_mprj[55] 0 +10 *684:8 *685:8 0.0150553 +11 *684:8 *935:16 0.0130758 +12 *684:8 *936:16 0.000339591 +13 *684:8 *937:16 0.000786331 +14 *684:8 *938:16 0.000394687 +15 *684:8 *940:10 0.000242106 +16 *684:11 *2472:la_data_out_mprj[35] 0 +17 *684:11 *2472:la_oenb_mprj[34] 0.000884009 +18 *684:11 *938:13 0 +19 *684:11 *1173:25 0 +20 *684:11 *1196:9 0 +21 *684:11 *1324:9 0 +22 *661:7 *684:11 0.000569046 +*RES +1 *2472:la_data_in_mprj[56] *684:7 22.649 +2 *684:7 *684:8 182.079 +3 *684:8 *684:10 4.5 +4 *684:10 *684:11 69.3105 +5 *684:11 *2478:la_input[56] 2.05183 +*END + +*D_NET *685 0.04144 +*CONN +*I *2478:la_input[57] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[57] O *D mgmt_protect +*CAP +1 *2478:la_input[57] 8.29983e-05 +2 *2472:la_data_in_mprj[57] 0.000691874 +3 *685:11 0.00242038 +4 *685:10 0.00233738 +5 *685:8 0.00196234 +6 *685:7 0.00265421 +7 *685:7 *2472:la_data_out_mprj[57] 0 +8 *685:7 *2472:la_oenb_mprj[56] 0 +9 *685:8 *937:16 0.0128319 +10 *685:8 *939:14 0.000698398 +11 *685:8 *940:10 1.08799e-05 +12 *685:8 *1198:16 0 +13 *685:11 *2472:la_data_out_mprj[37] 0.00232141 +14 *685:11 *2472:la_oenb_mprj[36] 0 +15 *685:11 *1197:9 0 +16 *685:11 *1325:9 0 +17 *663:5 *685:11 0.000373035 +18 *684:8 *685:8 0.0150553 +*RES +1 *2472:la_data_in_mprj[57] *685:7 23.0642 +2 *685:7 *685:8 170.432 +3 *685:8 *685:10 4.5 +4 *685:10 *685:11 68.8952 +5 *685:11 *2478:la_input[57] 2.05183 +*END + +*D_NET *686 0.0394872 +*CONN +*I *2478:la_input[58] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[58] O *D mgmt_protect +*CAP +1 *2478:la_input[58] 8.29983e-05 +2 *2472:la_data_in_mprj[58] 0.000742585 +3 *686:11 0.00223438 +4 *686:10 0.00215138 +5 *686:8 0.00148287 +6 *686:7 0.00222546 +7 *686:7 *2472:la_data_out_mprj[58] 0.000483545 +8 *686:7 *2472:la_oenb_mprj[57] 0 +9 *686:8 *942:10 0.0139676 +10 *686:8 *943:10 0.01205 +11 *686:8 *1182:14 0.000459395 +12 *686:8 *1193:16 0 +13 *686:8 *1308:22 0.00176605 +14 *686:11 *2472:la_oenb_mprj[39] 8.08916e-05 +15 *686:11 *1177:17 0 +16 *686:11 *1193:15 4.87343e-05 +17 *686:11 *1194:15 0.00171137 +18 *686:11 *1198:9 0 +19 *686:11 *1326:9 0 +20 *667:5 *686:11 0 +*RES +1 *2472:la_data_in_mprj[58] *686:7 29.293 +2 *686:7 *686:8 158.785 +3 *686:8 *686:10 4.5 +4 *686:10 *686:11 62.6664 +5 *686:11 *2478:la_input[58] 2.05183 +*END + +*D_NET *687 0.0340939 +*CONN +*I *2478:la_input[59] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[59] O *D mgmt_protect +*CAP +1 *2478:la_input[59] 8.29983e-05 +2 *2472:la_data_in_mprj[59] 0.000967466 +3 *687:11 0.00248173 +4 *687:10 0.00239873 +5 *687:8 0.001611 +6 *687:7 0.00257846 +7 *687:7 *2472:la_data_out_mprj[59] 0 +8 *687:7 *2472:la_oenb_mprj[58] 0 +9 *687:8 *942:10 0.0131903 +10 *687:8 *943:10 0.000159317 +11 *687:8 *1193:16 0.000100757 +12 *687:8 *1195:16 4.41269e-05 +13 *687:8 *1196:16 0.00123909 +14 *687:8 *1197:22 0.00886047 +15 *687:8 *1326:16 0 +16 *687:11 *2472:la_data_out_mprj[41] 0 +17 *687:11 *2472:la_iena_mprj[41] 0 +18 *687:11 *1199:9 0 +19 *687:11 *1327:9 0 +20 *669:7 *687:11 0.000379451 +*RES +1 *2472:la_data_in_mprj[59] *687:7 30.1235 +2 *687:7 *687:8 147.139 +3 *687:8 *687:10 4.5 +4 *687:10 *687:11 61.8359 +5 *687:11 *2478:la_input[59] 2.05183 +*END + +*D_NET *688 0.198559 +*CONN +*I *2478:la_input[5] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[5] O *D mgmt_protect +*CAP +1 *2478:la_input[5] 8.29983e-05 +2 *2472:la_data_in_mprj[5] 0.0020116 +3 *688:11 0.00199414 +4 *688:10 0.00191114 +5 *688:8 0.00786456 +6 *688:7 0.00987617 +7 *688:7 *2472:la_data_out_mprj[5] 0 +8 *688:7 *2472:la_oenb_mprj[4] 0 +9 *688:8 *710:8 0.0800768 +10 *688:8 *892:10 0.000133887 +11 *688:8 *897:10 0.000315225 +12 *688:11 *1200:7 0 +13 *688:11 *1328:7 0 +14 *637:18 *688:8 0.00613545 +15 *638:20 *688:8 0.00551756 +16 *666:8 *688:8 0.0826391 +*RES +1 *2472:la_data_in_mprj[5] *688:7 42.488 +2 *688:7 *688:8 107.289 +3 *688:8 *688:10 3.36879 +4 *688:10 *688:11 48.3402 +5 *688:11 *2478:la_input[5] 2.05183 +*END + +*D_NET *689 0.0270566 +*CONN +*I *2478:la_input[60] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[60] O *D mgmt_protect +*CAP +1 *2478:la_input[60] 0.00112029 +2 *2472:la_data_in_mprj[60] 0.00186776 +3 *689:8 0.00320786 +4 *689:7 0.00208757 +5 *689:5 0.00186776 +6 *2478:la_input[60] *1198:15 0 +7 *2478:la_input[60] *1201:9 0 +8 *2478:la_input[60] *1329:9 0 +9 *689:5 *2472:la_data_out_mprj[60] 0.0011176 +10 *689:5 *2472:la_oenb_mprj[59] 0 +11 *689:5 *2478:la_input[67] 0 +12 *689:8 *2478:la_input[66] 0.00133965 +13 *689:8 *692:8 0.000120974 +14 *689:8 *1197:10 0 +15 *689:8 *1201:10 0 +16 *689:8 *1202:10 0.0114161 +17 *689:8 *1326:10 0.00049599 +18 *689:8 *1329:12 6.05863e-05 +19 *689:8 *1332:10 0.00235447 +*RES +1 *2472:la_data_in_mprj[60] *689:5 61.3352 +2 *689:5 *689:7 4.5 +3 *689:7 *689:8 135.492 +4 *689:8 *2478:la_input[60] 32.6761 +*END + +*D_NET *690 0.0262607 +*CONN +*I *2478:la_input[61] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[61] O *D mgmt_protect +*CAP +1 *2478:la_input[61] 0.00117661 +2 *2472:la_data_in_mprj[61] 0.00215684 +3 *690:8 0.00331798 +4 *690:7 0.00214137 +5 *690:5 0.00215684 +6 *2478:la_input[61] *1202:9 0 +7 *2478:la_input[61] *1326:15 0.000279872 +8 *2478:la_input[61] *1327:15 0 +9 *2478:la_input[61] *1330:9 0 +10 *690:5 *2472:la_data_out_mprj[61] 0 +11 *690:5 *2472:la_iena_mprj[60] 0 +12 *690:5 *2472:la_iena_mprj[61] 0 +13 *690:5 *2472:la_oenb_mprj[60] 0 +14 *690:5 *2478:la_input[67] 0 +15 *690:8 *1201:10 0.0112932 +16 *690:8 *1202:10 0 +17 *690:8 *1205:10 0 +18 *690:8 *1333:10 0.000180711 +19 *690:8 *1334:10 0.00355727 +*RES +1 *2472:la_data_in_mprj[61] *690:5 58.0132 +2 *690:5 *690:7 4.5 +3 *690:7 *690:8 123.291 +4 *690:8 *2478:la_input[61] 35.9981 +*END + +*D_NET *691 0.0263273 +*CONN +*I *2478:la_input[62] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[62] O *D mgmt_protect +*CAP +1 *2478:la_input[62] 0.00129554 +2 *2472:la_data_in_mprj[62] 0.00160002 +3 *691:8 0.00233801 +4 *691:7 0.00104247 +5 *691:5 0.00160002 +6 *2478:la_input[62] *1203:9 0 +7 *2478:la_input[62] *1331:9 0 +8 *691:5 *2472:la_data_out_mprj[62] 0.00111329 +9 *691:5 *2472:la_iena_mprj[62] 0 +10 *691:5 *2472:la_oenb_mprj[61] 0 +11 *691:8 *694:12 0.00486563 +12 *691:8 *1201:10 0.00113384 +13 *691:8 *1203:10 0.0106775 +14 *691:8 *1333:10 0.000661063 +*RES +1 *2472:la_data_in_mprj[62] *691:5 56.3522 +2 *691:5 *691:7 4.5 +3 *691:7 *691:8 112.199 +4 *691:8 *2478:la_input[62] 37.6591 +*END + +*D_NET *692 0.0261399 +*CONN +*I *2478:la_input[63] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[63] O *D mgmt_protect +*CAP +1 *2478:la_input[63] 0.00104917 +2 *2472:la_data_in_mprj[63] 0.0023321 +3 *692:8 0.00189602 +4 *692:7 0.000846845 +5 *692:5 0.0023321 +6 *2478:la_input[63] *1204:9 0 +7 *2478:la_input[63] *1332:9 0 +8 *692:5 *2472:la_data_out_mprj[63] 0 +9 *692:5 *2472:la_iena_mprj[62] 0 +10 *692:5 *2472:la_oenb_mprj[62] 0 +11 *692:5 *1209:9 0 +12 *692:8 *948:10 0.00854448 +13 *692:8 *1332:10 0.00901823 +14 *689:8 *692:8 0.000120974 +*RES +1 *2472:la_data_in_mprj[63] *692:5 62.5809 +2 *692:5 *692:7 4.5 +3 *692:7 *692:8 99.9974 +4 *692:8 *2478:la_input[63] 31.4303 +*END + +*D_NET *693 0.0213472 +*CONN +*I *2478:la_input[64] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[64] O *D mgmt_protect +*CAP +1 *2478:la_input[64] 0.00100536 +2 *2472:la_data_in_mprj[64] 0.00184299 +3 *693:8 0.00238223 +4 *693:7 0.00137687 +5 *693:5 0.00184299 +6 *2478:la_input[64] *1205:9 0 +7 *2478:la_input[64] *1333:9 0 +8 *693:5 *2472:la_data_out_mprj[64] 0.00128143 +9 *693:5 *2472:la_oenb_mprj[63] 0 +10 *693:5 *1337:9 0 +11 *693:8 *948:10 0.000395432 +12 *693:8 *949:10 0.00753767 +13 *693:8 *951:12 0.00359749 +14 *693:8 *1335:13 8.47539e-05 +*RES +1 *2472:la_data_in_mprj[64] *693:5 63.8267 +2 *693:5 *693:7 4.5 +3 *693:7 *693:8 88.9054 +4 *693:8 *2478:la_input[64] 30.1846 +*END + +*D_NET *694 0.0203019 +*CONN +*I *2478:la_input[65] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[65] O *D mgmt_protect +*CAP +1 *2478:la_input[65] 0.00132874 +2 *2472:la_data_in_mprj[65] 0.00201996 +3 *694:12 0.00169372 +4 *694:10 0.000921928 +5 *694:5 0.00257691 +6 *2478:la_input[65] *1206:9 0 +7 *2478:la_input[65] *1334:9 0 +8 *694:5 *2472:la_data_out_mprj[65] 0 +9 *694:5 *2472:la_oenb_mprj[64] 0 +10 *694:10 *1204:10 0.000108945 +11 *694:10 *1206:10 0 +12 *694:10 *1331:10 4.66876e-05 +13 *694:10 *1333:10 0.000235491 +14 *694:10 *1337:12 0.00104188 +15 *694:12 *1203:10 0.000169038 +16 *694:12 *1331:10 2.52287e-06 +17 *694:12 *1333:10 0.00529049 +18 *691:8 *694:12 0.00486563 +*RES +1 *2472:la_data_in_mprj[65] *694:5 55.9369 +2 *694:5 *694:10 26.7324 +3 *694:10 *694:12 55.6292 +4 *694:12 *2478:la_input[65] 37.2439 +*END + +*D_NET *695 0.0187923 +*CONN +*I *2478:la_input[66] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[66] O *D mgmt_protect +*CAP +1 *2478:la_input[66] 0.00121944 +2 *2472:la_data_in_mprj[66] 0.00170223 +3 *695:8 0.00167927 +4 *695:7 0.000459829 +5 *695:5 0.00170223 +6 *2478:la_input[66] *1202:10 1.67988e-05 +7 *2478:la_input[66] *1207:9 0 +8 *2478:la_input[66] *1332:10 0.00139276 +9 *2478:la_input[66] *1335:9 0 +10 *695:5 *2472:la_data_out_mprj[66] 0.00126906 +11 *695:5 *2472:la_oenb_mprj[65] 0 +12 *695:8 *1202:10 0.00117808 +13 *695:8 *1205:10 0.00105799 +14 *695:8 *1208:10 0.00473362 +15 *695:8 *1209:10 9.24467e-05 +16 *695:8 *1210:12 0.000910579 +17 *695:8 *1332:10 3.83172e-05 +18 *689:8 *2478:la_input[66] 0.00133965 +*RES +1 *2472:la_data_in_mprj[66] *695:5 61.3352 +2 *695:5 *695:7 4.5 +3 *695:7 *695:8 51.1923 +4 *695:8 *2478:la_input[66] 47.428 +*END + +*D_NET *696 0.0113514 +*CONN +*I *2478:la_input[67] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[67] O *D mgmt_protect +*CAP +1 *2478:la_input[67] 0.00153806 +2 *2472:la_data_in_mprj[67] 0.00178726 +3 *696:8 0.00379158 +4 *696:7 0.00225352 +5 *696:5 0.00178726 +6 *2478:la_input[67] *2472:la_iena_mprj[60] 0.000193765 +7 *2478:la_input[67] *1208:9 0 +8 *696:5 *2472:la_data_out_mprj[67] 0 +9 *696:5 *2472:la_oenb_mprj[66] 0 +10 *696:8 *1206:10 0 +11 *689:5 *2478:la_input[67] 0 +12 *690:5 *2478:la_input[67] 0 +*RES +1 *2472:la_data_in_mprj[67] *696:5 49.7081 +2 *696:5 *696:7 4.5 +3 *696:7 *696:8 55.0746 +4 *696:8 *2478:la_input[67] 42.6177 +*END + +*D_NET *697 0.0146365 +*CONN +*I *2478:la_input[68] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[68] O *D mgmt_protect +*CAP +1 *2478:la_input[68] 0.000996632 +2 *2472:la_data_in_mprj[68] 0.0016216 +3 *697:16 0.00120401 +4 *697:13 0.000764503 +5 *697:5 0.00217872 +6 *2478:la_input[68] *1209:9 0 +7 *2478:la_input[68] *1337:9 0 +8 *697:5 *2472:la_data_out_mprj[68] 0.00125177 +9 *697:5 *2472:la_oenb_mprj[67] 0 +10 *697:13 *2472:la_data_out_mprj[66] 0 +11 *697:13 *2472:la_oenb_mprj[66] 0 +12 *697:13 *954:9 1.77537e-06 +13 *697:13 *1207:10 5.04829e-06 +14 *697:13 *1209:10 0.00126077 +15 *697:13 *1337:12 0 +16 *697:16 *951:12 0.000749025 +17 *697:16 *951:16 1.41689e-05 +18 *697:16 *1335:18 0.00190029 +19 *697:16 *1336:10 0.00268822 +*RES +1 *2472:la_data_in_mprj[68] *697:5 59.6742 +2 *697:5 *697:13 32.1333 +3 *697:13 *697:16 32.9536 +4 *697:16 *2478:la_input[68] 24.8541 +*END + +*D_NET *698 0.0126227 +*CONN +*I *2478:la_input[69] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[69] O *D mgmt_protect +*CAP +1 *2478:la_input[69] 0.000953759 +2 *2472:la_data_in_mprj[69] 0.00189359 +3 *698:10 0.00144022 +4 *698:5 0.00238005 +5 *2478:la_input[69] *1210:9 0 +6 *2478:la_input[69] *1338:9 0 +7 *698:5 *2472:la_data_out_mprj[69] 0 +8 *698:5 *2472:la_iena_mprj[68] 0 +9 *698:5 *2472:la_oenb_mprj[68] 0 +10 *698:5 *956:7 0.00224262 +11 *698:5 *1340:10 0 +12 *698:10 *952:10 0.00142877 +13 *698:10 *954:12 0.000438797 +14 *698:10 *1336:10 0.00184493 +*RES +1 *2472:la_data_in_mprj[69] *698:5 65.4877 +2 *698:5 *698:10 39.1174 +3 *698:10 *2478:la_input[69] 24.0236 +*END + +*D_NET *699 0.163498 +*CONN +*I *2478:la_input[6] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[6] O *D mgmt_protect +*CAP +1 *2478:la_input[6] 0.00194479 +2 *2472:la_data_in_mprj[6] 0.00182386 +3 *699:10 0.00194479 +4 *699:8 0.00638598 +5 *699:7 0.00820984 +6 *2478:la_input[6] *1211:9 0 +7 *2478:la_input[6] *1339:9 0 +8 *699:7 *2472:la_data_out_mprj[6] 0.000539293 +9 *699:7 *2472:la_iena_mprj[5] 0 +10 *699:7 *2472:la_oenb_mprj[5] 0 +11 *699:8 *710:8 0.000317418 +12 *699:8 *872:10 0.000861794 +13 *699:8 *872:16 1.41689e-05 +14 *699:8 *883:10 0.0622378 +15 *699:8 *900:10 0.0064111 +16 *655:8 *699:8 0.0696327 +17 *669:8 *699:8 0.00317413 +*RES +1 *2472:la_data_in_mprj[6] *699:7 45.0726 +2 *699:7 *699:8 766.631 +3 *699:8 *699:10 4.5 +4 *699:10 *2478:la_input[6] 48.9387 +*END + +*D_NET *700 0.00996327 +*CONN +*I *2478:la_input[70] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[70] O *D mgmt_protect +*CAP +1 *2478:la_input[70] 0.00103915 +2 *2472:la_data_in_mprj[70] 0.00201707 +3 *700:10 0.00123049 +4 *700:5 0.00220841 +5 *2478:la_input[70] *2472:la_oenb_mprj[67] 5.54078e-05 +6 *2478:la_input[70] *1212:9 0 +7 *2478:la_input[70] *1340:10 0 +8 *700:5 *2472:la_data_out_mprj[70] 0.000604798 +9 *700:5 *2472:la_iena_mprj[70] 0 +10 *700:5 *2472:la_oenb_mprj[69] 0 +11 *700:5 *2478:la_input[71] 1.77537e-06 +12 *700:10 *953:16 0.00027329 +13 *700:10 *954:12 1.49927e-05 +14 *700:10 *1212:12 0.00173451 +15 *700:10 *1213:10 0.00033061 +16 *700:10 *1340:10 0.000452771 +*RES +1 *2472:la_data_in_mprj[70] *700:5 62.9962 +2 *700:5 *700:10 27.4707 +3 *700:10 *2478:la_input[70] 26.5151 +*END + +*D_NET *701 0.007914 +*CONN +*I *2478:la_input[71] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[71] O *D mgmt_protect +*CAP +1 *2478:la_input[71] 0.00118312 +2 *2472:la_data_in_mprj[71] 0.0018442 +3 *701:5 0.00302732 +4 *2478:la_input[71] *1212:12 0.000224395 +5 *2478:la_input[71] *1213:10 0.000636352 +6 *2478:la_input[71] *1341:7 0 +7 *701:5 *2472:la_data_out_mprj[71] 0 +8 *701:5 *2472:la_oenb_mprj[70] 0 +9 *701:5 *1341:7 0.00099685 +10 *700:5 *2478:la_input[71] 1.77537e-06 +*RES +1 *2472:la_data_in_mprj[71] *701:5 62.9962 +2 *701:5 *2478:la_input[71] 42.3391 +*END + +*D_NET *702 0.00769864 +*CONN +*I *2478:la_input[72] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[72] O *D mgmt_protect +*CAP +1 *2478:la_input[72] 3.626e-05 +2 *2472:la_data_in_mprj[72] 0.000913551 +3 *702:11 0.00177655 +4 *702:9 0.00265385 +5 *702:9 *2472:la_data_out_mprj[72] 0.00165986 +6 *702:9 *2472:la_iena_mprj[71] 0 +7 *702:9 *2472:la_iena_mprj[72] 0 +8 *702:9 *2472:la_oenb_mprj[71] 0 +9 *702:11 *2472:la_iena_mprj[72] 0.000658569 +10 *702:11 *2472:la_oenb_mprj[72] 0 +11 *702:11 *1214:12 0 +*RES +1 *2472:la_data_in_mprj[72] *702:9 35.3819 +2 *702:9 *702:11 54.6667 +3 *702:11 *2478:la_input[72] 0.928211 +*END + +*D_NET *703 0.00817763 +*CONN +*I *2478:la_input[73] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[73] O *D mgmt_protect +*CAP +1 *2478:la_input[73] 8.30061e-05 +2 *2472:la_data_in_mprj[73] 0.00097702 +3 *703:11 0.00262028 +4 *703:10 0.0035143 +5 *703:10 *2472:la_data_out_mprj[73] 0.000180727 +6 *703:10 *2472:la_oenb_mprj[72] 0 +7 *703:10 *2472:la_oenb_mprj[73] 0.000579046 +8 *703:11 *2472:la_data_out_mprj[74] 0 +9 *703:11 *704:7 0 +10 *703:11 *705:7 0 +11 *703:11 *1215:7 0 +12 *703:11 *1216:13 0.000223258 +13 *703:11 *1343:7 0 +*RES +1 *2472:la_data_in_mprj[73] *703:10 37.9974 +2 *703:10 *703:11 71.3867 +3 *703:11 *2478:la_input[73] 2.05183 +*END + +*D_NET *704 0.0103159 +*CONN +*I *2478:la_input[74] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[74] O *D mgmt_protect +*CAP +1 *2478:la_input[74] 8.30061e-05 +2 *2472:la_data_in_mprj[74] 0.00088765 +3 *704:11 0.00219344 +4 *704:10 0.00262186 +5 *704:7 0.00139908 +6 *704:7 *2472:la_data_out_mprj[74] 0.000585287 +7 *704:7 *2472:la_iena_mprj[73] 0 +8 *704:7 *2472:la_oenb_mprj[73] 0 +9 *704:10 *705:10 0.00151339 +10 *704:10 *960:16 0.000115035 +11 *704:10 *1217:12 1.08524e-05 +12 *704:10 *1345:12 0.000897691 +13 *704:11 *960:16 8.62625e-06 +14 *704:11 *1216:7 0 +15 *704:11 *1344:7 0 +16 *703:11 *704:7 0 +*RES +1 *2472:la_data_in_mprj[74] *704:7 35.1065 +2 *704:7 *704:10 29.0714 +3 *704:10 *704:11 56.8529 +4 *704:11 *2478:la_input[74] 2.05183 +*END + +*D_NET *705 0.0136768 +*CONN +*I *2478:la_input[75] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[75] O *D mgmt_protect +*CAP +1 *2478:la_input[75] 8.30061e-05 +2 *2472:la_data_in_mprj[75] 0.000994894 +3 *705:11 0.00220685 +4 *705:10 0.00245043 +5 *705:7 0.00132148 +6 *705:7 *2472:la_data_out_mprj[75] 0 +7 *705:7 *2472:la_oenb_mprj[74] 0 +8 *705:7 *1216:13 0 +9 *705:7 *1343:7 0.000402434 +10 *705:10 *960:16 0.00100354 +11 *705:10 *961:10 0.00325949 +12 *705:10 *1345:12 0.00044131 +13 *705:11 *1217:7 0 +14 *703:11 *705:7 0 +15 *704:10 *705:10 0.00151339 +*RES +1 *2472:la_data_in_mprj[75] *705:7 34.6913 +2 *705:7 *705:10 41.2726 +3 *705:10 *705:11 57.2682 +4 *705:11 *2478:la_input[75] 2.05183 +*END + +*D_NET *706 0.0132947 +*CONN +*I *2478:la_input[76] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[76] O *D mgmt_protect +*CAP +1 *2478:la_input[76] 8.30061e-05 +2 *2472:la_data_in_mprj[76] 0.000911888 +3 *706:11 0.00222242 +4 *706:10 0.00213941 +5 *706:8 0.000863643 +6 *706:7 0.00177553 +7 *706:7 *2472:la_data_out_mprj[76] 0.000424927 +8 *706:7 *2472:la_iena_mprj[76] 0 +9 *706:7 *2472:la_oenb_mprj[75] 0 +10 *706:7 *1216:7 0 +11 *706:8 *707:8 0.000168238 +12 *706:8 *711:8 0.000339355 +13 *706:8 *960:16 0.000738369 +14 *706:8 *961:10 0.00359748 +15 *706:8 *1218:12 3.04269e-05 +16 *706:11 *1218:7 0 +17 *706:11 *1346:7 0 +*RES +1 *2472:la_data_in_mprj[76] *706:7 33.8608 +2 *706:7 *706:8 47.8647 +3 *706:8 *706:10 4.5 +4 *706:10 *706:11 58.0987 +5 *706:11 *2478:la_input[76] 2.05183 +*END + +*D_NET *707 0.0162367 +*CONN +*I *2478:la_input[77] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[77] O *D mgmt_protect +*CAP +1 *2478:la_input[77] 8.30061e-05 +2 *2472:la_data_in_mprj[77] 0.00101561 +3 *707:11 0.00232713 +4 *707:10 0.00224412 +5 *707:8 0.000799955 +6 *707:7 0.00181556 +7 *707:7 *2472:la_data_out_mprj[77] 0 +8 *707:7 *2472:la_iena_mprj[76] 0 +9 *707:7 *2472:la_oenb_mprj[76] 0 +10 *707:7 *1344:7 0 +11 *707:8 *711:8 0.000743683 +12 *707:8 *713:8 0.00156231 +13 *707:8 *963:10 0.00547712 +14 *707:8 *1346:10 0 +15 *707:11 *2472:la_data_out_mprj[84] 0 +16 *707:11 *1219:7 0 +17 *707:11 *1347:7 0 +18 *706:8 *707:8 0.000168238 +*RES +1 *2472:la_data_in_mprj[77] *707:7 31.7845 +2 *707:7 *707:8 60.066 +3 *707:8 *707:10 4.5 +4 *707:10 *707:11 60.1749 +5 *707:11 *2478:la_input[77] 2.05183 +*END + +*D_NET *708 0.0223757 +*CONN +*I *2478:la_input[78] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[78] O *D mgmt_protect +*CAP +1 *2478:la_input[78] 8.30061e-05 +2 *2472:la_data_in_mprj[78] 0.000479125 +3 *708:11 0.00190314 +4 *708:10 0.00182013 +5 *708:8 0.000888038 +6 *708:7 0.00136716 +7 *708:7 *2472:la_data_out_mprj[78] 0.000328102 +8 *708:7 *2472:la_oenb_mprj[77] 0 +9 *708:8 *1219:10 0 +10 *708:8 *1220:10 0.00587929 +11 *708:8 *1348:10 0.00602151 +12 *708:11 *2472:la_data_out_mprj[86] 0.00273113 +13 *708:11 *2472:la_iena_mprj[86] 0.000875045 +14 *708:11 *1220:7 0 +15 *708:11 *1348:7 0 +*RES +1 *2472:la_data_in_mprj[78] *708:7 20.988 +2 *708:7 *708:8 71.1581 +3 *708:8 *708:10 4.5 +4 *708:10 *708:11 70.9715 +5 *708:11 *2478:la_input[78] 2.05183 +*END + +*D_NET *709 0.0228045 +*CONN +*I *2478:la_input[79] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[79] O *D mgmt_protect +*CAP +1 *2478:la_input[79] 8.30061e-05 +2 *2472:la_data_in_mprj[79] 0.000712347 +3 *709:11 0.00250335 +4 *709:10 0.00242035 +5 *709:8 0.000974774 +6 *709:7 0.00168712 +7 *709:7 *2472:la_data_out_mprj[79] 0 +8 *709:7 *2472:la_oenb_mprj[78] 0 +9 *709:8 *967:10 0.00673697 +10 *709:8 *1219:10 0.000248172 +11 *709:8 *1221:10 0.000250542 +12 *709:8 *1348:10 7.06933e-05 +13 *709:8 *1351:10 0.00632081 +14 *709:11 *2472:la_iena_mprj[88] 0.000796373 +15 *709:11 *720:7 0 +16 *709:11 *1221:7 0 +17 *709:11 *1349:7 0 +*RES +1 *2472:la_data_in_mprj[79] *709:7 23.0642 +2 *709:7 *709:8 83.3593 +3 *709:8 *709:10 4.5 +4 *709:10 *709:11 68.8952 +5 *709:11 *2478:la_input[79] 2.05183 +*END + +*D_NET *710 0.194365 +*CONN +*I *2478:la_input[7] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[7] O *D mgmt_protect +*CAP +1 *2478:la_input[7] 8.29983e-05 +2 *2472:la_data_in_mprj[7] 0.00195054 +3 *710:11 0.00206791 +4 *710:10 0.00198491 +5 *710:8 0.00734413 +6 *710:7 0.00929467 +7 *710:7 *2472:la_data_out_mprj[7] 0 +8 *710:7 *2472:la_oenb_mprj[6] 0 +9 *710:8 *721:8 0.0797605 +10 *710:8 *892:14 0.000108607 +11 *710:8 *895:10 0.00522793 +12 *710:8 *897:10 0.000209909 +13 *710:11 *1222:7 0 +14 *710:11 *1350:7 0 +15 *637:14 *710:8 0.000118134 +16 *640:8 *710:8 0.00582041 +17 *688:8 *710:8 0.0800768 +18 *699:8 *710:8 0.000317418 +*RES +1 *2472:la_data_in_mprj[7] *710:7 41.6575 +2 *710:7 *710:8 104.077 +3 *710:8 *710:10 3.36879 +4 *710:10 *710:11 49.1707 +5 *710:11 *2478:la_input[7] 2.05183 +*END + +*D_NET *711 0.0209002 +*CONN +*I *2478:la_input[80] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[80] O *D mgmt_protect +*CAP +1 *2478:la_input[80] 8.30061e-05 +2 *2472:la_data_in_mprj[80] 0.000977178 +3 *711:11 0.00241982 +4 *711:10 0.00233682 +5 *711:8 0.00138307 +6 *711:7 0.00236025 +7 *711:7 *2472:la_data_out_mprj[80] 0.000348619 +8 *711:7 *2472:la_iena_mprj[80] 0 +9 *711:7 *2472:la_oenb_mprj[79] 0 +10 *711:8 *713:8 0.00714893 +11 *711:8 *1353:10 0.00275944 +12 *711:11 *2472:la_data_out_mprj[91] 0 +13 *711:11 *2472:la_iena_mprj[90] 0 +14 *711:11 *2472:la_iena_mprj[91] 0 +15 *711:11 *1223:7 0 +16 *711:11 *1351:7 0 +17 *706:8 *711:8 0.000339355 +18 *707:8 *711:8 0.000743683 +*RES +1 *2472:la_data_in_mprj[80] *711:7 32.615 +2 *711:7 *711:8 94.4514 +3 *711:8 *711:10 4.5 +4 *711:10 *711:11 59.3444 +5 *711:11 *2478:la_input[80] 2.05183 +*END + +*D_NET *712 0.0282999 +*CONN +*I *2478:la_input[81] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[81] O *D mgmt_protect +*CAP +1 *2478:la_input[81] 1.28869e-05 +2 *2472:la_data_in_mprj[81] 0.000780061 +3 *712:11 0.00232342 +4 *712:10 0.00231054 +5 *712:8 0.00120178 +6 *712:7 0.00198184 +7 *712:7 *2472:la_data_out_mprj[81] 0 +8 *712:7 *2472:la_iena_mprj[80] 0 +9 *712:7 *2472:la_oenb_mprj[80] 0 +10 *712:8 *968:10 0.0014812 +11 *712:8 *1219:10 0.0019318 +12 *712:8 *1351:10 0.00027732 +13 *712:8 *1352:10 0.00938895 +14 *712:8 *1358:20 0.0046198 +15 *712:11 *2472:la_data_out_mprj[93] 0 +16 *712:11 *2472:la_iena_mprj[93] 0.00190271 +17 *712:11 *2472:la_oenb_mprj[93] 8.75713e-05 +18 *712:11 *1352:7 0 +*RES +1 *2472:la_data_in_mprj[81] *712:7 24.31 +2 *712:7 *712:8 108.316 +3 *712:8 *712:10 4.5 +4 *712:10 *712:11 67.6495 +5 *712:11 *2478:la_input[81] 0.366399 +*END + +*D_NET *713 0.0238791 +*CONN +*I *2478:la_input[82] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[82] O *D mgmt_protect +*CAP +1 *2478:la_input[82] 8.30061e-05 +2 *2472:la_data_in_mprj[82] 0.000957244 +3 *713:11 0.00250462 +4 *713:10 0.00242161 +5 *713:8 0.00235899 +6 *713:7 0.00331623 +7 *713:7 *2472:la_data_out_mprj[82] 0.000382795 +8 *713:7 *2472:la_iena_mprj[82] 0 +9 *713:7 *2472:la_oenb_mprj[81] 0 +10 *713:7 *1346:7 0.000108413 +11 *713:8 *722:8 3.32499e-05 +12 *713:8 *963:10 0.000396946 +13 *713:8 *964:10 0.000334651 +14 *713:8 *965:10 9.39152e-05 +15 *713:8 *1353:10 0.000909351 +16 *713:8 *1360:16 0 +17 *713:8 *1362:16 0.000143231 +18 *713:8 *1363:16 0.00038227 +19 *713:8 *1365:16 0.000683081 +20 *713:11 *2472:la_data_out_mprj[95] 0 +21 *713:11 *2472:la_data_out_mprj[96] 0 +22 *713:11 *2472:la_iena_mprj[95] 0 +23 *713:11 *2472:la_oenb_mprj[95] 5.82975e-05 +24 *713:11 *1225:7 0 +25 *713:11 *1353:7 0 +26 *707:8 *713:8 0.00156231 +27 *711:8 *713:8 0.00714893 +*RES +1 *2472:la_data_in_mprj[82] *713:7 32.1998 +2 *713:7 *713:8 118.299 +3 *713:8 *713:10 4.5 +4 *713:10 *713:11 59.7597 +5 *713:11 *2478:la_input[82] 2.05183 +*END + +*D_NET *714 0.0340351 +*CONN +*I *2478:la_input[83] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[83] O *D mgmt_protect +*CAP +1 *2478:la_input[83] 8.30061e-05 +2 *2472:la_data_in_mprj[83] 0.000634451 +3 *714:11 0.00250739 +4 *714:10 0.00242438 +5 *714:8 0.00140403 +6 *714:7 0.00203848 +7 *714:7 *2472:la_data_out_mprj[83] 0 +8 *714:7 *2472:la_oenb_mprj[82] 0.00135413 +9 *714:8 *715:8 0.0111726 +10 *714:8 *969:10 9.66259e-05 +11 *714:8 *1226:10 0.0111366 +12 *714:8 *1349:10 0.00014932 +13 *714:8 *1354:10 0.000194684 +14 *714:11 *2472:la_data_out_mprj[98] 0.000839472 +15 *714:11 *2472:la_iena_mprj[98] 0 +16 *714:11 *2472:la_oenb_mprj[97] 0 +17 *714:11 *1226:7 0 +18 *714:11 *1241:13 0 +19 *714:11 *1354:7 0 +*RES +1 *2472:la_data_in_mprj[83] *714:7 27.632 +2 *714:7 *714:8 129.946 +3 *714:8 *714:10 4.5 +4 *714:10 *714:11 64.3275 +5 *714:11 *2478:la_input[83] 2.05183 +*END + +*D_NET *715 0.0350352 +*CONN +*I *2478:la_input[84] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[84] O *D mgmt_protect +*CAP +1 *2478:la_input[84] 8.30061e-05 +2 *2472:la_data_in_mprj[84] 0.000801117 +3 *715:11 0.00257086 +4 *715:10 0.00248786 +5 *715:8 0.0016124 +6 *715:7 0.00241352 +7 *715:7 *2472:la_data_out_mprj[84] 0.00040604 +8 *715:7 *2472:la_iena_mprj[83] 0 +9 *715:7 *2472:la_oenb_mprj[83] 0 +10 *715:7 *1219:7 0 +11 *715:8 *731:8 0.000212979 +12 *715:8 *1227:10 0.0122492 +13 *715:8 *1349:10 9.27159e-05 +14 *715:8 *1354:10 0.000108598 +15 *715:8 *1357:16 0.00020979 +16 *715:8 *1358:16 1.40453e-05 +17 *715:11 *1118:13 0.000600483 +18 *715:11 *1227:7 0 +19 *714:8 *715:8 0.0111726 +*RES +1 *2472:la_data_in_mprj[84] *715:7 28.0472 +2 *715:7 *715:8 141.593 +3 *715:8 *715:10 4.5 +4 *715:10 *715:11 63.9122 +5 *715:11 *2478:la_input[84] 2.05183 +*END + +*D_NET *716 0.0298025 +*CONN +*I *2478:la_input[85] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[85] O *D mgmt_protect +*CAP +1 *2478:la_input[85] 0.00195309 +2 *2472:la_data_in_mprj[85] 0.00148598 +3 *716:10 0.00195309 +4 *716:8 0.00404831 +5 *716:7 0.00553429 +6 *2478:la_input[85] *2472:la_oenb_mprj[102] 0.00108477 +7 *2478:la_input[85] *1228:9 0 +8 *2478:la_input[85] *1356:9 0 +9 *716:7 *2472:la_data_out_mprj[85] 0 +10 *716:7 *2472:la_oenb_mprj[84] 0 +11 *716:7 *963:7 0.000372142 +12 *716:8 *717:8 0.0133709 +*RES +1 *2472:la_data_in_mprj[85] *716:7 44.2421 +2 *716:7 *716:8 153.239 +3 *716:8 *716:10 4.5 +4 *716:10 *2478:la_input[85] 49.7692 +*END + +*D_NET *717 0.0403345 +*CONN +*I *2478:la_input[86] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[86] O *D mgmt_protect +*CAP +1 *2478:la_input[86] 0.00209891 +2 *2472:la_data_in_mprj[86] 0.00115008 +3 *717:10 0.00209891 +4 *717:8 0.00181771 +5 *717:7 0.00296779 +6 *2478:la_input[86] *2472:la_iena_mprj[105] 8.8538e-05 +7 *2478:la_input[86] *1357:9 0 +8 *2478:la_input[86] *1360:15 0 +9 *2478:la_input[86] *1362:15 0 +10 *2478:la_input[86] *1363:15 0 +11 *717:7 *2472:la_data_out_mprj[86] 0.000721622 +12 *717:7 *2472:la_oenb_mprj[85] 0 +13 *717:7 *1220:7 0.000402864 +14 *717:8 *718:8 0.000203471 +15 *717:8 *719:8 0.0138811 +16 *717:8 *1121:16 0.00153273 +17 *716:8 *717:8 0.0133709 +*RES +1 *2472:la_data_in_mprj[86] *717:7 44.6573 +2 *717:7 *717:8 166.55 +3 *717:8 *717:10 4.5 +4 *717:10 *2478:la_input[86] 47.6685 +*END + +*D_NET *718 0.0324007 +*CONN +*I *2478:la_input[87] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[87] O *D mgmt_protect +*CAP +1 *2478:la_input[87] 0.0020995 +2 *2472:la_data_in_mprj[87] 0.0014497 +3 *718:10 0.0020995 +4 *718:8 0.00446766 +5 *718:7 0.00591736 +6 *2478:la_input[87] *2472:la_iena_mprj[107] 0.000253826 +7 *2478:la_input[87] *1230:9 0 +8 *2478:la_input[87] *1358:9 0 +9 *2478:la_input[87] *1365:15 0 +10 *718:7 *2472:la_data_out_mprj[87] 0 +11 *718:7 *2472:la_oenb_mprj[86] 0 +12 *718:7 *1348:7 0.000627745 +13 *718:8 *719:8 0.000332046 +14 *718:8 *720:8 0.0148556 +15 *718:8 *1123:14 9.43344e-05 +16 *614:5 *2478:la_input[87] 0 +17 *717:8 *718:8 0.000203471 +*RES +1 *2472:la_data_in_mprj[87] *718:7 45.9031 +2 *718:7 *718:8 177.087 +3 *718:8 *718:10 4.5 +4 *718:10 *2478:la_input[87] 47.5464 +*END + +*D_NET *719 0.0449176 +*CONN +*I *2478:la_input[88] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[88] O *D mgmt_protect +*CAP +1 *2478:la_input[88] 0.00205136 +2 *2472:la_data_in_mprj[88] 0.00118275 +3 *719:10 0.00205136 +4 *719:8 0.0018734 +5 *719:7 0.00305615 +6 *2478:la_input[88] *2472:la_iena_mprj[109] 0 +7 *2478:la_input[88] *1231:9 0 +8 *2478:la_input[88] *1359:9 0 +9 *719:7 *2472:la_data_out_mprj[88] 0.000348489 +10 *719:7 *2472:la_iena_mprj[87] 0 +11 *719:7 *2472:la_oenb_mprj[87] 0 +12 *719:7 *964:7 0 +13 *719:7 *1221:7 0.00131893 +14 *719:8 *720:8 0.0167182 +15 *719:8 *1121:16 0.00155451 +16 *617:5 *2478:la_input[88] 0.000549425 +17 *717:8 *719:8 0.0138811 +18 *718:8 *719:8 0.000332046 +*RES +1 *2472:la_data_in_mprj[88] *719:7 45.0726 +2 *719:7 *719:8 188.179 +3 *719:8 *719:10 4.5 +4 *719:10 *2478:la_input[88] 48.9387 +*END + +*D_NET *720 0.0471918 +*CONN +*I *2478:la_input[89] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[89] O *D mgmt_protect +*CAP +1 *2478:la_input[89] 0.00224055 +2 *2472:la_data_in_mprj[89] 0.00165116 +3 *720:10 0.00224055 +4 *720:8 0.00203949 +5 *720:7 0.00369064 +6 *2478:la_input[89] *1232:9 0 +7 *2478:la_input[89] *1360:9 0 +8 *720:7 *2472:la_iena_mprj[88] 0 +9 *720:7 *2472:la_oenb_mprj[88] 0 +10 *720:7 *976:13 0 +11 *720:7 *1349:7 0 +12 *720:8 *870:16 0.00327618 +13 *720:8 *1121:16 0.000479461 +14 *720:8 *1123:14 0 +15 *619:5 *2478:la_input[89] 0 +16 *709:11 *720:7 0 +17 *718:8 *720:8 0.0148556 +18 *719:8 *720:8 0.0167182 +*RES +1 *2472:la_data_in_mprj[89] *720:7 45.4878 +2 *720:7 *720:8 200.381 +3 *720:8 *720:10 4.5 +4 *720:10 *2478:la_input[89] 48.5234 +*END + +*D_NET *721 0.190228 +*CONN +*I *2478:la_input[8] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[8] O *D mgmt_protect +*CAP +1 *2478:la_input[8] 8.29983e-05 +2 *2472:la_data_in_mprj[8] 0.00171813 +3 *721:11 0.00211791 +4 *721:10 0.00203491 +5 *721:8 0.00700723 +6 *721:7 0.00872536 +7 *721:7 *2472:la_data_out_mprj[8] 0.000387405 +8 *721:7 *2472:la_iena_mprj[8] 0 +9 *721:7 *2472:la_oenb_mprj[7] 0 +10 *721:7 *927:7 0 +11 *721:7 *1308:21 0 +12 *721:8 *732:8 0.0785088 +13 *721:8 *897:10 0 +14 *721:11 *1233:9 0 +15 *721:11 *1361:9 0 +16 *641:8 *721:8 0.00548963 +17 *642:8 *721:8 0.00439521 +18 *710:8 *721:8 0.0797605 +*RES +1 *2472:la_data_in_mprj[8] *721:7 40.827 +2 *721:7 *721:8 102.547 +3 *721:8 *721:10 3.36879 +4 *721:10 *721:11 50.0013 +5 *721:11 *2478:la_input[8] 2.05183 +*END + +*D_NET *722 0.0459761 +*CONN +*I *2478:la_input[90] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[90] O *D mgmt_protect +*CAP +1 *2478:la_input[90] 1.28869e-05 +2 *2472:la_data_in_mprj[90] 0.00086679 +3 *722:11 0.00278449 +4 *722:10 0.00277161 +5 *722:8 0.00282523 +6 *722:7 0.00369202 +7 *722:7 *2472:la_data_out_mprj[90] 0.000446095 +8 *722:7 *2472:la_iena_mprj[89] 0 +9 *722:7 *2472:la_oenb_mprj[89] 0 +10 *722:7 *965:7 1.77537e-06 +11 *722:8 *723:8 0.000402073 +12 *722:8 *864:16 0 +13 *722:8 *868:24 0.00695434 +14 *722:8 *1257:16 0.000820031 +15 *722:8 *1360:16 0.0120208 +16 *722:8 *1362:16 0.0119275 +17 *722:8 *1363:16 1.65872e-05 +18 *722:8 *1365:16 0.000316073 +19 *722:8 *1369:16 8.46377e-05 +20 *722:11 *2472:la_data_out_mprj[114] 0 +21 *722:11 *2472:la_data_out_mprj[115] 0 +22 *722:11 *2472:la_iena_mprj[114] 0 +23 *722:11 *1362:9 0 +24 *713:8 *722:8 3.32499e-05 +*RES +1 *2472:la_data_in_mprj[90] *722:7 30.1235 +2 *722:7 *722:8 213.691 +3 *722:8 *722:10 4.5 +4 *722:10 *722:11 61.8359 +5 *722:11 *2478:la_input[90] 0.366399 +*END + +*D_NET *723 0.0509852 +*CONN +*I *2478:la_input[91] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[91] O *D mgmt_protect +*CAP +1 *2478:la_input[91] 8.30061e-05 +2 *2472:la_data_in_mprj[91] 0.00101093 +3 *723:11 0.00291274 +4 *723:10 0.00282974 +5 *723:8 0.00223164 +6 *723:7 0.00324257 +7 *723:7 *2472:la_data_out_mprj[91] 0 +8 *723:7 *2472:la_oenb_mprj[90] 0 +9 *723:8 *868:24 0.00866402 +10 *723:8 *1119:10 0 +11 *723:8 *1120:16 0.000328922 +12 *723:8 *1250:10 5.65165e-05 +13 *723:8 *1252:10 0.0082498 +14 *723:8 *1357:16 0.00910478 +15 *723:8 *1360:16 0.0112542 +16 *723:11 *2472:la_data_out_mprj[117] 0 +17 *723:11 *2472:la_iena_mprj[116] 0 +18 *723:11 *2472:la_oenb_mprj[116] 0 +19 *723:11 *1235:9 0 +20 *723:11 *1363:9 0 +21 *611:8 *723:8 0.000614281 +22 *722:8 *723:8 0.000402073 +*RES +1 *2472:la_data_in_mprj[91] *723:7 29.293 +2 *723:7 *723:8 223.674 +3 *723:8 *723:10 4.5 +4 *723:10 *723:11 62.6664 +5 *723:11 *2478:la_input[91] 2.05183 +*END + +*D_NET *724 0.0471403 +*CONN +*I *2478:la_input[92] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[92] O *D mgmt_protect +*CAP +1 *2478:la_input[92] 8.30061e-05 +2 *2472:la_data_in_mprj[92] 0.000559547 +3 *724:11 0.00290733 +4 *724:10 0.00282432 +5 *724:8 0.00369504 +6 *724:7 0.00425459 +7 *724:7 *2472:la_data_out_mprj[92] 0.000358915 +8 *724:7 *2472:la_oenb_mprj[91] 0 +9 *724:8 *728:8 0.000266298 +10 *724:8 *730:8 0.000426855 +11 *724:8 *862:16 0.000366352 +12 *724:8 *863:16 0.0145105 +13 *724:8 *967:10 0.000213725 +14 *724:8 *1224:10 9.44554e-05 +15 *724:8 *1352:10 0.000658933 +16 *724:8 *1358:16 0 +17 *724:8 *1358:20 0.000264175 +18 *724:8 *1359:16 0.00038789 +19 *724:11 *2472:la_data_out_mprj[119] 0.000975783 +20 *724:11 *2472:la_iena_mprj[119] 0.000259105 +21 *724:11 *1236:9 0 +22 *724:11 *1364:9 0 +23 *607:8 *724:8 0.000143231 +24 *608:8 *724:8 0.0138903 +*RES +1 *2472:la_data_in_mprj[92] *724:7 23.0642 +2 *724:7 *724:8 234.766 +3 *724:8 *724:10 4.5 +4 *724:10 *724:11 68.8952 +5 *724:11 *2478:la_input[92] 2.05183 +*END + +*D_NET *725 0.0560656 +*CONN +*I *2478:la_input[93] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[93] O *D mgmt_protect +*CAP +1 *2478:la_input[93] 0.00156814 +2 *2472:la_data_in_mprj[93] 0.00178015 +3 *725:8 0.00389463 +4 *725:7 0.00232648 +5 *725:5 0.00178015 +6 *2478:la_input[93] *1237:9 0 +7 *2478:la_input[93] *1251:15 0.000710928 +8 *2478:la_input[93] *1365:9 0 +9 *725:5 *2472:la_data_out_mprj[93] 0 +10 *725:5 *2472:la_oenb_mprj[92] 0 +11 *725:5 *1224:7 0.000594313 +12 *725:8 *726:8 0.000156579 +13 *725:8 *980:10 2.68003e-05 +14 *725:8 *1236:10 0.0208913 +15 *725:8 *1237:10 9.66124e-05 +16 *725:8 *1238:10 0.0220259 +17 *725:8 *1364:10 0.00021369 +*RES +1 *2472:la_data_in_mprj[93] *725:5 50.9539 +2 *725:5 *725:7 4.5 +3 *725:7 *725:8 246.967 +4 *725:8 *2478:la_input[93] 43.0574 +*END + +*D_NET *726 0.0598289 +*CONN +*I *2478:la_input[94] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[94] O *D mgmt_protect +*CAP +1 *2478:la_input[94] 0.00171474 +2 *2472:la_data_in_mprj[94] 0.00122134 +3 *726:8 0.00385789 +4 *726:7 0.00214315 +5 *726:5 0.00122134 +6 *2478:la_input[94] *1121:15 0 +7 *2478:la_input[94] *1238:9 0 +8 *2478:la_input[94] *1366:9 0 +9 *726:5 *2472:la_data_out_mprj[94] 0.000953098 +10 *726:5 *2472:la_oenb_mprj[93] 0 +11 *726:5 *1352:7 0.00190121 +12 *726:8 *985:12 0.000257169 +13 *726:8 *1237:10 0.0219239 +14 *726:8 *1238:10 0.0234447 +15 *726:8 *1239:10 0.00103384 +16 *725:8 *726:8 0.000156579 +*RES +1 *2472:la_data_in_mprj[94] *726:5 51.7844 +2 *726:5 *726:7 4.5 +3 *726:7 *726:8 258.059 +4 *726:8 *2478:la_input[94] 42.2269 +*END + +*D_NET *727 0.0628924 +*CONN +*I *2478:la_input[95] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[95] O *D mgmt_protect +*CAP +1 *2478:la_input[95] 0.00156702 +2 *2472:la_data_in_mprj[95] 0.00199519 +3 *727:8 0.00380342 +4 *727:7 0.0022364 +5 *727:5 0.00199519 +6 *2478:la_input[95] *1239:9 0 +7 *2478:la_input[95] *1367:9 0 +8 *727:5 *2472:la_data_out_mprj[95] 0 +9 *727:5 *2472:la_oenb_mprj[94] 0 +10 *727:5 *968:7 0 +11 *727:5 *1225:7 0.000592335 +12 *727:8 *970:10 0 +13 *727:8 *982:10 0.0244833 +14 *727:8 *983:16 5.07452e-05 +15 *727:8 *984:10 0.0244962 +16 *727:8 *985:12 0.00123647 +17 *727:8 *1121:10 0.000436184 +18 *634:5 *2478:la_input[95] 0 +*RES +1 *2472:la_data_in_mprj[95] *727:5 54.6912 +2 *727:5 *727:7 4.5 +3 *727:7 *727:8 270.815 +4 *727:8 *2478:la_input[95] 38.7583 +*END + +*D_NET *728 0.0645816 +*CONN +*I *2478:la_input[96] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[96] O *D mgmt_protect +*CAP +1 *2478:la_input[96] 8.30061e-05 +2 *2472:la_data_in_mprj[96] 0.00032194 +3 *728:11 0.00275642 +4 *728:10 0.00267341 +5 *728:8 0.0027005 +6 *728:7 0.00302244 +7 *728:7 *2472:la_data_out_mprj[96] 0.000399232 +8 *728:7 *2472:la_oenb_mprj[95] 0.00122938 +9 *728:8 *729:8 0.00105459 +10 *728:8 *730:8 0.0247319 +11 *728:8 *1358:16 0.000193373 +12 *728:11 *2472:mprj_we_o_core 0.00201616 +13 *728:11 *730:11 0 +14 *728:11 *1240:9 0 +15 *728:11 *1368:9 0 +16 *606:8 *728:8 0.0231329 +17 *724:8 *728:8 0.000266298 +*RES +1 *2472:la_data_in_mprj[96] *728:7 24.7252 +2 *728:7 *728:8 281.907 +3 *728:8 *728:10 4.5 +4 *728:10 *728:11 67.2342 +5 *728:11 *2478:la_input[96] 2.05183 +*END + +*D_NET *729 0.0665094 +*CONN +*I *2478:la_input[97] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[97] O *D mgmt_protect +*CAP +1 *2478:la_input[97] 8.30061e-05 +2 *2472:la_data_in_mprj[97] 0.000797537 +3 *729:11 0.00297989 +4 *729:10 0.00289689 +5 *729:8 0.00261968 +6 *729:7 0.00341722 +7 *729:7 *2472:la_data_out_mprj[97] 0 +8 *729:7 *2472:la_oenb_mprj[96] 0 +9 *729:8 *731:8 0.000450369 +10 *729:8 *865:16 0.0223765 +11 *729:8 *1358:16 0.00401097 +12 *729:11 *2472:mprj_adr_o_core[2] 0.000838174 +13 *729:11 *2472:mprj_dat_o_core[2] 0 +14 *729:11 *1241:9 0 +15 *729:11 *1369:9 0 +16 *606:8 *729:8 0.0249845 +17 *728:8 *729:8 0.00105459 +*RES +1 *2472:la_data_in_mprj[97] *729:7 25.5557 +2 *729:7 *729:8 293.554 +3 *729:8 *729:10 4.5 +4 *729:10 *729:11 66.4037 +5 *729:11 *2478:la_input[97] 2.05183 +*END + +*D_NET *730 0.0671442 +*CONN +*I *2478:la_input[98] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[98] O *D mgmt_protect +*CAP +1 *2478:la_input[98] 0.00105277 +2 *2472:la_data_in_mprj[98] 0.000583497 +3 *730:16 0.00159817 +4 *730:11 0.00234763 +5 *730:8 0.0042783 +6 *730:7 0.00305957 +7 *2478:la_input[98] *1242:9 0 +8 *730:7 *2472:la_data_out_mprj[98] 0.000390306 +9 *730:7 *2472:la_oenb_mprj[97] 0 +10 *730:8 *862:16 0.0219013 +11 *730:8 *863:16 0.000307881 +12 *730:8 *2191:16 0.000802118 +13 *730:11 *2472:mprj_dat_o_core[0] 0.000448313 +14 *730:11 *984:9 0 +15 *730:11 *1368:9 0.00046086 +16 *730:16 *1251:10 0.00331486 +17 *606:8 *730:8 0.000233938 +18 *608:8 *730:8 1.5006e-05 +19 *609:13 *730:11 0.000592538 +20 *613:8 *730:16 0.000598459 +21 *724:8 *730:8 0.000426855 +22 *728:8 *730:8 0.0247319 +23 *728:11 *730:11 0 +*RES +1 *2472:la_data_in_mprj[98] *730:7 24.31 +2 *730:7 *730:8 270.261 +3 *730:8 *730:11 49.9335 +4 *730:11 *730:16 44.1088 +5 *730:16 *2478:la_input[98] 24.4388 +*END + +*D_NET *731 0.0719394 +*CONN +*I *2478:la_input[99] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[99] O *D mgmt_protect +*CAP +1 *2478:la_input[99] 8.30061e-05 +2 *2472:la_data_in_mprj[99] 0.000847231 +3 *731:11 0.00270584 +4 *731:10 0.00262284 +5 *731:8 0.00300456 +6 *731:7 0.00385179 +7 *731:7 *2472:la_data_out_mprj[99] 0 +8 *731:7 *2472:la_oenb_mprj[98] 0 +9 *731:8 *865:16 0.0261415 +10 *731:8 *1119:10 0.027539 +11 *731:8 *1357:16 0 +12 *731:8 *1358:16 0.00240557 +13 *731:11 *2472:mprj_adr_o_core[8] 0.000418286 +14 *731:11 *2472:mprj_dat_o_core[7] 0.00165642 +15 *731:11 *1243:9 0 +16 *731:11 *1371:9 0 +17 *731:11 *1678:5 0 +18 *715:8 *731:8 0.000212979 +19 *729:8 *731:8 0.000450369 +*RES +1 *2472:la_data_in_mprj[99] *731:7 26.3862 +2 *731:7 *731:8 316.847 +3 *731:8 *731:10 4.5 +4 *731:10 *731:11 65.5732 +5 *731:11 *2478:la_input[99] 2.05183 +*END + +*D_NET *732 0.179978 +*CONN +*I *2478:la_input[9] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[9] O *D mgmt_protect +*CAP +1 *2478:la_input[9] 8.29983e-05 +2 *2472:la_data_in_mprj[9] 0.00181013 +3 *732:11 0.00217229 +4 *732:10 0.00208929 +5 *732:8 0.0081031 +6 *732:7 0.00991323 +7 *732:7 *2472:la_data_out_mprj[9] 0 +8 *732:7 *2472:la_oenb_mprj[8] 0 +9 *732:8 *893:10 0.000852124 +10 *732:8 *894:10 0.067458 +11 *732:8 *897:10 0 +12 *732:8 *899:10 0.00420694 +13 *732:11 *1372:7 0 +14 *646:8 *732:8 0.00478076 +15 *721:8 *732:8 0.0785088 +*RES +1 *2472:la_data_in_mprj[9] *732:7 39.9965 +2 *732:7 *732:8 100.864 +3 *732:8 *732:10 3.36879 +4 *732:10 *732:11 50.8318 +5 *732:11 *2478:la_input[9] 2.05183 +*END + +*D_NET *733 0.0624824 +*CONN +*I *2473:la_data_in[0] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[0] O *D mgmt_protect +*CAP +1 *2473:la_data_in[0] 0.00215197 +2 *2472:la_data_in_core[0] 0.00107082 +3 *733:20 0.00282776 +4 *733:14 0.00243108 +5 *733:13 0.00296639 +6 *733:7 0.00228191 +7 *2473:la_data_in[0] *1645:9 0 +8 *733:7 *2472:la_data_out_core[0] 0 +9 *733:7 *794:11 0 +10 *733:7 *1373:7 0 +11 *733:7 *1769:7 0 +12 *733:13 *1373:8 0.00284978 +13 *733:13 *1633:7 0 +14 *733:13 *1766:8 0 +15 *733:14 *783:8 0.000318072 +16 *733:14 *1039:12 0.0198614 +17 *733:14 *1691:8 7.02172e-06 +18 *733:14 *1769:8 0.0206364 +19 *733:20 *1616:8 0.00012309 +20 *733:20 *1633:14 0.000877072 +21 *733:20 *1691:8 0.00398786 +22 *733:20 *1769:8 9.17756e-05 +*RES +1 *2472:la_data_in_core[0] *733:7 33.4455 +2 *733:7 *733:13 49.6697 +3 *733:13 *733:14 219.792 +4 *733:14 *733:20 48.2172 +5 *733:20 *2473:la_data_in[0] 45.9709 +*END + +*D_NET *734 0.223832 +*CONN +*I *2473:la_data_in[100] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[100] O *D mgmt_protect +*CAP +1 *2473:la_data_in[100] 0.0028871 +2 *2472:la_data_in_core[100] 0.000878096 +3 *734:10 0.0028871 +4 *734:8 0.00710371 +5 *734:7 0.00798181 +6 *734:7 *2472:la_data_out_core[100] 0 +7 *734:7 *1374:7 0 +8 *734:7 *1499:7 0 +9 *734:8 *858:8 0.0950108 +10 *734:8 *990:8 0.0988812 +11 *734:8 *1001:8 0.00328194 +12 *734:8 *1009:14 0.00472134 +13 *734:8 *1017:14 0.000198862 +*RES +1 *2472:la_data_in_core[100] *734:7 24.31 +2 *734:7 *734:8 1040.61 +3 *734:8 *734:10 4.5 +4 *734:10 *2473:la_data_in[100] 64.242 +*END + +*D_NET *735 0.228864 +*CONN +*I *2473:la_data_in[101] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[101] O *D mgmt_protect +*CAP +1 *2473:la_data_in[101] 0.00290817 +2 *2472:la_data_in_core[101] 0.000848886 +3 *735:10 0.00290817 +4 *735:8 0.00684187 +5 *735:7 0.00769076 +6 *735:7 *991:11 0 +7 *735:7 *1374:7 0 +8 *735:8 *736:8 8.90486e-05 +9 *735:8 *990:8 0.0990534 +10 *735:8 *992:8 0.099385 +11 *735:8 *1001:8 0.00344709 +12 *735:8 *1017:14 0.00569167 +*RES +1 *2472:la_data_in_core[101] *735:7 23.4795 +2 *735:7 *735:8 1053.92 +3 *735:8 *735:10 4.5 +4 *735:10 *2473:la_data_in[101] 65.0725 +*END + +*D_NET *736 0.234415 +*CONN +*I *2473:la_data_in[102] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[102] O *D mgmt_protect +*CAP +1 *2473:la_data_in[102] 0.00292922 +2 *2472:la_data_in_core[102] 0.000782501 +3 *736:10 0.00292922 +4 *736:8 0.00667624 +5 *736:7 0.00745874 +6 *736:7 *2472:la_data_out_core[102] 0 +7 *736:7 *2473:la_data_in[52] 8.07629e-05 +8 *736:7 *1375:5 0 +9 *736:8 *737:8 0.100932 +10 *736:8 *809:8 0 +11 *736:8 *992:8 0.101458 +12 *736:8 *1001:8 0.0110792 +13 *735:8 *736:8 8.90486e-05 +*RES +1 *2472:la_data_in_core[102] *736:7 22.649 +2 *736:7 *736:8 1067.23 +3 *736:8 *736:10 4.5 +4 *736:10 *2473:la_data_in[102] 65.903 +*END + +*D_NET *737 0.237148 +*CONN +*I *2473:la_data_in[103] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[103] O *D mgmt_protect +*CAP +1 *2473:la_data_in[103] 0.00293211 +2 *2472:la_data_in_core[103] 0.00079824 +3 *737:10 0.00293211 +4 *737:8 0.0069626 +5 *737:7 0.00776083 +6 *737:7 *993:11 0 +7 *737:7 *1376:7 0 +8 *737:8 *738:8 0.102166 +9 *737:8 *809:8 0 +10 *737:8 *992:8 0.000313928 +11 *737:8 *1001:8 0.0123504 +12 *736:8 *737:8 0.100932 +*RES +1 *2472:la_data_in_core[103] *737:7 22.2337 +2 *737:7 *737:8 1080.54 +3 *737:8 *737:10 4.5 +4 *737:10 *2473:la_data_in[103] 66.3182 +*END + +*D_NET *738 0.239322 +*CONN +*I *2473:la_data_in[104] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[104] O *D mgmt_protect +*CAP +1 *2473:la_data_in[104] 0.00293621 +2 *2472:la_data_in_core[104] 0.000783634 +3 *738:10 0.00293621 +4 *738:8 0.00703082 +5 *738:7 0.00781446 +6 *738:7 *2472:la_data_out_core[104] 0 +7 *738:7 *2473:la_data_in[53] 0 +8 *738:7 *1377:5 0 +9 *738:8 *809:8 0 +10 *738:8 *994:8 0.104018 +11 *738:8 *1003:8 0.0116368 +12 *737:8 *738:8 0.102166 +*RES +1 *2472:la_data_in_core[104] *738:7 21.8185 +2 *738:7 *738:8 1093.85 +3 *738:8 *738:10 4.5 +4 *738:10 *2473:la_data_in[104] 66.7335 +*END + +*D_NET *739 0.242278 +*CONN +*I *2473:la_data_in[105] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[105] O *D mgmt_protect +*CAP +1 *2473:la_data_in[105] 0.00296625 +2 *2472:la_data_in_core[105] 0.000747593 +3 *739:10 0.00296625 +4 *739:8 0.00708629 +5 *739:7 0.00783389 +6 *739:7 *995:11 0 +7 *739:7 *1378:7 0 +8 *739:8 *740:8 0.000113197 +9 *739:8 *748:8 0.011912 +10 *739:8 *810:8 0 +11 *739:8 *994:8 0.10419 +12 *739:8 *996:8 0.104462 +*RES +1 *2472:la_data_in_core[105] *739:7 20.988 +2 *739:7 *739:8 1107.16 +3 *739:8 *739:10 4.5 +4 *739:10 *2473:la_data_in[105] 67.564 +*END + +*D_NET *740 0.246191 +*CONN +*I *2473:la_data_in[106] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[106] O *D mgmt_protect +*CAP +1 *2473:la_data_in[106] 0.00299284 +2 *2472:la_data_in_core[106] 0.000718383 +3 *740:10 0.00299284 +4 *740:8 0.00691279 +5 *740:7 0.00763117 +6 *740:7 *2472:la_data_out_core[106] 0 +7 *740:7 *1379:5 0 +8 *740:8 *741:8 0.106062 +9 *740:8 *749:8 0.0121944 +10 *740:8 *810:8 4.41346e-05 +11 *740:8 *996:8 0.106529 +12 *739:8 *740:8 0.000113197 +*RES +1 *2472:la_data_in_core[106] *740:7 20.1574 +2 *740:7 *740:8 1120.47 +3 *740:8 *740:10 4.5 +4 *740:10 *2473:la_data_in[106] 68.3945 +*END + +*D_NET *741 0.249044 +*CONN +*I *2473:la_data_in[107] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[107] O *D mgmt_protect +*CAP +1 *2473:la_data_in[107] 0.00299351 +2 *2472:la_data_in_core[107] 0.000686986 +3 *741:10 0.00299351 +4 *741:8 0.00721645 +5 *741:7 0.00790344 +6 *741:7 *2472:la_data_out_core[107] 0 +7 *741:7 *2473:la_data_in[54] 4.90673e-05 +8 *741:7 *1380:7 0 +9 *741:8 *742:8 0.000113197 +10 *741:8 *749:8 0.0135713 +11 *741:8 *810:8 1.53125e-05 +12 *741:8 *811:8 4.08456e-05 +13 *741:8 *996:8 0.000313928 +14 *741:8 *998:8 0.107084 +15 *740:8 *741:8 0.106062 +*RES +1 *2472:la_data_in_core[107] *741:7 19.7422 +2 *741:7 *741:8 1134.33 +3 *741:8 *741:10 4.5 +4 *741:10 *2473:la_data_in[107] 68.8097 +*END + +*D_NET *742 0.25326 +*CONN +*I *2473:la_data_in[108] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[108] O *D mgmt_protect +*CAP +1 *2473:la_data_in[108] 0.00302492 +2 *2472:la_data_in_core[108] 0.000667736 +3 *742:10 0.00302492 +4 *742:8 0.00699474 +5 *742:7 0.00766248 +6 *742:7 *2472:la_data_out_core[108] 0 +7 *742:7 *1381:9 0 +8 *742:8 *743:8 0.108624 +9 *742:8 *750:8 0.0138706 +10 *742:8 *811:8 0.000179244 +11 *742:8 *998:8 0.109098 +12 *741:8 *742:8 0.000113197 +*RES +1 *2472:la_data_in_core[108] *742:7 18.9117 +2 *742:7 *742:8 1147.09 +3 *742:8 *742:10 4.5 +4 *742:10 *2473:la_data_in[108] 69.6402 +*END + +*D_NET *743 0.253872 +*CONN +*I *2473:la_data_in[109] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[109] O *D mgmt_protect +*CAP +1 *2473:la_data_in[109] 0.00302909 +2 *2472:la_data_in_core[109] 0.000653131 +3 *743:10 0.00302909 +4 *743:8 0.0072672 +5 *743:7 0.00792033 +6 *743:7 *2472:la_data_out_core[109] 0 +7 *743:7 *1382:7 0 +8 *743:8 *745:8 0.109912 +9 *743:8 *751:8 0.0128389 +10 *743:8 *811:8 0.00025559 +11 *743:8 *813:8 2.90905e-05 +12 *743:8 *998:8 0.000313928 +13 *742:8 *743:8 0.108624 +*RES +1 *2472:la_data_in_core[109] *743:7 18.4964 +2 *743:7 *743:8 1160.95 +3 *743:8 *743:10 4.5 +4 *743:10 *2473:la_data_in[109] 70.0555 +*END + +*D_NET *744 0.0370457 +*CONN +*I *2473:la_data_in[10] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[10] O *D mgmt_protect +*CAP +1 *2473:la_data_in[10] 0.00137063 +2 *2472:la_data_in_core[10] 0.00176041 +3 *744:8 0.00253779 +4 *744:7 0.00116715 +5 *744:5 0.00176041 +6 *744:5 *2472:la_data_out_core[10] 0 +7 *744:5 *1025:7 7.66121e-05 +8 *744:5 *1500:7 0 +9 *744:8 *755:8 0.0130715 +10 *744:8 *766:8 0.00419436 +11 *744:8 *766:14 9.42669e-05 +12 *744:8 *805:8 0.0105674 +13 *744:8 *1000:8 0.000131218 +14 *744:8 *1384:8 0.000313928 +*RES +1 *2472:la_data_in_core[10] *744:5 48.0471 +2 *744:5 *744:7 4.5 +3 *744:7 *744:8 158.785 +4 *744:8 *2473:la_data_in[10] 40.5048 +*END + +*D_NET *745 0.257862 +*CONN +*I *2473:la_data_in[110] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[110] O *D mgmt_protect +*CAP +1 *2473:la_data_in[110] 0.00303321 +2 *2472:la_data_in_core[110] 0.000549181 +3 *745:10 0.00303321 +4 *745:8 0.00742256 +5 *745:7 0.00797174 +6 *745:7 *2472:la_data_out_core[110] 0 +7 *745:7 *1383:9 0.000195317 +8 *745:8 *746:8 0.111199 +9 *745:8 *751:8 0.0143669 +10 *745:8 *813:8 0.000179244 +11 *743:8 *745:8 0.109912 +*RES +1 *2472:la_data_in_core[110] *745:7 18.0812 +2 *745:7 *745:8 1173.71 +3 *745:8 *745:10 4.5 +4 *745:10 *2473:la_data_in[110] 70.4707 +*END + +*D_NET *746 0.259735 +*CONN +*I *2473:la_data_in[111] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[111] O *D mgmt_protect +*CAP +1 *2473:la_data_in[111] 0.00303511 +2 *2472:la_data_in_core[111] 0.00061711 +3 *746:10 0.00303511 +4 *746:8 0.00745727 +5 *746:7 0.00807438 +6 *746:7 *2472:la_data_out_core[111] 0 +7 *746:7 *1385:7 0 +8 *746:8 *747:8 0.112487 +9 *746:8 *813:8 0.000339591 +10 *469:25 *746:8 0.0134906 +11 *745:8 *746:8 0.111199 +*RES +1 *2472:la_data_in_core[111] *746:7 17.6659 +2 *746:7 *746:8 1187.58 +3 *746:8 *746:10 4.5 +4 *746:10 *2473:la_data_in[111] 70.886 +*END + +*D_NET *747 0.264261 +*CONN +*I *2473:la_data_in[112] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[112] O *D mgmt_protect +*CAP +1 *2473:la_data_in[112] 0.00303927 +2 *2472:la_data_in_core[112] 0.000500804 +3 *747:10 0.00303927 +4 *747:8 0.00747774 +5 *747:7 0.00797854 +6 *747:7 *2472:la_data_out_core[112] 0 +7 *747:7 *1386:9 0.000285554 +8 *747:8 *813:8 0.00171517 +9 *747:8 *854:8 1.41689e-05 +10 *747:8 *1005:8 0.112751 +11 *469:25 *747:8 0.0149734 +12 *746:8 *747:8 0.112487 +*RES +1 *2472:la_data_in_core[112] *747:7 17.2507 +2 *747:7 *747:8 1200.33 +3 *747:8 *747:10 4.5 +4 *747:10 *2473:la_data_in[112] 71.3012 +*END + +*D_NET *748 0.312892 +*CONN +*I *2473:la_data_in[113] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[113] O *D mgmt_protect +*CAP +1 *2473:la_data_in[113] 0.00287298 +2 *2472:la_data_in_core[113] 0.000763705 +3 *748:10 0.00287298 +4 *748:8 0.00903581 +5 *748:7 0.00979951 +6 *748:7 *1004:17 0 +7 *748:7 *1387:5 0 +8 *748:8 *749:8 0.131454 +9 *748:8 *996:8 0.0132641 +10 *748:8 *1003:8 0.13076 +11 *469:25 *748:8 0.000157133 +12 *739:8 *748:8 0.011912 +*RES +1 *2472:la_data_in_core[113] *748:7 19.2339 +2 *748:7 *748:8 167.487 +3 *748:8 *748:10 3.36879 +4 *748:10 *2473:la_data_in[113] 68.1869 +*END + +*D_NET *749 0.315466 +*CONN +*I *2473:la_data_in[114] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[114] O *D mgmt_protect +*CAP +1 *2473:la_data_in[114] 0.00288361 +2 *2472:la_data_in_core[114] 0.000734985 +3 *749:10 0.00288361 +4 *749:8 0.0089419 +5 *749:7 0.00967689 +6 *749:7 *2472:la_data_out_core[114] 0 +7 *749:7 *813:11 0 +8 *749:7 *1388:7 0 +9 *749:8 *750:8 0.132935 +10 *469:25 *749:8 0.000190972 +11 *740:8 *749:8 0.0121944 +12 *741:8 *749:8 0.0135713 +13 *748:8 *749:8 0.131454 +*RES +1 *2472:la_data_in_core[114] *749:7 18.4034 +2 *749:7 *749:8 169.246 +3 *749:8 *749:10 3.36879 +4 *749:10 *2473:la_data_in[114] 69.0174 +*END + +*D_NET *750 0.319349 +*CONN +*I *2473:la_data_in[115] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[115] O *D mgmt_protect +*CAP +1 *2473:la_data_in[115] 0.00290601 +2 *2472:la_data_in_core[115] 0.00067796 +3 *750:10 0.00290601 +4 *750:8 0.00892436 +5 *750:7 0.00960232 +6 *750:7 *2472:la_data_out_core[115] 0 +7 *750:7 *1389:7 0 +8 *750:8 *751:8 0.13441 +9 *750:8 *998:8 0.012752 +10 *469:25 *750:8 0.000364076 +11 *742:8 *750:8 0.0138706 +12 *749:8 *750:8 0.132935 +*RES +1 *2472:la_data_in_core[115] *750:7 17.5729 +2 *750:7 *750:8 171.158 +3 *750:8 *750:10 3.36879 +4 *750:10 *2473:la_data_in[115] 69.8479 +*END + +*D_NET *751 0.323113 +*CONN +*I *2473:la_data_in[116] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[116] O *D mgmt_protect +*CAP +1 *2473:la_data_in[116] 0.00291805 +2 *2472:la_data_in_core[116] 0.000624307 +3 *751:10 0.00291805 +4 *751:8 0.00882727 +5 *751:7 0.00945157 +6 *751:7 *2472:la_data_out_core[116] 0 +7 *751:7 *814:16 2.99929e-05 +8 *751:7 *1390:5 0 +9 *751:7 *1391:5 0 +10 *469:25 *751:8 0.136728 +11 *743:8 *751:8 0.0128389 +12 *745:8 *751:8 0.0143669 +13 *750:8 *751:8 0.13441 +*RES +1 *2472:la_data_in_core[116] *751:7 16.7423 +2 *751:7 *751:8 172.918 +3 *751:8 *751:10 3.36879 +4 *751:10 *2473:la_data_in[116] 70.6784 +*END + +*D_NET *752 0.306596 +*CONN +*I *2473:la_data_in[117] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[117] O *D mgmt_protect +*CAP +1 *2473:la_data_in[117] 0.00102821 +2 *2472:la_data_in_core[117] 0.00323926 +3 *752:8 0.0171224 +4 *752:7 0.0160942 +5 *752:5 0.00323926 +6 *752:5 *2472:la_data_out_core[117] 0 +7 *752:5 *2473:la_oenb[57] 0.00050637 +8 *752:5 *1391:5 0 +9 *752:8 *754:8 0 +10 *752:8 *756:8 0 +11 *752:8 *758:8 0.000318524 +12 *752:8 *760:8 0.000425458 +13 *752:8 *762:8 0.129679 +14 *752:8 *835:10 0.000448922 +15 *752:8 *836:8 0.000209275 +16 *752:8 *837:8 0.000593739 +17 *752:8 *1006:8 0.13365 +18 *752:8 *1008:8 0 +19 *752:8 *1013:8 4.12367e-05 +*RES +1 *2472:la_data_in_core[117] *752:5 61.5428 +2 *752:5 *752:7 3.36879 +3 *752:7 *752:8 174.83 +4 *752:8 *2473:la_data_in[117] 25.8779 +*END + +*D_NET *753 0.217284 +*CONN +*I *2473:la_data_in[118] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[118] O *D mgmt_protect +*CAP +1 *2473:la_data_in[118] 0.00057528 +2 *2472:la_data_in_core[118] 4.25268e-05 +3 *753:10 0.035563 +4 *753:9 0.0349877 +5 *753:7 0.00376689 +6 *753:5 0.00380941 +7 *753:7 *2472:la_data_out_core[118] 0.000226575 +8 *753:7 *2473:la_data_in[58] 0 +9 *753:7 *1070:5 0 +10 *753:7 *1392:7 0 +11 *753:7 *1393:10 0 +12 *753:7 *1458:13 0.000116915 +13 *753:10 *842:10 0.000299281 +14 *753:10 *1008:8 0.137235 +15 *753:10 *1010:8 0.00066078 +*RES +1 *2472:la_data_in_core[118] *753:5 1.20912 +2 *753:5 *753:7 70.7639 +3 *753:7 *753:9 3.36879 +4 *753:9 *753:10 176.513 +5 *753:10 *2473:la_data_in[118] 16.7423 +*END + +*D_NET *754 0.325449 +*CONN +*I *2473:la_data_in[119] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[119] O *D mgmt_protect +*CAP +1 *2473:la_data_in[119] 0.00067194 +2 *2472:la_data_in_core[119] 0.00377192 +3 *754:8 0.0180771 +4 *754:7 0.0174052 +5 *754:5 0.00377192 +6 *754:5 *2472:la_data_out_core[119] 0 +7 *754:5 *1070:5 0 +8 *754:5 *1393:10 0 +9 *754:8 *756:8 0.000345464 +10 *754:8 *757:8 0.138669 +11 *754:8 *853:10 0.0010644 +12 *754:8 *855:8 0.00145706 +13 *754:8 *1008:8 0.000121359 +14 *754:8 *1010:8 0.140093 +15 *752:8 *754:8 0 +*RES +1 *2472:la_data_in_core[119] *754:5 68.1869 +2 *754:5 *754:7 3.36879 +3 *754:7 *754:8 178.501 +4 *754:8 *2473:la_data_in[119] 19.2339 +*END + +*D_NET *755 0.0352754 +*CONN +*I *2473:la_data_in[11] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[11] O *D mgmt_protect +*CAP +1 *2473:la_data_in[11] 0.00136967 +2 *2472:la_data_in_core[11] 0.00181085 +3 *755:8 0.00231494 +4 *755:7 0.000945266 +5 *755:5 0.00181085 +6 *755:5 *2472:la_data_out_core[11] 0 +7 *755:5 *2473:la_oenb[17] 0 +8 *755:5 *1384:5 0 +9 *755:8 *766:8 0.000307881 +10 *755:8 *1011:8 0.000105636 +11 *755:8 *1384:8 0.0135388 +12 *744:8 *755:8 0.0130715 +*RES +1 *2472:la_data_in_core[11] *755:5 48.4624 +2 *755:5 *755:7 4.5 +3 *755:7 *755:8 144.92 +4 *755:8 *2473:la_data_in[11] 40.0896 +*END + +*D_NET *756 0.332417 +*CONN +*I *2473:la_data_in[120] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[120] O *D mgmt_protect +*CAP +1 *2473:la_data_in[120] 0.000730361 +2 *2472:la_data_in_core[120] 0.00358246 +3 *756:8 0.0195893 +4 *756:7 0.018859 +5 *756:5 0.00358246 +6 *756:5 *2472:la_data_out_core[120] 0 +7 *756:5 *2473:la_oenb[58] 0 +8 *756:5 *815:11 0 +9 *756:5 *1393:13 0.000247277 +10 *756:5 *1394:7 0 +11 *756:8 *757:8 0.141036 +12 *756:8 *991:8 0.00178849 +13 *756:8 *993:8 0.00214228 +14 *756:8 *1013:8 0.140515 +15 *752:8 *756:8 0 +16 *754:8 *756:8 0.000345464 +*RES +1 *2472:la_data_in_core[120] *756:5 66.5258 +2 *756:5 *756:7 3.36879 +3 *756:7 *756:8 180.337 +4 *756:8 *2473:la_data_in[120] 20.8949 +*END + +*D_NET *757 0.329496 +*CONN +*I *2473:la_data_in[121] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[121] O *D mgmt_protect +*CAP +1 *2473:la_data_in[121] 0.000692122 +2 *2472:la_data_in_core[121] 0.00374413 +3 *757:8 0.0189161 +4 *757:7 0.018224 +5 *757:5 0.00374413 +6 *757:5 *815:11 0 +7 *757:5 *1013:11 0 +8 *757:5 *1071:7 0 +9 *757:5 *1075:13 0 +10 *757:5 *1396:7 0 +11 *757:8 *857:8 0.00142645 +12 *757:8 *859:8 0.00174848 +13 *757:8 *1010:8 0.000333928 +14 *757:8 *1013:8 0.000961946 +15 *754:8 *757:8 0.138669 +16 *756:8 *757:8 0.141036 +*RES +1 *2472:la_data_in_core[121] *757:5 67.3563 +2 *757:5 *757:7 3.36879 +3 *757:7 *757:8 182.173 +4 *757:8 *2473:la_data_in[121] 20.0644 +*END + +*D_NET *758 0.341661 +*CONN +*I *2473:la_data_in[122] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[122] O *D mgmt_protect +*CAP +1 *2473:la_data_in[122] 0.000781349 +2 *2472:la_data_in_core[122] 0.00338687 +3 *758:8 0.0211224 +4 *758:7 0.0203411 +5 *758:5 0.00338687 +6 *758:5 *2472:la_data_out_core[122] 0 +7 *758:5 *2473:la_oenb[59] 0.000584078 +8 *758:5 *1013:11 0 +9 *758:5 *1397:7 0 +10 *758:5 *1398:7 0 +11 *758:8 *760:8 0.143068 +12 *758:8 *999:8 0.00251258 +13 *758:8 *1002:8 0.00292201 +14 *758:8 *1013:8 0.143237 +15 *752:8 *758:8 0.000318524 +*RES +1 *2472:la_data_in_core[122] *758:5 64.8648 +2 *758:5 *758:7 3.36879 +3 *758:7 *758:8 184.009 +4 *758:8 *2473:la_data_in[122] 22.5559 +*END + +*D_NET *759 0.259972 +*CONN +*I *2473:la_data_in[123] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[123] O *D mgmt_protect +*CAP +1 *2473:la_data_in[123] 0.000786426 +2 *2472:la_data_in_core[123] 0.00169799 +3 *759:14 0.0137528 +4 *759:13 0.0148254 +5 *759:10 0.00355701 +6 *759:10 *2472:la_data_out_core[123] 0 +7 *759:10 *1396:8 0.000361243 +8 *759:10 *1398:7 0 +9 *759:10 *1475:8 0.000842351 +10 *759:13 *2473:la_oenb[60] 0 +11 *759:13 *760:5 0.000567275 +12 *759:13 *1400:7 0 +13 *759:14 *760:8 0.00393904 +14 *759:14 *1002:8 0.103379 +15 *759:14 *1004:8 0.107432 +16 *759:14 *1015:8 0.00883228 +*RES +1 *2472:la_data_in_core[123] *759:10 47.4032 +2 *759:10 *759:13 39.9674 +3 *759:13 *759:14 1337.87 +4 *759:14 *2473:la_data_in[123] 23.8947 +*END + +*D_NET *760 0.349176 +*CONN +*I *2473:la_data_in[124] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[124] O *D mgmt_protect +*CAP +1 *2473:la_data_in[124] 0.000808963 +2 *2472:la_data_in_core[124] 0.00339266 +3 *760:8 0.0227525 +4 *760:7 0.0219435 +5 *760:5 0.00339266 +6 *760:5 *2472:la_data_out_core[123] 0 +7 *760:5 *2472:la_data_out_core[124] 0 +8 *760:5 *1399:5 0 +9 *760:5 *1400:7 0 +10 *760:8 *762:8 0.000121359 +11 *760:8 *1004:8 0.00310315 +12 *760:8 *1018:8 0.145661 +13 *752:8 *760:8 0.000425458 +14 *758:8 *760:8 0.143068 +15 *759:13 *760:5 0.000567275 +16 *759:14 *760:8 0.00393904 +*RES +1 *2472:la_data_in_core[124] *760:5 64.0343 +2 *760:5 *760:7 3.36879 +3 *760:7 *760:8 187.68 +4 *760:8 *2473:la_data_in[124] 23.3864 +*END + +*D_NET *761 0.27472 +*CONN +*I *2473:la_data_in[125] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[125] O *D mgmt_protect +*CAP +1 *2473:la_data_in[125] 0.000834337 +2 *2472:la_data_in_core[125] 0.00314146 +3 *761:18 0.0093776 +4 *761:17 0.0089267 +5 *761:12 0.00196598 +6 *761:10 0.00160318 +7 *761:8 0.00149126 +8 *761:7 0.00147063 +9 *761:5 0.00314146 +10 *761:5 *2472:la_data_out_core[125] 0 +11 *761:5 *2473:la_oenb[60] 9.1098e-05 +12 *761:5 *1400:7 0 +13 *761:5 *1401:5 0 +14 *761:8 *820:8 0.00205052 +15 *761:8 *822:8 0.000733044 +16 *761:8 *1093:8 0.00135638 +17 *761:8 *1109:8 0.000282057 +18 *761:12 *822:8 0.00056729 +19 *761:12 *823:8 0.000371382 +20 *761:12 *824:8 0.000137013 +21 *761:12 *825:10 0 +22 *761:12 *1093:8 0.000367918 +23 *761:17 *2473:la_data_in[67] 0 +24 *761:17 *1080:7 0 +25 *761:18 *763:12 0.11756 +26 *761:18 *1015:8 0.114181 +27 *761:18 *1018:8 0.00506946 +*RES +1 *2472:la_data_in_core[125] *761:5 55.9369 +2 *761:5 *761:7 4.5 +3 *761:7 *761:8 78.0906 +4 *761:8 *761:10 0.578717 +5 *761:10 *761:12 58.1249 +6 *761:12 *761:17 16.2303 +7 *761:17 *761:18 1237.49 +8 *761:18 *2473:la_data_in[125] 25.1405 +*END + +*D_NET *762 0.346287 +*CONN +*I *2473:la_data_in[126] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[126] O *D mgmt_protect +*CAP +1 *2473:la_data_in[126] 0.000884418 +2 *2472:la_data_in_core[126] 0.00355393 +3 *762:8 0.0246956 +4 *762:7 0.0238112 +5 *762:5 0.00355393 +6 *762:5 *2472:la_data_out_core[126] 0 +7 *762:5 *1401:5 0 +8 *762:8 *763:12 0.00967383 +9 *762:8 *1018:8 0.150314 +10 *752:8 *762:8 0.129679 +11 *760:8 *762:8 0.000121359 +*RES +1 *2472:la_data_in_core[126] *762:5 62.3733 +2 *762:5 *762:7 3.36879 +3 *762:7 *762:8 191.352 +4 *762:8 *2473:la_data_in[126] 25.0474 +*END + +*D_NET *763 0.227607 +*CONN +*I *2473:la_data_in[127] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[127] O *D mgmt_protect +*CAP +1 *2473:la_data_in[127] 0.000844847 +2 *2472:la_data_in_core[127] 0.00361929 +3 *763:12 0.0259975 +4 *763:10 0.0251757 +5 *763:8 0.000563113 +6 *763:7 0.000540079 +7 *763:5 0.00361929 +8 *763:5 *2472:la_data_out_core[127] 0 +9 *763:5 *2473:la_oenb[61] 0 +10 *763:5 *1074:5 0 +11 *763:5 *1402:7 0 +12 *763:5 *1403:7 0 +13 *763:8 *819:10 0.00101035 +14 *763:8 *836:8 0.00217356 +15 *763:8 *1015:8 0.00587544 +16 *763:12 *836:8 0.0276026 +17 *763:12 *837:8 0.000897615 +18 *763:12 *1015:8 0.00245316 +19 *761:18 *763:12 0.11756 +20 *762:8 *763:12 0.00967383 +*RES +1 *2472:la_data_in_core[127] *763:5 63.4115 +2 *763:5 *763:7 4.5 +3 *763:7 *763:8 62.0071 +4 *763:8 *763:10 0.578717 +5 *763:10 *763:12 1338.15 +6 *763:12 *2473:la_data_in[127] 25.5557 +*END + +*D_NET *764 0.0314366 +*CONN +*I *2473:la_data_in[12] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[12] O *D mgmt_protect +*CAP +1 *2473:la_data_in[12] 0.00130442 +2 *2472:la_data_in_core[12] 0.0018771 +3 *764:8 0.0023003 +4 *764:7 0.00099588 +5 *764:5 0.0018771 +6 *764:5 *2472:la_data_out_core[12] 0 +7 *764:5 *1395:5 0 +8 *764:8 *765:10 0.010503 +9 *764:8 *766:8 0 +10 *764:8 *1000:8 0.0113189 +11 *764:8 *1011:8 0.000322987 +12 *764:8 *1395:8 0.000936869 +*RES +1 *2472:la_data_in_core[12] *764:5 50.1234 +2 *764:5 *764:7 4.5 +3 *764:7 *764:8 132.164 +4 *764:8 *2473:la_data_in[12] 38.4285 +*END + +*D_NET *765 0.0304463 +*CONN +*I *2473:la_data_in[13] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[13] O *D mgmt_protect +*CAP +1 *2473:la_data_in[13] 0.00130348 +2 *2472:la_data_in_core[13] 8.20467e-05 +3 *765:10 0.00229518 +4 *765:9 0.000991705 +5 *765:7 0.00137885 +6 *765:5 0.00146089 +7 *765:7 *2473:la_oenb[18] 0.000362171 +8 *765:7 *1021:11 0.00130407 +9 *765:7 *1404:5 0 +10 *765:10 *766:8 0 +11 *765:10 *1395:8 0.0102397 +12 *765:10 *1405:8 0.000525216 +13 *764:8 *765:10 0.010503 +*RES +1 *2472:la_data_in_core[13] *765:5 2.33274 +2 *765:5 *765:7 50.6241 +3 *765:7 *765:9 4.5 +4 *765:9 *765:10 120.518 +5 *765:10 *2473:la_data_in[13] 38.0133 +*END + +*D_NET *766 0.0228675 +*CONN +*I *2473:la_data_in[14] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[14] O *D mgmt_protect +*CAP +1 *2473:la_data_in[14] 0.00150324 +2 *2472:la_data_in_core[14] 0.0017756 +3 *766:14 0.00215749 +4 *766:8 0.00168974 +5 *766:7 0.0010355 +6 *766:5 0.0017756 +7 *2473:la_data_in[14] *794:11 0 +8 *766:5 *2472:la_data_out_core[14] 0 +9 *766:5 *2473:la_data_in[19] 4.84644e-05 +10 *766:5 *1405:5 0 +11 *766:8 *1011:8 4.4379e-05 +12 *766:8 *1023:10 0.000129927 +13 *766:8 *1023:12 0.00666787 +14 *766:8 *1405:8 0 +15 *766:14 *767:14 0.000301469 +16 *766:14 *772:8 2.65667e-05 +17 *766:14 *805:8 0.00107663 +18 *766:14 *1023:10 3.8519e-05 +19 *766:14 *1412:8 0 +20 *744:8 *766:8 0.00419436 +21 *744:8 *766:14 9.42669e-05 +22 *755:8 *766:8 0.000307881 +23 *764:8 *766:8 0 +24 *765:10 *766:8 0 +*RES +1 *2472:la_data_in_core[14] *766:5 47.6319 +2 *766:5 *766:7 4.5 +3 *766:7 *766:8 76.4268 +4 *766:8 *766:14 34.7741 +5 *766:14 *2473:la_data_in[14] 37.2506 +*END + +*D_NET *767 0.0203558 +*CONN +*I *2473:la_data_in[15] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[15] O *D mgmt_protect +*CAP +1 *2473:la_data_in[15] 0.00155292 +2 *2472:la_data_in_core[15] 0.0017457 +3 *767:14 0.00190193 +4 *767:8 0.00123282 +5 *767:7 0.000883813 +6 *767:5 0.0017457 +7 *2473:la_data_in[15] *805:5 0 +8 *767:5 *2472:la_data_out_core[15] 0 +9 *767:5 *1406:5 0 +10 *767:8 *1023:12 0.00318695 +11 *767:8 *1407:8 0.00722852 +12 *767:14 *1023:10 0.000552044 +13 *767:14 *1023:12 2.39581e-05 +14 *766:14 *767:14 0.000301469 +*RES +1 *2472:la_data_in_core[15] *767:5 46.3861 +2 *767:5 *767:7 4.5 +3 *767:7 *767:8 77.8133 +4 *767:8 *767:14 19.1126 +5 *767:14 *2473:la_data_in[15] 38.0811 +*END + +*D_NET *768 0.0130015 +*CONN +*I *2473:la_data_in[16] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[16] O *D mgmt_protect +*CAP +1 *2473:la_data_in[16] 0.00172723 +2 *2472:la_data_in_core[16] 0.00150215 +3 *768:8 0.00423779 +4 *768:7 0.0040127 +5 *768:7 *2472:la_data_out_core[16] 0 +6 *768:7 *1407:5 0 +7 *768:8 *1024:8 0 +8 *768:8 *1026:8 0 +9 *768:8 *1408:8 0.00152165 +10 *768:8 *1500:8 0 +*RES +1 *2472:la_data_in_core[16] *768:7 45.9031 +2 *768:7 *768:8 78.9225 +3 *768:8 *2473:la_data_in[16] 47.1488 +*END + +*D_NET *769 0.0185911 +*CONN +*I *2473:la_data_in[17] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[17] O *D mgmt_protect +*CAP +1 *2473:la_data_in[17] 0.00160264 +2 *2472:la_data_in_core[17] 0.00167116 +3 *769:8 0.00245012 +4 *769:7 0.00251864 +5 *769:7 *2472:la_data_out_core[17] 0 +6 *769:7 *1408:7 0 +7 *769:8 *773:10 9.18559e-06 +8 *769:8 *1024:8 0.00558864 +9 *769:8 *1025:8 3.90666e-05 +10 *769:8 *1408:8 0 +11 *769:8 *1409:8 0.0047116 +*RES +1 *2472:la_data_in_core[17] *769:7 49.6404 +2 *769:7 *769:8 65.0574 +3 *769:8 *2473:la_data_in[17] 43.4116 +*END + +*D_NET *770 0.0157605 +*CONN +*I *2473:la_data_in[18] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[18] O *D mgmt_protect +*CAP +1 *2473:la_data_in[18] 0.00153721 +2 *2472:la_data_in_core[18] 0.00157517 +3 *770:8 0.00217982 +4 *770:7 0.00221778 +5 *2473:la_data_in[18] *2472:la_data_out_core[11] 9.31064e-05 +6 *2473:la_data_in[18] *1395:5 0.000182519 +7 *770:7 *2472:la_data_out_core[18] 0 +8 *770:7 *1409:7 0 +9 *770:7 *1410:7 0 +10 *770:8 *771:10 0.00288131 +11 *770:8 *1025:8 0.00447607 +12 *770:8 *1409:8 0.000326185 +13 *770:8 *1410:10 0.000291294 +*RES +1 *2472:la_data_in_core[18] *770:7 47.9793 +2 *770:7 *770:8 52.3015 +3 *770:8 *2473:la_data_in[18] 45.0726 +*END + +*D_NET *771 0.0136046 +*CONN +*I *2473:la_data_in[19] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[19] O *D mgmt_protect +*CAP +1 *2473:la_data_in[19] 0.00147722 +2 *2472:la_data_in_core[19] 0.00158297 +3 *771:10 0.00192264 +4 *771:7 0.00202839 +5 *2473:la_data_in[19] *2472:la_data_out_core[14] 0.000243117 +6 *2473:la_data_in[19] *1405:5 0 +7 *771:7 *2472:la_data_out_core[19] 0 +8 *771:7 *1410:7 0 +9 *771:10 *1410:10 0.00340818 +10 *771:10 *1413:10 1.22938e-05 +11 *766:5 *2473:la_data_in[19] 4.84644e-05 +12 *770:8 *771:10 0.00288131 +*RES +1 *2472:la_data_in_core[19] *771:7 48.3946 +2 *771:7 *771:10 42.9364 +3 *771:10 *2473:la_data_in[19] 40.1573 +*END + +*D_NET *772 0.0447756 +*CONN +*I *2473:la_data_in[1] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[1] O *D mgmt_protect +*CAP +1 *2473:la_data_in[1] 0.0016862 +2 *2472:la_data_in_core[1] 0.00183008 +3 *772:8 0.00597189 +4 *772:7 0.00428569 +5 *772:5 0.00183008 +6 *2473:la_data_in[1] *2192:7 0 +7 *772:5 *2472:la_data_out_core[1] 0 +8 *772:5 *794:11 0 +9 *772:5 *1373:7 0 +10 *772:5 *1412:7 0 +11 *772:8 *794:14 0.00294144 +12 *772:8 *805:8 6.54746e-05 +13 *772:8 *1412:8 0 +14 *772:8 *1627:10 0.0176802 +15 *772:8 *1635:8 0.00219959 +16 *772:8 *1696:8 0.000540322 +17 *772:8 *1706:8 0.000549381 +18 *772:8 *1708:8 9.36702e-05 +19 *772:8 *1759:8 0.00470981 +20 *772:8 *1761:8 0.000262266 +21 *772:8 *1763:8 0.000102959 +22 *766:14 *772:8 2.65667e-05 +*RES +1 *2472:la_data_in_core[1] *772:5 45.9709 +2 *772:5 *772:7 4.5 +3 *772:7 *772:8 278.58 +4 *772:8 *2473:la_data_in[1] 42.5811 +*END + +*D_NET *773 0.00835705 +*CONN +*I *2473:la_data_in[20] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[20] O *D mgmt_protect +*CAP +1 *2473:la_data_in[20] 0.00142809 +2 *2472:la_data_in_core[20] 0.00169882 +3 *773:10 0.00222917 +4 *773:5 0.0024999 +5 *773:5 *2472:la_data_out_core[20] 0 +6 *773:5 *1411:5 0 +7 *773:10 *1409:8 3.40535e-05 +8 *773:10 *1410:10 0 +9 *773:10 *1413:10 0.000457836 +10 *769:8 *773:10 9.18559e-06 +*RES +1 *2472:la_data_in_core[20] *773:5 46.8014 +2 *773:5 *773:10 34.126 +3 *773:10 *2473:la_data_in[20] 37.2506 +*END + +*D_NET *774 0.00743092 +*CONN +*I *2473:la_data_in[21] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[21] O *D mgmt_protect +*CAP +1 *2473:la_data_in[21] 0.00146258 +2 *2472:la_data_in_core[21] 0.00136389 +3 *774:10 0.00194462 +4 *774:7 0.00184593 +5 *2473:la_data_in[21] *2472:la_data_out_core[19] 0.000330393 +6 *2473:la_data_in[21] *1411:5 0.000483512 +7 *774:7 *2472:la_data_out_core[21] 0 +8 *774:7 *1413:5 0 +*RES +1 *2472:la_data_in_core[21] *774:7 42.1658 +2 *774:7 *774:10 16.3155 +3 *774:10 *2473:la_data_in[21] 46.3861 +*END + +*D_NET *775 0.00640433 +*CONN +*I *2473:la_data_in[22] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[22] O *D mgmt_protect +*CAP +1 *2473:la_data_in[22] 0.000112537 +2 *2472:la_data_in_core[22] 0.00308963 +3 *775:5 0.00320216 +4 *775:5 *2472:la_data_out_core[22] 0 +5 *775:5 *1414:5 0 +*RES +1 *2472:la_data_in_core[22] *775:5 83.9664 +2 *775:5 *2473:la_data_in[22] 1.20912 +*END + +*D_NET *776 0.00752009 +*CONN +*I *2473:la_data_in[23] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[23] O *D mgmt_protect +*CAP +1 *2473:la_data_in[23] 0.0019518 +2 *2472:la_data_in_core[23] 0.00166174 +3 *776:10 0.0019518 +4 *776:8 0.00166174 +5 *2473:la_data_in[23] *2472:la_data_out_core[24] 0 +6 *2473:la_data_in[23] *778:7 0.000129716 +7 *2473:la_data_in[23] *1417:7 0.000163304 +8 *776:8 *2472:la_data_out_core[23] 0 +9 *776:8 *1415:7 0 +*RES +1 *2472:la_data_in_core[23] *776:8 48.4493 +2 *776:8 *776:10 4.5 +3 *776:10 *2473:la_data_in[23] 54.6912 +*END + +*D_NET *777 0.0113092 +*CONN +*I *2473:la_data_in[24] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[24] O *D mgmt_protect +*CAP +1 *2473:la_data_in[24] 0.00175037 +2 *2472:la_data_in_core[24] 0.00136069 +3 *777:10 0.00205435 +4 *777:7 0.00166466 +5 *2473:la_data_in[24] *781:7 0 +6 *777:7 *2472:la_data_out_core[24] 0 +7 *777:7 *2473:la_oenb[22] 8.62625e-06 +8 *777:7 *1416:7 0 +9 *777:10 *1032:10 6.44576e-05 +10 *777:10 *1033:10 0.00238891 +11 *777:10 *1416:10 0.00201716 +*RES +1 *2472:la_data_in_core[24] *777:7 41.7506 +2 *777:7 *777:10 32.399 +3 *777:10 *2473:la_data_in[24] 46.8014 +*END + +*D_NET *778 0.0128138 +*CONN +*I *2473:la_data_in[25] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[25] O *D mgmt_protect +*CAP +1 *2473:la_data_in[25] 0.00183609 +2 *2472:la_data_in_core[25] 0.00124441 +3 *778:10 0.00232759 +4 *778:7 0.00173591 +5 *778:7 *2472:la_data_out_core[25] 0 +6 *778:7 *1417:7 0 +7 *778:10 *781:8 1.75155e-06 +8 *778:10 *1032:10 0.000543039 +9 *778:10 *1033:10 0.000530719 +10 *778:10 *1034:8 0.00348482 +11 *778:10 *1037:14 3.42853e-05 +12 *778:10 *1038:14 0.000645042 +13 *778:10 *1417:10 0.000185642 +14 *778:10 *1421:10 0.000114773 +15 *2473:la_data_in[23] *778:7 0.000129716 +*RES +1 *2472:la_data_in_core[25] *778:7 40.0896 +2 *778:7 *778:10 45.7095 +3 *778:10 *2473:la_data_in[25] 48.4624 +*END + +*D_NET *779 0.0138226 +*CONN +*I *2473:la_data_in[26] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[26] O *D mgmt_protect +*CAP +1 *2473:la_data_in[26] 0.00216473 +2 *2472:la_data_in_core[26] 0.000967137 +3 *779:10 0.00216473 +4 *779:8 0.00128828 +5 *779:7 0.00225542 +6 *2473:la_data_in[26] *2472:la_data_out_core[32] 0 +7 *2473:la_data_in[26] *2472:la_data_out_core[33] 0 +8 *2473:la_data_in[26] *787:7 8.50881e-05 +9 *2473:la_data_in[26] *1426:7 0.000112532 +10 *779:7 *2472:la_data_out_core[26] 0 +11 *779:7 *1418:7 0 +12 *779:8 *1418:8 0.00449543 +13 *779:8 *1419:8 0.000289241 +14 *779:8 *1426:8 0 +*RES +1 *2472:la_data_in_core[26] *779:7 30.954 +2 *779:7 *779:8 55.0746 +3 *779:8 *779:10 4.5 +4 *779:10 *2473:la_data_in[26] 57.5979 +*END + +*D_NET *780 0.0167427 +*CONN +*I *2473:la_data_in[27] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[27] O *D mgmt_protect +*CAP +1 *2473:la_data_in[27] 0.00183808 +2 *2472:la_data_in_core[27] 0.000879506 +3 *780:10 0.00183808 +4 *780:8 0.00132603 +5 *780:7 0.00220554 +6 *2473:la_data_in[27] *2472:la_data_out_core[35] 0.00133273 +7 *2473:la_data_in[27] *789:7 0.000631259 +8 *2473:la_data_in[27] *790:5 0 +9 *2473:la_data_in[27] *1429:5 8.92568e-06 +10 *780:7 *2472:la_data_out_core[27] 0 +11 *780:7 *1419:7 0 +12 *780:7 *1420:7 0 +13 *780:8 *1036:8 5.04054e-06 +14 *780:8 *1419:8 0.00567179 +15 *780:8 *1420:8 0.00100572 +*RES +1 *2472:la_data_in_core[27] *780:7 28.4625 +2 *780:7 *780:8 67.8304 +3 *780:8 *780:10 4.5 +4 *780:10 *2473:la_data_in[27] 60.0894 +*END + +*D_NET *781 0.0154853 +*CONN +*I *2473:la_data_in[28] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[28] O *D mgmt_protect +*CAP +1 *2473:la_data_in[28] 0.00170466 +2 *2472:la_data_in_core[28] 0.0014389 +3 *781:10 0.00170466 +4 *781:8 0.00210793 +5 *781:7 0.00354683 +6 *2473:la_data_in[28] *2472:la_data_out_core[37] 0 +7 *2473:la_data_in[28] *2472:la_data_out_core[38] 0.000133726 +8 *2473:la_data_in[28] *791:13 0 +9 *2473:la_data_in[28] *792:7 0.000178851 +10 *2473:la_data_in[28] *1431:7 0 +11 *2473:la_data_in[28] *1432:5 0 +12 *781:7 *2472:la_data_out_core[28] 0 +13 *781:7 *1033:5 0 +14 *781:7 *1420:7 0 +15 *781:8 *1033:10 0.000118245 +16 *781:8 *1037:8 0.00216729 +17 *781:8 *1037:14 0.00238247 +18 *2473:la_data_in[24] *781:7 0 +19 *778:10 *781:8 1.75155e-06 +*RES +1 *2472:la_data_in_core[28] *781:7 42.1658 +2 *781:7 *781:8 81.6955 +3 *781:8 *781:10 4.5 +4 *781:10 *2473:la_data_in[28] 46.3861 +*END + +*D_NET *782 0.0256683 +*CONN +*I *2473:la_data_in[29] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[29] O *D mgmt_protect +*CAP +1 *2473:la_data_in[29] 0.0017199 +2 *2472:la_data_in_core[29] 0.00124857 +3 *782:16 0.0017199 +4 *782:14 0.000664636 +5 *782:13 0.00103562 +6 *782:7 0.00161956 +7 *2473:la_data_in[29] *1052:11 0 +8 *2473:la_data_in[29] *1435:5 0.00105786 +9 *782:7 *2472:la_data_out_core[29] 0 +10 *782:7 *1421:7 0 +11 *782:13 *784:8 6.44576e-05 +12 *782:13 *1034:5 8.62625e-06 +13 *782:13 *1034:8 1.7672e-05 +14 *782:13 *1035:14 0.00157297 +15 *782:13 *1040:10 0.000113197 +16 *782:13 *1417:10 2.93863e-05 +17 *782:13 *1422:8 4.4379e-05 +18 *782:13 *1424:8 0.000326398 +19 *782:14 *1038:8 0.00706051 +20 *782:14 *1038:14 9.16785e-05 +21 *782:14 *1422:8 0.00727297 +*RES +1 *2472:la_data_in_core[29] *782:7 37.598 +2 *782:7 *782:13 28.0541 +3 *782:13 *782:14 77.8133 +4 *782:14 *782:16 4.5 +5 *782:16 *2473:la_data_in[29] 48.8776 +*END + +*D_NET *783 0.0480957 +*CONN +*I *2473:la_data_in[2] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[2] O *D mgmt_protect +*CAP +1 *2473:la_data_in[2] 0.00200104 +2 *2472:la_data_in_core[2] 0.00153649 +3 *783:10 0.00200104 +4 *783:8 0.00297264 +5 *783:7 0.00450913 +6 *2473:la_data_in[2] *1635:5 7.08059e-05 +7 *2473:la_data_in[2] *1767:7 0 +8 *2473:la_data_in[2] *2188:5 0.000157739 +9 *783:7 *2472:la_data_out_core[2] 0 +10 *783:7 *1412:7 0 +11 *783:8 *1039:12 0.0242219 +12 *783:8 *1116:8 0.000167272 +13 *783:8 *1478:8 3.94365e-05 +14 *783:8 *1489:8 0.000223991 +15 *783:8 *1500:8 0.00427329 +16 *783:8 *1622:8 0.0031296 +17 *783:8 *1622:12 7.68538e-06 +18 *783:8 *1691:8 0.00246556 +19 *733:14 *783:8 0.000318072 +*RES +1 *2472:la_data_in_core[2] *783:7 42.9963 +2 *783:7 *783:8 265.269 +3 *783:8 *783:10 4.5 +4 *783:10 *2473:la_data_in[2] 45.5556 +*END + +*D_NET *784 0.0280935 +*CONN +*I *2473:la_data_in[30] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[30] O *D mgmt_protect +*CAP +1 *2473:la_data_in[30] 0.00202328 +2 *2472:la_data_in_core[30] 0.0012992 +3 *784:10 0.00202328 +4 *784:8 0.00101155 +5 *784:7 0.00231076 +6 *2473:la_data_in[30] *798:7 0 +7 *2473:la_data_in[30] *799:7 0 +8 *2473:la_data_in[30] *1040:7 0 +9 *2473:la_data_in[30] *1438:7 0 +10 *784:7 *2472:la_data_out_core[30] 0 +11 *784:7 *1422:7 0 +12 *784:8 *797:8 0 +13 *784:8 *798:8 0 +14 *784:8 *1040:10 0.00988967 +15 *784:8 *1422:8 0.00947126 +16 *782:13 *784:8 6.44576e-05 +*RES +1 *2472:la_data_in_core[30] *784:7 38.8438 +2 *784:7 *784:8 108.316 +3 *784:8 *784:10 4.5 +4 *784:10 *2473:la_data_in[30] 49.7081 +*END + +*D_NET *785 0.0306266 +*CONN +*I *2473:la_data_in[31] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[31] O *D mgmt_protect +*CAP +1 *2473:la_data_in[31] 0.00215772 +2 *2472:la_data_in_core[31] 0.00119793 +3 *785:10 0.00215772 +4 *785:8 0.00113977 +5 *785:7 0.0023377 +6 *2473:la_data_in[31] *2472:la_data_out_core[45] 0 +7 *2473:la_data_in[31] *2472:la_data_out_core[46] 0 +8 *2473:la_data_in[31] *1440:7 0 +9 *785:7 *2472:la_data_out_core[31] 0 +10 *785:7 *1424:7 0 +11 *785:8 *786:8 0.000113197 +12 *785:8 *1035:10 3.59302e-05 +13 *785:8 *1035:14 5.07314e-05 +14 *785:8 *1041:8 0.0110812 +15 *785:8 *1042:8 0.0103548 +*RES +1 *2472:la_data_in_core[31] *785:7 36.3523 +2 *785:7 *785:8 121.072 +3 *785:8 *785:10 4.5 +4 *785:10 *2473:la_data_in[31] 52.1996 +*END + +*D_NET *786 0.0343717 +*CONN +*I *2473:la_data_in[32] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[32] O *D mgmt_protect +*CAP +1 *2473:la_data_in[32] 0.00195988 +2 *2472:la_data_in_core[32] 0.00110627 +3 *786:10 0.00195988 +4 *786:8 0.00120856 +5 *786:7 0.00231483 +6 *2473:la_data_in[32] *2472:la_data_out_core[48] 0 +7 *2473:la_data_in[32] *2472:la_data_out_core[49] 0 +8 *2473:la_data_in[32] *1443:5 0.00109013 +9 *786:7 *2472:la_data_out_core[32] 0 +10 *786:7 *2473:la_oenb[25] 0.000267602 +11 *786:7 *1425:7 0 +12 *786:8 *787:8 0.0118626 +13 *786:8 *1042:8 0.012383 +14 *786:8 *1426:8 0.000105652 +15 *785:8 *786:8 0.000113197 +*RES +1 *2472:la_data_in_core[32] *786:7 35.5218 +2 *786:7 *786:8 134.937 +3 *786:8 *786:10 4.5 +4 *786:10 *2473:la_data_in[32] 53.0301 +*END + +*D_NET *787 0.0354554 +*CONN +*I *2473:la_data_in[33] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[33] O *D mgmt_protect +*CAP +1 *2473:la_data_in[33] 0.00222667 +2 *2472:la_data_in_core[33] 0.00111507 +3 *787:10 0.00222667 +4 *787:8 0.00143916 +5 *787:7 0.00255424 +6 *2473:la_data_in[33] *2472:la_data_out_core[51] 0.000137372 +7 *2473:la_data_in[33] *1446:5 0 +8 *787:7 *2472:la_data_out_core[33] 0 +9 *787:7 *1426:7 0 +10 *787:8 *788:8 0.000282235 +11 *787:8 *1042:8 0.000306383 +12 *787:8 *1060:8 0 +13 *787:8 *1426:8 0.0132199 +14 *787:8 *1444:8 0 +15 *2473:la_data_in[26] *787:7 8.50881e-05 +16 *786:8 *787:8 0.0118626 +*RES +1 *2472:la_data_in_core[33] *787:7 35.1065 +2 *787:7 *787:8 147.693 +3 *787:8 *787:10 4.5 +4 *787:10 *2473:la_data_in[33] 53.4454 +*END + +*D_NET *788 0.0376625 +*CONN +*I *2473:la_data_in[34] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[34] O *D mgmt_protect +*CAP +1 *2473:la_data_in[34] 0.00229327 +2 *2472:la_data_in_core[34] 0.00111709 +3 *788:10 0.00229327 +4 *788:8 0.00163906 +5 *788:7 0.00275614 +6 *2473:la_data_in[34] *2472:la_data_out_core[53] 0 +7 *2473:la_data_in[34] *1437:13 0 +8 *788:7 *2472:la_data_out_core[33] 0 +9 *788:7 *2472:la_data_out_core[34] 0 +10 *788:7 *1427:7 0 +11 *788:8 *796:8 0.000306383 +12 *788:8 *1063:8 0 +13 *788:8 *1065:8 0 +14 *788:8 *1426:8 0.012487 +15 *788:8 *1427:8 0.014488 +16 *788:8 *1444:8 0 +17 *787:8 *788:8 0.000282235 +*RES +1 *2472:la_data_in_core[34] *788:7 34.276 +2 *788:7 *788:8 161.558 +3 *788:8 *788:10 4.5 +4 *788:10 *2473:la_data_in[34] 54.2759 +*END + +*D_NET *789 0.0397966 +*CONN +*I *2473:la_data_in[35] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[35] O *D mgmt_protect +*CAP +1 *2473:la_data_in[35] 0.00147088 +2 *2472:la_data_in_core[35] 8.20467e-05 +3 *789:10 0.00300131 +4 *789:9 0.00153043 +5 *789:7 0.00147694 +6 *789:5 0.00155899 +7 *2473:la_data_in[35] *1452:7 8.62625e-06 +8 *789:7 *2472:la_data_out_core[35] 0.00048253 +9 *789:7 *1428:7 0 +10 *789:10 *790:8 0.000315426 +11 *789:10 *1044:8 0 +12 *789:10 *1045:8 2.20702e-05 +13 *789:10 *1429:8 0.00134929 +14 *789:10 *1430:10 0.0149773 +15 *789:10 *1433:8 0.0128895 +16 *2473:la_data_in[27] *789:7 0.000631259 +*RES +1 *2472:la_data_in_core[35] *789:5 2.33274 +2 *789:5 *789:7 48.1326 +3 *789:7 *789:9 4.5 +4 *789:9 *789:10 172.096 +5 *789:10 *2473:la_data_in[35] 40.5048 +*END + +*D_NET *790 0.038728 +*CONN +*I *2473:la_data_in[36] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[36] O *D mgmt_protect +*CAP +1 *2473:la_data_in[36] 0.00142801 +2 *2472:la_data_in_core[36] 0.00193225 +3 *790:8 0.0033195 +4 *790:7 0.00189149 +5 *790:5 0.00193225 +6 *2473:la_data_in[36] *1450:13 8.62625e-06 +7 *790:5 *1036:5 0 +8 *790:5 *1046:11 0 +9 *790:5 *1429:5 0 +10 *790:8 *1046:8 0.000762044 +11 *790:8 *1051:8 0.00346376 +12 *790:8 *1430:10 0.0170334 +13 *790:8 *1446:8 0.00664129 +14 *2473:la_data_in[27] *790:5 0 +15 *789:10 *790:8 0.000315426 +*RES +1 *2472:la_data_in_core[36] *790:5 48.8776 +2 *790:5 *790:7 4.5 +3 *790:7 *790:8 188.179 +4 *790:8 *2473:la_data_in[36] 39.6743 +*END + +*D_NET *791 0.0460783 +*CONN +*I *2473:la_data_in[37] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[37] O *D mgmt_protect +*CAP +1 *2473:la_data_in[37] 0.00157245 +2 *2472:la_data_in_core[37] 0.000275961 +3 *791:14 0.00318686 +4 *791:13 0.00251812 +5 *791:9 0.00117967 +6 *2473:la_data_in[37] *819:7 0 +7 *791:9 *2472:la_data_out_core[37] 7.1812e-05 +8 *791:9 *1430:7 0 +9 *791:13 *2472:la_data_out_core[37] 0.00252743 +10 *791:13 *2473:la_oenb[27] 0.000421307 +11 *791:13 *1430:7 0 +12 *791:14 *793:20 0.00177227 +13 *791:14 *1044:8 7.92757e-06 +14 *791:14 *1045:8 0.0152937 +15 *791:14 *1047:8 4.89898e-06 +16 *791:14 *1047:12 0.0135476 +17 *791:14 *1071:8 0.00204671 +18 *791:14 *1429:8 0.000313928 +19 *791:14 *1433:8 3.59302e-05 +20 *791:14 *1454:8 0.000233938 +21 *791:14 *1457:10 0.00106767 +22 *2473:la_data_in[28] *791:13 0 +*RES +1 *2472:la_data_in_core[37] *791:9 7.73102 +2 *791:9 *791:13 47.0389 +3 *791:13 *791:14 198.162 +4 *791:14 *2473:la_data_in[37] 42.1658 +*END + +*D_NET *792 0.0445727 +*CONN +*I *2473:la_data_in[38] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[38] O *D mgmt_protect +*CAP +1 *2473:la_data_in[38] 0.00175756 +2 *2472:la_data_in_core[38] 0.00165639 +3 *792:12 0.00345246 +4 *792:10 0.00173015 +5 *792:8 0.00122871 +6 *792:7 0.00284986 +7 *2473:la_data_in[38] *821:11 0 +8 *2473:la_data_in[38] *822:5 0 +9 *792:7 *2472:la_data_out_core[38] 0 +10 *792:7 *1431:7 0 +11 *792:8 *1428:8 0.010852 +12 *792:8 *1428:14 0.000562618 +13 *792:8 *1431:8 0.0130166 +14 *792:12 *1048:8 0.000344505 +15 *792:12 *1054:12 0.00639663 +16 *792:12 *1076:8 0 +17 *792:12 *1428:14 0.000536581 +18 *792:12 *1431:8 9.82896e-06 +19 *792:12 *1447:14 0 +20 *2473:la_data_in[28] *792:7 0.000178851 +*RES +1 *2472:la_data_in_core[38] *792:7 48.3946 +2 *792:7 *792:8 138.265 +3 *792:8 *792:10 0.988641 +4 *792:10 *792:12 76.7041 +5 *792:12 *2473:la_data_in[38] 45.4878 +*END + +*D_NET *793 0.0514997 +*CONN +*I *2473:la_data_in[39] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[39] O *D mgmt_protect +*CAP +1 *2473:la_data_in[39] 0.0016563 +2 *2472:la_data_in_core[39] 0.00167279 +3 *793:20 0.00248336 +4 *793:18 0.000977063 +5 *793:8 0.00113914 +6 *793:7 0.000989132 +7 *793:5 0.00167279 +8 *2473:la_data_in[39] *824:5 0 +9 *793:5 *2472:la_data_out_core[39] 0 +10 *793:5 *1037:5 0.000523544 +11 *793:5 *1432:5 0 +12 *793:8 *1044:8 0.0120886 +13 *793:8 *1047:12 0.0123477 +14 *793:8 *1048:14 9.16621e-05 +15 *793:8 *1431:8 1.19856e-05 +16 *793:18 *2473:la_oenb[34] 0 +17 *793:18 *1047:10 3.30786e-05 +18 *793:20 *1439:14 0.00905776 +19 *793:20 *1457:10 0.00135332 +20 *793:20 *1457:12 7.45396e-05 +21 *793:20 *1459:8 0.00355462 +22 *791:14 *793:20 0.00177227 +*RES +1 *2472:la_data_in_core[39] *793:5 45.5556 +2 *793:5 *793:7 4.5 +3 *793:7 *793:8 131.055 +4 *793:8 *793:18 11.6306 +5 *793:18 *793:20 96.1152 +6 *793:20 *2473:la_data_in[39] 42.9963 +*END + +*D_NET *794 0.0485333 +*CONN +*I *2473:la_data_in[3] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[3] O *D mgmt_protect +*CAP +1 *2473:la_data_in[3] 0.00153202 +2 *2472:la_data_in_core[3] 0.000947149 +3 *794:14 0.00394954 +4 *794:13 0.00241752 +5 *794:11 0.00174242 +6 *794:7 0.00268957 +7 *2473:la_data_in[3] *2190:5 0.000143931 +8 *794:7 *2472:la_data_out_core[3] 0 +9 *794:7 *1423:7 0 +10 *794:11 *849:8 0 +11 *794:11 *1028:8 0 +12 *794:11 *1373:7 7.75059e-05 +13 *794:11 *1373:8 0 +14 *794:14 *805:8 0.019861 +15 *794:14 *1708:8 0.00122278 +16 *794:14 *1710:8 0.000353776 +17 *794:14 *1759:8 0.0106547 +18 *2473:la_data_in[14] *794:11 0 +19 *733:7 *794:11 0 +20 *772:5 *794:11 0 +21 *772:8 *794:14 0.00294144 +*RES +1 *2472:la_data_in_core[3] *794:7 30.1235 +2 *794:7 *794:11 46.9532 +3 *794:11 *794:13 4.5 +4 *794:13 *794:14 231.438 +5 *794:14 *2473:la_data_in[3] 41.3353 +*END + +*D_NET *795 0.0473564 +*CONN +*I *2473:la_data_in[40] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[40] O *D mgmt_protect +*CAP +1 *2473:la_data_in[40] 0.00248631 +2 *2472:la_data_in_core[40] 0.00104016 +3 *795:10 0.00248631 +4 *795:8 0.00378109 +5 *795:7 0.00482125 +6 *2473:la_data_in[40] *2472:la_data_out_core[69] 0 +7 *2473:la_data_in[40] *828:7 0 +8 *795:7 *2472:la_data_out_core[40] 0 +9 *795:7 *1433:5 0 +10 *795:8 *796:8 0.0219261 +11 *795:8 *1054:18 0.00980994 +12 *795:8 *1081:8 0 +13 *795:8 *1427:8 0.000339591 +14 *795:8 *1437:8 0.000128915 +15 *795:8 *1448:8 0.00053673 +16 *795:8 *1464:8 0 +17 *795:8 *1465:10 0 +18 *795:8 *1466:8 0 +*RES +1 *2472:la_data_in_core[40] *795:7 33.0303 +2 *795:7 *795:8 241.421 +3 *795:8 *795:10 4.5 +4 *795:10 *2473:la_data_in[40] 55.5217 +*END + +*D_NET *796 0.0536407 +*CONN +*I *2473:la_data_in[41] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[41] O *D mgmt_protect +*CAP +1 *2473:la_data_in[41] 0.0023118 +2 *2472:la_data_in_core[41] 0.00106159 +3 *796:10 0.0023118 +4 *796:8 0.00308623 +5 *796:7 0.00414782 +6 *2473:la_data_in[41] *830:5 0 +7 *2473:la_data_in[41] *831:5 0 +8 *2473:la_data_in[41] *1470:9 0.00070507 +9 *796:7 *1052:11 0 +10 *796:7 *1435:5 0 +11 *796:8 *1065:8 0 +12 *796:8 *1067:10 0 +13 *796:8 *1069:12 0 +14 *796:8 *1070:14 0.000167409 +15 *796:8 *1075:14 0.00776607 +16 *796:8 *1084:8 0 +17 *796:8 *1086:8 0 +18 *796:8 *1427:8 0.00922354 +19 *796:8 *1453:8 3.40535e-05 +20 *796:8 *1455:8 0.000366401 +21 *796:8 *1458:8 0.000226377 +22 *796:8 *1466:8 0 +23 *796:8 *1469:8 0 +24 *788:8 *796:8 0.000306383 +25 *795:8 *796:8 0.0219261 +*RES +1 *2472:la_data_in_core[41] *796:7 33.4455 +2 *796:7 *796:8 254.732 +3 *796:8 *796:10 4.5 +4 *796:10 *2473:la_data_in[41] 55.1064 +*END + +*D_NET *797 0.0547136 +*CONN +*I *2473:la_data_in[42] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[42] O *D mgmt_protect +*CAP +1 *2473:la_data_in[42] 0.0018512 +2 *2472:la_data_in_core[42] 0.0014639 +3 *797:10 0.0018512 +4 *797:8 0.00369548 +5 *797:7 0.00515938 +6 *2473:la_data_in[42] *2472:la_data_out_core[75] 0.000284582 +7 *2473:la_data_in[42] *833:5 0.000312202 +8 *797:7 *2472:la_data_out_core[42] 0 +9 *797:7 *1436:5 0 +10 *797:8 *798:8 0.0244795 +11 *797:8 *1422:8 0 +12 *797:8 *1437:14 0.0156161 +13 *784:8 *797:8 0 +*RES +1 *2472:la_data_in_core[42] *797:7 42.1658 +2 *797:7 *797:8 268.042 +3 *797:8 *797:10 4.5 +4 *797:10 *2473:la_data_in[42] 46.3861 +*END + +*D_NET *798 0.0634456 +*CONN +*I *2473:la_data_in[43] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[43] O *D mgmt_protect +*CAP +1 *2473:la_data_in[43] 0.00174923 +2 *2472:la_data_in_core[43] 0.0014517 +3 *798:10 0.00174923 +4 *798:8 0.00227033 +5 *798:7 0.00372203 +6 *2473:la_data_in[43] *2472:la_data_out_core[77] 0 +7 *2473:la_data_in[43] *836:5 0.00136668 +8 *798:7 *2472:la_data_out_core[42] 0 +9 *798:7 *2472:la_data_out_core[43] 0 +10 *798:7 *2473:la_oenb[29] 0 +11 *798:7 *1437:7 0 +12 *798:7 *1438:7 0 +13 *798:8 *799:8 0.0257878 +14 *798:8 *1040:10 0 +15 *798:8 *1064:8 0.000167258 +16 *798:8 *1437:14 0.000612767 +17 *798:8 *1438:8 8.90486e-05 +18 *2473:la_data_in[30] *798:7 0 +19 *784:8 *798:8 0 +20 *797:8 *798:8 0.0244795 +*RES +1 *2472:la_data_in_core[43] *798:7 41.7506 +2 *798:7 *798:8 281.353 +3 *798:8 *798:10 4.5 +4 *798:10 *2473:la_data_in[43] 46.8014 +*END + +*D_NET *799 0.0662457 +*CONN +*I *2473:la_data_in[44] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[44] O *D mgmt_protect +*CAP +1 *2473:la_data_in[44] 0.00207625 +2 *2472:la_data_in_core[44] 0.00141235 +3 *799:14 0.00232626 +4 *799:8 0.00237039 +5 *799:7 0.00353273 +6 *2473:la_data_in[44] *840:5 0 +7 *2473:la_data_in[44] *1479:7 0 +8 *799:7 *2472:la_data_out_core[44] 0 +9 *799:7 *1040:7 8.22264e-05 +10 *799:7 *1438:7 0 +11 *799:8 *1064:8 0.000101869 +12 *799:8 *1438:8 0.0263656 +13 *799:14 *1056:14 1.75155e-06 +14 *799:14 *1064:8 0.00142007 +15 *799:14 *1438:8 0.000119063 +16 *799:14 *1477:10 0.000649254 +17 *2473:la_data_in[30] *799:7 0 +18 *798:8 *799:8 0.0257878 +*RES +1 *2472:la_data_in_core[44] *799:7 41.3353 +2 *799:7 *799:8 279.689 +3 *799:8 *799:14 21.0417 +4 *799:14 *2473:la_data_in[44] 45.9709 +*END + +*D_NET *800 0.0505619 +*CONN +*I *2473:la_data_in[45] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[45] O *D mgmt_protect +*CAP +1 *2473:la_data_in[45] 0.00287193 +2 *2472:la_data_in_core[45] 0.000645823 +3 *800:10 0.00287193 +4 *800:8 0.00738672 +5 *800:7 0.00803254 +6 *2473:la_data_in[45] *2472:la_data_out_core[83] 0.000596408 +7 *2473:la_data_in[45] *842:7 0 +8 *800:7 *2472:la_data_out_core[45] 0 +9 *800:7 *1439:7 0 +10 *800:8 *801:8 0.0281566 +11 *800:8 *1447:8 0 +*RES +1 *2472:la_data_in_core[45] *800:7 21.8185 +2 *800:7 *800:8 307.974 +3 *800:8 *800:10 4.5 +4 *800:10 *2473:la_data_in[45] 66.7335 +*END + +*D_NET *801 0.0711708 +*CONN +*I *2473:la_data_in[46] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[46] O *D mgmt_protect +*CAP +1 *2473:la_data_in[46] 0.0030867 +2 *2472:la_data_in_core[46] 0.000631218 +3 *801:10 0.0030867 +4 *801:8 0.00304416 +5 *801:7 0.00367537 +6 *2473:la_data_in[46] *2472:la_data_out_core[85] 0 +7 *2473:la_data_in[46] *2472:la_data_out_core[86] 0 +8 *2473:la_data_in[46] *1484:7 0.000144245 +9 *801:7 *2472:la_data_out_core[46] 0 +10 *801:7 *1440:7 0 +11 *801:8 *802:8 0.0293458 +12 *800:8 *801:8 0.0281566 +*RES +1 *2472:la_data_in_core[46] *801:7 21.4032 +2 *801:7 *801:8 321.284 +3 *801:8 *801:10 4.5 +4 *801:10 *2473:la_data_in[46] 67.1487 +*END + +*D_NET *802 0.0740897 +*CONN +*I *2473:la_data_in[47] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[47] O *D mgmt_protect +*CAP +1 *2473:la_data_in[47] 0.00294335 +2 *2472:la_data_in_core[47] 0.000616613 +3 *802:10 0.00294335 +4 *802:8 0.00315704 +5 *802:7 0.00377365 +6 *2473:la_data_in[47] *2472:la_data_out_core[88] 0.00016851 +7 *2473:la_data_in[47] *848:7 0 +8 *2473:la_data_in[47] *1487:7 0.000546668 +9 *802:7 *2472:la_data_out_core[47] 0 +10 *802:7 *1441:5 0 +11 *802:8 *803:8 0.0305946 +12 *801:8 *802:8 0.0293458 +*RES +1 *2472:la_data_in_core[47] *802:7 20.988 +2 *802:7 *802:8 334.595 +3 *802:8 *802:10 4.5 +4 *802:10 *2473:la_data_in[47] 67.564 +*END + +*D_NET *803 0.0781373 +*CONN +*I *2473:la_data_in[48] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[48] O *D mgmt_protect +*CAP +1 *2473:la_data_in[48] 0.00256471 +2 *2472:la_data_in_core[48] 0.000602008 +3 *803:10 0.00256471 +4 *803:8 0.0032548 +5 *803:7 0.00385681 +6 *2473:la_data_in[48] *851:7 0.00280314 +7 *2473:la_data_in[48] *1490:7 0 +8 *803:7 *2472:la_data_out_core[48] 0 +9 *803:7 *1442:7 0 +10 *803:8 *804:8 0.0318965 +11 *802:8 *803:8 0.0305946 +*RES +1 *2472:la_data_in_core[48] *803:7 20.5727 +2 *803:7 *803:8 347.905 +3 *803:8 *803:10 4.5 +4 *803:10 *2473:la_data_in[48] 67.9792 +*END + +*D_NET *804 0.0796996 +*CONN +*I *2473:la_data_in[49] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[49] O *D mgmt_protect +*CAP +1 *2473:la_data_in[49] 0.00318712 +2 *2472:la_data_in_core[49] 0.000587403 +3 *804:10 0.00318712 +4 *804:8 0.0033807 +5 *804:7 0.0039681 +6 *2473:la_data_in[49] *2472:la_data_out_core[94] 0 +7 *2473:la_data_in[49] *853:7 0 +8 *2473:la_data_in[49] *1109:11 0 +9 *2473:la_data_in[49] *1493:7 0.000413324 +10 *804:7 *2472:la_data_out_core[49] 0 +11 *804:7 *1443:5 0 +12 *804:8 *806:8 0.0330793 +13 *803:8 *804:8 0.0318965 +*RES +1 *2472:la_data_in_core[49] *804:7 20.1574 +2 *804:7 *804:8 361.216 +3 *804:8 *804:10 4.5 +4 *804:10 *2473:la_data_in[49] 68.3945 +*END + +*D_NET *805 0.0469001 +*CONN +*I *2473:la_data_in[4] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[4] O *D mgmt_protect +*CAP +1 *2473:la_data_in[4] 0.00151889 +2 *2472:la_data_in_core[4] 0.00184995 +3 *805:8 0.0044234 +4 *805:7 0.00290451 +5 *805:5 0.00184995 +6 *2473:la_data_in[4] *1641:5 0 +7 *805:5 *2472:la_data_out_core[4] 0 +8 *805:5 *1023:10 0 +9 *805:5 *1434:7 0 +10 *805:8 *1617:8 0.00199376 +11 *805:8 *1621:10 0 +12 *805:8 *1686:8 6.45664e-05 +13 *805:8 *1688:8 0 +14 *805:8 *1710:8 0.000538827 +15 *805:8 *1749:8 0.000114786 +16 *805:8 *1751:8 7.09348e-05 +17 *805:8 *1753:8 0 +18 *805:8 *1755:8 0 +19 *2473:la_data_in[15] *805:5 0 +20 *744:8 *805:8 0.0105674 +21 *766:14 *805:8 0.00107663 +22 *772:8 *805:8 6.54746e-05 +23 *794:14 *805:8 0.019861 +*RES +1 *2472:la_data_in_core[4] *805:5 47.6319 +2 *805:5 *805:7 4.5 +3 *805:7 *805:8 238.648 +4 *805:8 *2473:la_data_in[4] 40.9201 +*END + +*D_NET *806 0.0826264 +*CONN +*I *2473:la_data_in[50] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[50] O *D mgmt_protect +*CAP +1 *2473:la_data_in[50] 0.0030336 +2 *2472:la_data_in_core[50] 0.000572797 +3 *806:10 0.0030336 +4 *806:8 0.00349346 +5 *806:7 0.00406626 +6 *2473:la_data_in[50] *2472:la_data_out_core[96] 0.000248649 +7 *2473:la_data_in[50] *857:5 0 +8 *2473:la_data_in[50] *1496:5 0.000724021 +9 *806:7 *2472:la_data_out_core[50] 0 +10 *806:7 *1444:7 0 +11 *806:8 *807:8 0.0343748 +12 *804:8 *806:8 0.0330793 +*RES +1 *2472:la_data_in_core[50] *806:7 19.7422 +2 *806:7 *806:8 374.526 +3 *806:8 *806:10 4.5 +4 *806:10 *2473:la_data_in[50] 68.8097 +*END + +*D_NET *807 0.0860475 +*CONN +*I *2473:la_data_in[51] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[51] O *D mgmt_protect +*CAP +1 *2473:la_data_in[51] 0.00276887 +2 *2472:la_data_in_core[51] 0.000558192 +3 *807:10 0.00276887 +4 *807:8 0.00361769 +5 *807:7 0.00417588 +6 *2473:la_data_in[51] *859:5 0.00104653 +7 *2473:la_data_in[51] *1115:11 0 +8 *2473:la_data_in[51] *1449:17 0 +9 *2473:la_data_in[51] *1494:13 0.00117916 +10 *2473:la_data_in[51] *1498:17 0 +11 *807:7 *2472:la_data_out_core[51] 0 +12 *807:7 *1446:5 0 +13 *807:8 *808:8 0.0355576 +14 *806:8 *807:8 0.0343748 +*RES +1 *2472:la_data_in_core[51] *807:7 19.3269 +2 *807:7 *807:8 387.837 +3 *807:8 *807:10 4.5 +4 *807:10 *2473:la_data_in[51] 69.225 +*END + +*D_NET *808 0.0882702 +*CONN +*I *2473:la_data_in[52] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[52] O *D mgmt_protect +*CAP +1 *2473:la_data_in[52] 0.00316309 +2 *2472:la_data_in_core[52] 0.000543587 +3 *808:10 0.00316309 +4 *808:8 0.00374034 +5 *808:7 0.00428393 +6 *2473:la_data_in[52] *2472:la_data_out_core[102] 0 +7 *2473:la_data_in[52] *991:11 0.000205164 +8 *2473:la_data_in[52] *1375:5 0.000686056 +9 *808:7 *2472:la_data_out_core[52] 0 +10 *808:7 *1447:7 0 +11 *808:8 *809:8 0.0368466 +12 *736:7 *2473:la_data_in[52] 8.07629e-05 +13 *807:8 *808:8 0.0355576 +*RES +1 *2472:la_data_in_core[52] *808:7 18.9117 +2 *808:7 *808:8 401.147 +3 *808:8 *808:10 4.5 +4 *808:10 *2473:la_data_in[52] 69.6402 +*END + +*D_NET *809 0.0909098 +*CONN +*I *2473:la_data_in[53] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[53] O *D mgmt_protect +*CAP +1 *2473:la_data_in[53] 0.00346755 +2 *2472:la_data_in_core[53] 0.000528982 +3 *809:10 0.00346755 +4 *809:8 0.00386299 +5 *809:7 0.00439197 +6 *2473:la_data_in[53] *2472:la_data_out_core[104] 8.71463e-05 +7 *2473:la_data_in[53] *1378:7 0.000227718 +8 *809:7 *2472:la_data_out_core[53] 0 +9 *809:7 *1448:7 0 +10 *809:8 *810:8 0.0380294 +11 *809:8 *994:8 0 +12 *736:8 *809:8 0 +13 *737:8 *809:8 0 +14 *738:7 *2473:la_data_in[53] 0 +15 *738:8 *809:8 0 +16 *808:8 *809:8 0.0368466 +*RES +1 *2472:la_data_in_core[53] *809:7 18.4964 +2 *809:7 *809:8 414.458 +3 *809:8 *809:10 4.5 +4 *809:10 *2473:la_data_in[53] 70.0555 +*END + +*D_NET *810 0.0937655 +*CONN +*I *2473:la_data_in[54] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[54] O *D mgmt_protect +*CAP +1 *2473:la_data_in[54] 0.00340354 +2 *2472:la_data_in_core[54] 0.000514377 +3 *810:10 0.00340354 +4 *810:8 0.00395911 +5 *810:7 0.00447349 +6 *2473:la_data_in[54] *2472:la_data_out_core[107] 0.000555213 +7 *2473:la_data_in[54] *1380:7 0 +8 *810:7 *2472:la_data_out_core[54] 0 +9 *810:7 *1449:7 0 +10 *810:8 *811:8 0.0393184 +11 *810:8 *994:8 0 +12 *739:8 *810:8 0 +13 *740:8 *810:8 4.41346e-05 +14 *741:7 *2473:la_data_in[54] 4.90673e-05 +15 *741:8 *810:8 1.53125e-05 +16 *809:8 *810:8 0.0380294 +*RES +1 *2472:la_data_in_core[54] *810:7 18.0812 +2 *810:7 *810:8 427.768 +3 *810:8 *810:10 4.5 +4 *810:10 *2473:la_data_in[54] 70.4707 +*END + +*D_NET *811 0.076849 +*CONN +*I *2473:la_data_in[55] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[55] O *D mgmt_protect +*CAP +1 *2473:la_data_in[55] 0.00336367 +2 *2472:la_data_in_core[55] 0.000499772 +3 *811:10 0.00336367 +4 *811:8 0.00530789 +5 *811:7 0.00580766 +6 *2473:la_data_in[55] *2472:la_data_out_core[109] 0 +7 *2473:la_data_in[55] *1067:7 0 +8 *2473:la_data_in[55] *1383:9 0.00128379 +9 *811:7 *2472:la_data_out_core[55] 0 +10 *811:7 *1450:7 0 +11 *811:8 *813:8 0.0174285 +12 *741:8 *811:8 4.08456e-05 +13 *742:8 *811:8 0.000179244 +14 *743:8 *811:8 0.00025559 +15 *810:8 *811:8 0.0393184 +*RES +1 *2472:la_data_in_core[55] *811:7 17.6659 +2 *811:7 *811:8 441.079 +3 *811:8 *811:10 4.5 +4 *811:10 *2473:la_data_in[55] 70.886 +*END + +*D_NET *812 0.0976107 +*CONN +*I *2473:la_data_in[56] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[56] O *D mgmt_protect +*CAP +1 *2473:la_data_in[56] 0.00381121 +2 *2472:la_data_in_core[56] 0.000242468 +3 *812:10 0.00381121 +4 *812:8 0.00475951 +5 *812:7 0.00500198 +6 *2473:la_data_in[56] *2472:la_data_out_core[112] 0.000530985 +7 *2473:la_data_in[56] *1386:9 0 +8 *2473:la_data_in[56] *1387:5 0.000404197 +9 *812:7 *2472:la_data_out_core[56] 0 +10 *812:7 *1451:7 0 +11 *812:8 *814:8 0.000429692 +12 *812:8 *815:8 0.0401921 +13 *812:8 *1074:16 0.0384273 +14 *486:21 *812:8 0 +*RES +1 *2472:la_data_in_core[56] *812:7 10.6067 +2 *812:7 *812:8 454.389 +3 *812:8 *812:10 4.5 +4 *812:10 *2473:la_data_in[56] 77.9453 +*END + +*D_NET *813 0.066313 +*CONN +*I *2473:la_data_in[57] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[57] O *D mgmt_protect +*CAP +1 *2473:la_data_in[57] 0.000371404 +2 *2472:la_data_in_core[57] 0.000472006 +3 *813:11 0.00374455 +4 *813:10 0.00337314 +5 *813:8 0.00941203 +6 *813:7 0.00988404 +7 *813:7 *2472:la_data_out_core[57] 0 +8 *813:7 *1452:7 0 +9 *813:8 *832:8 0 +10 *813:8 *834:8 0 +11 *813:8 *839:8 0 +12 *813:8 *841:8 0 +13 *813:8 *843:8 0 +14 *813:8 *845:8 9.18958e-05 +15 *813:8 *847:8 0.00025618 +16 *813:8 *850:8 0.000372603 +17 *813:8 *852:8 0.000655 +18 *813:8 *854:8 0.0152855 +19 *813:11 *2472:la_data_out_core[114] 7.09666e-06 +20 *813:11 *2473:la_oenb[56] 0.00187058 +21 *813:11 *1381:15 0 +22 *813:11 *1388:7 0 +23 *813:11 *1389:7 0 +24 *112:33 *2473:la_data_in[57] 0.000101638 +25 *491:48 *2473:la_data_in[57] 0.000164024 +26 *493:48 *2473:la_data_in[57] 0.000297298 +27 *496:41 *2473:la_data_in[57] 0.000107951 +28 *497:34 *2473:la_data_in[57] 0.000154432 +29 *743:8 *813:8 2.90905e-05 +30 *745:8 *813:8 0.000179244 +31 *746:8 *813:8 0.000339591 +32 *747:8 *813:8 0.00171517 +33 *749:7 *813:11 0 +34 *811:8 *813:8 0.0174285 +*RES +1 *2472:la_data_in_core[57] *813:7 16.8354 +2 *813:7 *813:8 461.599 +3 *813:8 *813:10 4.5 +4 *813:10 *813:11 71.802 +5 *813:11 *2473:la_data_in[57] 6.26542 +*END + +*D_NET *814 0.0965181 +*CONN +*I *2473:la_data_in[58] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[58] O *D mgmt_protect +*CAP +1 *2473:la_data_in[58] 0.00389811 +2 *2472:la_data_in_core[58] 0.0003077 +3 *814:16 0.00406933 +4 *814:8 0.00663568 +5 *814:7 0.00677216 +6 *2473:la_data_in[58] *2472:la_data_out_core[117] 0 +7 *2473:la_data_in[58] *1392:7 0.00118109 +8 *2473:la_data_in[58] *1458:13 0 +9 *814:7 *2472:la_data_out_core[58] 0 +10 *814:7 *1453:7 0 +11 *814:8 *832:8 0.031691 +12 *814:8 *1074:16 0.000580091 +13 *814:8 *1077:8 0.000291294 +14 *814:8 *1078:8 0.0382149 +15 *814:16 *2472:la_data_out_core[116] 1.47102e-05 +16 *814:16 *1074:14 0.00120541 +17 *814:16 *1077:8 0.00119699 +18 *751:7 *814:16 2.99929e-05 +19 *753:7 *2473:la_data_in[58] 0 +20 *812:8 *814:8 0.000429692 +*RES +1 *2472:la_data_in_core[58] *814:7 12.2677 +2 *814:7 *814:8 468.254 +3 *814:8 *814:16 27.8414 +4 *814:16 *2473:la_data_in[58] 77.53 +*END + +*D_NET *815 0.0780131 +*CONN +*I *2473:la_data_in[59] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[59] O *D mgmt_protect +*CAP +1 *2473:la_data_in[59] 5.44382e-05 +2 *2472:la_data_in_core[59] 0.000227863 +3 *815:11 0.00436645 +4 *815:10 0.00431201 +5 *815:8 0.0108015 +6 *815:7 0.0110294 +7 *815:7 *2472:la_data_out_core[59] 0 +8 *815:7 *1454:5 0 +9 *815:8 *1074:8 0.000811595 +10 *815:8 *1074:14 0.00283866 +11 *815:8 *1074:16 0.000523547 +12 *815:11 *2472:la_data_out_core[120] 3.31882e-05 +13 *815:11 *1396:7 0 +14 *486:21 *815:8 0.00282239 +15 *756:5 *815:11 0 +16 *757:5 *815:11 0 +17 *812:8 *815:8 0.0401921 +*RES +1 *2472:la_data_in_core[59] *815:7 10.1914 +2 *815:7 *815:8 493.766 +3 *815:8 *815:10 4.5 +4 *815:10 *815:11 78.446 +5 *815:11 *2473:la_data_in[59] 0.647305 +*END + +*D_NET *816 0.0525565 +*CONN +*I *2473:la_data_in[5] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[5] O *D mgmt_protect +*CAP +1 *2473:la_data_in[5] 0.000170594 +2 *2472:la_data_in_core[5] 0.00116623 +3 *816:11 0.00233158 +4 *816:10 0.00216099 +5 *816:8 0.0017899 +6 *816:7 0.00295613 +7 *816:7 *2472:la_data_out_core[5] 0 +8 *816:7 *1445:7 0 +9 *816:8 *1061:8 0.0205416 +10 *816:8 *1072:8 0.0205481 +11 *816:8 *1445:8 0.000221463 +12 *816:11 *2472:mprj_dat_i_user[9] 0.00064738 +13 *816:11 *1072:5 0 +14 *816:11 *1644:7 2.25812e-05 +15 *816:11 *1759:13 0 +16 *816:11 *1775:7 0 +*RES +1 *2472:la_data_in_core[5] *816:7 36.3523 +2 *816:7 *816:8 223.674 +3 *816:8 *816:10 4.5 +4 *816:10 *816:11 52.2851 +5 *816:11 *2473:la_data_in[5] 1.77093 +*END + +*D_NET *817 0.0851696 +*CONN +*I *2473:la_data_in[60] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[60] O *D mgmt_protect +*CAP +1 *2473:la_data_in[60] 0.00103037 +2 *2472:la_data_in_core[60] 4.25268e-05 +3 *817:18 0.00210966 +4 *817:16 0.00109992 +5 *817:14 0.00195404 +6 *817:12 0.00195404 +7 *817:10 0.00251707 +8 *817:9 0.00249644 +9 *817:7 0.00236437 +10 *817:5 0.0024069 +11 *817:7 *1046:7 0.000812097 +12 *817:7 *1073:17 0 +13 *817:7 *1447:13 8.83765e-05 +14 *817:7 *1448:13 0.00051899 +15 *817:7 *1455:7 0 +16 *817:10 *818:8 0.0231415 +17 *817:10 *1073:14 0.0105174 +18 *817:14 *818:8 0.00770504 +19 *817:14 *999:8 0.000694957 +20 *817:14 *1073:14 0.0146589 +21 *817:18 *818:8 0.00681775 +22 *817:18 *999:8 0.000968322 +23 *817:18 *1002:8 7.92757e-06 +24 *817:18 *1004:8 0.001263 +*RES +1 *2472:la_data_in_core[60] *817:5 1.20912 +2 *817:5 *817:7 63.9122 +3 *817:7 *817:9 4.5 +4 *817:9 *817:10 251.127 +5 *817:10 *817:12 0.578717 +6 *817:12 *817:14 183.188 +7 *817:14 *817:16 0.578717 +8 *817:16 *817:18 72.5446 +9 *817:18 *2473:la_data_in[60] 24.7252 +*END + +*D_NET *818 0.104293 +*CONN +*I *2473:la_data_in[61] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[61] O *D mgmt_protect +*CAP +1 *2473:la_data_in[61] 0.00106483 +2 *2472:la_data_in_core[61] 0.00261857 +3 *818:8 0.0053861 +4 *818:7 0.00432127 +5 *818:5 0.00261857 +6 *818:5 *2472:la_data_out_core[61] 0 +7 *818:5 *2473:la_oenb[36] 0.000386365 +8 *818:5 *1073:17 0 +9 *818:5 *1457:5 0 +10 *818:5 *1458:7 0 +11 *818:8 *819:10 0.0482987 +12 *818:8 *1004:8 8.66138e-05 +13 *818:8 *1015:8 0.00184718 +14 *817:10 *818:8 0.0231415 +15 *817:14 *818:8 0.00770504 +16 *817:18 *818:8 0.00681775 +*RES +1 *2472:la_data_in_core[61] *818:5 63.4115 +2 *818:5 *818:7 4.5 +3 *818:7 *818:8 521.496 +4 *818:8 *2473:la_data_in[61] 25.1405 +*END + +*D_NET *819 0.108462 +*CONN +*I *2473:la_data_in[62] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[62] O *D mgmt_protect +*CAP +1 *2473:la_data_in[62] 0.00109622 +2 *2472:la_data_in_core[62] 8.20467e-05 +3 *819:10 0.00665851 +4 *819:9 0.00556229 +5 *819:7 0.00234938 +6 *819:5 0.00243143 +7 *819:7 *2472:la_data_out_core[62] 0.00169922 +8 *819:7 *1047:7 0 +9 *819:7 *1458:7 0 +10 *819:7 *1459:5 0 +11 *819:10 *825:10 0 +12 *819:10 *826:8 0 +13 *819:10 *828:10 0 +14 *819:10 *829:8 0 +15 *819:10 *830:8 0 +16 *819:10 *831:8 9.85339e-05 +17 *819:10 *833:8 0.00027844 +18 *819:10 *835:10 0.000171289 +19 *819:10 *836:8 0.0382921 +20 *819:10 *1015:8 0.000433749 +21 *2473:la_data_in[37] *819:7 0 +22 *763:8 *819:10 0.00101035 +23 *818:8 *819:10 0.0482987 +*RES +1 *2472:la_data_in_core[62] *819:5 2.33274 +2 *819:5 *819:7 63.0817 +3 *819:7 *819:9 4.5 +4 *819:9 *819:10 532.034 +5 *819:10 *2473:la_data_in[62] 25.5557 +*END + +*D_NET *820 0.0897629 +*CONN +*I *2473:la_data_in[63] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[63] O *D mgmt_protect +*CAP +1 *2473:la_data_in[63] 0.00144613 +2 *2472:la_data_in_core[63] 0.0024356 +3 *820:8 0.0122326 +4 *820:7 0.0107865 +5 *820:5 0.0024356 +6 *820:5 *2472:la_data_out_core[63] 0 +7 *820:5 *1047:7 0.000261642 +8 *820:5 *1459:5 0 +9 *820:8 *821:14 0.000202245 +10 *820:8 *822:8 0.0501965 +11 *820:8 *1002:14 0.00757942 +12 *820:8 *1004:14 2.18041e-06 +13 *820:8 *1049:8 0 +14 *820:8 *1053:8 0 +15 *820:8 *1091:8 0 +16 *820:8 *1093:8 0 +17 *820:8 *1109:8 0.000133943 +18 *820:8 *1432:8 0 +19 *820:8 *1435:8 0 +20 *761:8 *820:8 0.00205052 +*RES +1 *2472:la_data_in_core[63] *820:5 56.7674 +2 *820:5 *820:7 4.5 +3 *820:7 *820:8 548.117 +4 *820:8 *2473:la_data_in[63] 31.7845 +*END + +*D_NET *821 0.120864 +*CONN +*I *2473:la_data_in[64] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[64] O *D mgmt_protect +*CAP +1 *2473:la_data_in[64] 0.00140325 +2 *2472:la_data_in_core[64] 0.000247842 +3 *821:14 0.00568441 +4 *821:13 0.00428116 +5 *821:11 0.00215676 +6 *821:9 0.0024046 +7 *821:9 *2472:la_data_out_core[64] 0.000105145 +8 *821:9 *1460:7 0 +9 *821:11 *2472:la_data_out_core[64] 0.000178538 +10 *821:11 *2473:la_oenb[37] 0 +11 *821:11 *822:5 0 +12 *821:11 *1068:13 0.000588523 +13 *821:11 *1460:7 0 +14 *821:11 *1461:7 0 +15 *821:14 *822:8 0.0521995 +16 *821:14 *823:8 0.0514116 +17 *2473:la_data_in[38] *821:11 0 +18 *820:8 *821:14 0.000202245 +*RES +1 *2472:la_data_in_core[64] *821:9 7.16921 +2 *821:9 *821:11 53.7507 +3 *821:11 *821:13 4.5 +4 *821:13 *821:14 558.1 +5 *821:14 *2473:la_data_in[64] 30.954 +*END + +*D_NET *822 0.121573 +*CONN +*I *2473:la_data_in[65] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[65] O *D mgmt_protect +*CAP +1 *2473:la_data_in[65] 0.00141784 +2 *2472:la_data_in_core[65] 0.00256369 +3 *822:8 0.00584696 +4 *822:7 0.00442912 +5 *822:5 0.00256369 +6 *822:5 *2472:la_data_out_core[65] 0 +7 *822:5 *1048:7 0 +8 *822:5 *1066:13 0 +9 *822:5 *1461:7 0 +10 *822:5 *1462:7 0 +11 *822:8 *823:8 0.000921763 +12 *822:8 *1109:8 0.000133943 +13 *2473:la_data_in[38] *822:5 0 +14 *761:8 *822:8 0.000733044 +15 *761:12 *822:8 0.00056729 +16 *820:8 *822:8 0.0501965 +17 *821:11 *822:5 0 +18 *821:14 *822:8 0.0521995 +*RES +1 *2472:la_data_in_core[65] *822:5 57.1827 +2 *822:5 *822:7 4.5 +3 *822:7 *822:8 574.738 +4 *822:8 *2473:la_data_in[65] 31.3693 +*END + +*D_NET *823 0.124684 +*CONN +*I *2473:la_data_in[66] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[66] O *D mgmt_protect +*CAP +1 *2473:la_data_in[66] 0.00138182 +2 *2472:la_data_in_core[66] 0.00250005 +3 *823:8 0.00596153 +4 *823:7 0.00457971 +5 *823:5 0.00250005 +6 *823:5 *2472:la_data_out_core[66] 0 +7 *823:5 *2473:la_oenb[38] 0 +8 *823:5 *1048:7 0 +9 *823:5 *1064:13 0.000324473 +10 *823:5 *1066:13 0 +11 *823:5 *1462:7 0 +12 *823:5 *1463:7 0 +13 *823:8 *824:8 0.0547316 +14 *761:12 *823:8 0.000371382 +15 *821:14 *823:8 0.0514116 +16 *822:8 *823:8 0.000921763 +*RES +1 *2472:la_data_in_core[66] *823:5 58.0132 +2 *823:5 *823:7 4.5 +3 *823:7 *823:8 587.494 +4 *823:8 *2473:la_data_in[66] 30.5388 +*END + +*D_NET *824 0.128315 +*CONN +*I *2473:la_data_in[67] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[67] O *D mgmt_protect +*CAP +1 *2473:la_data_in[67] 0.00136038 +2 *2472:la_data_in_core[67] 0.0026417 +3 *824:8 0.00611758 +4 *824:7 0.0047572 +5 *824:5 0.0026417 +6 *824:5 *2472:la_data_out_core[67] 0 +7 *824:5 *2473:la_oenb[38] 0 +8 *824:5 *1463:7 0 +9 *824:5 *1464:7 0 +10 *824:8 *825:10 0.0557937 +11 *824:8 *1006:8 0.000134497 +12 *2473:la_data_in[39] *824:5 0 +13 *761:12 *824:8 0.000137013 +14 *761:17 *2473:la_data_in[67] 0 +15 *823:8 *824:8 0.0547316 +*RES +1 *2472:la_data_in_core[67] *824:5 58.4284 +2 *824:5 *824:7 4.5 +3 *824:7 *824:8 601.359 +4 *824:8 *2473:la_data_in[67] 30.1235 +*END + +*D_NET *825 0.131772 +*CONN +*I *2473:la_data_in[68] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[68] O *D mgmt_protect +*CAP +1 *2473:la_data_in[68] 0.00134722 +2 *2472:la_data_in_core[68] 8.20467e-05 +3 *825:10 0.00615006 +4 *825:9 0.00480283 +5 *825:7 0.00228997 +6 *825:5 0.00237202 +7 *825:7 *2472:la_data_out_core[68] 0.00140249 +8 *825:7 *826:5 0 +9 *825:7 *1049:7 0.000129499 +10 *825:7 *1464:7 0 +11 *825:7 *1465:10 0 +12 *825:10 *826:8 0.0572614 +13 *825:10 *1006:8 0.000141029 +14 *761:12 *825:10 0 +15 *819:10 *825:10 0 +16 *824:8 *825:10 0.0557937 +*RES +1 *2472:la_data_in_core[68] *825:5 2.33274 +2 *825:5 *825:7 58.9292 +3 *825:7 *825:9 4.5 +4 *825:9 *825:10 611.897 +5 *825:10 *2473:la_data_in[68] 29.7083 +*END + +*D_NET *826 0.134233 +*CONN +*I *2473:la_data_in[69] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[69] O *D mgmt_protect +*CAP +1 *2473:la_data_in[69] 0.00132579 +2 *2472:la_data_in_core[69] 0.00236729 +3 *826:8 0.0063207 +4 *826:7 0.00499491 +5 *826:5 0.00236729 +6 *826:5 *2472:la_data_out_core[69] 0 +7 *826:5 *2473:la_oenb[39] 0.000630902 +8 *826:5 *1465:10 0 +9 *826:5 *1465:13 0.000490751 +10 *826:8 *828:10 0.0583235 +11 *826:8 *1006:8 0.00015065 +12 *819:10 *826:8 0 +13 *825:7 *826:5 0 +14 *825:10 *826:8 0.0572614 +*RES +1 *2472:la_data_in_core[69] *826:5 59.2589 +2 *826:5 *826:7 4.5 +3 *826:7 *826:8 627.98 +4 *826:8 *2473:la_data_in[69] 29.293 +*END + +*D_NET *827 0.0480845 +*CONN +*I *2473:la_data_in[6] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[6] O *D mgmt_protect +*CAP +1 *2473:la_data_in[6] 0.00207152 +2 *2472:la_data_in_core[6] 0.00124849 +3 *827:10 0.00207152 +4 *827:8 0.00174688 +5 *827:7 0.00299537 +6 *2473:la_data_in[6] *2472:mprj_dat_i_user[12] 0 +7 *2473:la_data_in[6] *1616:7 0 +8 *2473:la_data_in[6] *1747:7 0.000437695 +9 *827:7 *2472:la_data_out_core[6] 0 +10 *827:7 *1456:7 0 +11 *827:8 *838:8 0.000306383 +12 *827:8 *1094:8 0.0173521 +13 *827:8 *1456:8 0.000590133 +14 *827:8 *1467:8 0.0186498 +15 *827:8 *1691:14 0.000301715 +16 *827:8 *1748:8 0.000312946 +*RES +1 *2472:la_data_in_core[6] *827:7 38.4285 +2 *827:7 *827:8 212.027 +3 *827:8 *827:10 4.5 +4 *827:10 *2473:la_data_in[6] 50.1234 +*END + +*D_NET *828 0.137423 +*CONN +*I *2473:la_data_in[70] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[70] O *D mgmt_protect +*CAP +1 *2473:la_data_in[70] 0.00130435 +2 *2472:la_data_in_core[70] 8.20467e-05 +3 *828:10 0.00627189 +4 *828:9 0.00496753 +5 *828:7 0.00238275 +6 *828:5 0.0024648 +7 *828:7 *2472:la_data_out_core[70] 0.00144949 +8 *828:7 *1051:7 0 +9 *828:7 *1466:7 0 +10 *828:7 *1468:7 0 +11 *828:10 *829:8 0.0598041 +12 *828:10 *1006:8 0.00037278 +13 *2473:la_data_in[40] *828:7 0 +14 *819:10 *828:10 0 +15 *826:8 *828:10 0.0583235 +*RES +1 *2472:la_data_in_core[70] *828:5 2.33274 +2 *828:5 *828:7 59.7597 +3 *828:7 *828:9 4.5 +4 *828:9 *828:10 638.518 +5 *828:10 *2473:la_data_in[70] 28.8777 +*END + +*D_NET *829 0.141061 +*CONN +*I *2473:la_data_in[71] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[71] O *D mgmt_protect +*CAP +1 *2473:la_data_in[71] 0.00128292 +2 *2472:la_data_in_core[71] 0.00200366 +3 *829:8 0.00639723 +4 *829:7 0.00511431 +5 *829:5 0.00200366 +6 *829:5 *2472:la_data_out_core[71] 0 +7 *829:5 *1051:7 0.000232269 +8 *829:5 *1468:7 0.00273745 +9 *829:8 *830:8 0.0610722 +10 *829:8 *1006:8 0.000412718 +11 *819:10 *829:8 0 +12 *828:10 *829:8 0.0598041 +*RES +1 *2472:la_data_in_core[71] *829:5 60.0894 +2 *829:5 *829:7 4.5 +3 *829:7 *829:8 654.601 +4 *829:8 *2473:la_data_in[71] 28.4625 +*END + +*D_NET *830 0.142323 +*CONN +*I *2473:la_data_in[72] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[72] O *D mgmt_protect +*CAP +1 *2473:la_data_in[72] 0.00125979 +2 *2472:la_data_in_core[72] 0.00277521 +3 *830:8 0.00645546 +4 *830:7 0.00519568 +5 *830:5 0.00277521 +6 *830:5 *2472:la_data_out_core[71] 0 +7 *830:5 *2472:la_data_out_core[72] 0 +8 *830:5 *2473:la_oenb[40] 0 +9 *830:5 *1469:7 0 +10 *830:5 *1470:9 0 +11 *830:8 *831:8 0.0623404 +12 *830:8 *1006:8 0.000448922 +13 *2473:la_data_in[41] *830:5 0 +14 *819:10 *830:8 0 +15 *829:8 *830:8 0.0610722 +*RES +1 *2472:la_data_in_core[72] *830:5 60.5047 +2 *830:5 *830:7 4.5 +3 *830:7 *830:8 667.911 +4 *830:8 *2473:la_data_in[72] 28.0472 +*END + +*D_NET *831 0.144461 +*CONN +*I *2473:la_data_in[73] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[73] O *D mgmt_protect +*CAP +1 *2473:la_data_in[73] 0.00123252 +2 *2472:la_data_in_core[73] 0.00280547 +3 *831:8 0.00656871 +4 *831:7 0.00533618 +5 *831:5 0.00280547 +6 *831:5 *2472:la_data_out_core[73] 0 +7 *831:5 *1052:7 0 +8 *831:5 *1470:9 0 +9 *831:8 *833:8 0.0628248 +10 *831:8 *1006:8 0.000448922 +11 *2473:la_data_in[41] *831:5 0 +12 *819:10 *831:8 9.85339e-05 +13 *830:8 *831:8 0.0623404 +*RES +1 *2472:la_data_in_core[73] *831:5 60.9199 +2 *831:5 *831:7 4.5 +3 *831:7 *831:8 680.667 +4 *831:8 *2473:la_data_in[73] 27.632 +*END + +*D_NET *832 0.149193 +*CONN +*I *2473:la_data_in[74] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[74] O *D mgmt_protect +*CAP +1 *2473:la_data_in[74] 0.00414198 +2 *2472:la_data_in_core[74] 0.00035647 +3 *832:10 0.00414198 +4 *832:8 0.00548327 +5 *832:7 0.00583974 +6 *832:7 *2472:la_data_out_core[74] 0 +7 *832:7 *1471:7 0 +8 *832:8 *834:8 0.0639076 +9 *832:8 *1007:14 0.0335707 +10 *832:8 *1078:8 5.9852e-05 +11 *813:8 *832:8 0 +12 *814:8 *832:8 0.031691 +*RES +1 *2472:la_data_in_core[74] *832:7 12.6829 +2 *832:7 *832:8 694.532 +3 *832:8 *832:10 4.5 +4 *832:10 *2473:la_data_in[74] 75.869 +*END + +*D_NET *833 0.148516 +*CONN +*I *2473:la_data_in[75] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[75] O *D mgmt_protect +*CAP +1 *2473:la_data_in[75] 0.00120526 +2 *2472:la_data_in_core[75] 0.002711 +3 *833:8 0.00697893 +4 *833:7 0.00577367 +5 *833:5 0.002711 +6 *833:5 *2472:la_data_out_core[75] 0 +7 *833:5 *2473:la_oenb[41] 0 +8 *833:5 *1472:7 0 +9 *833:8 *835:10 0.065308 +10 *833:8 *1006:8 0.000412718 +11 *2473:la_data_in[42] *833:5 0.000312202 +12 *819:10 *833:8 0.00027844 +13 *831:8 *833:8 0.0628248 +*RES +1 *2472:la_data_in_core[75] *833:5 61.3352 +2 *833:5 *833:7 4.5 +3 *833:7 *833:8 707.288 +4 *833:8 *2473:la_data_in[75] 27.2167 +*END + +*D_NET *834 0.151485 +*CONN +*I *2473:la_data_in[76] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[76] O *D mgmt_protect +*CAP +1 *2473:la_data_in[76] 0.00407633 +2 *2472:la_data_in_core[76] 0.000377906 +3 *834:10 0.00407633 +4 *834:8 0.00591092 +5 *834:7 0.00628883 +6 *834:7 *2472:la_data_out_core[76] 0 +7 *834:7 *1473:7 0 +8 *834:8 *839:8 0.0649875 +9 *834:8 *1007:14 0.00185942 +10 *813:8 *834:8 0 +11 *832:8 *834:8 0.0639076 +*RES +1 *2472:la_data_in_core[76] *834:7 13.0982 +2 *834:7 *834:8 721.153 +3 *834:8 *834:10 4.5 +4 *834:10 *2473:la_data_in[76] 75.4538 +*END + +*D_NET *835 0.154828 +*CONN +*I *2473:la_data_in[77] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[77] O *D mgmt_protect +*CAP +1 *2473:la_data_in[77] 0.001178 +2 *2472:la_data_in_core[77] 2.27669e-05 +3 *835:10 0.00703819 +4 *835:9 0.00586019 +5 *835:7 0.00226038 +6 *835:5 0.00228315 +7 *835:7 *2472:la_data_out_core[77] 0.000733893 +8 *835:7 *2473:la_oenb[42] 0.00127266 +9 *835:7 *1474:9 0 +10 *835:10 *836:8 0.000347135 +11 *835:10 *837:8 0.0679038 +12 *752:8 *835:10 0.000448922 +13 *819:10 *835:10 0.000171289 +14 *833:8 *835:10 0.065308 +*RES +1 *2472:la_data_in_core[77] *835:5 0.647305 +2 *835:5 *835:7 61.8359 +3 *835:7 *835:9 4.5 +4 *835:9 *835:10 733.355 +5 *835:10 *2473:la_data_in[77] 26.8015 +*END + +*D_NET *836 0.158082 +*CONN +*I *2473:la_data_in[78] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[78] O *D mgmt_protect +*CAP +1 *2473:la_data_in[78] 0.00112348 +2 *2472:la_data_in_core[78] 0.00258863 +3 *836:8 0.00647657 +4 *836:7 0.00535309 +5 *836:5 0.00258863 +6 *836:5 *2472:la_data_out_core[77] 0 +7 *836:5 *2472:la_data_out_core[78] 0 +8 *836:5 *1475:7 0 +9 *836:8 *837:8 0.0699599 +10 *2473:la_data_in[43] *836:5 0.00136668 +11 *752:8 *836:8 0.000209275 +12 *763:8 *836:8 0.00217356 +13 *763:12 *836:8 0.0276026 +14 *819:10 *836:8 0.0382921 +15 *835:10 *836:8 0.000347135 +*RES +1 *2472:la_data_in_core[78] *836:5 62.5809 +2 *836:5 *836:7 4.5 +3 *836:7 *836:8 747.774 +4 *836:8 *2473:la_data_in[78] 25.971 +*END + +*D_NET *837 0.159763 +*CONN +*I *2473:la_data_in[79] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[79] O *D mgmt_protect +*CAP +1 *2473:la_data_in[79] 0.00114246 +2 *2472:la_data_in_core[79] 0.00246133 +3 *837:8 0.00712999 +4 *837:7 0.00598753 +5 *837:5 0.00246133 +6 *837:5 *2472:la_data_out_core[79] 0 +7 *837:5 *2473:la_oenb[43] 0 +8 *837:5 *1054:7 0.000248404 +9 *837:5 *1476:7 0.000977067 +10 *752:8 *837:8 0.000593739 +11 *763:12 *837:8 0.000897615 +12 *835:10 *837:8 0.0679038 +13 *836:8 *837:8 0.0699599 +*RES +1 *2472:la_data_in_core[79] *837:5 62.1657 +2 *837:5 *837:7 4.5 +3 *837:7 *837:8 760.53 +4 *837:8 *2473:la_data_in[79] 26.3862 +*END + +*D_NET *838 0.0467053 +*CONN +*I *2473:la_data_in[7] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[7] O *D mgmt_protect +*CAP +1 *2473:la_data_in[7] 0.00201718 +2 *2472:la_data_in_core[7] 0.0012787 +3 *838:10 0.00201718 +4 *838:8 0.0014545 +5 *838:7 0.00273319 +6 *2473:la_data_in[7] *1619:5 0 +7 *2473:la_data_in[7] *1686:11 0.000188291 +8 *838:7 *2472:la_data_out_core[6] 0 +9 *838:7 *2472:la_data_out_core[7] 0 +10 *838:7 *1467:7 0 +11 *838:8 *860:8 0.0147145 +12 *838:8 *1083:8 0.000103234 +13 *838:8 *1094:8 0.0180849 +14 *838:8 *1691:14 0.00380725 +15 *827:8 *838:8 0.000306383 +*RES +1 *2472:la_data_in_core[7] *838:7 39.2591 +2 *838:7 *838:8 198.717 +3 *838:8 *838:10 4.5 +4 *838:10 *2473:la_data_in[7] 49.2929 +*END + +*D_NET *839 0.160196 +*CONN +*I *2473:la_data_in[80] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[80] O *D mgmt_protect +*CAP +1 *2473:la_data_in[80] 0.00396991 +2 *2472:la_data_in_core[80] 0.000399342 +3 *839:10 0.00396991 +4 *839:8 0.00632441 +5 *839:7 0.00672375 +6 *839:7 *2472:la_data_out_core[80] 0 +7 *839:7 *1477:9 0 +8 *839:8 *841:8 0.0716517 +9 *839:8 *1007:14 0.0021697 +10 *813:8 *839:8 0 +11 *834:8 *839:8 0.0649875 +*RES +1 *2472:la_data_in_core[80] *839:7 13.5134 +2 *839:7 *839:8 774.395 +3 *839:8 *839:10 4.5 +4 *839:10 *2473:la_data_in[80] 75.0385 +*END + +*D_NET *840 0.12368 +*CONN +*I *2473:la_data_in[81] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[81] O *D mgmt_protect +*CAP +1 *2473:la_data_in[81] 0.000552744 +2 *2472:la_data_in_core[81] 0.0027219 +3 *840:8 0.0164208 +4 *840:7 0.015868 +5 *840:5 0.0027219 +6 *840:5 *2472:la_data_out_core[81] 0 +7 *840:5 *1055:5 0 +8 *840:5 *1056:11 0.000901806 +9 *840:5 *1479:7 0.000979934 +10 *840:8 *842:10 0.0732882 +11 *840:8 *1073:8 0.0102246 +12 *2473:la_data_in[44] *840:5 0 +*RES +1 *2472:la_data_in_core[81] *840:5 71.3012 +2 *840:5 *840:7 4.5 +3 *840:7 *840:8 787.151 +4 *840:8 *2473:la_data_in[81] 17.2507 +*END + +*D_NET *841 0.167755 +*CONN +*I *2473:la_data_in[82] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[82] O *D mgmt_protect +*CAP +1 *2473:la_data_in[82] 0.0039091 +2 *2472:la_data_in_core[82] 0.000420779 +3 *841:10 0.0039091 +4 *841:8 0.00621676 +5 *841:7 0.00663754 +6 *841:7 *2472:la_data_out_core[82] 0 +7 *841:7 *1480:7 0 +8 *841:8 *843:8 0.0742331 +9 *841:8 *1007:14 0.000777216 +10 *813:8 *841:8 0 +11 *839:8 *841:8 0.0716517 +*RES +1 *2472:la_data_in_core[82] *841:7 13.9287 +2 *841:7 *841:8 801.016 +3 *841:8 *841:10 4.5 +4 *841:10 *2473:la_data_in[82] 74.6233 +*END + +*D_NET *842 0.171314 +*CONN +*I *2473:la_data_in[83] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[83] O *D mgmt_protect +*CAP +1 *2473:la_data_in[83] 0.000571443 +2 *2472:la_data_in_core[83] 6.22868e-05 +3 *842:10 0.00694844 +4 *842:9 0.006377 +5 *842:7 0.00261091 +6 *842:5 0.0026732 +7 *842:7 *2472:la_data_out_core[83] 0 +8 *842:7 *2473:la_oenb[44] 0 +9 *842:7 *1481:7 0.00281809 +10 *842:10 *844:10 0.0756651 +11 *2473:la_data_in[45] *842:7 0 +12 *753:10 *842:10 0.000299281 +13 *840:8 *842:10 0.0732882 +*RES +1 *2472:la_data_in_core[83] *842:5 1.77093 +2 *842:5 *842:7 70.9715 +3 *842:7 *842:9 4.5 +4 *842:9 *842:10 815.991 +5 *842:10 *2473:la_data_in[83] 17.6659 +*END + +*D_NET *843 0.172925 +*CONN +*I *2473:la_data_in[84] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[84] O *D mgmt_protect +*CAP +1 *2473:la_data_in[84] 0.00384339 +2 *2472:la_data_in_core[84] 0.000442215 +3 *843:10 0.00384339 +4 *843:8 0.00651351 +5 *843:7 0.00695573 +6 *843:7 *2472:la_data_out_core[84] 0 +7 *843:7 *1482:7 0 +8 *843:8 *845:8 0.0768145 +9 *843:8 *1007:14 0.00027925 +10 *813:8 *843:8 0 +11 *841:8 *843:8 0.0742331 +*RES +1 *2472:la_data_in_core[84] *843:7 14.3439 +2 *843:7 *843:8 827.637 +3 *843:8 *843:10 4.5 +4 *843:10 *2473:la_data_in[84] 74.208 +*END + +*D_NET *844 0.176284 +*CONN +*I *2473:la_data_in[85] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[85] O *D mgmt_protect +*CAP +1 *2473:la_data_in[85] 0.000590507 +2 *2472:la_data_in_core[85] 2.27669e-05 +3 *844:10 0.0070704 +4 *844:9 0.00647989 +5 *844:7 0.00257237 +6 *844:5 0.00259514 +7 *844:7 *2472:la_data_out_core[85] 0 +8 *844:7 *2473:la_oenb[45] 0.00190798 +9 *844:7 *1483:9 0.000783419 +10 *844:10 *846:8 0.0782416 +11 *844:10 *1008:8 0.000354801 +12 *842:10 *844:10 0.0756651 +*RES +1 *2472:la_data_in_core[85] *844:5 0.647305 +2 *844:5 *844:7 70.5562 +3 *844:7 *844:9 4.5 +4 *844:9 *844:10 840.393 +5 *844:10 *2473:la_data_in[85] 18.0812 +*END + +*D_NET *845 0.183058 +*CONN +*I *2473:la_data_in[86] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[86] O *D mgmt_protect +*CAP +1 *2473:la_data_in[86] 0.00377859 +2 *2472:la_data_in_core[86] 0.000463651 +3 *845:10 0.00377859 +4 *845:8 0.00670104 +5 *845:7 0.00716469 +6 *845:7 *2472:la_data_out_core[86] 0 +7 *845:7 *1484:7 0 +8 *845:8 *847:8 0.0793959 +9 *845:8 *1007:14 0 +10 *469:25 *845:8 0.00486911 +11 *813:8 *845:8 9.18958e-05 +12 *843:8 *845:8 0.0768145 +*RES +1 *2472:la_data_in_core[86] *845:7 14.7592 +2 *845:7 *845:8 854.258 +3 *845:8 *845:10 4.5 +4 *845:10 *2473:la_data_in[86] 73.7928 +*END + +*D_NET *846 0.180874 +*CONN +*I *2473:la_data_in[87] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[87] O *D mgmt_protect +*CAP +1 *2473:la_data_in[87] 0.000609627 +2 *2472:la_data_in_core[87] 0.00333416 +3 *846:8 0.0072844 +4 *846:7 0.00667477 +5 *846:5 0.00333416 +6 *846:5 *2472:la_data_out_core[87] 0 +7 *846:5 *2473:la_oenb[46] 0 +8 *846:5 *1057:7 0 +9 *846:5 *1485:7 0 +10 *846:8 *848:10 0.0807183 +11 *846:8 *1008:8 0.000677329 +12 *844:10 *846:8 0.0782416 +*RES +1 *2472:la_data_in_core[87] *846:5 70.0555 +2 *846:5 *846:7 4.5 +3 *846:7 *846:8 867.569 +4 *846:8 *2473:la_data_in[87] 18.4964 +*END + +*D_NET *847 0.189346 +*CONN +*I *2473:la_data_in[88] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[88] O *D mgmt_protect +*CAP +1 *2473:la_data_in[88] 0.00372178 +2 *2472:la_data_in_core[88] 0.000485087 +3 *847:10 0.00372178 +4 *847:8 0.00675507 +5 *847:7 0.00724016 +6 *847:7 *2472:la_data_out_core[88] 0 +7 *847:7 *1486:7 0 +8 *847:8 *850:8 0.0819708 +9 *847:8 *1007:14 0 +10 *469:25 *847:8 0.00579944 +11 *813:8 *847:8 0.00025618 +12 *845:8 *847:8 0.0793959 +*RES +1 *2472:la_data_in_core[88] *847:7 15.1744 +2 *847:7 *847:8 880.879 +3 *847:8 *847:10 4.5 +4 *847:10 *2473:la_data_in[88] 73.3775 +*END + +*D_NET *848 0.186249 +*CONN +*I *2473:la_data_in[89] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[89] O *D mgmt_protect +*CAP +1 *2473:la_data_in[89] 0.000628746 +2 *2472:la_data_in_core[89] 2.27669e-05 +3 *848:10 0.00749391 +4 *848:9 0.00686517 +5 *848:7 0.00335283 +6 *848:5 0.0033756 +7 *848:7 *2472:la_data_out_core[89] 0 +8 *848:7 *1058:5 0 +9 *848:7 *1487:7 0 +10 *848:10 *851:10 0.0830888 +11 *848:10 *1010:8 0.000702361 +12 *2473:la_data_in[47] *848:7 0 +13 *846:8 *848:10 0.0807183 +*RES +1 *2472:la_data_in_core[89] *848:5 0.647305 +2 *848:5 *848:7 69.7257 +3 *848:7 *848:9 4.5 +4 *848:9 *848:10 893.635 +5 *848:10 *2473:la_data_in[89] 18.9117 +*END + +*D_NET *849 0.0315572 +*CONN +*I *2473:la_data_in[8] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[8] O *D mgmt_protect +*CAP +1 *2473:la_data_in[8] 0.00238238 +2 *2472:la_data_in_core[8] 0.000823496 +3 *849:10 0.00238238 +4 *849:8 0.00516613 +5 *849:7 0.00598963 +6 *2473:la_data_in[8] *2472:mprj_dat_i_user[17] 0 +7 *2473:la_data_in[8] *1621:7 0.0014842 +8 *2473:la_data_in[8] *1753:5 0 +9 *849:7 *2472:la_data_out_core[8] 0 +10 *849:7 *1478:7 0 +11 *849:8 *989:8 0.0115076 +12 *849:8 *1765:8 0.00182139 +13 *794:11 *849:8 0 +*RES +1 *2472:la_data_in_core[8] *849:7 26.8015 +2 *849:7 *849:8 185.406 +3 *849:8 *849:10 4.5 +4 *849:10 *2473:la_data_in[8] 61.7504 +*END + +*D_NET *850 0.195713 +*CONN +*I *2473:la_data_in[90] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[90] O *D mgmt_protect +*CAP +1 *2473:la_data_in[90] 0.00365698 +2 *2472:la_data_in_core[90] 0.000506524 +3 *850:10 0.00365698 +4 *850:8 0.00683441 +5 *850:7 0.00734093 +6 *850:7 *2472:la_data_out_core[90] 0 +7 *850:7 *1488:7 0 +8 *850:8 *852:8 0.0845522 +9 *469:25 *850:8 0.00682183 +10 *813:8 *850:8 0.000372603 +11 *847:8 *850:8 0.0819708 +*RES +1 *2472:la_data_in_core[90] *850:7 15.5897 +2 *850:7 *850:8 907.5 +3 *850:8 *850:10 4.5 +4 *850:10 *2473:la_data_in[90] 72.9623 +*END + +*D_NET *851 0.194852 +*CONN +*I *2473:la_data_in[91] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[91] O *D mgmt_protect +*CAP +1 *2473:la_data_in[91] 0.000647866 +2 *2472:la_data_in_core[91] 8.20467e-05 +3 *851:10 0.00762733 +4 *851:9 0.00697947 +5 *851:7 0.00199526 +6 *851:5 0.00207731 +7 *851:7 *2472:la_data_out_core[91] 0.00276308 +8 *851:7 *1490:7 0 +9 *851:10 *853:10 0.0857248 +10 *851:10 *1010:8 0.00106325 +11 *2473:la_data_in[48] *851:7 0.00280314 +12 *848:10 *851:10 0.0830888 +*RES +1 *2472:la_data_in_core[91] *851:5 2.33274 +2 *851:5 *851:7 69.3105 +3 *851:7 *851:9 4.5 +4 *851:9 *851:10 918.592 +5 *851:10 *2473:la_data_in[91] 19.3269 +*END + +*D_NET *852 0.20222 +*CONN +*I *2473:la_data_in[92] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[92] O *D mgmt_protect +*CAP +1 *2473:la_data_in[92] 0.00359297 +2 *2472:la_data_in_core[92] 0.00052796 +3 *852:10 0.00359297 +4 *852:8 0.00686814 +5 *852:7 0.0073961 +6 *852:7 *2472:la_data_out_core[92] 0 +7 *852:7 *1491:7 0 +8 *852:8 *854:8 0.0871336 +9 *469:25 *852:8 0.007901 +10 *813:8 *852:8 0.000655 +11 *850:8 *852:8 0.0845522 +*RES +1 *2472:la_data_in_core[92] *852:7 16.0049 +2 *852:7 *852:8 934.121 +3 *852:8 *852:10 4.5 +4 *852:10 *2473:la_data_in[92] 72.547 +*END + +*D_NET *853 0.200269 +*CONN +*I *2473:la_data_in[93] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[93] O *D mgmt_protect +*CAP +1 *2473:la_data_in[93] 0.000666985 +2 *2472:la_data_in_core[93] 4.25268e-05 +3 *853:10 0.00782966 +4 *853:9 0.00716267 +5 *853:7 0.00189931 +6 *853:5 0.00194184 +7 *853:5 *1492:9 0 +8 *853:7 *2473:la_oenb[48] 0.000255256 +9 *853:7 *1109:11 0.0028024 +10 *853:7 *1492:9 7.1812e-05 +11 *853:7 *1492:11 0.00245299 +12 *853:10 *855:8 0.0883544 +13 *853:10 *1073:14 0 +14 *2473:la_data_in[49] *853:7 0 +15 *754:8 *853:10 0.0010644 +16 *851:10 *853:10 0.0857248 +*RES +1 *2472:la_data_in_core[93] *853:5 1.20912 +2 *853:5 *853:7 68.8952 +3 *853:7 *853:9 4.5 +4 *853:9 *853:10 946.323 +5 *853:10 *2473:la_data_in[93] 19.7422 +*END + +*D_NET *854 0.209724 +*CONN +*I *2473:la_data_in[94] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[94] O *D mgmt_protect +*CAP +1 *2473:la_data_in[94] 0.00352773 +2 *2472:la_data_in_core[94] 0.000549396 +3 *854:10 0.00352773 +4 *854:8 0.00693721 +5 *854:7 0.0074866 +6 *854:7 *2472:la_data_out_core[94] 0 +7 *854:7 *1493:7 0 +8 *854:8 *1005:8 0.0759493 +9 *469:25 *854:8 0.0093123 +10 *747:8 *854:8 1.41689e-05 +11 *813:8 *854:8 0.0152855 +12 *852:8 *854:8 0.0871336 +*RES +1 *2472:la_data_in_core[94] *854:7 16.4202 +2 *854:7 *854:8 961.297 +3 *854:8 *854:10 4.5 +4 *854:10 *2473:la_data_in[94] 72.1318 +*END + +*D_NET *855 0.203585 +*CONN +*I *2473:la_data_in[95] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[95] O *D mgmt_protect +*CAP +1 *2473:la_data_in[95] 0.000686105 +2 *2472:la_data_in_core[95] 0.00339768 +3 *855:8 0.00804671 +4 *855:7 0.0073606 +5 *855:5 0.00339768 +6 *855:5 *2472:la_data_out_core[94] 0 +7 *855:5 *2472:la_data_out_core[95] 0 +8 *855:5 *2473:la_oenb[49] 0 +9 *855:5 *1060:5 0 +10 *855:5 *1494:10 0 +11 *855:8 *857:8 0.0908843 +12 *855:8 *1073:14 0 +13 *754:8 *855:8 0.00145706 +14 *853:10 *855:8 0.0883544 +*RES +1 *2472:la_data_in_core[95] *855:5 68.3945 +2 *855:5 *855:7 4.5 +3 *855:7 *855:8 974.053 +4 *855:8 *2473:la_data_in[95] 20.1574 +*END + +*D_NET *856 0.151461 +*CONN +*I *2473:la_data_in[96] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[96] O *D mgmt_protect +*CAP +1 *2473:la_data_in[96] 0.0029524 +2 *2472:la_data_in_core[96] 0.000920969 +3 *856:10 0.0029524 +4 *856:8 0.0202517 +5 *856:7 0.0211726 +6 *856:7 *2472:la_data_out_core[96] 0 +7 *856:7 *1495:7 0 +8 *856:8 *858:8 0.092423 +9 *856:8 *1001:8 0.00244324 +10 *856:8 *1009:14 0.000765341 +11 *856:8 *1014:16 0.00334801 +12 *856:8 *1017:14 0.000586436 +13 *856:8 *1070:8 0.000351226 +14 *856:8 *1079:8 0 +15 *856:8 *1081:8 0 +16 *856:8 *1393:10 0.000842351 +17 *856:8 *1449:12 0.000703115 +18 *856:8 *1451:12 0.000394741 +19 *856:8 *1458:14 0.00108523 +20 *856:8 *1460:14 0 +21 *856:8 *1460:18 0.000268186 +22 *856:8 *1462:8 0 +23 *856:8 *1464:8 0 +*RES +1 *2472:la_data_in_core[96] *856:7 25.1405 +2 *856:7 *856:8 987.918 +3 *856:8 *856:10 4.5 +4 *856:10 *2473:la_data_in[96] 63.4115 +*END + +*D_NET *857 0.209015 +*CONN +*I *2473:la_data_in[97] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[97] O *D mgmt_protect +*CAP +1 *2473:la_data_in[97] 0.000705225 +2 *2472:la_data_in_core[97] 0.00340843 +3 *857:8 0.00823681 +4 *857:7 0.00753159 +5 *857:5 0.00340843 +6 *857:5 *1062:5 0 +7 *857:5 *1113:11 0 +8 *857:5 *1496:5 0 +9 *857:8 *859:8 0.0934141 +10 *857:8 *1073:14 0 +11 *2473:la_data_in[50] *857:5 0 +12 *757:8 *857:8 0.00142645 +13 *855:8 *857:8 0.0908843 +*RES +1 *2472:la_data_in_core[97] *857:5 67.9792 +2 *857:5 *857:7 4.5 +3 *857:7 *857:8 1000.67 +4 *857:8 *2473:la_data_in[97] 20.5727 +*END + +*D_NET *858 0.217553 +*CONN +*I *2473:la_data_in[98] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[98] O *D mgmt_protect +*CAP +1 *2473:la_data_in[98] 0.00292111 +2 *2472:la_data_in_core[98] 0.000899533 +3 *858:10 0.00292111 +4 *858:8 0.00722157 +5 *858:7 0.0081211 +6 *858:7 *2472:la_data_out_core[98] 0 +7 *858:7 *1497:7 0 +8 *858:8 *1001:8 0.00285582 +9 *858:8 *1009:14 0.000830646 +10 *858:8 *1014:16 0.00373348 +11 *858:8 *1017:14 0.000614913 +12 *734:8 *858:8 0.0950108 +13 *856:8 *858:8 0.092423 +*RES +1 *2472:la_data_in_core[98] *858:7 24.7252 +2 *858:7 *858:8 1013.98 +3 *858:8 *858:10 4.5 +4 *858:10 *2473:la_data_in[98] 63.8267 +*END + +*D_NET *859 0.214803 +*CONN +*I *2473:la_data_in[99] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[99] O *D mgmt_protect +*CAP +1 *2473:la_data_in[99] 0.000724344 +2 *2472:la_data_in_core[99] 0.00305591 +3 *859:8 0.00850792 +4 *859:7 0.00778357 +5 *859:5 0.00305591 +6 *859:5 *2472:la_data_out_core[98] 0 +7 *859:5 *1115:11 0 +8 *859:5 *1498:13 0 +9 *859:8 *991:8 0.0954659 +10 *859:8 *1073:14 0 +11 *2473:la_data_in[51] *859:5 0.00104653 +12 *757:8 *859:8 0.00174848 +13 *857:8 *859:8 0.0934141 +*RES +1 *2472:la_data_in_core[99] *859:5 67.564 +2 *859:5 *859:7 4.5 +3 *859:7 *859:8 1027.29 +4 *859:8 *2473:la_data_in[99] 20.988 +*END + +*D_NET *860 0.0403879 +*CONN +*I *2473:la_data_in[9] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[9] O *D mgmt_protect +*CAP +1 *2473:la_data_in[9] 0.00156978 +2 *2472:la_data_in_core[9] 0.00127626 +3 *860:10 0.00156978 +4 *860:8 0.00150623 +5 *860:7 0.00278249 +6 *2473:la_data_in[9] *1625:7 0.000964996 +7 *2473:la_data_in[9] *1755:5 0.000916502 +8 *860:7 *2472:la_data_out_core[9] 0 +9 *860:7 *1489:7 0 +10 *860:8 *1083:8 0.0142387 +11 *860:8 *1094:8 0.000113197 +12 *860:8 *1105:8 0.000685211 +13 *860:8 *1116:8 1.59204e-05 +14 *860:8 *1489:8 3.42853e-05 +15 *838:8 *860:8 0.0147145 +*RES +1 *2472:la_data_in_core[9] *860:7 39.6743 +2 *860:7 *860:8 171.541 +3 *860:8 *860:10 4.5 +4 *860:10 *2473:la_data_in[9] 48.8776 +*END + +*D_NET *861 0.162303 +*CONN +*I *2472:la_data_out_mprj[0] I *D mgmt_protect +*I *2478:la_output[0] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[0] 0.00197154 +2 *2478:la_output[0] 0.00177641 +3 *861:10 0.0133011 +4 *861:9 0.0113296 +5 *861:7 0.00177641 +6 *2472:la_data_out_mprj[0] *2472:la_iena_mprj[0] 0 +7 *2472:la_data_out_mprj[0] *2472:la_oenb_mprj[0] 0 +8 *2472:la_data_out_mprj[0] *872:15 7.60356e-05 +9 *2472:la_data_out_mprj[0] *1308:15 0 +10 *861:7 *1156:7 0 +11 *861:7 *1245:9 0 +12 *605:7 *2472:la_data_out_mprj[0] 0.000455073 +13 *638:19 *2472:la_data_out_mprj[0] 1.54479e-05 +14 *644:8 *861:10 0.0108374 +15 *655:8 *861:10 0.075809 +16 *677:8 *861:10 0.0449551 +*RES +1 *2478:la_output[0] *861:7 47.5464 +2 *861:7 *861:9 4.5 +3 *861:9 *861:10 828.747 +4 *861:10 *2472:la_data_out_mprj[0] 45.9031 +*END + +*D_NET *862 0.0681 +*CONN +*I *2472:la_data_out_mprj[100] I *D mgmt_protect +*I *2478:la_output[100] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[100] 0.000571307 +2 *2478:la_output[100] 0.00157804 +3 *862:16 0.00255958 +4 *862:15 0.00342209 +5 *862:10 0.00308481 +6 *862:9 0.00322904 +7 *2472:la_data_out_mprj[100] *1118:13 0 +8 *862:9 *2472:mprj_dat_o_core[12] 4.67024e-05 +9 *862:9 *865:15 0 +10 *862:9 *1119:7 0 +11 *862:9 *1246:9 0 +12 *862:9 *1652:5 4.18029e-05 +13 *862:10 *984:10 0.00205091 +14 *862:10 *985:12 0.00020476 +15 *862:10 *1121:10 0.00426871 +16 *862:10 *1241:10 0.000114773 +17 *862:10 *1742:30 0.000252021 +18 *862:10 *2186:24 0.000209972 +19 *862:15 *2472:la_data_out_mprj[127] 0.000276424 +20 *862:15 *2472:la_iena_mprj[127] 0.000661124 +21 *862:16 *863:16 0.0210644 +22 *606:7 *2472:la_data_out_mprj[100] 0.000384624 +23 *607:14 *862:10 0.00180637 +24 *609:14 *862:10 4.91225e-06 +25 *724:8 *862:16 0.000366352 +26 *730:8 *862:16 0.0219013 +*RES +1 *2478:la_output[100] *862:9 39.3201 +2 *862:9 *862:10 102.77 +3 *862:10 *862:15 44.4674 +4 *862:15 *862:16 234.212 +5 *862:16 *2472:la_data_out_mprj[100] 23.8947 +*END + +*D_NET *863 0.0732308 +*CONN +*I *2472:la_data_out_mprj[101] I *D mgmt_protect +*I *2478:la_output[101] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[101] 0.000723537 +2 *2478:la_output[101] 0.00144693 +3 *863:16 0.0029451 +4 *863:15 0.00386239 +5 *863:10 0.00288837 +6 *863:9 0.00269447 +7 *2472:la_data_out_mprj[101] *2472:la_iena_mprj[101] 0 +8 *2472:la_data_out_mprj[101] *2472:la_oenb_mprj[100] 0 +9 *863:9 *1120:9 0 +10 *863:9 *1656:5 0 +11 *863:10 *987:12 0.00020273 +12 *863:10 *1241:10 5.49209e-05 +13 *863:10 *1242:10 0.00369876 +14 *863:10 *1243:10 0.000816494 +15 *863:10 *1247:10 0.000820045 +16 *863:10 *1368:10 0.000838111 +17 *863:10 *1652:8 0.00219313 +18 *863:10 *1714:16 0.000141764 +19 *863:10 *1716:10 0.000101365 +20 *863:15 *2472:mprj_cyc_o_core 0.000626404 +21 *863:15 *2472:mprj_stb_o_core 1.44467e-05 +22 *863:15 *2472:mprj_we_o_core 0 +23 *863:15 *1240:9 5.88009e-05 +24 *607:7 *2472:la_data_out_mprj[101] 0 +25 *608:8 *863:16 0.00319215 +26 *609:14 *863:10 0.0100281 +27 *724:8 *863:16 0.0145105 +28 *730:8 *863:16 0.000307881 +29 *862:16 *863:16 0.0210644 +*RES +1 *2478:la_output[101] *863:9 35.5584 +2 *863:9 *863:10 117.19 +3 *863:10 *863:15 46.9589 +4 *863:15 *863:16 233.102 +5 *863:16 *2472:la_data_out_mprj[101] 23.4795 +*END + +*D_NET *864 0.05078 +*CONN +*I *2472:la_data_out_mprj[102] I *D mgmt_protect +*I *2478:la_output[102] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[102] 0.00100731 +2 *2478:la_output[102] 0.00156363 +3 *864:16 0.00543351 +4 *864:15 0.0051529 +5 *864:10 0.00469848 +6 *864:9 0.00553541 +7 *2472:la_data_out_mprj[102] *2472:la_iena_mprj[102] 0 +8 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[101] 0 +9 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[102] 0 +10 *2472:la_data_out_mprj[102] *1357:15 5.41467e-05 +11 *2472:la_data_out_mprj[102] *1358:15 0 +12 *864:9 *2472:mprj_dat_o_core[18] 0.000592024 +13 *864:9 *1121:9 0 +14 *864:9 *1248:9 0 +15 *864:10 *985:12 0.00011282 +16 *864:10 *1120:15 0 +17 *864:10 *1121:10 0.00615173 +18 *864:10 *1122:12 0.000691691 +19 *864:10 *1658:8 0 +20 *864:10 *1738:10 0.000101365 +21 *864:10 *1740:12 0.000511918 +22 *864:15 *2472:la_data_out_mprj[126] 0.000288213 +23 *864:16 *1136:16 0.000245858 +24 *864:16 *1257:16 0.000276368 +25 *864:16 *1259:16 0.00140664 +26 *864:16 *1263:16 0.00755784 +27 *864:16 *1265:16 0.000713488 +28 *864:16 *1268:16 0.00433832 +29 *864:16 *1367:16 0.000250133 +30 *864:16 *1369:16 0.00240627 +31 *608:7 *2472:la_data_out_mprj[102] 0.00035459 +32 *634:5 *864:15 0.00133537 +33 *722:8 *864:16 0 +*RES +1 *2478:la_output[102] *864:9 40.9811 +2 *864:9 *864:10 154.903 +3 *864:10 *864:15 33.6709 +4 *864:15 *864:16 205.372 +5 *864:16 *2472:la_data_out_mprj[102] 33.0303 +*END + +*D_NET *865 0.0803157 +*CONN +*I *2472:la_data_out_mprj[103] I *D mgmt_protect +*I *2478:la_output[103] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[103] 0.000865806 +2 *2478:la_output[103] 0.00144969 +3 *865:16 0.00390634 +4 *865:15 0.00410288 +5 *865:10 0.00160941 +6 *865:9 0.00199676 +7 *2472:la_data_out_mprj[103] *2472:la_iena_mprj[103] 0 +8 *2472:la_data_out_mprj[103] *2472:la_oenb_mprj[102] 0 +9 *865:9 *1122:9 0 +10 *865:9 *1249:9 0 +11 *865:9 *1607:15 3.479e-05 +12 *865:10 *1247:10 0.000995201 +13 *865:10 *1607:16 0.0019047 +14 *865:10 *1652:8 0.00528779 +15 *865:10 *1718:10 0.000267904 +16 *865:15 *2472:mprj_adr_o_core[14] 0 +17 *865:15 *1119:7 0.00173403 +18 *865:16 *1119:10 0.00144738 +19 *865:16 *1671:8 2.50997e-05 +20 *865:16 *1675:8 0.000294016 +21 *865:16 *1677:8 0.000525232 +22 *865:16 *1679:8 0.00302127 +23 *606:8 *865:16 0.000656515 +24 *609:7 *2472:la_data_out_mprj[103] 0 +25 *610:13 *865:15 0.00167282 +26 *729:8 *865:16 0.0223765 +27 *731:8 *865:16 0.0261415 +28 *862:9 *865:15 0 +*RES +1 *2478:la_output[103] *865:9 36.4134 +2 *865:9 *865:10 56.1838 +3 *865:10 *865:15 45.2979 +4 *865:15 *865:16 315.738 +5 *865:16 *2472:la_data_out_mprj[103] 25.971 +*END + +*D_NET *866 0.0844795 +*CONN +*I *2472:la_data_out_mprj[104] I *D mgmt_protect +*I *2478:la_output[104] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[104] 0.000512646 +2 *2478:la_output[104] 0.00200276 +3 *866:16 0.00307926 +4 *866:15 0.00363134 +5 *866:10 0.00214597 +6 *866:9 0.00308401 +7 *2472:la_data_out_mprj[104] *2472:la_iena_mprj[104] 0 +8 *2472:la_data_out_mprj[104] *1359:13 5.05252e-05 +9 *866:9 *1136:15 8.62625e-06 +10 *866:9 *1250:7 0 +11 *866:9 *1666:5 8.06219e-05 +12 *866:10 *868:14 0.000404686 +13 *866:10 *868:18 0.00914234 +14 *866:10 *888:10 0.000203074 +15 *866:10 *1124:10 0.00100215 +16 *866:10 *1129:10 0.00167496 +17 *866:10 *1249:10 0.00944613 +18 *866:15 *2472:mprj_adr_o_core[6] 0.000309256 +19 *866:15 *2472:mprj_dat_o_core[5] 0.0014697 +20 *866:16 *867:20 0.000567482 +21 *866:16 *1581:24 0 +22 *607:8 *866:16 0.0212002 +23 *610:7 *2472:la_data_out_mprj[104] 0.000335368 +24 *610:8 *866:16 0.0241284 +*RES +1 *2478:la_output[104] *866:9 47.2099 +2 *866:9 *866:10 124.955 +3 *866:10 *866:15 38.6539 +4 *866:15 *866:16 258.614 +5 *866:16 *2472:la_data_out_mprj[104] 21.8185 +*END + +*D_NET *867 0.0758457 +*CONN +*I *2472:la_data_out_mprj[105] I *D mgmt_protect +*I *2478:la_output[105] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[105] 0.000623268 +2 *2478:la_output[105] 0.00185625 +3 *867:20 0.00289002 +4 *867:19 0.00334987 +5 *867:14 0.0026513 +6 *867:12 0.00158881 +7 *867:10 0.00219558 +8 *867:9 0.0040312 +9 *2472:la_data_out_mprj[105] *2472:la_iena_mprj[105] 0 +10 *867:9 *2472:mprj_adr_o_core[28] 8.5712e-05 +11 *867:9 *1124:9 0 +12 *867:9 *1251:9 0 +13 *867:9 *1669:5 0.00039899 +14 *867:10 *868:14 0.00453562 +15 *867:10 *868:18 0.00199208 +16 *867:10 *1120:15 0.000229186 +17 *867:10 *1647:10 0.000101537 +18 *867:10 *1658:8 0 +19 *867:10 *1659:8 0 +20 *867:14 *868:18 0.00622836 +21 *867:19 *2472:mprj_dat_o_core[3] 0.000343352 +22 *867:19 *1674:5 0.00157513 +23 *867:20 *1581:24 0.000350848 +24 *607:8 *867:20 0.0203805 +25 *609:8 *867:20 0.0195588 +26 *611:7 *2472:la_data_out_mprj[105] 0 +27 *611:16 *867:10 0.000311854 +28 *866:16 *867:20 0.000567482 +*RES +1 *2478:la_output[105] *867:9 45.9642 +2 *867:9 *867:10 96.3925 +3 *867:10 *867:12 0.578717 +4 *867:12 *867:14 66.4439 +5 *867:14 *867:19 40.3149 +6 *867:19 *867:20 232.548 +7 *867:20 *2472:la_data_out_mprj[105] 20.988 +*END + +*D_NET *868 0.0737216 +*CONN +*I *2472:la_data_out_mprj[106] I *D mgmt_protect +*I *2478:la_output[106] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[106] 0.000888853 +2 *2478:la_output[106] 0.00206858 +3 *868:24 0.00383844 +4 *868:23 0.0039773 +5 *868:18 0.00258408 +6 *868:16 0.001577 +7 *868:14 0.000813155 +8 *868:12 0.0028611 +9 *2472:la_data_out_mprj[106] *2472:la_iena_mprj[106] 0 +10 *868:12 *2472:mprj_dat_o_core[30] 0 +11 *868:12 *1125:9 0 +12 *868:12 *1129:10 8.29362e-06 +13 *868:12 *1252:7 0 +14 *868:12 *1257:10 7.32024e-06 +15 *868:14 *1129:10 0.00549653 +16 *868:14 *1257:10 0.000269426 +17 *868:14 *1659:8 0 +18 *868:18 *1249:10 0.00136247 +19 *868:23 *2472:mprj_adr_o_core[1] 0 +20 *868:23 *2472:mprj_dat_o_core[1] 0.000408405 +21 *868:24 *1252:10 0.00468174 +22 *868:24 *1257:16 0.000953041 +23 *868:24 *1269:16 0.000810095 +24 *868:24 *1273:16 0.000698398 +25 *868:24 *1275:20 0.00207401 +26 *612:5 *2472:la_data_out_mprj[106] 0.000421916 +27 *722:8 *868:24 0.00695434 +28 *723:8 *868:24 0.00866402 +29 *866:10 *868:14 0.000404686 +30 *866:10 *868:18 0.00914234 +31 *867:10 *868:14 0.00453562 +32 *867:10 *868:18 0.00199208 +33 *867:14 *868:18 0.00622836 +*RES +1 *2478:la_output[106] *868:12 46.989 +2 *868:12 *868:14 68.6623 +3 *868:14 *868:16 0.578717 +4 *868:16 *868:18 131.055 +5 *868:18 *868:23 31.1794 +6 *868:23 *868:24 207.591 +7 *868:24 *2472:la_data_out_mprj[106] 29.7083 +*END + +*D_NET *869 0.0941292 +*CONN +*I *2472:la_data_out_mprj[107] I *D mgmt_protect +*I *2478:la_output[107] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[107] 0.00140351 +2 *2478:la_output[107] 0.00216684 +3 *869:10 0.00467935 +4 *869:9 0.00544268 +5 *2472:la_data_out_mprj[107] *2472:la_iena_mprj[107] 0 +6 *2472:la_data_out_mprj[107] *1365:15 0.00110887 +7 *2472:la_data_out_mprj[107] *1367:15 0.000888202 +8 *869:9 *1126:9 0 +9 *869:9 *1253:7 0 +10 *869:10 *888:10 0.0013625 +11 *869:10 *1123:14 0.000163429 +12 *869:10 *1124:10 8.90486e-05 +13 *869:10 *1125:10 0.0377856 +14 *869:10 *1126:10 0.000371267 +15 *869:10 *1127:10 0.0375902 +16 *869:10 *1143:10 0.000279817 +17 *869:10 *1259:10 0.00079792 +18 *613:5 *2472:la_data_out_mprj[107] 0 +*RES +1 *2478:la_output[107] *869:9 48.8709 +2 *869:9 *869:10 418.34 +3 *869:10 *2472:la_data_out_mprj[107] 49.6404 +*END + +*D_NET *870 0.0934568 +*CONN +*I *2472:la_data_out_mprj[108] I *D mgmt_protect +*I *2478:la_output[108] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[108] 0.00139999 +2 *2478:la_output[108] 0.00141303 +3 *870:16 0.00524932 +4 *870:15 0.00403584 +5 *870:7 0.00159954 +6 *2472:la_data_out_mprj[108] *2472:la_iena_mprj[108] 0 +7 *870:7 *1577:8 0.000849418 +8 *870:7 *1578:12 0.00231348 +9 *870:7 *2194:8 0 +10 *870:15 *1130:14 0.00073191 +11 *870:15 *1147:10 0.000736108 +12 *870:15 *1265:15 2.05972e-05 +13 *870:15 *1597:29 7.09666e-06 +14 *870:16 *874:10 0.0373543 +15 *870:16 *879:14 0.000830101 +16 *870:16 *890:10 0.000235438 +17 *870:16 *1121:16 0.000580087 +18 *870:16 *1123:14 0.000111438 +19 *870:16 *1129:16 0.000111068 +20 *870:16 *1137:16 0.0314684 +21 *870:16 *1605:24 0.000409538 +22 *614:5 *2472:la_data_out_mprj[108] 0.000723931 +23 *720:8 *870:16 0.00327618 +*RES +1 *2478:la_output[108] *870:7 46.4472 +2 *870:7 *870:15 23.2652 +3 *870:15 *870:16 422.777 +4 *870:16 *2472:la_data_out_mprj[108] 45.9031 +*END + +*D_NET *871 0.0991049 +*CONN +*I *2472:la_data_out_mprj[109] I *D mgmt_protect +*I *2478:la_output[109] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[109] 0.00167658 +2 *2478:la_output[109] 0.00222667 +3 *871:10 0.00501123 +4 *871:9 0.00333465 +5 *871:7 0.00222667 +6 *2472:la_data_out_mprj[109] *2472:la_iena_mprj[109] 0 +7 *2472:la_data_out_mprj[109] *1369:15 0.000316275 +8 *871:7 *1255:7 0 +9 *871:10 *889:10 0.00159877 +10 *871:10 *1123:14 0.032718 +11 *871:10 *1126:10 0.0382613 +12 *871:10 *1127:10 0.00037641 +13 *871:10 *1130:14 5.51483e-06 +14 *871:10 *1147:10 0.00884108 +15 *871:10 *1265:10 0.00251177 +16 *615:5 *2472:la_data_out_mprj[109] 0 +*RES +1 *2478:la_output[109] *871:7 45.6167 +2 *871:7 *871:9 4.5 +3 *871:9 *871:10 441.633 +4 *871:10 *2472:la_data_out_mprj[109] 48.3946 +*END + +*D_NET *872 0.156195 +*CONN +*I *2472:la_data_out_mprj[10] I *D mgmt_protect +*I *2478:la_output[10] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[10] 0.00163649 +2 *2478:la_output[10] 0.00204683 +3 *872:16 0.00233808 +4 *872:15 0.000796014 +5 *872:10 0.00468323 +6 *872:9 0.0045888 +7 *872:7 0.00204683 +8 *2472:la_data_out_mprj[10] *2472:la_iena_mprj[10] 0 +9 *872:7 *1139:9 0 +10 *872:7 *1256:7 0 +11 *872:10 *883:10 0.0576273 +12 *872:10 *892:10 0.0556816 +13 *872:16 *897:10 0.000143882 +14 *2472:la_data_out_mprj[0] *872:15 7.60356e-05 +15 *616:7 *2472:la_data_out_mprj[10] 0.000565095 +16 *637:11 *2472:la_data_out_mprj[10] 0.000364941 +17 *638:19 *872:15 7.09666e-06 +18 *655:8 *872:16 0.00142653 +19 *656:8 *872:16 3.83172e-05 +20 *666:8 *872:10 0.00675766 +21 *668:13 *2472:la_data_out_mprj[10] 8.62625e-06 +22 *669:8 *872:16 0.00655097 +23 *670:10 *872:16 0.00490087 +24 *677:8 *872:16 0.00303416 +25 *699:8 *872:10 0.000861794 +26 *699:8 *872:16 1.41689e-05 +*RES +1 *2478:la_output[10] *872:7 49.7692 +2 *872:7 *872:9 4.5 +3 *872:9 *872:10 625.762 +4 *872:10 *872:15 10.832 +5 *872:15 *872:16 85.5777 +6 *872:16 *2472:la_data_out_mprj[10] 45.9031 +*END + +*D_NET *873 0.0753114 +*CONN +*I *2472:la_data_out_mprj[110] I *D mgmt_protect +*I *2478:la_output[110] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[110] 0.00115634 +2 *2478:la_output[110] 1.28869e-05 +3 *873:10 0.00926447 +4 *873:9 0.00810813 +5 *873:7 0.00281427 +6 *873:5 0.00282715 +7 *2472:la_data_out_mprj[110] *2472:la_iena_mprj[110] 0 +8 *873:7 *1130:7 0 +9 *873:7 *1132:15 2.51488e-05 +10 *873:10 *875:10 5.24855e-05 +11 *873:10 *1131:10 0.0409782 +12 *873:10 *1136:16 0 +13 *873:10 *1141:16 0 +14 *873:10 *1147:16 0.0042523 +15 *873:10 *1582:16 0.000897843 +16 *873:10 *1598:24 0.000594511 +17 *873:10 *1598:28 0.00376841 +18 *617:5 *2472:la_data_out_mprj[110] 0.000559246 +*RES +1 *2478:la_output[110] *873:5 0.366399 +2 *873:5 *873:7 54.3614 +3 *873:7 *873:9 4.5 +4 *873:9 *873:10 456.053 +5 *873:10 *2472:la_data_out_mprj[110] 37.598 +*END + +*D_NET *874 0.102609 +*CONN +*I *2472:la_data_out_mprj[111] I *D mgmt_protect +*I *2478:la_output[111] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[111] 0.00173945 +2 *2478:la_output[111] 0.00232741 +3 *874:10 0.00530406 +4 *874:9 0.00356461 +5 *874:7 0.00232741 +6 *2472:la_data_out_mprj[111] *2472:la_iena_mprj[111] 0 +7 *874:7 *1131:7 0 +8 *874:7 *1258:7 0 +9 *874:10 *890:10 0.00161466 +10 *874:10 *1129:16 5.65165e-05 +11 *874:10 *1130:12 0.000111708 +12 *874:10 *1130:14 0.000735234 +13 *874:10 *1132:16 0.0404023 +14 *874:10 *1137:16 1.41853e-05 +15 *874:10 *1269:10 0.000636915 +16 *874:10 *1597:24 0.00642016 +17 *618:5 *2472:la_data_out_mprj[111] 0 +18 *870:16 *874:10 0.0373543 +*RES +1 *2478:la_output[111] *874:7 47.6929 +2 *874:7 *874:9 4.5 +3 *874:9 *874:10 464.927 +4 *874:10 *2472:la_data_out_mprj[111] 46.3183 +*END + +*D_NET *875 0.104947 +*CONN +*I *2472:la_data_out_mprj[112] I *D mgmt_protect +*I *2478:la_output[112] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[112] 0.00121501 +2 *2478:la_output[112] 8.30061e-05 +3 *875:10 0.00527436 +4 *875:9 0.00405934 +5 *875:7 0.00271559 +6 *875:5 0.00279859 +7 *2472:la_data_out_mprj[112] *2472:la_iena_mprj[112] 0 +8 *875:7 *1132:7 0 +9 *875:7 *1259:9 0 +10 *875:10 *876:10 0.0440031 +11 *875:10 *877:10 0 +12 *875:10 *1131:10 0.000282235 +13 *875:10 *1133:10 0.000366369 +14 *875:10 *1134:10 0.0421852 +15 *875:10 *1584:24 0.00133953 +16 *619:5 *2472:la_data_out_mprj[112] 0.000572208 +17 *873:10 *875:10 5.24855e-05 +*RES +1 *2478:la_output[112] *875:5 2.05183 +2 *875:5 *875:7 53.1156 +3 *875:7 *875:9 4.5 +4 *875:9 *875:10 477.128 +5 *875:10 *2472:la_data_out_mprj[112] 38.8438 +*END + +*D_NET *876 0.107933 +*CONN +*I *2472:la_data_out_mprj[113] I *D mgmt_protect +*I *2478:la_output[113] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[113] 0.00145514 +2 *2478:la_output[113] 8.30061e-05 +3 *876:10 0.00542399 +4 *876:9 0.00396885 +5 *876:7 0.0027341 +6 *876:5 0.0028171 +7 *2472:la_data_out_mprj[113] *2472:la_iena_mprj[113] 0 +8 *876:7 *1133:7 0 +9 *876:7 *1137:15 1.24189e-05 +10 *876:7 *1260:7 0 +11 *876:10 *1131:10 0.042565 +12 *876:10 *1134:10 0.000473003 +13 *876:10 *1271:10 0.000724449 +14 *876:10 *1273:10 0.000954996 +15 *876:10 *1274:10 0.000904135 +16 *876:10 *1583:24 0.00181421 +17 *876:10 *1598:24 0 +18 *620:5 *2472:la_data_out_mprj[113] 0 +19 *875:10 *876:10 0.0440031 +*RES +1 *2478:la_output[113] *876:5 2.05183 +2 *876:5 *876:7 53.5309 +3 *876:7 *876:9 4.5 +4 *876:9 *876:10 488.775 +5 *876:10 *2472:la_data_out_mprj[113] 38.4285 +*END + +*D_NET *877 0.106225 +*CONN +*I *2472:la_data_out_mprj[114] I *D mgmt_protect +*I *2478:la_output[114] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[114] 0.00112109 +2 *2478:la_output[114] 8.30061e-05 +3 *877:10 0.00569852 +4 *877:9 0.00457742 +5 *877:7 0.00242687 +6 *877:5 0.00250988 +7 *2472:la_data_out_mprj[114] *2472:la_iena_mprj[114] 0 +8 *877:7 *1134:7 0 +9 *877:7 *1261:7 0 +10 *877:10 *878:16 0 +11 *877:10 *880:14 0 +12 *877:10 *881:14 0.000189695 +13 *877:10 *884:14 0.0422668 +14 *877:10 *886:10 0.0406227 +15 *877:10 *1121:16 0.000120718 +16 *877:10 *1133:10 0 +17 *877:10 *1138:20 0.00015542 +18 *877:10 *1251:16 0.00271912 +19 *877:10 *1595:24 0.0015278 +20 *621:7 *2472:la_data_out_mprj[114] 0.00220578 +21 *722:11 *2472:la_data_out_mprj[114] 0 +22 *875:10 *877:10 0 +*RES +1 *2478:la_output[114] *877:5 2.05183 +2 *877:5 *877:7 48.9631 +3 *877:7 *877:9 4.5 +4 *877:9 *877:10 500.421 +5 *877:10 *2472:la_data_out_mprj[114] 42.9963 +*END + +*D_NET *878 0.112249 +*CONN +*I *2472:la_data_out_mprj[115] I *D mgmt_protect +*I *2478:la_output[115] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[115] 0.00155017 +2 *2478:la_output[115] 0.00224503 +3 *878:16 0.0052187 +4 *878:15 0.00407048 +5 *878:10 0.000965124 +6 *878:9 0.00056318 +7 *878:7 0.00224503 +8 *2472:la_data_out_mprj[115] *2472:la_iena_mprj[115] 0 +9 *878:7 *1135:7 0 +10 *878:7 *1262:9 0 +11 *878:10 *890:10 0.000677329 +12 *878:10 *1137:10 0.00400945 +13 *878:10 *1269:10 0.00718301 +14 *878:10 *1597:24 0.00142889 +15 *878:15 *1131:7 9.04208e-05 +16 *878:16 *880:14 0.0394687 +17 *878:16 *1133:10 0.0410764 +18 *878:16 *1585:24 0.00145702 +19 *622:7 *2472:la_data_out_mprj[115] 0 +20 *722:11 *2472:la_data_out_mprj[115] 0 +21 *877:10 *878:16 0 +*RES +1 *2478:la_output[115] *878:7 46.7159 +2 *878:7 *878:9 4.5 +3 *878:9 *878:10 75.5949 +4 *878:10 *878:15 15.815 +5 *878:15 *878:16 437.196 +6 *878:16 *2472:la_data_out_mprj[115] 40.0896 +*END + +*D_NET *879 0.115219 +*CONN +*I *2472:la_data_out_mprj[116] I *D mgmt_protect +*I *2478:la_output[116] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[116] 0.00170948 +2 *2478:la_output[116] 0.00233431 +3 *879:14 0.00526791 +4 *879:12 0.00357712 +5 *879:10 0.000383364 +6 *879:9 0.00036467 +7 *879:7 0.00233431 +8 *2472:la_data_out_mprj[116] *2472:la_iena_mprj[116] 0 +9 *2472:la_data_out_mprj[116] *1262:13 0 +10 *879:7 *1136:9 0 +11 *879:7 *1263:9 0 +12 *879:10 *1135:12 0.00338505 +13 *879:10 *1135:14 1.67988e-05 +14 *879:10 *1597:16 0.00485917 +15 *879:10 *1598:18 0.000513755 +16 *879:10 *1602:24 0.000277488 +17 *879:10 *1605:24 0.000195504 +18 *879:12 *1135:12 9.95922e-06 +19 *879:12 *1597:22 9.95922e-06 +20 *879:14 *890:10 0.000203074 +21 *879:14 *1121:16 9.16785e-05 +22 *879:14 *1135:14 0.0443422 +23 *879:14 *1137:16 0.0417171 +24 *879:14 *1597:16 1.67988e-05 +25 *879:14 *1597:22 0.000906101 +26 *879:14 *1597:24 1.41853e-05 +27 *879:14 *1600:24 0.00173269 +28 *623:7 *2472:la_data_out_mprj[116] 0.000126215 +29 *870:16 *879:14 0.000830101 +*RES +1 *2478:la_output[116] *879:7 48.5234 +2 *879:7 *879:9 4.5 +3 *879:9 *879:10 51.1923 +4 *879:10 *879:12 0.578717 +5 *879:12 *879:14 472.691 +6 *879:14 *2472:la_data_out_mprj[116] 45.0726 +*END + +*D_NET *880 0.115484 +*CONN +*I *2472:la_data_out_mprj[117] I *D mgmt_protect +*I *2478:la_output[117] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[117] 0.00159211 +2 *2478:la_output[117] 8.30061e-05 +3 *880:14 0.00507098 +4 *880:12 0.0035019 +5 *880:10 0.000862172 +6 *880:9 0.000839138 +7 *880:7 0.00258331 +8 *880:5 0.00266632 +9 *2472:la_data_out_mprj[117] *2472:la_iena_mprj[117] 0 +10 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[116] 0 +11 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[117] 0 +12 *880:7 *1137:7 0 +13 *880:7 *1264:9 0 +14 *880:10 *882:14 0.00465318 +15 *880:10 *882:18 0.00245579 +16 *880:10 *1133:10 0.00294008 +17 *880:10 *1134:10 1.41853e-05 +18 *880:10 *1275:14 0.00717656 +19 *880:10 *1585:24 0.000910857 +20 *880:14 *881:14 0.000373913 +21 *880:14 *882:18 0.0386691 +22 *880:14 *1133:10 0.000364336 +23 *880:14 *1586:24 0.00125879 +24 *624:7 *2472:la_data_out_mprj[117] 0 +25 *723:11 *2472:la_data_out_mprj[117] 0 +26 *877:10 *880:14 0 +27 *878:16 *880:14 0.0394687 +*RES +1 *2478:la_output[117] *880:5 2.05183 +2 *880:5 *880:7 51.8699 +3 *880:7 *880:9 4.5 +4 *880:9 *880:10 106.93 +5 *880:10 *880:12 0.578717 +6 *880:12 *880:14 428.6 +7 *880:14 *2472:la_data_out_mprj[117] 40.5048 +*END + +*D_NET *881 0.121064 +*CONN +*I *2472:la_data_out_mprj[118] I *D mgmt_protect +*I *2478:la_output[118] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[118] 0.00157744 +2 *2478:la_output[118] 8.30061e-05 +3 *881:14 0.00531517 +4 *881:12 0.00375713 +5 *881:10 0.00046581 +6 *881:9 0.000446411 +7 *881:7 0.00251306 +8 *881:5 0.00259607 +9 *2472:la_data_out_mprj[118] *2472:la_iena_mprj[118] 0 +10 *2472:la_data_out_mprj[118] *2472:la_oenb_mprj[117] 0 +11 *881:7 *1265:9 0 +12 *881:10 *882:14 0.00649905 +13 *881:10 *885:10 0.00639284 +14 *881:10 *885:14 9.82896e-06 +15 *881:10 *1138:20 1.92172e-05 +16 *881:10 *1586:24 0.000690326 +17 *881:12 *882:16 9.95922e-06 +18 *881:12 *885:12 4.31603e-06 +19 *881:14 *882:14 1.67988e-05 +20 *881:14 *882:18 0.044178 +21 *881:14 *1138:20 0.0440273 +22 *881:14 *1586:24 0.000118134 +23 *881:14 *1588:24 0.0016364 +24 *625:7 *2472:la_data_out_mprj[118] 0.000143764 +25 *877:10 *881:14 0.000189695 +26 *880:14 *881:14 0.000373913 +*RES +1 *2478:la_output[118] *881:5 2.05183 +2 *881:5 *881:7 51.0394 +3 *881:7 *881:9 4.5 +4 *881:9 *881:10 68.385 +5 *881:10 *881:12 0.578717 +6 *881:12 *881:14 478.792 +7 *881:14 *2472:la_data_out_mprj[118] 41.3353 +*END + +*D_NET *882 0.121146 +*CONN +*I *2472:la_data_out_mprj[119] I *D mgmt_protect +*I *2478:la_output[119] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[119] 0.00140193 +2 *2478:la_output[119] 8.30061e-05 +3 *882:18 0.00510708 +4 *882:16 0.00372601 +5 *882:14 0.000558118 +6 *882:12 0.000676048 +7 *882:7 0.00264901 +8 *882:5 0.00259323 +9 *2472:la_data_out_mprj[119] *2472:la_iena_mprj[119] 0 +10 *2472:la_data_out_mprj[119] *2472:la_oenb_mprj[118] 0 +11 *882:7 *1140:7 0 +12 *882:7 *1266:7 0 +13 *882:12 *885:10 0.00142652 +14 *882:12 *1275:14 9.82896e-06 +15 *882:12 *1608:24 0.00137341 +16 *882:14 *885:10 0.00023152 +17 *882:14 *1275:14 0.00110066 +18 *882:14 *1586:24 0.000719048 +19 *882:18 *1586:24 0.00203317 +20 *626:7 *2472:la_data_out_mprj[119] 0 +21 *724:11 *2472:la_data_out_mprj[119] 0.000975783 +22 *880:10 *882:14 0.00465318 +23 *880:10 *882:18 0.00245579 +24 *880:14 *882:18 0.0386691 +25 *881:10 *882:14 0.00649905 +26 *881:12 *882:16 9.95922e-06 +27 *881:14 *882:14 1.67988e-05 +28 *881:14 *882:18 0.044178 +*RES +1 *2478:la_output[119] *882:5 2.05183 +2 *882:5 *882:7 51.0394 +3 *882:7 *882:12 20.2218 +4 *882:12 *882:14 74.4857 +5 *882:14 *882:16 0.578717 +6 *882:16 *882:18 469.363 +7 *882:18 *2472:la_data_out_mprj[119] 40.9201 +*END + +*D_NET *883 0.15312 +*CONN +*I *2472:la_data_out_mprj[11] I *D mgmt_protect +*I *2478:la_output[11] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[11] 0.0014588 +2 *2478:la_output[11] 0.00205392 +3 *883:10 0.00651724 +4 *883:9 0.00505844 +5 *883:7 0.00205392 +6 *2472:la_data_out_mprj[11] *2472:la_iena_mprj[11] 0 +7 *883:7 *1148:7 0 +8 *883:7 *1267:9 0 +9 *883:10 *892:10 1.41853e-05 +10 *883:10 *892:14 0.00150102 +11 *883:10 *900:10 0.00589407 +12 *2478:la_input[46] *2472:la_data_out_mprj[11] 9.35753e-06 +13 *627:7 *2472:la_data_out_mprj[11] 0.00214562 +14 *638:16 *883:10 0.00265596 +15 *669:8 *883:10 0.000127366 +16 *671:8 *883:10 0.00376477 +17 *699:8 *883:10 0.0622378 +18 *872:10 *883:10 0.0576273 +*RES +1 *2478:la_output[11] *883:7 49.3539 +2 *883:7 *883:9 4.5 +3 *883:9 *883:10 698.415 +4 *883:10 *2472:la_data_out_mprj[11] 46.5138 +*END + +*D_NET *884 0.121321 +*CONN +*I *2472:la_data_out_mprj[120] I *D mgmt_protect +*I *2478:la_output[120] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[120] 0.00166377 +2 *2478:la_output[120] 5.96331e-05 +3 *884:14 0.00566984 +4 *884:12 0.00402911 +5 *884:10 0.000526111 +6 *884:9 0.000503077 +7 *884:7 0.00242692 +8 *884:5 0.00248655 +9 *2472:la_data_out_mprj[120] *2472:la_iena_mprj[120] 0 +10 *2472:la_data_out_mprj[120] *2472:la_oenb_mprj[120] 0 +11 *884:7 *1141:9 0 +12 *884:7 *1612:29 3.60933e-06 +13 *884:10 *885:10 0.00029642 +14 *884:10 *1138:10 0.00161884 +15 *884:10 *1589:16 0.00211887 +16 *884:10 *1591:28 0.000238938 +17 *884:10 *1610:24 0.0026351 +18 *884:10 *1612:24 0.000167076 +19 *884:14 *885:10 9.82896e-06 +20 *884:14 *885:14 0.0427232 +21 *884:14 *886:10 0.000159075 +22 *884:14 *1138:10 0.00240578 +23 *884:14 *1138:20 0.000381458 +24 *884:14 *1589:16 0.00635887 +25 *884:14 *1591:28 0.00242457 +26 *628:7 *2472:la_data_out_mprj[120] 0.000147773 +27 *877:10 *884:14 0.0422668 +*RES +1 *2478:la_output[120] *884:5 1.49002 +2 *884:5 *884:7 49.7936 +3 *884:7 *884:9 4.5 +4 *884:9 *884:10 52.0242 +5 *884:10 *884:12 0.578717 +6 *884:12 *884:14 519.555 +7 *884:14 *2472:la_data_out_mprj[120] 42.5811 +*END + +*D_NET *885 0.12704 +*CONN +*I *2472:la_data_out_mprj[121] I *D mgmt_protect +*I *2478:la_output[121] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[121] 0.00173147 +2 *2478:la_output[121] 8.30061e-05 +3 *885:14 0.00517088 +4 *885:12 0.00348285 +5 *885:10 0.00100623 +6 *885:9 0.000962783 +7 *885:7 0.00246269 +8 *885:5 0.0025457 +9 *2472:la_data_out_mprj[121] *2472:la_iena_mprj[121] 0 +10 *2472:la_data_out_mprj[121] *2472:la_oenb_mprj[120] 0 +11 *885:7 *1142:7 0 +12 *885:7 *1269:7 0 +13 *885:10 *1138:10 0.00696638 +14 *885:10 *1588:24 0.000781986 +15 *885:10 *1608:24 0.0015295 +16 *885:10 *1610:24 0.00448322 +17 *885:10 *1649:14 0.000436811 +18 *885:14 *1138:20 0.0426659 +19 *885:14 *1591:28 0.0016364 +20 *629:7 *2472:la_data_out_mprj[121] 0 +21 *881:10 *885:10 0.00639284 +22 *881:10 *885:14 9.82896e-06 +23 *881:12 *885:12 4.31603e-06 +24 *882:12 *885:10 0.00142652 +25 *882:14 *885:10 0.00023152 +26 *884:10 *885:10 0.00029642 +27 *884:14 *885:10 9.82896e-06 +28 *884:14 *885:14 0.0427232 +*RES +1 *2478:la_output[121] *885:5 2.05183 +2 *885:5 *885:7 50.6241 +3 *885:7 *885:9 4.5 +4 *885:9 *885:10 128.282 +5 *885:10 *885:12 0.988641 +6 *885:12 *885:14 453.835 +7 *885:14 *2472:la_data_out_mprj[121] 42.1658 +*END + +*D_NET *886 0.131783 +*CONN +*I *2472:la_data_out_mprj[122] I *D mgmt_protect +*I *2478:la_output[122] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[122] 0.00174789 +2 *2478:la_output[122] 8.30061e-05 +3 *886:10 0.00612712 +4 *886:9 0.00437923 +5 *886:7 0.0023274 +6 *886:5 0.0024104 +7 *2472:la_data_out_mprj[122] *2472:la_iena_mprj[122] 0 +8 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[121] 0 +9 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[122] 0 +10 *886:7 *1143:9 0 +11 *886:7 *1270:9 0 +12 *886:10 *887:10 0.0552174 +13 *886:10 *1121:16 0.000371284 +14 *886:10 *1589:16 0.0150653 +15 *886:10 *1595:24 0.00311094 +16 *630:7 *2472:la_data_out_mprj[122] 0.000160708 +17 *877:10 *886:10 0.0406227 +18 *884:14 *886:10 0.000159075 +*RES +1 *2478:la_output[122] *886:5 2.05183 +2 *886:5 *886:7 48.5479 +3 *886:7 *886:9 4.5 +4 *886:9 *886:10 594.149 +5 *886:10 *2472:la_data_out_mprj[122] 43.4116 +*END + +*D_NET *887 0.133026 +*CONN +*I *2472:la_data_out_mprj[123] I *D mgmt_protect +*I *2478:la_output[123] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[123] 0.00184313 +2 *2478:la_output[123] 8.30061e-05 +3 *887:10 0.00630661 +4 *887:9 0.00446348 +5 *887:7 0.00228408 +6 *887:5 0.00236709 +7 *2472:la_data_out_mprj[123] *2472:la_iena_mprj[123] 0 +8 *887:7 *1144:7 0 +9 *887:7 *1271:7 0 +10 *887:10 *1121:16 0.000330596 +11 *887:10 *1135:14 0.00029642 +12 *887:10 *1144:10 0.0561203 +13 *887:10 *1589:16 0.00085425 +14 *887:10 *1599:24 0.00286007 +15 *631:5 *2472:la_data_out_mprj[123] 0 +16 *886:10 *887:10 0.0552174 +*RES +1 *2478:la_output[123] *887:5 2.05183 +2 *887:5 *887:7 48.1326 +3 *887:7 *887:9 4.5 +4 *887:9 *887:10 605.241 +5 *887:10 *2472:la_data_out_mprj[123] 43.8268 +*END + +*D_NET *888 0.140037 +*CONN +*I *2472:la_data_out_mprj[124] I *D mgmt_protect +*I *2478:la_output[124] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[124] 0.0020777 +2 *2478:la_output[124] 0.00200099 +3 *888:10 0.0281778 +4 *888:9 0.0281011 +5 *2472:la_data_out_mprj[124] *2472:la_iena_mprj[124] 0 +6 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[123] 0 +7 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[124] 0 +8 *888:9 *1145:7 0 +9 *888:10 *889:10 0.0658165 +10 *888:10 *1124:10 0.000490499 +11 *888:10 *1125:10 0.000977475 +12 *888:10 *1126:10 0.00106437 +13 *888:10 *1127:10 0.00158577 +14 *888:10 *1129:10 0.000107595 +15 *888:10 *1136:10 0.000547189 +16 *888:10 *1141:10 0.00151249 +17 *888:10 *1143:10 0.0015042 +18 *888:10 *1249:10 0.000686944 +19 *888:10 *1259:10 0.000789243 +20 *888:10 *1263:10 0.00134678 +21 *888:10 *1265:10 0.00150607 +22 *888:10 *1647:10 0 +23 *632:7 *2472:la_data_out_mprj[124] 0.000178257 +24 *866:10 *888:10 0.000203074 +25 *869:10 *888:10 0.0013625 +*RES +1 *2478:la_output[124] *888:9 46.8237 +2 *888:9 *888:10 85.3364 +3 *888:10 *2472:la_data_out_mprj[124] 48.3015 +*END + +*D_NET *889 0.163307 +*CONN +*I *2472:la_data_out_mprj[125] I *D mgmt_protect +*I *2478:la_output[125] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[125] 0.00212188 +2 *2478:la_output[125] 0.00207695 +3 *889:10 0.0106426 +4 *889:9 0.0105976 +5 *2472:la_data_out_mprj[125] *2472:la_iena_mprj[125] 0 +6 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[124] 0 +7 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[125] 0 +8 *889:9 *1146:7 0 +9 *889:9 *1273:7 0 +10 *889:10 *890:10 0.000410988 +11 *889:10 *891:10 0.066005 +12 *889:10 *1123:14 0.000716813 +13 *889:10 *1132:10 0.000338665 +14 *889:10 *1147:10 0.00298101 +15 *889:10 *1647:10 0 +16 *633:7 *2472:la_data_out_mprj[125] 0 +17 *871:10 *889:10 0.00159877 +18 *888:10 *889:10 0.0658165 +*RES +1 *2478:la_output[125] *889:9 48.7778 +2 *889:9 *889:10 86.7133 +3 *889:10 *2472:la_data_out_mprj[125] 47.471 +*END + +*D_NET *890 0.157606 +*CONN +*I *2472:la_data_out_mprj[126] I *D mgmt_protect +*I *2478:la_output[126] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[126] 0.00178568 +2 *2478:la_output[126] 0.00214563 +3 *890:10 0.0159965 +4 *890:9 0.0142109 +5 *890:7 0.00214563 +6 *2472:la_data_out_mprj[126] *2472:la_iena_mprj[126] 0 +7 *2472:la_data_out_mprj[126] *2472:la_oenb_mprj[126] 0 +8 *890:7 *1147:7 0 +9 *890:7 *1274:7 0 +10 *890:10 *891:10 0.0682488 +11 *890:10 *1129:16 0.000114589 +12 *890:10 *1130:14 0.000124641 +13 *890:10 *1132:16 0.00192168 +14 *890:10 *1137:10 0.000572015 +15 *890:10 *1137:16 0.000216928 +16 *890:10 *1583:24 0 +17 *890:10 *1584:24 0 +18 *890:10 *1585:24 0 +19 *890:10 *1586:24 0 +20 *890:10 *1588:24 0 +21 *890:10 *1591:28 0.00024367 +22 *890:10 *1595:24 0.000499706 +23 *890:10 *1599:24 0.000145207 +24 *890:10 *1600:24 0.00115066 +25 *890:10 *1605:24 0.0442856 +26 *634:5 *2472:la_data_out_mprj[126] 0.000368364 +27 *864:15 *2472:la_data_out_mprj[126] 0.000288213 +28 *870:16 *890:10 0.000235438 +29 *874:10 *890:10 0.00161466 +30 *878:10 *890:10 0.000677329 +31 *879:14 *890:10 0.000203074 +32 *889:10 *890:10 0.000410988 +*RES +1 *2478:la_output[126] *890:7 47.0701 +2 *890:7 *890:9 3.36879 +3 *890:9 *890:10 88.396 +4 *890:10 *2472:la_data_out_mprj[126] 45.81 +*END + +*D_NET *891 0.166094 +*CONN +*I *2472:la_data_out_mprj[127] I *D mgmt_protect +*I *2478:la_output[127] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[127] 0.00200317 +2 *2478:la_output[127] 0.00210614 +3 *891:10 0.0104753 +4 *891:9 0.0105782 +5 *2472:la_data_out_mprj[127] *2472:la_iena_mprj[127] 0 +6 *2472:la_data_out_mprj[127] *2472:la_oenb_mprj[126] 0 +7 *891:9 *1275:7 0 +8 *891:10 *1129:16 0.000724555 +9 *891:10 *1130:14 0.00137573 +10 *891:10 *1147:10 0.000283528 +11 *891:10 *1268:10 0.0015988 +12 *891:10 *1269:10 0.00150354 +13 *891:10 *1605:24 0.000915019 +14 *891:10 *1647:10 0 +15 *635:7 *2472:la_data_out_mprj[127] 0 +16 *862:15 *2472:la_data_out_mprj[127] 0.000276424 +17 *889:10 *891:10 0.066005 +18 *890:10 *891:10 0.0682488 +*RES +1 *2478:la_output[127] *891:9 49.6083 +2 *891:9 *891:10 90.0023 +3 *891:10 *2472:la_data_out_mprj[127] 46.6405 +*END + +*D_NET *892 0.147199 +*CONN +*I *2472:la_data_out_mprj[12] I *D mgmt_protect +*I *2478:la_output[12] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[12] 0.00149753 +2 *2478:la_output[12] 8.29983e-05 +3 *892:14 0.00266698 +4 *892:12 0.00119249 +5 *892:10 0.00417789 +6 *892:9 0.00415485 +7 *892:7 0.00202934 +8 *892:5 0.00211234 +9 *2472:la_data_out_mprj[12] *2472:la_iena_mprj[12] 0 +10 *2472:la_data_out_mprj[12] *929:7 0.000761087 +11 *892:7 *1149:9 0 +12 *892:7 *1276:7 0 +13 *636:5 *2472:la_data_out_mprj[12] 0.000388577 +14 *637:14 *892:10 0.00107631 +15 *637:14 *892:14 0.00551661 +16 *637:18 *892:10 0.0548361 +17 *638:16 *892:10 0.00254977 +18 *638:16 *892:14 3.58044e-05 +19 *640:8 *892:14 0.000665158 +20 *666:8 *892:10 0.00564065 +21 *671:8 *892:14 2.50864e-05 +22 *673:8 *892:14 0.00034957 +23 *688:8 *892:10 0.000133887 +24 *710:8 *892:14 0.000108607 +25 *872:10 *892:10 0.0556816 +26 *883:10 *892:10 1.41853e-05 +27 *883:10 *892:14 0.00150102 +*RES +1 *2478:la_output[12] *892:5 2.05183 +2 *892:5 *892:7 48.1326 +3 *892:7 *892:9 4.5 +4 *892:9 *892:10 613.006 +5 *892:10 *892:12 0.578717 +6 *892:12 *892:14 75.0403 +7 *892:14 *2472:la_data_out_mprj[12] 43.4116 +*END + +*D_NET *893 0.171082 +*CONN +*I *2472:la_data_out_mprj[13] I *D mgmt_protect +*I *2478:la_output[13] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[13] 0.00163736 +2 *2478:la_output[13] 8.29983e-05 +3 *893:10 0.00820612 +4 *893:9 0.00656876 +5 *893:7 0.00225368 +6 *893:5 0.00233668 +7 *2472:la_data_out_mprj[13] *2472:la_iena_mprj[13] 0 +8 *2472:la_data_out_mprj[13] *2472:la_oenb_mprj[12] 0 +9 *2472:la_data_out_mprj[13] *1186:7 0 +10 *893:7 *1150:7 0 +11 *893:7 *1277:9 0 +12 *893:10 *894:10 0.0714069 +13 *893:10 *1150:10 0 +14 *893:10 *1276:10 0 +15 *637:11 *2472:la_data_out_mprj[13] 0 +16 *639:8 *893:10 0.0701477 +17 *649:8 *893:10 0.00405615 +18 *650:8 *893:10 0.00353353 +19 *732:8 *893:10 0.000852124 +*RES +1 *2478:la_output[13] *893:5 2.05183 +2 *893:5 *893:7 52.4928 +3 *893:7 *893:9 3.36879 +4 *893:9 *893:10 93.3679 +5 *893:10 *2472:la_data_out_mprj[13] 38.3355 +*END + +*D_NET *894 0.172115 +*CONN +*I *2472:la_data_out_mprj[14] I *D mgmt_protect +*I *2478:la_output[14] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[14] 0.00144269 +2 *2478:la_output[14] 1.28869e-05 +3 *894:10 0.0095996 +4 *894:9 0.00815691 +5 *894:7 0.0022299 +6 *894:5 0.00224278 +7 *2472:la_data_out_mprj[14] *2472:la_iena_mprj[14] 0 +8 *2472:la_data_out_mprj[14] *1314:7 0.000202496 +9 *894:7 *1278:7 0 +10 *894:10 *897:10 0 +11 *894:10 *899:10 0.000110257 +12 *638:5 *2472:la_data_out_mprj[14] 0.000401477 +13 *639:8 *894:10 0.000351128 +14 *646:8 *894:10 0.000101365 +15 *647:8 *894:10 0.00447358 +16 *648:8 *894:10 0.00392454 +17 *732:8 *894:10 0.067458 +18 *893:10 *894:10 0.0714069 +*RES +1 *2478:la_output[14] *894:5 0.366399 +2 *894:5 *894:7 51.6623 +3 *894:7 *894:9 3.36879 +4 *894:9 *894:10 91.9146 +5 *894:10 *2472:la_data_out_mprj[14] 39.166 +*END + +*D_NET *895 0.143378 +*CONN +*I *2472:la_data_out_mprj[15] I *D mgmt_protect +*I *2478:la_output[15] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[15] 0.00174637 +2 *2478:la_output[15] 8.29983e-05 +3 *895:10 0.00655507 +4 *895:9 0.0048087 +5 *895:7 0.00217278 +6 *895:5 0.00225578 +7 *2472:la_data_out_mprj[15] *2472:la_iena_mprj[15] 0 +8 *895:7 *1152:7 0 +9 *895:7 *1279:9 0 +10 *638:20 *895:10 0.00041958 +11 *639:7 *2472:la_data_out_mprj[15] 0 +12 *640:8 *895:10 0.0610027 +13 *641:8 *895:10 0.059106 +14 *710:8 *895:10 0.00522793 +*RES +1 *2478:la_output[15] *895:5 2.05183 +2 *895:5 *895:7 49.7936 +3 *895:7 *895:9 4.5 +4 *895:9 *895:10 652.937 +5 *895:10 *2472:la_data_out_mprj[15] 42.1658 +*END + +*D_NET *896 0.111948 +*CONN +*I *2472:la_data_out_mprj[16] I *D mgmt_protect +*I *2478:la_output[16] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[16] 0.0013002 +2 *2478:la_output[16] 8.29983e-05 +3 *896:10 0.0114378 +4 *896:9 0.0101376 +5 *896:7 0.0023814 +6 *896:5 0.0024644 +7 *2472:la_data_out_mprj[16] *2472:la_iena_mprj[16] 0 +8 *896:7 *1153:9 0 +9 *896:7 *1280:7 0 +10 *896:10 *1139:18 0.00187111 +11 *896:10 *1152:10 0.000326136 +12 *896:10 *1154:10 0.0115692 +13 *605:8 *896:10 0.000622721 +14 *639:8 *896:10 0.0684485 +15 *640:7 *2472:la_data_out_mprj[16] 0.000505105 +16 *652:8 *896:10 0.000800634 +*RES +1 *2478:la_output[16] *896:5 2.05183 +2 *896:5 *896:7 54.1538 +3 *896:7 *896:9 3.36879 +4 *896:9 *896:10 88.4725 +5 *896:10 *2472:la_data_out_mprj[16] 36.6745 +*END + +*D_NET *897 0.160704 +*CONN +*I *2472:la_data_out_mprj[17] I *D mgmt_protect +*I *2478:la_output[17] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[17] 0.00180585 +2 *2478:la_output[17] 0.00199225 +3 *897:10 0.0118065 +4 *897:9 0.0100006 +5 *897:7 0.00199225 +6 *2472:la_data_out_mprj[17] *2472:la_iena_mprj[17] 0 +7 *2472:la_data_out_mprj[17] *931:7 0.000400773 +8 *897:7 *1154:7 0 +9 *897:7 *1281:9 0 +10 *897:10 *898:10 0.0661244 +11 *897:10 *900:10 0.000102747 +12 *897:10 *1151:10 0 +13 *897:10 *1184:16 0.000112976 +14 *639:8 *897:10 0 +15 *641:7 *2472:la_data_out_mprj[17] 0 +16 *644:8 *897:10 0.053281 +17 *656:8 *897:10 0.00358358 +18 *666:8 *897:10 0.000383642 +19 *668:8 *897:10 0.000241979 +20 *669:8 *897:10 0.000244978 +21 *670:10 *897:10 0.000528566 +22 *671:8 *897:10 0.000118462 +23 *673:8 *897:10 0.00011251 +24 *677:8 *897:10 0.00720221 +25 *688:8 *897:10 0.000315225 +26 *710:8 *897:10 0.000209909 +27 *721:8 *897:10 0 +28 *732:8 *897:10 0 +29 *872:16 *897:10 0.000143882 +30 *894:10 *897:10 0 +*RES +1 *2478:la_output[17] *897:7 47.0701 +2 *897:7 *897:9 3.36879 +3 *897:9 *897:10 86.8662 +4 *897:10 *2472:la_data_out_mprj[17] 45.81 +*END + +*D_NET *898 0.15825 +*CONN +*I *2472:la_data_out_mprj[18] I *D mgmt_protect +*I *2478:la_output[18] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[18] 0.00173117 +2 *2478:la_output[18] 0.00195217 +3 *898:10 0.00765998 +4 *898:9 0.00788098 +5 *2472:la_data_out_mprj[18] *2472:la_iena_mprj[18] 0 +6 *2472:la_data_out_mprj[18] *1188:7 0 +7 *898:9 *1155:9 0 +8 *898:9 *1282:7 0 +9 *898:10 *1151:10 0 +10 *642:7 *2472:la_data_out_mprj[18] 0.000543865 +11 *643:8 *898:10 0.0658491 +12 *654:8 *898:10 0.00329458 +13 *658:8 *898:10 0.00309526 +14 *676:11 *2472:la_data_out_mprj[18] 0.000118323 +15 *897:10 *898:10 0.0661244 +*RES +1 *2478:la_output[18] *898:9 49.6083 +2 *898:9 *898:10 85.2599 +3 *898:10 *2472:la_data_out_mprj[18] 46.6405 +*END + +*D_NET *899 0.130864 +*CONN +*I *2472:la_data_out_mprj[19] I *D mgmt_protect +*I *2478:la_output[19] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[19] 0.00163729 +2 *2478:la_output[19] 8.29983e-05 +3 *899:10 0.00659554 +4 *899:9 0.00495824 +5 *899:7 0.00234407 +6 *899:5 0.00242707 +7 *2472:la_data_out_mprj[19] *2472:la_iena_mprj[19] 0 +8 *899:7 *1157:9 0 +9 *899:7 *1283:9 0 +10 *642:8 *899:10 0.00128892 +11 *643:7 *2472:la_data_out_mprj[19] 0 +12 *646:8 *899:10 0.0545847 +13 *647:8 *899:10 0.0526284 +14 *732:8 *899:10 0.00420694 +15 *894:10 *899:10 0.000110257 +*RES +1 *2478:la_output[19] *899:5 2.05183 +2 *899:5 *899:7 51.4546 +3 *899:7 *899:9 4.5 +4 *899:9 *899:10 606.351 +5 *899:10 *2472:la_data_out_mprj[19] 40.5048 +*END + +*D_NET *900 0.209594 +*CONN +*I *2472:la_data_out_mprj[1] I *D mgmt_protect +*I *2478:la_output[1] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[1] 0.00211347 +2 *2478:la_output[1] 0.00184622 +3 *900:10 0.0096765 +4 *900:9 0.00756304 +5 *900:7 0.00184622 +6 *2472:la_data_out_mprj[1] *2472:la_iena_mprj[1] 0 +7 *2472:la_data_out_mprj[1] *966:15 6.41995e-05 +8 *900:7 *1167:9 0 +9 *900:7 *2347:16 0 +10 *644:7 *2472:la_data_out_mprj[1] 0 +11 *644:8 *900:10 0.0884201 +12 *666:8 *900:10 0.085656 +13 *699:8 *900:10 0.0064111 +14 *883:10 *900:10 0.00589407 +15 *897:10 *900:10 0.000102747 +*RES +1 *2478:la_output[1] *900:7 48.7311 +2 *900:7 *900:9 3.36879 +3 *900:9 *900:10 112.643 +4 *900:10 *2472:la_data_out_mprj[1] 44.149 +*END + +*D_NET *901 0.127931 +*CONN +*I *2472:la_data_out_mprj[20] I *D mgmt_protect +*I *2478:la_output[20] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[20] 0.00311076 +2 *2478:la_output[20] 0.000759502 +3 *901:12 0.00311076 +4 *901:10 0.00455614 +5 *901:9 0.00531564 +6 *2472:la_data_out_mprj[20] *2472:la_iena_mprj[20] 0 +7 *2472:la_data_out_mprj[20] *1190:7 0 +8 *901:9 *1158:7 0 +9 *901:10 *902:10 0.0542457 +10 *901:10 *1552:24 0.0561891 +11 *645:7 *2472:la_data_out_mprj[20] 0.000643436 +12 *678:11 *2472:la_data_out_mprj[20] 0 +*RES +1 *2478:la_output[20] *901:9 23.1253 +2 *901:9 *901:10 594.704 +3 *901:10 *901:12 4.5 +4 *901:12 *2472:la_data_out_mprj[20] 70.886 +*END + +*D_NET *902 0.125937 +*CONN +*I *2472:la_data_out_mprj[21] I *D mgmt_protect +*I *2478:la_output[21] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[21] 6.22868e-05 +2 *2478:la_output[21] 0.000778517 +3 *902:13 0.00283679 +4 *902:12 0.00277451 +5 *902:10 0.00448229 +6 *902:9 0.00526081 +7 *902:9 *1159:9 0 +8 *902:9 *1286:7 0 +9 *902:10 *903:10 0.052984 +10 *902:10 *1552:24 0.000306383 +11 *902:13 *2472:la_iena_mprj[21] 0 +12 *902:13 *2472:la_oenb_mprj[20] 0 +13 *902:13 *1318:9 0.000201529 +14 *646:7 *902:13 0.00200392 +15 *678:11 *902:13 0 +16 *901:10 *902:10 0.0542457 +*RES +1 *2478:la_output[21] *902:9 23.5405 +2 *902:9 *902:10 581.393 +3 *902:10 *902:12 4.5 +4 *902:12 *902:13 70.5562 +5 *902:13 *2472:la_data_out_mprj[21] 1.77093 +*END + +*D_NET *903 0.124037 +*CONN +*I *2472:la_data_out_mprj[22] I *D mgmt_protect +*I *2478:la_output[22] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[22] 0.00237 +2 *2478:la_output[22] 0.000799953 +3 *903:12 0.00237 +4 *903:10 0.00444304 +5 *903:9 0.005243 +6 *2472:la_data_out_mprj[22] *934:7 0 +7 *2472:la_data_out_mprj[22] *1159:13 0.00290936 +8 *2472:la_data_out_mprj[22] *1191:9 0 +9 *903:9 *1160:7 0 +10 *903:9 *1287:9 0 +11 *903:10 *904:10 0.0521408 +12 *903:10 *1552:24 0.000241948 +13 *647:7 *2472:la_data_out_mprj[22] 0.000534661 +14 *902:10 *903:10 0.052984 +*RES +1 *2478:la_output[22] *903:9 23.9558 +2 *903:9 *903:10 570.856 +3 *903:10 *903:12 4.5 +4 *903:12 *2472:la_data_out_mprj[22] 70.0555 +*END + +*D_NET *904 0.120232 +*CONN +*I *2472:la_data_out_mprj[23] I *D mgmt_protect +*I *2478:la_output[23] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[23] 0.00311932 +2 *2478:la_output[23] 0.000774643 +3 *904:12 0.00311932 +4 *904:10 0.00442834 +5 *904:9 0.00520299 +6 *2472:la_data_out_mprj[23] *2472:la_iena_mprj[23] 0 +7 *2472:la_data_out_mprj[23] *2472:la_oenb_mprj[22] 0 +8 *2472:la_data_out_mprj[23] *1319:7 0 +9 *904:9 *1288:7 0 +10 *904:10 *905:10 0.0509257 +11 *904:10 *1552:24 0.00014932 +12 *648:7 *2472:la_data_out_mprj[23] 0 +13 *679:11 *2472:la_data_out_mprj[23] 0.000371341 +14 *903:10 *904:10 0.0521408 +*RES +1 *2478:la_output[23] *904:9 23.2474 +2 *904:9 *904:10 560.873 +3 *904:10 *904:12 4.5 +4 *904:12 *2472:la_data_out_mprj[23] 69.6402 +*END + +*D_NET *905 0.118634 +*CONN +*I *2472:la_data_out_mprj[24] I *D mgmt_protect +*I *2478:la_output[24] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[24] 4.25268e-05 +2 *2478:la_output[24] 0.000842826 +3 *905:13 0.00279014 +4 *905:12 0.00274761 +5 *905:10 0.00432208 +6 *905:9 0.00516491 +7 *905:9 *1162:7 0 +8 *905:9 *1289:9 0 +9 *905:10 *906:10 0.0497172 +10 *905:10 *1552:24 4.49334e-05 +11 *905:13 *2472:la_iena_mprj[24] 0 +12 *905:13 *935:9 0.000113953 +13 *905:13 *1319:7 0 +14 *649:7 *905:13 0.00192257 +15 *904:10 *905:10 0.0509257 +*RES +1 *2478:la_output[24] *905:9 24.7863 +2 *905:9 *905:10 546.453 +3 *905:10 *905:12 4.5 +4 *905:12 *905:13 69.3105 +5 *905:13 *2472:la_data_out_mprj[24] 1.20912 +*END + +*D_NET *906 0.115439 +*CONN +*I *2472:la_data_out_mprj[25] I *D mgmt_protect +*I *2478:la_output[25] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[25] 0.00298278 +2 *2478:la_output[25] 0.000864262 +3 *906:12 0.00298278 +4 *906:10 0.00433081 +5 *906:9 0.00519507 +6 *2472:la_data_out_mprj[25] *2472:la_iena_mprj[25] 0 +7 *2472:la_data_out_mprj[25] *1192:7 0 +8 *906:9 *1163:9 0 +9 *906:9 *1290:7 0 +10 *906:10 *907:10 0.0487146 +11 *906:10 *1552:24 0 +12 *650:7 *2472:la_data_out_mprj[25] 0 +13 *680:11 *2472:la_data_out_mprj[25] 0.000651606 +14 *905:10 *906:10 0.0497172 +*RES +1 *2478:la_output[25] *906:9 25.2015 +2 *906:9 *906:10 536.47 +3 *906:10 *906:12 4.5 +4 *906:12 *2472:la_data_out_mprj[25] 68.8097 +*END + +*D_NET *907 0.080989 +*CONN +*I *2472:la_data_out_mprj[26] I *D mgmt_protect +*I *2478:la_output[26] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[26] 0.00297223 +2 *2478:la_output[26] 0.000885698 +3 *907:12 0.00297223 +4 *907:10 0.0118224 +5 *907:9 0.0127081 +6 *2472:la_data_out_mprj[26] *2472:la_iena_mprj[26] 0 +7 *2472:la_data_out_mprj[26] *926:20 0 +8 *2472:la_data_out_mprj[26] *936:9 0 +9 *2472:la_data_out_mprj[26] *1320:7 0 +10 *907:9 *1164:7 0 +11 *907:9 *1291:9 0 +12 *907:10 *920:10 0 +13 *907:10 *925:10 0 +14 *907:10 *928:10 0 +15 *907:10 *928:16 1.73913e-05 +16 *907:10 *928:18 0 +17 *907:10 *1184:12 0.000189477 +18 *907:10 *1303:10 0 +19 *907:10 *1307:12 0 +20 *907:10 *1552:24 0 +21 *651:7 *2472:la_data_out_mprj[26] 0.000523125 +22 *659:16 *907:10 6.41427e-05 +23 *660:16 *907:10 4.45957e-05 +24 *662:16 *907:10 7.50226e-05 +25 *906:10 *907:10 0.0487146 +*RES +1 *2478:la_output[26] *907:9 25.6168 +2 *907:9 *907:10 524.269 +3 *907:10 *907:12 4.5 +4 *907:12 *2472:la_data_out_mprj[26] 68.3945 +*END + +*D_NET *908 0.113501 +*CONN +*I *2472:la_data_out_mprj[27] I *D mgmt_protect +*I *2478:la_output[27] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[27] 0.000711424 +2 *2478:la_output[27] 8.29983e-05 +3 *908:10 0.00490354 +4 *908:9 0.00419211 +5 *908:7 0.00342228 +6 *908:5 0.00350527 +7 *2472:la_data_out_mprj[27] *2472:la_iena_mprj[27] 0 +8 *908:7 *1165:9 0 +9 *908:7 *1292:7 0 +10 *908:10 *1128:10 0.00335543 +11 *908:10 *1164:10 0.000517688 +12 *908:10 *1166:10 0.000378828 +13 *908:10 *1290:10 0.0472339 +14 *908:10 *1294:10 0.0451305 +15 *636:21 *908:7 6.68802e-05 +16 *652:7 *2472:la_data_out_mprj[27] 0 +*RES +1 *2478:la_output[27] *908:5 2.05183 +2 *908:5 *908:7 69.3105 +3 *908:7 *908:9 4.5 +4 *908:9 *908:10 513.177 +5 *908:10 *2472:la_data_out_mprj[27] 22.649 +*END + +*D_NET *909 0.0883545 +*CONN +*I *2472:la_data_out_mprj[28] I *D mgmt_protect +*I *2478:la_output[28] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[28] 0.00197936 +2 *2478:la_output[28] 0.00110964 +3 *909:18 0.00197936 +4 *909:16 0.00255345 +5 *909:15 0.00343436 +6 *909:10 0.00538373 +7 *909:9 0.00561246 +8 *2472:la_data_out_mprj[28] *2472:la_iena_mprj[28] 0 +9 *909:9 *1166:7 0 +10 *909:9 *1293:9 0 +11 *909:10 *913:10 0.0164837 +12 *909:10 *915:10 0.00563354 +13 *909:10 *1283:10 0.000760262 +14 *909:15 *1305:13 0 +15 *909:16 *915:16 0.0133045 +16 *909:16 *1151:10 0.000118462 +17 *2478:la_input[40] *909:15 0.000107579 +18 *627:14 *909:10 0 +19 *636:8 *909:16 0.00061052 +20 *636:12 *909:16 0.000236373 +21 *653:7 *2472:la_data_out_mprj[28] 0.000534618 +22 *659:16 *909:10 0 +23 *667:8 *909:16 0.00412627 +24 *668:14 *909:16 0.0087686 +25 *672:8 *909:16 0.0156177 +26 *681:11 *2472:la_data_out_mprj[28] 0 +*RES +1 *2478:la_output[28] *909:9 31.4303 +2 *909:9 *909:10 235.321 +3 *909:10 *909:15 23.7048 +4 *909:15 *909:16 265.824 +5 *909:16 *909:18 4.5 +6 *909:18 *2472:la_data_out_mprj[28] 48.0471 +*END + +*D_NET *910 0.107469 +*CONN +*I *2472:la_data_out_mprj[29] I *D mgmt_protect +*I *2478:la_output[29] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[29] 0.000625679 +2 *2478:la_output[29] 8.29983e-05 +3 *910:10 0.00488904 +4 *910:9 0.00426336 +5 *910:7 0.00359034 +6 *910:5 0.00367334 +7 *2472:la_data_out_mprj[29] *2472:la_iena_mprj[29] 0 +8 *910:7 *1168:9 0 +9 *910:10 *1166:10 0.00107913 +10 *910:10 *1169:10 0.0437088 +11 *910:10 *1297:10 0.0426891 +12 *910:10 *1372:10 0.00286711 +13 *654:7 *2472:la_data_out_mprj[29] 0 +*RES +1 *2478:la_output[29] *910:5 2.05183 +2 *910:5 *910:7 70.9715 +3 *910:7 *910:9 4.5 +4 *910:9 *910:10 489.329 +5 *910:10 *2472:la_data_out_mprj[29] 20.988 +*END + +*D_NET *911 0.141618 +*CONN +*I *2472:la_data_out_mprj[2] I *D mgmt_protect +*I *2478:la_output[2] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[2] 0.00284936 +2 *2478:la_output[2] 0.000451774 +3 *911:12 0.00284936 +4 *911:10 0.0112278 +5 *911:9 0.0116796 +6 *2472:la_data_out_mprj[2] *2472:la_iena_mprj[2] 0 +7 *2472:la_data_out_mprj[2] *924:15 0 +8 *911:9 *1295:9 0 +9 *911:9 *1550:29 0.00151239 +10 *911:9 *1550:31 1.3813e-05 +11 *911:9 *1574:19 0.00161652 +12 *911:10 *913:10 0 +13 *911:10 *933:10 0.00107821 +14 *911:10 *1167:10 0.000277664 +15 *911:10 *1170:10 0.00041958 +16 *911:10 *1179:10 0.0046892 +17 *911:10 *1245:10 0.00349683 +18 *911:10 *1298:10 0.019116 +19 *480:25 *911:10 0 +20 *480:28 *911:9 0.000234966 +21 *605:14 *911:10 0.0690763 +22 *627:14 *911:10 0.0102571 +23 *655:7 *2472:la_data_out_mprj[2] 0.000520322 +24 *669:15 *2472:la_data_out_mprj[2] 0.000251333 +*RES +1 *2478:la_output[2] *911:9 35.9981 +2 *911:9 *911:10 804.899 +3 *911:10 *911:12 4.5 +4 *911:12 *2472:la_data_out_mprj[2] 58.0132 +*END + +*D_NET *912 0.105479 +*CONN +*I *2472:la_data_out_mprj[30] I *D mgmt_protect +*I *2478:la_output[30] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[30] 0.000832955 +2 *2478:la_output[30] 8.29983e-05 +3 *912:10 0.00509743 +4 *912:9 0.00426448 +5 *912:7 0.00308615 +6 *912:5 0.00316915 +7 *2472:la_data_out_mprj[30] *2472:la_iena_mprj[30] 0 +8 *912:7 *1169:7 0 +9 *912:7 *1296:9 0 +10 *912:10 *914:10 0.0412046 +11 *912:10 *922:10 0.000962914 +12 *912:10 *1117:10 0.0212292 +13 *912:10 *1156:10 0.00349207 +14 *912:10 *1182:12 0.00384522 +15 *912:10 *1182:14 1.41689e-05 +16 *912:10 *1186:12 0.000118134 +17 *912:10 *1200:16 4.52739e-05 +18 *912:10 *1308:22 0.0174359 +19 *656:7 *2472:la_data_out_mprj[30] 0.0003988 +20 *682:11 *2472:la_data_out_mprj[30] 0.000199327 +*RES +1 *2478:la_output[30] *912:5 2.05183 +2 *912:5 *912:7 62.6664 +3 *912:7 *912:9 4.5 +4 *912:9 *912:10 477.682 +5 *912:10 *2472:la_data_out_mprj[30] 29.293 +*END + +*D_NET *913 0.0902071 +*CONN +*I *2472:la_data_out_mprj[31] I *D mgmt_protect +*I *2478:la_output[31] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[31] 0.00161907 +2 *2478:la_output[31] 0.00113683 +3 *913:18 0.00161907 +4 *913:16 0.00142667 +5 *913:15 0.0020016 +6 *913:10 0.00495699 +7 *913:9 0.0055189 +8 *2472:la_data_out_mprj[31] *2472:la_iena_mprj[31] 0 +9 *2472:la_data_out_mprj[31] *930:19 0.000604059 +10 *2472:la_data_out_mprj[31] *932:21 0.00149277 +11 *913:9 *1170:9 0 +12 *913:9 *1297:7 0 +13 *913:10 *915:10 0.000582262 +14 *913:10 *919:10 0.0036655 +15 *913:10 *924:10 0.000653519 +16 *913:10 *1170:10 0 +17 *913:10 *1175:10 9.34933e-05 +18 *913:10 *1181:12 0.00116323 +19 *913:10 *1181:16 0.00776873 +20 *913:10 *1285:10 0.00152789 +21 *913:10 *1300:10 0 +22 *913:10 *1307:16 0.00771785 +23 *913:10 *1308:12 0.00019069 +24 *913:10 *1309:12 0.000164843 +25 *913:10 *1309:14 1.67988e-05 +26 *913:15 *929:7 0.000648461 +27 *913:16 *915:16 0.0153393 +28 *913:16 *917:16 0.0123615 +29 *913:16 *1151:10 0.000137341 +30 *913:16 *1296:10 0.0013161 +31 *627:14 *913:10 0 +32 *657:7 *2472:la_data_out_mprj[31] 0 +33 *909:10 *913:10 0.0164837 +34 *911:10 *913:10 0 +*RES +1 *2478:la_output[31] *913:9 31.8456 +2 *913:9 *913:10 302.428 +3 *913:10 *913:15 22.4591 +4 *913:15 *913:16 163.777 +5 *913:16 *913:18 4.5 +6 *913:18 *2472:la_data_out_mprj[31] 48.8776 +*END + +*D_NET *914 0.102784 +*CONN +*I *2472:la_data_out_mprj[32] I *D mgmt_protect +*I *2478:la_output[32] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[32] 0.000568125 +2 *2478:la_output[32] 5.96252e-05 +3 *914:10 0.00421222 +4 *914:9 0.0036441 +5 *914:7 0.00310876 +6 *914:5 0.00316839 +7 *2472:la_data_out_mprj[32] *1170:13 0.00143683 +8 *914:7 *1171:7 0 +9 *914:7 *1298:9 0 +10 *914:10 *1148:10 0.00242587 +11 *914:10 *1171:10 0.000451273 +12 *914:10 *1186:12 0.000118134 +13 *914:10 *1299:10 0.0412657 +14 *914:10 *1308:22 0.000690837 +15 *658:7 *2472:la_data_out_mprj[32] 0.000429377 +16 *912:10 *914:10 0.0412046 +*RES +1 *2478:la_output[32] *914:5 1.49002 +2 *914:5 *914:7 62.2512 +3 *914:7 *914:9 4.5 +4 *914:9 *914:10 454.944 +5 *914:10 *2472:la_data_out_mprj[32] 29.7083 +*END + +*D_NET *915 0.0873334 +*CONN +*I *2472:la_data_out_mprj[33] I *D mgmt_protect +*I *2478:la_output[33] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[33] 0.00177081 +2 *2478:la_output[33] 0.00103651 +3 *915:18 0.00177081 +4 *915:16 0.00171948 +5 *915:15 0.00248691 +6 *915:10 0.0036805 +7 *915:9 0.00394959 +8 *2472:la_data_out_mprj[33] *2472:la_iena_mprj[33] 0 +9 *2472:la_data_out_mprj[33] *2472:la_oenb_mprj[32] 0 +10 *2472:la_data_out_mprj[33] *936:13 0.00164051 +11 *915:9 *1172:7 0 +12 *915:9 *1299:7 0 +13 *915:10 *917:10 0.020545 +14 *915:10 *924:10 0.00158586 +15 *915:10 *1283:10 0.00126286 +16 *915:10 *1308:12 0.000436825 +17 *915:10 *1309:12 1.67988e-05 +18 *915:10 *1309:14 0.00742277 +19 *915:15 *1184:15 9.12633e-05 +20 *915:15 *1313:9 0.000108139 +21 *915:16 *917:16 0.000718419 +22 *915:16 *1151:10 0.000126544 +23 *915:16 *1296:10 2.97286e-05 +24 *2478:la_input[46] *915:15 0 +25 *636:8 *915:16 0.000110701 +26 *659:7 *2472:la_data_out_mprj[33] 0 +27 *659:16 *915:9 0.000183244 +28 *660:16 *915:10 0 +29 *672:8 *915:16 0.00178054 +30 *909:10 *915:10 0.00563354 +31 *909:16 *915:16 0.0133045 +32 *913:10 *915:10 0.000582262 +33 *913:16 *915:16 0.0153393 +*RES +1 *2478:la_output[33] *915:9 30.5998 +2 *915:9 *915:10 257.505 +3 *915:10 *915:15 24.1201 +4 *915:15 *915:16 185.406 +5 *915:16 *915:18 4.5 +6 *915:18 *2472:la_data_out_mprj[33] 48.4624 +*END + +*D_NET *916 0.0965372 +*CONN +*I *2472:la_data_out_mprj[34] I *D mgmt_protect +*I *2478:la_output[34] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[34] 0.000958128 +2 *2478:la_output[34] 8.29983e-05 +3 *916:10 0.00465958 +4 *916:9 0.00370146 +5 *916:7 0.00304892 +6 *916:5 0.00313192 +7 *2472:la_data_out_mprj[34] *2472:la_iena_mprj[34] 0 +8 *2472:la_data_out_mprj[34] *938:13 0.000185686 +9 *916:7 *1173:9 0 +10 *916:7 *1300:9 0 +11 *916:10 *1154:10 0.00023039 +12 *916:10 *1172:10 0.00105498 +13 *916:10 *1174:10 0.0381297 +14 *916:10 *1278:10 0.00192462 +15 *916:10 *1301:10 0.0389836 +16 *660:7 *2472:la_data_out_mprj[34] 0.000445147 +17 *660:16 *916:7 0 +*RES +1 *2478:la_output[34] *916:5 2.05183 +2 *916:5 *916:7 60.1749 +3 *916:7 *916:9 4.5 +4 *916:9 *916:10 431.096 +5 *916:10 *2472:la_data_out_mprj[34] 31.7845 +*END + +*D_NET *917 0.0844646 +*CONN +*I *2472:la_data_out_mprj[35] I *D mgmt_protect +*I *2478:la_output[35] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[35] 0.00221482 +2 *2478:la_output[35] 0.00105318 +3 *917:18 0.00221482 +4 *917:16 0.00194165 +5 *917:15 0.00247754 +6 *917:10 0.00316148 +7 *917:9 0.00367876 +8 *2472:la_data_out_mprj[35] *2472:la_iena_mprj[35] 0 +9 *2472:la_data_out_mprj[35] *1173:25 0 +10 *917:9 *1174:7 0 +11 *917:9 *1301:7 0 +12 *917:10 *920:10 0.00171001 +13 *917:10 *923:10 0.0143363 +14 *917:10 *1283:10 0.000948525 +15 *917:10 *1303:10 0.00174097 +16 *917:10 *1309:14 0.00150433 +17 *917:15 *2472:la_oenb_mprj[15] 0.000196083 +18 *917:15 *1187:9 0 +19 *917:16 *1195:10 0.000324724 +20 *917:16 *1196:10 0.000342009 +21 *917:16 *1296:10 0.0119517 +22 *917:16 *1302:10 5.6766e-05 +23 *661:7 *2472:la_data_out_mprj[35] 0 +24 *662:16 *917:10 0 +25 *672:8 *917:16 0.000266271 +26 *675:11 *917:15 0.000719833 +27 *684:11 *2472:la_data_out_mprj[35] 0 +28 *913:16 *917:16 0.0123615 +29 *915:10 *917:10 0.020545 +30 *915:16 *917:16 0.000718419 +*RES +1 *2478:la_output[35] *917:9 30.1846 +2 *917:9 *917:10 253.068 +3 *917:10 *917:15 23.7048 +4 *917:15 *917:16 166.55 +5 *917:16 *917:18 4.5 +6 *917:18 *2472:la_data_out_mprj[35] 49.2929 +*END + +*D_NET *918 0.0898438 +*CONN +*I *2472:la_data_out_mprj[36] I *D mgmt_protect +*I *2478:la_output[36] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[36] 0.00108417 +2 *2478:la_output[36] 8.29983e-05 +3 *918:10 0.00486816 +4 *918:9 0.00378398 +5 *918:7 0.00293156 +6 *918:5 0.00301456 +7 *2472:la_data_out_mprj[36] *2472:la_iena_mprj[36] 0 +8 *2472:la_data_out_mprj[36] *2472:la_oenb_mprj[36] 0 +9 *918:7 *1175:9 0 +10 *918:7 *1302:9 0 +11 *918:10 *1152:10 0.00124078 +12 *918:10 *1176:10 0.0357521 +13 *918:10 *1301:10 0.00144135 +14 *918:10 *1303:16 0.0349577 +15 *662:7 *2472:la_data_out_mprj[36] 0.000458095 +16 *662:16 *918:7 0.000228381 +*RES +1 *2478:la_output[36] *918:5 2.05183 +2 *918:5 *918:7 58.9292 +3 *918:7 *918:9 4.5 +4 *918:9 *918:10 407.248 +5 *918:10 *2472:la_data_out_mprj[36] 33.0303 +*END + +*D_NET *919 0.0855776 +*CONN +*I *2472:la_data_out_mprj[37] I *D mgmt_protect +*I *2478:la_output[37] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[37] 0.00199699 +2 *2478:la_output[37] 0.0012077 +3 *919:22 0.00199699 +4 *919:20 0.000482182 +5 *919:19 0.000681946 +6 *919:10 0.00301748 +7 *919:9 0.00402541 +8 *2472:la_data_out_mprj[37] *2472:la_iena_mprj[37] 0 +9 *2472:la_data_out_mprj[37] *1187:15 0.000508068 +10 *2472:la_data_out_mprj[37] *1325:9 0 +11 *919:9 *1176:7 0 +12 *919:9 *1303:10 0 +13 *919:10 *1157:10 0.0012995 +14 *919:10 *1175:10 0.000342605 +15 *919:10 *1177:10 0.000535391 +16 *919:10 *1181:12 0.00116323 +17 *919:10 *1304:10 0.0292736 +18 *919:10 *1307:16 0.0224405 +19 *919:19 *1322:9 1.9101e-05 +20 *919:20 *1177:14 0.00529574 +21 *919:20 *1307:20 0.00530418 +22 *663:5 *2472:la_data_out_mprj[37] 0 +23 *685:11 *2472:la_data_out_mprj[37] 0.00232141 +24 *913:10 *919:10 0.0036655 +*RES +1 *2478:la_output[37] *919:9 32.6761 +2 *919:9 *919:10 337.368 +3 *919:10 *919:19 12.1755 +4 *919:19 *919:20 56.7384 +5 *919:20 *919:22 4.5 +6 *919:22 *2472:la_data_out_mprj[37] 61.7504 +*END + +*D_NET *920 0.0814899 +*CONN +*I *2472:la_data_out_mprj[38] I *D mgmt_protect +*I *2478:la_output[38] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[38] 0.00168478 +2 *2478:la_output[38] 0.00102638 +3 *920:18 0.00168478 +4 *920:16 0.00158485 +5 *920:15 0.00251678 +6 *920:10 0.00316292 +7 *920:9 0.00325737 +8 *2472:la_data_out_mprj[38] *2472:la_iena_mprj[38] 0 +9 *2472:la_data_out_mprj[38] *941:7 0.000286776 +10 *2472:la_data_out_mprj[38] *1185:15 0 +11 *920:9 *1177:9 0 +12 *920:10 *923:10 0.0150096 +13 *920:10 *925:10 0.000498026 +14 *920:10 *926:10 0.00924286 +15 *920:10 *1283:10 0.000421804 +16 *920:10 *1307:12 0.00409858 +17 *920:15 *925:15 0 +18 *920:15 *1315:9 8.20611e-05 +19 *664:5 *2472:la_data_out_mprj[38] 0.00070986 +20 *665:8 *920:16 0.0172541 +21 *667:8 *920:16 0.0172583 +22 *907:10 *920:10 0 +23 *917:10 *920:10 0.00171001 +*RES +1 *2478:la_output[38] *920:9 29.3541 +2 *920:9 *920:10 199.271 +3 *920:10 *920:15 27.0268 +4 *920:15 *920:16 184.852 +5 *920:16 *920:18 4.5 +6 *920:18 *2472:la_data_out_mprj[38] 46.8014 +*END + +*D_NET *921 0.0817617 +*CONN +*I *2472:la_data_out_mprj[39] I *D mgmt_protect +*I *2478:la_output[39] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[39] 0.000963033 +2 *2478:la_output[39] 8.29983e-05 +3 *921:10 0.00498443 +4 *921:9 0.00402139 +5 *921:7 0.0030213 +6 *921:5 0.0031043 +7 *2472:la_data_out_mprj[39] *1177:17 0.00167515 +8 *921:7 *1179:9 0 +9 *921:7 *1305:9 0 +10 *921:10 *924:16 0.0293792 +11 *921:10 *1154:10 0.000275651 +12 *921:10 *1176:10 0.000431815 +13 *921:10 *1305:16 0.0331342 +14 *921:10 *1308:16 0.000688207 +15 *605:8 *921:10 0 +16 *652:8 *921:10 0 +17 *665:5 *2472:la_data_out_mprj[39] 0 +*RES +1 *2478:la_output[39] *921:5 2.05183 +2 *921:5 *921:7 57.2682 +3 *921:7 *921:9 4.5 +4 *921:9 *921:10 372.862 +5 *921:10 *2472:la_data_out_mprj[39] 34.6913 +*END + +*D_NET *922 0.174812 +*CONN +*I *2472:la_data_out_mprj[3] I *D mgmt_protect +*I *2478:la_output[3] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[3] 0.00110813 +2 *2478:la_output[3] 8.29983e-05 +3 *922:10 0.00631275 +4 *922:9 0.00520463 +5 *922:7 0.00243614 +6 *922:5 0.00251913 +7 *2472:la_data_out_mprj[3] *2472:la_iena_mprj[3] 0 +8 *922:7 *1189:9 0 +9 *922:7 *1306:7 0 +10 *922:10 *1117:10 0.0734873 +11 *922:10 *1178:10 0.00031861 +12 *922:10 *1200:10 0.0716134 +13 *922:10 *1200:16 0.000731606 +14 *922:10 *1284:10 0.0100346 +15 *666:7 *2472:la_data_out_mprj[3] 0 +16 *912:10 *922:10 0.000962914 +*RES +1 *2478:la_output[3] *922:5 2.05183 +2 *922:5 *922:7 63.4969 +3 *922:7 *922:9 4.5 +4 *922:9 *922:10 793.252 +5 *922:10 *2472:la_data_out_mprj[3] 28.4625 +*END + +*D_NET *923 0.0698852 +*CONN +*I *2472:la_data_out_mprj[40] I *D mgmt_protect +*I *2478:la_output[40] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[40] 0.00138057 +2 *2478:la_output[40] 0.00105464 +3 *923:16 0.00356712 +4 *923:15 0.00356401 +5 *923:10 0.00380996 +6 *923:9 0.00348715 +7 *2472:la_data_out_mprj[40] *2472:la_iena_mprj[40] 0 +8 *923:9 *1180:7 0 +9 *923:9 *1307:9 0 +10 *923:10 *926:10 0.00253276 +11 *923:10 *926:20 0.00217724 +12 *923:10 *932:10 0.00278364 +13 *923:10 *935:10 1.15389e-05 +14 *923:10 *936:10 0.000636366 +15 *923:10 *1283:10 0.000346617 +16 *923:10 *1309:14 0.000743184 +17 *923:15 *2472:la_oenb_mprj[26] 0 +18 *923:15 *932:16 5.56367e-05 +19 *923:15 *1193:9 0.000117032 +20 *923:16 *925:20 0.000178097 +21 *923:16 *926:26 0.00982019 +22 *923:16 *1181:26 0.00370249 +23 *923:16 *1304:16 0 +24 *653:8 *923:16 0 +25 *667:5 *2472:la_data_out_mprj[40] 0.000571133 +26 *681:11 *923:15 0 +27 *917:10 *923:10 0.0143363 +28 *920:10 *923:10 0.0150096 +*RES +1 *2478:la_output[40] *923:9 29.7693 +2 *923:9 *923:10 250.295 +3 *923:10 *923:15 37.4081 +4 *923:15 *923:16 110.535 +5 *923:16 *2472:la_data_out_mprj[40] 40.5048 +*END + +*D_NET *924 0.0679512 +*CONN +*I *2472:la_data_out_mprj[41] I *D mgmt_protect +*I *2478:la_output[41] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[41] 0.00141137 +2 *2478:la_output[41] 0.00129125 +3 *924:16 0.00720558 +4 *924:15 0.00732204 +5 *924:10 0.00281908 +6 *2472:la_data_out_mprj[41] *2472:la_iena_mprj[41] 0 +7 *924:10 *1181:12 0 +8 *924:10 *1308:12 0 +9 *924:10 *1308:15 7.09666e-06 +10 *924:10 *1309:12 0.000113968 +11 *924:15 *2472:la_iena_mprj[2] 0.00121598 +12 *924:15 *2472:la_oenb_mprj[2] 0.000216869 +13 *924:15 *1181:15 1.24189e-05 +14 *924:15 *1307:15 2.55661e-06 +15 *924:15 *1309:12 7.26959e-06 +16 *924:16 *928:24 0.00826566 +17 *924:16 *1154:10 0.000108607 +18 *924:16 *1191:18 0.000364342 +19 *924:16 *1191:20 0.000481047 +20 *924:16 *1267:18 0.000466074 +21 *924:16 *1304:16 0.000266261 +22 *924:16 *1305:16 3.07561e-05 +23 *924:16 *1308:16 0.0047245 +24 *2472:la_data_out_mprj[2] *924:15 0 +25 *652:8 *924:16 0 +26 *653:8 *924:16 0 +27 *668:7 *2472:la_data_out_mprj[41] 0 +28 *669:15 *924:15 0 +29 *687:11 *2472:la_data_out_mprj[41] 0 +30 *913:10 *924:10 0.000653519 +31 *915:10 *924:10 0.00158586 +32 *921:10 *924:16 0.0293792 +*RES +1 *2478:la_output[41] *924:10 47.822 +2 *924:10 *924:15 41.5607 +3 *924:15 *924:16 332.931 +4 *924:16 *2472:la_data_out_mprj[41] 35.1065 +*END + +*D_NET *925 0.0631168 +*CONN +*I *2472:la_data_out_mprj[42] I *D mgmt_protect +*I *2478:la_output[42] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[42] 0.0014022 +2 *2478:la_output[42] 0.00098015 +3 *925:20 0.00337567 +4 *925:18 0.00199411 +5 *925:16 0.00102299 +6 *925:15 0.00217156 +7 *925:10 0.00365928 +8 *925:9 0.00347022 +9 *2472:la_data_out_mprj[42] *2472:la_iena_mprj[42] 0 +10 *2472:la_data_out_mprj[42] *1195:15 0 +11 *925:9 *1182:7 0 +12 *925:9 *1309:12 0 +13 *925:10 *926:10 0.00171001 +14 *925:10 *928:10 0.00566601 +15 *925:10 *1184:12 0.00294309 +16 *925:15 *931:7 0.00142284 +17 *925:20 *926:26 0.0114236 +18 *641:7 *925:15 8.62625e-06 +19 *642:8 *925:16 0.000776327 +20 *646:8 *925:16 0.00103534 +21 *647:8 *925:16 0.00014932 +22 *648:8 *925:16 4.77564e-05 +23 *649:8 *925:16 0 +24 *649:8 *925:20 1.01703e-05 +25 *650:8 *925:20 0 +26 *651:8 *925:20 0 +27 *653:8 *925:20 0 +28 *669:7 *2472:la_data_out_mprj[42] 0.000589004 +29 *675:8 *925:16 0.000159221 +30 *676:8 *925:16 0.0044495 +31 *676:8 *925:20 0.000519766 +32 *680:8 *925:20 0.0134539 +33 *907:10 *925:10 0 +34 *920:10 *925:10 0.000498026 +35 *920:15 *925:15 0 +36 *923:16 *925:20 0.000178097 +*RES +1 *2478:la_output[42] *925:9 28.1083 +2 *925:9 *925:10 121.072 +3 *925:10 *925:15 37.8234 +4 *925:15 *925:16 58.6795 +5 *925:16 *925:18 0.578717 +6 *925:18 *925:20 157.954 +7 *925:20 *2472:la_data_out_mprj[42] 41.3353 +*END + +*D_NET *926 0.0679406 +*CONN +*I *2472:la_data_out_mprj[43] I *D mgmt_protect +*I *2478:la_output[43] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[43] 0.00152824 +2 *2478:la_output[43] 0.00101858 +3 *926:26 0.00297146 +4 *926:25 0.0029203 +5 *926:20 0.00197438 +6 *926:10 0.00193977 +7 *926:9 0.00246105 +8 *2472:la_data_out_mprj[43] *2472:la_iena_mprj[43] 0 +9 *2472:la_data_out_mprj[43] *943:7 0.000310166 +10 *926:9 *1183:9 0 +11 *926:10 *928:10 0.0111635 +12 *926:20 *928:16 4.42311e-05 +13 *926:20 *928:18 0.00109722 +14 *926:20 *932:16 0.000483488 +15 *926:20 *935:10 0.0018874 +16 *926:20 *936:9 7.14746e-05 +17 *926:25 *1173:15 0 +18 *926:25 *1321:9 0 +19 *926:26 *1181:26 0.000792362 +20 *926:26 *1309:24 1.41291e-05 +21 *2472:la_data_out_mprj[26] *926:20 0 +22 *670:9 *2472:la_data_out_mprj[43] 0 +23 *680:8 *926:26 0.000356178 +24 *681:11 *926:25 0 +25 *920:10 *926:10 0.00924286 +26 *923:10 *926:10 0.00253276 +27 *923:10 *926:20 0.00217724 +28 *923:16 *926:26 0.00982019 +29 *925:10 *926:10 0.00171001 +30 *925:20 *926:26 0.0114236 +*RES +1 *2478:la_output[43] *926:9 28.9388 +2 *926:9 *926:10 159.063 +3 *926:10 *926:20 45.9958 +4 *926:20 *926:25 38.6539 +5 *926:25 *926:26 132.164 +6 *926:26 *2472:la_data_out_mprj[43] 40.9201 +*END + +*D_NET *927 0.071325 +*CONN +*I *2472:la_data_out_mprj[44] I *D mgmt_protect +*I *2478:la_output[44] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[44] 0.000861112 +2 *2478:la_output[44] 8.29983e-05 +3 *927:10 0.00394419 +4 *927:9 0.00308308 +5 *927:7 0.00337535 +6 *927:5 0.00345835 +7 *2472:la_data_out_mprj[44] *2472:la_iena_mprj[44] 0 +8 *927:7 *2472:la_data_out_mprj[7] 0 +9 *927:7 *1184:9 0 +10 *927:7 *1311:9 0 +11 *927:10 *929:10 0.0255425 +12 *927:10 *931:10 0.0215779 +13 *927:10 *977:18 0.000183145 +14 *927:10 *1188:12 0.000108607 +15 *927:10 *1280:10 0.00724722 +16 *927:10 *1282:10 0.000127366 +17 *927:10 *1310:12 9.82896e-06 +18 *927:10 *1310:14 0.00135572 +19 *671:7 *2472:la_data_out_mprj[44] 0.000367669 +20 *721:7 *927:7 0 +*RES +1 *2478:la_output[44] *927:5 2.05183 +2 *927:5 *927:7 64.7427 +3 *927:7 *927:9 4.5 +4 *927:9 *927:10 314.074 +5 *927:10 *2472:la_data_out_mprj[44] 27.2167 +*END + +*D_NET *928 0.055063 +*CONN +*I *2472:la_data_out_mprj[45] I *D mgmt_protect +*I *2478:la_output[45] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[45] 0.00140642 +2 *2478:la_output[45] 0.00100841 +3 *928:24 0.00420568 +4 *928:23 0.00409508 +5 *928:18 0.00212162 +6 *928:16 0.000980622 +7 *928:10 0.00195442 +8 *928:9 0.002808 +9 *2472:la_data_out_mprj[45] *2472:la_iena_mprj[45] 0 +10 *2472:la_data_out_mprj[45] *1197:15 7.52542e-05 +11 *2472:la_data_out_mprj[45] *1199:15 3.82989e-05 +12 *928:9 *1185:9 0 +13 *928:9 *1312:9 0 +14 *928:18 *932:16 0.0010731 +15 *928:18 *935:10 0.0053747 +16 *928:23 *2472:la_oenb_mprj[30] 0.00042048 +17 *928:23 *932:21 0 +18 *928:23 *1179:15 0 +19 *928:23 *1322:9 0.000248358 +20 *928:24 *1191:20 0.000633643 +21 *928:24 *1304:16 0.00151568 +22 *928:24 *1309:24 0 +23 *928:24 *1321:16 0.000225363 +24 *928:24 *1322:16 0.000328385 +25 *657:7 *928:23 0.000295476 +26 *672:5 *2472:la_data_out_mprj[45] 0 +27 *907:10 *928:10 0 +28 *907:10 *928:16 1.73913e-05 +29 *907:10 *928:18 0 +30 *924:16 *928:24 0.00826566 +31 *925:10 *928:10 0.00566601 +32 *926:10 *928:10 0.0111635 +33 *926:20 *928:16 4.42311e-05 +34 *926:20 *928:18 0.00109722 +*RES +1 *2478:la_output[45] *928:9 28.5236 +2 *928:9 *928:10 118.577 +3 *928:10 *928:16 5.19639 +4 *928:16 *928:18 57.293 +5 *928:18 *928:23 43.6369 +6 *928:23 *928:24 123.845 +7 *928:24 *2472:la_data_out_mprj[45] 35.5218 +*END + +*D_NET *929 0.0682467 +*CONN +*I *2472:la_data_out_mprj[46] I *D mgmt_protect +*I *2478:la_output[46] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[46] 0.000886474 +2 *2478:la_output[46] 1.28869e-05 +3 *929:10 0.00380926 +4 *929:9 0.00292278 +5 *929:7 0.00273541 +6 *929:5 0.00274829 +7 *2472:la_data_out_mprj[46] *2472:la_iena_mprj[46] 0 +8 *2472:la_data_out_mprj[46] *1313:13 0 +9 *2472:la_data_out_mprj[46] *1327:15 2.1203e-06 +10 *929:7 *1184:15 0 +11 *929:7 *1313:9 0 +12 *929:10 *931:10 0.000151514 +13 *929:10 *943:10 0.000245158 +14 *929:10 *1188:12 0.000118134 +15 *929:10 *1198:16 0.00124365 +16 *929:10 *1199:16 0.000406926 +17 *929:10 *1310:14 0.0251794 +18 *2472:la_data_out_mprj[12] *929:7 0.000761087 +19 *636:5 *929:7 0.000462439 +20 *673:7 *2472:la_data_out_mprj[46] 0.000370225 +21 *913:15 *929:7 0.000648461 +22 *927:10 *929:10 0.0255425 +*RES +1 *2478:la_output[46] *929:5 0.366399 +2 *929:5 *929:7 64.3275 +3 *929:7 *929:9 4.5 +4 *929:9 *929:10 292.999 +5 *929:10 *2472:la_data_out_mprj[46] 27.632 +*END + +*D_NET *930 0.0605003 +*CONN +*I *2472:la_data_out_mprj[47] I *D mgmt_protect +*I *2478:la_output[47] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[47] 0.00460466 +2 *2478:la_output[47] 0.00118886 +3 *930:19 0.00646517 +4 *930:16 0.00232954 +5 *930:10 0.00134212 +6 *930:9 0.00206195 +7 *2472:la_data_out_mprj[47] *2472:la_data_out_mprj[49] 0.0144873 +8 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[47] 0 +9 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[48] 0.00363344 +10 *930:9 *1187:9 0 +11 *930:10 *1181:16 0.0103339 +12 *930:10 *1181:20 4.89898e-06 +13 *930:10 *1307:16 0.0103763 +14 *930:16 *937:12 0.000848219 +15 *930:16 *938:12 6.50727e-05 +16 *930:16 *1181:18 1.09551e-05 +17 *930:16 *1181:20 0.000264018 +18 *930:16 *1307:16 0.00107068 +19 *930:16 *1307:20 0.000629908 +20 *930:19 *932:21 0.000179263 +21 *930:19 *1195:9 0 +22 *2472:la_data_out_mprj[31] *930:19 0.000604059 +23 *658:7 *930:19 0 +24 *674:7 *2472:la_data_out_mprj[47] 0 +*RES +1 *2478:la_output[47] *930:9 31.8456 +2 *930:9 *930:10 110.535 +3 *930:10 *930:16 37.4145 +4 *930:16 *930:19 46.9336 +5 *930:19 *2472:la_data_out_mprj[47] 41.4223 +*END + +*D_NET *931 0.0627314 +*CONN +*I *2472:la_data_out_mprj[48] I *D mgmt_protect +*I *2478:la_output[48] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[48] 0.00081301 +2 *2478:la_output[48] 8.29983e-05 +3 *931:10 0.00346345 +4 *931:9 0.00265044 +5 *931:7 0.00257315 +6 *931:5 0.00265615 +7 *2472:la_data_out_mprj[48] *2472:la_iena_mprj[48] 0 +8 *2472:la_data_out_mprj[48] *2472:la_oenb_mprj[48] 0 +9 *931:7 *2472:la_iena_mprj[17] 0 +10 *931:7 *1188:7 0 +11 *931:7 *1315:9 0 +12 *931:10 *934:10 0.0209729 +13 *931:10 *1158:10 0.000947792 +14 *931:10 *1160:10 7.83176e-05 +15 *931:10 *1190:12 0.000118134 +16 *931:10 *1198:16 0.00285553 +17 *931:10 *1282:10 0.00130097 +18 *2472:la_data_out_mprj[17] *931:7 0.000400773 +19 *641:7 *931:7 0.000292263 +20 *675:7 *2472:la_data_out_mprj[48] 0.000373236 +21 *925:15 *931:7 0.00142284 +22 *927:10 *931:10 0.0215779 +23 *929:10 *931:10 0.000151514 +*RES +1 *2478:la_output[48] *931:5 2.05183 +2 *931:5 *931:7 65.158 +3 *931:7 *931:9 4.5 +4 *931:9 *931:10 267.488 +5 *931:10 *2472:la_data_out_mprj[48] 26.8015 +*END + +*D_NET *932 0.0613779 +*CONN +*I *2472:la_data_out_mprj[49] I *D mgmt_protect +*I *2478:la_output[49] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[49] 0.00416361 +2 *2478:la_output[49] 0.00109188 +3 *932:21 0.00585655 +4 *932:18 0.00169294 +5 *932:16 0.000957161 +6 *932:10 0.00167468 +7 *932:9 0.0018094 +8 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[48] 0.00026726 +9 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[49] 0 +10 *2472:la_data_out_mprj[49] *1319:12 0.0164967 +11 *932:9 *1190:7 0 +12 *932:9 *1316:7 0 +13 *932:10 *1309:14 0.00631775 +14 *932:16 *935:10 6.66012e-05 +15 *932:16 *1193:9 6.60196e-05 +16 *932:21 *938:9 7.87271e-05 +17 *932:21 *1322:9 0 +18 *2472:la_data_out_mprj[31] *932:21 0.00149277 +19 *2472:la_data_out_mprj[47] *2472:la_data_out_mprj[49] 0.0144873 +20 *657:7 *932:21 0.000283365 +21 *676:7 *2472:la_data_out_mprj[49] 0 +22 *923:10 *932:10 0.00278364 +23 *923:15 *932:16 5.56367e-05 +24 *926:20 *932:16 0.000483488 +25 *928:18 *932:16 0.0010731 +26 *928:23 *932:21 0 +27 *930:19 *932:21 0.000179263 +*RES +1 *2478:la_output[49] *932:9 30.5998 +2 *932:9 *932:10 67.2758 +3 *932:10 *932:16 45.5228 +4 *932:16 *932:18 4.5 +5 *932:18 *932:21 49.8404 +6 *932:21 *2472:la_data_out_mprj[49] 44.8535 +*END + +*D_NET *933 0.167951 +*CONN +*I *2472:la_data_out_mprj[4] I *D mgmt_protect +*I *2478:la_output[4] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[4] 0.00264205 +2 *2478:la_output[4] 0.00127242 +3 *933:12 0.00264205 +4 *933:10 0.00603138 +5 *933:9 0.0073038 +6 *2472:la_data_out_mprj[4] *2472:la_iena_mprj[4] 0 +7 *2472:la_data_out_mprj[4] *1310:7 0 +8 *933:9 *1200:7 0 +9 *933:9 *1317:9 0 +10 *933:10 *955:10 0.0701924 +11 *933:10 *1167:10 0.00393788 +12 *933:10 *1179:10 0.00631397 +13 *2478:la_input[43] *2472:la_data_out_mprj[4] 0.000690049 +14 *605:14 *933:10 0.065305 +15 *677:7 *2472:la_data_out_mprj[4] 0.000541451 +16 *677:14 *933:10 0 +17 *911:10 *933:10 0.00107821 +*RES +1 *2478:la_output[4] *933:9 36.8286 +2 *933:9 *933:10 781.605 +3 *933:10 *933:12 4.5 +4 *933:12 *2472:la_data_out_mprj[4] 57.1827 +*END + +*D_NET *934 0.0549683 +*CONN +*I *2472:la_data_out_mprj[50] I *D mgmt_protect +*I *2478:la_output[50] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[50] 0.000749153 +2 *2478:la_output[50] 8.29983e-05 +3 *934:10 0.00333929 +4 *934:9 0.00259014 +5 *934:7 0.00302436 +6 *934:5 0.00310736 +7 *2472:la_data_out_mprj[50] *2472:la_iena_mprj[50] 0 +8 *934:7 *1191:9 0 +9 *934:7 *1318:9 0 +10 *934:10 *1160:10 0.000560858 +11 *934:10 *1162:10 4.72904e-05 +12 *934:10 *1190:12 0.000108607 +13 *934:10 *1192:10 0.000994607 +14 *934:10 *1198:16 0.000718419 +15 *934:10 *1288:10 3.42853e-05 +16 *2472:la_data_out_mprj[22] *934:7 0 +17 *647:7 *934:7 0.000261499 +18 *678:7 *2472:la_data_out_mprj[50] 0.000380675 +19 *681:8 *934:10 0.0179958 +20 *931:10 *934:10 0.0209729 +*RES +1 *2478:la_output[50] *934:5 2.05183 +2 *934:5 *934:7 65.5732 +3 *934:7 *934:9 4.5 +4 *934:9 *934:10 243.64 +5 *934:10 *2472:la_data_out_mprj[50] 26.3862 +*END + +*D_NET *935 0.0550254 +*CONN +*I *2472:la_data_out_mprj[51] I *D mgmt_protect +*I *2478:la_output[51] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[51] 0.000683157 +2 *2478:la_output[51] 0.000963291 +3 *935:16 0.00224177 +4 *935:15 0.00155861 +5 *935:13 0.00128356 +6 *935:12 0.00128356 +7 *935:10 0.000954525 +8 *935:9 0.00191782 +9 *2472:la_data_out_mprj[51] *2472:la_iena_mprj[51] 0 +10 *935:9 *1192:7 0 +11 *935:9 *1319:7 0 +12 *935:10 *936:10 0.00543847 +13 *935:10 *1309:18 4.33655e-05 +14 *935:13 *2472:la_iena_mprj[33] 0.000393005 +15 *935:13 *936:13 6.02152e-05 +16 *935:13 *937:15 0.00234425 +17 *935:13 *939:11 0.000873537 +18 *935:16 *936:16 0.0141949 +19 *935:16 *937:16 0.000261323 +20 *679:7 *2472:la_data_out_mprj[51] 0 +21 *684:8 *935:16 0.0130758 +22 *905:13 *935:9 0.000113953 +23 *923:10 *935:10 1.15389e-05 +24 *926:20 *935:10 0.0018874 +25 *928:18 *935:10 0.0053747 +26 *932:16 *935:10 6.66012e-05 +*RES +1 *2478:la_output[51] *935:9 28.9388 +2 *935:9 *935:10 79.4771 +3 *935:10 *935:12 4.5 +4 *935:12 *935:13 47.5097 +5 *935:13 *935:15 4.5 +6 *935:15 *935:16 153.239 +7 *935:16 *2472:la_data_out_mprj[51] 22.2337 +*END + +*D_NET *936 0.0540413 +*CONN +*I *2472:la_data_out_mprj[52] I *D mgmt_protect +*I *2478:la_output[52] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[52] 0.000520676 +2 *2478:la_output[52] 0.000983065 +3 *936:16 0.00225369 +4 *936:15 0.00173301 +5 *936:13 0.00128549 +6 *936:12 0.00128549 +7 *936:10 0.000452577 +8 *936:9 0.00143564 +9 *2472:la_data_out_mprj[52] *2472:la_iena_mprj[52] 0 +10 *936:9 *1193:9 0 +11 *936:9 *1320:7 0 +12 *936:10 *1309:14 7.02172e-06 +13 *936:10 *1309:18 0.00476095 +14 *936:13 *2472:la_iena_mprj[33] 5.66868e-06 +15 *936:13 *2472:la_oenb_mprj[32] 0 +16 *936:13 *937:15 0.00234779 +17 *936:13 *1323:9 0 +18 *936:16 *937:16 3.99086e-06 +19 *936:16 *938:16 0.014248 +20 *2472:la_data_out_mprj[26] *936:9 0 +21 *2472:la_data_out_mprj[33] *936:13 0.00164051 +22 *680:7 *2472:la_data_out_mprj[52] 0.000336725 +23 *684:8 *936:16 0.000339591 +24 *923:10 *936:10 0.000636366 +25 *926:20 *936:9 7.14746e-05 +26 *935:10 *936:10 0.00543847 +27 *935:13 *936:13 6.02152e-05 +28 *935:16 *936:16 0.0141949 +*RES +1 *2478:la_output[52] *936:9 29.3541 +2 *936:9 *936:10 57.8476 +3 *936:10 *936:12 4.5 +4 *936:12 *936:13 47.5097 +5 *936:13 *936:15 4.5 +6 *936:15 *936:16 162.668 +7 *936:16 *2472:la_data_out_mprj[52] 21.8185 +*END + +*D_NET *937 0.0504266 +*CONN +*I *2472:la_data_out_mprj[53] I *D mgmt_protect +*I *2478:la_output[53] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[53] 0.000733783 +2 *2478:la_output[53] 0.00106092 +3 *937:16 0.0025508 +4 *937:15 0.00282532 +5 *937:12 0.0013679 +6 *937:9 0.00142051 +7 *2472:la_data_out_mprj[53] *2472:la_iena_mprj[53] 0 +8 *937:9 *1194:9 0 +9 *937:9 *1321:9 0 +10 *937:12 *938:12 0.0016814 +11 *937:12 *1181:20 0.00361193 +12 *937:16 *939:14 0.0157005 +13 *681:7 *2472:la_data_out_mprj[53] 0 +14 *683:8 *937:16 4.97617e-05 +15 *684:8 *937:16 0.000786331 +16 *685:8 *937:16 0.0128319 +17 *930:16 *937:12 0.000848219 +18 *935:13 *937:15 0.00234425 +19 *935:16 *937:16 0.000261323 +20 *936:13 *937:15 0.00234779 +21 *936:16 *937:16 3.99086e-06 +*RES +1 *2478:la_output[53] *937:9 30.5998 +2 *937:9 *937:12 42.9364 +3 *937:12 *937:15 49.103 +4 *937:15 *937:16 170.987 +5 *937:16 *2472:la_data_out_mprj[53] 23.4795 +*END + +*D_NET *938 0.0453455 +*CONN +*I *2472:la_data_out_mprj[54] I *D mgmt_protect +*I *2478:la_output[54] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[54] 0.000501101 +2 *2478:la_output[54] 0.00104416 +3 *938:16 0.00246207 +4 *938:15 0.00196097 +5 *938:13 0.00195026 +6 *938:12 0.00226745 +7 *938:9 0.00136135 +8 *2472:la_data_out_mprj[54] *2472:la_iena_mprj[54] 0 +9 *938:9 *1195:9 0 +10 *938:9 *1322:9 0 +11 *938:12 *1181:20 0.000339591 +12 *938:12 *1307:20 0.00104548 +13 *938:13 *2472:la_iena_mprj[34] 0.000166653 +14 *938:13 *2472:la_oenb_mprj[34] 0 +15 *938:13 *1196:9 0.000693825 +16 *938:16 *940:10 0.0145667 +17 *2472:la_data_out_mprj[34] *938:13 0.000185686 +18 *660:7 *938:13 0 +19 *682:7 *2472:la_data_out_mprj[54] 0.000332413 +20 *684:8 *938:16 0.000394687 +21 *684:11 *938:13 0 +22 *930:16 *938:12 6.50727e-05 +23 *932:21 *938:9 7.87271e-05 +24 *936:16 *938:16 0.014248 +25 *937:12 *938:12 0.0016814 +*RES +1 *2478:la_output[54] *938:9 31.0151 +2 *938:9 *938:12 30.7352 +3 *938:12 *938:13 46.264 +4 *938:13 *938:15 4.5 +5 *938:15 *938:16 170.987 +6 *938:16 *2472:la_data_out_mprj[54] 21.4032 +*END + +*D_NET *939 0.045334 +*CONN +*I *2472:la_data_out_mprj[55] I *D mgmt_protect +*I *2478:la_output[55] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[55] 0.000741538 +2 *2478:la_output[55] 0.000916095 +3 *939:14 0.0025608 +4 *939:13 0.00181926 +5 *939:11 0.0018307 +6 *939:9 0.00274679 +7 *2472:la_data_out_mprj[55] *2472:la_iena_mprj[55] 0 +8 *939:9 *1323:9 0 +9 *939:11 *2472:la_iena_mprj[33] 0.000302412 +10 *939:11 *2472:la_oenb_mprj[33] 4.59609e-05 +11 *939:11 *1323:9 0 +12 *939:14 *1198:16 0 +13 *660:7 *939:11 0 +14 *683:7 *2472:la_data_out_mprj[55] 0 +15 *683:8 *939:14 0.017098 +16 *685:8 *939:14 0.000698398 +17 *935:13 *939:11 0.000873537 +18 *937:16 *939:14 0.0157005 +*RES +1 *2478:la_output[55] *939:9 23.4251 +2 *939:9 *939:11 46.4716 +3 *939:11 *939:13 4.5 +4 *939:13 *939:14 186.516 +5 *939:14 *2472:la_data_out_mprj[55] 23.8947 +*END + +*D_NET *940 0.037057 +*CONN +*I *2472:la_data_out_mprj[56] I *D mgmt_protect +*I *2478:la_output[56] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[56] 0.000481526 +2 *2478:la_output[56] 5.96252e-05 +3 *940:10 0.0038593 +4 *940:9 0.00337777 +5 *940:7 0.00276439 +6 *940:5 0.00282402 +7 *2472:la_data_out_mprj[56] *2472:la_iena_mprj[56] 0 +8 *940:7 *2472:la_iena_mprj[35] 0 +9 *940:7 *2472:la_oenb_mprj[35] 0.000121802 +10 *940:7 *1173:25 0 +11 *940:7 *1197:9 0 +12 *940:7 *1309:23 0 +13 *940:7 *1324:9 0 +14 *940:10 *1185:16 0.00690921 +15 *662:7 *940:7 0.00151161 +16 *684:7 *2472:la_data_out_mprj[56] 0.000328102 +17 *684:8 *940:10 0.000242106 +18 *685:8 *940:10 1.08799e-05 +19 *938:16 *940:10 0.0145667 +*RES +1 *2478:la_output[56] *940:5 1.49002 +2 *940:5 *940:7 70.9715 +3 *940:7 *940:9 4.5 +4 *940:9 *940:10 174.314 +5 *940:10 *2472:la_data_out_mprj[56] 20.988 +*END + +*D_NET *941 0.0333894 +*CONN +*I *2472:la_data_out_mprj[57] I *D mgmt_protect +*I *2478:la_output[57] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[57] 0.00107805 +2 *2478:la_output[57] 8.29983e-05 +3 *941:14 0.00187031 +4 *941:12 0.000812888 +5 *941:10 0.00138146 +6 *941:9 0.00136083 +7 *941:7 0.00237147 +8 *941:5 0.00245446 +9 *2472:la_data_out_mprj[57] *2472:la_iena_mprj[57] 0 +10 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[56] 0 +11 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[57] 0 +12 *941:7 *2472:la_iena_mprj[38] 5.56367e-05 +13 *941:7 *2472:la_oenb_mprj[38] 0 +14 *941:7 *1185:15 0 +15 *941:7 *1198:9 0 +16 *941:7 *1325:9 0 +17 *941:10 *1176:10 2.16355e-05 +18 *941:10 *1180:10 0.000180714 +19 *941:10 *1180:16 0.000702331 +20 *941:10 *1193:16 0.00193805 +21 *941:10 *1194:16 0.000547129 +22 *941:10 *1197:21 0.00119477 +23 *941:10 *1308:22 0 +24 *941:10 *1323:16 0.00184646 +25 *941:14 *1193:16 0.00558111 +26 *941:14 *1195:16 0.000725963 +27 *941:14 *1196:16 0.000205749 +28 *941:14 *1197:22 0.000111055 +29 *941:14 *1323:16 1.41689e-05 +30 *941:14 *1326:16 0.00856535 +31 *2472:la_data_out_mprj[38] *941:7 0.000286776 +32 *665:5 *941:7 0 +33 *685:7 *2472:la_data_out_mprj[57] 0 +*RES +1 *2478:la_output[57] *941:5 2.05183 +2 *941:5 *941:7 59.3444 +3 *941:7 *941:9 4.5 +4 *941:9 *941:10 71.1581 +5 *941:10 *941:12 0.578717 +6 *941:12 *941:14 91.1238 +7 *941:14 *2472:la_data_out_mprj[57] 32.1998 +*END + +*D_NET *942 0.0373296 +*CONN +*I *2472:la_data_out_mprj[58] I *D mgmt_protect +*I *2478:la_output[58] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[58] 0.000760596 +2 *2478:la_output[58] 8.29983e-05 +3 *942:10 0.00209089 +4 *942:9 0.0013303 +5 *942:7 0.00229965 +6 *942:5 0.00238265 +7 *2472:la_data_out_mprj[58] *2472:la_iena_mprj[58] 0 +8 *2472:la_data_out_mprj[58] *2472:la_oenb_mprj[58] 0 +9 *942:7 *1199:9 0 +10 *942:7 *1307:23 0.000617068 +11 *942:7 *1326:9 0 +12 *942:10 *943:10 6.75138e-05 +13 *942:10 *1193:16 5.64284e-05 +14 *668:7 *942:7 0 +15 *686:7 *2472:la_data_out_mprj[58] 0.000483545 +16 *686:8 *942:10 0.0139676 +17 *687:8 *942:10 0.0131903 +*RES +1 *2478:la_output[58] *942:5 2.05183 +2 *942:5 *942:7 62.2512 +3 *942:7 *942:9 4.5 +4 *942:9 *942:10 150.466 +5 *942:10 *2472:la_data_out_mprj[58] 29.7083 +*END + +*D_NET *943 0.0344298 +*CONN +*I *2472:la_data_out_mprj[59] I *D mgmt_protect +*I *2478:la_output[59] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[59] 0.00091684 +2 *2478:la_output[59] 8.29983e-05 +3 *943:10 0.00218664 +4 *943:9 0.0012698 +5 *943:7 0.00225711 +6 *943:5 0.00234011 +7 *2472:la_data_out_mprj[59] *2472:la_iena_mprj[59] 0 +8 *943:7 *1195:15 0 +9 *943:7 *1196:15 0.000638245 +10 *943:7 *1201:9 0 +11 *943:7 *1327:9 0 +12 *943:10 *1182:14 0.000324166 +13 *943:10 *1199:16 0.0114852 +14 *943:10 *1310:14 9.65932e-05 +15 *2472:la_data_out_mprj[43] *943:7 0.000310166 +16 *670:9 *943:7 0 +17 *686:8 *943:10 0.01205 +18 *687:7 *2472:la_data_out_mprj[59] 0 +19 *687:8 *943:10 0.000159317 +20 *929:10 *943:10 0.000245158 +21 *942:10 *943:10 6.75138e-05 +*RES +1 *2478:la_output[59] *943:5 2.05183 +2 *943:5 *943:7 63.0817 +3 *943:7 *943:9 4.5 +4 *943:9 *943:10 138.82 +5 *943:10 *2472:la_data_out_mprj[59] 28.8777 +*END + +*D_NET *944 0.156107 +*CONN +*I *2472:la_data_out_mprj[5] I *D mgmt_protect +*I *2478:la_output[5] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[5] 0.00102872 +2 *2478:la_output[5] 8.29983e-05 +3 *944:10 0.00900991 +4 *944:9 0.0079812 +5 *944:7 0.00255175 +6 *944:5 0.00263475 +7 *2472:la_data_out_mprj[5] *2472:la_iena_mprj[5] 0 +8 *944:7 *1211:9 0 +9 *944:7 *1328:7 0 +10 *944:10 *966:10 0.0662309 +11 *944:10 *1178:10 0.00636505 +12 *944:10 *1200:10 0.00175438 +13 *944:10 *1200:16 0.00331837 +14 *944:10 *1280:10 0.0526241 +15 *944:10 *1328:10 0.00252463 +16 *688:7 *2472:la_data_out_mprj[5] 0 +*RES +1 *2478:la_output[5] *944:5 2.05183 +2 *944:5 *944:7 64.7427 +3 *944:7 *944:9 4.5 +4 *944:9 *944:10 769.959 +5 *944:10 *2472:la_data_out_mprj[5] 27.2167 +*END + +*D_NET *945 0.0278369 +*CONN +*I *2472:la_data_out_mprj[60] I *D mgmt_protect +*I *2478:la_output[60] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[60] 0.00148666 +2 *2478:la_output[60] 0.0014309 +3 *945:12 0.00148666 +4 *945:10 0.00162034 +5 *945:9 0.00305124 +6 *2472:la_data_out_mprj[60] *2472:la_iena_mprj[60] 0 +7 *945:9 *2472:la_oenb_mprj[45] 0 +8 *945:9 *1197:15 0 +9 *945:9 *1199:15 0 +10 *945:9 *1202:9 0 +11 *945:9 *1329:9 0 +12 *945:10 *946:10 0.000375299 +13 *945:10 *947:10 0.00827962 +14 *945:10 *1201:10 0 +15 *945:10 *1204:10 0.00780587 +16 *945:10 *1315:10 1.44742e-05 +17 *945:10 *1324:10 0.000237769 +18 *945:10 *1325:10 0.000401978 +19 *945:10 *1330:10 0.000108945 +20 *945:10 *1331:10 0.00041958 +21 *689:5 *2472:la_data_out_mprj[60] 0.0011176 +*RES +1 *2478:la_output[60] *945:9 40.1506 +2 *945:9 *945:10 127.173 +3 *945:10 *945:12 4.5 +4 *945:12 *2472:la_data_out_mprj[60] 53.8607 +*END + +*D_NET *946 0.029289 +*CONN +*I *2472:la_data_out_mprj[61] I *D mgmt_protect +*I *2478:la_output[61] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[61] 0.00201122 +2 *2478:la_output[61] 0.00134857 +3 *946:12 0.00201122 +4 *946:10 0.00080611 +5 *946:9 0.00215468 +6 *2472:la_data_out_mprj[61] *2472:la_iena_mprj[61] 0 +7 *946:9 *1203:9 0 +8 *946:9 *1329:15 0 +9 *946:10 *1330:10 0.0110068 +10 *946:10 *1331:10 0.00957509 +11 *690:5 *2472:la_data_out_mprj[61] 0 +12 *945:10 *946:10 0.000375299 +*RES +1 *2478:la_output[61] *946:9 38.9049 +2 *946:9 *946:10 115.526 +3 *946:10 *946:12 4.5 +4 *946:12 *2472:la_data_out_mprj[61] 55.1064 +*END + +*D_NET *947 0.0214542 +*CONN +*I *2472:la_data_out_mprj[62] I *D mgmt_protect +*I *2478:la_output[62] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[62] 0.00146709 +2 *2478:la_output[62] 0.00144115 +3 *947:12 0.00146709 +4 *947:10 0.00155402 +5 *947:9 0.00299516 +6 *2472:la_data_out_mprj[62] *2472:la_iena_mprj[62] 0 +7 *947:9 *1204:9 0 +8 *947:9 *1331:9 0 +9 *947:10 *1204:10 0.000695785 +10 *947:10 *1206:10 0.00244103 +11 *691:5 *2472:la_data_out_mprj[62] 0.00111329 +12 *945:10 *947:10 0.00827962 +*RES +1 *2478:la_output[62] *947:9 40.5659 +2 *947:9 *947:10 103.88 +3 *947:10 *947:12 4.5 +4 *947:12 *2472:la_data_out_mprj[62] 53.4454 +*END + +*D_NET *948 0.0242955 +*CONN +*I *2472:la_data_out_mprj[63] I *D mgmt_protect +*I *2478:la_output[63] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[63] 0.00234568 +2 *2478:la_output[63] 0.00103457 +3 *948:12 0.00234568 +4 *948:10 0.000796198 +5 *948:9 0.00183077 +6 *2472:la_data_out_mprj[63] *2472:la_iena_mprj[63] 0 +7 *2472:la_data_out_mprj[63] *2472:la_oenb_mprj[63] 0 +8 *2472:la_data_out_mprj[63] *1337:9 0 +9 *948:9 *1205:9 0 +10 *948:9 *1332:9 0 +11 *948:10 *949:10 0.00691104 +12 *948:10 *1332:10 9.16621e-05 +13 *692:5 *2472:la_data_out_mprj[63] 0 +14 *692:8 *948:10 0.00854448 +15 *693:8 *948:10 0.000395432 +*RES +1 *2478:la_output[63] *948:9 31.0151 +2 *948:9 *948:10 92.233 +3 *948:10 *948:12 4.5 +4 *948:12 *2472:la_data_out_mprj[63] 62.9962 +*END + +*D_NET *949 0.0228812 +*CONN +*I *2472:la_data_out_mprj[64] I *D mgmt_protect +*I *2478:la_output[64] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[64] 0.00180151 +2 *2478:la_output[64] 0.000980039 +3 *949:12 0.00180151 +4 *949:10 0.000611067 +5 *949:9 0.00159111 +6 *2472:la_data_out_mprj[64] *2472:la_iena_mprj[64] 0 +7 *2472:la_data_out_mprj[64] *953:12 5.92342e-05 +8 *949:9 *1333:9 0 +9 *949:10 *951:12 1.67988e-05 +10 *949:10 *951:16 0.000122378 +11 *949:10 *1208:10 6.05863e-05 +12 *949:10 *1332:10 0.000106845 +13 *693:5 *2472:la_data_out_mprj[64] 0.00128143 +14 *693:8 *949:10 0.00753767 +15 *948:10 *949:10 0.00691104 +*RES +1 *2478:la_output[64] *949:9 29.4762 +2 *949:9 *949:10 81.1409 +3 *949:10 *949:12 4.5 +4 *949:12 *2472:la_data_out_mprj[64] 63.4115 +*END + +*D_NET *950 0.0152883 +*CONN +*I *2472:la_data_out_mprj[65] I *D mgmt_protect +*I *2478:la_output[65] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[65] 0.00217768 +2 *2478:la_output[65] 0.00120602 +3 *950:12 0.00217768 +4 *950:10 0.000780503 +5 *950:9 0.00198652 +6 *2472:la_data_out_mprj[65] *2472:la_iena_mprj[65] 0 +7 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[64] 0 +8 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[65] 0 +9 *950:9 *1207:9 0 +10 *950:9 *1334:9 0 +11 *950:10 *1205:10 0.00194244 +12 *950:10 *1207:10 0.0026991 +13 *950:10 *1209:10 0.00219735 +14 *950:10 *1334:10 0.000120974 +15 *694:5 *2472:la_data_out_mprj[65] 0 +*RES +1 *2478:la_output[65] *950:9 34.3371 +2 *950:9 *950:10 68.9396 +3 *950:10 *950:12 4.5 +4 *950:12 *2472:la_data_out_mprj[65] 59.6742 +*END + +*D_NET *951 0.0167198 +*CONN +*I *2472:la_data_out_mprj[66] I *D mgmt_protect +*I *2478:la_output[66] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[66] 0.00179488 +2 *2478:la_output[66] 0.000999976 +3 *951:16 0.00194905 +4 *951:12 0.00057372 +5 *951:9 0.00141952 +6 *2472:la_data_out_mprj[66] *2472:la_iena_mprj[66] 0 +7 *2472:la_data_out_mprj[66] *2472:la_oenb_mprj[66] 0 +8 *2472:la_data_out_mprj[66] *1338:9 4.41474e-05 +9 *951:9 *1208:9 0 +10 *951:9 *1335:9 0 +11 *951:12 *1335:13 0.000362922 +12 *951:12 *1336:10 0.000661063 +13 *951:16 *953:12 4.5421e-05 +14 *951:16 *953:16 0.00140641 +15 *951:16 *1208:10 1.75155e-06 +16 *951:16 *1335:18 0.00169207 +17 *693:8 *951:12 0.00359749 +18 *695:5 *2472:la_data_out_mprj[66] 0.00126906 +19 *697:13 *2472:la_data_out_mprj[66] 0 +20 *697:16 *951:12 0.000749025 +21 *697:16 *951:16 1.41689e-05 +22 *949:10 *951:12 1.67988e-05 +23 *949:10 *951:16 0.000122378 +*RES +1 *2478:la_output[66] *951:9 29.7693 +2 *951:9 *951:12 39.0152 +3 *951:12 *951:16 22.9707 +4 *951:16 *2472:la_data_out_mprj[66] 63.8267 +*END + +*D_NET *952 0.0126583 +*CONN +*I *2472:la_data_out_mprj[67] I *D mgmt_protect +*I *2478:la_output[67] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[67] 0.00238443 +2 *2478:la_output[67] 0.000932333 +3 *952:12 0.00238443 +4 *952:10 0.00106029 +5 *952:9 0.00199262 +6 *2472:la_data_out_mprj[67] *2472:la_iena_mprj[67] 0 +7 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[66] 0 +8 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[67] 0 +9 *2472:la_data_out_mprj[67] *1212:9 0.000195139 +10 *952:9 *1209:9 0 +11 *952:9 *1336:9 0 +12 *952:10 *1335:13 0.00179828 +13 *952:10 *1336:10 0.000482046 +14 *696:5 *2472:la_data_out_mprj[67] 0 +15 *698:10 *952:10 0.00142877 +*RES +1 *2478:la_output[67] *952:9 28.1083 +2 *952:9 *952:10 45.6463 +3 *952:10 *952:12 4.5 +4 *952:12 *2472:la_data_out_mprj[67] 65.903 +*END + +*D_NET *953 0.0112725 +*CONN +*I *2472:la_data_out_mprj[68] I *D mgmt_protect +*I *2478:la_output[68] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[68] 0.00178957 +2 *2478:la_output[68] 0.00113049 +3 *953:16 0.00235261 +4 *953:12 0.00169353 +5 *2472:la_data_out_mprj[68] *2472:la_iena_mprj[68] 0 +6 *2472:la_data_out_mprj[68] *2472:la_oenb_mprj[67] 0 +7 *953:12 *1208:10 8.69538e-05 +8 *953:12 *1210:9 0 +9 *953:12 *1335:13 2.652e-05 +10 *953:12 *1337:9 0 +11 *953:16 *954:12 0.000300204 +12 *953:16 *1208:10 0.000236012 +13 *953:16 *1212:12 0.000202245 +14 *953:16 *1335:18 0.000180711 +15 *953:16 *1338:12 0.0002375 +16 *2472:la_data_out_mprj[64] *953:12 5.92342e-05 +17 *697:5 *2472:la_data_out_mprj[68] 0.00125177 +18 *700:10 *953:16 0.00027329 +19 *951:16 *953:12 4.5421e-05 +20 *951:16 *953:16 0.00140641 +*RES +1 *2478:la_output[68] *953:12 33.9671 +2 *953:12 *953:16 36.5585 +3 *953:16 *2472:la_data_out_mprj[68] 63.4115 +*END + +*D_NET *954 0.00962518 +*CONN +*I *2472:la_data_out_mprj[69] I *D mgmt_protect +*I *2478:la_output[69] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[69] 0.00204663 +2 *2478:la_output[69] 0.000998286 +3 *954:12 0.00242839 +4 *954:9 0.00138005 +5 *2472:la_data_out_mprj[69] *2472:la_iena_mprj[69] 0 +6 *2472:la_data_out_mprj[69] *2472:la_oenb_mprj[69] 0 +7 *2472:la_data_out_mprj[69] *956:7 0.000732828 +8 *2472:la_data_out_mprj[69] *1213:10 0 +9 *954:9 *1212:9 0 +10 *954:9 *1338:9 0 +11 *954:12 *1335:18 6.50727e-05 +12 *954:12 *1336:10 0.000897677 +13 *954:12 *1340:10 0.000320474 +14 *697:13 *954:9 1.77537e-06 +15 *698:5 *2472:la_data_out_mprj[69] 0 +16 *698:10 *954:12 0.000438797 +17 *700:10 *954:12 1.49927e-05 +18 *953:16 *954:12 0.000300204 +*RES +1 *2478:la_output[69] *954:9 29.3541 +2 *954:9 *954:12 26.8529 +3 *954:12 *2472:la_data_out_mprj[69] 64.6572 +*END + +*D_NET *955 0.163347 +*CONN +*I *2472:la_data_out_mprj[6] I *D mgmt_protect +*I *2478:la_output[6] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[6] 0.00270487 +2 *2478:la_output[6] 0.00129968 +3 *955:12 0.00270487 +4 *955:10 0.00575417 +5 *955:9 0.00705386 +6 *2472:la_data_out_mprj[6] *2472:la_iena_mprj[6] 0 +7 *955:9 *1222:7 0 +8 *955:9 *1339:9 0 +9 *955:10 *1167:10 0.0036203 +10 *955:10 *1179:10 0.000741053 +11 *955:10 *1279:10 0.000119292 +12 *955:10 *1361:10 0.068472 +13 *2478:la_input[44] *2472:la_data_out_mprj[6] 0.000145026 +14 *677:14 *955:10 0 +15 *699:7 *2472:la_data_out_mprj[6] 0.000539293 +16 *933:10 *955:10 0.0701924 +*RES +1 *2478:la_output[6] *955:9 37.2439 +2 *955:9 *955:10 758.312 +3 *955:10 *955:12 4.5 +4 *955:12 *2472:la_data_out_mprj[6] 56.7674 +*END + +*D_NET *956 0.0089816 +*CONN +*I *2472:la_data_out_mprj[70] I *D mgmt_protect +*I *2478:la_output[70] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[70] 0.00124149 +2 *2478:la_output[70] 8.29983e-05 +3 *956:7 0.00261768 +4 *956:5 0.00145918 +5 *2472:la_data_out_mprj[70] *2472:la_iena_mprj[70] 0 +6 *956:7 *1213:10 0 +7 *2472:la_data_out_mprj[69] *956:7 0.000732828 +8 *698:5 *956:7 0.00224262 +9 *700:5 *2472:la_data_out_mprj[70] 0.000604798 +*RES +1 *2478:la_output[70] *956:5 2.05183 +2 *956:5 *956:7 58.0987 +3 *956:7 *2472:la_data_out_mprj[70] 48.5124 +*END + +*D_NET *957 0.00644579 +*CONN +*I *2472:la_data_out_mprj[71] I *D mgmt_protect +*I *2478:la_output[71] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[71] 0.00321001 +2 *2478:la_output[71] 1.28869e-05 +3 *957:5 0.0032229 +4 *2472:la_data_out_mprj[71] *2472:la_iena_mprj[71] 0 +5 *2472:la_data_out_mprj[71] *1341:7 0 +6 *701:5 *2472:la_data_out_mprj[71] 0 +*RES +1 *2478:la_output[71] *957:5 0.366399 +2 *957:5 *2472:la_data_out_mprj[71] 87.2885 +*END + +*D_NET *958 0.00826497 +*CONN +*I *2472:la_data_out_mprj[72] I *D mgmt_protect +*I *2478:la_output[72] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[72] 0.000994915 +2 *2478:la_output[72] 8.30061e-05 +3 *958:7 0.00321955 +4 *958:5 0.00230764 +5 *2472:la_data_out_mprj[72] *2472:la_iena_mprj[72] 0 +6 *958:7 *2472:la_iena_mprj[73] 0 +7 *958:7 *2472:la_oenb_mprj[72] 0 +8 *958:7 *1215:7 0 +9 *702:9 *2472:la_data_out_mprj[72] 0.00165986 +*RES +1 *2478:la_output[72] *958:5 2.05183 +2 *958:5 *958:7 60.5902 +3 *958:7 *2472:la_data_out_mprj[72] 45.4663 +*END + +*D_NET *959 0.00981132 +*CONN +*I *2472:la_data_out_mprj[73] I *D mgmt_protect +*I *2478:la_output[73] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[73] 0.0012074 +2 *2478:la_output[73] 8.30061e-05 +3 *959:7 0.00349716 +4 *959:5 0.00237277 +5 *2472:la_data_out_mprj[73] *2472:la_iena_mprj[73] 0 +6 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[72] 0 +7 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[73] 0.00109499 +8 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[74] 0.000194701 +9 *959:7 *2472:la_iena_mprj[75] 0.000313321 +10 *959:7 *2472:la_oenb_mprj[75] 0.000867253 +11 *959:7 *1216:7 0 +12 *959:7 *1343:7 0 +13 *703:10 *2472:la_data_out_mprj[73] 0.000180727 +*RES +1 *2478:la_output[73] *959:5 2.05183 +2 *959:5 *959:7 70.5562 +3 *959:7 *2472:la_data_out_mprj[73] 47.1469 +*END + +*D_NET *960 0.0113871 +*CONN +*I *2472:la_data_out_mprj[74] I *D mgmt_protect +*I *2478:la_output[74] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[74] 0.000830244 +2 *2478:la_output[74] 5.96331e-05 +3 *960:16 0.00164557 +4 *960:7 0.00292898 +5 *960:5 0.00217329 +6 *2472:la_data_out_mprj[74] *1216:13 0 +7 *960:7 *1217:7 0 +8 *960:7 *1344:7 0 +9 *960:16 *961:10 0.000262215 +10 *960:16 *1216:13 7.48293e-05 +11 *960:16 *1345:12 0.00096144 +12 *703:11 *2472:la_data_out_mprj[74] 0 +13 *704:7 *2472:la_data_out_mprj[74] 0.000585287 +14 *704:10 *960:16 0.000115035 +15 *704:11 *960:16 8.62625e-06 +16 *705:10 *960:16 0.00100354 +17 *706:8 *960:16 0.000738369 +*RES +1 *2478:la_output[74] *960:5 1.49002 +2 *960:5 *960:7 56.8529 +3 *960:7 *960:16 48.9458 +4 *960:16 *2472:la_data_out_mprj[74] 33.4455 +*END + +*D_NET *961 0.0149573 +*CONN +*I *2472:la_data_out_mprj[75] I *D mgmt_protect +*I *2478:la_output[75] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[75] 0.000982172 +2 *2478:la_output[75] 8.30061e-05 +3 *961:10 0.00139893 +4 *961:7 0.00254839 +5 *961:5 0.00221463 +6 *2472:la_data_out_mprj[75] *2472:la_iena_mprj[75] 0 +7 *2472:la_data_out_mprj[75] *1343:7 0.000393199 +8 *961:7 *1218:7 0 +9 *961:7 *1345:7 0 +10 *961:10 *1218:12 5.44727e-05 +11 *961:10 *1345:12 0.000163362 +12 *705:7 *2472:la_data_out_mprj[75] 0 +13 *705:10 *961:10 0.00325949 +14 *706:8 *961:10 0.00359748 +15 *960:16 *961:10 0.000262215 +*RES +1 *2478:la_output[75] *961:5 2.05183 +2 *961:5 *961:7 57.6834 +3 *961:7 *961:10 49.0371 +4 *961:10 *2472:la_data_out_mprj[75] 34.276 +*END + +*D_NET *962 0.0137851 +*CONN +*I *2472:la_data_out_mprj[76] I *D mgmt_protect +*I *2478:la_output[76] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[76] 0.000606152 +2 *2478:la_output[76] 8.30061e-05 +3 *962:10 0.00178041 +4 *962:9 0.00117426 +5 *962:7 0.00245081 +6 *962:5 0.00253381 +7 *2472:la_data_out_mprj[76] *2472:la_iena_mprj[76] 0 +8 *962:7 *2472:la_data_out_mprj[83] 0 +9 *962:7 *2472:la_iena_mprj[82] 0 +10 *962:7 *2472:la_oenb_mprj[82] 0.000123893 +11 *962:7 *1219:7 0 +12 *962:7 *1346:7 0 +13 *962:10 *968:10 0.00121409 +14 *962:10 *1219:10 0.00135533 +15 *962:10 *1223:10 0.00184718 +16 *962:10 *1346:10 0.000191201 +17 *706:7 *2472:la_data_out_mprj[76] 0.000424927 +*RES +1 *2478:la_output[76] *962:5 2.05183 +2 *962:5 *962:7 66.4037 +3 *962:7 *962:9 4.5 +4 *962:9 *962:10 56.1838 +5 *962:10 *2472:la_data_out_mprj[76] 25.5557 +*END + +*D_NET *963 0.0200641 +*CONN +*I *2472:la_data_out_mprj[77] I *D mgmt_protect +*I *2478:la_output[77] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[77] 0.00090994 +2 *2478:la_output[77] 8.30061e-05 +3 *963:10 0.00152402 +4 *963:9 0.000614082 +5 *963:7 0.00178722 +6 *963:5 0.00187023 +7 *2472:la_data_out_mprj[77] *2472:la_iena_mprj[77] 0 +8 *2472:la_data_out_mprj[77] *1344:7 0.000220041 +9 *963:7 *2472:la_data_out_mprj[85] 0.00108437 +10 *963:7 *2472:la_oenb_mprj[84] 0 +11 *963:7 *1347:7 0 +12 *963:10 *964:10 0.000194701 +13 *963:10 *1346:10 0 +14 *963:10 *1347:10 0.00553023 +15 *707:7 *2472:la_data_out_mprj[77] 0 +16 *707:8 *963:10 0.00547712 +17 *713:8 *963:10 0.000396946 +18 *716:7 *963:7 0.000372142 +*RES +1 *2478:la_output[77] *963:5 2.05183 +2 *963:5 *963:7 60.5902 +3 *963:7 *963:9 4.5 +4 *963:9 *963:10 67.8304 +5 *963:10 *2472:la_data_out_mprj[77] 31.3693 +*END + +*D_NET *964 0.0214079 +*CONN +*I *2472:la_data_out_mprj[78] I *D mgmt_protect +*I *2478:la_output[78] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[78] 0.000841283 +2 *2478:la_output[78] 1.28869e-05 +3 *964:10 0.00187637 +4 *964:9 0.00103508 +5 *964:7 0.00236981 +6 *964:5 0.0023827 +7 *2472:la_data_out_mprj[78] *2472:la_iena_mprj[78] 0 +8 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[77] 0 +9 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[78] 0 +10 *964:7 *2472:la_iena_mprj[87] 0 +11 *964:7 *1221:7 0 +12 *964:10 *965:10 0.00687388 +13 *964:10 *1346:10 0 +14 *964:10 *1347:10 0.00515848 +15 *708:7 *2472:la_data_out_mprj[78] 0.000328102 +16 *713:8 *964:10 0.000334651 +17 *719:7 *964:7 0 +18 *963:10 *964:10 0.000194701 +*RES +1 *2478:la_output[78] *964:5 0.366399 +2 *964:5 *964:7 61.4207 +3 *964:7 *964:9 4.5 +4 *964:9 *964:10 82.2501 +5 *964:10 *2472:la_data_out_mprj[78] 30.5388 +*END + +*D_NET *965 0.0201849 +*CONN +*I *2472:la_data_out_mprj[79] I *D mgmt_protect +*I *2478:la_output[79] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[79] 0.000987879 +2 *2478:la_output[79] 8.30061e-05 +3 *965:10 0.0023547 +4 *965:9 0.00136682 +5 *965:7 0.00242365 +6 *965:5 0.00250666 +7 *2472:la_data_out_mprj[79] *2472:la_iena_mprj[79] 0 +8 *2472:la_data_out_mprj[79] *2472:la_oenb_mprj[79] 0 +9 *965:7 *2472:la_data_out_mprj[90] 0 +10 *965:7 *2472:la_iena_mprj[89] 0 +11 *965:7 *1223:7 0 +12 *965:7 *1349:7 0 +13 *965:10 *1346:10 0 +14 *965:10 *1349:10 0.00333337 +15 *965:10 *1357:16 9.41997e-05 +16 *965:10 *1360:16 6.50586e-05 +17 *709:7 *2472:la_data_out_mprj[79] 0 +18 *713:8 *965:10 9.39152e-05 +19 *722:7 *965:7 1.77537e-06 +20 *964:10 *965:10 0.00687388 +*RES +1 *2478:la_output[79] *965:5 2.05183 +2 *965:5 *965:7 61.8359 +3 *965:7 *965:9 4.5 +4 *965:9 *965:10 91.1238 +5 *965:10 *2472:la_data_out_mprj[79] 30.1235 +*END + +*D_NET *966 0.167351 +*CONN +*I *2472:la_data_out_mprj[7] I *D mgmt_protect +*I *2478:la_output[7] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[7] 0.00152746 +2 *2478:la_output[7] 8.29983e-05 +3 *966:16 0.00245813 +4 *966:15 0.00132745 +5 *966:10 0.00442284 +6 *966:9 0.00402606 +7 *966:7 0.00257726 +8 *966:5 0.00266026 +9 *2472:la_data_out_mprj[7] *2472:la_iena_mprj[7] 0 +10 *966:7 *1233:9 0 +11 *966:7 *1350:7 0 +12 *966:10 *1178:10 0.00940448 +13 *966:10 *1200:10 0.0662309 +14 *966:16 *1267:18 0.000245416 +15 *966:16 *1308:16 0.00497069 +16 *2472:la_data_out_mprj[1] *966:15 6.41995e-05 +17 *644:7 *966:15 0.00037536 +18 *652:8 *966:16 0.000746177 +19 *710:7 *2472:la_data_out_mprj[7] 0 +20 *927:7 *2472:la_data_out_mprj[7] 0 +21 *944:10 *966:10 0.0662309 +*RES +1 *2478:la_output[7] *966:5 2.05183 +2 *966:5 *966:7 64.3275 +3 *966:7 *966:9 4.5 +4 *966:9 *966:10 693.423 +5 *966:10 *966:15 17.476 +6 *966:15 *966:16 53.4107 +7 *966:16 *2472:la_data_out_mprj[7] 35.937 +*END + +*D_NET *967 0.0266609 +*CONN +*I *2472:la_data_out_mprj[80] I *D mgmt_protect +*I *2478:la_output[80] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[80] 0.000556073 +2 *2478:la_output[80] 5.96331e-05 +3 *967:10 0.00178311 +4 *967:9 0.00122703 +5 *967:7 0.00211622 +6 *967:5 0.00217585 +7 *2472:la_data_out_mprj[80] *2472:la_iena_mprj[80] 0 +8 *967:7 *2472:la_data_out_mprj[92] 0.002059 +9 *967:7 *2472:la_iena_mprj[92] 0.00057675 +10 *967:7 *1224:7 0 +11 *967:7 *1351:7 0 +12 *967:10 *1221:10 0.00627414 +13 *967:10 *1224:10 0.00144135 +14 *967:10 *1351:10 0.00102027 +15 *967:10 *1352:10 7.2143e-05 +16 *709:8 *967:10 0.00673697 +17 *711:7 *2472:la_data_out_mprj[80] 0.000348619 +18 *724:8 *967:10 0.000213725 +*RES +1 *2478:la_output[80] *967:5 1.49002 +2 *967:5 *967:7 69.3105 +3 *967:7 *967:9 4.5 +4 *967:9 *967:10 103.88 +5 *967:10 *2472:la_data_out_mprj[80] 22.649 +*END + +*D_NET *968 0.0251709 +*CONN +*I *2472:la_data_out_mprj[81] I *D mgmt_protect +*I *2478:la_output[81] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[81] 0.000826339 +2 *2478:la_output[81] 8.30061e-05 +3 *968:10 0.00261696 +4 *968:9 0.00179062 +5 *968:7 0.00211337 +6 *968:5 0.00219638 +7 *2472:la_data_out_mprj[81] *2472:la_iena_mprj[81] 0 +8 *2472:la_data_out_mprj[81] *2472:la_oenb_mprj[81] 0 +9 *968:7 *2472:la_data_out_mprj[94] 0 +10 *968:7 *2472:la_iena_mprj[94] 0.00180361 +11 *968:7 *2472:la_oenb_mprj[94] 0.000571834 +12 *968:7 *1225:7 0 +13 *968:7 *1352:7 0 +14 *968:10 *969:10 0.000768046 +15 *968:10 *1219:10 0.00172162 +16 *968:10 *1223:10 0.00260196 +17 *968:10 *1358:20 0.00538192 +18 *712:7 *2472:la_data_out_mprj[81] 0 +19 *712:8 *968:10 0.0014812 +20 *727:5 *968:7 0 +21 *962:10 *968:10 0.00121409 +*RES +1 *2478:la_output[81] *968:5 2.05183 +2 *968:5 *968:7 66.819 +3 *968:7 *968:9 4.5 +4 *968:9 *968:10 114.417 +5 *968:10 *2472:la_data_out_mprj[81] 25.1405 +*END + +*D_NET *969 0.0301687 +*CONN +*I *2472:la_data_out_mprj[82] I *D mgmt_protect +*I *2478:la_output[82] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[82] 0.000740972 +2 *2478:la_output[82] 8.30061e-05 +3 *969:10 0.00255265 +4 *969:9 0.00181168 +5 *969:7 0.0024664 +6 *969:5 0.00254941 +7 *2472:la_data_out_mprj[82] *2472:la_iena_mprj[82] 0 +8 *969:7 *2472:la_data_out_mprj[97] 0 +9 *969:7 *2472:la_oenb_mprj[96] 0.000681256 +10 *969:7 *1226:7 0 +11 *969:7 *1353:7 0 +12 *969:10 *1223:10 0.00651389 +13 *969:10 *1226:10 9.16785e-05 +14 *969:10 *1349:10 0 +15 *969:10 *1354:10 0.0104549 +16 *969:10 *1358:16 0.000785032 +17 *969:10 *1358:20 0.000190399 +18 *713:7 *2472:la_data_out_mprj[82] 0.000382795 +19 *714:8 *969:10 9.66259e-05 +20 *968:10 *969:10 0.000768046 +*RES +1 *2478:la_output[82] *969:5 2.05183 +2 *969:5 *969:7 65.5732 +3 *969:7 *969:9 4.5 +4 *969:9 *969:10 126.618 +5 *969:10 *2472:la_data_out_mprj[82] 26.3862 +*END + +*D_NET *970 0.0274966 +*CONN +*I *2472:la_data_out_mprj[83] I *D mgmt_protect +*I *2478:la_output[83] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[83] 0.00186873 +2 *2478:la_output[83] 0.00124256 +3 *970:12 0.00186873 +4 *970:10 0.00295492 +5 *970:9 0.00419748 +6 *2472:la_data_out_mprj[83] *2472:la_iena_mprj[83] 0 +7 *2472:la_data_out_mprj[83] *2472:la_oenb_mprj[82] 0 +8 *2472:la_data_out_mprj[83] *1219:7 0.00107187 +9 *970:9 *2472:la_iena_mprj[99] 0.000157445 +10 *970:9 *1227:7 0 +11 *970:10 *971:10 0.0122237 +12 *970:10 *981:10 0 +13 *970:10 *982:10 0 +14 *970:10 *983:16 0.00116215 +15 *970:10 *1242:10 0.000749011 +16 *714:7 *2472:la_data_out_mprj[83] 0 +17 *727:8 *970:10 0 +18 *962:7 *2472:la_data_out_mprj[83] 0 +*RES +1 *2478:la_output[83] *970:9 35.2897 +2 *970:9 *970:10 138.82 +3 *970:10 *970:12 4.5 +4 *970:12 *2472:la_data_out_mprj[83] 57.5979 +*END + +*D_NET *971 0.0334429 +*CONN +*I *2472:la_data_out_mprj[84] I *D mgmt_protect +*I *2478:la_output[84] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[84] 0.00209282 +2 *2478:la_output[84] 0.00131668 +3 *971:12 0.00209282 +4 *971:10 0.00199815 +5 *971:9 0.00331482 +6 *2472:la_data_out_mprj[84] *2472:la_iena_mprj[84] 0 +7 *2472:la_data_out_mprj[84] *2472:la_oenb_mprj[84] 0 +8 *2472:la_data_out_mprj[84] *1347:7 0 +9 *971:9 *2472:la_oenb_mprj[101] 0.00010835 +10 *971:9 *1228:9 0 +11 *971:9 *1355:9 0 +12 *971:10 *973:10 0 +13 *971:10 *974:10 0 +14 *971:10 *975:10 0.000169545 +15 *971:10 *976:10 0.000153129 +16 *971:10 *978:10 0.000455401 +17 *971:10 *1235:10 0.00821673 +18 *971:10 *1242:10 0.000792362 +19 *971:10 *1246:12 0.00010238 +20 *707:11 *2472:la_data_out_mprj[84] 0 +21 *715:7 *2472:la_data_out_mprj[84] 0.00040604 +22 *970:10 *971:10 0.0122237 +*RES +1 *2478:la_output[84] *971:9 35.9981 +2 *971:9 *971:10 149.912 +3 *971:10 *971:12 4.5 +4 *971:12 *2472:la_data_out_mprj[84] 58.0132 +*END + +*D_NET *972 0.0387956 +*CONN +*I *2472:la_data_out_mprj[85] I *D mgmt_protect +*I *2478:la_output[85] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[85] 0.00209716 +2 *2478:la_output[85] 0.00104969 +3 *972:12 0.00209716 +4 *972:10 0.00129945 +5 *972:9 0.00234913 +6 *2472:la_data_out_mprj[85] *2472:la_iena_mprj[85] 0 +7 *2472:la_data_out_mprj[85] *1220:7 0 +8 *972:9 *1229:9 0 +9 *972:9 *1356:9 0 +10 *972:10 *973:10 0.000135103 +11 *972:10 *1228:10 0.013567 +12 *972:10 *1229:10 0.0142532 +13 *972:10 *1355:10 0.000443728 +14 *972:10 *1356:10 0.00041958 +15 *716:7 *2472:la_data_out_mprj[85] 0 +16 *963:7 *2472:la_data_out_mprj[85] 0.00108437 +*RES +1 *2478:la_output[85] *972:9 30.5998 +2 *972:9 *972:10 161.558 +3 *972:10 *972:12 4.5 +4 *972:12 *2472:la_data_out_mprj[85] 63.4115 +*END + +*D_NET *973 0.0418737 +*CONN +*I *2472:la_data_out_mprj[86] I *D mgmt_protect +*I *2478:la_output[86] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[86] 0.00149021 +2 *2478:la_output[86] 0.00110953 +3 *973:12 0.00149021 +4 *973:10 0.00164897 +5 *973:9 0.00275849 +6 *2472:la_data_out_mprj[86] *2472:la_iena_mprj[86] 0 +7 *2472:la_data_out_mprj[86] *1220:7 0 +8 *973:9 *1357:9 0 +9 *973:9 *1368:15 0 +10 *973:10 *974:10 5.65165e-05 +11 *973:10 *1229:10 8.47539e-05 +12 *973:10 *1230:10 0.000347135 +13 *973:10 *1231:10 0.01451 +14 *973:10 *1247:16 2.35161e-05 +15 *973:10 *1356:10 0.0139388 +16 *973:10 *1360:10 0.000116943 +17 *973:10 *1362:10 0.000232907 +18 *973:10 *1371:12 0.000477891 +19 *612:5 *973:9 0 +20 *613:5 *973:9 0 +21 *708:11 *2472:la_data_out_mprj[86] 0.00273113 +22 *717:7 *2472:la_data_out_mprj[86] 0.000721622 +23 *971:10 *973:10 0 +24 *972:10 *973:10 0.000135103 +*RES +1 *2478:la_output[86] *973:9 31.8456 +2 *973:9 *973:10 173.205 +3 *973:10 *973:12 4.5 +4 *973:12 *2472:la_data_out_mprj[86] 62.1657 +*END + +*D_NET *974 0.043543 +*CONN +*I *2472:la_data_out_mprj[87] I *D mgmt_protect +*I *2478:la_output[87] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[87] 0.00236927 +2 *2478:la_output[87] 0.0011974 +3 *974:12 0.00236927 +4 *974:10 0.00164472 +5 *974:9 0.00284212 +6 *2472:la_data_out_mprj[87] *2472:la_iena_mprj[87] 0 +7 *2472:la_data_out_mprj[87] *1348:7 0 +8 *974:9 *1231:9 0 +9 *974:9 *1243:15 0 +10 *974:9 *1358:9 0 +11 *974:10 *975:10 0.000264018 +12 *974:10 *1230:10 0.0159075 +13 *974:10 *1231:10 1.41853e-05 +14 *974:10 *1232:10 0.000347135 +15 *974:10 *1234:10 0.0148029 +16 *974:10 *1367:10 0.0012501 +17 *974:10 *1371:12 0.000477891 +18 *615:5 *974:9 0 +19 *718:7 *2472:la_data_out_mprj[87] 0 +20 *971:10 *974:10 0 +21 *973:10 *974:10 5.65165e-05 +*RES +1 *2478:la_output[87] *974:9 33.0913 +2 *974:9 *974:10 184.852 +3 *974:10 *974:12 4.5 +4 *974:12 *2472:la_data_out_mprj[87] 60.9199 +*END + +*D_NET *975 0.046974 +*CONN +*I *2472:la_data_out_mprj[88] I *D mgmt_protect +*I *2478:la_output[88] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[88] 0.00179171 +2 *2478:la_output[88] 0.00116825 +3 *975:12 0.00179171 +4 *975:10 0.00169349 +5 *975:9 0.00286174 +6 *2472:la_data_out_mprj[88] *2472:la_iena_mprj[88] 0 +7 *2472:la_data_out_mprj[88] *1221:7 0.00115103 +8 *975:9 *1232:9 0 +9 *975:9 *1359:9 0 +10 *975:10 *976:10 0.0174806 +11 *975:10 *1232:10 0.0174362 +12 *975:10 *1248:10 0.000370172 +13 *618:5 *975:9 0.000447014 +14 *719:7 *2472:la_data_out_mprj[88] 0.000348489 +15 *971:10 *975:10 0.000169545 +16 *974:10 *975:10 0.000264018 +*RES +1 *2478:la_output[88] *975:9 34.3371 +2 *975:9 *975:10 196.498 +3 *975:10 *975:12 4.5 +4 *975:12 *2472:la_data_out_mprj[88] 59.6742 +*END + +*D_NET *976 0.0502961 +*CONN +*I *2472:la_data_out_mprj[89] I *D mgmt_protect +*I *2478:la_output[89] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[89] 6.22868e-05 +2 *2478:la_output[89] 0.00129385 +3 *976:13 0.00129147 +4 *976:12 0.00122919 +5 *976:10 0.00176155 +6 *976:9 0.00305541 +7 *976:9 *1234:9 0 +8 *976:9 *1360:9 0 +9 *976:10 *978:10 0.0188019 +10 *976:10 *1232:10 0.00020979 +11 *976:10 *1234:10 0.000370863 +12 *976:10 *1248:10 0.000354801 +13 *976:13 *2472:la_iena_mprj[89] 0.00354533 +14 *976:13 *1349:7 0.000685962 +15 *620:5 *976:9 0 +16 *720:7 *976:13 0 +17 *971:10 *976:10 0.000153129 +18 *975:10 *976:10 0.0174806 +*RES +1 *2478:la_output[89] *976:9 34.7523 +2 *976:9 *976:10 206.481 +3 *976:10 *976:12 4.5 +4 *976:12 *976:13 59.3444 +5 *976:13 *2472:la_data_out_mprj[89] 1.77093 +*END + +*D_NET *977 0.157922 +*CONN +*I *2472:la_data_out_mprj[8] I *D mgmt_protect +*I *2478:la_output[8] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[8] 0.000868648 +2 *2478:la_output[8] 0.0013761 +3 *977:18 0.00129642 +4 *977:15 0.00174522 +5 *977:10 0.00647741 +6 *977:9 0.00653607 +7 *2472:la_data_out_mprj[8] *2472:la_iena_mprj[8] 0 +8 *977:9 *1244:7 0 +9 *977:9 *1361:9 0 +10 *977:10 *988:10 0.0646847 +11 *977:10 *1139:10 0.0622698 +12 *977:10 *1317:10 0.00407177 +13 *977:10 *1361:10 0.00083916 +14 *977:15 *2472:la_iena_mprj[4] 0.00143699 +15 *977:15 *2472:la_oenb_mprj[4] 5.66868e-06 +16 *977:15 *1310:7 0.000492326 +17 *977:18 *1182:12 4.0752e-05 +18 *977:18 *1200:16 0.000795688 +19 *977:18 *1280:10 0.000436805 +20 *977:18 *1310:12 0.00286842 +21 *677:14 *977:10 0.00110908 +22 *721:7 *2472:la_data_out_mprj[8] 0.000387405 +23 *927:10 *977:18 0.000183145 +*RES +1 *2478:la_output[8] *977:9 38.4896 +2 *977:9 *977:10 702.852 +3 *977:10 *977:15 41.1454 +4 *977:15 *977:18 36.2812 +5 *977:18 *2472:la_data_out_mprj[8] 23.5472 +*END + +*D_NET *978 0.0510477 +*CONN +*I *2472:la_data_out_mprj[90] I *D mgmt_protect +*I *2478:la_output[90] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[90] 0.00181944 +2 *2478:la_output[90] 0.00123679 +3 *978:12 0.00181944 +4 *978:10 0.00192555 +5 *978:9 0.00316235 +6 *2472:la_data_out_mprj[90] *2472:la_iena_mprj[90] 0 +7 *2472:la_data_out_mprj[90] *1223:7 0.000708286 +8 *978:9 *1235:9 0 +9 *978:9 *1262:13 0.000159106 +10 *978:9 *1362:9 0 +11 *978:10 *1234:10 8.47539e-05 +12 *978:10 *1235:10 0.019658 +13 *978:10 *1246:12 0.000679362 +14 *978:10 *1369:10 9.12806e-05 +15 *722:7 *2472:la_data_out_mprj[90] 0.000446095 +16 *965:7 *2472:la_data_out_mprj[90] 0 +17 *971:10 *978:10 0.000455401 +18 *976:10 *978:10 0.0188019 +*RES +1 *2478:la_output[90] *978:9 35.1676 +2 *978:9 *978:10 219.792 +3 *978:10 *978:12 4.5 +4 *978:12 *2472:la_data_out_mprj[90] 58.8437 +*END + +*D_NET *979 0.0532666 +*CONN +*I *2472:la_data_out_mprj[91] I *D mgmt_protect +*I *2478:la_output[91] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[91] 0.00198512 +2 *2478:la_output[91] 0.00180053 +3 *979:12 0.00198512 +4 *979:10 0.00222622 +5 *979:9 0.00402676 +6 *2472:la_data_out_mprj[91] *2472:la_iena_mprj[91] 0 +7 *2472:la_data_out_mprj[91] *2472:la_oenb_mprj[90] 0 +8 *979:9 *2472:la_oenb_mprj[117] 0 +9 *979:9 *1363:9 0 +10 *979:10 *980:10 0 +11 *979:10 *1236:10 0.0207255 +12 *979:10 *1364:10 0.0205173 +13 *711:11 *2472:la_data_out_mprj[91] 0 +14 *723:7 *2472:la_data_out_mprj[91] 0 +*RES +1 *2478:la_output[91] *979:9 43.8879 +2 *979:9 *979:10 231.438 +3 *979:10 *979:12 4.5 +4 *979:12 *2472:la_data_out_mprj[91] 50.1234 +*END + +*D_NET *980 0.0558733 +*CONN +*I *2472:la_data_out_mprj[92] I *D mgmt_protect +*I *2478:la_output[92] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[92] 0.00147513 +2 *2478:la_output[92] 0.00160474 +3 *980:12 0.00147513 +4 *980:10 0.00228544 +5 *980:9 0.00389018 +6 *2472:la_data_out_mprj[92] *2472:la_iena_mprj[92] 0 +7 *2472:la_data_out_mprj[92] *2472:la_oenb_mprj[91] 0 +8 *2472:la_data_out_mprj[92] *1351:7 0 +9 *980:9 *1237:9 0 +10 *980:10 *981:10 0.000520318 +11 *980:10 *985:12 0.000124104 +12 *980:10 *1236:10 0 +13 *980:10 *1237:10 0.00068824 +14 *980:10 *1239:10 0.0203758 +15 *980:10 *1366:10 0.0209895 +16 *724:7 *2472:la_data_out_mprj[92] 0.000358915 +17 *725:8 *980:10 2.68003e-05 +18 *967:7 *2472:la_data_out_mprj[92] 0.002059 +19 *979:10 *980:10 0 +*RES +1 *2478:la_output[92] *980:9 39.8575 +2 *980:9 *980:10 244.749 +3 *980:10 *980:12 4.5 +4 *980:12 *2472:la_data_out_mprj[92] 53.0301 +*END + +*D_NET *981 0.0587385 +*CONN +*I *2472:la_data_out_mprj[93] I *D mgmt_protect +*I *2478:la_output[93] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[93] 0.00214147 +2 *2478:la_output[93] 0.00141838 +3 *981:12 0.00214147 +4 *981:10 0.00211786 +5 *981:9 0.00353624 +6 *2472:la_data_out_mprj[93] *2472:la_iena_mprj[93] 0 +7 *2472:la_data_out_mprj[93] *1224:7 0 +8 *981:9 *2472:la_oenb_mprj[122] 0.000207371 +9 *981:9 *1238:9 0 +10 *981:9 *1365:9 0 +11 *981:10 *982:10 0.0231814 +12 *981:10 *985:12 0.000359698 +13 *981:10 *1366:10 0.0227672 +14 *631:5 *981:9 0.000347135 +15 *712:11 *2472:la_data_out_mprj[93] 0 +16 *725:5 *2472:la_data_out_mprj[93] 0 +17 *970:10 *981:10 0 +18 *980:10 *981:10 0.000520318 +*RES +1 *2478:la_output[93] *981:9 40.1506 +2 *981:9 *981:10 254.732 +3 *981:10 *981:12 4.5 +4 *981:12 *2472:la_data_out_mprj[93] 53.8607 +*END + +*D_NET *982 0.0624201 +*CONN +*I *2472:la_data_out_mprj[94] I *D mgmt_protect +*I *2478:la_output[94] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[94] 0.00176969 +2 *2478:la_output[94] 0.00160667 +3 *982:12 0.00176969 +4 *982:10 0.00216396 +5 *982:9 0.00377063 +6 *2472:la_data_out_mprj[94] *2472:la_iena_mprj[94] 0 +7 *2472:la_data_out_mprj[94] *1352:7 0 +8 *982:9 *2472:la_oenb_mprj[124] 0 +9 *982:9 *1239:9 0 +10 *982:9 *1366:9 0 +11 *982:10 *985:12 0.00113676 +12 *982:10 *1121:10 0.00132029 +13 *982:10 *1366:10 0.000264727 +14 *726:5 *2472:la_data_out_mprj[94] 0.000953098 +15 *727:8 *982:10 0.0244833 +16 *968:7 *2472:la_data_out_mprj[94] 0 +17 *970:10 *982:10 0 +18 *981:10 *982:10 0.0231814 +*RES +1 *2478:la_output[94] *982:9 39.7354 +2 *982:9 *982:10 266.933 +3 *982:10 *982:12 4.5 +4 *982:12 *2472:la_data_out_mprj[94] 54.2759 +*END + +*D_NET *983 0.0608171 +*CONN +*I *2472:la_data_out_mprj[95] I *D mgmt_protect +*I *2478:la_output[95] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[95] 0.00205186 +2 *2478:la_output[95] 0.0014498 +3 *983:18 0.00205186 +4 *983:16 0.000857445 +5 *983:15 0.000950982 +6 *983:10 0.00169008 +7 *983:9 0.00304635 +8 *2472:la_data_out_mprj[95] *2472:la_iena_mprj[95] 0 +9 *2472:la_data_out_mprj[95] *1225:7 0.000654353 +10 *983:9 *1367:9 0 +11 *983:10 *987:12 0.00104263 +12 *983:10 *1241:10 0.000124736 +13 *983:10 *1242:10 0.020474 +14 *983:10 *1357:12 0.00272839 +15 *983:10 *1368:10 0.017454 +16 *983:16 *984:10 3.42853e-05 +17 *983:16 *987:12 0.000108607 +18 *983:16 *1240:10 0.000249889 +19 *983:16 *1241:10 0.00160718 +20 *983:16 *1242:10 0.00302774 +21 *713:11 *2472:la_data_out_mprj[95] 0 +22 *727:5 *2472:la_data_out_mprj[95] 0 +23 *727:8 *983:16 5.07452e-05 +24 *970:10 *983:16 0.00116215 +*RES +1 *2478:la_output[95] *983:9 37.2439 +2 *983:9 *983:10 217.019 +3 *983:10 *983:15 10.2946 +4 *983:15 *983:16 60.066 +5 *983:16 *983:18 4.5 +6 *983:18 *2472:la_data_out_mprj[95] 56.7674 +*END + +*D_NET *984 0.0671247 +*CONN +*I *2472:la_data_out_mprj[96] I *D mgmt_protect +*I *2478:la_output[96] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[96] 0.00181804 +2 *2478:la_output[96] 0.00145014 +3 *984:12 0.00181804 +4 *984:10 0.00230494 +5 *984:9 0.00375508 +6 *2472:la_data_out_mprj[96] *2472:la_iena_mprj[96] 0 +7 *2472:la_data_out_mprj[96] *2472:la_oenb_mprj[95] 0 +8 *2472:la_data_out_mprj[96] *1353:7 0.000704506 +9 *984:9 *2472:mprj_adr_o_core[1] 0.000428463 +10 *984:9 *1241:9 0 +11 *984:9 *1368:9 0 +12 *984:10 *986:12 0.00124066 +13 *984:10 *1121:10 0.000205493 +14 *984:10 *1240:10 0.0258769 +15 *984:10 *1241:10 0.00054182 +16 *713:11 *2472:la_data_out_mprj[96] 0 +17 *727:8 *984:10 0.0244962 +18 *728:7 *2472:la_data_out_mprj[96] 0.000399232 +19 *730:11 *984:9 0 +20 *862:10 *984:10 0.00205091 +21 *983:16 *984:10 3.42853e-05 +*RES +1 *2478:la_output[96] *984:9 38.9049 +2 *984:9 *984:10 290.226 +3 *984:10 *984:12 4.5 +4 *984:12 *2472:la_data_out_mprj[96] 55.1064 +*END + +*D_NET *985 0.0733089 +*CONN +*I *2472:la_data_out_mprj[97] I *D mgmt_protect +*I *2478:la_output[97] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[97] 0.00221809 +2 *2478:la_output[97] 0.00150795 +3 *985:12 0.0170814 +4 *985:9 0.0163713 +5 *2472:la_data_out_mprj[97] *2472:la_oenb_mprj[96] 0 +6 *2472:la_data_out_mprj[97] *1226:7 0 +7 *2472:la_data_out_mprj[97] *1241:13 0 +8 *985:9 *1242:9 0 +9 *985:9 *1674:5 8.05899e-05 +10 *985:12 *986:12 0.0317034 +11 *985:12 *1121:10 0.000135066 +12 *985:12 *1237:10 0.000117868 +13 *985:12 *1239:10 0.000118356 +14 *985:12 *1366:10 0.000235008 +15 *985:12 *1647:10 0 +16 *985:12 *1724:10 2.6242e-05 +17 *985:12 *2184:16 0.000281841 +18 *726:8 *985:12 0.000257169 +19 *727:8 *985:12 0.00123647 +20 *729:7 *2472:la_data_out_mprj[97] 0 +21 *862:10 *985:12 0.00020476 +22 *864:10 *985:12 0.00011282 +23 *969:7 *2472:la_data_out_mprj[97] 0 +24 *980:10 *985:12 0.000124104 +25 *981:10 *985:12 0.000359698 +26 *982:10 *985:12 0.00113676 +*RES +1 *2478:la_output[97] *985:9 36.8577 +2 *985:9 *985:12 45.1058 +3 *985:12 *2472:la_data_out_mprj[97] 54.8988 +*END + +*D_NET *986 0.0857448 +*CONN +*I *2472:la_data_out_mprj[98] I *D mgmt_protect +*I *2478:la_output[98] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[98] 0.00181958 +2 *2478:la_output[98] 0.00152974 +3 *986:12 0.00645806 +4 *986:9 0.00616822 +5 *2472:la_data_out_mprj[98] *2472:la_iena_mprj[98] 0 +6 *2472:la_data_out_mprj[98] *2472:la_oenb_mprj[97] 0 +7 *986:9 *2472:mprj_adr_o_core[6] 5.55772e-05 +8 *986:9 *1243:9 0 +9 *986:9 *1370:9 0 +10 *986:12 *987:12 0.000165657 +11 *986:12 *1240:10 0.001457 +12 *986:12 *1370:12 0.0329032 +13 *986:12 *1738:10 4.61116e-05 +14 *986:12 *2184:16 1.9628e-06 +15 *986:12 *2186:24 0.000753182 +16 *607:14 *986:12 0.000212637 +17 *714:11 *2472:la_data_out_mprj[98] 0.000839472 +18 *730:7 *2472:la_data_out_mprj[98] 0.000390306 +19 *984:10 *986:12 0.00124066 +20 *985:12 *986:12 0.0317034 +*RES +1 *2478:la_output[98] *986:9 37.1508 +2 *986:9 *986:12 46.6356 +3 *986:12 *2472:la_data_out_mprj[98] 55.7293 +*END + +*D_NET *987 0.0882195 +*CONN +*I *2472:la_data_out_mprj[99] I *D mgmt_protect +*I *2478:la_output[99] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[99] 0.00236762 +2 *2478:la_output[99] 0.00144142 +3 *987:12 0.0078024 +4 *987:9 0.0068762 +5 *2472:la_data_out_mprj[99] *2472:la_iena_mprj[99] 0 +6 *2472:la_data_out_mprj[99] *2472:la_oenb_mprj[98] 0 +7 *987:9 *1118:9 0 +8 *987:9 *1371:9 0 +9 *987:9 *1680:5 0.000117507 +10 *987:12 *1118:12 1.15279e-05 +11 *987:12 *1242:10 0.00145699 +12 *987:12 *1246:12 0.0336534 +13 *987:12 *1370:12 0.0324049 +14 *987:12 *1371:12 9.74681e-05 +15 *987:12 *1742:30 0.000293424 +16 *987:12 *2186:24 0.000177027 +17 *731:7 *2472:la_data_out_mprj[99] 0 +18 *863:10 *987:12 0.00020273 +19 *983:10 *987:12 0.00104263 +20 *983:16 *987:12 0.000108607 +21 *986:12 *987:12 0.000165657 +*RES +1 *2478:la_output[99] *987:9 35.4898 +2 *987:9 *987:12 48.2419 +3 *987:12 *2472:la_data_out_mprj[99] 57.3903 +*END + +*D_NET *988 0.156616 +*CONN +*I *2472:la_data_out_mprj[9] I *D mgmt_protect +*I *2478:la_output[9] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[9] 0.00286838 +2 *2478:la_output[9] 0.00135421 +3 *988:12 0.00286838 +4 *988:10 0.00519267 +5 *988:9 0.00654688 +6 *2472:la_data_out_mprj[9] *2472:la_iena_mprj[9] 0 +7 *2472:la_data_out_mprj[9] *2472:la_oenb_mprj[8] 0 +8 *988:9 *1128:7 0 +9 *988:9 *1372:7 0 +10 *988:10 *1139:10 0.000750112 +11 *988:10 *1155:10 0.000391594 +12 *988:10 *1295:10 0.0036203 +13 *988:10 *1311:10 0.000106845 +14 *988:10 *1339:10 0.000125085 +15 *988:10 *1361:10 0.0681067 +16 *2478:la_input[45] *2472:la_data_out_mprj[9] 0 +17 *732:7 *2472:la_data_out_mprj[9] 0 +18 *977:10 *988:10 0.0646847 +*RES +1 *2478:la_output[9] *988:9 38.0744 +2 *988:9 *988:10 723.372 +3 *988:10 *988:12 4.5 +4 *988:12 *2472:la_data_out_mprj[9] 55.9369 +*END + +*D_NET *989 0.0644775 +*CONN +*I *2472:la_data_out_core[0] I *D mgmt_protect +*I *2473:la_data_out[0] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[0] 0.000844933 +2 *2473:la_data_out[0] 0.00304826 +3 *989:8 0.00359659 +4 *989:7 0.00275166 +5 *989:5 0.00304826 +6 *2472:la_data_out_core[0] *1373:7 0 +7 *989:5 *1645:9 0.000158695 +8 *989:5 *2182:7 0 +9 *989:8 *1620:8 0.0126035 +10 *989:8 *1705:8 0.0260698 +11 *989:8 *1745:8 0.000848219 +12 *733:7 *2472:la_data_out_core[0] 0 +13 *849:8 *989:8 0.0115076 +*RES +1 *2473:la_data_out[0] *989:5 61.3352 +2 *989:5 *989:7 4.5 +3 *989:7 *989:8 286.899 +4 *989:8 *2472:la_data_out_core[0] 27.2167 +*END + +*D_NET *990 0.227557 +*CONN +*I *2472:la_data_out_core[100] I *D mgmt_protect +*I *2473:la_data_out[100] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[100] 0.000863491 +2 *2473:la_data_out[100] 0.00290171 +3 *990:8 0.00751116 +4 *990:7 0.00664767 +5 *990:5 0.00290171 +6 *2472:la_data_out_core[100] *1374:7 0 +7 *990:8 *1001:8 0.003445 +8 *990:8 *1009:14 0.0051355 +9 *990:8 *1017:14 0.00021583 +10 *734:7 *2472:la_data_out_core[100] 0 +11 *734:8 *990:8 0.0988812 +12 *735:8 *990:8 0.0990534 +*RES +1 *2473:la_data_out[100] *990:5 64.6572 +2 *990:5 *990:7 4.5 +3 *990:7 *990:8 1045.6 +4 *990:8 *2472:la_data_out_core[100] 23.8947 +*END + +*D_NET *991 0.221776 +*CONN +*I *2472:la_data_out_core[101] I *D mgmt_protect +*I *2473:la_data_out[101] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[101] 8.20467e-05 +2 *2473:la_data_out[101] 0.000743464 +3 *991:11 0.00277219 +4 *991:10 0.00269015 +5 *991:8 0.00800594 +6 *991:7 0.0087494 +7 *991:8 *993:8 0.0986862 +8 *991:8 *1073:14 0 +9 *991:11 *2473:la_oenb[51] 0 +10 *991:11 *1375:5 0.00258673 +11 *2473:la_data_in[52] *991:11 0.000205164 +12 *735:7 *991:11 0 +13 *756:8 *991:8 0.00178849 +14 *859:8 *991:8 0.0954659 +*RES +1 *2473:la_data_out[101] *991:7 21.4032 +2 *991:7 *991:8 1056.13 +3 *991:8 *991:10 4.5 +4 *991:10 *991:11 67.2342 +5 *991:11 *2472:la_data_out_core[101] 2.33274 +*END + +*D_NET *992 0.232868 +*CONN +*I *2472:la_data_out_core[102] I *D mgmt_protect +*I *2473:la_data_out[102] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[102] 0.000833276 +2 *2473:la_data_out[102] 0.00290778 +3 *992:8 0.00785414 +4 *992:7 0.00702087 +5 *992:5 0.00290778 +6 *2472:la_data_out_core[102] *1376:7 0 +7 *992:8 *1001:8 0.00399631 +8 *992:8 *1017:14 0.00619095 +9 *2473:la_data_in[52] *2472:la_data_out_core[102] 0 +10 *735:8 *992:8 0.099385 +11 *736:7 *2472:la_data_out_core[102] 0 +12 *736:8 *992:8 0.101458 +13 *737:8 *992:8 0.000313928 +*RES +1 *2473:la_data_out[102] *992:5 65.4877 +2 *992:5 *992:7 4.5 +3 *992:7 *992:8 1072.22 +4 *992:8 *2472:la_data_out_core[102] 23.0642 +*END + +*D_NET *993 0.228523 +*CONN +*I *2472:la_data_out_core[103] I *D mgmt_protect +*I *2473:la_data_out[103] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[103] 8.20467e-05 +2 *2473:la_data_out[103] 0.000762584 +3 *993:11 0.00264844 +4 *993:10 0.00256639 +5 *993:8 0.00799659 +6 *993:7 0.00875918 +7 *993:8 *995:8 0.101369 +8 *993:8 *1073:14 8.76492e-05 +9 *993:11 *2473:la_oenb[52] 0.000866262 +10 *993:11 *1377:5 0.00255694 +11 *737:7 *993:11 0 +12 *756:8 *993:8 0.00214228 +13 *991:8 *993:8 0.0986862 +*RES +1 *2473:la_data_out[103] *993:7 21.8185 +2 *993:7 *993:8 1082.76 +3 *993:8 *993:10 4.5 +4 *993:10 *993:11 66.819 +5 *993:11 *2472:la_data_out_core[103] 2.33274 +*END + +*D_NET *994 0.242141 +*CONN +*I *2472:la_data_out_core[104] I *D mgmt_protect +*I *2473:la_data_out[104] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[104] 0.000739531 +2 *2473:la_data_out[104] 0.00295533 +3 *994:8 0.00763587 +4 *994:7 0.00689634 +5 *994:5 0.00295533 +6 *2472:la_data_out_core[104] *1378:7 0 +7 *994:8 *1003:8 0.0126627 +8 *2473:la_data_in[53] *2472:la_data_out_core[104] 8.71463e-05 +9 *738:7 *2472:la_data_out_core[104] 0 +10 *738:8 *994:8 0.104018 +11 *739:8 *994:8 0.10419 +12 *809:8 *994:8 0 +13 *810:8 *994:8 0 +*RES +1 *2473:la_data_out[104] *994:5 67.1487 +2 *994:5 *994:7 4.5 +3 *994:7 *994:8 1098.84 +4 *994:8 *2472:la_data_out_core[104] 21.4032 +*END + +*D_NET *995 0.233293 +*CONN +*I *2472:la_data_out_core[105] I *D mgmt_protect +*I *2473:la_data_out[105] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[105] 2.27669e-05 +2 *2473:la_data_out[105] 0.000781703 +3 *995:11 0.00303272 +4 *995:10 0.00300995 +5 *995:8 0.00813256 +6 *995:7 0.00891427 +7 *995:8 *997:8 0.103945 +8 *995:8 *1013:8 0.00215053 +9 *995:8 *1073:14 0.000264355 +10 *995:11 *1065:5 0.0016699 +11 *995:11 *1379:5 0 +12 *739:7 *995:11 0 +13 *993:8 *995:8 0.101369 +*RES +1 *2473:la_data_out[105] *995:7 22.2337 +2 *995:7 *995:8 1111.04 +3 *995:8 *995:10 4.5 +4 *995:10 *995:11 66.4037 +5 *995:11 *2472:la_data_out_core[105] 0.647305 +*END + +*D_NET *996 0.246525 +*CONN +*I *2472:la_data_out_core[106] I *D mgmt_protect +*I *2473:la_data_out[106] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[106] 0.000738814 +2 *2473:la_data_out[106] 0.00296557 +3 *996:8 0.00801196 +4 *996:7 0.00727314 +5 *996:5 0.00296557 +6 *2472:la_data_out_core[106] *1379:5 0 +7 *2472:la_data_out_core[106] *1380:7 0 +8 *739:8 *996:8 0.104462 +9 *740:7 *2472:la_data_out_core[106] 0 +10 *740:8 *996:8 0.106529 +11 *741:8 *996:8 0.000313928 +12 *748:8 *996:8 0.0132641 +*RES +1 *2473:la_data_out[106] *996:5 67.9792 +2 *996:5 *996:7 4.5 +3 *996:7 *996:8 1124.9 +4 *996:8 *2472:la_data_out_core[106] 20.5727 +*END + +*D_NET *997 0.23855 +*CONN +*I *2472:la_data_out_core[107] I *D mgmt_protect +*I *2473:la_data_out[107] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[107] 0.00323188 +2 *2473:la_data_out[107] 0.000800823 +3 *997:10 0.00323188 +4 *997:8 0.00829079 +5 *997:7 0.00909162 +6 *2472:la_data_out_core[107] *1066:7 0 +7 *2472:la_data_out_core[107] *1381:9 0 +8 *2472:la_data_out_core[107] *1451:17 0 +9 *997:8 *999:8 0.106475 +10 *997:8 *1013:8 0.00253608 +11 *997:8 *1073:14 0.000391181 +12 *2473:la_data_in[54] *2472:la_data_out_core[107] 0.000555213 +13 *741:7 *2472:la_data_out_core[107] 0 +14 *995:8 *997:8 0.103945 +*RES +1 *2473:la_data_out[107] *997:7 22.649 +2 *997:7 *997:8 1138.22 +3 *997:8 *997:10 4.5 +4 *997:10 *2472:la_data_out_core[107] 65.903 +*END + +*D_NET *998 0.251392 +*CONN +*I *2472:la_data_out_core[108] I *D mgmt_protect +*I *2473:la_data_out[108] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[108] 0.000688168 +2 *2473:la_data_out[108] 0.00299766 +3 *998:8 0.00807442 +4 *998:7 0.00738625 +5 *998:5 0.00299766 +6 *2472:la_data_out_core[108] *1381:9 0 +7 *2472:la_data_out_core[108] *1382:7 0 +8 *741:8 *998:8 0.107084 +9 *742:7 *2472:la_data_out_core[108] 0 +10 *742:8 *998:8 0.109098 +11 *743:8 *998:8 0.000313928 +12 *750:8 *998:8 0.012752 +*RES +1 *2473:la_data_out[108] *998:5 69.225 +2 *998:5 *998:7 4.5 +3 *998:7 *998:8 1151.53 +4 *998:8 *2472:la_data_out_core[108] 19.3269 +*END + +*D_NET *999 0.239302 +*CONN +*I *2472:la_data_out_core[109] I *D mgmt_protect +*I *2473:la_data_out[109] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[109] 0.00347347 +2 *2473:la_data_out[109] 0.000819942 +3 *999:10 0.00347347 +4 *999:8 0.00908688 +5 *999:7 0.00990683 +6 *2472:la_data_out_core[109] *2473:la_oenb[54] 0 +7 *2472:la_data_out_core[109] *1382:7 0 +8 *2472:la_data_out_core[109] *1383:9 0 +9 *999:8 *1002:8 0.101474 +10 *999:8 *1073:14 0.000417084 +11 *2473:la_data_in[55] *2472:la_data_out_core[109] 0 +12 *743:7 *2472:la_data_out_core[109] 0 +13 *758:8 *999:8 0.00251258 +14 *817:14 *999:8 0.000694957 +15 *817:18 *999:8 0.000968322 +16 *997:8 *999:8 0.106475 +*RES +1 *2473:la_data_out[109] *999:7 23.0642 +2 *999:7 *999:8 1165.39 +3 *999:8 *999:10 4.5 +4 *999:10 *2472:la_data_out_core[109] 65.4877 +*END + +*D_NET *1000 0.0343257 +*CONN +*I *2472:la_data_out_core[10] I *D mgmt_protect +*I *2473:la_data_out[10] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[10] 0.00187276 +2 *2473:la_data_out[10] 0.00131221 +3 *1000:10 0.00187276 +4 *1000:8 0.00151756 +5 *1000:7 0.00282977 +6 *2472:la_data_out_core[10] *1384:5 0 +7 *1000:8 *1011:8 0.0126955 +8 *1000:8 *1384:8 0.000591248 +9 *1000:8 *1395:8 0.000183679 +10 *744:5 *2472:la_data_out_core[10] 0 +11 *744:8 *1000:8 0.000131218 +12 *764:8 *1000:8 0.0113189 +*RES +1 *2473:la_data_out[10] *1000:7 38.8438 +2 *1000:7 *1000:8 154.349 +3 *1000:8 *1000:10 4.5 +4 *1000:10 *2472:la_data_out_core[10] 49.7081 +*END + +*D_NET *1001 0.273492 +*CONN +*I *2472:la_data_out_core[110] I *D mgmt_protect +*I *2473:la_data_out[110] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[110] 0.000840267 +2 *2473:la_data_out[110] 0.00284591 +3 *1001:8 0.0222183 +4 *1001:7 0.021378 +5 *1001:5 0.00284591 +6 *2472:la_data_out_core[110] *1383:9 0 +7 *2472:la_data_out_core[110] *1385:7 0 +8 *1001:8 *1003:8 0.126623 +9 *1001:8 *1009:14 0.00244577 +10 *1001:8 *1017:14 0.0513959 +11 *1001:8 *1099:8 0 +12 *1001:8 *1484:8 0 +13 *469:25 *1001:8 0 +14 *734:8 *1001:8 0.00328194 +15 *735:8 *1001:8 0.00344709 +16 *736:8 *1001:8 0.0110792 +17 *737:8 *1001:8 0.0123504 +18 *745:7 *2472:la_data_out_core[110] 0 +19 *856:8 *1001:8 0.00244324 +20 *858:8 *1001:8 0.00285582 +21 *990:8 *1001:8 0.003445 +22 *992:8 *1001:8 0.00399631 +*RES +1 *2473:la_data_out[110] *1001:5 66.5258 +2 *1001:5 *1001:7 3.36879 +3 *1001:7 *1001:8 162.515 +4 *1001:8 *2472:la_data_out_core[110] 20.8949 +*END + +*D_NET *1002 0.245361 +*CONN +*I *2472:la_data_out_core[111] I *D mgmt_protect +*I *2473:la_data_out[111] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[111] 0.00302725 +2 *2473:la_data_out[111] 0.000839062 +3 *1002:16 0.00302725 +4 *1002:14 0.00139063 +5 *1002:13 0.00163596 +6 *1002:8 0.0082562 +7 *1002:7 0.00884994 +8 *2472:la_data_out_core[111] *2473:la_oenb[55] 5.33526e-05 +9 *2472:la_data_out_core[111] *1070:13 0 +10 *2472:la_data_out_core[111] *1385:7 0 +11 *2472:la_data_out_core[111] *1386:9 0 +12 *1002:8 *1004:8 0.000920664 +13 *1002:13 *1004:13 0.000395461 +14 *1002:13 *1013:11 0 +15 *1002:13 *1071:7 0.000447553 +16 *1002:14 *1004:14 0.00105635 +17 *1002:14 *1093:8 9.9943e-05 +18 *746:7 *2472:la_data_out_core[111] 0 +19 *758:8 *1002:8 0.00292201 +20 *759:14 *1002:8 0.103379 +21 *817:18 *1002:8 7.92757e-06 +22 *820:8 *1002:14 0.00757942 +23 *999:8 *1002:8 0.101474 +*RES +1 *2473:la_data_out[111] *1002:7 23.4795 +2 *1002:7 *1002:8 1111.59 +3 *1002:8 *1002:13 17.8913 +4 *1002:13 *1002:14 80.5863 +5 *1002:14 *1002:16 4.5 +6 *1002:16 *2472:la_data_out_core[111] 56.3522 +*END + +*D_NET *1003 0.308137 +*CONN +*I *2472:la_data_out_core[112] I *D mgmt_protect +*I *2473:la_data_out[112] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[112] 0.000672682 +2 *2473:la_data_out[112] 0.00285197 +3 *1003:8 0.0100814 +4 *1003:7 0.00940876 +5 *1003:5 0.00285197 +6 *2472:la_data_out_core[112] *1386:9 0 +7 *2472:la_data_out_core[112] *1387:5 0 +8 *2473:la_data_in[56] *2472:la_data_out_core[112] 0.000530985 +9 *469:25 *1003:8 5.62259e-05 +10 *738:8 *1003:8 0.0116368 +11 *747:7 *2472:la_data_out_core[112] 0 +12 *748:8 *1003:8 0.13076 +13 *994:8 *1003:8 0.0126627 +14 *1001:8 *1003:8 0.126623 +*RES +1 *2473:la_data_out[112] *1003:5 67.3563 +2 *1003:5 *1003:7 3.36879 +3 *1003:7 *1003:8 166.187 +4 *1003:8 *2472:la_data_out_core[112] 20.0644 +*END + +*D_NET *1004 0.254945 +*CONN +*I *2472:la_data_out_core[113] I *D mgmt_protect +*I *2473:la_data_out[113] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[113] 2.27669e-05 +2 *2473:la_data_out[113] 0.000885443 +3 *1004:17 0.00283834 +4 *1004:16 0.00281557 +5 *1004:14 0.00106919 +6 *1004:13 0.00139972 +7 *1004:8 0.00796638 +8 *1004:7 0.0085213 +9 *1004:8 *1015:8 0.10806 +10 *1004:13 *1013:11 0.000112424 +11 *1004:13 *1071:7 4.58666e-05 +12 *1004:14 *1093:8 0.00606286 +13 *1004:14 *1109:8 0.000101365 +14 *1004:17 *1068:7 0.000284233 +15 *1004:17 *1383:15 7.5909e-06 +16 *1004:17 *1386:15 0.000492649 +17 *1004:17 *1388:7 0 +18 *748:7 *1004:17 0 +19 *759:14 *1004:8 0.107432 +20 *760:8 *1004:8 0.00310315 +21 *817:18 *1004:8 0.001263 +22 *818:8 *1004:8 8.66138e-05 +23 *820:8 *1004:14 2.18041e-06 +24 *1002:8 *1004:8 0.000920664 +25 *1002:13 *1004:13 0.000395461 +26 *1002:14 *1004:14 0.00105635 +*RES +1 *2473:la_data_out[113] *1004:7 24.31 +2 *1004:7 *1004:8 1153.74 +3 *1004:8 *1004:13 18.7218 +4 *1004:13 *1004:14 64.5028 +5 *1004:14 *1004:16 4.5 +6 *1004:16 *1004:17 54.7766 +7 *1004:17 *2472:la_data_out_core[113] 0.647305 +*END + +*D_NET *1005 0.246825 +*CONN +*I *2472:la_data_out_core[114] I *D mgmt_protect +*I *2473:la_data_out[114] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[114] 0.000568287 +2 *2473:la_data_out[114] 0.00300453 +3 *1005:8 0.0151337 +4 *1005:7 0.0145654 +5 *1005:5 0.00300453 +6 *2472:la_data_out_core[114] *1389:7 0 +7 *469:25 *1005:8 0.0218418 +8 *747:8 *1005:8 0.112751 +9 *749:7 *2472:la_data_out_core[114] 0 +10 *813:11 *2472:la_data_out_core[114] 7.09666e-06 +11 *854:8 *1005:8 0.0759493 +*RES +1 *2473:la_data_out[114] *1005:5 71.7165 +2 *1005:5 *1005:7 4.5 +3 *1005:7 *1005:8 1231.39 +4 *1005:8 *2472:la_data_out_core[114] 16.8354 +*END + +*D_NET *1006 0.22635 +*CONN +*I *2472:la_data_out_core[115] I *D mgmt_protect +*I *2473:la_data_out[115] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[115] 0.00334718 +2 *2473:la_data_out[115] 0.00106644 +3 *1006:10 0.00334718 +4 *1006:8 0.0406753 +5 *1006:7 0.0417418 +6 *2472:la_data_out_core[115] *1069:5 0 +7 *2472:la_data_out_core[115] *1389:7 0 +8 *2472:la_data_out_core[115] *1390:5 0 +9 *1006:8 *1109:8 0 +10 *1006:8 *1111:8 0 +11 *1006:8 *1496:8 0 +12 *750:7 *2472:la_data_out_core[115] 0 +13 *752:8 *1006:8 0.13365 +14 *824:8 *1006:8 0.000134497 +15 *825:10 *1006:8 0.000141029 +16 *826:8 *1006:8 0.00015065 +17 *828:10 *1006:8 0.00037278 +18 *829:8 *1006:8 0.000412718 +19 *830:8 *1006:8 0.000448922 +20 *831:8 *1006:8 0.000448922 +21 *833:8 *1006:8 0.000412718 +*RES +1 *2473:la_data_out[115] *1006:7 26.7084 +2 *1006:7 *1006:8 171.77 +3 *1006:8 *1006:10 3.36879 +4 *1006:10 *2472:la_data_out_core[115] 60.7123 +*END + +*D_NET *1007 0.186097 +*CONN +*I *2472:la_data_out_core[116] I *D mgmt_protect +*I *2473:la_data_out[116] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[116] 0.000330091 +2 *2473:la_data_out[116] 0.00170929 +3 *1007:14 0.0112633 +4 *1007:13 0.0127856 +5 *1007:8 0.0154291 +6 *1007:7 0.0152861 +7 *2472:la_data_out_core[116] *1391:5 0 +8 *1007:8 *1403:8 0.00811257 +9 *1007:8 *1490:10 0.000868916 +10 *1007:8 *2414:8 0.0602489 +11 *1007:13 *2473:la_oenb[86] 6.82761e-05 +12 *1007:14 *1012:14 0.00350863 +13 *1007:14 *1019:14 0.00197403 +14 *1007:14 *1078:8 0.0158415 +15 *486:21 *1007:14 0 +16 *751:7 *2472:la_data_out_core[116] 0 +17 *814:16 *2472:la_data_out_core[116] 1.47102e-05 +18 *832:8 *1007:14 0.0335707 +19 *834:8 *1007:14 0.00185942 +20 *839:8 *1007:14 0.0021697 +21 *841:8 *1007:14 0.000777216 +22 *843:8 *1007:14 0.00027925 +23 *845:8 *1007:14 0 +24 *847:8 *1007:14 0 +*RES +1 *2473:la_data_out[116] *1007:7 42.9963 +2 *1007:7 *1007:8 632.971 +3 *1007:8 *1007:13 46.9589 +4 *1007:13 *1007:14 625.207 +5 *1007:14 *2472:la_data_out_core[116] 12.2677 +*END + +*D_NET *1008 0.316312 +*CONN +*I *2472:la_data_out_core[117] I *D mgmt_protect +*I *2473:la_data_out[117] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[117] 0.00384143 +2 *2473:la_data_out[117] 0.000613519 +3 *1008:10 0.00384143 +4 *1008:8 0.0163945 +5 *1008:7 0.017008 +6 *2472:la_data_out_core[117] *2473:la_oenb[57] 0 +7 *2472:la_data_out_core[117] *1392:7 0 +8 *1008:8 *1010:8 0.136224 +9 *2473:la_data_in[58] *2472:la_data_out_core[117] 0 +10 *752:5 *2472:la_data_out_core[117] 0 +11 *752:8 *1008:8 0 +12 *753:10 *1008:8 0.137235 +13 *754:8 *1008:8 0.000121359 +14 *844:10 *1008:8 0.000354801 +15 *846:8 *1008:8 0.000677329 +*RES +1 *2473:la_data_out[117] *1008:7 17.5729 +2 *1008:7 *1008:8 175.442 +3 *1008:8 *1008:10 3.36879 +4 *1008:10 *2472:la_data_out_core[117] 69.8479 +*END + +*D_NET *1009 0.199641 +*CONN +*I *2472:la_data_out_core[118] I *D mgmt_protect +*I *2473:la_data_out[118] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[118] 0.000909258 +2 *2473:la_data_out[118] 0.0012651 +3 *1009:14 0.00793986 +4 *1009:13 0.00896381 +5 *1009:8 0.0215442 +6 *1009:7 0.0208761 +7 *2472:la_data_out_core[118] *1393:10 0 +8 *1009:8 *1012:8 0.0282748 +9 *1009:8 *1102:8 0.0162424 +10 *1009:8 *1109:8 0.00135468 +11 *1009:8 *1111:8 0.000163504 +12 *1009:13 *1093:7 7.09666e-06 +13 *1009:14 *1014:16 0.0348141 +14 *1009:14 *1017:14 0.0431615 +15 *1009:14 *1099:8 0 +16 *734:8 *1009:14 0.00472134 +17 *753:7 *2472:la_data_out_core[118] 0.000226575 +18 *856:8 *1009:14 0.000765341 +19 *858:8 *1009:14 0.000830646 +20 *990:8 *1009:14 0.0051355 +21 *1001:8 *1009:14 0.00244577 +*RES +1 *2473:la_data_out[118] *1009:7 34.276 +2 *1009:7 *1009:8 833.183 +3 *1009:8 *1009:13 43.1286 +4 *1009:13 *1009:14 62.3129 +5 *1009:14 *2472:la_data_out_core[118] 22.5559 +*END + +*D_NET *1010 0.321391 +*CONN +*I *2472:la_data_out_core[119] I *D mgmt_protect +*I *2473:la_data_out[119] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[119] 0.00373023 +2 *2473:la_data_out[119] 0.000633701 +3 *1010:10 0.00373023 +4 *1010:8 0.0166854 +5 *1010:7 0.0173191 +6 *2472:la_data_out_core[119] *2473:la_oenb[58] 0.000215198 +7 *2472:la_data_out_core[119] *1393:13 0 +8 *2472:la_data_out_core[119] *1394:7 0 +9 *753:10 *1010:8 0.00066078 +10 *754:5 *2472:la_data_out_core[119] 0 +11 *754:8 *1010:8 0.140093 +12 *757:8 *1010:8 0.000333928 +13 *848:10 *1010:8 0.000702361 +14 *851:10 *1010:8 0.00106325 +15 *1008:8 *1010:8 0.136224 +*RES +1 *2473:la_data_out[119] *1010:7 18.4034 +2 *1010:7 *1010:8 179.113 +3 *1010:8 *1010:10 3.36879 +4 *1010:10 *2472:la_data_out_core[119] 69.0174 +*END + +*D_NET *1011 0.0343234 +*CONN +*I *2472:la_data_out_core[11] I *D mgmt_protect +*I *2473:la_data_out[11] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[11] 0.00180513 +2 *2473:la_data_out[11] 0.00133365 +3 *1011:10 0.00180513 +4 *1011:8 0.000939238 +5 *1011:7 0.00227289 +6 *2472:la_data_out_core[11] *2473:la_oenb[17] 0 +7 *2472:la_data_out_core[11] *1395:5 0 +8 *1011:8 *1384:8 0.0129057 +9 *2473:la_data_in[18] *2472:la_data_out_core[11] 9.31064e-05 +10 *755:5 *2472:la_data_out_core[11] 0 +11 *755:8 *1011:8 0.000105636 +12 *764:8 *1011:8 0.000322987 +13 *766:8 *1011:8 4.4379e-05 +14 *1000:8 *1011:8 0.0126955 +*RES +1 *2473:la_data_out[11] *1011:7 39.2591 +2 *1011:7 *1011:8 141.038 +3 *1011:8 *1011:10 4.5 +4 *1011:10 *2472:la_data_out_core[11] 49.2929 +*END + +*D_NET *1012 0.176785 +*CONN +*I *2472:la_data_out_core[120] I *D mgmt_protect +*I *2473:la_data_out[120] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[120] 0.000238051 +2 *2473:la_data_out[120] 0.00126721 +3 *1012:14 0.0103745 +4 *1012:13 0.0101365 +5 *1012:11 0.00263589 +6 *1012:10 0.00263589 +7 *1012:8 0.00937725 +8 *1012:7 0.0106445 +9 *2472:la_data_out_core[120] *1396:7 0 +10 *1012:8 *1017:8 0.0264833 +11 *1012:8 *1102:8 0.0189889 +12 *1012:8 *1107:8 0.0271052 +13 *1012:8 *1111:8 0.00185603 +14 *1012:14 *1019:14 0.0150622 +15 *1012:14 *1074:8 0.00467562 +16 *1012:14 *1077:8 0.000240563 +17 *468:21 *1012:14 0.00324704 +18 *486:21 *1012:14 0 +19 *756:5 *2472:la_data_out_core[120] 0 +20 *815:11 *2472:la_data_out_core[120] 3.31882e-05 +21 *1007:14 *1012:14 0.00350863 +22 *1009:8 *1012:8 0.0282748 +*RES +1 *2473:la_data_out[120] *1012:7 35.1065 +2 *1012:7 *1012:8 904.727 +3 *1012:8 *1012:10 4.5 +4 *1012:10 *1012:11 47.5097 +5 *1012:11 *1012:13 4.5 +6 *1012:13 *1012:14 406.693 +7 *1012:14 *2472:la_data_out_core[120] 10.6067 +*END + +*D_NET *1013 0.337844 +*CONN +*I *2472:la_data_out_core[121] I *D mgmt_protect +*I *2473:la_data_out[121] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[121] 8.20467e-05 +2 *2473:la_data_out[121] 0.000750542 +3 *1013:11 0.00316791 +4 *1013:10 0.00308586 +5 *1013:8 0.019266 +6 *1013:7 0.0200166 +7 *1013:11 *2473:la_oenb[59] 0 +8 *1013:11 *1071:7 0 +9 *1013:11 *1075:13 0 +10 *1013:11 *1397:7 0.00192124 +11 *752:8 *1013:8 4.12367e-05 +12 *756:8 *1013:8 0.140515 +13 *757:5 *1013:11 0 +14 *757:8 *1013:8 0.000961946 +15 *758:5 *1013:11 0 +16 *758:8 *1013:8 0.143237 +17 *995:8 *1013:8 0.00215053 +18 *997:8 *1013:8 0.00253608 +19 *1002:13 *1013:11 0 +20 *1004:13 *1013:11 0.000112424 +*RES +1 *2473:la_data_out[121] *1013:7 21.7254 +2 *1013:7 *1013:8 182.479 +3 *1013:8 *1013:10 3.36879 +4 *1013:10 *1013:11 65.7808 +5 *1013:11 *2472:la_data_out_core[121] 2.33274 +*END + +*D_NET *1014 0.231029 +*CONN +*I *2472:la_data_out_core[122] I *D mgmt_protect +*I *2473:la_data_out[122] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[122] 0.00105961 +2 *2473:la_data_out[122] 0.00131878 +3 *1014:16 0.00528293 +4 *1014:13 0.00597982 +5 *1014:8 0.0153774 +6 *1014:7 0.0149396 +7 *2472:la_data_out_core[122] *1398:7 0 +8 *1014:8 *1016:8 0.00554254 +9 *1014:8 *1017:8 0.014719 +10 *1014:8 *1375:8 0.0548721 +11 *1014:8 *1377:8 0.00182431 +12 *1014:8 *1379:8 0.000403492 +13 *1014:8 *1381:16 0.00211615 +14 *1014:8 *1391:8 0.000653639 +15 *1014:8 *1492:14 0.0365512 +16 *1014:8 *1494:20 0.00183679 +17 *1014:8 *1498:18 0.00288695 +18 *1014:13 *2473:la_oenb[74] 7.09666e-06 +19 *1014:16 *1016:16 0.0237622 +20 *1014:16 *1099:8 0 +21 *758:5 *2472:la_data_out_core[122] 0 +22 *856:8 *1014:16 0.00334801 +23 *858:8 *1014:16 0.00373348 +24 *1009:14 *1014:16 0.0348141 +*RES +1 *2473:la_data_out[122] *1014:7 37.1828 +2 *1014:7 *1014:8 1018.98 +3 *1014:8 *1014:13 39.3913 +4 *1014:13 *1014:16 47.477 +5 *1014:16 *2472:la_data_out_core[122] 20.0176 +*END + +*D_NET *1015 0.27368 +*CONN +*I *2472:la_data_out_core[123] I *D mgmt_protect +*I *2473:la_data_out[123] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[123] 0.00357696 +2 *2473:la_data_out[123] 0.00082419 +3 *1015:10 0.00357696 +4 *1015:8 0.0095412 +5 *1015:7 0.0103654 +6 *2472:la_data_out_core[123] *1398:7 0 +7 *2472:la_data_out_core[123] *1399:5 0 +8 *1015:8 *1018:8 0.00411282 +9 *759:10 *2472:la_data_out_core[123] 0 +10 *759:14 *1015:8 0.00883228 +11 *760:5 *2472:la_data_out_core[123] 0 +12 *761:18 *1015:8 0.114181 +13 *763:8 *1015:8 0.00587544 +14 *763:12 *1015:8 0.00245316 +15 *818:8 *1015:8 0.00184718 +16 *819:10 *1015:8 0.000433749 +17 *1004:8 *1015:8 0.10806 +*RES +1 *2473:la_data_out[123] *1015:7 24.7252 +2 *1015:7 *1015:8 1351.74 +3 *1015:8 *1015:10 4.5 +4 *1015:10 *2472:la_data_out_core[123] 63.8267 +*END + +*D_NET *1016 0.24069 +*CONN +*I *2472:la_data_out_core[124] I *D mgmt_protect +*I *2473:la_data_out[124] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[124] 0.00111254 +2 *2473:la_data_out[124] 0.00135327 +3 *1016:16 0.00494712 +4 *1016:13 0.00549481 +5 *1016:8 0.0150496 +6 *1016:7 0.0147427 +7 *2472:la_data_out_core[124] *1400:7 0 +8 *1016:8 *1017:8 0 +9 *1016:8 *1019:8 0.00119138 +10 *1016:8 *1379:8 0.00469217 +11 *1016:8 *1381:16 0.0751938 +12 *1016:8 *1383:16 0.0792531 +13 *1016:8 *1386:16 0.00182431 +14 *1016:8 *1387:12 0.000534616 +15 *1016:8 *1390:8 0.00113035 +16 *1016:8 *1391:8 0.000739113 +17 *1016:8 *1399:8 0.000950405 +18 *1016:13 *2473:la_oenb[70] 7.09666e-06 +19 *1016:16 *1099:8 0.00288519 +20 *1016:16 *1460:18 0.000284032 +21 *760:5 *2472:la_data_out_core[124] 0 +22 *1014:8 *1016:8 0.00554254 +23 *1014:16 *1016:16 0.0237622 +*RES +1 *2473:la_data_out[124] *1016:7 38.8438 +2 *1016:7 *1016:8 1147.09 +3 *1016:8 *1016:13 36.8998 +4 *1016:13 *1016:16 33.4793 +5 *1016:16 *2472:la_data_out_core[124] 20.8481 +*END + +*D_NET *1017 0.235816 +*CONN +*I *2472:la_data_out_core[125] I *D mgmt_protect +*I *2473:la_data_out[125] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[125] 0.000962271 +2 *2473:la_data_out[125] 0.00123603 +3 *1017:14 0.00518602 +4 *1017:13 0.00604409 +5 *1017:8 0.0154781 +6 *1017:7 0.0148938 +7 *2472:la_data_out_core[125] *1401:5 0 +8 *1017:8 *1107:8 0.0172025 +9 *1017:8 *1494:20 0.023951 +10 *1017:8 *1496:8 0.00160401 +11 *1017:14 *1099:8 0 +12 *734:8 *1017:14 0.000198862 +13 *735:8 *1017:14 0.00569167 +14 *761:5 *2472:la_data_out_core[125] 0 +15 *856:8 *1017:14 0.000586436 +16 *858:8 *1017:14 0.000614913 +17 *990:8 *1017:14 0.00021583 +18 *992:8 *1017:14 0.00619095 +19 *1001:8 *1017:14 0.0513959 +20 *1009:14 *1017:14 0.0431615 +21 *1012:8 *1017:8 0.0264833 +22 *1014:8 *1017:8 0.014719 +23 *1016:8 *1017:8 0 +*RES +1 *2473:la_data_out[125] *1017:7 35.937 +2 *1017:7 *1017:8 907.5 +3 *1017:8 *1017:13 42.2981 +4 *1017:13 *1017:14 64.9901 +5 *1017:14 *2472:la_data_out_core[125] 21.7254 +*END + +*D_NET *1018 0.358212 +*CONN +*I *2472:la_data_out_core[126] I *D mgmt_protect +*I *2473:la_data_out[126] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[126] 0.00339737 +2 *2473:la_data_out[126] 0.000839769 +3 *1018:10 0.00339737 +4 *1018:8 0.0220435 +5 *1018:7 0.0228833 +6 *2472:la_data_out_core[126] *1074:5 0.000494052 +7 *2472:la_data_out_core[126] *1402:7 0 +8 *760:8 *1018:8 0.145661 +9 *761:18 *1018:8 0.00506946 +10 *762:5 *2472:la_data_out_core[126] 0 +11 *762:8 *1018:8 0.150314 +12 *1015:8 *1018:8 0.00411282 +*RES +1 *2473:la_data_out[126] *1018:7 24.2169 +2 *1018:7 *1018:8 191.964 +3 *1018:8 *1018:10 3.36879 +4 *1018:10 *2472:la_data_out_core[126] 63.2038 +*END + +*D_NET *1019 0.272627 +*CONN +*I *2472:la_data_out_core[127] I *D mgmt_protect +*I *2473:la_data_out[127] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[127] 0.000263894 +2 *2473:la_data_out[127] 0.00138524 +3 *1019:14 0.00171335 +4 *1019:13 0.00144945 +5 *1019:11 0.00239758 +6 *1019:8 0.0143451 +7 *1019:7 0.0133328 +8 *2472:la_data_out_core[127] *1403:7 0 +9 *1019:8 *1390:8 0.0949867 +10 *1019:8 *1393:14 0.10107 +11 *1019:8 *1397:14 0.00278118 +12 *1019:8 *1399:8 0.00934631 +13 *1019:8 *1401:12 0.00215947 +14 *1019:8 *1646:8 0.000647491 +15 *1019:8 *2414:8 0.000639908 +16 *1019:14 *1077:8 0.00555602 +17 *1019:14 *1078:8 0.000941784 +18 *468:21 *1019:14 0.0013824 +19 *763:5 *2472:la_data_out_core[127] 0 +20 *1007:14 *1019:14 0.00197403 +21 *1012:14 *1019:14 0.0150622 +22 *1016:8 *1019:8 0.00119138 +*RES +1 *2473:la_data_out[127] *1019:7 40.9201 +2 *1019:7 *1019:8 1247.47 +3 *1019:8 *1019:11 45.781 +4 *1019:11 *1019:13 4.5 +5 *1019:13 *1019:14 157.676 +6 *1019:14 *2472:la_data_out_core[127] 11.0219 +*END + +*D_NET *1020 0.0310219 +*CONN +*I *2472:la_data_out_core[12] I *D mgmt_protect +*I *2473:la_data_out[12] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[12] 0.00179642 +2 *2473:la_data_out[12] 0.00122458 +3 *1020:10 0.00179642 +4 *1020:8 0.00107288 +5 *1020:7 0.00229746 +6 *2472:la_data_out_core[12] *1026:7 0.000769322 +7 *2472:la_data_out_core[12] *1404:5 0 +8 *1020:8 *1021:8 0.0100739 +9 *1020:8 *1395:8 0.000544848 +10 *1020:8 *1404:8 0.011446 +11 *764:5 *2472:la_data_out_core[12] 0 +*RES +1 *2473:la_data_out[12] *1020:7 36.3523 +2 *1020:7 *1020:8 127.728 +3 *1020:8 *1020:10 4.5 +4 *1020:10 *2472:la_data_out_core[12] 52.1996 +*END + +*D_NET *1021 0.0295972 +*CONN +*I *2472:la_data_out_core[13] I *D mgmt_protect +*I *2473:la_data_out[13] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[13] 2.27669e-05 +2 *2473:la_data_out[13] 0.00125285 +3 *1021:11 0.00144153 +4 *1021:10 0.00141876 +5 *1021:8 0.000835296 +6 *1021:7 0.00208815 +7 *1021:8 *1022:8 0.000143031 +8 *1021:8 *1395:8 0.00028978 +9 *1021:8 *1404:8 8.14875e-05 +10 *1021:8 *1405:8 0.0102139 +11 *1021:11 *2473:la_oenb[18] 0.000431615 +12 *1021:11 *1405:5 0 +13 *765:7 *1021:11 0.00130407 +14 *1020:8 *1021:8 0.0100739 +*RES +1 *2473:la_data_out[13] *1021:7 36.7675 +2 *1021:7 *1021:8 114.417 +3 *1021:8 *1021:10 4.5 +4 *1021:10 *1021:11 51.8699 +5 *1021:11 *2472:la_data_out_core[13] 0.647305 +*END + +*D_NET *1022 0.0256968 +*CONN +*I *2472:la_data_out_core[14] I *D mgmt_protect +*I *2473:la_data_out[14] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[14] 0.00190837 +2 *2473:la_data_out[14] 0.00119537 +3 *1022:10 0.00190837 +4 *1022:8 0.000943311 +5 *1022:7 0.00213868 +6 *2472:la_data_out_core[14] *1406:5 0 +7 *1022:8 *1404:8 0.00827893 +8 *1022:8 *1405:8 3.42853e-05 +9 *1022:8 *1406:8 0.00890333 +10 *2473:la_data_in[19] *2472:la_data_out_core[14] 0.000243117 +11 *766:5 *2472:la_data_out_core[14] 0 +12 *1021:8 *1022:8 0.000143031 +*RES +1 *2473:la_data_out[14] *1022:7 35.5218 +2 *1022:7 *1022:8 101.107 +3 *1022:8 *1022:10 4.5 +4 *1022:10 *2472:la_data_out_core[14] 53.0301 +*END + +*D_NET *1023 0.0197216 +*CONN +*I *2472:la_data_out_core[15] I *D mgmt_protect +*I *2473:la_data_out[15] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[15] 0.0017783 +2 *2473:la_data_out[15] 0.00165588 +3 *1023:14 0.0017783 +4 *1023:12 0.00109474 +5 *1023:10 0.00275062 +6 *2472:la_data_out_core[15] *1406:5 0 +7 *2472:la_data_out_core[15] *1407:5 0 +8 *1023:12 *1407:8 6.44576e-05 +9 *766:8 *1023:10 0.000129927 +10 *766:8 *1023:12 0.00666787 +11 *766:14 *1023:10 3.8519e-05 +12 *767:5 *2472:la_data_out_core[15] 0 +13 *767:8 *1023:12 0.00318695 +14 *767:14 *1023:10 0.000552044 +15 *767:14 *1023:12 2.39581e-05 +16 *805:5 *1023:10 0 +*RES +1 *2473:la_data_out[15] *1023:10 48.8693 +2 *1023:10 *1023:12 81.6955 +3 *1023:12 *1023:14 4.5 +4 *1023:14 *2472:la_data_out_core[15] 47.2166 +*END + +*D_NET *1024 0.0204472 +*CONN +*I *2472:la_data_out_core[16] I *D mgmt_protect +*I *2473:la_data_out[16] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[16] 0.00169942 +2 *2473:la_data_out[16] 0.0015812 +3 *1024:10 0.00169942 +4 *1024:8 0.000932706 +5 *1024:7 0.00251391 +6 *2472:la_data_out_core[16] *1407:5 0 +7 *2472:la_data_out_core[16] *1408:7 0 +8 *1024:8 *1407:8 0.00643191 +9 *1024:8 *1408:8 0 +10 *768:7 *2472:la_data_out_core[16] 0 +11 *768:8 *1024:8 0 +12 *769:8 *1024:8 0.00558864 +*RES +1 *2473:la_data_out[16] *1024:7 42.9963 +2 *1024:7 *1024:8 74.4857 +3 *1024:8 *1024:10 4.5 +4 *1024:10 *2472:la_data_out_core[16] 45.5556 +*END + +*D_NET *1025 0.0181055 +*CONN +*I *2472:la_data_out_core[17] I *D mgmt_protect +*I *2473:la_data_out[17] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[17] 0.00123124 +2 *2473:la_data_out[17] 0.00165004 +3 *1025:8 0.00200663 +4 *1025:7 0.00242543 +5 *2472:la_data_out_core[17] *1409:7 0.000897663 +6 *1025:8 *1026:8 0 +7 *1025:8 *1408:8 0.00519379 +8 *1025:8 *1409:8 0.000108945 +9 *744:5 *1025:7 7.66121e-05 +10 *769:7 *2472:la_data_out_core[17] 0 +11 *769:8 *1025:8 3.90666e-05 +12 *770:8 *1025:8 0.00447607 +*RES +1 *2473:la_data_out[17] *1025:7 45.4878 +2 *1025:7 *1025:8 61.7298 +3 *1025:8 *2472:la_data_out_core[17] 48.8587 +*END + +*D_NET *1026 0.0107087 +*CONN +*I *2472:la_data_out_core[18] I *D mgmt_protect +*I *2473:la_data_out[18] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[18] 0.0014077 +2 *2473:la_data_out[18] 0.00153215 +3 *1026:8 0.00332396 +4 *1026:7 0.0034484 +5 *2472:la_data_out_core[18] *1410:7 0 +6 *1026:7 *1404:5 0.000227207 +7 *1026:8 *1408:8 0 +8 *2472:la_data_out_core[12] *1026:7 0.000769322 +9 *768:8 *1026:8 0 +10 *770:7 *2472:la_data_out_core[18] 0 +11 *1025:8 *1026:8 0 +*RES +1 *2473:la_data_out[18] *1026:7 49.6404 +2 *1026:7 *1026:8 47.8647 +3 *1026:8 *2472:la_data_out_core[18] 43.4116 +*END + +*D_NET *1027 0.0105242 +*CONN +*I *2472:la_data_out_core[19] I *D mgmt_protect +*I *2473:la_data_out[19] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[19] 0.00180024 +2 *2473:la_data_out[19] 0.00118077 +3 *1027:10 0.00264203 +4 *1027:7 0.00202256 +5 *2472:la_data_out_core[19] *2473:la_oenb[20] 0 +6 *2472:la_data_out_core[19] *1411:5 0 +7 *1027:10 *1411:10 0.00254822 +8 *2473:la_data_in[21] *2472:la_data_out_core[19] 0.000330393 +9 *771:7 *2472:la_data_out_core[19] 0 +*RES +1 *2473:la_data_out[19] *1027:7 35.1065 +2 *1027:7 *1027:10 38.4996 +3 *1027:10 *2472:la_data_out_core[19] 53.4454 +*END + +*D_NET *1028 0.0620188 +*CONN +*I *2472:la_data_out_core[1] I *D mgmt_protect +*I *2473:la_data_out[1] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[1] 0.00110001 +2 *2473:la_data_out[1] 0.00223889 +3 *1028:8 0.00357342 +4 *1028:7 0.00247341 +5 *1028:5 0.00223889 +6 *2472:la_data_out_core[1] *1412:7 0 +7 *1028:5 *1620:13 0.000633876 +8 *1028:5 *1639:13 0.00080517 +9 *1028:8 *1373:8 0.0252132 +10 *1028:8 *1423:8 0.0231003 +11 *1028:8 *1638:8 0.000626631 +12 *1028:8 *1640:8 1.5006e-05 +13 *772:5 *2472:la_data_out_core[1] 0 +14 *794:11 *1028:8 0 +*RES +1 *2473:la_data_out[1] *1028:5 54.2759 +2 *1028:5 *1028:7 4.5 +3 *1028:7 *1028:8 274.143 +4 *1028:8 *2472:la_data_out_core[1] 34.276 +*END + +*D_NET *1029 0.00919787 +*CONN +*I *2472:la_data_out_core[20] I *D mgmt_protect +*I *2473:la_data_out[20] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[20] 0.00182345 +2 *2473:la_data_out[20] 0.00115156 +3 *1029:10 0.00237775 +4 *1029:7 0.00170586 +5 *2472:la_data_out_core[20] *1030:7 0.000653195 +6 *2472:la_data_out_core[20] *1411:5 0 +7 *2472:la_data_out_core[20] *1413:5 0 +8 *1029:10 *1411:10 0.00148607 +9 *773:5 *2472:la_data_out_core[20] 0 +*RES +1 *2473:la_data_out[20] *1029:7 34.276 +2 *1029:7 *1029:10 25.7437 +3 *1029:10 *2472:la_data_out_core[20] 54.2759 +*END + +*D_NET *1030 0.00716491 +*CONN +*I *2472:la_data_out_core[21] I *D mgmt_protect +*I *2473:la_data_out[21] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[21] 0.00158637 +2 *2473:la_data_out[21] 0.00137942 +3 *1030:10 0.00187008 +4 *1030:7 0.00166312 +5 *2472:la_data_out_core[21] *1413:5 0 +6 *2472:la_data_out_core[21] *1414:5 0 +7 *1030:7 *1413:5 0 +8 *1030:10 *1413:10 1.27226e-05 +9 *2472:la_data_out_core[20] *1030:7 0.000653195 +10 *774:7 *2472:la_data_out_core[21] 0 +*RES +1 *2473:la_data_out[21] *1030:7 44.6573 +2 *1030:7 *1030:10 11.8786 +3 *1030:10 *2472:la_data_out_core[21] 43.8946 +*END + +*D_NET *1031 0.00680972 +*CONN +*I *2472:la_data_out_core[22] I *D mgmt_protect +*I *2473:la_data_out[22] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[22] 0.00135927 +2 *2473:la_data_out[22] 0.00173356 +3 *1031:10 0.00153255 +4 *1031:5 0.00190684 +5 *2472:la_data_out_core[22] *1415:7 0 +6 *1031:10 *1415:10 0.000277502 +7 *775:5 *2472:la_data_out_core[22] 0 +*RES +1 *2473:la_data_out[22] *1031:5 46.3861 +2 *1031:5 *1031:10 14.7148 +3 *1031:10 *2472:la_data_out_core[22] 37.6658 +*END + +*D_NET *1032 0.00839975 +*CONN +*I *2472:la_data_out_core[23] I *D mgmt_protect +*I *2473:la_data_out[23] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[23] 0.00130887 +2 *2473:la_data_out[23] 0.00181466 +3 *1032:10 0.0017528 +4 *1032:5 0.00225859 +5 *2472:la_data_out_core[23] *1415:7 0 +6 *2472:la_data_out_core[23] *1416:7 0 +7 *1032:10 *1033:10 0.000472925 +8 *1032:10 *1415:10 0.000121243 +9 *1032:10 *1416:10 4.89898e-06 +10 *1032:10 *1417:10 5.82695e-05 +11 *776:8 *2472:la_data_out_core[23] 0 +12 *777:10 *1032:10 6.44576e-05 +13 *778:10 *1032:10 0.000543039 +*RES +1 *2473:la_data_out[23] *1032:5 48.0471 +2 *1032:5 *1032:10 28.5799 +3 *1032:10 *2472:la_data_out_core[23] 36.0048 +*END + +*D_NET *1033 0.0106603 +*CONN +*I *2472:la_data_out_core[24] I *D mgmt_protect +*I *2473:la_data_out[24] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[24] 0.00134589 +2 *2473:la_data_out[24] 0.00176396 +3 *1033:10 0.00179418 +4 *1033:5 0.00221226 +5 *2472:la_data_out_core[24] *1417:7 0 +6 *1033:5 *2472:la_data_out_core[28] 3.31882e-05 +7 *2473:la_data_in[23] *2472:la_data_out_core[24] 0 +8 *777:7 *2472:la_data_out_core[24] 0 +9 *777:10 *1033:10 0.00238891 +10 *778:10 *1033:10 0.000530719 +11 *781:7 *1033:5 0 +12 *781:8 *1033:10 0.000118245 +13 *1032:10 *1033:10 0.000472925 +*RES +1 *2473:la_data_out[24] *1033:5 47.2166 +2 *1033:5 *1033:10 41.3358 +3 *1033:10 *2472:la_data_out_core[24] 36.8353 +*END + +*D_NET *1034 0.015755 +*CONN +*I *2472:la_data_out_core[25] I *D mgmt_protect +*I *2473:la_data_out[25] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[25] 0.000998699 +2 *2473:la_data_out[25] 0.00184846 +3 *1034:8 0.00135038 +4 *1034:5 0.00220014 +5 *2472:la_data_out_core[25] *1418:7 0.00165997 +6 *1034:8 *1038:14 0.000308997 +7 *1034:8 *1417:10 0.00280311 +8 *1034:8 *1422:8 0.00107411 +9 *778:7 *2472:la_data_out_core[25] 0 +10 *778:10 *1034:8 0.00348482 +11 *782:13 *1034:5 8.62625e-06 +12 *782:13 *1034:8 1.7672e-05 +*RES +1 *2473:la_data_out[25] *1034:5 48.8776 +2 *1034:5 *1034:8 48.4825 +3 *1034:8 *2472:la_data_out_core[25] 42.0925 +*END + +*D_NET *1035 0.0143808 +*CONN +*I *2472:la_data_out_core[26] I *D mgmt_protect +*I *2473:la_data_out[26] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[26] 0.00120126 +2 *2473:la_data_out[26] 0.00189311 +3 *1035:14 0.00236046 +4 *1035:10 0.00134343 +5 *1035:5 0.00207735 +6 *2472:la_data_out_core[26] *1418:7 0 +7 *2472:la_data_out_core[26] *1419:7 0 +8 *1035:5 *2472:la_data_out_core[33] 0.000169892 +9 *1035:5 *2472:la_data_out_core[34] 0 +10 *1035:10 *1041:8 8.90486e-05 +11 *1035:10 *1424:8 0.00190449 +12 *1035:10 *1425:8 0.00153275 +13 *1035:14 *1417:10 0.000132587 +14 *1035:14 *1424:8 1.67988e-05 +15 *779:7 *2472:la_data_out_core[26] 0 +16 *782:13 *1035:14 0.00157297 +17 *785:8 *1035:10 3.59302e-05 +18 *785:8 *1035:14 5.07314e-05 +*RES +1 *2473:la_data_out[26] *1035:5 50.9539 +2 *1035:5 *1035:10 25.2132 +3 *1035:10 *1035:14 43.491 +4 *1035:14 *2472:la_data_out_core[26] 32.6828 +*END + +*D_NET *1036 0.0178165 +*CONN +*I *2472:la_data_out_core[27] I *D mgmt_protect +*I *2473:la_data_out[27] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[27] 0.00065657 +2 *2473:la_data_out[27] 0.00208692 +3 *1036:8 0.00240559 +4 *1036:7 0.00174902 +5 *1036:5 0.00208692 +6 *2472:la_data_out_core[27] *1420:7 0.000642344 +7 *1036:5 *1046:11 0.00164589 +8 *1036:8 *1420:8 0.00653818 +9 *780:7 *2472:la_data_out_core[27] 0 +10 *780:8 *1036:8 5.04054e-06 +11 *790:5 *1036:5 0 +*RES +1 *2473:la_data_out[27] *1036:5 62.1657 +2 *1036:5 *1036:7 4.5 +3 *1036:7 *1036:8 71.1581 +4 *1036:8 *2472:la_data_out_core[27] 28.2427 +*END + +*D_NET *1037 0.0184491 +*CONN +*I *2472:la_data_out_core[28] I *D mgmt_protect +*I *2473:la_data_out[28] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[28] 0.00143644 +2 *2473:la_data_out[28] 0.00174751 +3 *1037:14 0.00164969 +4 *1037:8 0.00117668 +5 *1037:7 0.000963428 +6 *1037:5 0.00174751 +7 *2472:la_data_out_core[28] *1421:7 0 +8 *1037:5 *2472:la_data_out_core[39] 0 +9 *1037:5 *1432:5 0 +10 *1037:8 *1421:12 0.00244933 +11 *1037:14 *1421:10 0.00212788 +12 *1037:14 *1421:12 9.82896e-06 +13 *778:10 *1037:14 3.42853e-05 +14 *781:7 *2472:la_data_out_core[28] 0 +15 *781:8 *1037:8 0.00216729 +16 *781:8 *1037:14 0.00238247 +17 *793:5 *1037:5 0.000523544 +18 *1033:5 *2472:la_data_out_core[28] 3.31882e-05 +*RES +1 *2473:la_data_out[28] *1037:5 47.2166 +2 *1037:5 *1037:7 4.5 +3 *1037:7 *1037:8 60.066 +4 *1037:8 *1037:14 30.2047 +5 *1037:14 *2472:la_data_out_core[28] 37.9834 +*END + +*D_NET *1038 0.0249856 +*CONN +*I *2472:la_data_out_core[29] I *D mgmt_protect +*I *2473:la_data_out[29] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[29] 0.0013551 +2 *2473:la_data_out[29] 0.00161892 +3 *1038:14 0.00155531 +4 *1038:8 0.00114025 +5 *1038:7 0.000940038 +6 *1038:5 0.00161892 +7 *2472:la_data_out_core[29] *2473:la_oenb[24] 7.50872e-05 +8 *2472:la_data_out_core[29] *1421:7 0 +9 *2472:la_data_out_core[29] *1422:7 0 +10 *1038:5 *2472:la_data_out_core[42] 0 +11 *1038:5 *1052:11 0.000284715 +12 *1038:5 *1436:5 0.000910279 +13 *1038:8 *1421:10 9.82896e-06 +14 *1038:8 *1421:12 0.00633412 +15 *1038:8 *1422:8 0.000306383 +16 *1038:14 *1421:10 0.00072275 +17 *1038:14 *1422:8 7.6719e-06 +18 *778:10 *1038:14 0.000645042 +19 *782:7 *2472:la_data_out_core[29] 0 +20 *782:14 *1038:8 0.00706051 +21 *782:14 *1038:14 9.16785e-05 +22 *1034:8 *1038:14 0.000308997 +*RES +1 *2473:la_data_out[29] *1038:5 48.4624 +2 *1038:5 *1038:7 4.5 +3 *1038:7 *1038:8 82.8047 +4 *1038:8 *1038:14 21.8856 +5 *1038:14 *2472:la_data_out_core[29] 36.0048 +*END + +*D_NET *1039 0.0573663 +*CONN +*I *2472:la_data_out_core[2] I *D mgmt_protect +*I *2473:la_data_out[2] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[2] 0.00155451 +2 *2473:la_data_out[2] 0.00208658 +3 *1039:12 0.00395321 +4 *1039:11 0.0023987 +5 *1039:9 0.00208658 +6 *2472:la_data_out_core[2] *1423:7 0 +7 *1039:9 *1767:7 0 +8 *1039:12 *1500:8 5.03285e-05 +9 *1039:12 *1769:8 0.00115309 +10 *733:14 *1039:12 0.0198614 +11 *783:7 *2472:la_data_out_core[2] 0 +12 *783:8 *1039:12 0.0242219 +*RES +1 *2473:la_data_out[2] *1039:9 46.0441 +2 *1039:9 *1039:11 4.5 +3 *1039:11 *1039:12 259.723 +4 *1039:12 *2472:la_data_out_core[2] 43.4116 +*END + +*D_NET *1040 0.0292148 +*CONN +*I *2472:la_data_out_core[30] I *D mgmt_protect +*I *2473:la_data_out[30] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[30] 0.0012846 +2 *2473:la_data_out[30] 0.000170636 +3 *1040:10 0.00229213 +4 *1040:9 0.00100754 +5 *1040:7 0.00201287 +6 *1040:5 0.00218351 +7 *2472:la_data_out_core[30] *1424:7 0 +8 *1040:7 *2472:la_data_out_core[44] 3.61346e-05 +9 *1040:10 *1424:8 0.0101423 +10 *1040:10 *1438:8 0 +11 *2473:la_data_in[30] *1040:7 0 +12 *782:13 *1040:10 0.000113197 +13 *784:7 *2472:la_data_out_core[30] 0 +14 *784:8 *1040:10 0.00988967 +15 *798:8 *1040:10 0 +16 *799:7 *1040:7 8.22264e-05 +*RES +1 *2473:la_data_out[30] *1040:5 1.77093 +2 *1040:5 *1040:7 50.2089 +3 *1040:7 *1040:9 4.5 +4 *1040:9 *1040:10 111.09 +5 *1040:10 *2472:la_data_out_core[30] 38.4285 +*END + +*D_NET *1041 0.0318589 +*CONN +*I *2472:la_data_out_core[31] I *D mgmt_protect +*I *2473:la_data_out[31] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[31] 0.00121836 +2 *2473:la_data_out[31] 0.00214997 +3 *1041:8 0.00227184 +4 *1041:7 0.00105348 +5 *1041:5 0.00214997 +6 *2472:la_data_out_core[31] *1424:7 0 +7 *2472:la_data_out_core[31] *1425:7 0 +8 *1041:5 *2472:la_data_out_core[46] 0 +9 *1041:5 *2472:la_data_out_core[47] 0 +10 *1041:5 *1441:5 0 +11 *1041:8 *1042:8 0.000298839 +12 *1041:8 *1425:8 0.0115462 +13 *785:7 *2472:la_data_out_core[31] 0 +14 *785:8 *1041:8 0.0110812 +15 *1035:10 *1041:8 8.90486e-05 +*RES +1 *2473:la_data_out[31] *1041:5 51.7844 +2 *1041:5 *1041:7 4.5 +3 *1041:7 *1041:8 125.509 +4 *1041:8 *2472:la_data_out_core[31] 36.7675 +*END + +*D_NET *1042 0.0332376 +*CONN +*I *2472:la_data_out_core[32] I *D mgmt_protect +*I *2473:la_data_out[32] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[32] 0.00118232 +2 *2473:la_data_out[32] 0.00218264 +3 *1042:8 0.00262331 +4 *1042:7 0.00144099 +5 *1042:5 0.00218264 +6 *2472:la_data_out_core[32] *2473:la_oenb[25] 0 +7 *2472:la_data_out_core[32] *1426:7 0 +8 *1042:5 *2472:la_data_out_core[49] 0 +9 *1042:5 *2472:la_data_out_core[50] 0 +10 *1042:5 *1444:7 0.000111326 +11 *1042:8 *1058:8 0 +12 *1042:8 *1060:8 0 +13 *1042:8 *1425:8 0.000171303 +14 *2473:la_data_in[26] *2472:la_data_out_core[32] 0 +15 *785:8 *1042:8 0.0103548 +16 *786:7 *2472:la_data_out_core[32] 0 +17 *786:8 *1042:8 0.012383 +18 *787:8 *1042:8 0.000306383 +19 *1041:8 *1042:8 0.000298839 +*RES +1 *2473:la_data_out[32] *1042:5 52.6149 +2 *1042:5 *1042:7 4.5 +3 *1042:7 *1042:8 139.374 +4 *1042:8 *2472:la_data_out_core[32] 35.937 +*END + +*D_NET *1043 0.027814 +*CONN +*I *2472:la_data_out_core[33] I *D mgmt_protect +*I *2473:la_data_out[33] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[33] 0.00140029 +2 *2473:la_data_out[33] 0.00173033 +3 *1043:8 0.00515536 +4 *1043:7 0.00548541 +5 *2472:la_data_out_core[33] *1427:7 0.000592544 +6 *1043:8 *1044:8 1.37077e-05 +7 *1043:8 *1428:8 0.0132665 +8 *2473:la_data_in[26] *2472:la_data_out_core[33] 0 +9 *787:7 *2472:la_data_out_core[33] 0 +10 *788:7 *2472:la_data_out_core[33] 0 +11 *1035:5 *2472:la_data_out_core[33] 0.000169892 +*RES +1 *2473:la_data_out[33] *1043:7 45.4878 +2 *1043:7 *1043:8 151.576 +3 *1043:8 *2472:la_data_out_core[33] 48.2969 +*END + +*D_NET *1044 0.0367622 +*CONN +*I *2472:la_data_out_core[34] I *D mgmt_protect +*I *2473:la_data_out[34] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[34] 0.00163395 +2 *2473:la_data_out[34] 0.00162315 +3 *1044:8 0.00355839 +4 *1044:7 0.0035476 +5 *2472:la_data_out_core[34] *2473:la_oenb[26] 0.000355859 +6 *2472:la_data_out_core[34] *1428:7 0 +7 *1044:8 *1045:8 0.000239984 +8 *1044:8 *1047:12 0.000501068 +9 *1044:8 *1048:14 0.0126046 +10 *1044:8 *1428:8 0.000360915 +11 *1044:8 *1431:8 0.000226394 +12 *788:7 *2472:la_data_out_core[34] 0 +13 *789:10 *1044:8 0 +14 *791:14 *1044:8 7.92757e-06 +15 *793:8 *1044:8 0.0120886 +16 *1035:5 *2472:la_data_out_core[34] 0 +17 *1043:8 *1044:8 1.37077e-05 +*RES +1 *2473:la_data_out[34] *1044:7 43.4116 +2 *1044:7 *1044:8 165.995 +3 *1044:8 *2472:la_data_out_core[34] 49.6404 +*END + +*D_NET *1045 0.0432193 +*CONN +*I *2472:la_data_out_core[35] I *D mgmt_protect +*I *2473:la_data_out[35] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[35] 0.000939904 +2 *2473:la_data_out[35] 0.00153741 +3 *1045:10 0.000939904 +4 *1045:8 0.00148232 +5 *1045:7 0.00301973 +6 *2472:la_data_out_core[35] *1429:5 0.00129087 +7 *1045:8 *1429:8 0.0166381 +8 *2473:la_data_in[27] *2472:la_data_out_core[35] 0.00133273 +9 *789:7 *2472:la_data_out_core[35] 0.00048253 +10 *789:10 *1045:8 2.20702e-05 +11 *791:14 *1045:8 0.0152937 +12 *1044:8 *1045:8 0.000239984 +*RES +1 *2473:la_data_out[35] *1045:7 41.7506 +2 *1045:7 *1045:8 177.642 +3 *1045:8 *1045:10 4.5 +4 *1045:10 *2472:la_data_out_core[35] 48.096 +*END + +*D_NET *1046 0.0395565 +*CONN +*I *2472:la_data_out_core[36] I *D mgmt_protect +*I *2473:la_data_out[36] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[36] 2.27669e-05 +2 *2473:la_data_out[36] 0.00107445 +3 *1046:11 0.00162496 +4 *1046:10 0.0016022 +5 *1046:8 0.00263371 +6 *1046:7 0.00370816 +7 *1046:7 *1073:17 0.000260314 +8 *1046:7 *1448:13 8.62625e-06 +9 *1046:8 *1049:8 0 +10 *1046:8 *1051:8 0.0151602 +11 *1046:8 *1052:8 0 +12 *1046:8 *1059:8 0.000113197 +13 *1046:8 *1432:8 0 +14 *1046:8 *1435:8 0 +15 *1046:8 *1436:8 0.00128833 +16 *1046:8 *1443:8 0.00883955 +17 *1046:11 *1430:7 0 +18 *790:5 *1046:11 0 +19 *790:8 *1046:8 0.000762044 +20 *817:7 *1046:7 0.000812097 +21 *1036:5 *1046:11 0.00164589 +*RES +1 *2473:la_data_out[36] *1046:7 38.4285 +2 *1046:7 *1046:8 192.062 +3 *1046:8 *1046:10 4.5 +4 *1046:10 *1046:11 50.2089 +5 *1046:11 *2472:la_data_out_core[36] 0.647305 +*END + +*D_NET *1047 0.0499341 +*CONN +*I *2472:la_data_out_core[37] I *D mgmt_protect +*I *2473:la_data_out[37] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[37] 0.000824049 +2 *2473:la_data_out[37] 0.00156122 +3 *1047:14 0.000824049 +4 *1047:12 0.0011168 +5 *1047:10 0.00116494 +6 *1047:8 0.000496467 +7 *1047:7 0.00200954 +8 *2472:la_data_out_core[37] *1431:7 0.00123291 +9 *1047:7 *1459:5 1.47102e-05 +10 *1047:8 *1431:8 0.00572108 +11 *1047:8 *1439:14 0.00566601 +12 *1047:12 *1431:8 7.02172e-06 +13 *2473:la_data_in[28] *2472:la_data_out_core[37] 0 +14 *791:9 *2472:la_data_out_core[37] 7.1812e-05 +15 *791:13 *2472:la_data_out_core[37] 0.00252743 +16 *791:14 *1047:8 4.89898e-06 +17 *791:14 *1047:12 0.0135476 +18 *793:8 *1047:12 0.0123477 +19 *793:18 *1047:10 3.30786e-05 +20 *819:7 *1047:7 0 +21 *820:5 *1047:7 0.000261642 +22 *1044:8 *1047:12 0.000501068 +*RES +1 *2473:la_data_out[37] *1047:7 43.8268 +2 *1047:7 *1047:8 60.6206 +3 *1047:8 *1047:10 1.39857 +4 *1047:10 *1047:12 143.811 +5 *1047:12 *1047:14 4.5 +6 *1047:14 *2472:la_data_out_core[37] 47.2655 +*END + +*D_NET *1048 0.0504126 +*CONN +*I *2472:la_data_out_core[38] I *D mgmt_protect +*I *2473:la_data_out[38] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[38] 0.00170044 +2 *2473:la_data_out[38] 0.00172154 +3 *1048:14 0.00272892 +4 *1048:13 0.00107881 +5 *1048:8 0.000795014 +6 *1048:7 0.00246622 +7 *2472:la_data_out_core[38] *1432:5 0 +8 *1048:8 *1054:12 0.00707834 +9 *1048:8 *1431:8 0.00650705 +10 *1048:8 *1439:14 0.000338561 +11 *1048:13 *1439:13 1.03986e-05 +12 *1048:14 *1431:8 0.0128128 +13 *2473:la_data_in[28] *2472:la_data_out_core[38] 0.000133726 +14 *792:7 *2472:la_data_out_core[38] 0 +15 *792:12 *1048:8 0.000344505 +16 *793:8 *1048:14 9.16621e-05 +17 *822:5 *1048:7 0 +18 *823:5 *1048:7 0 +19 *1044:8 *1048:14 0.0126046 +*RES +1 *2473:la_data_out[38] *1048:7 44.6573 +2 *1048:7 *1048:8 83.3593 +3 *1048:8 *1048:13 10.0015 +4 *1048:13 *1048:14 136.047 +5 *1048:14 *2472:la_data_out_core[38] 49.2251 +*END + +*D_NET *1049 0.0523513 +*CONN +*I *2472:la_data_out_core[39] I *D mgmt_protect +*I *2473:la_data_out[39] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[39] 0.00214174 +2 *2473:la_data_out[39] 0.00118268 +3 *1049:10 0.00214174 +4 *1049:8 0.00199209 +5 *1049:7 0.00317477 +6 *2472:la_data_out_core[39] *2473:la_oenb[28] 0 +7 *2472:la_data_out_core[39] *1433:5 0 +8 *1049:8 *1432:8 0.0206383 +9 *1049:8 *1435:8 0.0209505 +10 *793:5 *2472:la_data_out_core[39] 0 +11 *820:8 *1049:8 0 +12 *825:7 *1049:7 0.000129499 +13 *1037:5 *2472:la_data_out_core[39] 0 +14 *1046:8 *1049:8 0 +*RES +1 *2473:la_data_out[39] *1049:7 34.6913 +2 *1049:7 *1049:8 231.993 +3 *1049:8 *1049:10 4.5 +4 *1049:10 *2472:la_data_out_core[39] 53.8607 +*END + +*D_NET *1050 0.0563947 +*CONN +*I *2472:la_data_out_core[3] I *D mgmt_protect +*I *2473:la_data_out[3] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[3] 0.00114966 +2 *2473:la_data_out[3] 0.00244467 +3 *1050:8 0.00324425 +4 *1050:7 0.00209458 +5 *1050:5 0.00244467 +6 *2472:la_data_out_core[3] *1423:7 0 +7 *2472:la_data_out_core[3] *1434:7 0 +8 *1050:5 *2472:mprj_dat_i_user[4] 0 +9 *1050:5 *2472:mprj_dat_i_user[5] 0 +10 *1050:5 *1771:7 0.000166394 +11 *1050:8 *1061:8 0.0212529 +12 *1050:8 *1423:8 0.000291294 +13 *1050:8 *1434:8 0.0225908 +14 *1050:8 *1640:8 0.00031994 +15 *1050:8 *1642:8 0.000366428 +16 *1050:8 *1711:8 2.90905e-05 +17 *794:7 *2472:la_data_out_core[3] 0 +*RES +1 *2473:la_data_out[3] *1050:5 53.0301 +2 *1050:5 *1050:7 4.5 +3 *1050:7 *1050:8 247.522 +4 *1050:8 *2472:la_data_out_core[3] 35.5218 +*END + +*D_NET *1051 0.053027 +*CONN +*I *2472:la_data_out_core[40] I *D mgmt_protect +*I *2473:la_data_out[40] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[40] 0.00199913 +2 *2473:la_data_out[40] 0.00137076 +3 *1051:10 0.00199913 +4 *1051:8 0.00201884 +5 *1051:7 0.0033896 +6 *2472:la_data_out_core[40] *2473:la_oenb[28] 0 +7 *2472:la_data_out_core[40] *1433:5 0 +8 *2472:la_data_out_core[40] *1435:5 0 +9 *1051:7 *1468:7 8.62625e-06 +10 *1051:8 *1443:8 1.41689e-05 +11 *1051:8 *1446:8 0.0153662 +12 *1051:8 *1448:14 0.00800429 +13 *790:8 *1051:8 0.00346376 +14 *795:7 *2472:la_data_out_core[40] 0 +15 *828:7 *1051:7 0 +16 *829:5 *1051:7 0.000232269 +17 *1046:8 *1051:8 0.0151602 +*RES +1 *2473:la_data_out[40] *1051:7 38.8438 +2 *1051:7 *1051:8 245.858 +3 *1051:8 *1051:10 4.5 +4 *1051:10 *2472:la_data_out_core[40] 49.7081 +*END + +*D_NET *1052 0.0569562 +*CONN +*I *2472:la_data_out_core[41] I *D mgmt_protect +*I *2473:la_data_out[41] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[41] 2.27669e-05 +2 *2473:la_data_out[41] 0.00131921 +3 *1052:11 0.00202079 +4 *1052:10 0.00199802 +5 *1052:8 0.00215406 +6 *1052:7 0.00347327 +7 *1052:8 *1053:8 0.0237625 +8 *1052:8 *1057:8 0.0207187 +9 *1052:8 *1435:8 0.000298839 +10 *1052:8 *1436:8 0.000903336 +11 *1052:11 *1436:5 0 +12 *2473:la_data_in[29] *1052:11 0 +13 *796:7 *1052:11 0 +14 *831:5 *1052:7 0 +15 *1038:5 *1052:11 0.000284715 +16 *1046:8 *1052:8 0 +*RES +1 *2473:la_data_out[41] *1052:7 35.937 +2 *1052:7 *1052:8 258.614 +3 *1052:8 *1052:10 4.5 +4 *1052:10 *1052:11 52.7004 +5 *1052:11 *2472:la_data_out_core[41] 0.647305 +*END + +*D_NET *1053 0.0590407 +*CONN +*I *2472:la_data_out_core[42] I *D mgmt_protect +*I *2473:la_data_out[42] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[42] 0.00201326 +2 *2473:la_data_out[42] 0.00130461 +3 *1053:10 0.00201326 +4 *1053:8 0.00252228 +5 *1053:7 0.00382689 +6 *2472:la_data_out_core[42] *2473:la_oenb[29] 0.000297677 +7 *2472:la_data_out_core[42] *1436:5 0 +8 *2472:la_data_out_core[42] *1437:7 0 +9 *1053:8 *1057:8 0.000895002 +10 *1053:8 *1435:8 0.0224053 +11 *797:7 *2472:la_data_out_core[42] 0 +12 *798:7 *2472:la_data_out_core[42] 0 +13 *820:8 *1053:8 0 +14 *1038:5 *2472:la_data_out_core[42] 0 +15 *1052:8 *1053:8 0.0237625 +*RES +1 *2473:la_data_out[42] *1053:7 35.5218 +2 *1053:7 *1053:8 272.479 +3 *1053:8 *1053:10 4.5 +4 *1053:10 *2472:la_data_out_core[42] 53.0301 +*END + +*D_NET *1054 0.0481189 +*CONN +*I *2472:la_data_out_core[43] I *D mgmt_protect +*I *2473:la_data_out[43] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[43] 0.00103237 +2 *2473:la_data_out[43] 0.00165465 +3 *1054:18 0.00209471 +4 *1054:17 0.00174813 +5 *1054:12 0.00234667 +6 *1054:10 0.00168151 +7 *1054:8 0.00161672 +8 *1054:7 0.00325074 +9 *2472:la_data_out_core[43] *1438:7 0 +10 *1054:7 *1476:7 8.62625e-06 +11 *1054:8 *1082:8 0 +12 *1054:8 *1085:8 6.08538e-05 +13 *1054:8 *1089:8 0.000523718 +14 *1054:8 *1439:14 0.0018007 +15 *1054:8 *1470:10 0.00052801 +16 *1054:8 *1474:10 0.00151983 +17 *1054:12 *1076:8 0 +18 *1054:12 *1082:8 1.41688e-05 +19 *1054:12 *1439:14 0.000562009 +20 *1054:12 *1461:8 0 +21 *1054:12 *1463:8 0 +22 *1054:17 *2473:la_oenb[34] 0 +23 *1054:17 *1452:7 0 +24 *1054:18 *1437:8 0.00382957 +25 *1054:18 *1448:8 0.00031258 +26 *792:12 *1054:12 0.00639663 +27 *795:8 *1054:18 0.00980994 +28 *798:7 *2472:la_data_out_core[43] 0 +29 *837:5 *1054:7 0.000248404 +30 *1048:8 *1054:12 0.00707834 +*RES +1 *2473:la_data_out[43] *1054:7 44.6573 +2 *1054:7 *1054:8 74.4857 +3 *1054:8 *1054:10 0.578717 +4 *1054:10 *1054:12 107.762 +5 *1054:12 *1054:17 24.5353 +6 *1054:17 *1054:18 103.88 +7 *1054:18 *2472:la_data_out_core[43] 32.615 +*END + +*D_NET *1055 0.0670208 +*CONN +*I *2472:la_data_out_core[44] I *D mgmt_protect +*I *2473:la_data_out[44] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[44] 0.00137231 +2 *2473:la_data_out[44] 0.00206942 +3 *1055:8 0.00382298 +4 *1055:7 0.00245067 +5 *1055:5 0.00206942 +6 *2472:la_data_out_core[44] *1439:7 0 +7 *1055:5 *2472:la_data_out_core[81] 0.000489931 +8 *1055:5 *1056:11 0 +9 *1055:5 *1480:7 2.72089e-05 +10 *1055:8 *1056:14 0.02713 +11 *1055:8 *1095:8 4.03856e-05 +12 *1055:8 *1424:8 4.17356e-05 +13 *1055:8 *1425:8 0 +14 *1055:8 *1438:8 0.000347135 +15 *1055:8 *1440:8 0.0271235 +16 *799:7 *2472:la_data_out_core[44] 0 +17 *840:5 *1055:5 0 +18 *1040:7 *2472:la_data_out_core[44] 3.61346e-05 +*RES +1 *2473:la_data_out[44] *1055:5 48.4624 +2 *1055:5 *1055:7 4.5 +3 *1055:7 *1055:8 299.1 +4 *1055:8 *2472:la_data_out_core[44] 40.0896 +*END + +*D_NET *1056 0.0699579 +*CONN +*I *2472:la_data_out_core[45] I *D mgmt_protect +*I *2473:la_data_out[45] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[45] 0.00139295 +2 *2473:la_data_out[45] 0.0013524 +3 *1056:14 0.0036502 +4 *1056:13 0.00225726 +5 *1056:11 0.000834195 +6 *1056:7 0.0021866 +7 *2472:la_data_out_core[45] *2473:la_oenb[30] 4.93854e-05 +8 *2472:la_data_out_core[45] *1439:7 0 +9 *2472:la_data_out_core[45] *1440:7 0 +10 *1056:11 *2472:la_data_out_core[81] 0 +11 *1056:11 *1057:8 0.000556925 +12 *1056:11 *1091:8 0.0023187 +13 *1056:11 *1481:10 0.00106121 +14 *1056:14 *1095:8 0.000139256 +15 *1056:14 *1438:8 0.0260405 +16 *1056:14 *1477:10 8.47539e-05 +17 *2473:la_data_in[31] *2472:la_data_out_core[45] 0 +18 *799:14 *1056:14 1.75155e-06 +19 *800:7 *2472:la_data_out_core[45] 0 +20 *840:5 *1056:11 0.000901806 +21 *1055:5 *1056:11 0 +22 *1055:8 *1056:14 0.02713 +*RES +1 *2473:la_data_out[45] *1056:7 35.5218 +2 *1056:7 *1056:11 46.2677 +3 *1056:11 *1056:13 4.5 +4 *1056:13 *1056:14 288.008 +5 *1056:14 *2472:la_data_out_core[45] 40.5048 +*END + +*D_NET *1057 0.0692406 +*CONN +*I *2472:la_data_out_core[46] I *D mgmt_protect +*I *2473:la_data_out[46] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[46] 0.00214814 +2 *2473:la_data_out[46] 0.00139526 +3 *1057:10 0.00214814 +4 *1057:8 0.00279568 +5 *1057:7 0.00419094 +6 *2472:la_data_out_core[46] *1440:7 0 +7 *2472:la_data_out_core[46] *1441:5 0 +8 *1057:8 *1091:8 0.000721383 +9 *1057:8 *1436:8 0.000113197 +10 *1057:8 *1441:8 0.0305015 +11 *1057:8 *1481:10 0.00305573 +12 *2473:la_data_in[31] *2472:la_data_out_core[46] 0 +13 *801:7 *2472:la_data_out_core[46] 0 +14 *846:5 *1057:7 0 +15 *1041:5 *2472:la_data_out_core[46] 0 +16 *1052:8 *1057:8 0.0207187 +17 *1053:8 *1057:8 0.000895002 +18 *1056:11 *1057:8 0.000556925 +*RES +1 *2473:la_data_out[46] *1057:7 36.3523 +2 *1057:7 *1057:8 325.721 +3 *1057:8 *1057:10 4.5 +4 *1057:10 *2472:la_data_out_core[46] 52.1996 +*END + +*D_NET *1058 0.0754708 +*CONN +*I *2472:la_data_out_core[47] I *D mgmt_protect +*I *2473:la_data_out[47] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[47] 0.00134941 +2 *2473:la_data_out[47] 0.002218 +3 *1058:8 0.00415906 +4 *1058:7 0.00280965 +5 *1058:5 0.002218 +6 *2472:la_data_out_core[47] *1441:5 0 +7 *2472:la_data_out_core[47] *1442:7 0 +8 *1058:5 *2472:la_data_out_core[89] 0.000489505 +9 *1058:5 *1442:11 0 +10 *1058:5 *1488:7 0 +11 *1058:8 *1060:8 0.0304049 +12 *1058:8 *1425:8 5.96914e-05 +13 *1058:8 *1440:8 0.000113197 +14 *1058:8 *1442:8 0.0316494 +15 *802:7 *2472:la_data_out_core[47] 0 +16 *848:7 *1058:5 0 +17 *1041:5 *2472:la_data_out_core[47] 0 +18 *1042:8 *1058:8 0 +*RES +1 *2473:la_data_out[47] *1058:5 49.7081 +2 *1058:5 *1058:7 4.5 +3 *1058:7 *1058:8 339.032 +4 *1058:8 *2472:la_data_out_core[47] 38.8438 +*END + +*D_NET *1059 0.0785179 +*CONN +*I *2472:la_data_out_core[48] I *D mgmt_protect +*I *2473:la_data_out[48] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[48] 0.00211118 +2 *2473:la_data_out[48] 0.00148008 +3 *1059:10 0.00211118 +4 *1059:8 0.00255758 +5 *1059:7 0.00403766 +6 *2472:la_data_out_core[48] *2473:la_oenb[31] 0 +7 *2472:la_data_out_core[48] *1442:7 0 +8 *2472:la_data_out_core[48] *1443:5 0 +9 *1059:7 *2472:la_data_out_core[91] 0 +10 *1059:8 *1436:8 0.0198288 +11 *1059:8 *1441:8 0.00013491 +12 *1059:8 *1443:8 0.0330055 +13 *1059:8 *1472:10 0.0131378 +14 *2473:la_data_in[32] *2472:la_data_out_core[48] 0 +15 *803:7 *2472:la_data_out_core[48] 0 +16 *1046:8 *1059:8 0.000113197 +*RES +1 *2473:la_data_out[48] *1059:7 37.598 +2 *1059:7 *1059:8 352.342 +3 *1059:8 *1059:10 4.5 +4 *1059:10 *2472:la_data_out_core[48] 50.9539 +*END + +*D_NET *1060 0.0793096 +*CONN +*I *2472:la_data_out_core[49] I *D mgmt_protect +*I *2473:la_data_out[49] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[49] 0.00133482 +2 *2473:la_data_out[49] 0.00244996 +3 *1060:8 0.00444707 +4 *1060:7 0.00311225 +5 *1060:5 0.00244996 +6 *2472:la_data_out_core[49] *1443:5 0 +7 *2472:la_data_out_core[49] *1444:7 0 +8 *1060:5 *2472:la_data_out_core[94] 0 +9 *1060:8 *1062:8 0.0336668 +10 *1060:8 *1106:8 0.000630393 +11 *1060:8 *1442:8 0.000233938 +12 *1060:8 *1444:8 0.00020979 +13 *1060:8 *1488:8 2.50864e-05 +14 *1060:8 *1493:8 0.000344639 +15 *2473:la_data_in[32] *2472:la_data_out_core[49] 0 +16 *787:8 *1060:8 0 +17 *804:7 *2472:la_data_out_core[49] 0 +18 *855:5 *1060:5 0 +19 *1042:5 *2472:la_data_out_core[49] 0 +20 *1042:8 *1060:8 0 +21 *1058:8 *1060:8 0.0304049 +*RES +1 *2473:la_data_out[49] *1060:5 50.1234 +2 *1060:5 *1060:7 4.5 +3 *1060:7 *1060:8 365.653 +4 *1060:8 *2472:la_data_out_core[49] 38.4285 +*END + +*D_NET *1061 0.0533596 +*CONN +*I *2472:la_data_out_core[4] I *D mgmt_protect +*I *2473:la_data_out[4] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[4] 0.00115162 +2 *2473:la_data_out[4] 0.00231933 +3 *1061:8 0.00314275 +4 *1061:7 0.00199113 +5 *1061:5 0.00231933 +6 *2472:la_data_out_core[4] *1445:7 0 +7 *1061:5 *2472:mprj_dat_i_user[7] 0.000176075 +8 *1061:5 *1774:5 0 +9 *1061:8 *1434:8 0.000113197 +10 *1061:8 *1445:8 0.000157173 +11 *1061:8 *1644:8 4.91225e-06 +12 *1061:8 *1711:8 0.000189584 +13 *805:5 *2472:la_data_out_core[4] 0 +14 *816:8 *1061:8 0.0205416 +15 *1050:8 *1061:8 0.0212529 +*RES +1 *2473:la_data_out[4] *1061:5 52.6149 +2 *1061:5 *1061:7 4.5 +3 *1061:7 *1061:8 233.657 +4 *1061:8 *2472:la_data_out_core[4] 35.937 +*END + +*D_NET *1062 0.0838944 +*CONN +*I *2472:la_data_out_core[50] I *D mgmt_protect +*I *2473:la_data_out[50] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[50] 0.00129765 +2 *2473:la_data_out[50] 0.00227678 +3 *1062:8 0.00433256 +4 *1062:7 0.00303491 +5 *1062:5 0.00227678 +6 *2472:la_data_out_core[50] *2473:la_oenb[32] 5.93521e-05 +7 *2472:la_data_out_core[50] *1444:7 0 +8 *2472:la_data_out_core[50] *1446:5 0 +9 *1062:5 *1113:11 0.000875879 +10 *1062:8 *1063:8 0.000565984 +11 *1062:8 *1112:8 0.000785032 +12 *1062:8 *1444:8 0.0343401 +13 *1062:8 *1493:8 0.000172773 +14 *1062:8 *1495:8 0.00020979 +15 *806:7 *2472:la_data_out_core[50] 0 +16 *857:5 *1062:5 0 +17 *1042:5 *2472:la_data_out_core[50] 0 +18 *1060:8 *1062:8 0.0336668 +*RES +1 *2473:la_data_out[50] *1062:5 50.5386 +2 *1062:5 *1062:7 4.5 +3 *1062:7 *1062:8 378.963 +4 *1062:8 *2472:la_data_out_core[50] 38.0133 +*END + +*D_NET *1063 0.0848529 +*CONN +*I *2472:la_data_out_core[51] I *D mgmt_protect +*I *2473:la_data_out[51] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[51] 0.00127936 +2 *2473:la_data_out[51] 0.00262043 +3 *1063:8 0.00476209 +4 *1063:7 0.00348273 +5 *1063:5 0.00262043 +6 *2472:la_data_out_core[51] *1447:7 0 +7 *1063:5 *1374:7 0 +8 *1063:5 *1449:17 0 +9 *1063:8 *1065:8 0.0353355 +10 *1063:8 *1112:8 0.000424402 +11 *1063:8 *1444:8 0.0335139 +12 *1063:8 *1499:8 0.000110684 +13 *2473:la_data_in[33] *2472:la_data_out_core[51] 0.000137372 +14 *788:8 *1063:8 0 +15 *807:7 *2472:la_data_out_core[51] 0 +16 *1062:8 *1063:8 0.000565984 +*RES +1 *2473:la_data_out[51] *1063:5 51.3691 +2 *1063:5 *1063:7 4.5 +3 *1063:7 *1063:8 391.719 +4 *1063:8 *2472:la_data_out_core[51] 37.9156 +*END + +*D_NET *1064 0.0730299 +*CONN +*I *2472:la_data_out_core[52] I *D mgmt_protect +*I *2473:la_data_out[52] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[52] 0.000942352 +2 *2473:la_data_out[52] 0.00228393 +3 *1064:14 0.00359199 +4 *1064:13 0.00322219 +5 *1064:8 0.00353193 +6 *1064:7 0.00295938 +7 *1064:5 0.00228393 +8 *2472:la_data_out_core[52] *1447:7 0 +9 *2472:la_data_out_core[52] *1448:7 0 +10 *1064:8 *1066:8 0.000600274 +11 *1064:8 *1108:8 0.000143882 +12 *1064:8 *1437:14 0.0084629 +13 *1064:8 *1447:14 0.0265279 +14 *1064:8 *1477:10 0.00739831 +15 *1064:13 *1066:13 0 +16 *1064:14 *1066:14 0.00856268 +17 *1064:14 *1439:8 0 +18 *1064:14 *1447:8 0 +19 *1064:14 *1448:8 0 +20 *1064:14 *1449:8 8.47539e-05 +21 *1064:14 *1450:10 0.00023441 +22 *1064:14 *1451:8 1.41689e-05 +23 *1064:14 *1462:8 0.000171288 +24 *798:8 *1064:8 0.000167258 +25 *799:8 *1064:8 0.000101869 +26 *799:14 *1064:8 0.00142007 +27 *808:7 *2472:la_data_out_core[52] 0 +28 *823:5 *1064:13 0.000324473 +*RES +1 *2473:la_data_out[52] *1064:5 45.5556 +2 *1064:5 *1064:7 4.5 +3 *1064:7 *1064:8 296.882 +4 *1064:8 *1064:13 23.7048 +5 *1064:13 *1064:14 109.426 +6 *1064:14 *2472:la_data_out_core[52] 28.4625 +*END + +*D_NET *1065 0.0911409 +*CONN +*I *2472:la_data_out_core[53] I *D mgmt_protect +*I *2473:la_data_out[53] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[53] 0.00127141 +2 *2473:la_data_out[53] 0.00222152 +3 *1065:8 0.00489744 +4 *1065:7 0.00362603 +5 *1065:5 0.00222152 +6 *2472:la_data_out_core[53] *2473:la_oenb[33] 5.82975e-05 +7 *2472:la_data_out_core[53] *1448:7 0 +8 *2472:la_data_out_core[53] *1449:7 0 +9 *1065:8 *1067:10 0.0378395 +10 *1065:8 *1374:8 0.00134021 +11 *1065:8 *1378:8 0.000538827 +12 *1065:8 *1499:8 0.000120705 +13 *2473:la_data_in[34] *2472:la_data_out_core[53] 0 +14 *788:8 *1065:8 0 +15 *796:8 *1065:8 0 +16 *809:7 *2472:la_data_out_core[53] 0 +17 *995:11 *1065:5 0.0016699 +18 *1063:8 *1065:8 0.0353355 +*RES +1 *2473:la_data_out[53] *1065:5 51.7844 +2 *1065:5 *1065:7 4.5 +3 *1065:7 *1065:8 418.895 +4 *1065:8 *2472:la_data_out_core[53] 36.7675 +*END + +*D_NET *1066 0.0925999 +*CONN +*I *2472:la_data_out_core[54] I *D mgmt_protect +*I *2473:la_data_out[54] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[54] 0.00094822 +2 *2473:la_data_out[54] 0.00220382 +3 *1066:14 0.00173837 +4 *1066:13 0.00153373 +5 *1066:8 0.00378699 +6 *1066:7 0.00524723 +7 *2472:la_data_out_core[54] *1449:7 0 +8 *2472:la_data_out_core[54] *1450:7 0 +9 *1066:7 *1451:17 0.000198577 +10 *1066:8 *1076:8 0.0321159 +11 *1066:8 *1108:8 0.000236924 +12 *1066:8 *1381:10 0.000217951 +13 *1066:8 *1447:14 0.0267361 +14 *1066:8 *1477:10 0.000682903 +15 *1066:13 *1462:7 8.62625e-06 +16 *1066:14 *1449:8 0.000264018 +17 *1066:14 *1451:8 0.00751763 +18 *2472:la_data_out_core[107] *1066:7 0 +19 *810:7 *2472:la_data_out_core[54] 0 +20 *822:5 *1066:13 0 +21 *823:5 *1066:13 0 +22 *1064:8 *1066:8 0.000600274 +23 *1064:13 *1066:13 0 +24 *1064:14 *1066:14 0.00856268 +*RES +1 *2473:la_data_out[54] *1066:7 49.2251 +2 *1066:7 *1066:8 341.805 +3 *1066:8 *1066:13 24.9506 +4 *1066:13 *1066:14 91.1238 +5 *1066:14 *2472:la_data_out_core[54] 28.0472 +*END + +*D_NET *1067 0.0966822 +*CONN +*I *2472:la_data_out_core[55] I *D mgmt_protect +*I *2473:la_data_out[55] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[55] 0.00122704 +2 *2473:la_data_out[55] 0.000228735 +3 *1067:10 0.00502029 +4 *1067:9 0.00379325 +5 *1067:7 0.00270138 +6 *1067:5 0.00293012 +7 *2472:la_data_out_core[55] *1437:13 0 +8 *2472:la_data_out_core[55] *1439:13 0.000321771 +9 *2472:la_data_out_core[55] *1450:7 0 +10 *2472:la_data_out_core[55] *1451:7 0 +11 *1067:7 *1073:13 8.29708e-05 +12 *1067:7 *1383:9 0 +13 *1067:10 *1069:12 0.0401247 +14 *1067:10 *1378:8 0.00109633 +15 *1067:10 *1382:8 0.00131609 +16 *2473:la_data_in[55] *1067:7 0 +17 *796:8 *1067:10 0 +18 *811:7 *2472:la_data_out_core[55] 0 +19 *1065:8 *1067:10 0.0378395 +*RES +1 *2473:la_data_out[55] *1067:5 2.33274 +2 *1067:5 *1067:7 52.2851 +3 *1067:7 *1067:9 4.5 +4 *1067:9 *1067:10 443.297 +5 *1067:10 *2472:la_data_out_core[55] 36.3523 +*END + +*D_NET *1068 0.100224 +*CONN +*I *2472:la_data_out_core[56] I *D mgmt_protect +*I *2473:la_data_out[56] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[56] 0.00093026 +2 *2473:la_data_out[56] 0.00167979 +3 *1068:14 0.00143513 +4 *1068:13 0.00149053 +5 *1068:8 0.00398534 +6 *1068:7 0.00467947 +7 *2472:la_data_out_core[56] *1451:7 0 +8 *2472:la_data_out_core[56] *1452:7 0 +9 *1068:7 *1387:5 0 +10 *1068:8 *1379:8 0.000253421 +11 *1068:8 *1387:10 0.000538827 +12 *1068:8 *1450:14 0.033884 +13 *1068:8 *1452:10 0.0372973 +14 *1068:8 *1494:14 0.00128045 +15 *1068:13 *2473:la_oenb[37] 0 +16 *1068:14 *1449:8 0.00595019 +17 *1068:14 *1451:8 0.00594597 +18 *812:7 *2472:la_data_out_core[56] 0 +19 *821:11 *1068:13 0.000588523 +20 *1004:17 *1068:7 0.000284233 +*RES +1 *2473:la_data_out[56] *1068:7 40.0896 +2 *1068:7 *1068:8 395.601 +3 *1068:8 *1068:13 34.9166 +4 *1068:13 *1068:14 63.3936 +5 *1068:14 *2472:la_data_out_core[56] 27.2167 +*END + +*D_NET *1069 0.102621 +*CONN +*I *2472:la_data_out_core[57] I *D mgmt_protect +*I *2473:la_data_out[57] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[57] 0.00129945 +2 *2473:la_data_out[57] 0.00281396 +3 *1069:12 0.00473281 +4 *1069:10 0.00384548 +5 *1069:5 0.00322607 +6 *2472:la_data_out_core[57] *1452:7 0 +7 *2472:la_data_out_core[57] *1453:7 0 +8 *1069:5 *1390:5 0 +9 *1069:10 *1385:8 0.00128951 +10 *1069:10 *1389:8 0.000950798 +11 *1069:10 *1453:12 0.00389262 +12 *1069:12 *1382:8 8.66138e-05 +13 *1069:12 *1385:8 0.000171288 +14 *1069:12 *1453:8 0.0401714 +15 *1069:12 *1453:12 1.67988e-05 +16 *2472:la_data_out_core[115] *1069:5 0 +17 *796:8 *1069:12 0 +18 *813:7 *2472:la_data_out_core[57] 0 +19 *1067:10 *1069:12 0.0401247 +*RES +1 *2473:la_data_out[57] *1069:5 53.0301 +2 *1069:5 *1069:10 46.2882 +3 *1069:10 *1069:12 431.096 +4 *1069:12 *2472:la_data_out_core[57] 35.937 +*END + +*D_NET *1070 0.104885 +*CONN +*I *2472:la_data_out_core[58] I *D mgmt_protect +*I *2473:la_data_out[58] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[58] 0.00127721 +2 *2473:la_data_out[58] 0.00333474 +3 *1070:14 0.00471149 +4 *1070:13 0.00380321 +5 *1070:8 0.00161769 +6 *1070:7 0.00124876 +7 *1070:5 0.00333474 +8 *2472:la_data_out_core[58] *1453:7 0 +9 *2472:la_data_out_core[58] *1454:5 0 +10 *1070:8 *1458:14 0.000483488 +11 *1070:8 *1460:14 0.00600554 +12 *1070:13 *1385:7 0.000373157 +13 *1070:14 *1453:8 0.039583 +14 *1070:14 *1455:8 0.0385933 +15 *2472:la_data_out_core[111] *1070:13 0 +16 *753:7 *1070:5 0 +17 *754:5 *1070:5 0 +18 *796:8 *1070:14 0.000167409 +19 *814:7 *2472:la_data_out_core[58] 0 +20 *856:8 *1070:8 0.000351226 +*RES +1 *2473:la_data_out[58] *1070:5 61.3352 +2 *1070:5 *1070:7 4.5 +3 *1070:7 *1070:8 63.9482 +4 *1070:8 *1070:13 17.0608 +5 *1070:13 *1070:14 421.668 +6 *1070:14 *2472:la_data_out_core[58] 35.1065 +*END + +*D_NET *1071 0.110528 +*CONN +*I *2472:la_data_out_core[59] I *D mgmt_protect +*I *2473:la_data_out[59] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[59] 0.0017606 +2 *2473:la_data_out[59] 0.00183782 +3 *1071:10 0.0017606 +4 *1071:8 0.00376705 +5 *1071:7 0.00560487 +6 *2472:la_data_out_core[59] *1450:13 0.00115301 +7 *2472:la_data_out_core[59] *1454:5 0 +8 *2472:la_data_out_core[59] *1455:7 0 +9 *1071:8 *1393:14 0.00110368 +10 *1071:8 *1454:8 0.0456672 +11 *1071:8 *1457:10 0.000117922 +12 *1071:8 *1457:12 0.0446551 +13 *1071:8 *1465:14 8.66138e-05 +14 *1071:8 *1490:10 0.000473848 +15 *757:5 *1071:7 0 +16 *791:14 *1071:8 0.00204671 +17 *815:7 *2472:la_data_out_core[59] 0 +18 *1002:13 *1071:7 0.000447553 +19 *1004:13 *1071:7 4.58666e-05 +20 *1013:11 *1071:7 0 +*RES +1 *2473:la_data_out[59] *1071:7 41.7506 +2 *1071:7 *1071:8 498.757 +3 *1071:8 *1071:10 4.5 +4 *1071:10 *2472:la_data_out_core[59] 46.8014 +*END + +*D_NET *1072 0.0514992 +*CONN +*I *2472:la_data_out_core[5] I *D mgmt_protect +*I *2473:la_data_out[5] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[5] 0.00118425 +2 *2473:la_data_out[5] 0.00228362 +3 *1072:8 0.00289432 +4 *1072:7 0.00171008 +5 *1072:5 0.00228362 +6 *2472:la_data_out_core[5] *1456:7 0 +7 *1072:5 *2472:mprj_dat_i_user[10] 0 +8 *1072:5 *1614:7 7.65861e-05 +9 *1072:5 *1776:7 0 +10 *1072:8 *1445:8 0.0202847 +11 *1072:8 *1456:8 0.000233938 +12 *816:7 *2472:la_data_out_core[5] 0 +13 *816:8 *1072:8 0.0205481 +14 *816:11 *1072:5 0 +*RES +1 *2473:la_data_out[5] *1072:5 51.7844 +2 *1072:5 *1072:7 4.5 +3 *1072:7 *1072:8 220.901 +4 *1072:8 *2472:la_data_out_core[5] 36.7675 +*END + +*D_NET *1073 0.0679458 +*CONN +*I *2472:la_data_out_core[60] I *D mgmt_protect +*I *2473:la_data_out[60] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[60] 2.27669e-05 +2 *2473:la_data_out[60] 0.000538139 +3 *1073:17 0.00207932 +4 *1073:16 0.00205655 +5 *1073:14 0.00922983 +6 *1073:13 0.00955821 +7 *1073:8 0.00269348 +8 *1073:7 0.00290324 +9 *1073:17 *2473:la_oenb[36] 0 +10 *1073:17 *1447:13 0.000959915 +11 *1073:17 *1448:13 0.000260534 +12 *1073:17 *1457:5 0.000739351 +13 *817:7 *1073:17 0 +14 *817:10 *1073:14 0.0105174 +15 *817:14 *1073:14 0.0146589 +16 *818:5 *1073:17 0 +17 *840:8 *1073:8 0.0102246 +18 *853:10 *1073:14 0 +19 *855:8 *1073:14 0 +20 *857:8 *1073:14 0 +21 *859:8 *1073:14 0 +22 *991:8 *1073:14 0 +23 *993:8 *1073:14 8.76492e-05 +24 *995:8 *1073:14 0.000264355 +25 *997:8 *1073:14 0.000391181 +26 *999:8 *1073:14 0.000417084 +27 *1046:7 *1073:17 0.000260314 +28 *1067:7 *1073:13 8.29708e-05 +*RES +1 *2473:la_data_out[60] *1073:7 16.8354 +2 *1073:7 *1073:8 107.762 +3 *1073:8 *1073:13 16.2303 +4 *1073:13 *1073:14 403.92 +5 *1073:14 *1073:16 4.5 +6 *1073:16 *1073:17 64.7427 +7 *1073:17 *2472:la_data_out_core[60] 0.647305 +*END + +*D_NET *1074 0.113 +*CONN +*I *2472:la_data_out_core[61] I *D mgmt_protect +*I *2473:la_data_out[61] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[61] 0.000270725 +2 *2473:la_data_out[61] 0.00406525 +3 *1074:16 0.00414426 +4 *1074:14 0.00422445 +5 *1074:8 0.00102605 +6 *1074:7 0.000675131 +7 *1074:5 0.00406525 +8 *2472:la_data_out_core[61] *1458:7 0 +9 *1074:5 *1402:7 0.00037344 +10 *1074:8 *1077:8 0.00658903 +11 *1074:14 *1077:8 0.000700705 +12 *1074:16 *1077:8 0.0373091 +13 *2472:la_data_out_core[126] *1074:5 0.000494052 +14 *486:21 *1074:8 0 +15 *763:5 *1074:5 0 +16 *812:8 *1074:16 0.0384273 +17 *814:8 *1074:16 0.000580091 +18 *814:16 *1074:14 0.00120541 +19 *815:8 *1074:8 0.000811595 +20 *815:8 *1074:14 0.00283866 +21 *815:8 *1074:16 0.000523547 +22 *818:5 *2472:la_data_out_core[61] 0 +23 *1012:14 *1074:8 0.00467562 +*RES +1 *2473:la_data_out[61] *1074:5 77.53 +2 *1074:5 *1074:7 4.5 +3 *1074:7 *1074:8 70.6034 +4 *1074:8 *1074:14 31.5521 +5 *1074:14 *1074:16 424.718 +6 *1074:16 *2472:la_data_out_core[61] 11.0219 +*END + +*D_NET *1075 0.118437 +*CONN +*I *2472:la_data_out_core[62] I *D mgmt_protect +*I *2473:la_data_out[62] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[62] 0.000833164 +2 *2473:la_data_out[62] 0.00348659 +3 *1075:14 0.00509646 +4 *1075:13 0.00465498 +5 *1075:8 0.0009299 +6 *1075:7 0.000538218 +7 *1075:5 0.00348659 +8 *2472:la_data_out_core[62] *1459:5 0 +9 *1075:5 *2472:user_irq_core[1] 0 +10 *1075:5 *2472:user_irq_core[2] 4.59193e-05 +11 *1075:8 *1460:18 0.00598173 +12 *1075:8 *1462:8 0.00597332 +13 *1075:14 *1086:8 0 +14 *1075:14 *1088:8 6.0055e-05 +15 *1075:14 *1090:8 0.000243701 +16 *1075:14 *1455:8 1.41853e-05 +17 *1075:14 *1455:14 0.00244356 +18 *1075:14 *1458:8 0.0420935 +19 *1075:14 *1471:8 0 +20 *1075:14 *1473:8 9.48476e-05 +21 *1075:14 *1475:8 0.0329946 +22 *757:5 *1075:13 0 +23 *796:8 *1075:14 0.00776607 +24 *819:7 *2472:la_data_out_core[62] 0.00169922 +25 *1013:11 *1075:13 0 +*RES +1 *2473:la_data_out[62] *1075:5 60.9199 +2 *1075:5 *1075:7 4.5 +3 *1075:7 *1075:8 63.9482 +4 *1075:8 *1075:13 15.3998 +5 *1075:13 *1075:14 474.909 +6 *1075:14 *2472:la_data_out_core[62] 33.8608 +*END + +*D_NET *1076 0.114134 +*CONN +*I *2472:la_data_out_core[63] I *D mgmt_protect +*I *2473:la_data_out[63] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[63] 0.00174984 +2 *2473:la_data_out[63] 0.00247718 +3 *1076:8 0.00722963 +4 *1076:7 0.00795697 +5 *2472:la_data_out_core[63] *1460:7 0 +6 *1076:8 *1108:8 0.000344308 +7 *1076:8 *1381:10 0.000694433 +8 *1076:8 *1383:15 0.00283686 +9 *1076:8 *1397:8 0.00658974 +10 *1076:8 *1447:14 0.000759171 +11 *1076:8 *1461:8 0.0509252 +12 *1076:8 *1477:10 0.000455138 +13 *792:12 *1076:8 0 +14 *820:5 *2472:la_data_out_core[63] 0 +15 *1054:12 *1076:8 0 +16 *1066:8 *1076:8 0.0321159 +*RES +1 *2473:la_data_out[63] *1076:7 48.8099 +2 *1076:7 *1076:8 552.554 +3 *1076:8 *2472:la_data_out_core[63] 44.2421 +*END + +*D_NET *1077 0.123268 +*CONN +*I *2472:la_data_out_core[64] I *D mgmt_protect +*I *2473:la_data_out[64] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[64] 0.000240847 +2 *2473:la_data_out[64] 0.00441582 +3 *1077:8 0.00506926 +4 *1077:7 0.00482841 +5 *1077:5 0.00441582 +6 *2472:la_data_out_core[64] *1461:7 0 +7 *1077:8 *1078:8 0.0521304 +8 *814:8 *1077:8 0.000291294 +9 *814:16 *1077:8 0.00119699 +10 *821:9 *2472:la_data_out_core[64] 0.000105145 +11 *821:11 *2472:la_data_out_core[64] 0.000178538 +12 *1012:14 *1077:8 0.000240563 +13 *1019:14 *1077:8 0.00555602 +14 *1074:8 *1077:8 0.00658903 +15 *1074:14 *1077:8 0.000700705 +16 *1074:16 *1077:8 0.0373091 +*RES +1 *2473:la_data_out[64] *1077:5 77.1148 +2 *1077:5 *1077:7 4.5 +3 *1077:7 *1077:8 564.755 +4 *1077:8 *2472:la_data_out_core[64] 12.17 +*END + +*D_NET *1078 0.12624 +*CONN +*I *2472:la_data_out_core[65] I *D mgmt_protect +*I *2473:la_data_out[65] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[65] 0.000313598 +2 *2473:la_data_out[65] 0.00436664 +3 *1078:8 0.00515911 +4 *1078:7 0.00484552 +5 *1078:5 0.00436664 +6 *2472:la_data_out_core[65] *1462:7 0 +7 *814:8 *1078:8 0.0382149 +8 *822:5 *2472:la_data_out_core[65] 0 +9 *832:8 *1078:8 5.9852e-05 +10 *1007:14 *1078:8 0.0158415 +11 *1019:14 *1078:8 0.000941784 +12 *1077:8 *1078:8 0.0521304 +*RES +1 *2473:la_data_out[65] *1078:5 76.6995 +2 *1078:5 *1078:7 4.5 +3 *1078:7 *1078:8 579.175 +4 *1078:8 *2472:la_data_out_core[65] 11.8524 +*END + +*D_NET *1079 0.128681 +*CONN +*I *2472:la_data_out_core[66] I *D mgmt_protect +*I *2473:la_data_out[66] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[66] 0.00103095 +2 *2473:la_data_out[66] 0.00341662 +3 *1079:8 0.00608989 +4 *1079:7 0.00505894 +5 *1079:5 0.00341662 +6 *2472:la_data_out_core[66] *1463:7 0 +7 *1079:8 *1099:8 0.000902565 +8 *1079:8 *1462:8 0.0541904 +9 *1079:8 *1464:8 0.054575 +10 *823:5 *2472:la_data_out_core[66] 0 +11 *856:8 *1079:8 0 +*RES +1 *2473:la_data_out[66] *1079:5 60.0894 +2 *1079:5 *1079:7 4.5 +3 *1079:7 *1079:8 591.931 +4 *1079:8 *2472:la_data_out_core[66] 28.4625 +*END + +*D_NET *1080 0.132906 +*CONN +*I *2472:la_data_out_core[67] I *D mgmt_protect +*I *2473:la_data_out[67] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[67] 0.000973492 +2 *2473:la_data_out[67] 0.00238942 +3 *1080:16 0.00190606 +4 *1080:8 0.00555076 +5 *1080:7 0.00700761 +6 *2472:la_data_out_core[67] *1464:7 0 +7 *1080:8 *1082:8 0.0553927 +8 *1080:8 *1463:8 0.0540183 +9 *1080:8 *1477:10 0 +10 *1080:8 *1483:10 0.00204188 +11 *1080:16 *2472:la_data_out_core[69] 0.000231683 +12 *1080:16 *1449:8 0.00167272 +13 *1080:16 *1451:8 0.00166851 +14 *1080:16 *1466:7 5.33266e-05 +15 *761:17 *1080:7 0 +16 *824:5 *2472:la_data_out_core[67] 0 +*RES +1 *2473:la_data_out[67] *1080:7 47.5641 +2 *1080:7 *1080:8 588.049 +3 *1080:8 *1080:16 49.8582 +4 *1080:16 *2472:la_data_out_core[67] 22.7167 +*END + +*D_NET *1081 0.136062 +*CONN +*I *2472:la_data_out_core[68] I *D mgmt_protect +*I *2473:la_data_out[68] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[68] 0.000749405 +2 *2473:la_data_out[68] 0.00334622 +3 *1081:8 0.00588505 +4 *1081:7 0.00513564 +5 *1081:5 0.00334622 +6 *2472:la_data_out_core[68] *1465:10 0 +7 *1081:8 *1099:8 0.00209504 +8 *1081:8 *1464:8 0.0568055 +9 *1081:8 *1465:10 0.00013978 +10 *1081:8 *1466:8 0.0571564 +11 *795:8 *1081:8 0 +12 *825:7 *2472:la_data_out_core[68] 0.00140249 +13 *856:8 *1081:8 0 +*RES +1 *2473:la_data_out[68] *1081:5 59.2589 +2 *1081:5 *1081:7 4.5 +3 *1081:7 *1081:8 618.552 +4 *1081:8 *2472:la_data_out_core[68] 29.293 +*END + +*D_NET *1082 0.136068 +*CONN +*I *2472:la_data_out_core[69] I *D mgmt_protect +*I *2473:la_data_out[69] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[69] 0.00169525 +2 *2473:la_data_out[69] 0.00235022 +3 *1082:8 0.00727597 +4 *1082:7 0.00793094 +5 *2472:la_data_out_core[69] *1465:13 0.000201199 +6 *2472:la_data_out_core[69] *1466:7 0 +7 *1082:8 *1085:8 0.0581076 +8 *1082:8 *1463:8 6.49003e-05 +9 *1082:8 *1477:10 0 +10 *1082:8 *1483:10 0.0028038 +11 *2473:la_data_in[40] *2472:la_data_out_core[69] 0 +12 *826:5 *2472:la_data_out_core[69] 0 +13 *1054:8 *1082:8 0 +14 *1054:12 *1082:8 1.41688e-05 +15 *1080:8 *1082:8 0.0553927 +16 *1080:16 *2472:la_data_out_core[69] 0.000231683 +*RES +1 *2473:la_data_out[69] *1082:7 47.1488 +2 *1082:7 *1082:8 632.417 +3 *1082:8 *2472:la_data_out_core[69] 45.9031 +*END + +*D_NET *1083 0.0481413 +*CONN +*I *2472:la_data_out_core[6] I *D mgmt_protect +*I *2473:la_data_out[6] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[6] 0.0013274 +2 *2473:la_data_out[6] 0.00208424 +3 *1083:8 0.00291571 +4 *1083:7 0.00158831 +5 *1083:5 0.00208424 +6 *2472:la_data_out_core[6] *1467:7 0 +7 *1083:5 *2472:mprj_dat_i_user[12] 0 +8 *1083:5 *1617:5 0 +9 *1083:5 *1685:16 3.20069e-06 +10 *1083:8 *1105:8 0.0155915 +11 *1083:8 *1478:8 0.000582572 +12 *1083:8 *1691:14 0.00510047 +13 *1083:8 *1752:10 4.51518e-05 +14 *1083:8 *1752:12 0.00247657 +15 *827:7 *2472:la_data_out_core[6] 0 +16 *838:7 *2472:la_data_out_core[6] 0 +17 *838:8 *1083:8 0.000103234 +18 *860:8 *1083:8 0.0142387 +*RES +1 *2473:la_data_out[6] *1083:5 48.4624 +2 *1083:5 *1083:7 4.5 +3 *1083:7 *1083:8 207.591 +4 *1083:8 *2472:la_data_out_core[6] 40.0896 +*END + +*D_NET *1084 0.141586 +*CONN +*I *2472:la_data_out_core[70] I *D mgmt_protect +*I *2473:la_data_out[70] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[70] 0.000774366 +2 *2473:la_data_out[70] 0.00326984 +3 *1084:8 0.00606283 +4 *1084:7 0.00528846 +5 *1084:5 0.00326984 +6 *2472:la_data_out_core[70] *1468:7 0 +7 *1084:8 *1099:8 0.00235331 +8 *1084:8 *1466:8 0.0593869 +9 *1084:8 *1469:8 0.0597314 +10 *796:8 *1084:8 0 +11 *828:7 *2472:la_data_out_core[70] 0.00144949 +*RES +1 *2473:la_data_out[70] *1084:5 58.4284 +2 *1084:5 *1084:7 4.5 +3 *1084:7 *1084:8 645.173 +4 *1084:8 *2472:la_data_out_core[70] 30.1235 +*END + +*D_NET *1085 0.14201 +*CONN +*I *2472:la_data_out_core[71] I *D mgmt_protect +*I *2473:la_data_out[71] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[71] 0.00190489 +2 *2473:la_data_out[71] 0.00230932 +3 *1085:8 0.00751628 +4 *1085:7 0.00792071 +5 *2472:la_data_out_core[71] *1469:7 0 +6 *1085:8 *1087:8 0.0606632 +7 *1085:8 *1470:10 0.000242981 +8 *1085:8 *1477:10 0 +9 *1085:8 *1483:10 0.00328423 +10 *829:5 *2472:la_data_out_core[71] 0 +11 *830:5 *2472:la_data_out_core[71] 0 +12 *1054:8 *1085:8 6.08538e-05 +13 *1082:8 *1085:8 0.0581076 +*RES +1 *2473:la_data_out[71] *1085:7 46.7336 +2 *1085:7 *1085:8 659.038 +3 *1085:8 *2472:la_data_out_core[71] 46.3183 +*END + +*D_NET *1086 0.146634 +*CONN +*I *2472:la_data_out_core[72] I *D mgmt_protect +*I *2473:la_data_out[72] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[72] 0.000983368 +2 *2473:la_data_out[72] 0.00319216 +3 *1086:8 0.0063809 +4 *1086:7 0.00539753 +5 *1086:5 0.00319216 +6 *2472:la_data_out_core[72] *1470:9 0.000418015 +7 *1086:8 *1469:8 0.0619683 +8 *1086:8 *1471:8 0.0623063 +9 *1086:8 *1484:8 0.00279501 +10 *796:8 *1086:8 0 +11 *830:5 *2472:la_data_out_core[72] 0 +12 *1075:14 *1086:8 0 +*RES +1 *2473:la_data_out[72] *1086:5 57.5979 +2 *1086:5 *1086:7 4.5 +3 *1086:7 *1086:8 671.794 +4 *1086:8 *2472:la_data_out_core[72] 30.954 +*END + +*D_NET *1087 0.147823 +*CONN +*I *2472:la_data_out_core[73] I *D mgmt_protect +*I *2473:la_data_out[73] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[73] 0.00193316 +2 *2473:la_data_out[73] 0.00225744 +3 *1087:8 0.00755766 +4 *1087:7 0.00788194 +5 *2472:la_data_out_core[73] *1471:7 0 +6 *1087:8 *1089:8 0.000622925 +7 *1087:8 *1470:10 0.063363 +8 *1087:8 *1483:10 0.00354326 +9 *831:5 *2472:la_data_out_core[73] 0 +10 *1085:8 *1087:8 0.0606632 +*RES +1 *2473:la_data_out[73] *1087:7 46.3183 +2 *1087:7 *1087:8 685.659 +3 *1087:8 *2472:la_data_out_core[73] 46.7336 +*END + +*D_NET *1088 0.152104 +*CONN +*I *2472:la_data_out_core[74] I *D mgmt_protect +*I *2473:la_data_out[74] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[74] 0.00121072 +2 *2473:la_data_out[74] 0.00311322 +3 *1088:8 0.00670429 +4 *1088:7 0.00549357 +5 *1088:5 0.00311322 +6 *2472:la_data_out_core[74] *1471:7 0 +7 *2472:la_data_out_core[74] *1472:7 0 +8 *1088:8 *1101:8 0.00299053 +9 *1088:8 *1471:8 0.0645433 +10 *1088:8 *1473:8 0.0648748 +11 *832:7 *2472:la_data_out_core[74] 0 +12 *1075:14 *1088:8 6.0055e-05 +*RES +1 *2473:la_data_out[74] *1088:5 56.7674 +2 *1088:5 *1088:7 4.5 +3 *1088:7 *1088:8 698.415 +4 *1088:8 *2472:la_data_out_core[74] 31.7845 +*END + +*D_NET *1089 0.152699 +*CONN +*I *2472:la_data_out_core[75] I *D mgmt_protect +*I *2473:la_data_out[75] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[75] 0.0019206 +2 *2473:la_data_out[75] 0.00220306 +3 *1089:8 0.00772848 +4 *1089:7 0.00801094 +5 *2472:la_data_out_core[75] *1473:7 0 +6 *1089:8 *1470:10 0.0618445 +7 *1089:8 *1474:10 0.0661204 +8 *1089:8 *1485:8 0.00343936 +9 *2473:la_data_in[42] *2472:la_data_out_core[75] 0.000284582 +10 *833:5 *2472:la_data_out_core[75] 0 +11 *1054:8 *1089:8 0.000523718 +12 *1087:8 *1089:8 0.000622925 +*RES +1 *2473:la_data_out[75] *1089:7 45.4878 +2 *1089:7 *1089:8 712.28 +3 *1089:8 *2472:la_data_out_core[75] 47.5641 +*END + +*D_NET *1090 0.157597 +*CONN +*I *2472:la_data_out_core[76] I *D mgmt_protect +*I *2473:la_data_out[76] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[76] 0.00126042 +2 *2473:la_data_out[76] 0.00304241 +3 *1090:8 0.00685667 +4 *1090:7 0.00559625 +5 *1090:5 0.00304241 +6 *2472:la_data_out_core[76] *1473:7 0 +7 *2472:la_data_out_core[76] *1474:9 0 +8 *1090:8 *1092:8 0.0669717 +9 *1090:8 *1473:8 0.0670989 +10 *1090:8 *1475:8 0.000226394 +11 *1090:8 *1486:8 0.00325845 +12 *834:7 *2472:la_data_out_core[76] 0 +13 *1075:14 *1090:8 0.000243701 +*RES +1 *2473:la_data_out[76] *1090:5 55.9369 +2 *1090:5 *1090:7 4.5 +3 *1090:7 *1090:8 725.59 +4 *1090:8 *2472:la_data_out_core[76] 32.615 +*END + +*D_NET *1091 0.152899 +*CONN +*I *2472:la_data_out_core[77] I *D mgmt_protect +*I *2473:la_data_out[77] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[77] 0.00219345 +2 *2473:la_data_out[77] 0.00151338 +3 *1091:10 0.00219345 +4 *1091:8 0.00590711 +5 *1091:7 0.00742049 +6 *2472:la_data_out_core[77] *1475:7 0 +7 *1091:8 *1093:8 0.00271971 +8 *1091:8 *1102:8 0.0623035 +9 *1091:8 *1107:8 0.0592726 +10 *1091:8 *1111:8 0.00317401 +11 *1091:8 *1481:10 0.00242746 +12 *2473:la_data_in[43] *2472:la_data_out_core[77] 0 +13 *820:8 *1091:8 0 +14 *835:7 *2472:la_data_out_core[77] 0.000733893 +15 *836:5 *2472:la_data_out_core[77] 0 +16 *1056:11 *1091:8 0.0023187 +17 *1057:8 *1091:8 0.000721383 +*RES +1 *2473:la_data_out[77] *1091:7 35.1065 +2 *1091:7 *1091:8 738.901 +3 *1091:8 *1091:10 4.5 +4 *1091:10 *2472:la_data_out_core[77] 53.4454 +*END + +*D_NET *1092 0.162881 +*CONN +*I *2472:la_data_out_core[78] I *D mgmt_protect +*I *2473:la_data_out[78] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[78] 0.00128043 +2 *2473:la_data_out[78] 0.00298231 +3 *1092:8 0.00735002 +4 *1092:7 0.00606959 +5 *1092:5 0.00298231 +6 *2472:la_data_out_core[78] *1476:7 0 +7 *1092:8 *1103:8 0.00495137 +8 *1092:8 *1475:8 0.069678 +9 *1092:8 *2415:10 0.00061538 +10 *836:5 *2472:la_data_out_core[78] 0 +11 *1090:8 *1092:8 0.0669717 +*RES +1 *2473:la_data_out[78] *1092:5 55.5217 +2 *1092:5 *1092:7 4.5 +3 *1092:7 *1092:8 752.211 +4 *1092:8 *2472:la_data_out_core[78] 33.0303 +*END + +*D_NET *1093 0.119224 +*CONN +*I *2472:la_data_out_core[79] I *D mgmt_protect +*I *2473:la_data_out[79] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[79] 0.00254791 +2 *2473:la_data_out[79] 0.00144037 +3 *1093:10 0.00254791 +4 *1093:8 0.0153807 +5 *1093:7 0.016821 +6 *2472:la_data_out_core[79] *2473:la_oenb[43] 0 +7 *2472:la_data_out_core[79] *1477:9 0 +8 *1093:8 *1102:8 0.0663777 +9 *1093:8 *1109:8 0.0034942 +10 *761:8 *1093:8 0.00135638 +11 *761:12 *1093:8 0.000367918 +12 *820:8 *1093:8 0 +13 *837:5 *2472:la_data_out_core[79] 0 +14 *1002:14 *1093:8 9.9943e-05 +15 *1004:14 *1093:8 0.00606286 +16 *1009:13 *1093:7 7.09666e-06 +17 *1091:8 *1093:8 0.00271971 +*RES +1 *2473:la_data_out[79] *1093:7 34.276 +2 *1093:7 *1093:8 765.522 +3 *1093:8 *1093:10 4.5 +4 *1093:10 *2472:la_data_out_core[79] 54.2759 +*END + +*D_NET *1094 0.045543 +*CONN +*I *2472:la_data_out_core[7] I *D mgmt_protect +*I *2473:la_data_out[7] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[7] 0.00125386 +2 *2473:la_data_out[7] 0.00202433 +3 *1094:8 0.00276803 +4 *1094:7 0.00151418 +5 *1094:5 0.00202433 +6 *2472:la_data_out_core[7] *1478:7 0 +7 *1094:5 *1619:5 0.00020584 +8 *1094:5 *1751:5 0 +9 *1094:8 *1467:8 0.000202245 +10 *827:8 *1094:8 0.0173521 +11 *838:7 *2472:la_data_out_core[7] 0 +12 *838:8 *1094:8 0.0180849 +13 *860:8 *1094:8 0.000113197 +*RES +1 *2473:la_data_out[7] *1094:5 49.7081 +2 *1094:5 *1094:7 4.5 +3 *1094:7 *1094:8 194.28 +4 *1094:8 *2472:la_data_out_core[7] 38.8438 +*END + +*D_NET *1095 0.169705 +*CONN +*I *2472:la_data_out_core[80] I *D mgmt_protect +*I *2473:la_data_out[80] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[80] 0.00172275 +2 *2473:la_data_out[80] 0.00239376 +3 *1095:8 0.00741235 +4 *1095:7 0.00568959 +5 *1095:5 0.00239376 +6 *2472:la_data_out_core[80] *1477:9 0 +7 *2472:la_data_out_core[80] *1479:7 0 +8 *1095:8 *1440:8 9.00479e-06 +9 *1095:8 *1477:10 0.072356 +10 *1095:8 *1480:8 0.0727471 +11 *1095:8 *1491:8 0.00480076 +12 *839:7 *2472:la_data_out_core[80] 0 +13 *1055:8 *1095:8 4.03856e-05 +14 *1056:14 *1095:8 0.000139256 +*RES +1 *2473:la_data_out[80] *1095:5 46.8014 +2 *1095:5 *1095:7 4.5 +3 *1095:7 *1095:8 778.832 +4 *1095:8 *2472:la_data_out_core[80] 41.7506 +*END + +*D_NET *1096 0.17198 +*CONN +*I *2472:la_data_out_core[81] I *D mgmt_protect +*I *2473:la_data_out[81] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[81] 0.00197733 +2 *2473:la_data_out[81] 0.00206277 +3 *1096:8 0.00775619 +4 *1096:7 0.00784164 +5 *2472:la_data_out_core[81] *1480:7 0 +6 *1096:8 *1098:8 0.0733882 +7 *1096:8 *1104:8 0.00395347 +8 *1096:8 *1439:14 0.000749011 +9 *1096:8 *1459:8 0.000186902 +10 *1096:8 *1479:10 0.0735749 +11 *840:5 *2472:la_data_out_core[81] 0 +12 *1055:5 *2472:la_data_out_core[81] 0.000489931 +13 *1056:11 *2472:la_data_out_core[81] 0 +*RES +1 *2473:la_data_out[81] *1096:7 43.8268 +2 *1096:7 *1096:8 791.588 +3 *1096:8 *2472:la_data_out_core[81] 49.2251 +*END + +*D_NET *1097 0.175697 +*CONN +*I *2472:la_data_out_core[82] I *D mgmt_protect +*I *2473:la_data_out[82] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[82] 0.00168671 +2 *2473:la_data_out[82] 0.0024089 +3 *1097:8 0.0074862 +4 *1097:7 0.00579949 +5 *1097:5 0.0024089 +6 *2472:la_data_out_core[82] *1480:7 0 +7 *2472:la_data_out_core[82] *1481:7 0 +8 *1097:8 *1110:8 0.00543548 +9 *1097:8 *1440:8 0.0002375 +10 *1097:8 *1480:8 0.0749245 +11 *1097:8 *1482:8 0.0753092 +12 *841:7 *2472:la_data_out_core[82] 0 +*RES +1 *2473:la_data_out[82] *1097:5 47.6319 +2 *1097:5 *1097:7 4.5 +3 *1097:7 *1097:8 805.453 +4 *1097:8 *2472:la_data_out_core[82] 40.9201 +*END + +*D_NET *1098 0.176245 +*CONN +*I *2472:la_data_out_core[83] I *D mgmt_protect +*I *2473:la_data_out[83] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[83] 0.00191298 +2 *2473:la_data_out[83] 0.00202732 +3 *1098:8 0.00825797 +4 *1098:7 0.00837231 +5 *2472:la_data_out_core[83] *1482:7 0 +6 *1098:8 *1100:8 0.0759245 +7 *1098:8 *1459:8 0.00067765 +8 *1098:8 *1490:10 0.00508783 +9 *2473:la_data_in[45] *2472:la_data_out_core[83] 0.000596408 +10 *842:7 *2472:la_data_out_core[83] 0 +11 *1096:8 *1098:8 0.0733882 +*RES +1 *2473:la_data_out[83] *1098:7 43.4116 +2 *1098:7 *1098:8 818.209 +3 *1098:8 *2472:la_data_out_core[83] 49.6404 +*END + +*D_NET *1099 0.174023 +*CONN +*I *2472:la_data_out_core[84] I *D mgmt_protect +*I *2473:la_data_out[84] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[84] 0.00111681 +2 *2473:la_data_out[84] 0.00304282 +3 *1099:8 0.0308636 +4 *1099:7 0.0297468 +5 *1099:5 0.00304282 +6 *2472:la_data_out_core[84] *1482:7 0 +7 *2472:la_data_out_core[84] *1483:9 0 +8 *1099:8 *1462:8 0.000680957 +9 *1099:8 *1464:8 0.00146993 +10 *1099:8 *1466:8 0.00288264 +11 *1099:8 *1484:8 0.0889823 +12 *1099:8 *1494:10 0.00395802 +13 *843:7 *2472:la_data_out_core[84] 0 +14 *1001:8 *1099:8 0 +15 *1009:14 *1099:8 0 +16 *1014:16 *1099:8 0 +17 *1016:16 *1099:8 0.00288519 +18 *1017:14 *1099:8 0 +19 *1079:8 *1099:8 0.000902565 +20 *1081:8 *1099:8 0.00209504 +21 *1084:8 *1099:8 0.00235331 +*RES +1 *2473:la_data_out[84] *1099:5 59.0513 +2 *1099:5 *1099:7 3.36879 +3 *1099:7 *1099:8 114.785 +4 *1099:8 *2472:la_data_out_core[84] 28.3694 +*END + +*D_NET *1100 0.181434 +*CONN +*I *2472:la_data_out_core[85] I *D mgmt_protect +*I *2473:la_data_out[85] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[85] 0.00217563 +2 *2473:la_data_out[85] 0.00199191 +3 *1100:10 0.00217563 +4 *1100:8 0.0064638 +5 *1100:7 0.00845571 +6 *2472:la_data_out_core[85] *1484:7 0 +7 *1100:8 *1459:8 0.0339516 +8 *1100:8 *1490:10 0.00427931 +9 *1100:8 *2414:8 0.0459994 +10 *1100:8 *2414:14 1.67988e-05 +11 *2473:la_data_in[46] *2472:la_data_out_core[85] 0 +12 *844:7 *2472:la_data_out_core[85] 0 +13 *1098:8 *1100:8 0.0759245 +*RES +1 *2473:la_data_out[85] *1100:7 42.9963 +2 *1100:7 *1100:8 844.83 +3 *1100:8 *1100:10 4.5 +4 *1100:10 *2472:la_data_out_core[85] 45.5556 +*END + +*D_NET *1101 0.219653 +*CONN +*I *2472:la_data_out_core[86] I *D mgmt_protect +*I *2473:la_data_out[86] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[86] 0.00122924 +2 *2473:la_data_out[86] 0.00294102 +3 *1101:8 0.0116893 +4 *1101:7 0.0104601 +5 *1101:5 0.00294102 +6 *2472:la_data_out_core[86] *1484:7 0 +7 *2472:la_data_out_core[86] *1485:7 0 +8 *1101:8 *1471:8 0.00406408 +9 *1101:8 *1484:8 0.0914567 +10 *1101:8 *1486:8 0.0918812 +11 *2473:la_data_in[46] *2472:la_data_out_core[86] 0 +12 *845:7 *2472:la_data_out_core[86] 0 +13 *1088:8 *1101:8 0.00299053 +*RES +1 *2473:la_data_out[86] *1101:5 57.3903 +2 *1101:5 *1101:7 3.36879 +3 *1101:7 *1101:8 118.457 +4 *1101:8 *2472:la_data_out_core[86] 30.0304 +*END + +*D_NET *1102 0.188275 +*CONN +*I *2472:la_data_out_core[87] I *D mgmt_protect +*I *2473:la_data_out[87] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[87] 0.0026256 +2 *2473:la_data_out[87] 0.00143855 +3 *1102:10 0.0026256 +4 *1102:8 0.00591631 +5 *1102:7 0.00735486 +6 *2472:la_data_out_core[87] *2473:la_oenb[46] 0 +7 *2472:la_data_out_core[87] *1486:7 0 +8 *1102:8 *1107:8 0.000610332 +9 *1102:8 *1111:8 0.00379169 +10 *846:5 *2472:la_data_out_core[87] 0 +11 *1009:8 *1102:8 0.0162424 +12 *1012:8 *1102:8 0.0189889 +13 *1091:8 *1102:8 0.0623035 +14 *1093:8 *1102:8 0.0663777 +*RES +1 *2473:la_data_out[87] *1102:7 34.6913 +2 *1102:7 *1102:8 872.006 +3 *1102:8 *1102:10 4.5 +4 *1102:10 *2472:la_data_out_core[87] 53.8607 +*END + +*D_NET *1103 0.214945 +*CONN +*I *2472:la_data_out_core[88] I *D mgmt_protect +*I *2473:la_data_out[88] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[88] 0.0012744 +2 *2473:la_data_out[88] 0.00284724 +3 *1103:8 0.0205147 +4 *1103:7 0.0192403 +5 *1103:5 0.00284724 +6 *2472:la_data_out_core[88] *1486:7 0 +7 *2472:la_data_out_core[88] *1487:7 0 +8 *1103:8 *1110:8 0 +9 *1103:8 *1114:8 0 +10 *1103:8 *1376:8 0 +11 *1103:8 *1380:8 0.000704755 +12 *1103:8 *1388:8 0.00137859 +13 *1103:8 *1398:8 0.000414164 +14 *1103:8 *1400:8 0.00128357 +15 *1103:8 *1475:8 0.00362051 +16 *1103:8 *1486:8 0.0943479 +17 *1103:8 *1491:8 0 +18 *1103:8 *1497:8 0 +19 *1103:8 *2413:8 0.0613514 +20 *2473:la_data_in[47] *2472:la_data_out_core[88] 0.00016851 +21 *847:7 *2472:la_data_out_core[88] 0 +22 *1092:8 *1103:8 0.00495137 +*RES +1 *2473:la_data_out[88] *1103:5 55.7293 +2 *1103:5 *1103:7 3.36879 +3 *1103:7 *1103:8 122.128 +4 *1103:8 *2472:la_data_out_core[88] 31.6914 +*END + +*D_NET *1104 0.23272 +*CONN +*I *2472:la_data_out_core[89] I *D mgmt_protect +*I *2473:la_data_out[89] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[89] 0.0020469 +2 *2473:la_data_out[89] 0.00203661 +3 *1104:8 0.0139626 +4 *1104:7 0.0139523 +5 *2472:la_data_out_core[89] *1488:7 0 +6 *1104:8 *1108:8 0 +7 *1104:8 *1479:10 0.00452865 +8 *1104:8 *1487:8 0.0957837 +9 *1104:8 *1490:10 0.0959657 +10 *848:7 *2472:la_data_out_core[89] 0 +11 *1058:5 *2472:la_data_out_core[89] 0.000489505 +12 *1096:8 *1104:8 0.00395347 +*RES +1 *2473:la_data_out[89] *1104:7 43.3185 +2 *1104:7 *1104:8 123.964 +3 *1104:8 *2472:la_data_out_core[89] 47.471 +*END + +*D_NET *1105 0.0424177 +*CONN +*I *2472:la_data_out_core[8] I *D mgmt_protect +*I *2473:la_data_out[8] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[8] 0.00131568 +2 *2473:la_data_out[8] 0.00197463 +3 *1105:8 0.00262942 +4 *1105:7 0.00131374 +5 *1105:5 0.00197463 +6 *2472:la_data_out_core[8] *1478:7 0 +7 *2472:la_data_out_core[8] *1489:7 0 +8 *1105:5 *2472:mprj_dat_i_user[18] 0 +9 *1105:5 *1622:7 0.000102632 +10 *1105:5 *1753:5 0 +11 *1105:8 *1478:8 0.0165963 +12 *1105:8 *1489:8 0.000233938 +13 *849:7 *2472:la_data_out_core[8] 0 +14 *860:8 *1105:8 0.000685211 +15 *1083:8 *1105:8 0.0155915 +*RES +1 *2473:la_data_out[8] *1105:5 48.0471 +2 *1105:5 *1105:7 4.5 +3 *1105:7 *1105:8 180.97 +4 *1105:8 *2472:la_data_out_core[8] 40.5048 +*END + +*D_NET *1106 0.198886 +*CONN +*I *2472:la_data_out_core[90] I *D mgmt_protect +*I *2473:la_data_out[90] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[90] 0.00168688 +2 *2473:la_data_out[90] 0.0023811 +3 *1106:8 0.00814642 +4 *1106:7 0.00645953 +5 *1106:5 0.0023811 +6 *2472:la_data_out_core[90] *1442:11 9.35753e-06 +7 *2472:la_data_out_core[90] *1488:7 0 +8 *2472:la_data_out_core[90] *1490:7 0 +9 *1106:8 *1488:8 0.0851534 +10 *1106:8 *1493:8 0.0839836 +11 *1106:8 *1497:8 0.00805459 +12 *850:7 *2472:la_data_out_core[90] 0 +13 *1060:8 *1106:8 0.000630393 +*RES +1 *2473:la_data_out[90] *1106:5 48.8776 +2 *1106:5 *1106:7 4.5 +3 *1106:7 *1106:8 911.937 +4 *1106:8 *2472:la_data_out_core[90] 39.6743 +*END + +*D_NET *1107 0.200619 +*CONN +*I *2472:la_data_out_core[91] I *D mgmt_protect +*I *2473:la_data_out[91] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[91] 0.00194323 +2 *2473:la_data_out[91] 0.00146851 +3 *1107:10 0.00194323 +4 *1107:8 0.00623663 +5 *1107:7 0.00770513 +6 *2472:la_data_out_core[91] *1491:7 0 +7 *1107:8 *1481:10 0.0701183 +8 *1107:8 *1494:20 0.000183207 +9 *1107:8 *1496:8 0.00406685 +10 *851:7 *2472:la_data_out_core[91] 0.00276308 +11 *1012:8 *1107:8 0.0271052 +12 *1017:8 *1107:8 0.0172025 +13 *1059:7 *2472:la_data_out_core[91] 0 +14 *1091:8 *1107:8 0.0592726 +15 *1102:8 *1107:8 0.000610332 +*RES +1 *2473:la_data_out[91] *1107:7 35.5218 +2 *1107:7 *1107:8 925.248 +3 *1107:8 *1107:10 4.5 +4 *1107:10 *2472:la_data_out_core[91] 53.0301 +*END + +*D_NET *1108 0.167058 +*CONN +*I *2472:la_data_out_core[92] I *D mgmt_protect +*I *2473:la_data_out[92] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[92] 0.00182637 +2 *2473:la_data_out[92] 0.00224489 +3 *1108:8 0.0229031 +4 *1108:7 0.0233216 +5 *2472:la_data_out_core[92] *1491:7 0 +6 *2472:la_data_out_core[92] *1492:9 0 +7 *1108:8 *1110:8 0.000635094 +8 *1108:8 *1381:10 0.00011056 +9 *1108:8 *1403:8 0 +10 *1108:8 *1447:14 0.00013257 +11 *1108:8 *1477:10 0.00475172 +12 *1108:8 *1483:10 0.0100596 +13 *1108:8 *1485:8 0 +14 *1108:8 *1487:8 0 +15 *1108:8 *1490:10 0 +16 *1108:8 *1491:8 0.100347 +17 *852:7 *2472:la_data_out_core[92] 0 +18 *1064:8 *1108:8 0.000143882 +19 *1066:8 *1108:8 0.000236924 +20 *1076:8 *1108:8 0.000344308 +21 *1104:8 *1108:8 0 +*RES +1 *2473:la_data_out[92] *1108:7 49.9625 +2 *1108:7 *1108:8 129.471 +3 *1108:8 *2472:la_data_out_core[92] 40.827 +*END + +*D_NET *1109 0.175736 +*CONN +*I *2472:la_data_out_core[93] I *D mgmt_protect +*I *2473:la_data_out[93] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[93] 4.25268e-05 +2 *2473:la_data_out[93] 0.00139876 +3 *1109:11 0.0020572 +4 *1109:10 0.00201468 +5 *1109:8 0.0295168 +6 *1109:7 0.0309155 +7 *1109:8 *1111:8 0.101488 +8 *1109:11 *1493:7 0 +9 *2473:la_data_in[49] *1109:11 0 +10 *761:8 *1109:8 0.000282057 +11 *820:8 *1109:8 0.000133943 +12 *822:8 *1109:8 0.000133943 +13 *853:7 *1109:11 0.0028024 +14 *1004:14 *1109:8 0.000101365 +15 *1006:8 *1109:8 0 +16 *1009:8 *1109:8 0.00135468 +17 *1093:8 *1109:8 0.0034942 +*RES +1 *2473:la_data_out[93] *1109:7 33.3524 +2 *1109:7 *1109:8 131.46 +3 *1109:8 *1109:10 3.36879 +4 *1109:10 *1109:11 54.1538 +5 *1109:11 *2472:la_data_out_core[93] 1.20912 +*END + +*D_NET *1110 0.24345 +*CONN +*I *2472:la_data_out_core[94] I *D mgmt_protect +*I *2473:la_data_out[94] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[94] 0.00173572 +2 *2473:la_data_out[94] 0.00227666 +3 *1110:8 0.0127994 +4 *1110:7 0.0110637 +5 *1110:5 0.00227666 +6 *2472:la_data_out_core[94] *1493:7 0 +7 *2472:la_data_out_core[94] *1494:10 0 +8 *1110:8 *1482:8 0.00670533 +9 *1110:8 *1491:8 0.0986278 +10 *1110:8 *1497:8 0.101894 +11 *2473:la_data_in[49] *2472:la_data_out_core[94] 0 +12 *854:7 *2472:la_data_out_core[94] 0 +13 *855:5 *2472:la_data_out_core[94] 0 +14 *1060:5 *2472:la_data_out_core[94] 0 +15 *1097:8 *1110:8 0.00543548 +16 *1103:8 *1110:8 0 +17 *1108:8 *1110:8 0.000635094 +*RES +1 *2473:la_data_out[94] *1110:5 48.2547 +2 *1110:5 *1110:7 3.36879 +3 *1110:7 *1110:8 133.143 +4 *1110:8 *2472:la_data_out_core[94] 39.166 +*END + +*D_NET *1111 0.252595 +*CONN +*I *2472:la_data_out_core[95] I *D mgmt_protect +*I *2473:la_data_out[95] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[95] 0.00270416 +2 *2473:la_data_out[95] 0.00142664 +3 *1111:10 0.00270416 +4 *1111:8 0.0144407 +5 *1111:7 0.0158673 +6 *2472:la_data_out_core[95] *2473:la_oenb[49] 0 +7 *2472:la_data_out_core[95] *1495:7 0 +8 *1111:8 *1490:10 0 +9 *1111:8 *1496:8 0.104979 +10 *855:5 *2472:la_data_out_core[95] 0 +11 *1006:8 *1111:8 0 +12 *1009:8 *1111:8 0.000163504 +13 *1012:8 *1111:8 0.00185603 +14 *1091:8 *1111:8 0.00317401 +15 *1102:8 *1111:8 0.00379169 +16 *1109:8 *1111:8 0.101488 +*RES +1 *2473:la_data_out[95] *1111:7 34.1829 +2 *1111:7 *1111:8 134.979 +3 *1111:8 *1111:10 3.36879 +4 *1111:10 *2472:la_data_out_core[95] 53.2378 +*END + +*D_NET *1112 0.21592 +*CONN +*I *2472:la_data_out_core[96] I *D mgmt_protect +*I *2473:la_data_out[96] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[96] 0.00154341 +2 *2473:la_data_out[96] 0.00233283 +3 *1112:8 0.00828645 +4 *1112:7 0.00674304 +5 *1112:5 0.00233283 +6 *2472:la_data_out_core[96] *1495:7 0 +7 *2472:la_data_out_core[96] *1496:5 0 +8 *1112:8 *1114:8 0.000173271 +9 *1112:8 *1376:8 0.00841036 +10 *1112:8 *1495:8 0.0929249 +11 *1112:8 *1499:8 0.0917148 +12 *2473:la_data_in[50] *2472:la_data_out_core[96] 0.000248649 +13 *856:7 *2472:la_data_out_core[96] 0 +14 *1062:8 *1112:8 0.000785032 +15 *1063:8 *1112:8 0.000424402 +*RES +1 *2473:la_data_out[96] *1112:5 50.1234 +2 *1112:5 *1112:7 4.5 +3 *1112:7 *1112:8 991.8 +4 *1112:8 *2472:la_data_out_core[96] 38.4285 +*END + +*D_NET *1113 0.260847 +*CONN +*I *2472:la_data_out_core[97] I *D mgmt_protect +*I *2473:la_data_out[97] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[97] 2.27669e-05 +2 *2473:la_data_out[97] 0.00148407 +3 *1113:11 0.00241737 +4 *1113:10 0.0023946 +5 *1113:8 0.0141243 +6 *1113:7 0.0156084 +7 *1113:8 *1115:8 4.42985e-05 +8 *1113:8 *1490:10 0 +9 *1113:8 *1492:14 0.0049225 +10 *1113:8 *1494:20 0.00446762 +11 *1113:8 *1496:8 0.107418 +12 *1113:8 *1498:18 0.107067 +13 *1113:11 *1497:7 0 +14 *857:5 *1113:11 0 +15 *1062:5 *1113:11 0.000875879 +*RES +1 *2473:la_data_out[97] *1113:7 35.844 +2 *1113:7 *1113:8 138.574 +3 *1113:8 *1113:10 3.36879 +4 *1113:10 *1113:11 51.6623 +5 *1113:11 *2472:la_data_out_core[97] 0.647305 +*END + +*D_NET *1114 0.264175 +*CONN +*I *2472:la_data_out_core[98] I *D mgmt_protect +*I *2473:la_data_out[98] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[98] 0.00165819 +2 *2473:la_data_out[98] 0.00227985 +3 *1114:8 0.0127564 +4 *1114:7 0.0110982 +5 *1114:5 0.00227985 +6 *2472:la_data_out_core[98] *1497:7 0 +7 *2472:la_data_out_core[98] *1498:13 0 +8 *1114:8 *1376:8 0.106987 +9 *1114:8 *1493:8 0.00800388 +10 *1114:8 *1495:8 0.00961415 +11 *1114:8 *1497:8 0.109165 +12 *1114:8 *1499:8 0.000159297 +13 *858:7 *2472:la_data_out_core[98] 0 +14 *859:5 *2472:la_data_out_core[98] 0 +15 *1103:8 *1114:8 0 +16 *1112:8 *1114:8 0.000173271 +*RES +1 *2473:la_data_out[98] *1114:5 49.9158 +2 *1114:5 *1114:7 3.36879 +3 *1114:7 *1114:8 140.562 +4 *1114:8 *2472:la_data_out_core[98] 37.505 +*END + +*D_NET *1115 0.268497 +*CONN +*I *2472:la_data_out_core[99] I *D mgmt_protect +*I *2473:la_data_out[99] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[99] 4.25268e-05 +2 *2473:la_data_out[99] 0.00156302 +3 *1115:11 0.00230795 +4 *1115:10 0.00226542 +5 *1115:8 0.0154616 +6 *1115:7 0.0170246 +7 *1115:8 *1377:8 0.00598824 +8 *1115:8 *1379:8 0.106446 +9 *1115:8 *1381:16 0.00641114 +10 *1115:8 *1490:10 0 +11 *1115:8 *1498:18 0.110132 +12 *1115:11 *1494:13 0 +13 *1115:11 *1498:13 1.9101e-05 +14 *1115:11 *1498:17 0.00044603 +15 *1115:11 *1499:7 0.000345453 +16 *2473:la_data_in[51] *1115:11 0 +17 *859:5 *1115:11 0 +18 *1113:8 *1115:8 4.42985e-05 +*RES +1 *2473:la_data_out[99] *1115:7 37.505 +2 *1115:7 *1115:8 142.169 +3 *1115:8 *1115:10 3.36879 +4 *1115:10 *1115:11 50.0013 +5 *1115:11 *2472:la_data_out_core[99] 1.20912 +*END + +*D_NET *1116 0.0399526 +*CONN +*I *2472:la_data_out_core[9] I *D mgmt_protect +*I *2473:la_data_out[9] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[9] 0.0013551 +2 *2473:la_data_out[9] 0.00177879 +3 *1116:8 0.00262191 +4 *1116:7 0.00126681 +5 *1116:5 0.00177879 +6 *2472:la_data_out_core[9] *1489:7 0 +7 *2472:la_data_out_core[9] *1500:7 0 +8 *1116:5 *1757:5 0.000385114 +9 *1116:8 *1489:8 0.0153647 +10 *1116:8 *1500:8 0.0152182 +11 *783:8 *1116:8 0.000167272 +12 *860:7 *2472:la_data_out_core[9] 0 +13 *860:8 *1116:8 1.59204e-05 +*RES +1 *2473:la_data_out[9] *1116:5 46.8014 +2 *1116:5 *1116:7 4.5 +3 *1116:7 *1116:8 167.659 +4 *1116:8 *2472:la_data_out_core[9] 41.7506 +*END + +*D_NET *1117 0.150044 +*CONN +*I *2472:la_iena_mprj[0] I *D mgmt_protect +*I *2478:la_iena[0] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[0] 0.00114772 +2 *2478:la_iena[0] 8.29983e-05 +3 *1117:10 0.0168718 +4 *1117:9 0.0157241 +5 *1117:7 0.00228247 +6 *1117:5 0.00236547 +7 *2472:la_iena_mprj[0] *2472:la_oenb_mprj[0] 0 +8 *1117:10 *1156:10 0.0168527 +9 *2472:la_data_out_mprj[0] *2472:la_iena_mprj[0] 0 +10 *2478:la_input[0] *1117:7 0 +11 *66:37 *1117:7 0 +12 *912:10 *1117:10 0.0212292 +13 *922:10 *1117:10 0.0734873 +*RES +1 *2478:la_iena[0] *1117:5 2.05183 +2 *1117:5 *1117:7 63.0817 +3 *1117:7 *1117:9 4.5 +4 *1117:9 *1117:10 845.385 +5 *1117:10 *2472:la_iena_mprj[0] 28.8777 +*END + +*D_NET *1118 0.0847728 +*CONN +*I *2472:la_iena_mprj[100] I *D mgmt_protect +*I *2478:la_iena[100] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[100] 6.22868e-05 +2 *2478:la_iena[100] 0.00127802 +3 *1118:13 0.00157687 +4 *1118:12 0.00551086 +5 *1118:9 0.0052743 +6 *1118:12 *1232:10 0.000456167 +7 *1118:12 *1234:10 0.000598562 +8 *1118:12 *1246:12 0.000461614 +9 *1118:12 *1248:10 0.0323247 +10 *1118:12 *1371:12 0.033113 +11 *1118:12 *1678:8 0.000123271 +12 *1118:13 *2472:la_oenb_mprj[100] 0.00338115 +13 *2472:la_data_out_mprj[100] *1118:13 0 +14 *2478:la_input[100] *1118:9 0 +15 *606:7 *1118:13 0 +16 *608:11 *1118:9 0 +17 *715:11 *1118:13 0.000600483 +18 *987:9 *1118:9 0 +19 *987:12 *1118:12 1.15279e-05 +*RES +1 *2478:la_iena[100] *1118:9 32.1677 +2 *1118:9 *1118:12 47.2475 +3 *1118:12 *1118:13 60.7978 +4 *1118:13 *2472:la_iena_mprj[100] 1.77093 +*END + +*D_NET *1119 0.0738295 +*CONN +*I *2472:la_iena_mprj[101] I *D mgmt_protect +*I *2478:la_iena[101] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[101] 0.000898442 +2 *2478:la_iena[101] 5.96331e-05 +3 *1119:10 0.00404109 +4 *1119:9 0.00314264 +5 *1119:7 0.00281332 +6 *1119:5 0.00287295 +7 *2472:la_iena_mprj[101] *2472:la_oenb_mprj[101] 0 +8 *1119:7 *2472:mprj_adr_o_core[14] 0 +9 *1119:10 *1120:16 0.000713504 +10 *1119:10 *1122:16 0.0285158 +11 *1119:10 *1357:16 4.37921e-05 +12 *1119:10 *1679:8 7.92757e-06 +13 *2472:la_data_out_mprj[101] *2472:la_iena_mprj[101] 0 +14 *2478:la_input[101] *1119:7 0 +15 *723:8 *1119:10 0 +16 *731:8 *1119:10 0.027539 +17 *862:9 *1119:7 0 +18 *865:15 *1119:7 0.00173403 +19 *865:16 *1119:10 0.00144738 +*RES +1 *2478:la_iena[101] *1119:5 1.49002 +2 *1119:5 *1119:7 65.158 +3 *1119:7 *1119:9 4.5 +4 *1119:9 *1119:10 331.267 +5 *1119:10 *2472:la_iena_mprj[101] 26.8015 +*END + +*D_NET *1120 0.075149 +*CONN +*I *2472:la_iena_mprj[102] I *D mgmt_protect +*I *2478:la_iena[102] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[102] 0.000932042 +2 *2478:la_iena[102] 0.00166165 +3 *1120:16 0.00397311 +4 *1120:15 0.00484689 +5 *1120:9 0.00346747 +6 *2472:la_iena_mprj[102] *2472:la_oenb_mprj[102] 0 +7 *1120:9 *2472:mprj_dat_o_core[16] 0.000300728 +8 *1120:9 *1656:5 0.000309963 +9 *1120:15 *2472:mprj_adr_o_core[14] 0 +10 *1120:15 *1647:10 0.000141764 +11 *1120:15 *1654:7 0.000271428 +12 *1120:16 *1122:16 0.0290913 +13 *1120:16 *1250:10 0.0288811 +14 *2472:la_data_out_mprj[102] *2472:la_iena_mprj[102] 0 +15 *2478:la_input[102] *1120:9 0 +16 *723:8 *1120:16 0.000328922 +17 *863:9 *1120:9 0 +18 *864:10 *1120:15 0 +19 *867:10 *1120:15 0.000229186 +20 *1119:10 *1120:16 0.000713504 +*RES +1 *2478:la_iena[102] *1120:9 44.3031 +2 *1120:9 *1120:15 49.781 +3 *1120:15 *1120:16 329.049 +4 *1120:16 *2472:la_iena_mprj[102] 27.632 +*END + +*D_NET *1121 0.0577357 +*CONN +*I *2472:la_iena_mprj[103] I *D mgmt_protect +*I *2478:la_iena[103] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[103] 0.00160054 +2 *2478:la_iena[103] 0.00166878 +3 *1121:16 0.00382265 +4 *1121:15 0.00284808 +5 *1121:10 0.00320207 +6 *1121:9 0.00424487 +7 *2472:la_iena_mprj[103] *2472:la_oenb_mprj[103] 0 +8 *1121:10 *1122:12 0.00116741 +9 *1121:10 *1239:10 0.000241935 +10 *1121:10 *1366:10 0.000851028 +11 *1121:10 *2186:24 0.000724555 +12 *1121:15 *1238:9 4.01573e-05 +13 *1121:16 *1135:14 0.00585757 +14 *1121:16 *1251:16 0.0128766 +15 *2472:la_data_out_mprj[103] *2472:la_iena_mprj[103] 0 +16 *2478:la_input[103] *1121:9 0 +17 *2478:la_input[94] *1121:15 0 +18 *607:14 *1121:10 2.493e-05 +19 *610:14 *1121:10 0.000986034 +20 *631:5 *1121:15 0 +21 *717:8 *1121:16 0.00153273 +22 *719:8 *1121:16 0.00155451 +23 *720:8 *1121:16 0.000479461 +24 *727:8 *1121:10 0.000436184 +25 *862:10 *1121:10 0.00426871 +26 *864:9 *1121:9 0 +27 *864:10 *1121:10 0.00615173 +28 *870:16 *1121:16 0.000580087 +29 *877:10 *1121:16 0.000120718 +30 *879:14 *1121:16 9.16785e-05 +31 *886:10 *1121:16 0.000371284 +32 *887:10 *1121:16 0.000330596 +33 *982:10 *1121:10 0.00132029 +34 *984:10 *1121:10 0.000205493 +35 *985:12 *1121:10 0.000135066 +*RES +1 *2478:la_iena[103] *1121:9 40.1506 +2 *1121:9 *1121:10 180.97 +3 *1121:10 *1121:15 23.2896 +4 *1121:15 *1121:16 173.205 +5 *1121:16 *2472:la_iena_mprj[103] 44.2421 +*END + +*D_NET *1122 0.0779805 +*CONN +*I *2472:la_iena_mprj[104] I *D mgmt_protect +*I *2478:la_iena[104] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[104] 0.000911389 +2 *2478:la_iena[104] 0.00166518 +3 *1122:16 0.00409344 +4 *1122:15 0.00486502 +5 *1122:12 0.00227964 +6 *1122:9 0.00226185 +7 *2472:la_iena_mprj[104] *2472:la_oenb_mprj[104] 0 +8 *2472:la_iena_mprj[104] *1359:13 6.97064e-05 +9 *1122:9 *2472:mprj_adr_o_core[23] 5.47232e-06 +10 *1122:9 *2472:mprj_dat_o_core[22] 4.63742e-05 +11 *1122:9 *1607:15 0 +12 *1122:12 *1593:30 1.45065e-05 +13 *1122:12 *1658:8 0 +14 *1122:12 *1659:8 0 +15 *1122:12 *1661:8 0.000205749 +16 *1122:12 *1663:12 0.000111708 +17 *1122:12 *1726:10 0.000265631 +18 *1122:12 *1727:24 2.16355e-05 +19 *1122:15 *2472:mprj_adr_o_core[17] 0 +20 *1122:15 *1658:5 0 +21 *1122:16 *1250:10 0.000888955 +22 *1122:16 *1679:8 0.000455956 +23 *2472:la_data_out_mprj[104] *2472:la_iena_mprj[104] 0 +24 *610:14 *1122:12 0.000352103 +25 *864:10 *1122:12 0.000691691 +26 *865:9 *1122:9 0 +27 *1119:10 *1122:16 0.0285158 +28 *1120:16 *1122:16 0.0290913 +29 *1121:10 *1122:12 0.00116741 +*RES +1 *2478:la_iena[104] *1122:9 40.5659 +2 *1122:9 *1122:12 37.3904 +3 *1122:12 *1122:15 35.3997 +4 *1122:15 *1122:16 333.486 +5 *1122:16 *2472:la_iena_mprj[104] 27.2167 +*END + +*D_NET *1123 0.0805729 +*CONN +*I *2472:la_iena_mprj[105] I *D mgmt_protect +*I *2478:la_iena[105] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[105] 0.00159275 +2 *2478:la_iena[105] 0.00218882 +3 *1123:14 0.00549904 +4 *1123:13 0.00609511 +5 *2472:la_iena_mprj[105] *2472:la_oenb_mprj[105] 0 +6 *2472:la_iena_mprj[105] *1360:15 0 +7 *2472:la_iena_mprj[105] *1362:15 0.000487195 +8 *1123:13 *2472:mprj_dat_o_core[25] 0 +9 *1123:13 *1141:15 0.000121156 +10 *1123:13 *1147:15 7.09666e-06 +11 *1123:13 *1667:7 0 +12 *1123:14 *1124:10 0 +13 *1123:14 *1126:10 0.000269759 +14 *1123:14 *1129:16 0.0294006 +15 *1123:14 *1130:14 0.00101876 +16 *1123:14 *1249:10 0 +17 *2472:la_data_out_mprj[105] *2472:la_iena_mprj[105] 0 +18 *2478:la_input[105] *1123:13 0 +19 *2478:la_input[86] *2472:la_iena_mprj[105] 8.8538e-05 +20 *611:7 *2472:la_iena_mprj[105] 0 +21 *718:8 *1123:14 9.43344e-05 +22 *720:8 *1123:14 0 +23 *869:10 *1123:14 0.000163429 +24 *870:16 *1123:14 0.000111438 +25 *871:10 *1123:14 0.032718 +26 *889:10 *1123:14 0.000716813 +*RES +1 *2478:la_iena[105] *1123:13 49.7503 +2 *1123:13 *1123:14 379.518 +3 *1123:14 *2472:la_iena_mprj[105] 47.9793 +*END + +*D_NET *1124 0.085709 +*CONN +*I *2472:la_iena_mprj[106] I *D mgmt_protect +*I *2478:la_iena[106] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[106] 0.00187764 +2 *2478:la_iena[106] 0.00209123 +3 *1124:12 0.00187764 +4 *1124:10 0.0031496 +5 *1124:9 0.00524083 +6 *2472:la_iena_mprj[106] *2472:la_oenb_mprj[106] 0 +7 *1124:9 *2472:mprj_dat_o_core[28] 0 +8 *1124:9 *1143:15 8.62625e-06 +9 *1124:9 *1257:15 8.01597e-05 +10 *1124:10 *1125:10 0.0358506 +11 *1124:10 *1129:10 7.68538e-06 +12 *1124:10 *1136:10 0.000310528 +13 *1124:10 *1141:10 0.00161542 +14 *1124:10 *1249:10 0.0320173 +15 *2472:la_data_out_mprj[106] *2472:la_iena_mprj[106] 0 +16 *2478:la_input[106] *1124:9 0 +17 *612:5 *2472:la_iena_mprj[106] 0 +18 *613:5 *2472:la_iena_mprj[106] 0 +19 *866:10 *1124:10 0.00100215 +20 *867:9 *1124:9 0 +21 *869:10 *1124:10 8.90486e-05 +22 *888:10 *1124:10 0.000490499 +23 *1123:14 *1124:10 0 +*RES +1 *2478:la_iena[106] *1124:9 48.0404 +2 *1124:9 *1124:10 389.501 +3 *1124:10 *1124:12 4.5 +4 *1124:12 *2472:la_iena_mprj[106] 45.9709 +*END + +*D_NET *1125 0.0907769 +*CONN +*I *2472:la_iena_mprj[107] I *D mgmt_protect +*I *2478:la_iena[107] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[107] 0.00165814 +2 *2478:la_iena[107] 0.00215058 +3 *1125:12 0.00165814 +4 *1125:10 0.00300849 +5 *1125:9 0.00515907 +6 *2472:la_iena_mprj[107] *2472:la_oenb_mprj[107] 0 +7 *2472:la_iena_mprj[107] *1365:15 0.000360628 +8 *1125:10 *1141:10 1.41853e-05 +9 *1125:10 *1143:10 0.00190027 +10 *2472:la_data_out_mprj[107] *2472:la_iena_mprj[107] 0 +11 *2478:la_input[107] *1125:9 0 +12 *2478:la_input[87] *2472:la_iena_mprj[107] 0.000253826 +13 *614:5 *2472:la_iena_mprj[107] 0 +14 *868:12 *1125:9 0 +15 *869:10 *1125:10 0.0377856 +16 *888:10 *1125:10 0.000977475 +17 *1124:10 *1125:10 0.0358506 +*RES +1 *2478:la_iena[107] *1125:9 48.4557 +2 *1125:9 *1125:10 401.147 +3 *1125:10 *1125:12 4.5 +4 *1125:12 *2472:la_iena_mprj[107] 45.5556 +*END + +*D_NET *1126 0.0923857 +*CONN +*I *2472:la_iena_mprj[108] I *D mgmt_protect +*I *2478:la_iena[108] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[108] 0.00181927 +2 *2478:la_iena[108] 0.00220844 +3 *1126:10 0.00495474 +4 *1126:9 0.00534391 +5 *2472:la_iena_mprj[108] *2472:la_oenb_mprj[108] 0 +6 *1126:9 *1263:15 4.15661e-05 +7 *1126:10 *1127:10 0.0380511 +8 *2472:la_data_out_mprj[108] *2472:la_iena_mprj[108] 0 +9 *2478:la_input[108] *1126:9 0 +10 *614:5 *2472:la_iena_mprj[108] 0 +11 *615:5 *2472:la_iena_mprj[108] 0 +12 *869:9 *1126:9 0 +13 *869:10 *1126:10 0.000371267 +14 *871:10 *1126:10 0.0382613 +15 *888:10 *1126:10 0.00106437 +16 *1123:14 *1126:10 0.000269759 +*RES +1 *2478:la_iena[108] *1126:9 49.7014 +2 *1126:9 *1126:10 412.794 +3 *1126:10 *2472:la_iena_mprj[108] 48.8099 +*END + +*D_NET *1127 0.0954673 +*CONN +*I *2472:la_iena_mprj[109] I *D mgmt_protect +*I *2478:la_iena[109] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[109] 0.00184754 +2 *2478:la_iena[109] 0.00195155 +3 *1127:10 0.00506372 +4 *1127:9 0.00516773 +5 *2472:la_iena_mprj[109] *2472:la_oenb_mprj[109] 0 +6 *2472:la_iena_mprj[109] *1369:15 0 +7 *1127:9 *1577:16 7.93528e-05 +8 *1127:9 *2193:8 0.000501462 +9 *1127:10 *1259:10 0.000183223 +10 *1127:10 *1263:10 0.00198068 +11 *1127:10 *1265:10 0.00108851 +12 *2472:la_data_out_mprj[109] *2472:la_iena_mprj[109] 0 +13 *2478:la_input[109] *1127:9 0 +14 *2478:la_input[88] *2472:la_iena_mprj[109] 0 +15 *617:5 *2472:la_iena_mprj[109] 0 +16 *869:10 *1127:10 0.0375902 +17 *871:10 *1127:10 0.00037641 +18 *888:10 *1127:10 0.00158577 +19 *1126:10 *1127:10 0.0380511 +*RES +1 *2478:la_iena[109] *1127:9 49.2862 +2 *1127:9 *1127:10 424.441 +3 *1127:10 *2472:la_iena_mprj[109] 49.2251 +*END + +*D_NET *1128 0.182661 +*CONN +*I *2472:la_iena_mprj[10] I *D mgmt_protect +*I *2478:la_iena[10] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[10] 0.000741322 +2 *2478:la_iena[10] 8.29983e-05 +3 *1128:10 0.00654817 +4 *1128:9 0.00580685 +5 *1128:7 0.00286202 +6 *1128:5 0.00294502 +7 *2472:la_iena_mprj[10] *2472:la_oenb_mprj[10] 0 +8 *1128:10 *1148:10 0 +9 *1128:10 *1244:10 0.0784901 +10 *1128:10 *1256:10 0.0782409 +11 *1128:10 *1294:10 0.00308483 +12 *1128:10 *1372:10 0.000480973 +13 *2472:la_data_out_mprj[10] *2472:la_iena_mprj[10] 0 +14 *2478:la_input[10] *1128:7 0 +15 *637:11 *2472:la_iena_mprj[10] 2.27175e-05 +16 *908:10 *1128:10 0.00335543 +17 *988:9 *1128:7 0 +*RES +1 *2478:la_iena[10] *1128:5 2.05183 +2 *1128:5 *1128:7 69.1029 +3 *1128:7 *1128:9 3.36879 +4 *1128:9 *1128:10 100.558 +5 *1128:10 *2472:la_iena_mprj[10] 21.7254 +*END + +*D_NET *1129 0.0931903 +*CONN +*I *2472:la_iena_mprj[110] I *D mgmt_protect +*I *2478:la_iena[110] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[110] 0.00177544 +2 *2478:la_iena[110] 0.00199514 +3 *1129:16 0.00415811 +4 *1129:15 0.00261304 +5 *1129:10 0.00204632 +6 *1129:9 0.00381109 +7 *2472:la_iena_mprj[110] *2472:la_oenb_mprj[110] 0 +8 *1129:10 *1136:10 0.0100941 +9 *1129:10 *1257:10 0.000404207 +10 *1129:15 *2472:mprj_dat_o_core[21] 0 +11 *1129:15 *1663:7 3.95188e-05 +12 *1129:16 *1130:14 0.0285509 +13 *2472:la_data_out_mprj[110] *2472:la_iena_mprj[110] 0 +14 *2478:la_input[110] *1129:9 0 +15 *617:5 *2472:la_iena_mprj[110] 0 +16 *618:5 *2472:la_iena_mprj[110] 0 +17 *866:10 *1129:10 0.00167496 +18 *868:12 *1129:10 8.29362e-06 +19 *868:14 *1129:10 0.00549653 +20 *870:16 *1129:16 0.000111068 +21 *874:10 *1129:16 5.65165e-05 +22 *888:10 *1129:10 0.000107595 +23 *890:10 *1129:16 0.000114589 +24 *891:10 *1129:16 0.000724555 +25 *1123:14 *1129:16 0.0294006 +26 *1124:10 *1129:10 7.68538e-06 +*RES +1 *2478:la_iena[110] *1129:9 45.671 +2 *1129:9 *1129:10 125.509 +3 *1129:10 *1129:15 13.3235 +4 *1129:15 *1129:16 311.856 +5 *1129:16 *2472:la_iena_mprj[110] 47.5641 +*END + +*D_NET *1130 0.0944177 +*CONN +*I *2472:la_iena_mprj[111] I *D mgmt_protect +*I *2478:la_iena[111] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[111] 0.00177447 +2 *2478:la_iena[111] 0.00230323 +3 *1130:14 0.00546978 +4 *1130:12 0.00373789 +5 *1130:7 0.00234581 +6 *2472:la_iena_mprj[111] *2472:la_oenb_mprj[111] 0 +7 *1130:7 *1132:15 7.66983e-06 +8 *1130:7 *1269:15 2.43314e-05 +9 *1130:12 *1268:10 1.67988e-05 +10 *1130:12 *1269:10 6.08467e-05 +11 *1130:14 *1132:16 0.0404129 +12 *1130:14 *1147:10 0.00385789 +13 *1130:14 *1268:10 0.00175162 +14 *2472:la_data_out_mprj[111] *2472:la_iena_mprj[111] 0 +15 *2478:la_input[111] *1130:7 0 +16 *618:5 *2472:la_iena_mprj[111] 0 +17 *619:5 *2472:la_iena_mprj[111] 0 +18 *870:15 *1130:14 0.00073191 +19 *871:10 *1130:14 5.51483e-06 +20 *873:7 *1130:7 0 +21 *874:10 *1130:12 0.000111708 +22 *874:10 *1130:14 0.000735234 +23 *890:10 *1130:14 0.000124641 +24 *891:10 *1130:14 0.00137573 +25 *1123:14 *1130:14 0.00101876 +26 *1129:16 *1130:14 0.0285509 +*RES +1 *2478:la_iena[111] *1130:7 47.2777 +2 *1130:7 *1130:12 6.35672 +3 *1130:12 *1130:14 446.625 +4 *1130:14 *2472:la_iena_mprj[111] 47.1488 +*END + +*D_NET *1131 0.101576 +*CONN +*I *2472:la_iena_mprj[112] I *D mgmt_protect +*I *2478:la_iena[112] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[112] 0.00142689 +2 *2478:la_iena[112] 8.30061e-05 +3 *1131:10 0.00534854 +4 *1131:9 0.00392165 +5 *1131:7 0.00274998 +6 *1131:5 0.00283299 +7 *2472:la_iena_mprj[112] *2472:la_oenb_mprj[112] 0 +8 *1131:10 *1583:24 0.00115127 +9 *1131:10 *1598:24 0.00014568 +10 *2472:la_data_out_mprj[112] *2472:la_iena_mprj[112] 0 +11 *2478:la_input[112] *1131:7 0 +12 *620:5 *2472:la_iena_mprj[112] 0 +13 *873:10 *1131:10 0.0409782 +14 *874:7 *1131:7 0 +15 *875:10 *1131:10 0.000282235 +16 *876:10 *1131:10 0.042565 +17 *878:15 *1131:7 9.04208e-05 +*RES +1 *2478:la_iena[112] *1131:5 2.05183 +2 *1131:5 *1131:7 53.9461 +3 *1131:7 *1131:9 4.5 +4 *1131:9 *1131:10 459.935 +5 *1131:10 *2472:la_iena_mprj[112] 38.0133 +*END + +*D_NET *1132 0.10626 +*CONN +*I *2472:la_iena_mprj[113] I *D mgmt_protect +*I *2478:la_iena[113] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[113] 0.00178717 +2 *2478:la_iena[113] 0.00218572 +3 *1132:16 0.00498893 +4 *1132:15 0.00330362 +5 *1132:10 0.000383664 +6 *1132:7 0.00246752 +7 *2472:la_iena_mprj[113] *2472:la_oenb_mprj[113] 0 +8 *1132:10 *1147:10 0.0040117 +9 *1132:10 *1265:10 0.00401589 +10 *1132:15 *1269:15 7.26959e-06 +11 *2472:la_data_out_mprj[113] *2472:la_iena_mprj[113] 0 +12 *620:5 *2472:la_iena_mprj[113] 0 +13 *621:7 *2472:la_iena_mprj[113] 0 +14 *873:7 *1132:15 2.51488e-05 +15 *874:10 *1132:16 0.0404023 +16 *875:7 *1132:7 0 +17 *889:10 *1132:10 0.000338665 +18 *890:10 *1132:16 0.00192168 +19 *1130:7 *1132:15 7.66983e-06 +20 *1130:14 *1132:16 0.0404129 +*RES +1 *2478:la_iena[113] *1132:7 45.6167 +2 *1132:7 *1132:10 46.8187 +3 *1132:10 *1132:15 10.832 +4 *1132:15 *1132:16 428.877 +5 *1132:16 *2472:la_iena_mprj[113] 46.7336 +*END + +*D_NET *1133 0.107089 +*CONN +*I *2472:la_iena_mprj[114] I *D mgmt_protect +*I *2478:la_iena[114] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[114] 0.00151946 +2 *2478:la_iena[114] 8.30061e-05 +3 *1133:10 0.00536961 +4 *1133:9 0.00385015 +5 *1133:7 0.00264133 +6 *1133:5 0.00272434 +7 *2472:la_iena_mprj[114] *2472:la_oenb_mprj[114] 0 +8 *1133:7 *1275:19 7.09666e-06 +9 *1133:10 *1134:10 0.0446195 +10 *1133:10 *1585:24 0.0015278 +11 *2472:la_data_out_mprj[114] *2472:la_iena_mprj[114] 0 +12 *2478:la_input[114] *1133:7 0 +13 *622:7 *2472:la_iena_mprj[114] 0 +14 *722:11 *2472:la_iena_mprj[114] 0 +15 *875:10 *1133:10 0.000366369 +16 *876:7 *1133:7 0 +17 *877:10 *1133:10 0 +18 *878:16 *1133:10 0.0410764 +19 *880:10 *1133:10 0.00294008 +20 *880:14 *1133:10 0.000364336 +*RES +1 *2478:la_iena[114] *1133:5 2.05183 +2 *1133:5 *1133:7 52.2851 +3 *1133:7 *1133:9 4.5 +4 *1133:9 *1133:10 483.229 +5 *1133:10 *2472:la_iena_mprj[114] 39.6743 +*END + +*D_NET *1134 0.11067 +*CONN +*I *2472:la_iena_mprj[115] I *D mgmt_protect +*I *2478:la_iena[115] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[115] 0.00151173 +2 *2478:la_iena[115] 5.96331e-05 +3 *1134:10 0.00531782 +4 *1134:9 0.00380608 +5 *1134:7 0.00265273 +6 *1134:5 0.00271237 +7 *2472:la_iena_mprj[115] *1262:13 0 +8 *1134:7 *1589:21 2.67003e-05 +9 *1134:10 *1274:10 0.0033255 +10 *1134:10 *1275:14 0.00190673 +11 *1134:10 *1584:24 0.00205834 +12 *2472:la_data_out_mprj[115] *2472:la_iena_mprj[115] 0 +13 *622:11 *1134:7 0 +14 *875:10 *1134:10 0.0421852 +15 *876:10 *1134:10 0.000473003 +16 *877:7 *1134:7 0 +17 *880:10 *1134:10 1.41853e-05 +18 *1133:10 *1134:10 0.0446195 +*RES +1 *2478:la_iena[115] *1134:5 1.49002 +2 *1134:5 *1134:7 52.7004 +3 *1134:7 *1134:9 4.5 +4 *1134:9 *1134:10 494.875 +5 *1134:10 *2472:la_iena_mprj[115] 39.2591 +*END + +*D_NET *1135 0.111011 +*CONN +*I *2472:la_iena_mprj[116] I *D mgmt_protect +*I *2478:la_iena[116] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[116] 0.00174936 +2 *2478:la_iena[116] 0.00236968 +3 *1135:14 0.00526557 +4 *1135:12 0.00384427 +5 *1135:7 0.00269773 +6 *2472:la_iena_mprj[116] *2472:la_oenb_mprj[116] 0 +7 *1135:12 *1144:10 0.00131478 +8 *1135:12 *1598:18 0.000436811 +9 *1135:12 *1600:24 0.000152397 +10 *1135:14 *1144:10 0.0378679 +11 *1135:14 *1600:24 0.00140471 +12 *2472:la_data_out_mprj[116] *2472:la_iena_mprj[116] 0 +13 *623:11 *1135:7 0 +14 *723:11 *2472:la_iena_mprj[116] 0 +15 *878:7 *1135:7 0 +16 *879:10 *1135:12 0.00338505 +17 *879:10 *1135:14 1.67988e-05 +18 *879:12 *1135:12 9.95922e-06 +19 *879:14 *1135:14 0.0443422 +20 *887:10 *1135:14 0.00029642 +21 *1121:16 *1135:14 0.00585757 +*RES +1 *2478:la_iena[116] *1135:7 48.9387 +2 *1135:7 *1135:12 40.7421 +3 *1135:12 *1135:14 471.027 +4 *1135:14 *2472:la_iena_mprj[116] 44.6573 +*END + +*D_NET *1136 0.101704 +*CONN +*I *2472:la_iena_mprj[117] I *D mgmt_protect +*I *2478:la_iena[117] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[117] 0.00132282 +2 *2478:la_iena[117] 0.00200872 +3 *1136:16 0.00421738 +4 *1136:15 0.00377636 +5 *1136:10 0.00482579 +6 *1136:9 0.00595271 +7 *2472:la_iena_mprj[117] *2472:la_oenb_mprj[117] 0 +8 *1136:10 *1141:10 0.0227303 +9 *1136:10 *1257:10 0 +10 *1136:10 *1659:8 0 +11 *1136:15 *2472:mprj_adr_o_core[25] 4.98393e-05 +12 *1136:15 *1250:7 0 +13 *1136:15 *1666:5 0.000272294 +14 *1136:16 *1141:16 0.000713504 +15 *1136:16 *1143:16 0.0203826 +16 *1136:16 *1265:16 0.0242458 +17 *2472:la_data_out_mprj[117] *2472:la_iena_mprj[117] 0 +18 *624:11 *1136:9 0 +19 *864:16 *1136:16 0.000245858 +20 *866:9 *1136:15 8.62625e-06 +21 *873:10 *1136:16 0 +22 *879:7 *1136:9 0 +23 *888:10 *1136:10 0.000547189 +24 *1124:10 *1136:10 0.000310528 +25 *1129:10 *1136:10 0.0100941 +*RES +1 *2478:la_iena[117] *1136:9 47.2099 +2 *1136:9 *1136:10 248.077 +3 *1136:10 *1136:15 26.1963 +4 *1136:15 *1136:16 269.706 +5 *1136:16 *2472:la_iena_mprj[117] 34.276 +*END + +*D_NET *1137 0.115763 +*CONN +*I *2472:la_iena_mprj[118] I *D mgmt_protect +*I *2478:la_iena[118] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[118] 0.00182876 +2 *2478:la_iena[118] 0.00226325 +3 *1137:16 0.00513175 +4 *1137:15 0.00336103 +5 *1137:10 0.000770855 +6 *1137:9 0.000712827 +7 *1137:7 0.00226325 +8 *2472:la_iena_mprj[118] *2472:la_oenb_mprj[118] 0 +9 *1137:10 *1269:10 0.00191074 +10 *1137:10 *1597:16 0.00733588 +11 *1137:10 *1597:22 0.000388082 +12 *1137:10 *1597:24 6.50727e-05 +13 *1137:16 *1597:24 0.0102139 +14 *1137:16 *1600:24 0.00010238 +15 *1137:16 *1605:24 0.00140471 +16 *2472:la_data_out_mprj[118] *2472:la_iena_mprj[118] 0 +17 *625:11 *1137:7 0 +18 *870:16 *1137:16 0.0314684 +19 *874:10 *1137:16 1.41853e-05 +20 *876:7 *1137:15 1.24189e-05 +21 *878:10 *1137:10 0.00400945 +22 *879:14 *1137:16 0.0417171 +23 *880:7 *1137:7 0 +24 *890:10 *1137:10 0.000572015 +25 *890:10 *1137:16 0.000216928 +*RES +1 *2478:la_iena[118] *1137:7 47.6929 +2 *1137:7 *1137:9 4.5 +3 *1137:9 *1137:10 87.2416 +4 *1137:10 *1137:15 10.0015 +5 *1137:15 *1137:16 442.742 +6 *1137:16 *2472:la_iena_mprj[118] 45.4878 +*END + +*D_NET *1138 0.117992 +*CONN +*I *2472:la_iena_mprj[119] I *D mgmt_protect +*I *2478:la_iena[119] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[119] 0.00156777 +2 *2478:la_iena[119] 3.626e-05 +3 *1138:20 0.00516496 +4 *1138:19 0.00374596 +5 *1138:10 0.000755118 +6 *1138:9 0.000606343 +7 *1138:7 0.0024557 +8 *1138:5 0.00249196 +9 *2472:la_iena_mprj[119] *2472:la_oenb_mprj[119] 0 +10 *1138:10 *1588:24 0.000574932 +11 *1138:20 *1586:24 0.000108607 +12 *1138:20 *1588:24 0.00198471 +13 *2472:la_data_out_mprj[119] *2472:la_iena_mprj[119] 0 +14 *626:11 *1138:7 0 +15 *628:7 *2472:la_iena_mprj[119] 0 +16 *724:11 *2472:la_iena_mprj[119] 0.000259105 +17 *877:10 *1138:20 0.00015542 +18 *881:10 *1138:20 1.92172e-05 +19 *881:14 *1138:20 0.0440273 +20 *884:10 *1138:10 0.00161884 +21 *884:14 *1138:10 0.00240578 +22 *884:14 *1138:20 0.000381458 +23 *885:10 *1138:10 0.00696638 +24 *885:14 *1138:20 0.0426659 +*RES +1 *2478:la_iena[119] *1138:5 0.928211 +2 *1138:5 *1138:7 50.2089 +3 *1138:7 *1138:9 4.5 +4 *1138:9 *1138:10 73.3765 +5 *1138:10 *1138:19 11.0518 +6 *1138:19 *1138:20 468.254 +7 *1138:20 *2472:la_iena_mprj[119] 41.7506 +*END + +*D_NET *1139 0.15427 +*CONN +*I *2472:la_iena_mprj[11] I *D mgmt_protect +*I *2478:la_iena[11] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[11] 0.00147305 +2 *2478:la_iena[11] 0.00140873 +3 *1139:18 0.00339168 +4 *1139:10 0.00659866 +5 *1139:9 0.00608876 +6 *2472:la_iena_mprj[11] *2472:la_oenb_mprj[11] 0 +7 *1139:10 *1155:10 1.92172e-05 +8 *1139:10 *1267:10 0.0629386 +9 *1139:10 *1317:10 0.00349721 +10 *1139:10 *1339:10 0.00025506 +11 *1139:18 *2472:la_iena_mprj[6] 1.80266e-05 +12 *1139:18 *2472:la_oenb_mprj[6] 0.000355655 +13 *1139:18 *1154:10 0.00187111 +14 *1139:18 *1267:15 0.00103186 +15 *2472:la_data_out_mprj[11] *2472:la_iena_mprj[11] 0 +16 *2478:la_input[11] *1139:9 0 +17 *2478:la_input[44] *1139:18 4.3116e-06 +18 *677:14 *1139:10 0.000427125 +19 *872:7 *1139:9 0 +20 *896:10 *1139:18 0.00187111 +21 *977:10 *1139:10 0.0622698 +22 *988:10 *1139:10 0.000750112 +*RES +1 *2478:la_iena[11] *1139:9 38.9049 +2 *1139:9 *1139:10 675.121 +3 *1139:10 *1139:18 40.7334 +4 *1139:18 *2472:la_iena_mprj[11] 31.6447 +*END + +*D_NET *1140 0.120458 +*CONN +*I *2472:la_iena_mprj[120] I *D mgmt_protect +*I *2478:la_iena[120] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[120] 0.000515374 +2 *2478:la_iena[120] 8.30061e-05 +3 *1140:10 0.0050055 +4 *1140:9 0.00449013 +5 *1140:7 0.00386084 +6 *1140:5 0.00394384 +7 *2472:la_iena_mprj[120] *2472:la_oenb_mprj[120] 0 +8 *1140:10 *1142:10 0.0504008 +9 *2472:la_data_out_mprj[120] *2472:la_iena_mprj[120] 0 +10 *628:8 *1140:10 0.052002 +11 *628:11 *1140:7 0 +12 *630:8 *1140:10 0.000156579 +13 *882:7 *1140:7 0 +*RES +1 *2478:la_iena[120] *1140:5 2.05183 +2 *1140:5 *1140:7 76.3698 +3 *1140:7 *1140:9 4.5 +4 *1140:9 *1140:10 553.109 +5 *1140:10 *2472:la_iena_mprj[120] 15.5897 +*END + +*D_NET *1141 0.108956 +*CONN +*I *2472:la_iena_mprj[121] I *D mgmt_protect +*I *2478:la_iena[121] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[121] 0.00140666 +2 *2478:la_iena[121] 0.00201649 +3 *1141:16 0.00527283 +4 *1141:15 0.00457709 +5 *1141:10 0.00421462 +6 *1141:9 0.00552019 +7 *2472:la_iena_mprj[121] *2472:la_oenb_mprj[121] 0 +8 *1141:10 *1143:10 0.0287926 +9 *1141:15 *1147:15 0.000524551 +10 *1141:15 *1667:7 0.000131931 +11 *1141:16 *1143:16 0.0211045 +12 *1141:16 *1147:16 0.0030333 +13 *1141:16 *1589:22 0.00565466 +14 *2472:la_data_out_mprj[121] *2472:la_iena_mprj[121] 0 +15 *629:11 *1141:9 0 +16 *873:10 *1141:16 0 +17 *884:7 *1141:9 0 +18 *888:10 *1141:10 0.00151249 +19 *1123:13 *1141:15 0.000121156 +20 *1124:10 *1141:10 0.00161542 +21 *1125:10 *1141:10 1.41853e-05 +22 *1136:10 *1141:10 0.0227303 +23 *1136:16 *1141:16 0.000713504 +*RES +1 *2478:la_iena[121] *1141:9 47.6252 +2 *1141:9 *1141:10 321.839 +3 *1141:10 *1141:15 24.9506 +4 *1141:15 *1141:16 243.085 +5 *1141:16 *2472:la_iena_mprj[121] 35.1065 +*END + +*D_NET *1142 0.123716 +*CONN +*I *2472:la_iena_mprj[122] I *D mgmt_protect +*I *2478:la_iena[122] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[122] 0.000543661 +2 *2478:la_iena[122] 8.30061e-05 +3 *1142:10 0.00527888 +4 *1142:9 0.00473522 +5 *1142:7 0.00380131 +6 *1142:5 0.00388432 +7 *2472:la_iena_mprj[122] *2472:la_oenb_mprj[122] 0 +8 *2472:la_data_out_mprj[122] *2472:la_iena_mprj[122] 0 +9 *471:27 *1142:10 0 +10 *628:8 *1142:10 0.00020979 +11 *629:8 *1142:10 0.00051659 +12 *630:8 *1142:10 0.0542625 +13 *885:7 *1142:7 0 +14 *1140:10 *1142:10 0.0504008 +*RES +1 *2478:la_iena[122] *1142:5 2.05183 +2 *1142:5 *1142:7 75.9545 +3 *1142:7 *1142:9 4.5 +4 *1142:9 *1142:10 576.402 +5 *1142:10 *2472:la_iena_mprj[122] 16.0049 +*END + +*D_NET *1143 0.112991 +*CONN +*I *2472:la_iena_mprj[123] I *D mgmt_protect +*I *2478:la_iena[123] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[123] 0.00141256 +2 *2478:la_iena[123] 0.00202426 +3 *1143:16 0.00363582 +4 *1143:15 0.00312534 +5 *1143:10 0.00582783 +6 *1143:9 0.00695002 +7 *2472:la_iena_mprj[123] *2472:la_oenb_mprj[123] 0 +8 *1143:10 *1147:10 0 +9 *1143:10 *1259:10 0.00993967 +10 *1143:10 *1263:10 0.00352416 +11 *1143:10 *1265:10 0.000659929 +12 *1143:15 *2472:mprj_adr_o_core[29] 2.95757e-05 +13 *1143:15 *1257:15 0.000121929 +14 *1143:16 *1265:16 0.00102025 +15 *1143:16 *1589:22 0.000685805 +16 *1143:16 *1602:30 6.08467e-05 +17 *2472:la_data_out_mprj[123] *2472:la_iena_mprj[123] 0 +18 *2478:la_input[123] *1143:9 0 +19 *869:10 *1143:10 0.000279817 +20 *886:7 *1143:9 0 +21 *888:10 *1143:10 0.0015042 +22 *1124:9 *1143:15 8.62625e-06 +23 *1125:10 *1143:10 0.00190027 +24 *1136:16 *1143:16 0.0203826 +25 *1141:10 *1143:10 0.0287926 +26 *1141:16 *1143:16 0.0211045 +*RES +1 *2478:la_iena[123] *1143:9 48.0404 +2 *1143:9 *1143:10 344.578 +3 *1143:10 *1143:15 24.9506 +4 *1143:15 *1143:16 243.64 +5 *1143:16 *2472:la_iena_mprj[123] 34.6913 +*END + +*D_NET *1144 0.131915 +*CONN +*I *2472:la_iena_mprj[124] I *D mgmt_protect +*I *2478:la_iena[124] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[124] 0.00188508 +2 *2478:la_iena[124] 0.00233983 +3 *1144:10 0.00634576 +4 *1144:9 0.00446068 +5 *1144:7 0.00233983 +6 *2472:la_iena_mprj[124] *2472:la_oenb_mprj[124] 0 +7 *1144:10 *1589:16 0.000120974 +8 *1144:10 *1598:18 0.0158046 +9 *1144:10 *1599:24 0.00331572 +10 *2472:la_data_out_mprj[124] *2472:la_iena_mprj[124] 0 +11 *632:11 *1144:7 0 +12 *887:7 *1144:7 0 +13 *887:10 *1144:10 0.0561203 +14 *1135:12 *1144:10 0.00131478 +15 *1135:14 *1144:10 0.0378679 +*RES +1 *2478:la_iena[124] *1144:7 49.7692 +2 *1144:7 *1144:9 4.5 +3 *1144:9 *1144:10 599.695 +4 *1144:10 *2472:la_iena_mprj[124] 44.2421 +*END + +*D_NET *1145 0.134607 +*CONN +*I *2472:la_iena_mprj[125] I *D mgmt_protect +*I *2478:la_iena[125] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[125] 0.000643991 +2 *2478:la_iena[125] 8.30061e-05 +3 *1145:10 0.00534609 +4 *1145:9 0.0047021 +5 *1145:7 0.00362507 +6 *1145:5 0.00370807 +7 *2472:la_iena_mprj[125] *2472:la_oenb_mprj[125] 0 +8 *1145:7 *1657:13 0 +9 *1145:10 *1741:24 0.00313436 +10 *2472:la_data_out_mprj[125] *2472:la_iena_mprj[125] 0 +11 *609:8 *1145:10 0 +12 *632:8 *1145:10 0.0562411 +13 *633:8 *1145:10 0.000644459 +14 *633:11 *1145:7 0 +15 *635:8 *1145:10 0.0564793 +16 *888:9 *1145:7 0 +*RES +1 *2478:la_iena[125] *1145:5 2.05183 +2 *1145:5 *1145:7 73.8783 +3 *1145:7 *1145:9 4.5 +4 *1145:9 *1145:10 611.342 +5 *1145:10 *2472:la_iena_mprj[125] 18.0812 +*END + +*D_NET *1146 0.129563 +*CONN +*I *2472:la_iena_mprj[126] I *D mgmt_protect +*I *2478:la_iena[126] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[126] 0.000586533 +2 *2478:la_iena[126] 8.30061e-05 +3 *1146:10 0.00634215 +4 *1146:9 0.00575562 +5 *1146:7 0.00365188 +6 *1146:5 0.00373489 +7 *2472:la_iena_mprj[126] *2472:la_oenb_mprj[126] 0 +8 *2472:la_data_out_mprj[126] *2472:la_iena_mprj[126] 0 +9 *2478:la_input[126] *1146:7 0 +10 *630:8 *1146:10 0.0517527 +11 *633:8 *1146:10 0.0573798 +12 *635:8 *1146:10 0.000276534 +13 *889:9 *1146:7 0 +*RES +1 *2478:la_iena[126] *1146:5 2.05183 +2 *1146:5 *1146:7 75.124 +3 *1146:7 *1146:9 4.5 +4 *1146:9 *1146:10 623.543 +5 *1146:10 *2472:la_iena_mprj[126] 16.8354 +*END + +*D_NET *1147 0.0924199 +*CONN +*I *2472:la_iena_mprj[127] I *D mgmt_protect +*I *2478:la_iena[127] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[127] 0.00136371 +2 *2478:la_iena[127] 0.00209551 +3 *1147:16 0.00543951 +4 *1147:15 0.00461334 +5 *1147:10 0.00820716 +6 *1147:9 0.00766962 +7 *1147:7 0.00209551 +8 *2472:la_iena_mprj[127] *2472:la_oenb_mprj[127] 0 +9 *1147:7 *2191:15 0 +10 *1147:10 *1265:10 0.00575396 +11 *1147:10 *1268:10 0.0201121 +12 *1147:10 *1269:10 0.000895002 +13 *1147:10 *1597:16 0.000692134 +14 *1147:15 *2472:mprj_adr_o_core[26] 6.02883e-05 +15 *1147:15 *2472:mprj_dat_o_core[25] 0 +16 *1147:16 *1589:22 0.00168132 +17 *1147:16 *1598:28 0.000778588 +18 *1147:16 *1664:8 0.00177249 +19 *2472:la_data_out_mprj[127] *2472:la_iena_mprj[127] 0 +20 *862:15 *2472:la_iena_mprj[127] 0.000661124 +21 *870:15 *1147:10 0.000736108 +22 *871:10 *1147:10 0.00884108 +23 *873:10 *1147:16 0.0042523 +24 *889:10 *1147:10 0.00298101 +25 *890:7 *1147:7 0 +26 *891:10 *1147:10 0.000283528 +27 *1123:13 *1147:15 7.09666e-06 +28 *1130:14 *1147:10 0.00385789 +29 *1132:10 *1147:10 0.0040117 +30 *1141:15 *1147:15 0.000524551 +31 *1141:16 *1147:16 0.0030333 +32 *1143:10 *1147:10 0 +*RES +1 *2478:la_iena[127] *1147:7 46.0319 +2 *1147:7 *1147:9 4.5 +3 *1147:9 *1147:10 443.852 +4 *1147:10 *1147:15 20.798 +5 *1147:15 *1147:16 190.952 +6 *1147:16 *2472:la_iena_mprj[127] 36.3523 +*END + +*D_NET *1148 0.171551 +*CONN +*I *2472:la_iena_mprj[12] I *D mgmt_protect +*I *2478:la_iena[12] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[12] 0.00112657 +2 *2478:la_iena[12] 8.29983e-05 +3 *1148:10 0.00922799 +4 *1148:9 0.00810141 +5 *1148:7 0.00253265 +6 *1148:5 0.00261565 +7 *2472:la_iena_mprj[12] *2472:la_oenb_mprj[12] 0 +8 *1148:10 *1156:10 0.0666928 +9 *1148:10 *1178:10 0.000383654 +10 *1148:10 *1222:10 0 +11 *1148:10 *1244:10 0 +12 *1148:10 *1256:10 0 +13 *1148:10 *1276:10 0.075601 +14 *1148:10 *1284:10 0.000102747 +15 *1148:10 *1299:10 0.00241024 +16 *1148:10 *1306:10 4.08499e-05 +17 *1148:10 *1328:10 0.00020617 +18 *1148:10 *1350:10 0 +19 *2472:la_data_out_mprj[12] *2472:la_iena_mprj[12] 0 +20 *2478:la_input[12] *1148:7 0 +21 *883:7 *1148:7 0 +22 *914:10 *1148:10 0.00242587 +23 *1128:10 *1148:10 0 +*RES +1 *2478:la_iena[12] *1148:5 2.05183 +2 *1148:5 *1148:7 61.6283 +3 *1148:7 *1148:9 3.36879 +4 *1148:9 *1148:10 97.3454 +5 *1148:10 *2472:la_iena_mprj[12] 29.1999 +*END + +*D_NET *1149 0.180095 +*CONN +*I *2472:la_iena_mprj[13] I *D mgmt_protect +*I *2478:la_iena[13] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[13] 0.00247422 +2 *2478:la_iena[13] 0.00159835 +3 *1149:12 0.00247422 +4 *1149:10 0.00950648 +5 *1149:9 0.0111048 +6 *2472:la_iena_mprj[13] *2472:la_oenb_mprj[13] 0 +7 *2472:la_iena_mprj[13] *1186:7 0 +8 *1149:10 *1159:10 0.000161399 +9 *1149:10 *1161:10 0.000180782 +10 *1149:10 *1163:10 0.000217229 +11 *1149:10 *1233:10 0.0704756 +12 *1149:10 *1277:10 0.0740803 +13 *1149:10 *1279:10 0 +14 *1149:10 *1287:10 0.000169237 +15 *1149:10 *1289:10 0.000196208 +16 *1149:10 *1291:10 0.000236284 +17 *1149:10 *1293:10 0.00237494 +18 *1149:10 *1302:10 0.00155942 +19 *2472:la_data_out_mprj[13] *2472:la_iena_mprj[13] 0 +20 *616:14 *1149:10 0.00307947 +21 *637:21 *1149:9 0 +22 *674:11 *2472:la_iena_mprj[13] 0.000206324 +23 *892:7 *1149:9 0 +*RES +1 *2478:la_iena[13] *1149:9 41.3033 +2 *1149:9 *1149:10 95.7391 +3 *1149:10 *1149:12 3.36879 +4 *1149:12 *2472:la_iena_mprj[13] 51.5768 +*END + +*D_NET *1150 0.166416 +*CONN +*I *2472:la_iena_mprj[14] I *D mgmt_protect +*I *2478:la_iena[14] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[14] 0.00127849 +2 *2478:la_iena[14] 8.29983e-05 +3 *1150:10 0.00759267 +4 *1150:9 0.00631417 +5 *1150:7 0.00249638 +6 *1150:5 0.00257938 +7 *2472:la_iena_mprj[14] *2472:la_oenb_mprj[14] 0 +8 *1150:10 *1152:10 0.0696335 +9 *1150:10 *1276:10 0.000455287 +10 *1150:10 *1278:10 0.072982 +11 *1150:10 *1301:10 0.00200111 +12 *1150:10 *1303:16 0.00100031 +13 *2472:la_data_out_mprj[14] *2472:la_iena_mprj[14] 0 +14 *638:23 *1150:7 0 +15 *639:8 *1150:10 0 +16 *893:7 *1150:7 0 +17 *893:10 *1150:10 0 +*RES +1 *2478:la_iena[14] *1150:5 2.05183 +2 *1150:5 *1150:7 59.1368 +3 *1150:7 *1150:9 3.36879 +4 *1150:9 *1150:10 94.0563 +5 *1150:10 *2472:la_iena_mprj[14] 31.6914 +*END + +*D_NET *1151 0.118721 +*CONN +*I *2472:la_iena_mprj[15] I *D mgmt_protect +*I *2478:la_iena[15] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[15] 0.00239694 +2 *2478:la_iena[15] 0.00169206 +3 *1151:12 0.00239694 +4 *1151:10 0.0151317 +5 *1151:9 0.0168237 +6 *2472:la_iena_mprj[15] *2472:la_oenb_mprj[15] 0 +7 *1151:10 *1163:10 0.000117432 +8 *1151:10 *1165:10 0.000158053 +9 *1151:10 *1168:10 0.000171918 +10 *1151:10 *1277:10 0.0710203 +11 *1151:10 *1291:10 0.000123135 +12 *1151:10 *1293:10 0.000142759 +13 *1151:10 *1296:10 0.000945103 +14 *1151:10 *1302:10 0.000131535 +15 *1151:10 *1305:13 0.000130331 +16 *2472:la_data_out_mprj[15] *2472:la_iena_mprj[15] 0 +17 *616:13 *1151:10 0.00406948 +18 *627:10 *1151:10 0.00107588 +19 *636:8 *1151:10 0.000738553 +20 *636:12 *1151:10 0.000706329 +21 *639:11 *1151:9 0 +22 *643:8 *1151:10 0 +23 *644:8 *1151:10 0 +24 *645:8 *1151:10 0 +25 *668:14 *1151:10 0.000253087 +26 *672:8 *1151:10 0.000112976 +27 *897:10 *1151:10 0 +28 *898:10 *1151:10 0 +29 *909:16 *1151:10 0.000118462 +30 *913:16 *1151:10 0.000137341 +31 *915:16 *1151:10 0.000126544 +*RES +1 *2478:la_iena[15] *1151:9 42.9643 +2 *1151:9 *1151:10 92.5265 +3 *1151:10 *1151:12 3.36879 +4 *1151:12 *2472:la_iena_mprj[15] 49.9158 +*END + +*D_NET *1152 0.160793 +*CONN +*I *2472:la_iena_mprj[16] I *D mgmt_protect +*I *2478:la_iena[16] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[16] 0.00130971 +2 *2478:la_iena[16] 8.29983e-05 +3 *1152:10 0.00791545 +4 *1152:9 0.00660573 +5 *1152:7 0.00252189 +6 *1152:5 0.00260489 +7 *2472:la_iena_mprj[16] *2472:la_oenb_mprj[16] 0 +8 *1152:10 *1154:10 0.0671619 +9 *1152:10 *1176:10 0.00111057 +10 *1152:10 *1186:12 0.000176842 +11 *1152:10 *1278:10 0.000102747 +12 *2472:la_data_out_mprj[16] *2472:la_iena_mprj[16] 0 +13 *639:8 *1152:10 0 +14 *640:11 *1152:7 0 +15 *641:7 *2472:la_iena_mprj[16] 0 +16 *895:7 *1152:7 0 +17 *896:10 *1152:10 0.000326136 +18 *918:10 *1152:10 0.00124078 +19 *1150:10 *1152:10 0.0696335 +*RES +1 *2478:la_iena[16] *1152:5 2.05183 +2 *1152:5 *1152:7 58.3063 +3 *1152:7 *1152:9 3.36879 +4 *1152:9 *1152:10 90.8437 +5 *1152:10 *2472:la_iena_mprj[16] 32.5219 +*END + +*D_NET *1153 0.171031 +*CONN +*I *2472:la_iena_mprj[17] I *D mgmt_protect +*I *2478:la_iena[17] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[17] 0.00209731 +2 *2478:la_iena[17] 0.00114442 +3 *1153:12 0.00209731 +4 *1153:10 0.00863615 +5 *1153:9 0.00978057 +6 *2472:la_iena_mprj[17] *1281:13 0.00318626 +7 *1153:10 *1173:10 0.00181017 +8 *1153:10 *1279:10 0.0685126 +9 *1153:10 *1281:10 0.0689519 +10 *1153:10 *1300:10 0.00196896 +11 *2472:la_data_out_mprj[17] *2472:la_iena_mprj[17] 0 +12 *627:14 *1153:10 0.00284556 +13 *641:11 *1153:9 0 +14 *896:7 *1153:9 0 +15 *931:7 *2472:la_iena_mprj[17] 0 +*RES +1 *2478:la_iena[17] *1153:9 32.9983 +2 *1153:9 *1153:10 89.3139 +3 *1153:10 *1153:12 3.36879 +4 *1153:12 *2472:la_iena_mprj[17] 59.8818 +*END + +*D_NET *1154 0.115611 +*CONN +*I *2472:la_iena_mprj[18] I *D mgmt_protect +*I *2478:la_iena[18] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[18] 0.00136424 +2 *2478:la_iena[18] 3.62521e-05 +3 *1154:10 0.0143511 +4 *1154:9 0.0129868 +5 *1154:7 0.00254516 +6 *1154:5 0.00258141 +7 *2472:la_iena_mprj[18] *2472:la_oenb_mprj[18] 0 +8 *2472:la_iena_mprj[18] *1316:7 0 +9 *1154:10 *1180:10 0.000253421 +10 *1154:10 *1186:12 0 +11 *1154:10 *1305:16 0.000275651 +12 *2472:la_data_out_mprj[18] *2472:la_iena_mprj[18] 0 +13 *896:10 *1154:10 0.0115692 +14 *897:7 *1154:7 0 +15 *916:10 *1154:10 0.00023039 +16 *921:10 *1154:10 0.000275651 +17 *924:16 *1154:10 0.000108607 +18 *1139:18 *1154:10 0.00187111 +19 *1152:10 *1154:10 0.0671619 +*RES +1 *2478:la_iena[18] *1154:5 0.928211 +2 *1154:5 *1154:7 57.4758 +3 *1154:7 *1154:9 3.36879 +4 *1154:9 *1154:10 87.7841 +5 *1154:10 *2472:la_iena_mprj[18] 33.3524 +*END + +*D_NET *1155 0.129245 +*CONN +*I *2472:la_iena_mprj[19] I *D mgmt_protect +*I *2478:la_iena[19] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[19] 0.00257395 +2 *2478:la_iena[19] 0.00149834 +3 *1155:12 0.00257395 +4 *1155:10 0.00591712 +5 *1155:9 0.00741546 +6 *2472:la_iena_mprj[19] *2472:la_oenb_mprj[19] 0 +7 *2472:la_iena_mprj[19] *1316:7 0 +8 *1155:10 *1159:10 0.0532672 +9 *1155:10 *1185:10 0.000975721 +10 *1155:10 *1187:10 0.00333991 +11 *1155:10 *1189:10 0.00254869 +12 *1155:10 *1267:10 0.0485505 +13 *1155:10 *1311:10 0 +14 *1155:10 *1312:10 0 +15 *1155:10 *1339:10 0.000173271 +16 *2472:la_data_out_mprj[19] *2472:la_iena_mprj[19] 0 +17 *2478:la_input[19] *1155:9 0 +18 *616:14 *1155:10 0 +19 *643:7 *2472:la_iena_mprj[19] 0 +20 *898:9 *1155:9 0 +21 *988:10 *1155:10 0.000391594 +22 *1139:10 *1155:10 1.92172e-05 +*RES +1 *2478:la_iena[19] *1155:9 39.7354 +2 *1155:9 *1155:10 624.098 +3 *1155:10 *1155:12 4.5 +4 *1155:12 *2472:la_iena_mprj[19] 54.2759 +*END + +*D_NET *1156 0.202694 +*CONN +*I *2472:la_iena_mprj[1] I *D mgmt_protect +*I *2478:la_iena[1] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[1] 0.00117205 +2 *2478:la_iena[1] 8.29983e-05 +3 *1156:10 0.0105111 +4 *1156:9 0.00933905 +5 *1156:7 0.00230112 +6 *1156:5 0.00238412 +7 *2472:la_iena_mprj[1] *2472:la_oenb_mprj[1] 0 +8 *1156:7 *1562:16 0 +9 *1156:7 *1572:13 0 +10 *1156:7 *2037:11 0 +11 *1156:10 *1284:10 0.0898656 +12 *2472:la_data_out_mprj[1] *2472:la_iena_mprj[1] 0 +13 *644:11 *1156:7 0 +14 *861:7 *1156:7 0 +15 *912:10 *1156:10 0.00349207 +16 *1117:10 *1156:10 0.0168527 +17 *1148:10 *1156:10 0.0666928 +*RES +1 *2478:la_iena[1] *1156:5 2.05183 +2 *1156:5 *1156:7 62.4588 +3 *1156:7 *1156:9 3.36879 +4 *1156:9 *1156:10 115.091 +5 *1156:10 *2472:la_iena_mprj[1] 28.3694 +*END + +*D_NET *1157 0.153698 +*CONN +*I *2472:la_iena_mprj[20] I *D mgmt_protect +*I *2478:la_iena[20] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[20] 0.00282125 +2 *2478:la_iena[20] 0.00109501 +3 *1157:12 0.00282125 +4 *1157:10 0.00703599 +5 *1157:9 0.008131 +6 *2472:la_iena_mprj[20] *2472:la_oenb_mprj[20] 0 +7 *1157:10 *1281:10 0.0635202 +8 *1157:10 *1283:10 0.000371151 +9 *1157:10 *1285:10 0.065285 +10 *1157:10 *1304:10 0.00105713 +11 *2472:la_data_out_mprj[20] *2472:la_iena_mprj[20] 0 +12 *2478:la_input[20] *1157:9 0 +13 *678:11 *2472:la_iena_mprj[20] 0.000260423 +14 *899:7 *1157:9 0 +15 *919:10 *1157:10 0.0012995 +*RES +1 *2478:la_iena[20] *1157:9 31.3372 +2 *1157:9 *1157:10 84.4186 +3 *1157:10 *1157:12 3.36879 +4 *1157:12 *2472:la_iena_mprj[20] 61.5428 +*END + +*D_NET *1158 0.131877 +*CONN +*I *2472:la_iena_mprj[21] I *D mgmt_protect +*I *2478:la_iena[21] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[21] 0.000886989 +2 *2478:la_iena[21] 8.29983e-05 +3 *1158:10 0.00526383 +4 *1158:9 0.00437684 +5 *1158:7 0.00308509 +6 *1158:5 0.00316808 +7 *2472:la_iena_mprj[21] *2472:la_oenb_mprj[21] 0 +8 *1158:10 *1160:10 0.0530002 +9 *1158:10 *1282:10 0.0546643 +10 *1158:10 *1286:10 0.00132061 +11 *1158:10 *1328:10 0.00508031 +12 *646:11 *1158:7 0 +13 *647:7 *2472:la_iena_mprj[21] 0 +14 *901:9 *1158:7 0 +15 *902:13 *2472:la_iena_mprj[21] 0 +16 *931:10 *1158:10 0.000947792 +*RES +1 *2478:la_iena[21] *1158:5 2.05183 +2 *1158:5 *1158:7 65.9885 +3 *1158:7 *1158:9 4.5 +4 *1158:9 *1158:10 600.25 +5 *1158:10 *2472:la_iena_mprj[21] 25.971 +*END + +*D_NET *1159 0.130434 +*CONN +*I *2472:la_iena_mprj[22] I *D mgmt_protect +*I *2478:la_iena[22] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[22] 6.22868e-05 +2 *2478:la_iena[22] 0.00156927 +3 *1159:13 0.00188295 +4 *1159:12 0.00182066 +5 *1159:10 0.00436947 +6 *1159:9 0.00593874 +7 *1159:10 *1187:10 0.000970459 +8 *1159:10 *1287:10 0.0545369 +9 *1159:10 *1339:10 0.00294553 +10 *1159:13 *2472:la_oenb_mprj[22] 0 +11 *2472:la_data_out_mprj[22] *1159:13 0.00290936 +12 *616:14 *1159:10 0 +13 *647:11 *1159:9 0 +14 *902:9 *1159:9 0 +15 *1149:10 *1159:10 0.000161399 +16 *1155:10 *1159:10 0.0532672 +*RES +1 *2478:la_iena[22] *1159:9 40.1506 +2 *1159:9 *1159:10 586.939 +3 *1159:10 *1159:12 4.5 +4 *1159:12 *1159:13 53.9461 +5 *1159:13 *2472:la_iena_mprj[22] 1.77093 +*END + +*D_NET *1160 0.128542 +*CONN +*I *2472:la_iena_mprj[23] I *D mgmt_protect +*I *2478:la_iena[23] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[23] 0.000851711 +2 *2478:la_iena[23] 8.29983e-05 +3 *1160:10 0.00496104 +4 *1160:9 0.00410933 +5 *1160:7 0.00315871 +6 *1160:5 0.00324171 +7 *2472:la_iena_mprj[23] *2472:la_oenb_mprj[23] 0 +8 *2472:la_iena_mprj[23] *1319:7 8.11463e-06 +9 *1160:10 *1286:10 0.0532169 +10 *1160:10 *1288:10 0.000601789 +11 *1160:10 *1328:10 0.0046706 +12 *2472:la_data_out_mprj[23] *2472:la_iena_mprj[23] 0 +13 *648:11 *1160:7 0 +14 *649:7 *2472:la_iena_mprj[23] 0 +15 *903:9 *1160:7 0 +16 *931:10 *1160:10 7.83176e-05 +17 *934:10 *1160:10 0.000560858 +18 *1158:10 *1160:10 0.0530002 +*RES +1 *2478:la_iena[23] *1160:5 2.05183 +2 *1160:5 *1160:7 66.4037 +3 *1160:7 *1160:9 4.5 +4 *1160:9 *1160:10 576.957 +5 *1160:10 *2472:la_iena_mprj[23] 25.5557 +*END + +*D_NET *1161 0.124583 +*CONN +*I *2472:la_iena_mprj[24] I *D mgmt_protect +*I *2478:la_iena[24] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[24] 0.0024754 +2 *2478:la_iena[24] 0.00163745 +3 *1161:12 0.0024754 +4 *1161:10 0.00422769 +5 *1161:9 0.00586514 +6 *2472:la_iena_mprj[24] *2472:la_oenb_mprj[24] 0 +7 *1161:10 *1191:10 0.000356734 +8 *1161:10 *1211:10 0.00266988 +9 *1161:10 *1233:10 0.000101365 +10 *1161:10 *1287:10 0.0520071 +11 *1161:10 *1289:10 0.0524061 +12 *616:14 *1161:10 0.000179601 +13 *649:11 *1161:9 0 +14 *905:13 *2472:la_iena_mprj[24] 0 +15 *1149:10 *1161:10 0.000180782 +*RES +1 *2478:la_iena[24] *1161:9 40.9811 +2 *1161:9 *1161:10 565.31 +3 *1161:10 *1161:12 4.5 +4 *1161:12 *2472:la_iena_mprj[24] 53.0301 +*END + +*D_NET *1162 0.12093 +*CONN +*I *2472:la_iena_mprj[25] I *D mgmt_protect +*I *2478:la_iena[25] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[25] 0.000797169 +2 *2478:la_iena[25] 8.29983e-05 +3 *1162:10 0.00526054 +4 *1162:9 0.00446338 +5 *1162:7 0.00328672 +6 *1162:5 0.00336972 +7 *2472:la_iena_mprj[25] *2472:la_oenb_mprj[25] 0 +8 *1162:10 *1164:10 0.000451273 +9 *1162:10 *1192:10 0.000143313 +10 *1162:10 *1288:10 0.050937 +11 *1162:10 *1290:10 0.000756785 +12 *1162:10 *1292:10 0.0475059 +13 *1162:10 *1350:10 0.003828 +14 *2472:la_data_out_mprj[25] *2472:la_iena_mprj[25] 0 +15 *650:11 *1162:7 0 +16 *905:9 *1162:7 0 +17 *934:10 *1162:10 4.72904e-05 +*RES +1 *2478:la_iena[25] *1162:5 2.05183 +2 *1162:5 *1162:7 67.6495 +3 *1162:7 *1162:9 4.5 +4 *1162:9 *1162:10 553.663 +5 *1162:10 *2472:la_iena_mprj[25] 24.31 +*END + +*D_NET *1163 0.119863 +*CONN +*I *2472:la_iena_mprj[26] I *D mgmt_protect +*I *2478:la_iena[26] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[26] 0.00242823 +2 *2478:la_iena[26] 0.00170563 +3 *1163:12 0.00242823 +4 *1163:10 0.00414226 +5 *1163:9 0.0058479 +6 *2472:la_iena_mprj[26] *2472:la_oenb_mprj[26] 0 +7 *1163:10 *1191:10 8.68552e-05 +8 *1163:10 *1233:10 0.00251236 +9 *1163:10 *1289:10 0.0497701 +10 *1163:10 *1291:10 0.0501627 +11 *2472:la_data_out_mprj[26] *2472:la_iena_mprj[26] 0 +12 *616:14 *1163:10 0.000443728 +13 *651:11 *1163:9 0 +14 *906:9 *1163:9 0 +15 *1149:10 *1163:10 0.000217229 +16 *1151:10 *1163:10 0.000117432 +*RES +1 *2478:la_iena[26] *1163:9 41.8116 +2 *1163:9 *1163:10 542.016 +3 *1163:10 *1163:12 4.5 +4 *1163:12 *2472:la_iena_mprj[26] 52.1996 +*END + +*D_NET *1164 0.119104 +*CONN +*I *2472:la_iena_mprj[27] I *D mgmt_protect +*I *2478:la_iena[27] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[27] 0.00074403 +2 *2478:la_iena[27] 5.96252e-05 +3 *1164:10 0.00466398 +4 *1164:9 0.00391995 +5 *1164:7 0.00337933 +6 *1164:5 0.00343896 +7 *2472:la_iena_mprj[27] *2472:la_oenb_mprj[27] 0 +8 *1164:10 *1244:10 0.00404065 +9 *1164:10 *1290:10 0.0487187 +10 *1164:10 *1292:10 0.0491178 +11 *1164:10 *1294:10 5.2472e-05 +12 *2472:la_data_out_mprj[27] *2472:la_iena_mprj[27] 0 +13 *652:7 *2472:la_iena_mprj[27] 0 +14 *652:11 *1164:7 0 +15 *907:9 *1164:7 0 +16 *908:10 *1164:10 0.000517688 +17 *1162:10 *1164:10 0.000451273 +*RES +1 *2478:la_iena[27] *1164:5 1.49002 +2 *1164:5 *1164:7 68.48 +3 *1164:7 *1164:9 4.5 +4 *1164:9 *1164:10 530.924 +5 *1164:10 *2472:la_iena_mprj[27] 23.4795 +*END + +*D_NET *1165 0.113154 +*CONN +*I *2472:la_iena_mprj[28] I *D mgmt_protect +*I *2478:la_iena[28] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[28] 0.00232689 +2 *2478:la_iena[28] 0.00181039 +3 *1165:12 0.00232689 +4 *1165:10 0.00443281 +5 *1165:9 0.0062432 +6 *2472:la_iena_mprj[28] *2472:la_oenb_mprj[28] 0 +7 *1165:10 *1168:10 0.0450435 +8 *1165:10 *1277:10 0.00244939 +9 *1165:10 *1293:10 0.0479428 +10 *2472:la_data_out_mprj[28] *2472:la_iena_mprj[28] 0 +11 *616:14 *1165:10 0.00041958 +12 *636:16 *1165:10 0 +13 *653:11 *1165:9 0 +14 *908:7 *1165:9 0 +15 *1151:10 *1165:10 0.000158053 +*RES +1 *2478:la_iena[28] *1165:9 43.4726 +2 *1165:9 *1165:10 518.169 +3 *1165:10 *1165:12 4.5 +4 *1165:12 *2472:la_iena_mprj[28] 50.5386 +*END + +*D_NET *1166 0.112047 +*CONN +*I *2472:la_iena_mprj[29] I *D mgmt_protect +*I *2478:la_iena[29] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[29] 0.000665126 +2 *2478:la_iena[29] 8.29983e-05 +3 *1166:10 0.00474955 +4 *1166:9 0.00408442 +5 *1166:7 0.00352781 +6 *1166:5 0.00361081 +7 *2472:la_iena_mprj[29] *2472:la_oenb_mprj[29] 0 +8 *1166:10 *1169:10 0.043917 +9 *1166:10 *1256:10 0.00300887 +10 *1166:10 *1294:10 0.0469419 +11 *2472:la_data_out_mprj[29] *2472:la_iena_mprj[29] 0 +12 *654:11 *1166:7 0 +13 *908:10 *1166:10 0.000378828 +14 *909:9 *1166:7 0 +15 *910:10 *1166:10 0.00107913 +*RES +1 *2478:la_iena[29] *1166:5 2.05183 +2 *1166:5 *1166:7 70.141 +3 *1166:7 *1166:9 4.5 +4 *1166:9 *1166:10 507.076 +5 *1166:10 *2472:la_iena_mprj[29] 21.8185 +*END + +*D_NET *1167 0.2165 +*CONN +*I *2472:la_iena_mprj[2] I *D mgmt_protect +*I *2478:la_iena[2] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[2] 0.00277555 +2 *2478:la_iena[2] 0.000765051 +3 *1167:12 0.00277555 +4 *1167:10 0.0111737 +5 *1167:9 0.0119387 +6 *2472:la_iena_mprj[2] *2472:la_oenb_mprj[2] 0 +7 *1167:9 *2347:16 0.00180761 +8 *1167:10 *1179:10 0.000157517 +9 *1167:10 *1245:10 0.0874927 +10 *1167:10 *1279:10 0.000686467 +11 *1167:10 *1295:10 0.0878751 +12 *2472:la_data_out_mprj[2] *2472:la_iena_mprj[2] 0 +13 *900:7 *1167:9 0 +14 *911:10 *1167:10 0.000277664 +15 *924:15 *2472:la_iena_mprj[2] 0.00121598 +16 *933:10 *1167:10 0.00393788 +17 *955:10 *1167:10 0.0036203 +*RES +1 *2478:la_iena[2] *1167:9 33.8043 +2 *1167:9 *1167:10 113.638 +3 *1167:10 *1167:12 3.36879 +4 *1167:12 *2472:la_iena_mprj[2] 57.3903 +*END + +*D_NET *1168 0.109712 +*CONN +*I *2472:la_iena_mprj[30] I *D mgmt_protect +*I *2478:la_iena[30] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[30] 0.00227731 +2 *2478:la_iena[30] 0.00185386 +3 *1168:12 0.00227731 +4 *1168:10 0.00390042 +5 *1168:9 0.00575428 +6 *2472:la_iena_mprj[30] *2472:la_oenb_mprj[30] 0 +7 *1168:10 *1277:10 0.00225185 +8 *1168:10 *1293:10 9.16621e-05 +9 *1168:10 *1296:10 0.045721 +10 *1168:10 *1302:10 0.000369111 +11 *2472:la_data_out_mprj[30] *2472:la_iena_mprj[30] 0 +12 *636:16 *1168:10 0 +13 *656:11 *1168:9 0 +14 *682:11 *2472:la_iena_mprj[30] 0 +15 *910:7 *1168:9 0 +16 *1151:10 *1168:10 0.000171918 +17 *1165:10 *1168:10 0.0450435 +*RES +1 *2478:la_iena[30] *1168:9 43.8879 +2 *1168:9 *1168:10 494.875 +3 *1168:10 *1168:12 4.5 +4 *1168:12 *2472:la_iena_mprj[30] 50.1234 +*END + +*D_NET *1169 0.107951 +*CONN +*I *2472:la_iena_mprj[31] I *D mgmt_protect +*I *2478:la_iena[31] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[31] 0.000640264 +2 *2478:la_iena[31] 8.29983e-05 +3 *1169:10 0.00465657 +4 *1169:9 0.0040163 +5 *1169:7 0.00360412 +6 *1169:5 0.00368711 +7 *2472:la_iena_mprj[31] *2472:la_oenb_mprj[31] 0 +8 *1169:10 *1256:10 0.00276622 +9 *1169:10 *1294:10 9.16621e-05 +10 *1169:10 *1297:10 0.000779902 +11 *1169:10 *1320:10 0 +12 *2472:la_data_out_mprj[31] *2472:la_iena_mprj[31] 0 +13 *657:11 *1169:7 0 +14 *658:7 *2472:la_iena_mprj[31] 0 +15 *682:8 *1169:10 0 +16 *910:10 *1169:10 0.0437088 +17 *912:7 *1169:7 0 +18 *1166:10 *1169:10 0.043917 +*RES +1 *2478:la_iena[31] *1169:5 2.05183 +2 *1169:5 *1169:7 70.5562 +3 *1169:7 *1169:9 4.5 +4 *1169:9 *1169:10 483.783 +5 *1169:10 *2472:la_iena_mprj[31] 21.4032 +*END + +*D_NET *1170 0.102584 +*CONN +*I *2472:la_iena_mprj[32] I *D mgmt_protect +*I *2478:la_iena[32] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[32] 6.22868e-05 +2 *2478:la_iena[32] 0.00129841 +3 *1170:13 0.00219872 +4 *1170:12 0.00213643 +5 *1170:10 0.00420972 +6 *1170:9 0.00550813 +7 *1170:10 *1173:10 0.0353654 +8 *1170:10 *1279:10 0.00239425 +9 *1170:10 *1298:10 0.0434344 +10 *1170:10 *1300:10 0.000469586 +11 *1170:10 *1300:14 0.0031575 +12 *1170:13 *2472:la_oenb_mprj[32] 0 +13 *1170:13 *1195:9 0 +14 *2472:la_data_out_mprj[32] *1170:13 0.00143683 +15 *627:13 *1170:9 5.48015e-06 +16 *658:7 *1170:13 0 +17 *658:11 *1170:9 0 +18 *683:11 *1170:13 0.000487207 +19 *911:10 *1170:10 0.00041958 +20 *913:9 *1170:9 0 +21 *913:10 *1170:10 0 +*RES +1 *2478:la_iena[32] *1170:9 35.1676 +2 *1170:9 *1170:10 469.918 +3 *1170:10 *1170:12 4.5 +4 *1170:12 *1170:13 58.9292 +5 *1170:13 *2472:la_iena_mprj[32] 1.77093 +*END + +*D_NET *1171 0.103247 +*CONN +*I *2472:la_iena_mprj[33] I *D mgmt_protect +*I *2478:la_iena[33] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[33] 0.000950201 +2 *2478:la_iena[33] 8.29983e-05 +3 *1171:10 0.00485823 +4 *1171:9 0.00390803 +5 *1171:7 0.00306768 +6 *1171:5 0.00315068 +7 *2472:la_iena_mprj[33] *2472:la_oenb_mprj[33] 0 +8 *1171:10 *1172:10 0.0413268 +9 *1171:10 *1276:10 0.00247182 +10 *1171:10 *1299:10 0.0422785 +11 *2472:la_data_out_mprj[33] *2472:la_iena_mprj[33] 0 +12 *2478:la_input[33] *1171:7 0 +13 *914:7 *1171:7 0 +14 *914:10 *1171:10 0.000451273 +15 *935:13 *2472:la_iena_mprj[33] 0.000393005 +16 *936:13 *2472:la_iena_mprj[33] 5.66868e-06 +17 *939:11 *2472:la_iena_mprj[33] 0.000302412 +*RES +1 *2478:la_iena[33] *1171:5 2.05183 +2 *1171:5 *1171:7 61.4207 +3 *1171:7 *1171:9 4.5 +4 *1171:9 *1171:10 460.49 +5 *1171:10 *2472:la_iena_mprj[33] 30.5388 +*END + +*D_NET *1172 0.0998128 +*CONN +*I *2472:la_iena_mprj[34] I *D mgmt_protect +*I *2478:la_iena[34] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[34] 0.00111436 +2 *2478:la_iena[34] 8.29983e-05 +3 *1172:10 0.00501315 +4 *1172:9 0.00389879 +5 *1172:7 0.00307088 +6 *1172:5 0.00315388 +7 *2472:la_iena_mprj[34] *2472:la_oenb_mprj[34] 0 +8 *1172:10 *1174:10 0.0383334 +9 *1172:10 *1276:10 0.00247599 +10 *1172:10 *1299:10 8.90486e-05 +11 *1172:10 *1308:22 3.18085e-05 +12 *2472:la_data_out_mprj[34] *2472:la_iena_mprj[34] 0 +13 *2478:la_input[34] *1172:7 0 +14 *659:16 *1172:7 0 +15 *915:9 *1172:7 0 +16 *916:10 *1172:10 0.00105498 +17 *938:13 *2472:la_iena_mprj[34] 0.000166653 +18 *1171:10 *1172:10 0.0413268 +*RES +1 *2478:la_iena[34] *1172:5 2.05183 +2 *1172:5 *1172:7 61.0054 +3 *1172:7 *1172:9 4.5 +4 *1172:9 *1172:10 448.289 +5 *1172:10 *2472:la_iena_mprj[34] 30.954 +*END + +*D_NET *1173 0.098474 +*CONN +*I *2472:la_iena_mprj[35] I *D mgmt_protect +*I *2478:la_iena[35] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[35] 0.00128436 +2 *2478:la_iena[35] 0.00129488 +3 *1173:25 0.00210941 +4 *1173:16 0.00146119 +5 *1173:15 0.000899344 +6 *1173:10 0.00295582 +7 *1173:9 0.0039875 +8 *2472:la_iena_mprj[35] *2472:la_oenb_mprj[35] 0.000680343 +9 *1173:10 *1300:10 0.0353696 +10 *1173:15 *1304:15 0 +11 *1173:15 *1321:9 0.000284582 +12 *1173:16 *1179:16 0.00383455 +13 *1173:16 *1193:10 0.0057313 +14 *1173:16 *1302:10 0.000490085 +15 *1173:25 *1181:25 0.000894096 +16 *1173:25 *1309:23 1.2693e-05 +17 *1173:25 *1324:9 8.62625e-06 +18 *2472:la_data_out_mprj[35] *2472:la_iena_mprj[35] 0 +19 *2472:la_data_out_mprj[35] *1173:25 0 +20 *2478:la_input[35] *1173:9 0 +21 *684:11 *1173:25 0 +22 *916:7 *1173:9 0 +23 *926:25 *1173:15 0 +24 *940:7 *2472:la_iena_mprj[35] 0 +25 *940:7 *1173:25 0 +26 *1153:10 *1173:10 0.00181017 +27 *1170:10 *1173:10 0.0353654 +*RES +1 *2478:la_iena[35] *1173:9 34.7523 +2 *1173:9 *1173:10 374.526 +3 *1173:10 *1173:15 15.815 +4 *1173:15 *1173:16 61.1752 +5 *1173:16 *1173:25 27.2534 +6 *1173:25 *2472:la_iena_mprj[35] 34.0873 +*END + +*D_NET *1174 0.0950644 +*CONN +*I *2472:la_iena_mprj[36] I *D mgmt_protect +*I *2478:la_iena[36] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[36] 0.00120423 +2 *2478:la_iena[36] 3.62521e-05 +3 *1174:10 0.00504068 +4 *1174:9 0.00383644 +5 *1174:7 0.0031049 +6 *1174:5 0.00314115 +7 *2472:la_iena_mprj[36] *2472:la_oenb_mprj[36] 0 +8 *1174:10 *1278:10 0.00157137 +9 *1174:10 *1301:10 0.00052936 +10 *1174:10 *1303:16 0.000136843 +11 *1174:10 *1308:22 0 +12 *2472:la_data_out_mprj[36] *2472:la_iena_mprj[36] 0 +13 *916:10 *1174:10 0.0381297 +14 *917:9 *1174:7 0 +15 *1172:10 *1174:10 0.0383334 +*RES +1 *2478:la_iena[36] *1174:5 0.928211 +2 *1174:5 *1174:7 60.5902 +3 *1174:7 *1174:9 4.5 +4 *1174:9 *1174:10 426.104 +5 *1174:10 *2472:la_iena_mprj[36] 31.3693 +*END + +*D_NET *1175 0.0863214 +*CONN +*I *2472:la_iena_mprj[37] I *D mgmt_protect +*I *2478:la_iena[37] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[37] 0.00224093 +2 *2478:la_iena[37] 0.00125878 +3 *1175:16 0.00224093 +4 *1175:14 0.000859764 +5 *1175:12 0.000877956 +6 *1175:10 0.00292853 +7 *1175:9 0.00416912 +8 *2472:la_iena_mprj[37] *2472:la_oenb_mprj[37] 0 +9 *2472:la_iena_mprj[37] *1185:15 0 +10 *2472:la_iena_mprj[37] *1187:15 0.00198764 +11 *2472:la_iena_mprj[37] *1325:9 0 +12 *1175:10 *1177:10 0.0295729 +13 *1175:10 *1177:14 7.6719e-06 +14 *1175:10 *1194:10 0.000961455 +15 *1175:10 *1281:10 0.00146262 +16 *1175:10 *1300:10 0.0316377 +17 *1175:10 *1300:14 0.000392997 +18 *1175:10 *1304:10 0.000475421 +19 *1175:12 *1177:12 1.09551e-05 +20 *1175:14 *1177:14 0.00240362 +21 *1175:14 *1194:10 0.00239627 +22 *2472:la_data_out_mprj[37] *2472:la_iena_mprj[37] 0 +23 *2478:la_input[37] *1175:9 0 +24 *662:16 *1175:9 0 +25 *913:10 *1175:10 9.34933e-05 +26 *918:7 *1175:9 0 +27 *919:10 *1175:10 0.000342605 +*RES +1 *2478:la_iena[37] *1175:9 33.9218 +2 *1175:9 *1175:10 354.56 +3 *1175:10 *1175:12 0.578717 +4 *1175:12 *1175:14 58.9568 +5 *1175:14 *1175:16 4.5 +6 *1175:16 *2472:la_iena_mprj[37] 60.5047 +*END + +*D_NET *1176 0.0873055 +*CONN +*I *2472:la_iena_mprj[38] I *D mgmt_protect +*I *2478:la_iena[38] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[38] 0.00129503 +2 *2478:la_iena[38] 8.29983e-05 +3 *1176:10 0.00549688 +4 *1176:9 0.00420185 +5 *1176:7 0.00304042 +6 *1176:5 0.00312342 +7 *2472:la_iena_mprj[38] *2472:la_oenb_mprj[38] 0 +8 *1176:10 *1180:10 0.0318767 +9 *1176:10 *1303:16 0.000534259 +10 *1176:10 *1305:16 0.000282235 +11 *2472:la_data_out_mprj[38] *2472:la_iena_mprj[38] 0 +12 *2478:la_input[38] *1176:7 0 +13 *605:8 *1176:10 0 +14 *652:8 *1176:10 0 +15 *918:10 *1176:10 0.0357521 +16 *919:9 *1176:7 0 +17 *921:10 *1176:10 0.000431815 +18 *941:7 *2472:la_iena_mprj[38] 5.56367e-05 +19 *941:10 *1176:10 2.16355e-05 +20 *1152:10 *1176:10 0.00111057 +*RES +1 *2478:la_iena[38] *1176:5 2.05183 +2 *1176:5 *1176:7 58.5139 +3 *1176:7 *1176:9 4.5 +4 *1176:9 *1176:10 401.702 +5 *1176:10 *2472:la_iena_mprj[38] 33.4455 +*END + +*D_NET *1177 0.0847029 +*CONN +*I *2472:la_iena_mprj[39] I *D mgmt_protect +*I *2478:la_iena[39] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[39] 6.22868e-05 +2 *2478:la_iena[39] 0.0012622 +3 *1177:17 0.002015 +4 *1177:16 0.00195272 +5 *1177:14 0.000963964 +6 *1177:12 0.000998743 +7 *1177:10 0.00237083 +8 *1177:9 0.00359825 +9 *1177:10 *1281:10 0.00114986 +10 *1177:10 *1304:10 0.0282137 +11 *1177:10 *1307:16 3.80872e-05 +12 *1177:14 *1194:10 0.000266465 +13 *1177:14 *1307:16 1.61631e-05 +14 *1177:14 *1307:20 0.000774455 +15 *1177:17 *2472:la_oenb_mprj[39] 0 +16 *1177:17 *1191:18 0.00137009 +17 *1177:17 *1193:15 7.41203e-05 +18 *1177:17 *1194:15 7.45478e-05 +19 *2472:la_data_out_mprj[39] *1177:17 0.00167515 +20 *2478:la_input[39] *1177:9 0 +21 *665:5 *1177:17 0 +22 *686:11 *1177:17 0 +23 *919:10 *1177:10 0.000535391 +24 *919:20 *1177:14 0.00529574 +25 *920:9 *1177:9 0 +26 *1175:10 *1177:10 0.0295729 +27 *1175:10 *1177:14 7.6719e-06 +28 *1175:12 *1177:12 1.09551e-05 +29 *1175:14 *1177:14 0.00240362 +*RES +1 *2478:la_iena[39] *1177:9 33.5066 +2 *1177:9 *1177:10 313.52 +3 *1177:10 *1177:12 0.988641 +4 *1177:12 *1177:14 75.0403 +5 *1177:14 *1177:16 4.5 +6 *1177:16 *1177:17 61.4207 +7 *1177:17 *2472:la_iena_mprj[39] 1.77093 +*END + +*D_NET *1178 0.213209 +*CONN +*I *2472:la_iena_mprj[3] I *D mgmt_protect +*I *2478:la_iena[3] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[3] 0.00107783 +2 *2478:la_iena[3] 6.68436e-05 +3 *1178:10 0.0067042 +4 *1178:9 0.00562637 +5 *1178:7 0.000778007 +6 *1178:5 0.00084485 +7 *2472:la_iena_mprj[3] *2472:la_oenb_mprj[3] 0 +8 *1178:10 *1284:10 0.0868514 +9 *1178:10 *1306:10 0.0872664 +10 *2472:la_data_out_mprj[3] *2472:la_iena_mprj[3] 0 +11 *100:74 *1178:7 0 +12 *467:33 *1178:7 0.00366745 +13 *485:41 *1178:7 0.00385396 +14 *922:10 *1178:10 0.00031861 +15 *944:10 *1178:10 0.00636505 +16 *966:10 *1178:10 0.00940448 +17 *1148:10 *1178:10 0.000383654 +*RES +1 *2478:la_iena[3] *1178:5 1.49002 +2 *1178:5 *1178:7 64.1198 +3 *1178:7 *1178:9 3.36879 +4 *1178:9 *1178:10 111.955 +5 *1178:10 *2472:la_iena_mprj[3] 26.7084 +*END + +*D_NET *1179 0.0836415 +*CONN +*I *2472:la_iena_mprj[40] I *D mgmt_protect +*I *2478:la_iena[40] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[40] 0.00162056 +2 *2478:la_iena[40] 0.00145011 +3 *1179:18 0.00162056 +4 *1179:16 0.000800167 +5 *1179:15 0.00104735 +6 *1179:10 0.00259699 +7 *1179:9 0.00379992 +8 *2472:la_iena_mprj[40] *1307:23 0.00292847 +9 *1179:10 *1183:10 0.019938 +10 *1179:10 *1245:10 0.000260662 +11 *1179:10 *1279:10 0.000319366 +12 *1179:10 *1298:10 0.00136044 +13 *1179:10 *1311:10 0.0189908 +14 *1179:10 *1361:10 0.000185163 +15 *1179:15 *1322:9 8.4419e-05 +16 *1179:16 *1193:10 1.41689e-05 +17 *1179:16 *1302:10 0.000857278 +18 *1179:16 *1323:10 0.00596675 +19 *1179:16 *1324:10 0.00406403 +20 *2472:la_data_out_mprj[40] *2472:la_iena_mprj[40] 0 +21 *2478:la_input[40] *1179:9 0 +22 *667:5 *2472:la_iena_mprj[40] 0 +23 *682:11 *1179:15 0 +24 *911:10 *1179:10 0.0046892 +25 *921:7 *1179:9 0 +26 *928:23 *1179:15 0 +27 *933:10 *1179:10 0.00631397 +28 *955:10 *1179:10 0.000741053 +29 *1167:10 *1179:10 0.000157517 +30 *1173:16 *1179:16 0.00383455 +*RES +1 *2478:la_iena[40] *1179:9 36.4134 +2 *1179:9 *1179:10 293.554 +3 *1179:10 *1179:15 14.5693 +4 *1179:15 *1179:16 85.0231 +5 *1179:16 *1179:18 4.5 +6 *1179:18 *2472:la_iena_mprj[40] 52.1996 +*END + +*D_NET *1180 0.0830765 +*CONN +*I *2472:la_iena_mprj[41] I *D mgmt_protect +*I *2478:la_iena[41] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[41] 0.00133248 +2 *2478:la_iena[41] 1.28869e-05 +3 *1180:16 0.00160473 +4 *1180:10 0.00346225 +5 *1180:9 0.00318999 +6 *1180:7 0.00309643 +7 *1180:5 0.00310932 +8 *2472:la_iena_mprj[41] *2472:la_oenb_mprj[41] 0 +9 *1180:10 *1305:16 0.0326433 +10 *1180:16 *1194:16 0.00160027 +11 *1180:16 *1305:16 1.15389e-05 +12 *2472:la_data_out_mprj[41] *2472:la_iena_mprj[41] 0 +13 *669:7 *2472:la_iena_mprj[41] 0 +14 *687:11 *2472:la_iena_mprj[41] 0 +15 *923:9 *1180:7 0 +16 *941:10 *1180:10 0.000180714 +17 *941:10 *1180:16 0.000702331 +18 *1154:10 *1180:10 0.000253421 +19 *1176:10 *1180:10 0.0318767 +*RES +1 *2478:la_iena[41] *1180:5 0.366399 +2 *1180:5 *1180:7 58.0987 +3 *1180:7 *1180:9 4.5 +4 *1180:9 *1180:10 350.678 +5 *1180:10 *1180:16 22.9948 +6 *1180:16 *2472:la_iena_mprj[41] 28.9455 +*END + +*D_NET *1181 0.0797639 +*CONN +*I *2472:la_iena_mprj[42] I *D mgmt_protect +*I *2478:la_iena[42] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[42] 0.00112091 +2 *2478:la_iena[42] 0.0012976 +3 *1181:26 0.00175412 +4 *1181:25 0.00126242 +5 *1181:20 0.00136811 +6 *1181:18 0.000777117 +7 *1181:16 0.00169054 +8 *1181:15 0.00170345 +9 *1181:12 0.00134872 +10 *2472:la_iena_mprj[42] *2472:la_oenb_mprj[42] 0.0019675 +11 *1181:15 *1307:15 4.15661e-05 +12 *1181:16 *1283:10 0.000383752 +13 *1181:16 *1307:16 0.000933319 +14 *1181:16 *1309:14 0.0200872 +15 *1181:16 *1309:18 1.71154e-05 +16 *1181:18 *1309:16 2.81515e-05 +17 *1181:20 *1307:20 0.000175621 +18 *1181:20 *1309:18 0.00647904 +19 *1181:25 *1309:23 0.00154543 +20 *1181:25 *1324:9 0.000257426 +21 *1181:26 *1309:24 0.00546303 +22 *2472:la_data_out_mprj[42] *2472:la_iena_mprj[42] 0 +23 *669:15 *1181:12 0 +24 *913:10 *1181:12 0.00116323 +25 *913:10 *1181:16 0.00776873 +26 *919:10 *1181:12 0.00116323 +27 *923:16 *1181:26 0.00370249 +28 *924:10 *1181:12 0 +29 *924:15 *1181:15 1.24189e-05 +30 *926:26 *1181:26 0.000792362 +31 *930:10 *1181:16 0.0103339 +32 *930:10 *1181:20 4.89898e-06 +33 *930:16 *1181:18 1.09551e-05 +34 *930:16 *1181:20 0.000264018 +35 *937:12 *1181:20 0.00361193 +36 *938:12 *1181:20 0.000339591 +37 *1173:25 *1181:25 0.000894096 +*RES +1 *2478:la_iena[42] *1181:12 49.1309 +2 *1181:12 *1181:15 5.50149 +3 *1181:15 *1181:16 214.246 +4 *1181:16 *1181:18 1.39857 +5 *1181:18 *1181:20 68.9396 +6 *1181:20 *1181:25 37.4081 +7 *1181:25 *1181:26 59.5114 +8 *1181:26 *2472:la_iena_mprj[42] 40.0896 +*END + +*D_NET *1182 0.0784923 +*CONN +*I *2472:la_iena_mprj[43] I *D mgmt_protect +*I *2478:la_iena[43] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[43] 0.0010971 +2 *2478:la_iena[43] 8.29983e-05 +3 *1182:14 0.00400005 +4 *1182:12 0.00334754 +5 *1182:7 0.0037307 +6 *1182:5 0.00336911 +7 *2472:la_iena_mprj[43] *2472:la_oenb_mprj[43] 0 +8 *1182:12 *1200:16 0.000187171 +9 *1182:12 *1310:12 0.00293248 +10 *1182:12 *1310:14 1.67988e-05 +11 *1182:14 *1308:22 0.0265746 +12 *1182:14 *1310:14 0.0280272 +13 *1182:14 *1314:12 0.000118134 +14 *2472:la_data_out_mprj[43] *2472:la_iena_mprj[43] 0 +15 *2478:la_input[43] *1182:7 0 +16 *638:16 *1182:7 0.000324719 +17 *666:7 *1182:7 0 +18 *677:7 *1182:7 0 +19 *686:8 *1182:14 0.000459395 +20 *912:10 *1182:12 0.00384522 +21 *912:10 *1182:14 1.41689e-05 +22 *925:9 *1182:7 0 +23 *943:10 *1182:14 0.000324166 +24 *977:18 *1182:12 4.0752e-05 +*RES +1 *2478:la_iena[43] *1182:5 2.05183 +2 *1182:5 *1182:7 63.0817 +3 *1182:7 *1182:12 46.2882 +4 *1182:12 *1182:14 302.428 +5 *1182:14 *2472:la_iena_mprj[43] 28.4625 +*END + +*D_NET *1183 0.0695504 +*CONN +*I *2472:la_iena_mprj[44] I *D mgmt_protect +*I *2478:la_iena[44] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[44] 0.00191436 +2 *2478:la_iena[44] 0.00145284 +3 *1183:12 0.00191436 +4 *1183:10 0.00316527 +5 *1183:9 0.00461811 +6 *2472:la_iena_mprj[44] *2472:la_oenb_mprj[44] 0 +7 *2472:la_iena_mprj[44] *1198:15 0.000140635 +8 *2472:la_iena_mprj[44] *1321:15 0.00143068 +9 *2472:la_iena_mprj[44] *1322:15 0 +10 *2472:la_iena_mprj[44] *1323:15 0.000645218 +11 *1183:10 *1194:10 0.000257062 +12 *1183:10 *1197:10 0.00640727 +13 *1183:10 *1201:10 0.000645042 +14 *1183:10 *1279:10 0.000346617 +15 *1183:10 *1298:10 0.0216412 +16 *1183:10 *1300:14 0.000390602 +17 *1183:10 *1311:10 0.00464305 +18 *2472:la_data_out_mprj[44] *2472:la_iena_mprj[44] 0 +19 *2478:la_input[44] *1183:9 0 +20 *671:7 *2472:la_iena_mprj[44] 0 +21 *926:9 *1183:9 0 +22 *1179:10 *1183:10 0.019938 +*RES +1 *2478:la_iena[44] *1183:9 35.9981 +2 *1183:9 *1183:10 331.267 +3 *1183:10 *1183:12 4.5 +4 *1183:12 *2472:la_iena_mprj[44] 58.0132 +*END + +*D_NET *1184 0.0715478 +*CONN +*I *2472:la_iena_mprj[45] I *D mgmt_protect +*I *2478:la_iena[45] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[45] 0.0018312 +2 *2478:la_iena[45] 0.000965545 +3 *1184:16 0.00464577 +4 *1184:15 0.00405622 +5 *1184:12 0.0018676 +6 *1184:9 0.00159149 +7 *2472:la_iena_mprj[45] *2472:la_oenb_mprj[45] 0 +8 *2472:la_iena_mprj[45] *1197:15 0 +9 *1184:15 *1313:9 0.000471736 +10 *2472:la_data_out_mprj[45] *2472:la_iena_mprj[45] 0 +11 *2478:la_input[45] *1184:9 0 +12 *2478:la_input[46] *1184:15 0 +13 *636:5 *1184:15 0.000158189 +14 *671:8 *1184:16 0.0257332 +15 *673:8 *1184:16 0.0268901 +16 *897:10 *1184:16 0.000112976 +17 *907:10 *1184:12 0.000189477 +18 *915:15 *1184:15 9.12633e-05 +19 *925:10 *1184:12 0.00294309 +20 *927:7 *1184:9 0 +21 *929:7 *1184:15 0 +*RES +1 *2478:la_iena[45] *1184:9 27.6931 +2 *1184:9 *1184:12 35.7266 +3 *1184:12 *1184:15 30.8319 +4 *1184:15 *1184:16 289.117 +5 *1184:16 *2472:la_iena_mprj[45] 44.6573 +*END + +*D_NET *1185 0.0602744 +*CONN +*I *2472:la_iena_mprj[46] I *D mgmt_protect +*I *2478:la_iena[46] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[46] 0.000385709 +2 *2478:la_iena[46] 0.00158146 +3 *1185:16 0.00226341 +4 *1185:15 0.00345373 +5 *1185:10 0.00410854 +6 *1185:9 0.00411396 +7 *2472:la_iena_mprj[46] *1313:13 0.000979082 +8 *1185:10 *1187:10 0.00205408 +9 *1185:10 *1312:10 0.00023576 +10 *1185:10 *1313:10 0.00167979 +11 *1185:10 *1315:10 0.0171377 +12 *1185:10 *1318:10 0.0133598 +13 *1185:15 *2472:la_oenb_mprj[37] 0.000593352 +14 *1185:15 *1325:9 5.05252e-05 +15 *2472:la_data_out_mprj[38] *1185:15 0 +16 *2472:la_data_out_mprj[46] *2472:la_iena_mprj[46] 0 +17 *2472:la_iena_mprj[37] *1185:15 0 +18 *2478:la_input[46] *1185:9 0 +19 *664:5 *1185:15 0.000392459 +20 *928:9 *1185:9 0 +21 *940:10 *1185:16 0.00690921 +22 *941:7 *1185:15 0 +23 *1155:10 *1185:10 0.000975721 +*RES +1 *2478:la_iena[46] *1185:9 38.4896 +2 *1185:9 *1185:10 233.657 +3 *1185:10 *1185:15 48.6199 +4 *1185:15 *1185:16 74.4857 +5 *1185:16 *2472:la_iena_mprj[46] 20.5727 +*END + +*D_NET *1186 0.0708955 +*CONN +*I *2472:la_iena_mprj[47] I *D mgmt_protect +*I *2478:la_iena[47] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[47] 0.00115137 +2 *2478:la_iena[47] 8.29983e-05 +3 *1186:12 0.016383 +4 *1186:7 0.0183955 +5 *1186:5 0.00324688 +6 *2472:la_iena_mprj[47] *2472:la_oenb_mprj[47] 0 +7 *1186:7 *2472:la_oenb_mprj[12] 0 +8 *1186:12 *1278:10 0.000377635 +9 *1186:12 *1299:10 0.000108607 +10 *1186:12 *1308:22 0.000108607 +11 *1186:12 *1314:12 0.0305314 +12 *1186:12 *1315:18 9.63897e-05 +13 *2472:la_data_out_mprj[13] *1186:7 0 +14 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[47] 0 +15 *2472:la_iena_mprj[13] *1186:7 0 +16 *674:11 *1186:7 0 +17 *912:10 *1186:12 0.000118134 +18 *914:10 *1186:12 0.000118134 +19 *1152:10 *1186:12 0.000176842 +20 *1154:10 *1186:12 0 +*RES +1 *2478:la_iena[47] *1186:5 2.05183 +2 *1186:5 *1186:7 62.4588 +3 *1186:7 *1186:12 47.7097 +4 *1186:12 *2472:la_iena_mprj[47] 25.0006 +*END + +*D_NET *1187 0.0592245 +*CONN +*I *2472:la_iena_mprj[48] I *D mgmt_protect +*I *2478:la_iena[48] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[48] 0.00615121 +2 *2478:la_iena[48] 0.00160928 +3 *1187:15 0.00723861 +4 *1187:10 0.00289202 +5 *1187:9 0.00341391 +6 *2472:la_iena_mprj[48] *2472:la_oenb_mprj[48] 0 +7 *1187:9 *2472:la_oenb_mprj[15] 0 +8 *1187:10 *1191:10 0.0120644 +9 *1187:10 *1287:10 3.59302e-05 +10 *1187:10 *1318:10 0.0130583 +11 *2472:la_data_out_mprj[37] *1187:15 0.000508068 +12 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[48] 0.00363344 +13 *2472:la_data_out_mprj[48] *2472:la_iena_mprj[48] 0 +14 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[48] 0.00026726 +15 *2472:la_iena_mprj[37] *1187:15 0.00198764 +16 *675:11 *1187:9 0 +17 *917:15 *1187:9 0 +18 *930:9 *1187:9 0 +19 *1155:10 *1187:10 0.00333991 +20 *1159:10 *1187:10 0.000970459 +21 *1185:10 *1187:10 0.00205408 +*RES +1 *2478:la_iena[48] *1187:9 39.3201 +2 *1187:9 *1187:10 189.843 +3 *1187:10 *1187:15 45.2048 +4 *1187:15 *2472:la_iena_mprj[48] 34.0246 +*END + +*D_NET *1188 0.0762647 +*CONN +*I *2472:la_iena_mprj[49] I *D mgmt_protect +*I *2478:la_iena[49] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[49] 0.00101203 +2 *2478:la_iena[49] 8.29983e-05 +3 *1188:12 0.00737862 +4 *1188:7 0.00931235 +5 *1188:5 0.00302876 +6 *2472:la_iena_mprj[49] *2472:la_oenb_mprj[49] 0 +7 *1188:7 *1281:13 0.000408326 +8 *1188:12 *1190:12 0.0270238 +9 *1188:12 *1314:12 0.0274155 +10 *1188:12 *1315:18 0 +11 *1188:12 *1316:12 0.000375597 +12 *2472:la_data_out_mprj[18] *1188:7 0 +13 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[49] 0 +14 *642:7 *1188:7 0 +15 *676:11 *1188:7 0 +16 *927:10 *1188:12 0.000108607 +17 *929:10 *1188:12 0.000118134 +18 *931:7 *1188:7 0 +*RES +1 *2478:la_iena[49] *1188:5 2.05183 +2 *1188:5 *1188:7 64.1198 +3 *1188:7 *1188:12 44.4206 +4 *1188:12 *2472:la_iena_mprj[49] 23.3396 +*END + +*D_NET *1189 0.206048 +*CONN +*I *2472:la_iena_mprj[4] I *D mgmt_protect +*I *2478:la_iena[4] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[4] 0.00252696 +2 *2478:la_iena[4] 0.0013629 +3 *1189:12 0.00252696 +4 *1189:10 0.0108902 +5 *1189:9 0.0122531 +6 *2472:la_iena_mprj[4] *2472:la_oenb_mprj[4] 0 +7 *1189:10 *1211:10 0.000422525 +8 *1189:10 *1267:10 0.00380399 +9 *1189:10 *1295:10 0.000448211 +10 *1189:10 *1317:10 0.0854372 +11 *1189:10 *1339:10 0.0811299 +12 *2472:la_data_out_mprj[4] *2472:la_iena_mprj[4] 0 +13 *2478:la_input[4] *1189:9 0 +14 *677:14 *1189:10 0.00125989 +15 *922:7 *1189:9 0 +16 *977:15 *2472:la_iena_mprj[4] 0.00143699 +17 *1155:10 *1189:10 0.00254869 +*RES +1 *2478:la_iena[4] *1189:9 37.9813 +2 *1189:9 *1189:10 110.196 +3 *1189:10 *1189:12 3.36879 +4 *1189:12 *2472:la_iena_mprj[4] 54.8988 +*END + +*D_NET *1190 0.0741002 +*CONN +*I *2472:la_iena_mprj[50] I *D mgmt_protect +*I *2478:la_iena[50] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[50] 0.00093664 +2 *2478:la_iena[50] 3.62521e-05 +3 *1190:12 0.00618127 +4 *1190:7 0.00787825 +5 *1190:5 0.00266987 +6 *2472:la_iena_mprj[50] *2472:la_oenb_mprj[50] 0 +7 *1190:7 *2472:la_oenb_mprj[19] 0.000452056 +8 *1190:12 *1316:12 0.0272652 +9 *1190:12 *1319:12 0.000275479 +10 *2472:la_data_out_mprj[20] *1190:7 0 +11 *2472:la_data_out_mprj[50] *2472:la_iena_mprj[50] 0 +12 *645:7 *1190:7 0.00115462 +13 *678:7 *2472:la_iena_mprj[50] 0 +14 *931:10 *1190:12 0.000118134 +15 *932:9 *1190:7 0 +16 *934:10 *1190:12 0.000108607 +17 *1188:12 *1190:12 0.0270238 +*RES +1 *2478:la_iena[50] *1190:5 0.928211 +2 *1190:5 *1190:7 64.9503 +3 *1190:7 *1190:12 42.9673 +4 *1190:12 *2472:la_iena_mprj[50] 22.5091 +*END + +*D_NET *1191 0.0550314 +*CONN +*I *2472:la_iena_mprj[51] I *D mgmt_protect +*I *2478:la_iena[51] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[51] 0.00129929 +2 *2478:la_iena[51] 0.00154272 +3 *1191:20 0.00245743 +4 *1191:18 0.00165112 +5 *1191:10 0.00218563 +6 *1191:9 0.00323538 +7 *2472:la_iena_mprj[51] *2472:la_oenb_mprj[51] 0 +8 *1191:10 *1193:10 0.000223371 +9 *1191:10 *1287:10 9.9028e-05 +10 *1191:10 *1289:10 4.03749e-05 +11 *1191:10 *1291:10 0 +12 *1191:10 *1302:10 0 +13 *1191:10 *1318:10 0.000103217 +14 *1191:10 *1321:10 0.00888925 +15 *1191:10 *1325:10 0.0013203 +16 *1191:18 *1193:15 0.00130362 +17 *1191:18 *1194:15 2.33193e-05 +18 *1191:18 *1194:16 1.67988e-05 +19 *1191:18 *1305:16 0.000311235 +20 *1191:20 *1194:16 0.00936007 +21 *1191:20 *1321:16 0.00561217 +22 *2472:la_data_out_mprj[22] *1191:9 0 +23 *2472:la_data_out_mprj[51] *2472:la_iena_mprj[51] 0 +24 *679:7 *2472:la_iena_mprj[51] 0 +25 *679:11 *1191:9 0 +26 *680:7 *2472:la_iena_mprj[51] 0 +27 *924:16 *1191:18 0.000364342 +28 *924:16 *1191:20 0.000481047 +29 *928:24 *1191:20 0.000633643 +30 *934:7 *1191:9 0 +31 *1161:10 *1191:10 0.000356734 +32 *1163:10 *1191:10 8.68552e-05 +33 *1177:17 *1191:18 0.00137009 +34 *1187:10 *1191:10 0.0120644 +*RES +1 *2478:la_iena[51] *1191:9 39.7354 +2 *1191:9 *1191:10 145.475 +3 *1191:10 *1191:18 37.8853 +4 *1191:18 *1191:20 100.552 +5 *1191:20 *2472:la_iena_mprj[51] 34.276 +*END + +*D_NET *1192 0.0560409 +*CONN +*I *2472:la_iena_mprj[52] I *D mgmt_protect +*I *2478:la_iena[52] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[52] 0.00084438 +2 *2478:la_iena[52] 8.29983e-05 +3 *1192:10 0.00320775 +4 *1192:9 0.00236337 +5 *1192:7 0.00264746 +6 *1192:5 0.00273046 +7 *2472:la_iena_mprj[52] *2472:la_oenb_mprj[52] 0 +8 *1192:7 *2472:la_oenb_mprj[24] 0.00162549 +9 *1192:10 *1292:10 6.54613e-05 +10 *1192:10 *1316:12 0.000217213 +11 *1192:10 *1320:10 0.021158 +12 *2472:la_data_out_mprj[25] *1192:7 0 +13 *2472:la_data_out_mprj[52] *2472:la_iena_mprj[52] 0 +14 *650:7 *1192:7 0.000146694 +15 *680:7 *2472:la_iena_mprj[52] 0 +16 *680:11 *1192:7 0 +17 *681:8 *1192:10 0.0198137 +18 *934:10 *1192:10 0.000994607 +19 *935:9 *1192:7 0 +20 *1162:10 *1192:10 0.000143313 +*RES +1 *2478:la_iena[52] *1192:5 2.05183 +2 *1192:5 *1192:7 66.4037 +3 *1192:7 *1192:9 4.5 +4 *1192:9 *1192:10 238.094 +5 *1192:10 *2472:la_iena_mprj[52] 25.5557 +*END + +*D_NET *1193 0.0493362 +*CONN +*I *2472:la_iena_mprj[53] I *D mgmt_protect +*I *2478:la_iena[53] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[53] 0.00112731 +2 *2478:la_iena[53] 0.00150475 +3 *1193:16 0.00271676 +4 *1193:15 0.00206363 +5 *1193:10 0.00157942 +6 *1193:9 0.00261 +7 *2472:la_iena_mprj[53] *2472:la_oenb_mprj[53] 0 +8 *1193:10 *1302:10 0.000147235 +9 *1193:10 *1321:10 0.000863309 +10 *1193:10 *1322:10 0.00700093 +11 *1193:10 *1324:10 0.00322946 +12 *1193:15 *1194:15 0.00134552 +13 *1193:16 *1195:16 0.00870264 +14 *1193:16 *1197:21 0.00119054 +15 *1193:16 *1308:22 0 +16 *2472:la_data_out_mprj[53] *2472:la_iena_mprj[53] 0 +17 *681:7 *2472:la_iena_mprj[53] 0 +18 *681:11 *1193:9 0 +19 *686:8 *1193:16 0 +20 *686:11 *1193:15 4.87343e-05 +21 *687:8 *1193:16 0.000100757 +22 *923:15 *1193:9 0.000117032 +23 *932:16 *1193:9 6.60196e-05 +24 *936:9 *1193:9 0 +25 *941:10 *1193:16 0.00193805 +26 *941:14 *1193:16 0.00558111 +27 *942:10 *1193:16 5.64284e-05 +28 *1173:16 *1193:10 0.0057313 +29 *1177:17 *1193:15 7.41203e-05 +30 *1179:16 *1193:10 1.41689e-05 +31 *1191:10 *1193:10 0.000223371 +32 *1191:18 *1193:15 0.00130362 +*RES +1 *2478:la_iena[53] *1193:9 40.9811 +2 *1193:9 *1193:10 105.543 +3 *1193:10 *1193:15 34.9166 +4 *1193:15 *1193:16 121.072 +5 *1193:16 *2472:la_iena_mprj[53] 31.7845 +*END + +*D_NET *1194 0.0433791 +*CONN +*I *2472:la_iena_mprj[54] I *D mgmt_protect +*I *2478:la_iena[54] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[54] 0.00121398 +2 *2478:la_iena[54] 0.0012357 +3 *1194:16 0.0026517 +4 *1194:15 0.0020217 +5 *1194:10 0.00193363 +6 *1194:9 0.00258536 +7 *2472:la_iena_mprj[54] *2472:la_oenb_mprj[54] 0 +8 *1194:9 *1304:15 0 +9 *1194:10 *1197:10 0.000594894 +10 *1194:10 *1300:14 0.0042234 +11 *1194:10 *1307:20 0 +12 *1194:16 *1305:16 0.000213725 +13 *1194:16 *1321:16 0.000832715 +14 *1194:16 *1323:16 0.000747045 +15 *1194:16 *1324:16 0.00015542 +16 *1194:16 *1325:16 0.00640951 +17 *2472:la_data_out_mprj[54] *2472:la_iena_mprj[54] 0 +18 *682:7 *2472:la_iena_mprj[54] 0 +19 *682:11 *1194:9 0 +20 *686:11 *1194:15 0.00171137 +21 *937:9 *1194:9 0 +22 *941:10 *1194:16 0.000547129 +23 *1175:10 *1194:10 0.000961455 +24 *1175:14 *1194:10 0.00239627 +25 *1177:14 *1194:10 0.000266465 +26 *1177:17 *1194:15 7.45478e-05 +27 *1180:16 *1194:16 0.00160027 +28 *1183:10 *1194:10 0.000257062 +29 *1191:18 *1194:15 2.33193e-05 +30 *1191:18 *1194:16 1.67988e-05 +31 *1191:20 *1194:16 0.00936007 +32 *1193:15 *1194:15 0.00134552 +*RES +1 *2478:la_iena[54] *1194:9 34.3371 +2 *1194:9 *1194:10 86.1323 +3 *1194:10 *1194:15 39.4844 +4 *1194:15 *1194:16 128.837 +5 *1194:16 *2472:la_iena_mprj[54] 33.8608 +*END + +*D_NET *1195 0.0413853 +*CONN +*I *2472:la_iena_mprj[55] I *D mgmt_protect +*I *2478:la_iena[55] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[55] 0.00107856 +2 *2478:la_iena[55] 0.00165852 +3 *1195:16 0.00200827 +4 *1195:15 0.00165435 +5 *1195:10 0.00205123 +6 *1195:9 0.00298512 +7 *2472:la_iena_mprj[55] *2472:la_oenb_mprj[55] 0 +8 *1195:10 *1196:10 0.00298724 +9 *1195:10 *1302:10 0.00388763 +10 *1195:10 *1323:10 0.00213251 +11 *1195:15 *2472:la_oenb_mprj[42] 0.000155929 +12 *1195:15 *1196:15 0 +13 *1195:16 *1196:16 0.0101237 +14 *2472:la_data_out_mprj[42] *1195:15 0 +15 *2472:la_data_out_mprj[55] *2472:la_iena_mprj[55] 0 +16 *670:9 *1195:15 0.000864827 +17 *683:11 *1195:9 0 +18 *687:8 *1195:16 4.41269e-05 +19 *917:16 *1195:10 0.000324724 +20 *930:19 *1195:9 0 +21 *938:9 *1195:9 0 +22 *941:14 *1195:16 0.000725963 +23 *943:7 *1195:15 0 +24 *1170:13 *1195:9 0 +25 *1193:16 *1195:16 0.00870264 +*RES +1 *2478:la_iena[55] *1195:9 43.0574 +2 *1195:9 *1195:10 93.3422 +3 *1195:10 *1195:15 33.2556 +4 *1195:15 *1195:16 109.98 +5 *1195:16 *2472:la_iena_mprj[55] 31.3693 +*END + +*D_NET *1196 0.0368598 +*CONN +*I *2472:la_iena_mprj[56] I *D mgmt_protect +*I *2478:la_iena[56] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[56] 0.00104347 +2 *2478:la_iena[56] 0.0014958 +3 *1196:16 0.00210346 +4 *1196:15 0.0016984 +5 *1196:10 0.00255202 +6 *1196:9 0.0034094 +7 *2472:la_iena_mprj[56] *2472:la_oenb_mprj[56] 0 +8 *1196:10 *1323:10 4.03749e-05 +9 *1196:16 *1197:22 0.00803008 +10 *2472:la_data_out_mprj[56] *2472:la_iena_mprj[56] 0 +11 *670:9 *1196:15 0.000256938 +12 *672:8 *1196:10 0 +13 *684:7 *2472:la_iena_mprj[56] 0 +14 *684:11 *1196:9 0 +15 *687:8 *1196:16 0.00123909 +16 *917:16 *1196:10 0.000342009 +17 *938:13 *1196:9 0.000693825 +18 *941:14 *1196:16 0.000205749 +19 *943:7 *1196:15 0.000638245 +20 *1195:10 *1196:10 0.00298724 +21 *1195:15 *1196:15 0 +22 *1195:16 *1196:16 0.0101237 +*RES +1 *2478:la_iena[56] *1196:9 43.8879 +2 *1196:9 *1196:10 75.0403 +3 *1196:10 *1196:15 32.8404 +4 *1196:15 *1196:16 116.081 +5 *1196:16 *2472:la_iena_mprj[56] 30.954 +*END + +*D_NET *1197 0.0395703 +*CONN +*I *2472:la_iena_mprj[57] I *D mgmt_protect +*I *2478:la_iena[57] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[57] 0.00100942 +2 *2478:la_iena[57] 0.00122932 +3 *1197:22 0.0017716 +4 *1197:21 0.000958937 +5 *1197:15 0.000997255 +6 *1197:10 0.00227805 +7 *1197:9 0.00270687 +8 *2472:la_iena_mprj[57] *2472:la_oenb_mprj[57] 0 +9 *1197:10 *1201:10 0.000221446 +10 *1197:10 *1307:20 0 +11 *1197:10 *1326:10 0 +12 *1197:15 *2472:la_oenb_mprj[45] 0 +13 *1197:15 *1199:15 0.00182256 +14 *1197:21 *1313:13 9.60366e-05 +15 *1197:22 *1326:16 1.45065e-05 +16 *2472:la_data_out_mprj[45] *1197:15 7.52542e-05 +17 *2472:la_data_out_mprj[57] *2472:la_iena_mprj[57] 0 +18 *2472:la_iena_mprj[45] *1197:15 0 +19 *685:11 *1197:9 0 +20 *687:8 *1197:22 0.00886047 +21 *689:8 *1197:10 0 +22 *940:7 *1197:9 0 +23 *941:10 *1197:21 0.00119477 +24 *941:14 *1197:22 0.000111055 +25 *945:9 *1197:15 0 +26 *1183:10 *1197:10 0.00640727 +27 *1193:16 *1197:21 0.00119054 +28 *1194:10 *1197:10 0.000594894 +29 *1196:16 *1197:22 0.00803008 +*RES +1 *2478:la_iena[57] *1197:9 35.5828 +2 *1197:9 *1197:10 73.3765 +3 *1197:10 *1197:15 39.8997 +4 *1197:15 *1197:21 23.7567 +5 *1197:21 *1197:22 93.8968 +6 *1197:22 *2472:la_iena_mprj[57] 30.5388 +*END + +*D_NET *1198 0.0357118 +*CONN +*I *2472:la_iena_mprj[58] I *D mgmt_protect +*I *2478:la_iena[58] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[58] 0.000837926 +2 *2478:la_iena[58] 0.00103457 +3 *1198:16 0.00272206 +4 *1198:15 0.00284336 +5 *1198:10 0.00162089 +6 *1198:9 0.00169623 +7 *2472:la_iena_mprj[58] *2472:la_oenb_mprj[58] 0 +8 *1198:10 *1199:12 0.00280088 +9 *1198:10 *1307:20 0.00041958 +10 *1198:10 *1326:10 0.00369305 +11 *1198:15 *2472:la_oenb_mprj[44] 0.00198724 +12 *1198:15 *1199:15 0 +13 *1198:15 *1321:15 0.00066283 +14 *1198:15 *1329:9 1.77537e-06 +15 *1198:16 *1199:16 2.1801e-05 +16 *1198:16 *1327:16 0.00982861 +17 *2472:la_data_out_mprj[58] *2472:la_iena_mprj[58] 0 +18 *2472:la_iena_mprj[44] *1198:15 0.000140635 +19 *2478:la_input[60] *1198:15 0 +20 *681:8 *1198:16 0.000582801 +21 *682:8 *1198:16 0 +22 *683:8 *1198:16 0 +23 *685:8 *1198:16 0 +24 *686:11 *1198:9 0 +25 *929:10 *1198:16 0.00124365 +26 *931:10 *1198:16 0.00285553 +27 *934:10 *1198:16 0.000718419 +28 *939:14 *1198:16 0 +29 *941:7 *1198:9 0 +*RES +1 *2478:la_iena[58] *1198:9 31.0151 +2 *1198:9 *1198:10 48.9739 +3 *1198:10 *1198:15 49.4505 +4 *1198:15 *1198:16 118.854 +5 *1198:16 *2472:la_iena_mprj[58] 27.2167 +*END + +*D_NET *1199 0.0390417 +*CONN +*I *2472:la_iena_mprj[59] I *D mgmt_protect +*I *2478:la_iena[59] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[59] 0.000895404 +2 *2478:la_iena[59] 0.000996591 +3 *1199:16 0.00196426 +4 *1199:15 0.00196757 +5 *1199:12 0.00130528 +6 *1199:9 0.00140316 +7 *2472:la_iena_mprj[59] *2472:la_oenb_mprj[59] 0 +8 *1199:12 *1326:10 6.24655e-05 +9 *1199:12 *1327:12 0.00205738 +10 *1199:12 *1329:12 0.000167076 +11 *1199:15 *2472:la_oenb_mprj[44] 0 +12 *1199:15 *1329:9 6.08697e-06 +13 *1199:16 *1327:16 0.000291294 +14 *1199:16 *1329:16 0.00998372 +15 *2472:la_data_out_mprj[45] *1199:15 3.82989e-05 +16 *2472:la_data_out_mprj[59] *2472:la_iena_mprj[59] 0 +17 *672:5 *1199:15 0.00136581 +18 *687:11 *1199:9 0 +19 *929:10 *1199:16 0.000406926 +20 *942:7 *1199:9 0 +21 *943:10 *1199:16 0.0114852 +22 *945:9 *1199:15 0 +23 *1197:15 *1199:15 0.00182256 +24 *1198:10 *1199:12 0.00280088 +25 *1198:15 *1199:15 0 +26 *1198:16 *1199:16 2.1801e-05 +*RES +1 *2478:la_iena[59] *1199:9 30.038 +2 *1199:9 *1199:12 37.3904 +3 *1199:12 *1199:15 44.1199 +4 *1199:15 *1199:16 124.4 +5 *1199:16 *2472:la_iena_mprj[59] 28.4625 +*END + +*D_NET *1200 0.171697 +*CONN +*I *2472:la_iena_mprj[5] I *D mgmt_protect +*I *2478:la_iena[5] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[5] 0.00104673 +2 *2478:la_iena[5] 8.29983e-05 +3 *1200:16 0.00156013 +4 *1200:10 0.00522265 +5 *1200:9 0.00470924 +6 *1200:7 0.00249932 +7 *1200:5 0.00258232 +8 *2472:la_iena_mprj[5] *2472:la_oenb_mprj[5] 0 +9 *1200:10 *1284:10 0.00922531 +10 *1200:16 *1280:10 9.16621e-05 +11 *2472:la_data_out_mprj[5] *2472:la_iena_mprj[5] 0 +12 *688:11 *1200:7 0 +13 *699:7 *2472:la_iena_mprj[5] 0 +14 *912:10 *1200:16 4.52739e-05 +15 *922:10 *1200:10 0.0716134 +16 *922:10 *1200:16 0.000731606 +17 *933:9 *1200:7 0 +18 *944:10 *1200:10 0.00175438 +19 *944:10 *1200:16 0.00331837 +20 *966:10 *1200:10 0.0662309 +21 *977:18 *1200:16 0.000795688 +22 *1182:12 *1200:16 0.000187171 +*RES +1 *2478:la_iena[5] *1200:5 2.05183 +2 *1200:5 *1200:7 63.9122 +3 *1200:7 *1200:9 4.5 +4 *1200:9 *1200:10 749.993 +5 *1200:10 *1200:16 42.9606 +6 *1200:16 *2472:la_iena_mprj[5] 23.132 +*END + +*D_NET *1201 0.0304225 +*CONN +*I *2472:la_iena_mprj[60] I *D mgmt_protect +*I *2478:la_iena[60] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[60] 0.00207544 +2 *2478:la_iena[60] 0.00126539 +3 *1201:12 0.00207544 +4 *1201:10 0.0017911 +5 *1201:9 0.00305649 +6 *2472:la_iena_mprj[60] *2472:la_oenb_mprj[60] 0 +7 *1201:10 *1202:10 0 +8 *1201:10 *1203:10 8.47539e-05 +9 *1201:10 *1311:10 0.000848795 +10 *1201:10 *1312:10 0.000363723 +11 *1201:10 *1313:10 0.000163362 +12 *1201:10 *1315:10 5.44727e-05 +13 *1201:10 *1330:10 6.95209e-05 +14 *1201:10 *1333:10 0.00508673 +15 *2472:la_data_out_mprj[60] *2472:la_iena_mprj[60] 0 +16 *2478:la_input[60] *1201:9 0 +17 *2478:la_input[67] *2472:la_iena_mprj[60] 0.000193765 +18 *689:8 *1201:10 0 +19 *690:5 *2472:la_iena_mprj[60] 0 +20 *690:8 *1201:10 0.0112932 +21 *691:8 *1201:10 0.00113384 +22 *943:7 *1201:9 0 +23 *945:10 *1201:10 0 +24 *1183:10 *1201:10 0.000645042 +25 *1197:10 *1201:10 0.000221446 +*RES +1 *2478:la_iena[60] *1201:9 36.4134 +2 *1201:9 *1201:10 144.366 +3 *1201:10 *1201:12 4.5 +4 *1201:12 *2472:la_iena_mprj[60] 57.5979 +*END + +*D_NET *1202 0.0299942 +*CONN +*I *2472:la_iena_mprj[61] I *D mgmt_protect +*I *2478:la_iena[61] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[61] 0.00228292 +2 *2478:la_iena[61] 0.00113487 +3 *1202:12 0.00228292 +4 *1202:10 0.00184374 +5 *1202:9 0.00297861 +6 *2472:la_iena_mprj[61] *2472:la_oenb_mprj[61] 0 +7 *1202:9 *1327:15 0 +8 *1202:10 *1205:10 0.00686016 +9 *2472:la_data_out_mprj[61] *2472:la_iena_mprj[61] 0 +10 *2478:la_input[61] *1202:9 0 +11 *2478:la_input[66] *1202:10 1.67988e-05 +12 *689:8 *1202:10 0.0114161 +13 *690:5 *2472:la_iena_mprj[61] 0 +14 *690:8 *1202:10 0 +15 *695:8 *1202:10 0.00117808 +16 *945:9 *1202:9 0 +17 *1201:10 *1202:10 0 +*RES +1 *2478:la_iena[61] *1202:9 33.0913 +2 *1202:9 *1202:10 133.274 +3 *1202:10 *1202:12 4.5 +4 *1202:12 *2472:la_iena_mprj[61] 60.9199 +*END + +*D_NET *1203 0.0304279 +*CONN +*I *2472:la_iena_mprj[62] I *D mgmt_protect +*I *2478:la_iena[62] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[62] 0.00204013 +2 *2478:la_iena[62] 0.00131014 +3 *1203:12 0.00204013 +4 *1203:10 0.000851859 +5 *1203:9 0.002162 +6 *2472:la_iena_mprj[62] *2472:la_oenb_mprj[62] 0 +7 *1203:10 *1330:10 0.0109472 +8 *1203:10 *1331:10 0.000145188 +9 *2472:la_data_out_mprj[62] *2472:la_iena_mprj[62] 0 +10 *2478:la_input[62] *1203:9 0 +11 *691:5 *2472:la_iena_mprj[62] 0 +12 *691:8 *1203:10 0.0106775 +13 *692:5 *2472:la_iena_mprj[62] 0 +14 *694:12 *1203:10 0.000169038 +15 *946:9 *1203:9 0 +16 *1201:10 *1203:10 8.47539e-05 +*RES +1 *2478:la_iena[62] *1203:9 38.0744 +2 *1203:9 *1203:10 121.072 +3 *1203:10 *1203:12 4.5 +4 *1203:12 *2472:la_iena_mprj[62] 55.9369 +*END + +*D_NET *1204 0.0271544 +*CONN +*I *2472:la_iena_mprj[63] I *D mgmt_protect +*I *2478:la_iena[63] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[63] 0.00196154 +2 *2478:la_iena[63] 0.00137933 +3 *1204:12 0.00196154 +4 *1204:10 0.000933602 +5 *1204:9 0.00231294 +6 *2472:la_iena_mprj[63] *2472:la_oenb_mprj[63] 0 +7 *1204:10 *1206:10 0.000173536 +8 *1204:10 *1331:10 0.00982129 +9 *2472:la_data_out_mprj[63] *2472:la_iena_mprj[63] 0 +10 *2478:la_input[63] *1204:9 0 +11 *694:10 *1204:10 0.000108945 +12 *945:10 *1204:10 0.00780587 +13 *947:9 *1204:9 0 +14 *947:10 *1204:10 0.000695785 +*RES +1 *2478:la_iena[63] *1204:9 39.1736 +2 *1204:9 *1204:10 109.98 +3 *1204:10 *1204:12 4.5 +4 *1204:12 *2472:la_iena_mprj[63] 54.2759 +*END + +*D_NET *1205 0.0209894 +*CONN +*I *2472:la_iena_mprj[64] I *D mgmt_protect +*I *2478:la_iena[64] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[64] 0.00222838 +2 *2478:la_iena[64] 0.00115875 +3 *1205:12 0.00222838 +4 *1205:10 0.0013809 +5 *1205:9 0.00253965 +6 *2472:la_iena_mprj[64] *2472:la_oenb_mprj[64] 0 +7 *1205:10 *1209:10 0.0015623 +8 *1205:10 *1334:10 3.04269e-05 +9 *2472:la_data_out_mprj[64] *2472:la_iena_mprj[64] 0 +10 *2478:la_input[64] *1205:9 0 +11 *690:8 *1205:10 0 +12 *695:8 *1205:10 0.00105799 +13 *948:9 *1205:9 0 +14 *950:10 *1205:10 0.00194244 +15 *1202:10 *1205:10 0.00686016 +*RES +1 *2478:la_iena[64] *1205:9 33.5066 +2 *1205:9 *1205:10 97.779 +3 *1205:10 *1205:12 4.5 +4 *1205:12 *2472:la_iena_mprj[64] 60.5047 +*END + +*D_NET *1206 0.0144945 +*CONN +*I *2472:la_iena_mprj[65] I *D mgmt_protect +*I *2478:la_iena[65] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[65] 0.00189631 +2 *2478:la_iena[65] 0.00153182 +3 *1206:12 0.00189631 +4 *1206:10 0.00251186 +5 *1206:9 0.00404368 +6 *2472:la_iena_mprj[65] *2472:la_oenb_mprj[65] 0 +7 *1206:10 *1337:12 0 +8 *2472:la_data_out_mprj[65] *2472:la_iena_mprj[65] 0 +9 *2478:la_input[65] *1206:9 0 +10 *694:10 *1206:10 0 +11 *696:8 *1206:10 0 +12 *947:10 *1206:10 0.00244103 +13 *1204:10 *1206:10 0.000173536 +*RES +1 *2478:la_iena[65] *1206:9 41.3964 +2 *1206:9 *1206:10 86.1323 +3 *1206:10 *1206:12 4.5 +4 *1206:12 *2472:la_iena_mprj[65] 52.6149 +*END + +*D_NET *1207 0.0178711 +*CONN +*I *2472:la_iena_mprj[66] I *D mgmt_protect +*I *2478:la_iena[66] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[66] 0.0021222 +2 *2478:la_iena[66] 0.00124445 +3 *1207:12 0.0021222 +4 *1207:10 0.000855382 +5 *1207:9 0.00209983 +6 *2472:la_iena_mprj[66] *2472:la_oenb_mprj[66] 0 +7 *1207:10 *1209:10 0.00024962 +8 *1207:10 *1334:10 0.00647328 +9 *1207:10 *1337:12 0 +10 *2472:la_data_out_mprj[66] *2472:la_iena_mprj[66] 0 +11 *2478:la_input[66] *1207:9 0 +12 *697:13 *1207:10 5.04829e-06 +13 *950:9 *1207:9 0 +14 *950:10 *1207:10 0.0026991 +*RES +1 *2478:la_iena[66] *1207:9 35.1676 +2 *1207:9 *1207:10 74.4857 +3 *1207:10 *1207:12 4.5 +4 *1207:12 *2472:la_iena_mprj[66] 58.8437 +*END + +*D_NET *1208 0.0177487 +*CONN +*I *2472:la_iena_mprj[67] I *D mgmt_protect +*I *2478:la_iena[67] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[67] 0.00223808 +2 *2478:la_iena[67] 0.00113074 +3 *1208:12 0.00223808 +4 *1208:10 0.000660432 +5 *1208:9 0.00179117 +6 *2472:la_iena_mprj[67] *2472:la_oenb_mprj[67] 0 +7 *1208:10 *1210:12 0.000520301 +8 *1208:10 *1332:10 0.00289643 +9 *1208:10 *1338:12 0.00115455 +10 *2472:la_data_out_mprj[67] *2472:la_iena_mprj[67] 0 +11 *2478:la_input[67] *1208:9 0 +12 *695:8 *1208:10 0.00473362 +13 *949:10 *1208:10 6.05863e-05 +14 *951:9 *1208:9 0 +15 *951:16 *1208:10 1.75155e-06 +16 *953:12 *1208:10 8.69538e-05 +17 *953:16 *1208:10 0.000236012 +*RES +1 *2478:la_iena[67] *1208:9 32.2608 +2 *1208:9 *1208:10 62.839 +3 *1208:10 *1208:12 4.5 +4 *1208:12 *2472:la_iena_mprj[67] 61.7504 +*END + +*D_NET *1209 0.0147129 +*CONN +*I *2472:la_iena_mprj[68] I *D mgmt_protect +*I *2478:la_iena[68] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[68] 0.0021592 +2 *2478:la_iena[68] 0.00122115 +3 *1209:12 0.0021592 +4 *1209:10 0.000659741 +5 *1209:9 0.00188089 +6 *2472:la_iena_mprj[68] *2472:la_oenb_mprj[68] 0 +7 *1209:10 *1210:12 0.00127023 +8 *1209:10 *1337:12 0 +9 *2472:la_data_out_mprj[68] *2472:la_iena_mprj[68] 0 +10 *2478:la_input[68] *1209:9 0 +11 *692:5 *1209:9 0 +12 *695:8 *1209:10 9.24467e-05 +13 *697:13 *1209:10 0.00126077 +14 *698:5 *2472:la_iena_mprj[68] 0 +15 *950:10 *1209:10 0.00219735 +16 *952:9 *1209:9 0 +17 *1205:10 *1209:10 0.0015623 +18 *1207:10 *1209:10 0.00024962 +*RES +1 *2478:la_iena[68] *1209:9 33.9218 +2 *1209:9 *1209:10 51.1923 +3 *1209:10 *1209:12 4.5 +4 *1209:12 *2472:la_iena_mprj[68] 60.0894 +*END + +*D_NET *1210 0.0112145 +*CONN +*I *2472:la_iena_mprj[69] I *D mgmt_protect +*I *2478:la_iena[69] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[69] 0.00218841 +2 *2478:la_iena[69] 0.00116361 +3 *1210:12 0.00288955 +4 *1210:9 0.00186474 +5 *2472:la_iena_mprj[69] *2472:la_oenb_mprj[69] 0 +6 *1210:12 *1338:12 0.000407049 +7 *2472:la_data_out_mprj[69] *2472:la_iena_mprj[69] 0 +8 *2478:la_input[69] *1210:9 0 +9 *695:8 *1210:12 0.000910579 +10 *953:12 *1210:9 0 +11 *1208:10 *1210:12 0.000520301 +12 *1209:10 *1210:12 0.00127023 +*RES +1 *2478:la_iena[69] *1210:9 33.0913 +2 *1210:9 *1210:12 44.0456 +3 *1210:12 *2472:la_iena_mprj[69] 60.9199 +*END + +*D_NET *1211 0.193674 +*CONN +*I *2472:la_iena_mprj[6] I *D mgmt_protect +*I *2478:la_iena[6] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[6] 0.00235717 +2 *2478:la_iena[6] 0.00145433 +3 *1211:12 0.00235717 +4 *1211:10 0.00829477 +5 *1211:9 0.0097491 +6 *2472:la_iena_mprj[6] *2472:la_oenb_mprj[6] 0 +7 *2472:la_iena_mprj[6] *1267:15 0.000965027 +8 *1211:10 *1233:10 0.0796214 +9 *1211:10 *1289:10 0.00220839 +10 *1211:10 *1339:10 0.082977 +11 *2472:la_data_out_mprj[6] *2472:la_iena_mprj[6] 0 +12 *2478:la_input[44] *2472:la_iena_mprj[6] 0.000579101 +13 *2478:la_input[6] *1211:9 0 +14 *944:7 *1211:9 0 +15 *1139:18 *2472:la_iena_mprj[6] 1.80266e-05 +16 *1161:10 *1211:10 0.00266988 +17 *1189:10 *1211:10 0.000422525 +*RES +1 *2478:la_iena[6] *1211:9 39.6423 +2 *1211:9 *1211:10 106.983 +3 *1211:10 *1211:12 3.36879 +4 *1211:12 *2472:la_iena_mprj[6] 53.2378 +*END + +*D_NET *1212 0.0116279 +*CONN +*I *2472:la_iena_mprj[70] I *D mgmt_protect +*I *2478:la_iena[70] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[70] 0.00224343 +2 *2478:la_iena[70] 0.00103342 +3 *1212:12 0.00257227 +4 *1212:9 0.00136226 +5 *2472:la_iena_mprj[70] *2472:la_oenb_mprj[70] 0 +6 *1212:9 *2472:la_oenb_mprj[67] 0 +7 *1212:12 *1213:10 6.24655e-05 +8 *1212:12 *1338:12 0.00199781 +9 *2472:la_data_out_mprj[67] *1212:9 0.000195139 +10 *2472:la_data_out_mprj[70] *2472:la_iena_mprj[70] 0 +11 *2478:la_input[70] *1212:9 0 +12 *2478:la_input[71] *1212:12 0.000224395 +13 *700:5 *2472:la_iena_mprj[70] 0 +14 *700:10 *1212:12 0.00173451 +15 *953:16 *1212:12 0.000202245 +16 *954:9 *1212:9 0 +*RES +1 *2478:la_iena[70] *1212:9 31.4303 +2 *1212:9 *1212:12 31.8444 +3 *1212:12 *2472:la_iena_mprj[70] 62.5809 +*END + +*D_NET *1213 0.00916956 +*CONN +*I *2472:la_iena_mprj[71] I *D mgmt_protect +*I *2478:la_iena[71] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[71] 0.00227604 +2 *2478:la_iena[71] 0.00134196 +3 *1213:12 0.00227604 +4 *1213:10 0.00134196 +5 *2472:la_iena_mprj[71] *2472:la_oenb_mprj[71] 0 +6 *1213:10 *1340:10 0.000904135 +7 *2472:la_data_out_mprj[69] *1213:10 0 +8 *2472:la_data_out_mprj[71] *2472:la_iena_mprj[71] 0 +9 *2478:la_input[71] *1213:10 0.000636352 +10 *700:10 *1213:10 0.00033061 +11 *702:9 *2472:la_iena_mprj[71] 0 +12 *956:7 *1213:10 0 +13 *1212:12 *1213:10 6.24655e-05 +*RES +1 *2478:la_iena[71] *1213:10 46.8521 +2 *1213:10 *1213:12 4.5 +3 *1213:12 *2472:la_iena_mprj[71] 63.4115 +*END + +*D_NET *1214 0.00704512 +*CONN +*I *2472:la_iena_mprj[72] I *D mgmt_protect +*I *2478:la_iena[72] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[72] 0.00200006 +2 *2478:la_iena[72] 0.00119322 +3 *1214:12 0.00319328 +4 *2472:la_iena_mprj[72] *2472:la_oenb_mprj[72] 0 +5 *2472:la_data_out_mprj[72] *2472:la_iena_mprj[72] 0 +6 *702:9 *2472:la_iena_mprj[72] 0 +7 *702:11 *2472:la_iena_mprj[72] 0.000658569 +8 *702:11 *1214:12 0 +*RES +1 *2478:la_iena[72] *1214:12 39.1508 +2 *1214:12 *2472:la_iena_mprj[72] 63.4115 +*END + +*D_NET *1215 0.00741031 +*CONN +*I *2472:la_iena_mprj[73] I *D mgmt_protect +*I *2478:la_iena[73] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[73] 0.00108849 +2 *2478:la_iena[73] 0.000106379 +3 *1215:7 0.00309696 +4 *1215:5 0.00211484 +5 *2472:la_iena_mprj[73] *2472:la_oenb_mprj[73] 0.00100363 +6 *2472:la_data_out_mprj[73] *2472:la_iena_mprj[73] 0 +7 *703:11 *1215:7 0 +8 *704:7 *2472:la_iena_mprj[73] 0 +9 *958:7 *2472:la_iena_mprj[73] 0 +10 *958:7 *1215:7 0 +*RES +1 *2478:la_iena[73] *1215:5 2.61365 +2 *1215:5 *1215:7 54.6667 +3 *1215:7 *2472:la_iena_mprj[73] 35.9437 +*END + +*D_NET *1216 0.00861777 +*CONN +*I *2472:la_iena_mprj[74] I *D mgmt_protect +*I *2478:la_iena[74] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[74] 6.22868e-05 +2 *2478:la_iena[74] 8.30061e-05 +3 *1216:13 0.00130967 +4 *1216:7 0.00348775 +5 *1216:5 0.00232338 +6 *1216:7 *2472:la_iena_mprj[76] 7.09299e-05 +7 *1216:7 *2472:la_oenb_mprj[75] 0 +8 *1216:13 *2472:la_oenb_mprj[74] 0.000982665 +9 *2472:la_data_out_mprj[74] *1216:13 0 +10 *703:11 *1216:13 0.000223258 +11 *704:11 *1216:7 0 +12 *705:7 *1216:13 0 +13 *706:7 *1216:7 0 +14 *959:7 *1216:7 0 +15 *960:16 *1216:13 7.48293e-05 +*RES +1 *2478:la_iena[74] *1216:5 2.05183 +2 *1216:5 *1216:7 60.5902 +3 *1216:7 *1216:13 49.9886 +4 *1216:13 *2472:la_iena_mprj[74] 1.77093 +*END + +*D_NET *1217 0.00893233 +*CONN +*I *2472:la_iena_mprj[75] I *D mgmt_protect +*I *2478:la_iena[75] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[75] 0.00110156 +2 *2478:la_iena[75] 8.30061e-05 +3 *1217:12 0.00192446 +4 *1217:7 0.00284307 +5 *1217:5 0.00210317 +6 *2472:la_iena_mprj[75] *2472:la_oenb_mprj[75] 0 +7 *2472:la_iena_mprj[75] *1343:7 0 +8 *1217:12 *1218:12 0.000447953 +9 *1217:12 *1345:12 0.000104941 +10 *2472:la_data_out_mprj[75] *2472:la_iena_mprj[75] 0 +11 *704:10 *1217:12 1.08524e-05 +12 *705:11 *1217:7 0 +13 *959:7 *2472:la_iena_mprj[75] 0.000313321 +14 *960:7 *1217:7 0 +*RES +1 *2478:la_iena[75] *1217:5 2.05183 +2 *1217:5 *1217:7 54.7766 +3 *1217:7 *1217:12 35.7898 +4 *1217:12 *2472:la_iena_mprj[75] 32.6828 +*END + +*D_NET *1218 0.0117595 +*CONN +*I *2472:la_iena_mprj[76] I *D mgmt_protect +*I *2478:la_iena[76] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[76] 0.00116921 +2 *2478:la_iena[76] 8.30061e-05 +3 *1218:12 0.00197944 +4 *1218:7 0.00287421 +5 *1218:5 0.00214698 +6 *2472:la_iena_mprj[76] *2472:la_oenb_mprj[76] 0 +7 *1218:12 *1345:12 0.00290288 +8 *2472:la_data_out_mprj[76] *2472:la_iena_mprj[76] 0 +9 *706:7 *2472:la_iena_mprj[76] 0 +10 *706:8 *1218:12 3.04269e-05 +11 *706:11 *1218:7 0 +12 *707:7 *2472:la_iena_mprj[76] 0 +13 *961:7 *1218:7 0 +14 *961:10 *1218:12 5.44727e-05 +15 *1216:7 *2472:la_iena_mprj[76] 7.09299e-05 +16 *1217:12 *1218:12 0.000447953 +*RES +1 *2478:la_iena[76] *1218:5 2.05183 +2 *1218:5 *1218:7 56.0224 +3 *1218:7 *1218:12 47.991 +4 *1218:12 *2472:la_iena_mprj[76] 31.437 +*END + +*D_NET *1219 0.0142449 +*CONN +*I *2472:la_iena_mprj[77] I *D mgmt_protect +*I *2478:la_iena[77] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[77] 0.000692266 +2 *2478:la_iena[77] 8.30061e-05 +3 *1219:10 0.0015062 +4 *1219:9 0.000813932 +5 *1219:7 0.00217521 +6 *1219:5 0.00225822 +7 *2472:la_iena_mprj[77] *2472:la_oenb_mprj[77] 0 +8 *2472:la_iena_mprj[77] *1344:7 0.000267587 +9 *1219:7 *2472:la_iena_mprj[83] 6.31665e-05 +10 *1219:10 *1348:10 0 +11 *1219:10 *1351:10 5.65165e-05 +12 *2472:la_data_out_mprj[77] *2472:la_iena_mprj[77] 0 +13 *2472:la_data_out_mprj[83] *1219:7 0.00107187 +14 *707:11 *1219:7 0 +15 *708:8 *1219:10 0 +16 *709:8 *1219:10 0.000248172 +17 *712:8 *1219:10 0.0019318 +18 *715:7 *1219:7 0 +19 *962:7 *1219:7 0 +20 *962:10 *1219:10 0.00135533 +21 *968:10 *1219:10 0.00172162 +*RES +1 *2478:la_iena[77] *1219:5 2.05183 +2 *1219:5 *1219:7 67.2342 +3 *1219:7 *1219:9 4.5 +4 *1219:9 *1219:10 50.6377 +5 *1219:10 *2472:la_iena_mprj[77] 24.7252 +*END + +*D_NET *1220 0.017568 +*CONN +*I *2472:la_iena_mprj[78] I *D mgmt_protect +*I *2478:la_iena[78] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[78] 0.000601842 +2 *2478:la_iena[78] 3.626e-05 +3 *1220:10 0.00220206 +4 *1220:9 0.00160021 +5 *1220:7 0.002013 +6 *1220:5 0.00204926 +7 *2472:la_iena_mprj[78] *2472:la_oenb_mprj[78] 0 +8 *1220:7 *2472:la_iena_mprj[85] 0 +9 *1220:7 *2472:la_oenb_mprj[85] 0.00278322 +10 *2472:la_data_out_mprj[78] *2472:la_iena_mprj[78] 0 +11 *2472:la_data_out_mprj[85] *1220:7 0 +12 *2472:la_data_out_mprj[86] *1220:7 0 +13 *708:8 *1220:10 0.00587929 +14 *708:11 *1220:7 0 +15 *717:7 *1220:7 0.000402864 +*RES +1 *2478:la_iena[78] *1220:5 0.928211 +2 *1220:5 *1220:7 71.3867 +3 *1220:7 *1220:9 4.5 +4 *1220:9 *1220:10 63.3936 +5 *1220:10 *2472:la_iena_mprj[78] 20.5727 +*END + +*D_NET *1221 0.0221893 +*CONN +*I *2472:la_iena_mprj[79] I *D mgmt_protect +*I *2478:la_iena[79] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[79] 0.000680736 +2 *2478:la_iena[79] 8.30061e-05 +3 *1221:10 0.00146433 +4 *1221:9 0.000783598 +5 *1221:7 0.00208355 +6 *1221:5 0.00216656 +7 *2472:la_iena_mprj[79] *2472:la_oenb_mprj[79] 0 +8 *1221:7 *2472:la_iena_mprj[88] 0 +9 *1221:10 *1224:10 0.00524197 +10 *1221:10 *1348:10 0.00069087 +11 *2472:la_data_out_mprj[79] *2472:la_iena_mprj[79] 0 +12 *2472:la_data_out_mprj[88] *1221:7 0.00115103 +13 *709:8 *1221:10 0.000250542 +14 *709:11 *1221:7 0 +15 *719:7 *1221:7 0.00131893 +16 *964:7 *1221:7 0 +17 *967:10 *1221:10 0.00627414 +*RES +1 *2478:la_iena[79] *1221:5 2.05183 +2 *1221:5 *1221:7 69.7257 +3 *1221:7 *1221:9 4.5 +4 *1221:9 *1221:10 73.9311 +5 *1221:10 *2472:la_iena_mprj[79] 22.2337 +*END + +*D_NET *1222 0.192357 +*CONN +*I *2472:la_iena_mprj[7] I *D mgmt_protect +*I *2478:la_iena[7] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[7] 0.000915997 +2 *2478:la_iena[7] 8.29983e-05 +3 *1222:10 0.00698485 +4 *1222:9 0.00606886 +5 *1222:7 0.00267848 +6 *1222:5 0.00276148 +7 *2472:la_iena_mprj[7] *2472:la_oenb_mprj[7] 0 +8 *1222:10 *1286:10 0.00494642 +9 *1222:10 *1288:10 0.00415649 +10 *1222:10 *1328:10 0.081621 +11 *1222:10 *1350:10 0.0821406 +12 *2472:la_data_out_mprj[7] *2472:la_iena_mprj[7] 0 +13 *710:11 *1222:7 0 +14 *955:9 *1222:7 0 +15 *1148:10 *1222:10 0 +*RES +1 *2478:la_iena[7] *1222:5 2.05183 +2 *1222:5 *1222:7 66.6113 +3 *1222:7 *1222:9 3.36879 +4 *1222:9 *1222:10 105.377 +5 *1222:10 *2472:la_iena_mprj[7] 24.2169 +*END + +*D_NET *1223 0.022062 +*CONN +*I *2472:la_iena_mprj[80] I *D mgmt_protect +*I *2478:la_iena[80] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[80] 0.000845273 +2 *2478:la_iena[80] 8.30061e-05 +3 *1223:10 0.00200608 +4 *1223:9 0.0011608 +5 *1223:7 0.00181194 +6 *1223:5 0.00189495 +7 *2472:la_iena_mprj[80] *2472:la_oenb_mprj[80] 0 +8 *1223:7 *2472:la_iena_mprj[90] 0.00233324 +9 *1223:10 *1346:10 0.000255439 +10 *1223:10 *1349:10 0 +11 *2472:la_data_out_mprj[80] *2472:la_iena_mprj[80] 0 +12 *2472:la_data_out_mprj[90] *1223:7 0.000708286 +13 *711:7 *2472:la_iena_mprj[80] 0 +14 *711:11 *1223:7 0 +15 *712:7 *2472:la_iena_mprj[80] 0 +16 *962:10 *1223:10 0.00184718 +17 *965:7 *1223:7 0 +18 *968:10 *1223:10 0.00260196 +19 *969:10 *1223:10 0.00651389 +*RES +1 *2478:la_iena[80] *1223:5 2.05183 +2 *1223:5 *1223:7 65.9885 +3 *1223:7 *1223:9 4.5 +4 *1223:9 *1223:10 85.5777 +5 *1223:10 *2472:la_iena_mprj[80] 25.971 +*END + +*D_NET *1224 0.0237465 +*CONN +*I *2472:la_iena_mprj[81] I *D mgmt_protect +*I *2478:la_iena[81] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[81] 0.000666141 +2 *2478:la_iena[81] 8.30061e-05 +3 *1224:10 0.00213064 +4 *1224:9 0.0014645 +5 *1224:7 0.00218444 +6 *1224:5 0.00226745 +7 *2472:la_iena_mprj[81] *2472:la_oenb_mprj[81] 0 +8 *1224:7 *2472:la_iena_mprj[92] 0 +9 *1224:7 *2472:la_oenb_mprj[92] 0.00190782 +10 *1224:10 *1348:10 0.00426741 +11 *1224:10 *1359:16 0.00140301 +12 *2472:la_data_out_mprj[81] *2472:la_iena_mprj[81] 0 +13 *2472:la_data_out_mprj[93] *1224:7 0 +14 *724:8 *1224:10 9.44554e-05 +15 *725:5 *1224:7 0.000594313 +16 *967:7 *1224:7 0 +17 *967:10 *1224:10 0.00144135 +18 *1221:10 *1224:10 0.00524197 +*RES +1 *2478:la_iena[81] *1224:5 2.05183 +2 *1224:5 *1224:7 70.141 +3 *1224:7 *1224:9 4.5 +4 *1224:9 *1224:10 97.2244 +5 *1224:10 *2472:la_iena_mprj[81] 21.8185 +*END + +*D_NET *1225 0.0168075 +*CONN +*I *2472:la_iena_mprj[82] I *D mgmt_protect +*I *2478:la_iena[82] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[82] 0.0013455 +2 *2478:la_iena[82] 8.30061e-05 +3 *1225:10 0.00587249 +4 *1225:9 0.00452699 +5 *1225:7 0.00182491 +6 *1225:5 0.00190792 +7 *2472:la_iena_mprj[82] *2472:la_oenb_mprj[82] 0 +8 *2472:la_iena_mprj[82] *1346:7 0 +9 *1225:10 *1353:10 0 +10 *2472:la_data_out_mprj[82] *2472:la_iena_mprj[82] 0 +11 *2472:la_data_out_mprj[95] *1225:7 0.000654353 +12 *713:7 *2472:la_iena_mprj[82] 0 +13 *713:11 *1225:7 0 +14 *727:5 *1225:7 0.000592335 +15 *962:7 *2472:la_iena_mprj[82] 0 +16 *968:7 *1225:7 0 +*RES +1 *2478:la_iena[82] *1225:5 2.05183 +2 *1225:5 *1225:7 54.7766 +3 *1225:7 *1225:9 4.5 +4 *1225:9 *1225:10 108.871 +5 *1225:10 *2472:la_iena_mprj[82] 37.1828 +*END + +*D_NET *1226 0.0321019 +*CONN +*I *2472:la_iena_mprj[83] I *D mgmt_protect +*I *2478:la_iena[83] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[83] 0.000905519 +2 *2478:la_iena[83] 8.30061e-05 +3 *1226:10 0.00209368 +4 *1226:9 0.00118816 +5 *1226:7 0.00248247 +6 *1226:5 0.00256548 +7 *2472:la_iena_mprj[83] *2472:la_oenb_mprj[83] 0 +8 *1226:7 *1241:13 0.000567999 +9 *1226:10 *1354:10 0.0109241 +10 *2472:la_data_out_mprj[83] *2472:la_iena_mprj[83] 0 +11 *2472:la_data_out_mprj[97] *1226:7 0 +12 *714:8 *1226:10 0.0111366 +13 *714:11 *1226:7 0 +14 *715:7 *2472:la_iena_mprj[83] 0 +15 *969:7 *1226:7 0 +16 *969:10 *1226:10 9.16785e-05 +17 *1219:7 *2472:la_iena_mprj[83] 6.31665e-05 +*RES +1 *2478:la_iena[83] *1226:5 2.05183 +2 *1226:5 *1226:7 64.7427 +3 *1226:7 *1226:9 4.5 +4 *1226:9 *1226:10 120.518 +5 *1226:10 *2472:la_iena_mprj[83] 27.2167 +*END + +*D_NET *1227 0.0341202 +*CONN +*I *2472:la_iena_mprj[84] I *D mgmt_protect +*I *2478:la_iena[84] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[84] 0.000995418 +2 *2478:la_iena[84] 8.30061e-05 +3 *1227:10 0.00237591 +4 *1227:9 0.0013805 +5 *1227:7 0.00240071 +6 *1227:5 0.00248372 +7 *2472:la_iena_mprj[84] *2472:la_oenb_mprj[84] 0 +8 *1227:7 *2472:la_iena_mprj[99] 0 +9 *1227:7 *2472:la_oenb_mprj[99] 0.000920759 +10 *1227:10 *1349:10 0.000769711 +11 *1227:10 *1357:16 0.0104613 +12 *2472:la_data_out_mprj[84] *2472:la_iena_mprj[84] 0 +13 *715:8 *1227:10 0.0122492 +14 *715:11 *1227:7 0 +15 *970:9 *1227:7 0 +*RES +1 *2478:la_iena[84] *1227:5 2.05183 +2 *1227:5 *1227:7 63.4969 +3 *1227:7 *1227:9 4.5 +4 *1227:9 *1227:10 132.719 +5 *1227:10 *2472:la_iena_mprj[84] 28.4625 +*END + +*D_NET *1228 0.0362275 +*CONN +*I *2472:la_iena_mprj[85] I *D mgmt_protect +*I *2478:la_iena[85] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[85] 0.0024433 +2 *2478:la_iena[85] 0.00107011 +3 *1228:12 0.0024433 +4 *1228:10 0.00108835 +5 *1228:9 0.00215846 +6 *2472:la_iena_mprj[85] *2472:la_oenb_mprj[85] 0 +7 *1228:10 *1356:10 0.0133546 +8 *1228:10 *1371:12 0.000102438 +9 *2472:la_data_out_mprj[85] *2472:la_iena_mprj[85] 0 +10 *2478:la_input[85] *1228:9 0 +11 *971:9 *1228:9 0 +12 *972:10 *1228:10 0.013567 +13 *1220:7 *2472:la_iena_mprj[85] 0 +*RES +1 *2478:la_iena[85] *1228:9 31.0151 +2 *1228:9 *1228:10 143.811 +3 *1228:10 *1228:12 4.5 +4 *1228:12 *2472:la_iena_mprj[85] 62.9962 +*END + +*D_NET *1229 0.0384275 +*CONN +*I *2472:la_iena_mprj[86] I *D mgmt_protect +*I *2478:la_iena[86] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[86] 0.00212916 +2 *2478:la_iena[86] 0.00102825 +3 *1229:12 0.00212916 +4 *1229:10 0.00118516 +5 *1229:9 0.00221341 +6 *2472:la_iena_mprj[86] *2472:la_oenb_mprj[86] 0 +7 *2472:la_iena_mprj[86] *1348:7 0 +8 *1229:10 *1247:16 0.0023187 +9 *1229:10 *1355:10 0.0120591 +10 *1229:10 *1368:16 0.000151531 +11 *2472:la_data_out_mprj[86] *2472:la_iena_mprj[86] 0 +12 *708:11 *2472:la_iena_mprj[86] 0.000875045 +13 *972:9 *1229:9 0 +14 *972:10 *1229:10 0.0142532 +15 *973:10 *1229:10 8.47539e-05 +*RES +1 *2478:la_iena[86] *1229:9 30.1846 +2 *1229:9 *1229:10 156.012 +3 *1229:10 *1229:12 4.5 +4 *1229:12 *2472:la_iena_mprj[86] 63.8267 +*END + +*D_NET *1230 0.0415482 +*CONN +*I *2472:la_iena_mprj[87] I *D mgmt_protect +*I *2478:la_iena[87] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[87] 0.00239069 +2 *2478:la_iena[87] 0.00103822 +3 *1230:12 0.00239069 +4 *1230:10 0.00126543 +5 *1230:9 0.00230365 +6 *2472:la_iena_mprj[87] *2472:la_oenb_mprj[87] 0 +7 *2472:la_iena_mprj[87] *1348:7 0 +8 *1230:9 *1365:15 9.60903e-06 +9 *1230:9 *1367:15 7.09666e-06 +10 *1230:10 *1231:10 0.0151367 +11 *1230:10 *1371:12 0.000622192 +12 *2472:la_data_out_mprj[87] *2472:la_iena_mprj[87] 0 +13 *2478:la_input[87] *1230:9 0 +14 *613:5 *1230:9 0.000129352 +15 *719:7 *2472:la_iena_mprj[87] 0 +16 *964:7 *2472:la_iena_mprj[87] 0 +17 *973:10 *1230:10 0.000347135 +18 *974:10 *1230:10 0.0159075 +*RES +1 *2478:la_iena[87] *1230:9 30.9906 +2 *1230:9 *1230:10 168.768 +3 *1230:10 *1230:12 4.5 +4 *1230:12 *2472:la_iena_mprj[87] 61.3352 +*END + +*D_NET *1231 0.0438729 +*CONN +*I *2472:la_iena_mprj[88] I *D mgmt_protect +*I *2478:la_iena[88] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[88] 0.00208468 +2 *2478:la_iena[88] 0.00114527 +3 *1231:12 0.00208468 +4 *1231:10 0.00140284 +5 *1231:9 0.00254811 +6 *2472:la_iena_mprj[88] *2472:la_oenb_mprj[88] 0 +7 *1231:10 *1362:10 0.000193117 +8 *1231:10 *1365:10 0.0016154 +9 *1231:10 *1367:10 0.00171937 +10 *1231:10 *1371:12 0.000622192 +11 *2472:la_data_out_mprj[88] *2472:la_iena_mprj[88] 0 +12 *2478:la_input[88] *1231:9 0 +13 *615:5 *1231:9 0 +14 *709:11 *2472:la_iena_mprj[88] 0.000796373 +15 *720:7 *2472:la_iena_mprj[88] 0 +16 *973:10 *1231:10 0.01451 +17 *974:9 *1231:9 0 +18 *974:10 *1231:10 1.41853e-05 +19 *1221:7 *2472:la_iena_mprj[88] 0 +20 *1230:10 *1231:10 0.0151367 +*RES +1 *2478:la_iena[88] *1231:9 32.2608 +2 *1231:9 *1231:10 179.306 +3 *1231:10 *1231:12 4.5 +4 *1231:12 *2472:la_iena_mprj[88] 61.7504 +*END + +*D_NET *1232 0.0477206 +*CONN +*I *2472:la_iena_mprj[89] I *D mgmt_protect +*I *2478:la_iena[89] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[89] 0.00155176 +2 *2478:la_iena[89] 0.00125399 +3 *1232:12 0.00155176 +4 *1232:10 0.001492 +5 *1232:9 0.002746 +6 *2472:la_iena_mprj[89] *2472:la_oenb_mprj[89] 0 +7 *2472:la_iena_mprj[89] *1349:7 0 +8 *1232:10 *1234:10 0.0171305 +9 *2478:la_input[89] *1232:9 0 +10 *619:5 *1232:9 0 +11 *722:7 *2472:la_iena_mprj[89] 0 +12 *965:7 *2472:la_iena_mprj[89] 0 +13 *974:10 *1232:10 0.000347135 +14 *975:9 *1232:9 0 +15 *975:10 *1232:10 0.0174362 +16 *976:10 *1232:10 0.00020979 +17 *976:13 *2472:la_iena_mprj[89] 0.00354533 +18 *1118:12 *1232:10 0.000456167 +*RES +1 *2478:la_iena[89] *1232:9 33.9218 +2 *1232:9 *1232:10 190.398 +3 *1232:10 *1232:12 4.5 +4 *1232:12 *2472:la_iena_mprj[89] 60.0894 +*END + +*D_NET *1233 0.183399 +*CONN +*I *2472:la_iena_mprj[8] I *D mgmt_protect +*I *2478:la_iena[8] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[8] 0.00263256 +2 *2478:la_iena[8] 0.00151094 +3 *1233:12 0.00263256 +4 *1233:10 0.00980836 +5 *1233:9 0.0113193 +6 *2472:la_iena_mprj[8] *2472:la_oenb_mprj[8] 0 +7 *2472:la_iena_mprj[8] *1308:21 0.000299282 +8 *1233:10 *1279:10 0 +9 *1233:10 *1289:10 0.000110257 +10 *1233:10 *1291:10 0.00206357 +11 *1233:10 *1339:10 8.27242e-05 +12 *2472:la_data_out_mprj[8] *2472:la_iena_mprj[8] 0 +13 *616:14 *1233:10 0.000228912 +14 *721:7 *2472:la_iena_mprj[8] 0 +15 *721:11 *1233:9 0 +16 *966:7 *1233:9 0 +17 *1149:10 *1233:10 0.0704756 +18 *1161:10 *1233:10 0.000101365 +19 *1163:10 *1233:10 0.00251236 +20 *1211:10 *1233:10 0.0796214 +*RES +1 *2478:la_iena[8] *1233:9 40.4728 +2 *1233:9 *1233:10 103.771 +3 *1233:10 *1233:12 3.36879 +4 *1233:12 *2472:la_iena_mprj[8] 52.4073 +*END + +*D_NET *1234 0.0490993 +*CONN +*I *2472:la_iena_mprj[90] I *D mgmt_protect +*I *2478:la_iena[90] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[90] 0.00185656 +2 *2478:la_iena[90] 0.00115811 +3 *1234:12 0.00185656 +4 *1234:10 0.00170205 +5 *1234:9 0.00286016 +6 *2472:la_iena_mprj[90] *2472:la_oenb_mprj[90] 0 +7 *1234:10 *1367:10 0.000103234 +8 *1234:10 *1369:10 0.00402611 +9 *2472:la_data_out_mprj[90] *2472:la_iena_mprj[90] 0 +10 *621:7 *1234:9 0.00021575 +11 *711:11 *2472:la_iena_mprj[90] 0 +12 *974:10 *1234:10 0.0148029 +13 *976:9 *1234:9 0 +14 *976:10 *1234:10 0.000370863 +15 *978:10 *1234:10 8.47539e-05 +16 *1118:12 *1234:10 0.000598562 +17 *1223:7 *2472:la_iena_mprj[90] 0.00233324 +18 *1232:10 *1234:10 0.0171305 +*RES +1 *2478:la_iena[90] *1234:9 33.5066 +2 *1234:9 *1234:10 202.599 +3 *1234:10 *1234:12 4.5 +4 *1234:12 *2472:la_iena_mprj[90] 60.5047 +*END + +*D_NET *1235 0.050469 +*CONN +*I *2472:la_iena_mprj[91] I *D mgmt_protect +*I *2478:la_iena[91] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[91] 0.00192801 +2 *2478:la_iena[91] 0.00132587 +3 *1235:12 0.00192801 +4 *1235:10 0.00176574 +5 *1235:9 0.00309162 +6 *2472:la_iena_mprj[91] *2472:la_oenb_mprj[91] 0 +7 *2472:la_iena_mprj[91] *1351:7 0.0010763 +8 *1235:9 *1262:13 0 +9 *1235:10 *1242:10 5.80138e-05 +10 *1235:10 *1243:10 1.15389e-05 +11 *1235:10 *1246:12 0.000765326 +12 *1235:10 *1247:10 0.00479542 +13 *1235:10 *1358:10 0.000854233 +14 *1235:10 *1359:10 0.0049942 +15 *1235:10 *1369:10 0 +16 *2472:la_data_out_mprj[91] *2472:la_iena_mprj[91] 0 +17 *711:11 *2472:la_iena_mprj[91] 0 +18 *723:11 *1235:9 0 +19 *971:10 *1235:10 0.00821673 +20 *978:9 *1235:9 0 +21 *978:10 *1235:10 0.019658 +*RES +1 *2478:la_iena[91] *1235:9 35.5828 +2 *1235:9 *1235:10 214.246 +3 *1235:10 *1235:12 4.5 +4 *1235:12 *2472:la_iena_mprj[91] 58.4284 +*END + +*D_NET *1236 0.0532144 +*CONN +*I *2472:la_iena_mprj[92] I *D mgmt_protect +*I *2478:la_iena[92] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[92] 0.00176616 +2 *2478:la_iena[92] 0.00173335 +3 *1236:12 0.00176616 +4 *1236:10 0.00188185 +5 *1236:9 0.0036152 +6 *2472:la_iena_mprj[92] *2472:la_oenb_mprj[92] 0 +7 *1236:10 *1364:10 0.000258087 +8 *2472:la_data_out_mprj[92] *2472:la_iena_mprj[92] 0 +9 *724:11 *1236:9 0 +10 *725:8 *1236:10 0.0208913 +11 *967:7 *2472:la_iena_mprj[92] 0.00057675 +12 *979:10 *1236:10 0.0207255 +13 *980:10 *1236:10 0 +14 *1224:7 *2472:la_iena_mprj[92] 0 +*RES +1 *2478:la_iena[92] *1236:9 42.349 +2 *1236:9 *1236:10 227.002 +3 *1236:10 *1236:12 4.5 +4 *1236:12 *2472:la_iena_mprj[92] 50.5386 +*END + +*D_NET *1237 0.0559804 +*CONN +*I *2472:la_iena_mprj[93] I *D mgmt_protect +*I *2478:la_iena[93] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[93] 0.00163929 +2 *2478:la_iena[93] 0.00168993 +3 *1237:12 0.00163929 +4 *1237:10 0.00192463 +5 *1237:9 0.00361456 +6 *2472:la_iena_mprj[93] *2472:la_oenb_mprj[93] 0 +7 *1237:9 *1251:15 0 +8 *1237:10 *1239:10 0.0207433 +9 *2472:la_data_out_mprj[93] *2472:la_iena_mprj[93] 0 +10 *2478:la_input[93] *1237:9 0 +11 *712:11 *2472:la_iena_mprj[93] 0.00190271 +12 *725:8 *1237:10 9.66124e-05 +13 *726:8 *1237:10 0.0219239 +14 *980:9 *1237:9 0 +15 *980:10 *1237:10 0.00068824 +16 *985:12 *1237:10 0.000117868 +*RES +1 *2478:la_iena[93] *1237:9 41.8116 +2 *1237:9 *1237:10 237.539 +3 *1237:10 *1237:12 4.5 +4 *1237:12 *2472:la_iena_mprj[93] 52.1996 +*END + +*D_NET *1238 0.0582619 +*CONN +*I *2472:la_iena_mprj[94] I *D mgmt_protect +*I *2478:la_iena[94] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[94] 0.00162572 +2 *2478:la_iena[94] 0.00171234 +3 *1238:12 0.00162572 +4 *1238:10 0.00213573 +5 *1238:9 0.00384808 +6 *2472:la_iena_mprj[94] *2472:la_oenb_mprj[94] 0 +7 *2472:la_data_out_mprj[94] *2472:la_iena_mprj[94] 0 +8 *2478:la_input[94] *1238:9 0 +9 *631:5 *1238:9 0 +10 *725:8 *1238:10 0.0220259 +11 *726:8 *1238:10 0.0234447 +12 *968:7 *2472:la_iena_mprj[94] 0.00180361 +13 *981:9 *1238:9 0 +14 *1121:15 *1238:9 4.01573e-05 +*RES +1 *2478:la_iena[94] *1238:9 42.6421 +2 *1238:9 *1238:10 249.186 +3 *1238:10 *1238:12 4.5 +4 *1238:12 *2472:la_iena_mprj[94] 51.3691 +*END + +*D_NET *1239 0.0563794 +*CONN +*I *2472:la_iena_mprj[95] I *D mgmt_protect +*I *2478:la_iena[95] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[95] 0.00209188 +2 *2478:la_iena[95] 0.0016133 +3 *1239:12 0.00209188 +4 *1239:10 0.00243917 +5 *1239:9 0.00405247 +6 *2472:la_iena_mprj[95] *2472:la_oenb_mprj[95] 0 +7 *1239:10 *1366:10 0.00142928 +8 *2472:la_data_out_mprj[95] *2472:la_iena_mprj[95] 0 +9 *2478:la_input[95] *1239:9 0 +10 *634:5 *1239:9 0.000148202 +11 *713:11 *2472:la_iena_mprj[95] 0 +12 *726:8 *1239:10 0.00103384 +13 *980:10 *1239:10 0.0203758 +14 *982:9 *1239:9 0 +15 *985:12 *1239:10 0.000118356 +16 *1121:10 *1239:10 0.000241935 +17 *1237:10 *1239:10 0.0207433 +*RES +1 *2478:la_iena[95] *1239:9 41.3964 +2 *1239:9 *1239:10 260.832 +3 *1239:10 *1239:12 4.5 +4 *1239:12 *2472:la_iena_mprj[95] 52.6149 +*END + +*D_NET *1240 0.0643875 +*CONN +*I *2472:la_iena_mprj[96] I *D mgmt_protect +*I *2478:la_iena[96] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[96] 0.00200038 +2 *2478:la_iena[96] 0.00144034 +3 *1240:12 0.00200038 +4 *1240:10 0.0021238 +5 *1240:9 0.00356414 +6 *2472:la_iena_mprj[96] *2472:la_oenb_mprj[96] 0 +7 *2472:la_iena_mprj[96] *1353:7 0.000715752 +8 *1240:9 *2472:mprj_we_o_core 0 +9 *1240:10 *1241:10 0.0249001 +10 *2472:la_data_out_mprj[96] *2472:la_iena_mprj[96] 0 +11 *728:11 *1240:9 0 +12 *863:15 *1240:9 5.88009e-05 +13 *983:16 *1240:10 0.000249889 +14 *984:10 *1240:10 0.0258769 +15 *986:12 *1240:10 0.001457 +*RES +1 *2478:la_iena[96] *1240:9 36.8042 +2 *1240:9 *1240:10 274.698 +3 *1240:10 *1240:12 4.5 +4 *1240:12 *2472:la_iena_mprj[96] 55.5217 +*END + +*D_NET *1241 0.0644986 +*CONN +*I *2472:la_iena_mprj[97] I *D mgmt_protect +*I *2478:la_iena[97] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[97] 6.22868e-05 +2 *2478:la_iena[97] 0.00152096 +3 *1241:13 0.0017246 +4 *1241:12 0.00166232 +5 *1241:10 0.00233198 +6 *1241:9 0.00385295 +7 *1241:9 *2472:mprj_adr_o_core[1] 0 +8 *1241:9 *2472:mprj_adr_o_core[2] 0 +9 *1241:10 *1242:10 2.39581e-05 +10 *1241:10 *1357:12 0.00272419 +11 *1241:10 *1368:10 0.0189152 +12 *1241:10 *1370:12 0.0013395 +13 *1241:13 *2472:la_oenb_mprj[97] 0.0016305 +14 *2472:la_data_out_mprj[97] *1241:13 0 +15 *607:13 *1241:9 7.09666e-06 +16 *609:14 *1241:10 0.000791462 +17 *714:11 *1241:13 0 +18 *729:11 *1241:9 0 +19 *862:10 *1241:10 0.000114773 +20 *863:10 *1241:10 5.49209e-05 +21 *983:10 *1241:10 0.000124736 +22 *983:16 *1241:10 0.00160718 +23 *984:9 *1241:9 0 +24 *984:10 *1241:10 0.00054182 +25 *1226:7 *1241:13 0.000567999 +26 *1240:10 *1241:10 0.0249001 +*RES +1 *2478:la_iena[97] *1241:9 38.0744 +2 *1241:9 *1241:10 282.462 +3 *1241:10 *1241:12 4.5 +4 *1241:12 *1241:13 56.0224 +5 *1241:13 *2472:la_iena_mprj[97] 1.77093 +*END + +*D_NET *1242 0.0680364 +*CONN +*I *2472:la_iena_mprj[98] I *D mgmt_protect +*I *2478:la_iena[98] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[98] 0.00209448 +2 *2478:la_iena[98] 0.00141322 +3 *1242:12 0.00209448 +4 *1242:10 0.00233456 +5 *1242:9 0.00374778 +6 *2472:la_iena_mprj[98] *2472:la_oenb_mprj[98] 0 +7 *2472:la_iena_mprj[98] *1354:7 0.000587734 +8 *1242:9 *2472:mprj_adr_o_core[4] 6.22114e-05 +9 *1242:10 *1243:10 0.0200514 +10 *1242:10 *1358:10 0.00500935 +11 *1242:10 *1359:10 1.15389e-05 +12 *1242:10 *1368:10 0.000279817 +13 *2472:la_data_out_mprj[98] *2472:la_iena_mprj[98] 0 +14 *2478:la_input[98] *1242:9 0 +15 *606:11 *1242:9 6.90376e-05 +16 *714:11 *2472:la_iena_mprj[98] 0 +17 *863:10 *1242:10 0.00369876 +18 *970:10 *1242:10 0.000749011 +19 *971:10 *1242:10 0.000792362 +20 *983:10 *1242:10 0.020474 +21 *983:16 *1242:10 0.00302774 +22 *985:9 *1242:9 0 +23 *987:12 *1242:10 0.00145699 +24 *1235:10 *1242:10 5.80138e-05 +25 *1241:10 *1242:10 2.39581e-05 +*RES +1 *2478:la_iena[98] *1242:9 36.8286 +2 *1242:9 *1242:10 296.327 +3 *1242:10 *1242:12 4.5 +4 *1242:12 *2472:la_iena_mprj[98] 57.1827 +*END + +*D_NET *1243 0.0648877 +*CONN +*I *2472:la_iena_mprj[99] I *D mgmt_protect +*I *2478:la_iena[99] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[99] 0.00272558 +2 *2478:la_iena[99] 0.00145328 +3 *1243:18 0.00272558 +4 *1243:16 0.00177976 +5 *1243:15 0.00212069 +6 *1243:10 0.00213719 +7 *1243:9 0.00324954 +8 *2472:la_iena_mprj[99] *2472:la_oenb_mprj[99] 0 +9 *1243:10 *1246:12 0.000883336 +10 *1243:10 *1247:10 0.020032 +11 *1243:10 *1359:10 0.00184072 +12 *1243:15 *1358:9 0.000389717 +13 *1243:16 *1363:10 0.00203157 +14 *1243:16 *1368:16 0.00223788 +15 *2472:la_data_out_mprj[99] *2472:la_iena_mprj[99] 0 +16 *613:8 *1243:16 0.00024397 +17 *731:11 *1243:9 0 +18 *863:10 *1243:10 0.000816494 +19 *970:9 *2472:la_iena_mprj[99] 0.000157445 +20 *974:9 *1243:15 0 +21 *986:9 *1243:9 0 +22 *1227:7 *2472:la_iena_mprj[99] 0 +23 *1235:10 *1243:10 1.15389e-05 +24 *1242:10 *1243:10 0.0200514 +*RES +1 *2478:la_iena[99] *1243:9 36.4134 +2 *1243:9 *1243:10 232.548 +3 *1243:10 *1243:15 17.0608 +4 *1243:15 *1243:16 75.0403 +5 *1243:16 *1243:18 4.5 +6 *1243:18 *2472:la_iena_mprj[99] 65.4877 +*END + +*D_NET *1244 0.185395 +*CONN +*I *2472:la_iena_mprj[9] I *D mgmt_protect +*I *2478:la_iena[9] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[9] 0.000806952 +2 *2478:la_iena[9] 1.28869e-05 +3 *1244:10 0.00709729 +4 *1244:9 0.00629033 +5 *1244:7 0.00280647 +6 *1244:5 0.00281936 +7 *2472:la_iena_mprj[9] *2472:la_oenb_mprj[9] 0 +8 *1244:10 *1290:10 0.00351928 +9 *1244:10 *1350:10 0.0792428 +10 *1244:10 *1372:10 0.000268945 +11 *2472:la_data_out_mprj[9] *2472:la_iena_mprj[9] 0 +12 *977:9 *1244:7 0 +13 *1128:10 *1244:10 0.0784901 +14 *1148:10 *1244:10 0 +15 *1164:10 *1244:10 0.00404065 +*RES +1 *2478:la_iena[9] *1244:5 0.366399 +2 *1244:5 *1244:7 68.2723 +3 *1244:7 *1244:9 3.36879 +4 *1244:9 *1244:10 102.394 +5 *1244:10 *2472:la_iena_mprj[9] 22.5559 +*END + +*D_NET *1245 0.200703 +*CONN +*I *2472:la_oenb_mprj[0] I *D mgmt_protect +*I *2478:la_oenb[0] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[0] 0.00313731 +2 *2478:la_oenb[0] 0.00118279 +3 *1245:12 0.00313731 +4 *1245:10 0.019901 +5 *1245:9 0.0210838 +6 *2472:la_oenb_mprj[0] *1308:15 0.000220346 +7 *1245:9 *1556:27 0 +8 *1245:10 *1279:10 0.057836 +9 *2472:la_data_out_mprj[0] *2472:la_oenb_mprj[0] 0 +10 *2472:la_iena_mprj[0] *2472:la_oenb_mprj[0] 0 +11 *2478:la_input[0] *1245:9 0 +12 *605:14 *1245:10 0.00295416 +13 *644:7 *2472:la_oenb_mprj[0] 0 +14 *861:7 *1245:9 0 +15 *911:10 *1245:10 0.00349683 +16 *1167:10 *1245:10 0.0874927 +17 *1179:10 *1245:10 0.000260662 +*RES +1 *2478:la_oenb[0] *1245:9 34.6593 +2 *1245:9 *1245:10 115.55 +3 *1245:10 *1245:12 3.36879 +4 *1245:12 *2472:la_oenb_mprj[0] 58.2208 +*END + +*D_NET *1246 0.0799988 +*CONN +*I *2472:la_oenb_mprj[100] I *D mgmt_protect +*I *2478:la_oenb[100] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[100] 0.00163434 +2 *2478:la_oenb[100] 0.00142406 +3 *1246:12 0.00810429 +4 *1246:9 0.00789401 +5 *1246:12 *1247:10 0.000952946 +6 *1246:12 *1248:10 0.00994956 +7 *1246:12 *1358:10 0.000159297 +8 *1246:12 *1359:10 0.00010238 +9 *1246:12 *1714:16 0.000337748 +10 *1246:12 *1742:30 2.61599e-05 +11 *1246:12 *1744:10 0.000162159 +12 *1246:12 *2195:10 0.00932531 +13 *2472:la_data_out_mprj[101] *2472:la_oenb_mprj[100] 0 +14 *2478:la_input[100] *1246:9 0 +15 *607:7 *2472:la_oenb_mprj[100] 0 +16 *862:9 *1246:9 0 +17 *971:10 *1246:12 0.00010238 +18 *978:10 *1246:12 0.000679362 +19 *987:12 *1246:12 0.0336534 +20 *1118:12 *1246:12 0.000461614 +21 *1118:13 *2472:la_oenb_mprj[100] 0.00338115 +22 *1235:10 *1246:12 0.000765326 +23 *1243:10 *1246:12 0.000883336 +*RES +1 *2478:la_oenb[100] *1246:9 34.6593 +2 *1246:9 *1246:12 48.5479 +3 *1246:12 *2472:la_oenb_mprj[100] 58.2208 +*END + +*D_NET *1247 0.063934 +*CONN +*I *2472:la_oenb_mprj[101] I *D mgmt_protect +*I *2478:la_oenb[101] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[101] 0.00247821 +2 *2478:la_oenb[101] 0.001439 +3 *1247:18 0.00247821 +4 *1247:16 0.000915201 +5 *1247:15 0.00118499 +6 *1247:10 0.00470705 +7 *1247:9 0.00587626 +8 *2472:la_oenb_mprj[101] *1358:15 0.000603266 +9 *1247:9 *2472:mprj_dat_o_core[14] 2.33103e-06 +10 *1247:9 *1654:7 0 +11 *1247:10 *1369:10 0 +12 *1247:10 *1606:10 0 +13 *1247:10 *1607:16 0.00663637 +14 *1247:10 *1652:8 0.000258087 +15 *1247:15 *1359:9 0.000309381 +16 *1247:16 *1360:10 0.00191915 +17 *1247:16 *1363:10 0.00156814 +18 *1247:16 *1368:16 0.00351212 +19 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[101] 0 +20 *2472:la_iena_mprj[101] *2472:la_oenb_mprj[101] 0 +21 *2478:la_input[101] *1247:9 0 +22 *608:7 *2472:la_oenb_mprj[101] 0 +23 *618:5 *1247:15 0 +24 *863:10 *1247:10 0.000820045 +25 *865:10 *1247:10 0.000995201 +26 *971:9 *2472:la_oenb_mprj[101] 0.00010835 +27 *973:10 *1247:16 2.35161e-05 +28 *1229:10 *1247:16 0.0023187 +29 *1235:10 *1247:10 0.00479542 +30 *1243:10 *1247:10 0.020032 +31 *1246:12 *1247:10 0.000952946 +*RES +1 *2478:la_oenb[101] *1247:9 35.9981 +2 *1247:9 *1247:10 263.605 +3 *1247:10 *1247:15 15.3998 +4 *1247:15 *1247:16 75.5949 +5 *1247:16 *1247:18 4.5 +6 *1247:18 *2472:la_oenb_mprj[101] 64.242 +*END + +*D_NET *1248 0.0777925 +*CONN +*I *2472:la_oenb_mprj[102] I *D mgmt_protect +*I *2478:la_oenb[102] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[102] 0.00228699 +2 *2478:la_oenb[102] 0.00133011 +3 *1248:12 0.00228699 +4 *1248:10 0.00614821 +5 *1248:9 0.00747832 +6 *2472:la_oenb_mprj[102] *1357:15 0 +7 *1248:9 *2472:mprj_dat_o_core[18] 0 +8 *1248:10 *1611:10 0.000118134 +9 *1248:10 *1650:8 0.000114604 +10 *1248:10 *1678:8 0.000108607 +11 *1248:10 *1680:8 0.000124658 +12 *1248:10 *2195:10 0.0137119 +13 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[102] 0 +14 *2472:la_data_out_mprj[103] *2472:la_oenb_mprj[102] 0 +15 *2472:la_iena_mprj[102] *2472:la_oenb_mprj[102] 0 +16 *2478:la_input[102] *1248:9 0 +17 *2478:la_input[85] *2472:la_oenb_mprj[102] 0.00108477 +18 *609:7 *2472:la_oenb_mprj[102] 0 +19 *864:9 *1248:9 0 +20 *975:10 *1248:10 0.000370172 +21 *976:10 *1248:10 0.000354801 +22 *1118:12 *1248:10 0.0323247 +23 *1246:12 *1248:10 0.00994956 +*RES +1 *2478:la_oenb[102] *1248:9 32.9983 +2 *1248:9 *1248:10 48.3917 +3 *1248:10 *1248:12 3.36879 +4 *1248:12 *2472:la_oenb_mprj[102] 59.8818 +*END + +*D_NET *1249 0.0646364 +*CONN +*I *2472:la_oenb_mprj[103] I *D mgmt_protect +*I *2478:la_oenb[103] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[103] 0.00186836 +2 *2478:la_oenb[103] 0.00198764 +3 *1249:12 0.00186836 +4 *1249:10 0.00666994 +5 *1249:9 0.00865758 +6 *2472:la_oenb_mprj[103] *1359:13 0 +7 *1249:9 *2472:mprj_adr_o_core[21] 7.16076e-05 +8 *1249:9 *2472:mprj_dat_o_core[21] 0 +9 *1249:10 *1364:10 0 +10 *2472:la_iena_mprj[103] *2472:la_oenb_mprj[103] 0 +11 *2478:la_input[103] *1249:9 0 +12 *610:7 *2472:la_oenb_mprj[103] 0 +13 *865:9 *1249:9 0 +14 *866:10 *1249:10 0.00944613 +15 *868:18 *1249:10 0.00136247 +16 *888:10 *1249:10 0.000686944 +17 *1123:14 *1249:10 0 +18 *1124:10 *1249:10 0.0320173 +*RES +1 *2478:la_oenb[103] *1249:9 47.0634 +2 *1249:9 *1249:10 362.88 +3 *1249:10 *1249:12 4.5 +4 *1249:12 *2472:la_oenb_mprj[103] 46.3861 +*END + +*D_NET *1250 0.0810588 +*CONN +*I *2472:la_oenb_mprj[104] I *D mgmt_protect +*I *2478:la_oenb[104] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[104] 0.000979818 +2 *2478:la_oenb[104] 8.30061e-05 +3 *1250:10 0.00488317 +4 *1250:9 0.00390335 +5 *1250:7 0.00331629 +6 *1250:5 0.0033993 +7 *2472:la_oenb_mprj[104] *1359:13 0 +8 *1250:7 *2472:mprj_adr_o_core[25] 0 +9 *1250:7 *2472:mprj_dat_o_core[24] 0 +10 *1250:10 *1252:10 0.000161493 +11 *1250:10 *1662:8 8.46377e-05 +12 *1250:10 *1679:8 0 +13 *1250:10 *1728:16 9.91596e-05 +14 *2472:la_iena_mprj[104] *2472:la_oenb_mprj[104] 0 +15 *2478:la_input[104] *1250:7 0 +16 *611:7 *2472:la_oenb_mprj[104] 0 +17 *611:8 *1250:10 0.034322 +18 *611:13 *1250:7 0 +19 *723:8 *1250:10 5.65165e-05 +20 *866:9 *1250:7 0 +21 *1120:16 *1250:10 0.0288811 +22 *1122:16 *1250:10 0.000888955 +23 *1136:15 *1250:7 0 +*RES +1 *2478:la_oenb[104] *1250:5 2.05183 +2 *1250:5 *1250:7 63.9122 +3 *1250:7 *1250:9 4.5 +4 *1250:9 *1250:10 373.972 +5 *1250:10 *2472:la_oenb_mprj[104] 28.0472 +*END + +*D_NET *1251 0.0717417 +*CONN +*I *2472:la_oenb_mprj[105] I *D mgmt_protect +*I *2478:la_oenb[105] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[105] 0.00142382 +2 *2478:la_oenb[105] 0.00108786 +3 *1251:16 0.00372359 +4 *1251:15 0.00335596 +5 *1251:10 0.00443767 +6 *1251:9 0.00446935 +7 *2472:la_oenb_mprj[105] *1362:15 7.77309e-06 +8 *2472:la_oenb_mprj[105] *1363:15 0.000794212 +9 *1251:10 *1270:10 0.0226192 +10 *1251:10 *1365:10 0 +11 *1251:10 *1367:10 0 +12 *1251:10 *1720:10 0.000227563 +13 *1251:10 *1722:10 0.00535381 +14 *2472:la_iena_mprj[105] *2472:la_oenb_mprj[105] 0 +15 *2478:la_input[105] *1251:9 0 +16 *2478:la_input[93] *1251:15 0.000710928 +17 *608:14 *1251:10 0.00397947 +18 *612:5 *2472:la_oenb_mprj[105] 0 +19 *613:8 *1251:10 0.000639917 +20 *730:16 *1251:10 0.00331486 +21 *867:9 *1251:9 0 +22 *877:10 *1251:16 0.00271912 +23 *1121:16 *1251:16 0.0128766 +24 *1237:9 *1251:15 0 +*RES +1 *2478:la_oenb[105] *1251:9 29.3541 +2 *1251:9 *1251:10 249.186 +3 *1251:10 *1251:15 34.5014 +4 *1251:15 *1251:16 136.601 +5 *1251:16 *2472:la_oenb_mprj[105] 43.8268 +*END + +*D_NET *1252 0.0815715 +*CONN +*I *2472:la_oenb_mprj[106] I *D mgmt_protect +*I *2478:la_oenb[106] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[106] 0.00103056 +2 *2478:la_oenb[106] 8.30061e-05 +3 *1252:10 0.00534357 +4 *1252:9 0.00431301 +5 *1252:7 0.00277468 +6 *1252:5 0.00285769 +7 *1252:7 *2472:mprj_dat_o_core[30] 0.00155079 +8 *1252:7 *1672:5 0.0002888 +9 *1252:10 *1275:20 0.00065574 +10 *1252:10 *1608:30 0.000520301 +11 *1252:10 *1610:30 0.0142046 +12 *1252:10 *1668:8 4.03749e-05 +13 *1252:10 *1728:16 0.000109568 +14 *1252:10 *1732:10 0.00177894 +15 *2472:la_iena_mprj[106] *2472:la_oenb_mprj[106] 0 +16 *2478:la_input[106] *1252:7 0 +17 *611:8 *1252:10 0.0329268 +18 *613:5 *2472:la_oenb_mprj[106] 0 +19 *723:8 *1252:10 0.0082498 +20 *868:12 *1252:7 0 +21 *868:24 *1252:10 0.00468174 +22 *1250:10 *1252:10 0.000161493 +*RES +1 *2478:la_oenb[106] *1252:5 2.05183 +2 *1252:5 *1252:7 63.0817 +3 *1252:7 *1252:9 4.5 +4 *1252:9 *1252:10 397.265 +5 *1252:10 *2472:la_oenb_mprj[106] 28.8777 +*END + +*D_NET *1253 0.0651276 +*CONN +*I *2472:la_oenb_mprj[107] I *D mgmt_protect +*I *2478:la_oenb[107] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[107] 0.000114947 +2 *2478:la_oenb[107] 1.28869e-05 +3 *1253:10 0.0106824 +4 *1253:9 0.0105674 +5 *1253:7 0.00455174 +6 *1253:5 0.00456462 +7 *1253:7 *1259:15 0 +8 *1253:10 *1254:10 0.00206733 +9 *1253:10 *1261:10 0.0325663 +10 *1253:10 *1558:26 0 +11 *2472:la_iena_mprj[107] *2472:la_oenb_mprj[107] 0 +12 *614:5 *2472:la_oenb_mprj[107] 0 +13 *869:9 *1253:7 0 +*RES +1 *2478:la_oenb[107] *1253:5 0.366399 +2 *1253:5 *1253:7 84.2596 +3 *1253:7 *1253:9 4.5 +4 *1253:9 *1253:10 410.575 +5 *1253:10 *2472:la_oenb_mprj[107] 7.69988 +*END + +*D_NET *1254 0.0905893 +*CONN +*I *2472:la_oenb_mprj[108] I *D mgmt_protect +*I *2478:la_oenb[108] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[108] 0.000150968 +2 *2478:la_oenb[108] 8.30061e-05 +3 *1254:10 0.00438509 +4 *1254:9 0.00423412 +5 *1254:7 0.00431696 +6 *1254:5 0.00439997 +7 *1254:7 *1265:15 9.42966e-05 +8 *1254:7 *1578:8 0 +9 *1254:7 *1578:12 0 +10 *1254:7 *1662:13 2.30095e-05 +11 *1254:7 *1664:13 0.00043595 +12 *1254:7 *1728:15 1.02986e-05 +13 *1254:10 *1255:10 0.00180773 +14 *1254:10 *1261:10 0.0343697 +15 *1254:10 *1558:26 5.13878e-05 +16 *2472:la_iena_mprj[108] *2472:la_oenb_mprj[108] 0 +17 *2478:la_input[108] *1254:7 0 +18 *615:5 *2472:la_oenb_mprj[108] 0 +19 *622:8 *1254:10 0.0341595 +20 *1253:10 *1254:10 0.00206733 +*RES +1 *2478:la_oenb[108] *1254:5 2.05183 +2 *1254:5 *1254:7 83.4291 +3 *1254:7 *1254:9 4.5 +4 *1254:9 *1254:10 421.113 +5 *1254:10 *2472:la_oenb_mprj[108] 8.53039 +*END + +*D_NET *1255 0.0945363 +*CONN +*I *2472:la_oenb_mprj[109] I *D mgmt_protect +*I *2478:la_oenb[109] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[109] 0.000186989 +2 *2478:la_oenb[109] 8.30061e-05 +3 *1255:10 0.00432873 +4 *1255:9 0.00414174 +5 *1255:7 0.00442174 +6 *1255:5 0.00450474 +7 *1255:10 *1258:10 0.0386236 +8 *1255:10 *1558:26 0.000394687 +9 *2472:la_iena_mprj[109] *2472:la_oenb_mprj[109] 0 +10 *2478:la_input[109] *1255:7 0 +11 *617:5 *2472:la_oenb_mprj[109] 0 +12 *622:8 *1255:10 0.0360433 +13 *871:7 *1255:7 0 +14 *1254:10 *1255:10 0.00180773 +*RES +1 *2478:la_oenb[109] *1255:5 2.05183 +2 *1255:5 *1255:7 82.5985 +3 *1255:7 *1255:9 4.5 +4 *1255:9 *1255:10 432.205 +5 *1255:10 *2472:la_oenb_mprj[109] 9.36089 +*END + +*D_NET *1256 0.180422 +*CONN +*I *2472:la_oenb_mprj[10] I *D mgmt_protect +*I *2478:la_oenb[10] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[10] 0.000697907 +2 *2478:la_oenb[10] 8.29983e-05 +3 *1256:10 0.00621378 +4 *1256:9 0.00551587 +5 *1256:7 0.00290707 +6 *1256:5 0.00299007 +7 *1256:10 *1372:10 0.077612 +8 *2472:la_iena_mprj[10] *2472:la_oenb_mprj[10] 0 +9 *2478:la_input[10] *1256:7 0 +10 *627:7 *2472:la_oenb_mprj[10] 0 +11 *637:11 *1256:10 0.000386033 +12 *872:7 *1256:7 0 +13 *1128:10 *1256:10 0.0782409 +14 *1148:10 *1256:10 0 +15 *1166:10 *1256:10 0.00300887 +16 *1169:10 *1256:10 0.00276622 +*RES +1 *2478:la_oenb[10] *1256:5 2.05183 +2 *1256:5 *1256:7 69.9334 +3 *1256:7 *1256:9 3.36879 +4 *1256:9 *1256:10 99.4106 +5 *1256:10 *2472:la_oenb_mprj[10] 20.8949 +*END + +*D_NET *1257 0.0782615 +*CONN +*I *2472:la_oenb_mprj[110] I *D mgmt_protect +*I *2478:la_oenb[110] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[110] 0.00116593 +2 *2478:la_oenb[110] 0.00195765 +3 *1257:16 0.00539757 +4 *1257:15 0.00528528 +5 *1257:10 0.00365288 +6 *1257:9 0.00455689 +7 *1257:10 *1647:10 0.000615448 +8 *1257:10 *1659:8 0.001569 +9 *1257:15 *2472:mprj_adr_o_core[29] 6.9233e-05 +10 *1257:15 *2472:mprj_dat_o_core[28] 0.000378618 +11 *1257:16 *1259:16 0.00388298 +12 *1257:16 *1269:16 0.0239947 +13 *1257:16 *1271:16 0.0223829 +14 *1257:16 *2183:19 0.000419943 +15 *2472:la_iena_mprj[110] *2472:la_oenb_mprj[110] 0 +16 *2478:la_input[110] *1257:9 0 +17 *618:5 *2472:la_oenb_mprj[110] 0 +18 *722:8 *1257:16 0.000820031 +19 *864:16 *1257:16 0.000276368 +20 *868:12 *1257:10 7.32024e-06 +21 *868:14 *1257:10 0.000269426 +22 *868:24 *1257:16 0.000953041 +23 *1124:9 *1257:15 8.01597e-05 +24 *1129:10 *1257:10 0.000404207 +25 *1136:10 *1257:10 0 +26 *1143:15 *1257:15 0.000121929 +*RES +1 *2478:la_oenb[110] *1257:9 44.7184 +2 *1257:9 *1257:10 92.7876 +3 *1257:10 *1257:15 31.5946 +4 *1257:15 *1257:16 351.787 +5 *1257:16 *2472:la_oenb_mprj[110] 31.3693 +*END + +*D_NET *1258 0.0994046 +*CONN +*I *2472:la_oenb_mprj[111] I *D mgmt_protect +*I *2478:la_oenb[111] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[111] 0.000208415 +2 *2478:la_oenb[111] 8.30061e-05 +3 *1258:10 0.00428248 +4 *1258:9 0.00407407 +5 *1258:7 0.00434987 +6 *1258:5 0.00443287 +7 *1258:10 *1260:10 0.0408992 +8 *1258:10 *1558:26 0.000695785 +9 *2472:la_iena_mprj[111] *2472:la_oenb_mprj[111] 0 +10 *2478:la_input[111] *1258:7 0 +11 *619:5 *2472:la_oenb_mprj[111] 0 +12 *622:8 *1258:10 0.00175528 +13 *874:7 *1258:7 0 +14 *1255:10 *1258:10 0.0386236 +*RES +1 *2478:la_oenb[111] *1258:5 2.05183 +2 *1258:5 *1258:7 82.1833 +3 *1258:7 *1258:9 4.5 +4 *1258:9 *1258:10 455.498 +5 *1258:10 *2472:la_oenb_mprj[111] 9.77615 +*END + +*D_NET *1259 0.0992182 +*CONN +*I *2472:la_oenb_mprj[112] I *D mgmt_protect +*I *2478:la_oenb[112] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[112] 0.00120879 +2 *2478:la_oenb[112] 0.00209594 +3 *1259:16 0.0046326 +4 *1259:15 0.00451602 +5 *1259:10 0.00182681 +6 *1259:9 0.00283054 +7 *1259:10 *1263:10 0.00873109 +8 *1259:16 *1263:16 0.0307189 +9 *1259:16 *1271:16 0.0250569 +10 *1259:16 *1713:10 0.000600965 +11 *2472:la_iena_mprj[112] *2472:la_oenb_mprj[112] 0 +12 *2478:la_input[112] *1259:9 0 +13 *620:5 *2472:la_oenb_mprj[112] 0 +14 *864:16 *1259:16 0.00140664 +15 *869:10 *1259:10 0.00079792 +16 *875:7 *1259:9 0 +17 *888:10 *1259:10 0.000789243 +18 *1127:10 *1259:10 0.000183223 +19 *1143:10 *1259:10 0.00993967 +20 *1253:7 *1259:15 0 +21 *1257:16 *1259:16 0.00388298 +*RES +1 *2478:la_oenb[112] *1259:9 48.4557 +2 *1259:9 *1259:10 104.989 +3 *1259:10 *1259:15 27.0268 +4 *1259:15 *1259:16 362.88 +5 *1259:16 *2472:la_oenb_mprj[112] 32.1998 +*END + +*D_NET *1260 0.104421 +*CONN +*I *2472:la_oenb_mprj[113] I *D mgmt_protect +*I *2478:la_oenb[113] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[113] 0.000229852 +2 *2478:la_oenb[113] 8.30061e-05 +3 *1260:10 0.00449599 +4 *1260:9 0.00426614 +5 *1260:7 0.00428225 +6 *1260:5 0.00436525 +7 *1260:7 *1274:15 0 +8 *1260:10 *1558:26 0.0447738 +9 *2472:la_iena_mprj[113] *2472:la_oenb_mprj[113] 0 +10 *2478:la_input[113] *1260:7 0 +11 *621:7 *2472:la_oenb_mprj[113] 0 +12 *622:8 *1260:10 0.00102524 +13 *876:7 *1260:7 0 +14 *1258:10 *1260:10 0.0408992 +*RES +1 *2478:la_oenb[113] *1260:5 2.05183 +2 *1260:5 *1260:7 81.768 +3 *1260:7 *1260:9 4.5 +4 *1260:9 *1260:10 478.792 +5 *1260:10 *2472:la_oenb_mprj[113] 10.1914 +*END + +*D_NET *1261 0.0941256 +*CONN +*I *2472:la_oenb_mprj[114] I *D mgmt_protect +*I *2478:la_oenb[114] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[114] 0.000136373 +2 *2478:la_oenb[114] 8.30061e-05 +3 *1261:10 0.00655455 +4 *1261:9 0.00641818 +5 *1261:7 0.00433192 +6 *1261:5 0.00441492 +7 *2472:la_iena_mprj[114] *2472:la_oenb_mprj[114] 0 +8 *2478:la_input[114] *1261:7 0 +9 *622:7 *2472:la_oenb_mprj[114] 0 +10 *622:8 *1261:10 0.00525072 +11 *877:7 *1261:7 0 +12 *1253:10 *1261:10 0.0325663 +13 *1254:10 *1261:10 0.0343697 +*RES +1 *2478:la_oenb[114] *1261:5 2.05183 +2 *1261:5 *1261:7 83.8443 +3 *1261:7 *1261:9 4.5 +4 *1261:9 *1261:10 490.993 +5 *1261:10 *2472:la_oenb_mprj[114] 8.11514 +*END + +*D_NET *1262 0.106188 +*CONN +*I *2472:la_oenb_mprj[115] I *D mgmt_protect +*I *2478:la_oenb[115] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[115] 6.22868e-05 +2 *2478:la_oenb[115] 0.000838404 +3 *1262:13 0.00304653 +4 *1262:12 0.00298424 +5 *1262:10 0.00435272 +6 *1262:9 0.00519112 +7 *1262:10 *1264:10 0.0010731 +8 *1262:10 *1656:8 0 +9 *2472:la_data_out_mprj[116] *1262:13 0 +10 *2472:la_iena_mprj[115] *1262:13 0 +11 *620:8 *1262:10 0.0431094 +12 *621:10 *1262:10 0.0448934 +13 *622:11 *1262:9 0 +14 *623:7 *1262:13 0.000478162 +15 *878:7 *1262:9 0 +16 *978:9 *1262:13 0.000159106 +17 *1235:9 *1262:13 0 +*RES +1 *2478:la_oenb[115] *1262:9 24.7863 +2 *1262:9 *1262:10 500.976 +3 *1262:10 *1262:12 4.5 +4 *1262:12 *1262:13 69.3105 +5 *1262:13 *2472:la_oenb_mprj[115] 1.77093 +*END + +*D_NET *1263 0.103841 +*CONN +*I *2472:la_oenb_mprj[116] I *D mgmt_protect +*I *2478:la_oenb[116] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[116] 0.00125075 +2 *2478:la_oenb[116] 0.0020216 +3 *1263:16 0.00412678 +4 *1263:15 0.00390493 +5 *1263:10 0.00279405 +6 *1263:9 0.00378676 +7 *1263:10 *1265:10 0.00656234 +8 *1263:16 *1268:16 0.000223975 +9 *1263:16 *1271:16 0.000540322 +10 *1263:16 *1274:16 0.0238699 +11 *1263:16 *1713:10 0.000858442 +12 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[116] 0 +13 *2472:la_iena_mprj[116] *2472:la_oenb_mprj[116] 0 +14 *624:7 *2472:la_oenb_mprj[116] 0 +15 *723:11 *2472:la_oenb_mprj[116] 0 +16 *864:16 *1263:16 0.00755784 +17 *879:7 *1263:9 0 +18 *888:10 *1263:10 0.00134678 +19 *1126:9 *1263:15 4.15661e-05 +20 *1127:10 *1263:10 0.00198068 +21 *1143:10 *1263:10 0.00352416 +22 *1259:10 *1263:10 0.00873109 +23 *1259:16 *1263:16 0.0307189 +*RES +1 *2478:la_oenb[116] *1263:9 47.1855 +2 *1263:9 *1263:10 175.424 +3 *1263:10 *1263:15 26.1963 +4 *1263:15 *1263:16 341.25 +5 *1263:16 *2472:la_oenb_mprj[116] 32.615 +*END + +*D_NET *1264 0.108649 +*CONN +*I *2472:la_oenb_mprj[117] I *D mgmt_protect +*I *2478:la_oenb[117] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[117] 0.0032002 +2 *2478:la_oenb[117] 0.000802382 +3 *1264:12 0.0032002 +4 *1264:10 0.00477825 +5 *1264:9 0.00558063 +6 *2472:la_oenb_mprj[117] *1363:9 7.06274e-05 +7 *1264:10 *1552:24 0.00108877 +8 *1264:10 *1656:8 0 +9 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[117] 0 +10 *2472:la_data_out_mprj[118] *2472:la_oenb_mprj[117] 0 +11 *2472:la_iena_mprj[117] *2472:la_oenb_mprj[117] 0 +12 *621:10 *1264:10 0.043428 +13 *624:11 *1264:9 0 +14 *625:7 *2472:la_oenb_mprj[117] 0 +15 *631:8 *1264:10 0.0454268 +16 *880:7 *1264:9 0 +17 *979:9 *2472:la_oenb_mprj[117] 0 +18 *1262:10 *1264:10 0.0010731 +*RES +1 *2478:la_oenb[117] *1264:9 23.9558 +2 *1264:9 *1264:10 525.933 +3 *1264:10 *1264:12 4.5 +4 *1264:12 *2472:la_oenb_mprj[117] 70.0555 +*END + +*D_NET *1265 0.101644 +*CONN +*I *2472:la_oenb_mprj[118] I *D mgmt_protect +*I *2478:la_oenb[118] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[118] 0.00131502 +2 *2478:la_oenb[118] 0.00211624 +3 *1265:16 0.00422045 +4 *1265:15 0.00360333 +5 *1265:10 0.003083 +6 *1265:9 0.00450134 +7 *1265:15 *1597:29 0.00055459 +8 *1265:15 *1664:13 4.70005e-05 +9 *1265:16 *1268:16 0.0296128 +10 *1265:16 *1602:30 0.000134848 +11 *1265:16 *1604:30 0.00409855 +12 *1265:16 *1713:10 0.000163504 +13 *2472:la_data_out_mprj[119] *2472:la_oenb_mprj[118] 0 +14 *2472:la_iena_mprj[118] *2472:la_oenb_mprj[118] 0 +15 *625:11 *1265:9 0 +16 *626:7 *2472:la_oenb_mprj[118] 0 +17 *864:16 *1265:16 0.000713488 +18 *870:15 *1265:15 2.05972e-05 +19 *871:10 *1265:10 0.00251177 +20 *881:7 *1265:9 0 +21 *888:10 *1265:10 0.00150607 +22 *1127:10 *1265:10 0.00108851 +23 *1132:10 *1265:10 0.00401589 +24 *1136:16 *1265:16 0.0242458 +25 *1143:10 *1265:10 0.000659929 +26 *1143:16 *1265:16 0.00102025 +27 *1147:10 *1265:10 0.00575396 +28 *1254:7 *1265:15 9.42966e-05 +29 *1263:10 *1265:10 0.00656234 +*RES +1 *2478:la_oenb[118] *1265:9 49.7014 +2 *1265:9 *1265:10 204.263 +3 *1265:10 *1265:15 24.1201 +4 *1265:15 *1265:16 333.486 +5 *1265:16 *2472:la_oenb_mprj[118] 33.8608 +*END + +*D_NET *1266 0.116834 +*CONN +*I *2472:la_oenb_mprj[119] I *D mgmt_protect +*I *2478:la_oenb[119] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[119] 0.000415044 +2 *2478:la_oenb[119] 8.30061e-05 +3 *1266:10 0.00504163 +4 *1266:9 0.00462659 +5 *1266:7 0.00389262 +6 *1266:5 0.00397562 +7 *1266:7 *1610:29 0.000173308 +8 *2472:la_iena_mprj[119] *2472:la_oenb_mprj[119] 0 +9 *471:27 *1266:10 0.0011524 +10 *623:8 *1266:10 0.0453169 +11 *624:8 *1266:10 0.000885943 +12 *626:8 *1266:10 0.0511501 +13 *626:11 *1266:7 0 +14 *628:7 *2472:la_oenb_mprj[119] 0 +15 *629:8 *1266:10 0.000120974 +16 *882:7 *1266:7 0 +*RES +1 *2478:la_oenb[119] *1266:5 2.05183 +2 *1266:5 *1266:7 78.446 +3 *1266:7 *1266:9 4.5 +4 *1266:9 *1266:10 549.226 +5 *1266:10 *2472:la_oenb_mprj[119] 13.5134 +*END + +*D_NET *1267 0.152014 +*CONN +*I *2472:la_oenb_mprj[11] I *D mgmt_protect +*I *2478:la_oenb[11] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[11] 0.00149704 +2 *2478:la_oenb[11] 0.00144571 +3 *1267:18 0.00234975 +4 *1267:15 0.00162148 +5 *1267:10 0.00553313 +6 *1267:9 0.00621007 +7 *1267:10 *1339:10 0.000159297 +8 *1267:18 *1308:16 0.000241948 +9 *2472:la_iena_mprj[11] *2472:la_oenb_mprj[11] 0 +10 *2472:la_iena_mprj[6] *1267:15 0.000965027 +11 *2478:la_input[11] *1267:9 0 +12 *2478:la_input[44] *1267:15 1.98891e-05 +13 *616:14 *1267:10 0 +14 *636:5 *2472:la_oenb_mprj[11] 0 +15 *652:8 *1267:18 0.00185279 +16 *677:14 *1267:10 0.013081 +17 *883:7 *1267:9 0 +18 *924:16 *1267:18 0.000466074 +19 *966:16 *1267:18 0.000245416 +20 *1139:10 *1267:10 0.0629386 +21 *1139:18 *1267:15 0.00103186 +22 *1155:10 *1267:10 0.0485505 +23 *1189:10 *1267:10 0.00380399 +*RES +1 *2478:la_oenb[11] *1267:9 39.3201 +2 *1267:9 *1267:10 664.584 +3 *1267:10 *1267:15 31.5946 +4 *1267:15 *1267:18 49.5917 +5 *1267:18 *2472:la_oenb_mprj[11] 32.2675 +*END + +*D_NET *1268 0.123602 +*CONN +*I *2472:la_oenb_mprj[120] I *D mgmt_protect +*I *2478:la_oenb[120] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[120] 0.0013199 +2 *2478:la_oenb[120] 0.00218174 +3 *1268:16 0.00426795 +4 *1268:15 0.00379539 +5 *1268:10 0.00226017 +6 *1268:9 0.00141283 +7 *1268:7 0.00218174 +8 *1268:10 *1269:10 0.0183193 +9 *1268:16 *1274:16 0.0278522 +10 *1268:16 *1604:30 0.00136434 +11 *1268:16 *1713:10 0.000991958 +12 *2472:la_data_out_mprj[120] *2472:la_oenb_mprj[120] 0 +13 *2472:la_data_out_mprj[121] *2472:la_oenb_mprj[120] 0 +14 *2472:la_iena_mprj[120] *2472:la_oenb_mprj[120] 0 +15 *628:11 *1268:7 0 +16 *629:7 *2472:la_oenb_mprj[120] 0 +17 *864:16 *1268:16 0.00433832 +18 *891:10 *1268:10 0.0015988 +19 *1130:12 *1268:10 1.67988e-05 +20 *1130:14 *1268:10 0.00175162 +21 *1147:10 *1268:10 0.0201121 +22 *1263:16 *1268:16 0.000223975 +23 *1265:16 *1268:16 0.0296128 +*RES +1 *2478:la_oenb[120] *1268:7 46.4472 +2 *1268:7 *1268:9 4.5 +3 *1268:9 *1268:10 212.027 +4 *1268:10 *1268:15 23.2896 +5 *1268:15 *1268:16 349.014 +6 *1268:16 *2472:la_oenb_mprj[120] 33.4455 +*END + +*D_NET *1269 0.113277 +*CONN +*I *2472:la_oenb_mprj[121] I *D mgmt_protect +*I *2478:la_oenb[121] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[121] 0.00121283 +2 *2478:la_oenb[121] 0.00217052 +3 *1269:16 0.00459982 +4 *1269:15 0.00433242 +5 *1269:10 0.00309663 +6 *1269:9 0.0021512 +7 *1269:7 0.00217052 +8 *1269:10 *1597:16 0.00175459 +9 *1269:10 *1597:24 0.000156918 +10 *1269:16 *1271:16 0.00432251 +11 *1269:16 *1273:16 0.0304351 +12 *1269:16 *2185:10 0.00152795 +13 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[121] 0 +14 *2472:la_iena_mprj[121] *2472:la_oenb_mprj[121] 0 +15 *629:11 *1269:7 0 +16 *630:7 *2472:la_oenb_mprj[121] 0 +17 *868:24 *1269:16 0.000810095 +18 *874:10 *1269:10 0.000636915 +19 *878:10 *1269:10 0.00718301 +20 *885:7 *1269:7 0 +21 *891:10 *1269:10 0.00150354 +22 *1130:7 *1269:15 2.43314e-05 +23 *1130:12 *1269:10 6.08467e-05 +24 *1132:15 *1269:15 7.26959e-06 +25 *1137:10 *1269:10 0.00191074 +26 *1147:10 *1269:10 0.000895002 +27 *1257:16 *1269:16 0.0239947 +28 *1268:10 *1269:10 0.0183193 +*RES +1 *2478:la_oenb[121] *1269:7 46.3006 +2 *1269:7 *1269:9 4.5 +3 *1269:9 *1269:10 214.246 +4 *1269:10 *1269:15 25.3658 +5 *1269:15 *1269:16 358.997 +6 *1269:16 *2472:la_oenb_mprj[121] 30.954 +*END + +*D_NET *1270 0.10888 +*CONN +*I *2472:la_oenb_mprj[122] I *D mgmt_protect +*I *2478:la_oenb[122] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[122] 0.00296622 +2 *2478:la_oenb[122] 0.00102729 +3 *1270:12 0.00296622 +4 *1270:10 0.00544893 +5 *1270:9 0.00647621 +6 *2472:la_oenb_mprj[122] *1365:9 0 +7 *1270:10 *1367:10 0 +8 *1270:10 *1722:10 0.01456 +9 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[122] 0 +10 *2472:la_iena_mprj[122] *2472:la_oenb_mprj[122] 0 +11 *630:11 *1270:9 0 +12 *631:5 *2472:la_oenb_mprj[122] 0 +13 *634:8 *1270:10 0.0526084 +14 *886:7 *1270:9 0 +15 *981:9 *2472:la_oenb_mprj[122] 0.000207371 +16 *1251:10 *1270:10 0.0226192 +*RES +1 *2478:la_oenb[122] *1270:9 29.7693 +2 *1270:9 *1270:10 584.721 +3 *1270:10 *1270:12 4.5 +4 *1270:12 *2472:la_oenb_mprj[122] 64.242 +*END + +*D_NET *1271 0.106697 +*CONN +*I *2472:la_oenb_mprj[123] I *D mgmt_protect +*I *2478:la_oenb[123] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[123] 0.00126935 +2 *2478:la_oenb[123] 8.30061e-05 +3 *1271:16 0.00528074 +4 *1271:15 0.00428854 +5 *1271:10 0.00470481 +6 *1271:9 0.00442766 +7 *1271:7 0.00266245 +8 *1271:5 0.00274545 +9 *1271:10 *1273:10 0.0197088 +10 *1271:10 *1582:16 0.00227613 +11 *1271:10 *1598:24 0.00118392 +12 *1271:10 *1602:30 0 +13 *1271:10 *1604:30 0 +14 *1271:16 *1273:16 0.000750597 +15 *1271:16 *1274:16 0.00224907 +16 *1271:16 *2183:19 0.00203988 +17 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[123] 0 +18 *2472:la_iena_mprj[123] *2472:la_oenb_mprj[123] 0 +19 *2478:la_input[123] *1271:7 0 +20 *632:7 *2472:la_oenb_mprj[123] 0 +21 *876:10 *1271:10 0.000724449 +22 *887:7 *1271:7 0 +23 *1257:16 *1271:16 0.0223829 +24 *1259:16 *1271:16 0.0250569 +25 *1263:16 *1271:16 0.000540322 +26 *1269:16 *1271:16 0.00432251 +*RES +1 *2478:la_oenb[123] *1271:5 2.05183 +2 *1271:5 *1271:7 54.3614 +3 *1271:7 *1271:9 4.5 +4 *1271:9 *1271:10 224.229 +5 *1271:10 *1271:15 14.9845 +6 *1271:15 *1271:16 371.753 +7 *1271:16 *2472:la_oenb_mprj[123] 31.7845 +*END + +*D_NET *1272 0.130655 +*CONN +*I *2472:la_oenb_mprj[124] I *D mgmt_protect +*I *2478:la_oenb[124] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[124] 0.00314158 +2 *2478:la_oenb[124] 0.00075951 +3 *1272:12 0.00314158 +4 *1272:10 0.00473918 +5 *1272:9 0.00549869 +6 *2472:la_oenb_mprj[124] *1366:9 0.000913233 +7 *1272:10 *1552:24 0.0574379 +8 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[124] 0 +9 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[124] 0 +10 *2472:la_iena_mprj[124] *2472:la_oenb_mprj[124] 0 +11 *631:8 *1272:10 0.055023 +12 *632:11 *1272:9 0 +13 *633:7 *2472:la_oenb_mprj[124] 0 +14 *982:9 *2472:la_oenb_mprj[124] 0 +*RES +1 *2478:la_oenb[124] *1272:9 23.1253 +2 *1272:9 *1272:10 608.014 +3 *1272:10 *1272:12 4.5 +4 *1272:12 *2472:la_oenb_mprj[124] 70.886 +*END + +*D_NET *1273 0.12135 +*CONN +*I *2472:la_oenb_mprj[125] I *D mgmt_protect +*I *2478:la_oenb[125] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[125] 0.00123135 +2 *2478:la_oenb[125] 8.30061e-05 +3 *1273:16 0.00462093 +4 *1273:15 0.00368272 +5 *1273:10 0.00310312 +6 *1273:9 0.00280998 +7 *1273:7 0.0026169 +8 *1273:5 0.00269991 +9 *1273:10 *1274:10 0.0101333 +10 *1273:10 *1583:24 0.00225187 +11 *1273:15 *1274:15 0.000281696 +12 *1273:16 *1274:16 0 +13 *1273:16 *1275:20 0.033282 +14 *1273:16 *2185:10 0.00200575 +15 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[125] 0 +16 *2472:la_iena_mprj[125] *2472:la_oenb_mprj[125] 0 +17 *633:11 *1273:7 0 +18 *634:5 *2472:la_oenb_mprj[125] 0 +19 *868:24 *1273:16 0.000698398 +20 *876:10 *1273:10 0.000954996 +21 *889:9 *1273:7 0 +22 *1269:16 *1273:16 0.0304351 +23 *1271:10 *1273:10 0.0197088 +24 *1271:16 *1273:16 0.000750597 +*RES +1 *2478:la_oenb[125] *1273:5 2.05183 +2 *1273:5 *1273:7 53.9461 +3 *1273:7 *1273:9 4.5 +4 *1273:9 *1273:10 248.077 +5 *1273:10 *1273:15 16.6455 +6 *1273:15 *1273:16 371.199 +7 *1273:16 *2472:la_oenb_mprj[125] 30.5388 +*END + +*D_NET *1274 0.113418 +*CONN +*I *2472:la_oenb_mprj[126] I *D mgmt_protect +*I *2478:la_oenb[126] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[126] 0.0013668 +2 *2478:la_oenb[126] 5.96331e-05 +3 *1274:16 0.00551037 +4 *1274:15 0.0043685 +5 *1274:10 0.00315154 +6 *1274:9 0.00292659 +7 *1274:7 0.00255817 +8 *1274:5 0.0026178 +9 *1274:7 *1662:19 3.69268e-05 +10 *1274:10 *1275:10 0.0112408 +11 *1274:10 *1275:14 0.00490273 +12 *1274:10 *1584:24 0.0022229 +13 *1274:16 *1604:30 0.00165041 +14 *1274:16 *1713:10 0.00218951 +15 *2472:la_data_out_mprj[126] *2472:la_oenb_mprj[126] 0 +16 *2472:la_data_out_mprj[127] *2472:la_oenb_mprj[126] 0 +17 *2472:la_iena_mprj[126] *2472:la_oenb_mprj[126] 0 +18 *2478:la_input[126] *1274:7 0 +19 *635:7 *2472:la_oenb_mprj[126] 0 +20 *876:10 *1274:10 0.000904135 +21 *890:7 *1274:7 0 +22 *1134:10 *1274:10 0.0033255 +23 *1260:7 *1274:15 0 +24 *1263:16 *1274:16 0.0238699 +25 *1268:16 *1274:16 0.0278522 +26 *1271:16 *1274:16 0.00224907 +27 *1273:10 *1274:10 0.0101333 +28 *1273:15 *1274:15 0.000281696 +29 *1273:16 *1274:16 0 +*RES +1 *2478:la_oenb[126] *1274:5 1.49002 +2 *1274:5 *1274:7 53.1156 +3 *1274:7 *1274:9 4.5 +4 *1274:9 *1274:10 268.597 +5 *1274:10 *1274:15 14.9845 +6 *1274:15 *1274:16 363.434 +7 *1274:16 *2472:la_oenb_mprj[126] 33.0303 +*END + +*D_NET *1275 0.124566 +*CONN +*I *2472:la_oenb_mprj[127] I *D mgmt_protect +*I *2478:la_oenb[127] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[127] 0.00122459 +2 *2478:la_oenb[127] 8.30061e-05 +3 *1275:20 0.00453583 +4 *1275:19 0.00378554 +5 *1275:14 0.00176282 +6 *1275:12 0.00131155 +7 *1275:10 0.00201319 +8 *1275:9 0.00199016 +9 *1275:7 0.00253372 +10 *1275:5 0.00261673 +11 *2472:la_oenb_mprj[127] *2472:mprj_cyc_o_core 0 +12 *2472:la_oenb_mprj[127] *1579:7 0 +13 *1275:10 *1584:24 0.00131527 +14 *1275:10 *1608:24 0.00246032 +15 *1275:10 *1660:14 0.00160185 +16 *1275:14 *1585:24 0.001295 +17 *1275:14 *1608:24 0.00142453 +18 *1275:20 *1604:30 0 +19 *1275:20 *1608:30 0.0299719 +20 *1275:20 *1735:24 0.00228409 +21 *2472:la_iena_mprj[127] *2472:la_oenb_mprj[127] 0 +22 *635:11 *1275:7 0 +23 *868:24 *1275:20 0.00207401 +24 *880:10 *1275:14 0.00717656 +25 *882:12 *1275:14 9.82896e-06 +26 *882:14 *1275:14 0.00110066 +27 *891:9 *1275:7 0 +28 *1133:7 *1275:19 7.09666e-06 +29 *1134:10 *1275:14 0.00190673 +30 *1252:10 *1275:20 0.00065574 +31 *1273:16 *1275:20 0.033282 +32 *1274:10 *1275:10 0.0112408 +33 *1274:10 *1275:14 0.00490273 +*RES +1 *2478:la_oenb[127] *1275:5 2.05183 +2 *1275:5 *1275:7 52.7004 +3 *1275:7 *1275:9 4.5 +4 *1275:9 *1275:10 137.988 +5 *1275:10 *1275:12 0.578717 +6 *1275:12 *1275:14 135.215 +7 *1275:14 *1275:19 18.7218 +8 *1275:19 *1275:20 369.535 +9 *1275:20 *2472:la_oenb_mprj[127] 30.1235 +*END + +*D_NET *1276 0.171999 +*CONN +*I *2472:la_oenb_mprj[12] I *D mgmt_protect +*I *2478:la_oenb[12] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[12] 0.00117527 +2 *2478:la_oenb[12] 8.29983e-05 +3 *1276:10 0.00720238 +4 *1276:9 0.00602711 +5 *1276:7 0.00251025 +6 *1276:5 0.00259325 +7 *1276:10 *1278:10 0.0714035 +8 *2472:la_data_out_mprj[13] *2472:la_oenb_mprj[12] 0 +9 *2472:la_iena_mprj[12] *2472:la_oenb_mprj[12] 0 +10 *637:11 *2472:la_oenb_mprj[12] 0 +11 *892:7 *1276:7 0 +12 *893:10 *1276:10 0 +13 *1148:10 *1276:10 0.075601 +14 *1150:10 *1276:10 0.000455287 +15 *1171:10 *1276:10 0.00247182 +16 *1172:10 *1276:10 0.00247599 +17 *1186:7 *2472:la_oenb_mprj[12] 0 +*RES +1 *2478:la_oenb[12] *1276:5 2.05183 +2 *1276:5 *1276:7 60.7978 +3 *1276:7 *1276:9 3.36879 +4 *1276:9 *1276:10 96.198 +5 *1276:10 *2472:la_oenb_mprj[12] 30.0304 +*END + +*D_NET *1277 0.175988 +*CONN +*I *2472:la_oenb_mprj[13] I *D mgmt_protect +*I *2478:la_oenb[13] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[13] 0.00242463 +2 *2478:la_oenb[13] 0.00164311 +3 *1277:12 0.00242463 +4 *1277:10 0.00787909 +5 *1277:9 0.0095222 +6 *2472:la_oenb_mprj[13] *1314:7 0 +7 *1277:10 *1279:10 0 +8 *2472:la_iena_mprj[13] *2472:la_oenb_mprj[13] 0 +9 *636:22 *1277:10 0.00209983 +10 *637:21 *1277:9 0 +11 *638:5 *2472:la_oenb_mprj[13] 0 +12 *674:11 *2472:la_oenb_mprj[13] 0.000192538 +13 *893:7 *1277:9 0 +14 *1149:10 *1277:10 0.0740803 +15 *1151:10 *1277:10 0.0710203 +16 *1165:10 *1277:10 0.00244939 +17 *1168:10 *1277:10 0.00225185 +*RES +1 *2478:la_oenb[13] *1277:9 42.1338 +2 *1277:9 *1277:10 94.6682 +3 *1277:10 *1277:12 3.36879 +4 *1277:12 *2472:la_oenb_mprj[13] 50.7463 +*END + +*D_NET *1278 0.168097 +*CONN +*I *2472:la_oenb_mprj[14] I *D mgmt_protect +*I *2478:la_oenb[14] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[14] 0.00122397 +2 *2478:la_oenb[14] 8.29983e-05 +3 *1278:10 0.00724158 +4 *1278:9 0.00601761 +5 *1278:7 0.00254276 +6 *1278:5 0.00262576 +7 *2472:la_iena_mprj[14] *2472:la_oenb_mprj[14] 0 +8 *638:23 *1278:7 0 +9 *639:7 *2472:la_oenb_mprj[14] 0 +10 *894:7 *1278:7 0 +11 *916:10 *1278:10 0.00192462 +12 *1150:10 *1278:10 0.072982 +13 *1152:10 *1278:10 0.000102747 +14 *1174:10 *1278:10 0.00157137 +15 *1186:12 *1278:10 0.000377635 +16 *1276:10 *1278:10 0.0714035 +*RES +1 *2478:la_oenb[14] *1278:5 2.05183 +2 *1278:5 *1278:7 59.9673 +3 *1278:7 *1278:9 3.36879 +4 *1278:9 *1278:10 92.9855 +5 *1278:10 *2472:la_oenb_mprj[14] 30.8609 +*END + +*D_NET *1279 0.160237 +*CONN +*I *2472:la_oenb_mprj[15] I *D mgmt_protect +*I *2478:la_oenb[15] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[15] 0.00274023 +2 *2478:la_oenb[15] 0.00118107 +3 *1279:12 0.00274023 +4 *1279:10 0.00965965 +5 *1279:9 0.0108407 +6 *1279:10 *1295:10 5.53737e-05 +7 *1279:10 *1298:10 0.00195498 +8 *1279:10 *1311:10 0.000107179 +9 *1279:10 *1312:10 0.000102215 +10 *1279:10 *1317:10 0.00034158 +11 *1279:10 *1339:10 0 +12 *2472:la_iena_mprj[15] *2472:la_oenb_mprj[15] 0 +13 *639:11 *1279:9 0 +14 *640:7 *2472:la_oenb_mprj[15] 0 +15 *675:11 *2472:la_oenb_mprj[15] 0.000103038 +16 *895:7 *1279:9 0 +17 *917:15 *2472:la_oenb_mprj[15] 0.000196083 +18 *955:10 *1279:10 0.000119292 +19 *1149:10 *1279:10 0 +20 *1153:10 *1279:10 0.0685126 +21 *1167:10 *1279:10 0.000686467 +22 *1170:10 *1279:10 0.00239425 +23 *1179:10 *1279:10 0.000319366 +24 *1183:10 *1279:10 0.000346617 +25 *1187:9 *2472:la_oenb_mprj[15] 0 +26 *1233:10 *1279:10 0 +27 *1245:10 *1279:10 0.057836 +28 *1277:10 *1279:10 0 +*RES +1 *2478:la_oenb[15] *1279:9 33.8288 +2 *1279:9 *1279:10 91.4557 +3 *1279:10 *1279:12 3.36879 +4 *1279:12 *2472:la_oenb_mprj[15] 59.0513 +*END + +*D_NET *1280 0.142416 +*CONN +*I *2472:la_oenb_mprj[16] I *D mgmt_protect +*I *2478:la_oenb[16] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[16] 0.000925786 +2 *2478:la_oenb[16] 8.29983e-05 +3 *1280:10 0.00588045 +4 *1280:9 0.00495466 +5 *1280:7 0.00289204 +6 *1280:5 0.00297504 +7 *1280:10 *1282:10 0.057949 +8 *1280:10 *1306:10 0.00635629 +9 *2472:la_iena_mprj[16] *2472:la_oenb_mprj[16] 0 +10 *640:11 *1280:7 0 +11 *641:7 *2472:la_oenb_mprj[16] 0 +12 *896:7 *1280:7 0 +13 *927:10 *1280:10 0.00724722 +14 *944:10 *1280:10 0.0526241 +15 *977:18 *1280:10 0.000436805 +16 *1200:16 *1280:10 9.16621e-05 +*RES +1 *2478:la_oenb[16] *1280:5 2.05183 +2 *1280:5 *1280:7 65.158 +3 *1280:7 *1280:9 4.5 +4 *1280:9 *1280:10 650.719 +5 *1280:10 *2472:la_oenb_mprj[16] 26.8015 +*END + +*D_NET *1281 0.158346 +*CONN +*I *2472:la_oenb_mprj[17] I *D mgmt_protect +*I *2478:la_oenb[17] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[17] 6.22868e-05 +2 *2478:la_oenb[17] 0.00111521 +3 *1281:13 0.00203074 +4 *1281:12 0.00196845 +5 *1281:10 0.00655577 +6 *1281:9 0.00767098 +7 *1281:10 *1283:10 0.000263298 +8 *2472:la_iena_mprj[17] *1281:13 0.00318626 +9 *641:11 *1281:9 0 +10 *642:7 *1281:13 0 +11 *897:7 *1281:9 0 +12 *1153:10 *1281:10 0.0689519 +13 *1157:10 *1281:10 0.0635202 +14 *1175:10 *1281:10 0.00146262 +15 *1177:10 *1281:10 0.00114986 +16 *1188:7 *1281:13 0.000408326 +*RES +1 *2478:la_oenb[17] *1281:9 32.1677 +2 *1281:9 *1281:10 87.9371 +3 *1281:10 *1281:12 3.36879 +4 *1281:12 *1281:13 60.7978 +5 *1281:13 *2472:la_oenb_mprj[17] 1.77093 +*END + +*D_NET *1282 0.136999 +*CONN +*I *2472:la_oenb_mprj[18] I *D mgmt_protect +*I *2478:la_oenb[18] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[18] 0.000902653 +2 *2478:la_oenb[18] 8.29983e-05 +3 *1282:10 0.0058177 +4 *1282:9 0.00491505 +5 *1282:7 0.00298352 +6 *1282:5 0.00306652 +7 *2472:la_oenb_mprj[18] *1316:7 3.93117e-06 +8 *1282:10 *1306:10 0.00518472 +9 *2472:la_iena_mprj[18] *2472:la_oenb_mprj[18] 0 +10 *642:11 *1282:7 0 +11 *643:7 *2472:la_oenb_mprj[18] 0 +12 *677:13 *1282:7 0 +13 *898:9 *1282:7 0 +14 *927:10 *1282:10 0.000127366 +15 *931:10 *1282:10 0.00130097 +16 *1158:10 *1282:10 0.0546643 +17 *1280:10 *1282:10 0.057949 +*RES +1 *2478:la_oenb[18] *1282:5 2.05183 +2 *1282:5 *1282:7 65.5732 +3 *1282:7 *1282:9 4.5 +4 *1282:9 *1282:10 627.425 +5 *1282:10 *2472:la_oenb_mprj[18] 26.3862 +*END + +*D_NET *1283 0.121823 +*CONN +*I *2472:la_oenb_mprj[19] I *D mgmt_protect +*I *2478:la_oenb[19] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[19] 0.00285121 +2 *2478:la_oenb[19] 0.00102758 +3 *1283:12 0.00285121 +4 *1283:10 0.0218992 +5 *1283:9 0.0229267 +6 *1283:10 *1285:10 0.0646397 +7 *1283:10 *1309:14 0.000417415 +8 *2472:la_iena_mprj[19] *2472:la_oenb_mprj[19] 0 +9 *2478:la_input[19] *1283:9 0 +10 *645:7 *2472:la_oenb_mprj[19] 0 +11 *899:7 *1283:9 0 +12 *909:10 *1283:10 0.000760262 +13 *915:10 *1283:10 0.00126286 +14 *917:10 *1283:10 0.000948525 +15 *920:10 *1283:10 0.000421804 +16 *923:10 *1283:10 0.000346617 +17 *1157:10 *1283:10 0.000371151 +18 *1181:16 *1283:10 0.000383752 +19 *1190:7 *2472:la_oenb_mprj[19] 0.000452056 +20 *1281:10 *1283:10 0.000263298 +*RES +1 *2478:la_oenb[19] *1283:9 29.6762 +2 *1283:9 *1283:10 84.954 +3 *1283:10 *1283:12 3.36879 +4 *1283:12 *2472:la_oenb_mprj[19] 63.2038 +*END + +*D_NET *1284 0.214873 +*CONN +*I *2472:la_oenb_mprj[1] I *D mgmt_protect +*I *2478:la_oenb[1] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[1] 0.00112408 +2 *2478:la_oenb[1] 5.96252e-05 +3 *1284:10 0.00697818 +4 *1284:9 0.0058541 +5 *1284:7 0.00235887 +6 *1284:5 0.0024185 +7 *1284:7 *1562:16 0 +8 *2472:la_iena_mprj[1] *2472:la_oenb_mprj[1] 0 +9 *644:11 *1284:7 0 +10 *655:7 *2472:la_oenb_mprj[1] 0 +11 *922:10 *1284:10 0.0100346 +12 *1148:10 *1284:10 0.000102747 +13 *1156:10 *1284:10 0.0898656 +14 *1178:10 *1284:10 0.0868514 +15 *1200:10 *1284:10 0.00922531 +*RES +1 *2478:la_oenb[1] *1284:5 1.49002 +2 *1284:5 *1284:7 63.2893 +3 *1284:7 *1284:9 3.36879 +4 *1284:9 *1284:10 114.097 +5 *1284:10 *2472:la_oenb_mprj[1] 27.5389 +*END + +*D_NET *1285 0.15417 +*CONN +*I *2472:la_oenb_mprj[20] I *D mgmt_protect +*I *2478:la_oenb[20] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[20] 0.00266346 +2 *2478:la_oenb[20] 0.000995699 +3 *1285:12 0.00266346 +4 *1285:10 0.00681646 +5 *1285:9 0.00781216 +6 *1285:10 *1307:16 0.000521324 +7 *2472:la_iena_mprj[20] *2472:la_oenb_mprj[20] 0 +8 *2478:la_input[20] *1285:9 0 +9 *646:7 *2472:la_oenb_mprj[20] 0 +10 *678:11 *2472:la_oenb_mprj[20] 0.00124505 +11 *902:13 *2472:la_oenb_mprj[20] 0 +12 *913:10 *1285:10 0.00152789 +13 *1157:10 *1285:10 0.065285 +14 *1283:10 *1285:10 0.0646397 +*RES +1 *2478:la_oenb[20] *1285:9 28.8213 +2 *1285:9 *1285:10 83.5772 +3 *1285:10 *1285:12 3.36879 +4 *1285:12 *2472:la_oenb_mprj[20] 62.3733 +*END + +*D_NET *1286 0.129278 +*CONN +*I *2472:la_oenb_mprj[21] I *D mgmt_protect +*I *2478:la_oenb[21] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[21] 0.000840042 +2 *2478:la_oenb[21] 8.29983e-05 +3 *1286:10 0.0055459 +4 *1286:9 0.00470586 +5 *1286:7 0.00313961 +6 *1286:5 0.00322261 +7 *1286:10 *1288:10 0.0522567 +8 *2472:la_iena_mprj[21] *2472:la_oenb_mprj[21] 0 +9 *646:11 *1286:7 0 +10 *647:7 *2472:la_oenb_mprj[21] 0 +11 *902:9 *1286:7 0 +12 *1158:10 *1286:10 0.00132061 +13 *1160:10 *1286:10 0.0532169 +14 *1222:10 *1286:10 0.00494642 +*RES +1 *2478:la_oenb[21] *1286:5 2.05183 +2 *1286:5 *1286:7 66.819 +3 *1286:7 *1286:9 4.5 +4 *1286:9 *1286:10 592.485 +5 *1286:10 *2472:la_oenb_mprj[21] 25.1405 +*END + +*D_NET *1287 0.126713 +*CONN +*I *2472:la_oenb_mprj[22] I *D mgmt_protect +*I *2478:la_oenb[22] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[22] 0.00250828 +2 *2478:la_oenb[22] 0.00159361 +3 *1287:12 0.00250828 +4 *1287:10 0.00450778 +5 *1287:9 0.0061014 +6 *1287:10 *1339:10 0.00245457 +7 *2472:la_data_out_mprj[23] *2472:la_oenb_mprj[22] 0 +8 *616:14 *1287:10 0.000190613 +9 *647:11 *1287:9 0 +10 *648:7 *2472:la_oenb_mprj[22] 0 +11 *679:11 *2472:la_oenb_mprj[22] 0 +12 *903:9 *1287:9 0 +13 *1149:10 *1287:10 0.000169237 +14 *1159:10 *1287:10 0.0545369 +15 *1159:13 *2472:la_oenb_mprj[22] 0 +16 *1161:10 *1287:10 0.0520071 +17 *1187:10 *1287:10 3.59302e-05 +18 *1191:10 *1287:10 9.9028e-05 +*RES +1 *2478:la_oenb[22] *1287:9 40.5659 +2 *1287:9 *1287:10 580.839 +3 *1287:10 *1287:12 4.5 +4 *1287:12 *2472:la_oenb_mprj[22] 53.4454 +*END + +*D_NET *1288 0.125217 +*CONN +*I *2472:la_oenb_mprj[23] I *D mgmt_protect +*I *2478:la_oenb[23] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[23] 0.000818605 +2 *2478:la_oenb[23] 8.29983e-05 +3 *1288:10 0.00531086 +4 *1288:9 0.00449226 +5 *1288:7 0.00322138 +6 *1288:5 0.00330437 +7 *2472:la_iena_mprj[23] *2472:la_oenb_mprj[23] 0 +8 *648:11 *1288:7 0 +9 *649:7 *2472:la_oenb_mprj[23] 0 +10 *904:9 *1288:7 0 +11 *934:10 *1288:10 3.42853e-05 +12 *1160:10 *1288:10 0.000601789 +13 *1162:10 *1288:10 0.050937 +14 *1222:10 *1288:10 0.00415649 +15 *1286:10 *1288:10 0.0522567 +*RES +1 *2478:la_oenb[23] *1288:5 2.05183 +2 *1288:5 *1288:7 67.2342 +3 *1288:7 *1288:9 4.5 +4 *1288:9 *1288:10 569.192 +5 *1288:10 *2472:la_oenb_mprj[23] 24.7252 +*END + +*D_NET *1289 0.122898 +*CONN +*I *2472:la_oenb_mprj[24] I *D mgmt_protect +*I *2478:la_oenb[24] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[24] 0.00203903 +2 *2478:la_oenb[24] 0.0016618 +3 *1289:12 0.00203903 +4 *1289:10 0.00420067 +5 *1289:9 0.00586247 +6 *2472:la_iena_mprj[24] *2472:la_oenb_mprj[24] 0 +7 *616:14 *1289:10 0.000738221 +8 *649:11 *1289:9 0 +9 *650:7 *2472:la_oenb_mprj[24] 0 +10 *905:9 *1289:9 0 +11 *1149:10 *1289:10 0.000196208 +12 *1161:10 *1289:10 0.0524061 +13 *1163:10 *1289:10 0.0497701 +14 *1191:10 *1289:10 4.03749e-05 +15 *1192:7 *2472:la_oenb_mprj[24] 0.00162549 +16 *1211:10 *1289:10 0.00220839 +17 *1233:10 *1289:10 0.000110257 +*RES +1 *2478:la_oenb[24] *1289:9 41.3964 +2 *1289:9 *1289:10 556.991 +3 *1289:10 *1289:12 4.5 +4 *1289:12 *2472:la_oenb_mprj[24] 52.6149 +*END + +*D_NET *1290 0.11811 +*CONN +*I *2472:la_oenb_mprj[25] I *D mgmt_protect +*I *2478:la_oenb[25] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[25] 0.00073286 +2 *2478:la_oenb[25] 8.29983e-05 +3 *1290:10 0.00549759 +4 *1290:9 0.00476473 +5 *1290:7 0.00336023 +6 *1290:5 0.00344323 +7 *2472:la_iena_mprj[25] *2472:la_oenb_mprj[25] 0 +8 *650:11 *1290:7 0 +9 *651:7 *2472:la_oenb_mprj[25] 0 +10 *906:9 *1290:7 0 +11 *908:10 *1290:10 0.0472339 +12 *1162:10 *1290:10 0.000756785 +13 *1164:10 *1290:10 0.0487187 +14 *1244:10 *1290:10 0.00351928 +*RES +1 *2478:la_oenb[25] *1290:5 2.05183 +2 *1290:5 *1290:7 68.8952 +3 *1290:7 *1290:9 4.5 +4 *1290:9 *1290:10 545.899 +5 *1290:10 *2472:la_oenb_mprj[25] 23.0642 +*END + +*D_NET *1291 0.118168 +*CONN +*I *2472:la_oenb_mprj[26] I *D mgmt_protect +*I *2478:la_oenb[26] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[26] 0.0024068 +2 *2478:la_oenb[26] 0.00172998 +3 *1291:12 0.0024068 +4 *1291:10 0.00394441 +5 *1291:9 0.00567439 +6 *1291:10 *1293:10 0.00077937 +7 *1291:10 *1302:10 0.0311843 +8 *2472:la_iena_mprj[26] *2472:la_oenb_mprj[26] 0 +9 *616:14 *1291:10 0.0174567 +10 *651:11 *1291:9 0 +11 *652:7 *2472:la_oenb_mprj[26] 0 +12 *907:9 *1291:9 0 +13 *923:15 *2472:la_oenb_mprj[26] 0 +14 *1149:10 *1291:10 0.000236284 +15 *1151:10 *1291:10 0.000123135 +16 *1163:10 *1291:10 0.0501627 +17 *1191:10 *1291:10 0 +18 *1233:10 *1291:10 0.00206357 +*RES +1 *2478:la_oenb[26] *1291:9 42.2269 +2 *1291:9 *1291:10 533.697 +3 *1291:10 *1291:12 4.5 +4 *1291:12 *2472:la_oenb_mprj[26] 51.7844 +*END + +*D_NET *1292 0.116939 +*CONN +*I *2472:la_oenb_mprj[27] I *D mgmt_protect +*I *2478:la_oenb[27] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[27] 0.000757621 +2 *2478:la_oenb[27] 8.29983e-05 +3 *1292:10 0.00471299 +4 *1292:9 0.00395537 +5 *1292:7 0.00336841 +6 *1292:5 0.00345141 +7 *1292:10 *1294:10 3.63947e-05 +8 *1292:10 *1320:10 0.000364931 +9 *1292:10 *1350:10 0.00351928 +10 *2472:la_iena_mprj[27] *2472:la_oenb_mprj[27] 0 +11 *652:11 *1292:7 0 +12 *653:7 *2472:la_oenb_mprj[27] 0 +13 *908:7 *1292:7 0 +14 *1162:10 *1292:10 0.0475059 +15 *1164:10 *1292:10 0.0491178 +16 *1192:10 *1292:10 6.54613e-05 +*RES +1 *2478:la_oenb[27] *1292:5 2.05183 +2 *1292:5 *1292:7 68.0647 +3 *1292:7 *1292:9 4.5 +4 *1292:9 *1292:10 522.605 +5 *1292:10 *2472:la_oenb_mprj[27] 23.8947 +*END + +*D_NET *1293 0.113609 +*CONN +*I *2472:la_oenb_mprj[28] I *D mgmt_protect +*I *2478:la_oenb[28] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[28] 0.00223596 +2 *2478:la_oenb[28] 0.00179134 +3 *1293:12 0.00223596 +4 *1293:10 0.0037769 +5 *1293:9 0.00556823 +6 *2472:la_oenb_mprj[28] *1304:15 0.000245236 +7 *1293:10 *1302:10 0.0328026 +8 *2472:la_iena_mprj[28] *2472:la_oenb_mprj[28] 0 +9 *616:14 *1293:10 0.0136208 +10 *653:11 *1293:9 0 +11 *654:7 *2472:la_oenb_mprj[28] 0 +12 *909:9 *1293:9 0 +13 *1149:10 *1293:10 0.00237494 +14 *1151:10 *1293:10 0.000142759 +15 *1165:10 *1293:10 0.0479428 +16 *1168:10 *1293:10 9.16621e-05 +17 *1291:10 *1293:10 0.00077937 +*RES +1 *2478:la_oenb[28] *1293:9 43.0574 +2 *1293:9 *1293:10 510.404 +3 *1293:10 *1293:12 4.5 +4 *1293:12 *2472:la_oenb_mprj[28] 50.9539 +*END + +*D_NET *1294 0.111872 +*CONN +*I *2472:la_oenb_mprj[29] I *D mgmt_protect +*I *2478:la_oenb[29] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[29] 0.000678697 +2 *2478:la_oenb[29] 3.62521e-05 +3 *1294:10 0.00471424 +4 *1294:9 0.00403554 +5 *1294:7 0.00351683 +6 *1294:5 0.00355309 +7 *1294:10 *1320:10 0 +8 *2472:la_iena_mprj[29] *2472:la_oenb_mprj[29] 0 +9 *654:11 *1294:7 0 +10 *656:7 *2472:la_oenb_mprj[29] 0 +11 *908:10 *1294:10 0.0451305 +12 *1128:10 *1294:10 0.00308483 +13 *1164:10 *1294:10 5.2472e-05 +14 *1166:10 *1294:10 0.0469419 +15 *1169:10 *1294:10 9.16621e-05 +16 *1292:10 *1294:10 3.63947e-05 +*RES +1 *2478:la_oenb[29] *1294:5 0.928211 +2 *1294:5 *1294:7 69.7257 +3 *1294:7 *1294:9 4.5 +4 *1294:9 *1294:10 500.421 +5 *1294:10 *2472:la_oenb_mprj[29] 22.2337 +*END + +*D_NET *1295 0.210087 +*CONN +*I *2472:la_oenb_mprj[2] I *D mgmt_protect +*I *2478:la_oenb[2] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[2] 0.00298546 +2 *2478:la_oenb[2] 0.00127363 +3 *1295:12 0.00298546 +4 *1295:10 0.010801 +5 *1295:9 0.0120747 +6 *1295:9 *1574:19 0 +7 *1295:10 *1317:10 0.083813 +8 *1295:10 *1361:10 0.00393788 +9 *2472:la_iena_mprj[2] *2472:la_oenb_mprj[2] 0 +10 *2478:la_input[2] *1295:9 0 +11 *666:7 *2472:la_oenb_mprj[2] 0 +12 *911:9 *1295:9 0 +13 *924:15 *2472:la_oenb_mprj[2] 0.000216869 +14 *988:10 *1295:10 0.0036203 +15 *1167:10 *1295:10 0.0878751 +16 *1189:10 *1295:10 0.000448211 +17 *1279:10 *1295:10 5.53737e-05 +*RES +1 *2478:la_oenb[2] *1295:9 36.3203 +2 *1295:9 *1295:10 112.337 +3 *1295:10 *1295:12 3.36879 +4 *1295:12 *2472:la_oenb_mprj[2] 56.5598 +*END + +*D_NET *1296 0.0966674 +*CONN +*I *2472:la_oenb_mprj[30] I *D mgmt_protect +*I *2478:la_oenb[30] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[30] 0.00210204 +2 *2478:la_oenb[30] 0.00188113 +3 *1296:12 0.00210204 +4 *1296:10 0.00516153 +5 *1296:9 0.00704266 +6 *1296:10 *1302:10 4.03749e-05 +7 *1296:10 *1305:13 0.00211693 +8 *2472:la_iena_mprj[30] *2472:la_oenb_mprj[30] 0 +9 *636:8 *1296:10 0.0114002 +10 *636:12 *1296:10 0.00443635 +11 *636:16 *1296:10 0 +12 *656:11 *1296:9 0 +13 *657:7 *2472:la_oenb_mprj[30] 0 +14 *912:7 *1296:9 0 +15 *913:16 *1296:10 0.0013161 +16 *915:16 *1296:10 2.97286e-05 +17 *917:16 *1296:10 0.0119517 +18 *928:23 *2472:la_oenb_mprj[30] 0.00042048 +19 *1151:10 *1296:10 0.000945103 +20 *1168:10 *1296:10 0.045721 +*RES +1 *2478:la_oenb[30] *1296:9 44.3031 +2 *1296:9 *1296:10 487.111 +3 *1296:10 *1296:12 4.5 +4 *1296:12 *2472:la_oenb_mprj[30] 49.7081 +*END + +*D_NET *1297 0.0770871 +*CONN +*I *2472:la_oenb_mprj[31] I *D mgmt_protect +*I *2478:la_oenb[31] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[31] 0.000604243 +2 *2478:la_oenb[31] 8.29983e-05 +3 *1297:10 0.0117456 +4 *1297:9 0.0111414 +5 *1297:7 0.00366249 +6 *1297:5 0.00374549 +7 *1297:10 *1372:10 0.00263589 +8 *2472:la_iena_mprj[31] *2472:la_oenb_mprj[31] 0 +9 *657:11 *1297:7 0 +10 *658:7 *2472:la_oenb_mprj[31] 0 +11 *682:8 *1297:10 0 +12 *910:10 *1297:10 0.0426891 +13 *913:9 *1297:7 0 +14 *1169:10 *1297:10 0.000779902 +*RES +1 *2478:la_oenb[31] *1297:5 2.05183 +2 *1297:5 *1297:7 71.3867 +3 *1297:7 *1297:9 4.5 +4 *1297:9 *1297:10 475.464 +5 *1297:10 *2472:la_oenb_mprj[31] 20.5727 +*END + +*D_NET *1298 0.102486 +*CONN +*I *2472:la_oenb_mprj[32] I *D mgmt_protect +*I *2478:la_oenb[32] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[32] 0.00265863 +2 *2478:la_oenb[32] 0.00132506 +3 *1298:12 0.00265863 +4 *1298:10 0.00342512 +5 *1298:9 0.00475018 +6 *2472:la_oenb_mprj[32] *1323:9 0 +7 *1298:10 *1300:14 0.000161493 +8 *2472:la_data_out_mprj[33] *2472:la_oenb_mprj[32] 0 +9 *658:11 *1298:9 0 +10 *659:7 *2472:la_oenb_mprj[32] 0 +11 *683:11 *2472:la_oenb_mprj[32] 0 +12 *911:10 *1298:10 0.019116 +13 *914:7 *1298:9 0 +14 *936:13 *2472:la_oenb_mprj[32] 0 +15 *1170:10 *1298:10 0.0434344 +16 *1170:13 *2472:la_oenb_mprj[32] 0 +17 *1179:10 *1298:10 0.00136044 +18 *1183:10 *1298:10 0.0216412 +19 *1279:10 *1298:10 0.00195498 +*RES +1 *2478:la_oenb[32] *1298:9 35.5828 +2 *1298:9 *1298:10 463.817 +3 *1298:10 *1298:12 4.5 +4 *1298:12 *2472:la_oenb_mprj[32] 58.4284 +*END + +*D_NET *1299 0.102404 +*CONN +*I *2472:la_oenb_mprj[33] I *D mgmt_protect +*I *2478:la_oenb[33] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[33] 0.00111938 +2 *2478:la_oenb[33] 8.29983e-05 +3 *1299:10 0.00477885 +4 *1299:9 0.00365948 +5 *1299:7 0.0031046 +6 *1299:5 0.0031876 +7 *1299:10 *1308:22 0.000273107 +8 *2472:la_iena_mprj[33] *2472:la_oenb_mprj[33] 0 +9 *2478:la_input[33] *1299:7 0 +10 *659:16 *1299:7 0 +11 *660:7 *2472:la_oenb_mprj[33] 0 +12 *914:10 *1299:10 0.0412657 +13 *915:9 *1299:7 0 +14 *939:11 *2472:la_oenb_mprj[33] 4.59609e-05 +15 *1148:10 *1299:10 0.00241024 +16 *1171:10 *1299:10 0.0422785 +17 *1172:10 *1299:10 8.90486e-05 +18 *1186:12 *1299:10 0.000108607 +*RES +1 *2478:la_oenb[33] *1299:5 2.05183 +2 *1299:5 *1299:7 61.8359 +3 *1299:7 *1299:9 4.5 +4 *1299:9 *1299:10 452.171 +5 *1299:10 *2472:la_oenb_mprj[33] 30.1235 +*END + +*D_NET *1300 0.0944152 +*CONN +*I *2472:la_oenb_mprj[34] I *D mgmt_protect +*I *2478:la_oenb[34] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[34] 0.00238018 +2 *2478:la_oenb[34] 0.00127734 +3 *1300:16 0.00238018 +4 *1300:14 0.000594984 +5 *1300:12 0.000615617 +6 *1300:10 0.00362716 +7 *1300:9 0.00488387 +8 *2472:la_iena_mprj[34] *2472:la_oenb_mprj[34] 0 +9 *2478:la_input[34] *1300:9 0 +10 *660:16 *1300:9 0 +11 *661:7 *2472:la_oenb_mprj[34] 0 +12 *684:11 *2472:la_oenb_mprj[34] 0.000884009 +13 *913:10 *1300:10 0 +14 *916:7 *1300:9 0 +15 *938:13 *2472:la_oenb_mprj[34] 0 +16 *1153:10 *1300:10 0.00196896 +17 *1170:10 *1300:10 0.000469586 +18 *1170:10 *1300:14 0.0031575 +19 *1173:10 *1300:10 0.0353696 +20 *1175:10 *1300:10 0.0316377 +21 *1175:10 *1300:14 0.000392997 +22 *1183:10 *1300:14 0.000390602 +23 *1194:10 *1300:14 0.0042234 +24 *1298:10 *1300:14 0.000161493 +*RES +1 *2478:la_oenb[34] *1300:9 34.3371 +2 *1300:9 *1300:10 386.173 +3 *1300:10 *1300:12 0.578717 +4 *1300:12 *1300:14 54.5199 +5 *1300:14 *1300:16 4.5 +6 *1300:16 *2472:la_oenb_mprj[34] 59.2589 +*END + +*D_NET *1301 0.0944212 +*CONN +*I *2472:la_oenb_mprj[35] I *D mgmt_protect +*I *2478:la_oenb[35] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[35] 0.000947931 +2 *2478:la_oenb[35] 8.29983e-05 +3 *1301:10 0.00490188 +4 *1301:9 0.00395395 +5 *1301:7 0.00301275 +6 *1301:5 0.00309575 +7 *1301:10 *1303:16 0.0345966 +8 *2472:la_iena_mprj[35] *2472:la_oenb_mprj[35] 0.000680343 +9 *616:13 *1301:7 7.17667e-05 +10 *662:7 *2472:la_oenb_mprj[35] 0 +11 *916:10 *1301:10 0.0389836 +12 *917:9 *1301:7 0 +13 *918:10 *1301:10 0.00144135 +14 *940:7 *2472:la_oenb_mprj[35] 0.000121802 +15 *1150:10 *1301:10 0.00200111 +16 *1174:10 *1301:10 0.00052936 +*RES +1 *2478:la_oenb[35] *1301:5 2.05183 +2 *1301:5 *1301:7 59.7597 +3 *1301:7 *1301:9 4.5 +4 *1301:9 *1301:10 428.877 +5 *1301:10 *2472:la_oenb_mprj[35] 32.1998 +*END + +*D_NET *1302 0.0903328 +*CONN +*I *2472:la_oenb_mprj[36] I *D mgmt_protect +*I *2478:la_oenb[36] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[36] 0.00229706 +2 *2478:la_oenb[36] 0.00182938 +3 *1302:12 0.00229706 +4 *1302:10 0.00379598 +5 *1302:9 0.00562536 +6 *1302:10 *1323:10 0.0029617 +7 *2472:la_data_out_mprj[36] *2472:la_oenb_mprj[36] 0 +8 *2472:la_iena_mprj[36] *2472:la_oenb_mprj[36] 0 +9 *2478:la_input[36] *1302:9 0 +10 *663:5 *2472:la_oenb_mprj[36] 0 +11 *685:11 *2472:la_oenb_mprj[36] 0 +12 *917:16 *1302:10 5.6766e-05 +13 *918:7 *1302:9 0 +14 *1149:10 *1302:10 0.00155942 +15 *1151:10 *1302:10 0.000131535 +16 *1168:10 *1302:10 0.000369111 +17 *1173:16 *1302:10 0.000490085 +18 *1179:16 *1302:10 0.000857278 +19 *1191:10 *1302:10 0 +20 *1193:10 *1302:10 0.000147235 +21 *1195:10 *1302:10 0.00388763 +22 *1291:10 *1302:10 0.0311843 +23 *1293:10 *1302:10 0.0328026 +24 *1296:10 *1302:10 4.03749e-05 +*RES +1 *2478:la_oenb[36] *1302:9 42.6421 +2 *1302:9 *1302:10 417.231 +3 *1302:10 *1302:12 4.5 +4 *1302:12 *2472:la_oenb_mprj[36] 51.3691 +*END + +*D_NET *1303 0.0901042 +*CONN +*I *2472:la_oenb_mprj[37] I *D mgmt_protect +*I *2478:la_oenb[37] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[37] 0.00110635 +2 *2478:la_oenb[37] 0.00145725 +3 *1303:16 0.00465301 +4 *1303:15 0.00567993 +5 *1303:10 0.00359052 +6 *1303:15 *1304:9 5.71264e-05 +7 *1303:16 *1308:22 0 +8 *2472:la_iena_mprj[37] *2472:la_oenb_mprj[37] 0 +9 *2478:la_input[37] *1303:10 0 +10 *2478:la_input[38] *1303:15 0 +11 *664:5 *2472:la_oenb_mprj[37] 0 +12 *907:10 *1303:10 0 +13 *917:10 *1303:10 0.00174097 +14 *918:10 *1303:16 0.0349577 +15 *919:9 *1303:10 0 +16 *1150:10 *1303:16 0.00100031 +17 *1174:10 *1303:16 0.000136843 +18 *1176:10 *1303:16 0.000534259 +19 *1185:15 *2472:la_oenb_mprj[37] 0.000593352 +20 *1301:10 *1303:16 0.0345966 +*RES +1 *2478:la_oenb[37] *1303:10 48.24 +2 *1303:10 *1303:15 45.2979 +3 *1303:15 *1303:16 387.282 +4 *1303:16 *2472:la_oenb_mprj[37] 32.615 +*END + +*D_NET *1304 0.0794292 +*CONN +*I *2472:la_oenb_mprj[38] I *D mgmt_protect +*I *2478:la_oenb[38] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[38] 0.00146372 +2 *2478:la_oenb[38] 0.00116109 +3 *1304:16 0.00429276 +4 *1304:15 0.00396951 +5 *1304:10 0.0034221 +6 *1304:9 0.00344273 +7 *1304:15 *1321:9 0 +8 *1304:16 *1309:24 0 +9 *2472:la_iena_mprj[38] *2472:la_oenb_mprj[38] 0 +10 *2472:la_oenb_mprj[28] *1304:15 0.000245236 +11 *2478:la_input[38] *1304:9 0 +12 *654:7 *1304:15 0.00057315 +13 *665:5 *2472:la_oenb_mprj[38] 0 +14 *919:10 *1304:10 0.0292736 +15 *923:16 *1304:16 0 +16 *924:16 *1304:16 0.000266261 +17 *928:24 *1304:16 0.00151568 +18 *941:7 *2472:la_oenb_mprj[38] 0 +19 *1157:10 *1304:10 0.00105713 +20 *1173:15 *1304:15 0 +21 *1175:10 *1304:10 0.000475421 +22 *1177:10 *1304:10 0.0282137 +23 *1194:9 *1304:15 0 +24 *1303:15 *1304:9 5.71264e-05 +*RES +1 *2478:la_oenb[38] *1304:9 31.9677 +2 *1304:9 *1304:10 310.192 +3 *1304:10 *1304:15 37.8234 +4 *1304:15 *1304:16 84.4685 +5 *1304:16 *2472:la_oenb_mprj[38] 36.7675 +*END + +*D_NET *1305 0.0871114 +*CONN +*I *2472:la_oenb_mprj[39] I *D mgmt_protect +*I *2478:la_oenb[39] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[39] 0.00133499 +2 *2478:la_oenb[39] 0.00198341 +3 *1305:16 0.004566 +4 *1305:15 0.00323101 +5 *1305:13 0.00133449 +6 *1305:9 0.00331791 +7 *2478:la_input[39] *1305:9 0 +8 *636:12 *1305:13 0.00211272 +9 *667:5 *2472:la_oenb_mprj[39] 0 +10 *686:11 *2472:la_oenb_mprj[39] 8.08916e-05 +11 *909:15 *1305:13 0 +12 *921:7 *1305:9 0 +13 *921:10 *1305:16 0.0331342 +14 *924:16 *1305:16 3.07561e-05 +15 *1151:10 *1305:13 0.000130331 +16 *1154:10 *1305:16 0.000275651 +17 *1176:10 *1305:16 0.000282235 +18 *1177:17 *2472:la_oenb_mprj[39] 0 +19 *1180:10 *1305:16 0.0326433 +20 *1180:16 *1305:16 1.15389e-05 +21 *1191:18 *1305:16 0.000311235 +22 *1194:16 *1305:16 0.000213725 +23 *1296:10 *1305:13 0.00211693 +*RES +1 *2478:la_oenb[39] *1305:9 44.7184 +2 *1305:9 *1305:13 46.5408 +3 *1305:13 *1305:15 4.5 +4 *1305:15 *1305:16 360.107 +5 *1305:16 *2472:la_oenb_mprj[39] 34.276 +*END + +*D_NET *1306 0.200923 +*CONN +*I *2472:la_oenb_mprj[3] I *D mgmt_protect +*I *2478:la_oenb[3] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[3] 0.00102504 +2 *2478:la_oenb[3] 8.29983e-05 +3 *1306:10 0.00689982 +4 *1306:9 0.00587478 +5 *1306:7 0.00250014 +6 *1306:5 0.00258314 +7 *1306:10 *1328:10 0.0831092 +8 *2472:la_iena_mprj[3] *2472:la_oenb_mprj[3] 0 +9 *677:7 *2472:la_oenb_mprj[3] 0 +10 *922:7 *1306:7 0 +11 *1148:10 *1306:10 4.08499e-05 +12 *1178:10 *1306:10 0.0872664 +13 *1280:10 *1306:10 0.00635629 +14 *1282:10 *1306:10 0.00518472 +*RES +1 *2478:la_oenb[3] *1306:5 2.05183 +2 *1306:5 *1306:7 64.9503 +3 *1306:7 *1306:9 3.36879 +4 *1306:9 *1306:10 110.731 +5 *1306:10 *2472:la_oenb_mprj[3] 25.8779 +*END + +*D_NET *1307 0.0744184 +*CONN +*I *2472:la_oenb_mprj[40] I *D mgmt_protect +*I *2478:la_oenb[40] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[40] 6.22868e-05 +2 *2478:la_oenb[40] 0.00100936 +3 *1307:23 0.00184802 +4 *1307:22 0.00178573 +5 *1307:20 0.0015097 +6 *1307:18 0.00153033 +7 *1307:16 0.00192476 +8 *1307:15 0.00209784 +9 *1307:12 0.0011751 +10 *1307:9 0.00199075 +11 *1307:15 *1309:12 0 +12 *1307:20 *1309:18 2.18041e-06 +13 *1307:20 *1326:10 0.00033061 +14 *2472:la_iena_mprj[40] *1307:23 0.00292847 +15 *2478:la_input[40] *1307:9 0 +16 *668:7 *1307:23 0 +17 *907:10 *1307:12 0 +18 *913:10 *1307:16 0.00771785 +19 *919:10 *1307:16 0.0224405 +20 *919:20 *1307:20 0.00530418 +21 *920:10 *1307:12 0.00409858 +22 *923:9 *1307:9 0 +23 *924:15 *1307:15 2.55661e-06 +24 *930:10 *1307:16 0.0103763 +25 *930:16 *1307:16 0.00107068 +26 *930:16 *1307:20 0.000629908 +27 *938:12 *1307:20 0.00104548 +28 *942:7 *1307:23 0.000617068 +29 *1177:10 *1307:16 3.80872e-05 +30 *1177:14 *1307:16 1.61631e-05 +31 *1177:14 *1307:20 0.000774455 +32 *1181:15 *1307:15 4.15661e-05 +33 *1181:16 *1307:16 0.000933319 +34 *1181:20 *1307:20 0.000175621 +35 *1194:10 *1307:20 0 +36 *1197:10 *1307:20 0 +37 *1198:10 *1307:20 0.00041958 +38 *1285:10 *1307:16 0.000521324 +*RES +1 *2478:la_oenb[40] *1307:9 28.9388 +2 *1307:9 *1307:12 47.9279 +3 *1307:12 *1307:15 7.993 +4 *1307:15 *1307:16 239.758 +5 *1307:16 *1307:18 0.578717 +6 *1307:18 *1307:20 85.5777 +7 *1307:20 *1307:22 4.5 +8 *1307:22 *1307:23 62.2512 +9 *1307:23 *2472:la_oenb_mprj[40] 1.77093 +*END + +*D_NET *1308 0.0766377 +*CONN +*I *2472:la_oenb_mprj[41] I *D mgmt_protect +*I *2478:la_oenb[41] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[41] 0.00112436 +2 *2478:la_oenb[41] 0.00116939 +3 *1308:22 0.00480918 +4 *1308:21 0.0040215 +5 *1308:16 0.00111992 +6 *1308:15 0.00265739 +7 *1308:12 0.00304354 +8 *2472:la_data_out_mprj[0] *1308:15 0 +9 *2472:la_iena_mprj[41] *2472:la_oenb_mprj[41] 0 +10 *2472:la_iena_mprj[8] *1308:21 0.000299282 +11 *2472:la_oenb_mprj[0] *1308:15 0.000220346 +12 *2478:la_input[41] *1308:12 0 +13 *638:19 *1308:15 8.72256e-06 +14 *652:8 *1308:16 2.31462e-05 +15 *669:7 *2472:la_oenb_mprj[41] 0 +16 *686:8 *1308:22 0.00176605 +17 *721:7 *1308:21 0 +18 *912:10 *1308:22 0.0174359 +19 *913:10 *1308:12 0.00019069 +20 *914:10 *1308:22 0.000690837 +21 *915:10 *1308:12 0.000436825 +22 *921:10 *1308:16 0.000688207 +23 *924:10 *1308:12 0 +24 *924:10 *1308:15 7.09666e-06 +25 *924:16 *1308:16 0.0047245 +26 *941:10 *1308:22 0 +27 *966:16 *1308:16 0.00497069 +28 *1172:10 *1308:22 3.18085e-05 +29 *1174:10 *1308:22 0 +30 *1182:14 *1308:22 0.0265746 +31 *1186:12 *1308:22 0.000108607 +32 *1193:16 *1308:22 0 +33 *1267:18 *1308:16 0.000241948 +34 *1299:10 *1308:22 0.000273107 +35 *1303:16 *1308:22 0 +*RES +1 *2478:la_oenb[41] *1308:12 40.1207 +2 *1308:12 *1308:15 36.6454 +3 *1308:15 *1308:16 67.8304 +4 *1308:16 *1308:21 15.815 +5 *1308:21 *1308:22 286.899 +6 *1308:22 *2472:la_oenb_mprj[41] 28.8777 +*END + +*D_NET *1309 0.072502 +*CONN +*I *2472:la_oenb_mprj[42] I *D mgmt_protect +*I *2478:la_oenb[42] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[42] 0.00109933 +2 *2478:la_oenb[42] 0.001196 +3 *1309:24 0.00261201 +4 *1309:23 0.00248333 +5 *1309:18 0.00183233 +6 *1309:16 0.000902331 +7 *1309:14 0.0019541 +8 *1309:12 0.00310945 +9 *1309:23 *1324:9 4.3116e-06 +10 *2472:la_iena_mprj[42] *2472:la_oenb_mprj[42] 0.0019675 +11 *669:15 *1309:12 0 +12 *670:9 *2472:la_oenb_mprj[42] 0 +13 *913:10 *1309:12 0.000164843 +14 *913:10 *1309:14 1.67988e-05 +15 *915:10 *1309:12 1.67988e-05 +16 *915:10 *1309:14 0.00742277 +17 *917:10 *1309:14 0.00150433 +18 *923:10 *1309:14 0.000743184 +19 *924:10 *1309:12 0.000113968 +20 *924:15 *1309:12 7.26959e-06 +21 *925:9 *1309:12 0 +22 *926:26 *1309:24 1.41291e-05 +23 *928:24 *1309:24 0 +24 *932:10 *1309:14 0.00631775 +25 *935:10 *1309:18 4.33655e-05 +26 *936:10 *1309:14 7.02172e-06 +27 *936:10 *1309:18 0.00476095 +28 *940:7 *1309:23 0 +29 *1173:25 *1309:23 1.2693e-05 +30 *1181:16 *1309:14 0.0200872 +31 *1181:16 *1309:18 1.71154e-05 +32 *1181:18 *1309:16 2.81515e-05 +33 *1181:20 *1309:18 0.00647904 +34 *1181:25 *1309:23 0.00154543 +35 *1181:26 *1309:24 0.00546303 +36 *1195:15 *2472:la_oenb_mprj[42] 0.000155929 +37 *1283:10 *1309:14 0.000417415 +38 *1304:16 *1309:24 0 +39 *1307:15 *1309:12 0 +40 *1307:20 *1309:18 2.18041e-06 +*RES +1 *2478:la_oenb[42] *1309:12 33.8416 +2 *1309:12 *1309:14 213.691 +3 *1309:14 *1309:16 1.39857 +4 *1309:16 *1309:18 70.6034 +5 *1309:18 *1309:23 38.2386 +6 *1309:23 *1309:24 59.5114 +7 *1309:24 *2472:la_oenb_mprj[42] 41.5307 +*END + +*D_NET *1310 0.0768628 +*CONN +*I *2472:la_oenb_mprj[43] I *D mgmt_protect +*I *2478:la_oenb[43] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[43] 0.00106984 +2 *2478:la_oenb[43] 5.96252e-05 +3 *1310:14 0.00405055 +4 *1310:12 0.00326078 +5 *1310:7 0.00305048 +6 *1310:5 0.00283004 +7 *1310:7 *2472:la_oenb_mprj[4] 0.00145413 +8 *1310:14 *1314:12 0.000108607 +9 *2472:la_data_out_mprj[4] *1310:7 0 +10 *2472:la_iena_mprj[43] *2472:la_oenb_mprj[43] 0 +11 *2478:la_input[43] *1310:7 0 +12 *671:7 *2472:la_oenb_mprj[43] 0 +13 *927:10 *1310:12 9.82896e-06 +14 *927:10 *1310:14 0.00135572 +15 *929:10 *1310:14 0.0251794 +16 *943:10 *1310:14 9.65932e-05 +17 *977:15 *1310:7 0.000492326 +18 *977:18 *1310:12 0.00286842 +19 *1182:12 *1310:12 0.00293248 +20 *1182:12 *1310:14 1.67988e-05 +21 *1182:14 *1310:14 0.0280272 +*RES +1 *2478:la_oenb[43] *1310:5 1.49002 +2 *1310:5 *1310:7 63.4969 +3 *1310:7 *1310:12 36.3053 +4 *1310:12 *1310:14 305.201 +5 *1310:14 *2472:la_oenb_mprj[43] 28.0472 +*END + +*D_NET *1311 0.0686205 +*CONN +*I *2472:la_oenb_mprj[44] I *D mgmt_protect +*I *2478:la_oenb[44] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[44] 0.00200725 +2 *2478:la_oenb[44] 0.00149571 +3 *1311:12 0.00200725 +4 *1311:10 0.00294428 +5 *1311:9 0.00443999 +6 *1311:10 *1312:10 0.0284436 +7 *1311:10 *1361:10 0.000598598 +8 *2472:la_iena_mprj[44] *2472:la_oenb_mprj[44] 0 +9 *672:5 *2472:la_oenb_mprj[44] 0 +10 *927:7 *1311:9 0 +11 *988:10 *1311:10 0.000106845 +12 *1155:10 *1311:10 0 +13 *1179:10 *1311:10 0.0189908 +14 *1183:10 *1311:10 0.00464305 +15 *1198:15 *2472:la_oenb_mprj[44] 0.00198724 +16 *1199:15 *2472:la_oenb_mprj[44] 0 +17 *1201:10 *1311:10 0.000848795 +18 *1279:10 *1311:10 0.000107179 +*RES +1 *2478:la_oenb[44] *1311:9 36.8286 +2 *1311:9 *1311:10 323.503 +3 *1311:10 *1311:12 4.5 +4 *1311:12 *2472:la_oenb_mprj[44] 57.1827 +*END + +*D_NET *1312 0.0699235 +*CONN +*I *2472:la_oenb_mprj[45] I *D mgmt_protect +*I *2478:la_oenb[45] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[45] 0.00245533 +2 *2478:la_oenb[45] 0.00152156 +3 *1312:12 0.00245533 +4 *1312:10 0.00272154 +5 *1312:9 0.0042431 +6 *2472:la_oenb_mprj[45] *1318:15 0 +7 *2472:la_oenb_mprj[45] *1327:15 0 +8 *1312:10 *1313:10 0.0273814 +9 *2472:la_iena_mprj[45] *2472:la_oenb_mprj[45] 0 +10 *2478:la_input[45] *1312:9 0 +11 *673:7 *2472:la_oenb_mprj[45] 0 +12 *928:9 *1312:9 0 +13 *945:9 *2472:la_oenb_mprj[45] 0 +14 *1155:10 *1312:10 0 +15 *1185:10 *1312:10 0.00023576 +16 *1197:15 *2472:la_oenb_mprj[45] 0 +17 *1201:10 *1312:10 0.000363723 +18 *1279:10 *1312:10 0.000102215 +19 *1311:10 *1312:10 0.0284436 +*RES +1 *2478:la_oenb[45] *1312:9 37.2439 +2 *1312:9 *1312:10 311.856 +3 *1312:10 *1312:12 4.5 +4 *1312:12 *2472:la_oenb_mprj[45] 56.7674 +*END + +*D_NET *1313 0.0683431 +*CONN +*I *2472:la_oenb_mprj[46] I *D mgmt_protect +*I *2478:la_oenb[46] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[46] 6.22868e-05 +2 *2478:la_oenb[46] 0.00137365 +3 *1313:13 0.0016342 +4 *1313:12 0.00157191 +5 *1313:10 0.00253814 +6 *1313:9 0.00391179 +7 *1313:10 *1315:10 0.0241999 +8 *1313:13 *1325:15 0.00155583 +9 *1313:13 *1326:15 3.64684e-05 +10 *1313:13 *1327:15 0.000579395 +11 *2472:la_data_out_mprj[46] *1313:13 0 +12 *2472:la_iena_mprj[46] *1313:13 0.000979082 +13 *2478:la_input[46] *1313:9 0 +14 *674:7 *1313:13 0 +15 *915:15 *1313:9 0.000108139 +16 *929:7 *1313:9 0 +17 *1184:15 *1313:9 0.000471736 +18 *1185:10 *1313:10 0.00167979 +19 *1197:21 *1313:13 9.60366e-05 +20 *1201:10 *1313:10 0.000163362 +21 *1312:10 *1313:10 0.0273814 +*RES +1 *2478:la_oenb[46] *1313:9 37.6591 +2 *1313:9 *1313:10 298.546 +3 *1313:10 *1313:12 4.5 +4 *1313:12 *1313:13 56.4377 +5 *1313:13 *2472:la_oenb_mprj[46] 1.77093 +*END + +*D_NET *1314 0.0805455 +*CONN +*I *2472:la_oenb_mprj[47] I *D mgmt_protect +*I *2478:la_oenb[47] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[47] 0.00109225 +2 *2478:la_oenb[47] 3.62521e-05 +3 *1314:12 0.00766733 +4 *1314:7 0.00939263 +5 *1314:5 0.00285381 +6 *1314:12 *1315:18 2.99894e-05 +7 *2472:la_data_out_mprj[14] *1314:7 0.000202496 +8 *2472:la_iena_mprj[47] *2472:la_oenb_mprj[47] 0 +9 *2472:la_oenb_mprj[13] *1314:7 0 +10 *638:5 *1314:7 0.00109711 +11 *674:11 *1314:7 0 +12 *675:7 *2472:la_oenb_mprj[47] 0 +13 *1182:14 *1314:12 0.000118134 +14 *1186:12 *1314:12 0.0305314 +15 *1188:12 *1314:12 0.0274155 +16 *1310:14 *1314:12 0.000108607 +*RES +1 *2478:la_oenb[47] *1314:5 0.928211 +2 *1314:5 *1314:7 63.2893 +3 *1314:7 *1314:12 46.7153 +4 *1314:12 *2472:la_oenb_mprj[47] 24.1701 +*END + +*D_NET *1315 0.0606378 +*CONN +*I *2472:la_oenb_mprj[48] I *D mgmt_protect +*I *2478:la_oenb[48] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[48] 0.00129538 +2 *2478:la_oenb[48] 0.00150281 +3 *1315:18 0.00349389 +4 *1315:10 0.00458199 +5 *1315:9 0.00388629 +6 *1315:10 *1318:10 0.00302606 +7 *1315:10 *1325:10 7.23987e-05 +8 *1315:18 *1326:15 0.000523883 +9 *1315:18 *1329:15 0 +10 *1315:18 *1330:9 1.44611e-05 +11 *2472:la_data_out_mprj[48] *2472:la_oenb_mprj[48] 0 +12 *2472:la_iena_mprj[48] *2472:la_oenb_mprj[48] 0 +13 *674:7 *1315:18 0.000625622 +14 *675:11 *1315:9 0 +15 *676:7 *2472:la_oenb_mprj[48] 0 +16 *920:15 *1315:9 8.20611e-05 +17 *931:7 *1315:9 0 +18 *945:10 *1315:10 1.44742e-05 +19 *1185:10 *1315:10 0.0171377 +20 *1186:12 *1315:18 9.63897e-05 +21 *1188:12 *1315:18 0 +22 *1201:10 *1315:10 5.44727e-05 +23 *1313:10 *1315:10 0.0241999 +24 *1314:12 *1315:18 2.99894e-05 +*RES +1 *2478:la_oenb[48] *1315:9 38.0744 +2 *1315:9 *1315:10 261.387 +3 *1315:10 *1315:18 41.2144 +4 *1315:18 *2472:la_oenb_mprj[48] 28.3226 +*END + +*D_NET *1316 0.0732774 +*CONN +*I *2472:la_oenb_mprj[49] I *D mgmt_protect +*I *2478:la_oenb[49] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[49] 0.000905438 +2 *2478:la_oenb[49] 8.29983e-05 +3 *1316:12 0.00714731 +4 *1316:7 0.00913288 +5 *1316:5 0.002974 +6 *1316:12 *1319:12 0.0239003 +7 *2472:la_iena_mprj[18] *1316:7 0 +8 *2472:la_iena_mprj[19] *1316:7 0 +9 *2472:la_iena_mprj[49] *2472:la_oenb_mprj[49] 0 +10 *2472:la_oenb_mprj[18] *1316:7 3.93117e-06 +11 *643:7 *1316:7 0.00115441 +12 *676:11 *1316:7 0 +13 *678:7 *2472:la_oenb_mprj[49] 0 +14 *681:8 *1316:12 0.000118134 +15 *932:9 *1316:7 0 +16 *1188:12 *1316:12 0.000375597 +17 *1190:12 *1316:12 0.0272652 +18 *1192:10 *1316:12 0.000217213 +*RES +1 *2478:la_oenb[49] *1316:5 2.05183 +2 *1316:5 *1316:7 65.7808 +3 *1316:7 *1316:12 43.3498 +4 *1316:12 *2472:la_oenb_mprj[49] 21.6786 +*END + +*D_NET *1317 0.207995 +*CONN +*I *2472:la_oenb_mprj[4] I *D mgmt_protect +*I *2478:la_oenb[4] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[4] 0.0025659 +2 *2478:la_oenb[4] 0.0013337 +3 *1317:12 0.0025659 +4 *1317:10 0.01074 +5 *1317:9 0.0120737 +6 *1317:10 *1339:10 9.5672e-05 +7 *2472:la_iena_mprj[4] *2472:la_oenb_mprj[4] 0 +8 *2478:la_input[4] *1317:9 0 +9 *688:7 *2472:la_oenb_mprj[4] 0 +10 *933:9 *1317:9 0 +11 *977:10 *1317:10 0.00407177 +12 *977:15 *2472:la_oenb_mprj[4] 5.66868e-06 +13 *1139:10 *1317:10 0.00349721 +14 *1189:10 *1317:10 0.0854372 +15 *1279:10 *1317:10 0.00034158 +16 *1295:10 *1317:10 0.083813 +17 *1310:7 *2472:la_oenb_mprj[4] 0.00145413 +*RES +1 *2478:la_oenb[4] *1317:9 37.1508 +2 *1317:9 *1317:10 109.125 +3 *1317:10 *1317:12 3.36879 +4 *1317:12 *2472:la_oenb_mprj[4] 55.7293 +*END + +*D_NET *1318 0.0517459 +*CONN +*I *2472:la_oenb_mprj[50] I *D mgmt_protect +*I *2478:la_oenb[50] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[50] 0.00143506 +2 *2478:la_oenb[50] 0.0014208 +3 *1318:18 0.00247109 +4 *1318:15 0.00163391 +5 *1318:10 0.00249138 +6 *1318:9 0.00331429 +7 *1318:10 *1325:10 0.00686166 +8 *1318:15 *1327:15 0.000639482 +9 *1318:18 *1322:16 0.00160483 +10 *2472:la_iena_mprj[50] *2472:la_oenb_mprj[50] 0 +11 *2472:la_oenb_mprj[45] *1318:15 0 +12 *673:7 *1318:15 0.000124484 +13 *678:11 *1318:9 0 +14 *679:7 *2472:la_oenb_mprj[50] 0 +15 *902:13 *1318:9 0.000201529 +16 *934:7 *1318:9 0 +17 *1185:10 *1318:10 0.0133598 +18 *1187:10 *1318:10 0.0130583 +19 *1191:10 *1318:10 0.000103217 +20 *1315:10 *1318:10 0.00302606 +*RES +1 *2478:la_oenb[50] *1318:9 38.9049 +2 *1318:9 *1318:10 214.8 +3 *1318:10 *1318:15 31.5946 +4 *1318:15 *1318:18 43.491 +5 *1318:18 *2472:la_oenb_mprj[50] 32.6828 +*END + +*D_NET *1319 0.0631213 +*CONN +*I *2472:la_oenb_mprj[51] I *D mgmt_protect +*I *2478:la_oenb[51] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[51] 0.000844065 +2 *2478:la_oenb[51] 8.29983e-05 +3 *1319:12 0.0079793 +4 *1319:7 0.0102933 +5 *1319:5 0.00324105 +6 *2472:la_data_out_mprj[23] *1319:7 0 +7 *2472:la_data_out_mprj[49] *1319:12 0.0164967 +8 *2472:la_iena_mprj[23] *1319:7 8.11463e-06 +9 *2472:la_iena_mprj[51] *2472:la_oenb_mprj[51] 0 +10 *649:7 *1319:7 0 +11 *679:11 *1319:7 0 +12 *680:7 *2472:la_oenb_mprj[51] 0 +13 *905:13 *1319:7 0 +14 *935:9 *1319:7 0 +15 *1190:12 *1319:12 0.000275479 +16 *1316:12 *1319:12 0.0239003 +*RES +1 *2478:la_oenb[51] *1319:5 2.05183 +2 *1319:5 *1319:7 66.6113 +3 *1319:7 *1319:12 40.1372 +4 *1319:12 *2472:la_oenb_mprj[51] 20.8481 +*END + +*D_NET *1320 0.0531309 +*CONN +*I *2472:la_oenb_mprj[52] I *D mgmt_protect +*I *2478:la_oenb[52] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[52] 0.000819528 +2 *2478:la_oenb[52] 5.96252e-05 +3 *1320:10 0.00340873 +4 *1320:9 0.0025892 +5 *1320:7 0.00296291 +6 *1320:5 0.00302253 +7 *2472:la_data_out_mprj[26] *1320:7 0 +8 *2472:la_iena_mprj[52] *2472:la_oenb_mprj[52] 0 +9 *651:7 *1320:7 0.000665846 +10 *680:11 *1320:7 0 +11 *681:7 *2472:la_oenb_mprj[52] 0 +12 *681:8 *1320:10 9.65932e-05 +13 *682:8 *1320:10 0.0179829 +14 *936:9 *1320:7 0 +15 *1169:10 *1320:10 0 +16 *1192:10 *1320:10 0.021158 +17 *1292:10 *1320:10 0.000364931 +18 *1294:10 *1320:10 0 +*RES +1 *2478:la_oenb[52] *1320:5 1.49002 +2 *1320:5 *1320:7 66.819 +3 *1320:7 *1320:9 4.5 +4 *1320:9 *1320:10 230.329 +5 *1320:10 *2472:la_oenb_mprj[52] 25.1405 +*END + +*D_NET *1321 0.048016 +*CONN +*I *2472:la_oenb_mprj[53] I *D mgmt_protect +*I *2478:la_oenb[53] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[53] 0.00126024 +2 *2478:la_oenb[53] 0.0014706 +3 *1321:16 0.00207939 +4 *1321:15 0.0011987 +5 *1321:10 0.00165693 +6 *1321:9 0.00274796 +7 *1321:10 *1322:10 0.0108922 +8 *1321:10 *1324:10 6.03996e-05 +9 *1321:10 *1325:10 0.00187904 +10 *1321:16 *1322:16 0.000180303 +11 *1321:16 *1324:16 0.00578931 +12 *2472:la_iena_mprj[44] *1321:15 0.00143068 +13 *2472:la_iena_mprj[53] *2472:la_oenb_mprj[53] 0 +14 *682:7 *2472:la_oenb_mprj[53] 0 +15 *926:25 *1321:9 0 +16 *928:24 *1321:16 0.000225363 +17 *937:9 *1321:9 0 +18 *1173:15 *1321:9 0.000284582 +19 *1191:10 *1321:10 0.00888925 +20 *1191:20 *1321:16 0.00561217 +21 *1193:10 *1321:10 0.000863309 +22 *1194:16 *1321:16 0.000832715 +23 *1198:15 *1321:15 0.00066283 +24 *1304:15 *1321:9 0 +*RES +1 *2478:la_oenb[53] *1321:9 40.1506 +2 *1321:9 *1321:10 139.374 +3 *1321:10 *1321:15 32.8404 +4 *1321:15 *1321:16 79.4771 +5 *1321:16 *2472:la_oenb_mprj[53] 34.6913 +*END + +*D_NET *1322 0.0377935 +*CONN +*I *2472:la_oenb_mprj[54] I *D mgmt_protect +*I *2478:la_oenb[54] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[54] 0.00130498 +2 *2478:la_oenb[54] 0.00145072 +3 *1322:16 0.00356841 +4 *1322:15 0.00285898 +5 *1322:10 0.00162261 +6 *1322:9 0.00247777 +7 *1322:10 *1324:10 0.00173676 +8 *1322:15 *1323:15 0.00056331 +9 *1322:16 *1324:16 0.00170046 +10 *2472:la_iena_mprj[44] *1322:15 0 +11 *2472:la_iena_mprj[54] *2472:la_oenb_mprj[54] 0 +12 *671:7 *1322:15 0.000150958 +13 *682:11 *1322:9 0 +14 *683:7 *2472:la_oenb_mprj[54] 0 +15 *919:19 *1322:9 1.9101e-05 +16 *928:23 *1322:9 0.000248358 +17 *928:24 *1322:16 0.000328385 +18 *932:21 *1322:9 0 +19 *938:9 *1322:9 0 +20 *1179:15 *1322:9 8.4419e-05 +21 *1193:10 *1322:10 0.00700093 +22 *1318:18 *1322:16 0.00160483 +23 *1321:10 *1322:10 0.0108922 +24 *1321:16 *1322:16 0.000180303 +*RES +1 *2478:la_oenb[54] *1322:9 40.5659 +2 *1322:9 *1322:10 116.081 +3 *1322:10 *1322:15 30.7641 +4 *1322:15 *1322:16 90.5692 +5 *1322:16 *2472:la_oenb_mprj[54] 36.3523 +*END + +*D_NET *1323 0.0417752 +*CONN +*I *2472:la_oenb_mprj[55] I *D mgmt_protect +*I *2478:la_oenb[55] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[55] 0.00114721 +2 *2478:la_oenb[55] 0.00160982 +3 *1323:16 0.00199139 +4 *1323:15 0.00135237 +5 *1323:10 0.00171104 +6 *1323:9 0.00281267 +7 *1323:10 *1324:10 0.00141117 +8 *1323:16 *1325:16 0.00746299 +9 *1323:16 *1326:16 0.007359 +10 *2472:la_iena_mprj[44] *1323:15 0.000645218 +11 *2472:la_iena_mprj[55] *2472:la_oenb_mprj[55] 0 +12 *2472:la_oenb_mprj[32] *1323:9 0 +13 *671:7 *1323:15 0 +14 *672:8 *1323:10 0 +15 *683:11 *1323:9 0 +16 *684:7 *2472:la_oenb_mprj[55] 0 +17 *936:13 *1323:9 0 +18 *939:9 *1323:9 0 +19 *939:11 *1323:9 0 +20 *941:10 *1323:16 0.00184646 +21 *941:14 *1323:16 1.41689e-05 +22 *1179:16 *1323:10 0.00596675 +23 *1194:16 *1323:16 0.000747045 +24 *1195:10 *1323:10 0.00213251 +25 *1196:10 *1323:10 4.03749e-05 +26 *1302:10 *1323:10 0.0029617 +27 *1322:15 *1323:15 0.00056331 +*RES +1 *2478:la_oenb[55] *1323:9 42.2269 +2 *1323:9 *1323:10 97.2244 +3 *1323:10 *1323:15 32.4251 +4 *1323:15 *1323:16 98.3336 +5 *1323:16 *2472:la_oenb_mprj[55] 33.0303 +*END + +*D_NET *1324 0.0335025 +*CONN +*I *2472:la_oenb_mprj[56] I *D mgmt_protect +*I *2478:la_oenb[56] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[56] 0.00120656 +2 *2478:la_oenb[56] 0.00143637 +3 *1324:16 0.0027123 +4 *1324:15 0.00174521 +5 *1324:10 0.00173835 +6 *1324:9 0.00293525 +7 *1324:10 *1325:10 4.00372e-05 +8 *1324:15 *1325:15 0.00134685 +9 *1324:15 *1326:15 0.00134325 +10 *1324:16 *1325:16 0.00034321 +11 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[56] 0 +12 *2472:la_iena_mprj[56] *2472:la_oenb_mprj[56] 0 +13 *684:11 *1324:9 0 +14 *685:7 *2472:la_oenb_mprj[56] 0 +15 *940:7 *1324:9 0 +16 *945:10 *1324:10 0.000237769 +17 *1173:25 *1324:9 8.62625e-06 +18 *1179:16 *1324:10 0.00406403 +19 *1181:25 *1324:9 0.000257426 +20 *1193:10 *1324:10 0.00322946 +21 *1194:16 *1324:16 0.00015542 +22 *1309:23 *1324:9 4.3116e-06 +23 *1321:10 *1324:10 6.03996e-05 +24 *1321:16 *1324:16 0.00578931 +25 *1322:10 *1324:10 0.00173676 +26 *1322:16 *1324:16 0.00170046 +27 *1323:10 *1324:10 0.00141117 +*RES +1 *2478:la_oenb[56] *1324:9 41.3964 +2 *1324:9 *1324:10 96.6698 +3 *1324:10 *1324:15 31.1794 +4 *1324:15 *1324:16 86.687 +5 *1324:16 *2472:la_oenb_mprj[56] 35.1065 +*END + +*D_NET *1325 0.0379491 +*CONN +*I *2472:la_oenb_mprj[57] I *D mgmt_protect +*I *2478:la_oenb[57] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[57] 0.00112426 +2 *2478:la_oenb[57] 0.00139556 +3 *1325:16 0.00211516 +4 *1325:15 0.00131555 +5 *1325:10 0.00118053 +6 *1325:9 0.00225144 +7 *1325:15 *1326:15 8.61936e-05 +8 *1325:16 *1326:16 0.000736122 +9 *2472:la_data_out_mprj[37] *1325:9 0 +10 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[57] 0 +11 *2472:la_iena_mprj[37] *1325:9 0 +12 *2472:la_iena_mprj[57] *2472:la_oenb_mprj[57] 0 +13 *685:11 *1325:9 0 +14 *686:7 *2472:la_oenb_mprj[57] 0 +15 *941:7 *1325:9 0 +16 *945:10 *1325:10 0.000401978 +17 *1185:15 *1325:9 5.05252e-05 +18 *1191:10 *1325:10 0.0013203 +19 *1194:16 *1325:16 0.00640951 +20 *1313:13 *1325:15 0.00155583 +21 *1315:10 *1325:10 7.23987e-05 +22 *1318:10 *1325:10 0.00686166 +23 *1321:10 *1325:10 0.00187904 +24 *1323:16 *1325:16 0.00746299 +25 *1324:10 *1325:10 4.00372e-05 +26 *1324:15 *1325:15 0.00134685 +27 *1324:16 *1325:16 0.00034321 +*RES +1 *2478:la_oenb[57] *1325:9 39.3201 +2 *1325:9 *1325:10 76.1495 +3 *1325:10 *1325:15 34.9166 +4 *1325:15 *1325:16 96.1152 +5 *1325:16 *2472:la_oenb_mprj[57] 33.4455 +*END + +*D_NET *1326 0.0350384 +*CONN +*I *2472:la_oenb_mprj[58] I *D mgmt_protect +*I *2478:la_oenb[58] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[58] 0.00106772 +2 *2478:la_oenb[58] 0.001056 +3 *1326:16 0.00213538 +4 *1326:15 0.00180862 +5 *1326:10 0.0018045 +6 *1326:9 0.00211953 +7 *1326:10 *1329:12 0.00151986 +8 *1326:15 *1327:15 0 +9 *1326:15 *1330:9 0 +10 *2472:la_data_out_mprj[58] *2472:la_oenb_mprj[58] 0 +11 *2472:la_iena_mprj[58] *2472:la_oenb_mprj[58] 0 +12 *2478:la_input[61] *1326:15 0.000279872 +13 *686:11 *1326:9 0 +14 *687:7 *2472:la_oenb_mprj[58] 0 +15 *687:8 *1326:16 0 +16 *689:8 *1326:10 0.00049599 +17 *941:14 *1326:16 0.00856535 +18 *942:7 *1326:9 0 +19 *1197:10 *1326:10 0 +20 *1197:22 *1326:16 1.45065e-05 +21 *1198:10 *1326:10 0.00369305 +22 *1199:12 *1326:10 6.24655e-05 +23 *1307:20 *1326:10 0.00033061 +24 *1313:13 *1326:15 3.64684e-05 +25 *1315:18 *1326:15 0.000523883 +26 *1323:16 *1326:16 0.007359 +27 *1324:15 *1326:15 0.00134325 +28 *1325:15 *1326:15 8.61936e-05 +29 *1325:16 *1326:16 0.000736122 +*RES +1 *2478:la_oenb[58] *1326:9 31.4303 +2 *1326:9 *1326:10 56.7384 +3 *1326:10 *1326:15 43.6369 +4 *1326:15 *1326:16 103.325 +5 *1326:16 *2472:la_oenb_mprj[58] 32.615 +*END + +*D_NET *1327 0.0339856 +*CONN +*I *2472:la_oenb_mprj[59] I *D mgmt_protect +*I *2478:la_oenb[59] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[59] 0.000852531 +2 *2478:la_oenb[59] 0.00100536 +3 *1327:16 0.00194033 +4 *1327:15 0.0023291 +5 *1327:12 0.00201954 +6 *1327:9 0.00178361 +7 *1327:12 *1329:12 0.000462946 +8 *1327:16 *1329:16 0.0101939 +9 *2472:la_data_out_mprj[46] *1327:15 2.1203e-06 +10 *2472:la_iena_mprj[59] *2472:la_oenb_mprj[59] 0 +11 *2472:la_oenb_mprj[45] *1327:15 0 +12 *2478:la_input[61] *1327:15 0 +13 *673:7 *1327:15 0 +14 *687:11 *1327:9 0 +15 *689:5 *2472:la_oenb_mprj[59] 0 +16 *943:7 *1327:9 0 +17 *1198:16 *1327:16 0.00982861 +18 *1199:12 *1327:12 0.00205738 +19 *1199:16 *1327:16 0.000291294 +20 *1202:9 *1327:15 0 +21 *1313:13 *1327:15 0.000579395 +22 *1318:15 *1327:15 0.000639482 +23 *1326:15 *1327:15 0 +*RES +1 *2478:la_oenb[59] *1327:9 30.1846 +2 *1327:9 *1327:12 37.3904 +3 *1327:12 *1327:15 45.3657 +4 *1327:15 *1327:16 115.526 +5 *1327:16 *2472:la_oenb_mprj[59] 27.632 +*END + +*D_NET *1328 0.196977 +*CONN +*I *2472:la_oenb_mprj[5] I *D mgmt_protect +*I *2478:la_oenb[5] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[5] 0.00097052 +2 *2478:la_oenb[5] 8.29983e-05 +3 *1328:10 0.00720305 +4 *1328:9 0.00623253 +5 *1328:7 0.0025967 +6 *1328:5 0.0026797 +7 *2472:la_iena_mprj[5] *2472:la_oenb_mprj[5] 0 +8 *688:11 *1328:7 0 +9 *699:7 *2472:la_oenb_mprj[5] 0 +10 *944:7 *1328:7 0 +11 *944:10 *1328:10 0.00252463 +12 *1148:10 *1328:10 0.00020617 +13 *1158:10 *1328:10 0.00508031 +14 *1160:10 *1328:10 0.0046706 +15 *1222:10 *1328:10 0.081621 +16 *1306:10 *1328:10 0.0831092 +*RES +1 *2478:la_oenb[5] *1328:5 2.05183 +2 *1328:5 *1328:7 65.7808 +3 *1328:7 *1328:9 3.36879 +4 *1328:9 *1328:10 107.519 +5 *1328:10 *2472:la_oenb_mprj[5] 25.0474 +*END + +*D_NET *1329 0.0327051 +*CONN +*I *2472:la_oenb_mprj[60] I *D mgmt_protect +*I *2478:la_oenb[60] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[60] 0.000867136 +2 *2478:la_oenb[60] 0.00104725 +3 *1329:16 0.00206277 +4 *1329:15 0.00268006 +5 *1329:12 0.0018331 +6 *1329:9 0.00139592 +7 *1329:15 *1330:9 0.000207119 +8 *2472:la_iena_mprj[60] *2472:la_oenb_mprj[60] 0 +9 *2478:la_input[60] *1329:9 0 +10 *674:7 *1329:15 0.000215788 +11 *689:8 *1329:12 6.05863e-05 +12 *690:5 *2472:la_oenb_mprj[60] 0 +13 *945:9 *1329:9 0 +14 *946:9 *1329:15 0 +15 *1198:15 *1329:9 1.77537e-06 +16 *1199:12 *1329:12 0.000167076 +17 *1199:15 *1329:9 6.08697e-06 +18 *1199:16 *1329:16 0.00998372 +19 *1315:18 *1329:15 0 +20 *1326:10 *1329:12 0.00151986 +21 *1327:12 *1329:12 0.000462946 +22 *1327:16 *1329:16 0.0101939 +*RES +1 *2478:la_oenb[60] *1329:9 31.0151 +2 *1329:9 *1329:12 24.6345 +3 *1329:12 *1329:15 44.1199 +4 *1329:15 *1329:16 116.636 +5 *1329:16 *2472:la_oenb_mprj[60] 28.0472 +*END + +*D_NET *1330 0.0310114 +*CONN +*I *2472:la_oenb_mprj[61] I *D mgmt_protect +*I *2478:la_oenb[61] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[61] 0.00202581 +2 *2478:la_oenb[61] 0.00119252 +3 *1330:12 0.00202581 +4 *1330:10 0.00102 +5 *1330:9 0.00221252 +6 *1330:10 *1331:10 0.000180711 +7 *2472:la_iena_mprj[61] *2472:la_oenb_mprj[61] 0 +8 *2478:la_input[61] *1330:9 0 +9 *691:5 *2472:la_oenb_mprj[61] 0 +10 *945:10 *1330:10 0.000108945 +11 *946:10 *1330:10 0.0110068 +12 *1201:10 *1330:10 6.95209e-05 +13 *1203:10 *1330:10 0.0109472 +14 *1315:18 *1330:9 1.44611e-05 +15 *1326:15 *1330:9 0 +16 *1329:15 *1330:9 0.000207119 +*RES +1 *2478:la_oenb[61] *1330:9 36.8042 +2 *1330:9 *1330:10 126.618 +3 *1330:10 *1330:12 4.5 +4 *1330:12 *2472:la_oenb_mprj[61] 55.5217 +*END + +*D_NET *1331 0.0285727 +*CONN +*I *2472:la_oenb_mprj[62] I *D mgmt_protect +*I *2478:la_oenb[62] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[62] 0.00198298 +2 *2478:la_oenb[62] 0.00138369 +3 *1331:12 0.00198298 +4 *1331:10 0.000824155 +5 *1331:9 0.00220785 +6 *2472:la_iena_mprj[62] *2472:la_oenb_mprj[62] 0 +7 *2478:la_input[62] *1331:9 0 +8 *692:5 *2472:la_oenb_mprj[62] 0 +9 *694:10 *1331:10 4.66876e-05 +10 *694:12 *1331:10 2.52287e-06 +11 *945:10 *1331:10 0.00041958 +12 *946:10 *1331:10 0.00957509 +13 *947:9 *1331:9 0 +14 *1203:10 *1331:10 0.000145188 +15 *1204:10 *1331:10 0.00982129 +16 *1330:10 *1331:10 0.000180711 +*RES +1 *2478:la_oenb[62] *1331:9 39.3201 +2 *1331:9 *1331:10 113.308 +3 *1331:10 *1331:12 4.5 +4 *1331:12 *2472:la_oenb_mprj[62] 54.6912 +*END + +*D_NET *1332 0.0245384 +*CONN +*I *2472:la_oenb_mprj[63] I *D mgmt_protect +*I *2478:la_oenb[63] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[63] 0.00223049 +2 *2478:la_oenb[63] 0.00107302 +3 *1332:12 0.00223049 +4 *1332:10 0.000852579 +5 *1332:9 0.0019256 +6 *2472:la_oenb_mprj[63] *1337:9 0.000327461 +7 *2472:la_data_out_mprj[63] *2472:la_oenb_mprj[63] 0 +8 *2472:la_iena_mprj[63] *2472:la_oenb_mprj[63] 0 +9 *2478:la_input[63] *1332:9 0 +10 *2478:la_input[66] *1332:10 0.00139276 +11 *689:8 *1332:10 0.00235447 +12 *692:8 *1332:10 0.00901823 +13 *693:5 *2472:la_oenb_mprj[63] 0 +14 *695:8 *1332:10 3.83172e-05 +15 *948:9 *1332:9 0 +16 *948:10 *1332:10 9.16621e-05 +17 *949:10 *1332:10 0.000106845 +18 *1208:10 *1332:10 0.00289643 +*RES +1 *2478:la_oenb[63] *1332:9 31.8456 +2 *1332:9 *1332:10 101.661 +3 *1332:10 *1332:12 4.5 +4 *1332:12 *2472:la_oenb_mprj[63] 62.1657 +*END + +*D_NET *1333 0.0213295 +*CONN +*I *2472:la_oenb_mprj[64] I *D mgmt_protect +*I *2478:la_oenb[64] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[64] 0.00207742 +2 *2478:la_oenb[64] 0.00130287 +3 *1333:12 0.00207742 +4 *1333:10 0.0009954 +5 *1333:9 0.00229827 +6 *1333:10 *1334:10 0.000769972 +7 *1333:10 *1337:12 0.000353682 +8 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[64] 0 +9 *2472:la_iena_mprj[64] *2472:la_oenb_mprj[64] 0 +10 *2478:la_input[64] *1333:9 0 +11 *690:8 *1333:10 0.000180711 +12 *691:8 *1333:10 0.000661063 +13 *694:5 *2472:la_oenb_mprj[64] 0 +14 *694:10 *1333:10 0.000235491 +15 *694:12 *1333:10 0.00529049 +16 *949:9 *1333:9 0 +17 *1201:10 *1333:10 0.00508673 +*RES +1 *2478:la_oenb[64] *1333:9 36.8286 +2 *1333:9 *1333:10 90.0146 +3 *1333:10 *1333:12 4.5 +4 *1333:12 *2472:la_oenb_mprj[64] 57.1827 +*END + +*D_NET *1334 0.0196789 +*CONN +*I *2472:la_oenb_mprj[65] I *D mgmt_protect +*I *2478:la_oenb[65] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[65] 0.002111 +2 *2478:la_oenb[65] 0.00124983 +3 *1334:12 0.002111 +4 *1334:10 0.000975456 +5 *1334:9 0.00222529 +6 *1334:10 *1337:12 5.4367e-05 +7 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[65] 0 +8 *2472:la_iena_mprj[65] *2472:la_oenb_mprj[65] 0 +9 *2478:la_input[65] *1334:9 0 +10 *690:8 *1334:10 0.00355727 +11 *695:5 *2472:la_oenb_mprj[65] 0 +12 *950:9 *1334:9 0 +13 *950:10 *1334:10 0.000120974 +14 *1205:10 *1334:10 3.04269e-05 +15 *1207:10 *1334:10 0.00647328 +16 *1333:10 *1334:10 0.000769972 +*RES +1 *2478:la_oenb[65] *1334:9 35.5828 +2 *1334:9 *1334:10 78.3679 +3 *1334:10 *1334:12 4.5 +4 *1334:12 *2472:la_oenb_mprj[65] 58.4284 +*END + +*D_NET *1335 0.0172303 +*CONN +*I *2472:la_oenb_mprj[66] I *D mgmt_protect +*I *2478:la_oenb[66] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[66] 0.00235538 +2 *2478:la_oenb[66] 0.000946938 +3 *1335:18 0.00253943 +4 *1335:13 0.00109026 +5 *1335:9 0.00185316 +6 *1335:13 *1336:10 0.00227204 +7 *1335:18 *1336:10 6.24655e-05 +8 *2472:la_data_out_mprj[66] *2472:la_oenb_mprj[66] 0 +9 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[66] 0 +10 *2472:la_iena_mprj[66] *2472:la_oenb_mprj[66] 0 +11 *2478:la_input[66] *1335:9 0 +12 *693:8 *1335:13 8.47539e-05 +13 *696:5 *2472:la_oenb_mprj[66] 0 +14 *697:13 *2472:la_oenb_mprj[66] 0 +15 *697:16 *1335:18 0.00190029 +16 *951:9 *1335:9 0 +17 *951:12 *1335:13 0.000362922 +18 *951:16 *1335:18 0.00169207 +19 *952:10 *1335:13 0.00179828 +20 *953:12 *1335:13 2.652e-05 +21 *953:16 *1335:18 0.000180711 +22 *954:12 *1335:18 6.50727e-05 +*RES +1 *2478:la_oenb[66] *1335:9 28.5236 +2 *1335:9 *1335:13 49.8992 +3 *1335:13 *1335:18 31.3529 +4 *1335:18 *2472:la_oenb_mprj[66] 64.242 +*END + +*D_NET *1336 0.0165679 +*CONN +*I *2472:la_oenb_mprj[67] I *D mgmt_protect +*I *2478:la_oenb[67] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[67] 0.00236532 +2 *2478:la_oenb[67] 0.000970785 +3 *1336:12 0.00236532 +4 *1336:10 0.000465929 +5 *1336:9 0.00143671 +6 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[67] 0 +7 *2472:la_data_out_mprj[68] *2472:la_oenb_mprj[67] 0 +8 *2472:la_iena_mprj[67] *2472:la_oenb_mprj[67] 0 +9 *2478:la_input[70] *2472:la_oenb_mprj[67] 5.54078e-05 +10 *697:5 *2472:la_oenb_mprj[67] 0 +11 *697:16 *1336:10 0.00268822 +12 *698:10 *1336:10 0.00184493 +13 *951:12 *1336:10 0.000661063 +14 *952:9 *1336:9 0 +15 *952:10 *1336:10 0.000482046 +16 *954:12 *1336:10 0.000897677 +17 *1212:9 *2472:la_oenb_mprj[67] 0 +18 *1335:13 *1336:10 0.00227204 +19 *1335:18 *1336:10 6.24655e-05 +*RES +1 *2478:la_oenb[67] *1336:9 28.9388 +2 *1336:9 *1336:10 55.0746 +3 *1336:10 *1336:12 4.5 +4 *1336:12 *2472:la_oenb_mprj[67] 65.0725 +*END + +*D_NET *1337 0.0112481 +*CONN +*I *2472:la_oenb_mprj[68] I *D mgmt_protect +*I *2478:la_oenb[68] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[68] 0.00202095 +2 *2478:la_oenb[68] 0.00127729 +3 *1337:12 0.00345807 +4 *1337:9 0.00271442 +5 *2472:la_data_out_mprj[63] *1337:9 0 +6 *2472:la_iena_mprj[68] *2472:la_oenb_mprj[68] 0 +7 *2472:la_oenb_mprj[63] *1337:9 0.000327461 +8 *2478:la_input[68] *1337:9 0 +9 *693:5 *1337:9 0 +10 *694:10 *1337:12 0.00104188 +11 *697:13 *1337:12 0 +12 *698:5 *2472:la_oenb_mprj[68] 0 +13 *953:12 *1337:9 0 +14 *1206:10 *1337:12 0 +15 *1207:10 *1337:12 0 +16 *1209:10 *1337:12 0 +17 *1333:10 *1337:12 0.000353682 +18 *1334:10 *1337:12 5.4367e-05 +*RES +1 *2478:la_oenb[68] *1337:9 37.0973 +2 *1337:9 *1337:12 47.9279 +3 *1337:12 *2472:la_oenb_mprj[68] 56.3522 +*END + +*D_NET *1338 0.011513 +*CONN +*I *2472:la_oenb_mprj[69] I *D mgmt_protect +*I *2478:la_oenb[69] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[69] 0.00223563 +2 *2478:la_oenb[69] 0.00109854 +3 *1338:12 0.00273743 +4 *1338:9 0.00160034 +5 *2472:la_data_out_mprj[66] *1338:9 4.41474e-05 +6 *2472:la_data_out_mprj[69] *2472:la_oenb_mprj[69] 0 +7 *2472:la_iena_mprj[69] *2472:la_oenb_mprj[69] 0 +8 *2478:la_input[69] *1338:9 0 +9 *700:5 *2472:la_oenb_mprj[69] 0 +10 *953:16 *1338:12 0.0002375 +11 *954:9 *1338:9 0 +12 *1208:10 *1338:12 0.00115455 +13 *1210:12 *1338:12 0.000407049 +14 *1212:12 *1338:12 0.00199781 +*RES +1 *2478:la_oenb[69] *1338:9 31.8456 +2 *1338:9 *1338:12 36.2812 +3 *1338:12 *2472:la_oenb_mprj[69] 62.1657 +*END + +*D_NET *1339 0.195769 +*CONN +*I *2472:la_oenb_mprj[6] I *D mgmt_protect +*I *2478:la_oenb[6] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[6] 0.00273096 +2 *2478:la_oenb[6] 0.00140235 +3 *1339:12 0.00273096 +4 *1339:10 0.00831462 +5 *1339:9 0.00971697 +6 *1339:10 *1361:10 0.000119292 +7 *2472:la_iena_mprj[6] *2472:la_oenb_mprj[6] 0 +8 *2478:la_input[6] *1339:9 0 +9 *710:7 *2472:la_oenb_mprj[6] 0 +10 *955:9 *1339:9 0 +11 *988:10 *1339:10 0.000125085 +12 *1139:10 *1339:10 0.00025506 +13 *1139:18 *2472:la_oenb_mprj[6] 0.000355655 +14 *1155:10 *1339:10 0.000173271 +15 *1159:10 *1339:10 0.00294553 +16 *1189:10 *1339:10 0.0811299 +17 *1211:10 *1339:10 0.082977 +18 *1233:10 *1339:10 8.27242e-05 +19 *1267:10 *1339:10 0.000159297 +20 *1279:10 *1339:10 0 +21 *1287:10 *1339:10 0.00245457 +22 *1317:10 *1339:10 9.5672e-05 +*RES +1 *2478:la_oenb[6] *1339:9 38.25 +2 *1339:9 *1339:10 105.989 +3 *1339:10 *1339:12 3.36879 +4 *1339:12 *2472:la_oenb_mprj[6] 54.0683 +*END + +*D_NET *1340 0.0107303 +*CONN +*I *2472:la_oenb_mprj[70] I *D mgmt_protect +*I *2478:la_oenb[70] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[70] 0.00164968 +2 *2478:la_oenb[70] 0.00139367 +3 *1340:12 0.00164968 +4 *1340:10 0.00139367 +5 *2472:la_oenb_mprj[70] *1341:7 0.00296619 +6 *2472:la_iena_mprj[70] *2472:la_oenb_mprj[70] 0 +7 *2478:la_input[70] *1340:10 0 +8 *698:5 *1340:10 0 +9 *700:10 *1340:10 0.000452771 +10 *701:5 *2472:la_oenb_mprj[70] 0 +11 *954:12 *1340:10 0.000320474 +12 *1213:10 *1340:10 0.000904135 +*RES +1 *2478:la_oenb[70] *1340:10 49.7501 +2 *1340:10 *1340:12 4.5 +3 *1340:12 *2472:la_oenb_mprj[70] 63.8267 +*END + +*D_NET *1341 0.0091465 +*CONN +*I *2472:la_oenb_mprj[71] I *D mgmt_protect +*I *2478:la_oenb[71] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[71] 0.000970793 +2 *2478:la_oenb[71] 8.29983e-05 +3 *1341:7 0.00250873 +4 *1341:5 0.00162094 +5 *2472:la_data_out_mprj[71] *1341:7 0 +6 *2472:la_iena_mprj[71] *2472:la_oenb_mprj[71] 0 +7 *2472:la_oenb_mprj[70] *1341:7 0.00296619 +8 *2478:la_input[71] *1341:7 0 +9 *701:5 *1341:7 0.00099685 +10 *702:9 *2472:la_oenb_mprj[71] 0 +*RES +1 *2478:la_oenb[71] *1341:5 2.05183 +2 *1341:5 *1341:7 70.9715 +3 *1341:7 *2472:la_oenb_mprj[71] 33.9758 +*END + +*D_NET *1342 0.00657239 +*CONN +*I *2472:la_oenb_mprj[72] I *D mgmt_protect +*I *2478:la_oenb[72] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[72] 0.00320319 +2 *2478:la_oenb[72] 8.30061e-05 +3 *1342:5 0.00328619 +4 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[72] 0 +5 *2472:la_iena_mprj[72] *2472:la_oenb_mprj[72] 0 +6 *702:11 *2472:la_oenb_mprj[72] 0 +7 *703:10 *2472:la_oenb_mprj[72] 0 +8 *958:7 *2472:la_oenb_mprj[72] 0 +*RES +1 *2478:la_oenb[72] *1342:5 2.05183 +2 *1342:5 *2472:la_oenb_mprj[72] 87.2885 +*END + +*D_NET *1343 0.00976621 +*CONN +*I *2472:la_oenb_mprj[73] I *D mgmt_protect +*I *2478:la_oenb[73] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[73] 0.000546444 +2 *2478:la_oenb[73] 8.30061e-05 +3 *1343:7 0.00290138 +4 *1343:5 0.00243794 +5 *2472:la_oenb_mprj[73] *2472:la_oenb_mprj[74] 0.000324151 +6 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[73] 0.00109499 +7 *2472:la_data_out_mprj[75] *1343:7 0.000393199 +8 *2472:la_iena_mprj[73] *2472:la_oenb_mprj[73] 0.00100363 +9 *2472:la_iena_mprj[75] *1343:7 0 +10 *703:10 *2472:la_oenb_mprj[73] 0.000579046 +11 *703:11 *1343:7 0 +12 *704:7 *2472:la_oenb_mprj[73] 0 +13 *705:7 *1343:7 0.000402434 +14 *959:7 *1343:7 0 +*RES +1 *2478:la_oenb[73] *1343:5 2.05183 +2 *1343:5 *1343:7 70.9715 +3 *1343:7 *2472:la_oenb_mprj[73] 37.3034 +*END + +*D_NET *1344 0.00969025 +*CONN +*I *2472:la_oenb_mprj[74] I *D mgmt_protect +*I *2478:la_oenb[74] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[74] 0.00123784 +2 *2478:la_oenb[74] 8.30061e-05 +3 *1344:7 0.00376755 +4 *1344:5 0.00261272 +5 *1344:7 *2472:la_oenb_mprj[77] 0 +6 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[74] 0.000194701 +7 *2472:la_data_out_mprj[77] *1344:7 0.000220041 +8 *2472:la_iena_mprj[77] *1344:7 0.000267587 +9 *2472:la_oenb_mprj[73] *2472:la_oenb_mprj[74] 0.000324151 +10 *704:11 *1344:7 0 +11 *705:7 *2472:la_oenb_mprj[74] 0 +12 *707:7 *1344:7 0 +13 *960:7 *1344:7 0 +14 *1216:13 *2472:la_oenb_mprj[74] 0.000982665 +*RES +1 *2478:la_oenb[74] *1344:5 2.05183 +2 *1344:5 *1344:7 71.3867 +3 *1344:7 *2472:la_oenb_mprj[74] 48.5348 +*END + +*D_NET *1345 0.0132479 +*CONN +*I *2472:la_oenb_mprj[75] I *D mgmt_protect +*I *2478:la_oenb[75] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[75] 0.00097785 +2 *2478:la_oenb[75] 1.28869e-05 +3 *1345:12 0.0013562 +4 *1345:7 0.00246376 +5 *1345:5 0.0020983 +6 *2472:la_iena_mprj[75] *2472:la_oenb_mprj[75] 0 +7 *704:10 *1345:12 0.000897691 +8 *705:10 *1345:12 0.00044131 +9 *706:7 *2472:la_oenb_mprj[75] 0 +10 *959:7 *2472:la_oenb_mprj[75] 0.000867253 +11 *960:16 *1345:12 0.00096144 +12 *961:7 *1345:7 0 +13 *961:10 *1345:12 0.000163362 +14 *1216:7 *2472:la_oenb_mprj[75] 0 +15 *1217:12 *1345:12 0.000104941 +16 *1218:12 *1345:12 0.00290288 +*RES +1 *2478:la_oenb[75] *1345:5 0.366399 +2 *1345:5 *1345:7 56.4377 +3 *1345:7 *1345:12 45.7726 +4 *1345:12 *2472:la_oenb_mprj[75] 31.0218 +*END + +*D_NET *1346 0.010433 +*CONN +*I *2472:la_oenb_mprj[76] I *D mgmt_protect +*I *2478:la_oenb[76] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[76] 0.000863752 +2 *2478:la_oenb[76] 8.30061e-05 +3 *1346:10 0.00230304 +4 *1346:9 0.00143929 +5 *1346:7 0.00237473 +6 *1346:5 0.00245773 +7 *1346:10 *1347:10 0 +8 *1346:10 *1349:10 0.000356413 +9 *2472:la_iena_mprj[76] *2472:la_oenb_mprj[76] 0 +10 *2472:la_iena_mprj[82] *1346:7 0 +11 *706:11 *1346:7 0 +12 *707:7 *2472:la_oenb_mprj[76] 0 +13 *707:8 *1346:10 0 +14 *713:7 *1346:7 0.000108413 +15 *962:7 *1346:7 0 +16 *962:10 *1346:10 0.000191201 +17 *963:10 *1346:10 0 +18 *964:10 *1346:10 0 +19 *965:10 *1346:10 0 +20 *1223:10 *1346:10 0.000255439 +*RES +1 *2478:la_oenb[76] *1346:5 2.05183 +2 *1346:5 *1346:7 64.3275 +3 *1346:7 *1346:9 4.5 +4 *1346:9 *1346:10 46.7555 +5 *1346:10 *2472:la_oenb_mprj[76] 27.632 +*END + +*D_NET *1347 0.0184528 +*CONN +*I *2472:la_oenb_mprj[77] I *D mgmt_protect +*I *2478:la_oenb[77] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[77] 0.0009864 +2 *2478:la_oenb[77] 8.30061e-05 +3 *1347:10 0.00144914 +4 *1347:9 0.000462741 +5 *1347:7 0.0019597 +6 *1347:5 0.0020427 +7 *1347:7 *2472:la_oenb_mprj[84] 0.000780411 +8 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[77] 0 +9 *2472:la_data_out_mprj[84] *1347:7 0 +10 *2472:la_iena_mprj[77] *2472:la_oenb_mprj[77] 0 +11 *707:11 *1347:7 0 +12 *708:7 *2472:la_oenb_mprj[77] 0 +13 *963:7 *1347:7 0 +14 *963:10 *1347:10 0.00553023 +15 *964:10 *1347:10 0.00515848 +16 *1344:7 *2472:la_oenb_mprj[77] 0 +17 *1346:10 *1347:10 0 +*RES +1 *2478:la_oenb[77] *1347:5 2.05183 +2 *1347:5 *1347:7 61.0054 +3 *1347:7 *1347:9 4.5 +4 *1347:9 *1347:10 58.4022 +5 *1347:10 *2472:la_oenb_mprj[77] 30.954 +*END + +*D_NET *1348 0.0200932 +*CONN +*I *2472:la_oenb_mprj[78] I *D mgmt_protect +*I *2478:la_oenb[78] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[78] 0.00064369 +2 *2478:la_oenb[78] 8.30061e-05 +3 *1348:10 0.00152262 +4 *1348:9 0.000878927 +5 *1348:7 0.00252538 +6 *1348:5 0.00260839 +7 *1348:7 *2472:la_oenb_mprj[86] 0.000152985 +8 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[78] 0 +9 *2472:la_data_out_mprj[87] *1348:7 0 +10 *2472:la_iena_mprj[78] *2472:la_oenb_mprj[78] 0 +11 *2472:la_iena_mprj[86] *1348:7 0 +12 *2472:la_iena_mprj[87] *1348:7 0 +13 *708:8 *1348:10 0.00602151 +14 *708:11 *1348:7 0 +15 *709:7 *2472:la_oenb_mprj[78] 0 +16 *709:8 *1348:10 7.06933e-05 +17 *718:7 *1348:7 0.000627745 +18 *1219:10 *1348:10 0 +19 *1221:10 *1348:10 0.00069087 +20 *1224:10 *1348:10 0.00426741 +*RES +1 *2478:la_oenb[78] *1348:5 2.05183 +2 *1348:5 *1348:7 70.5562 +3 *1348:7 *1348:9 4.5 +4 *1348:9 *1348:10 70.0488 +5 *1348:10 *2472:la_oenb_mprj[78] 21.4032 +*END + +*D_NET *1349 0.016608 +*CONN +*I *2472:la_oenb_mprj[79] I *D mgmt_protect +*I *2478:la_oenb[79] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[79] 0.000962074 +2 *2478:la_oenb[79] 8.30061e-05 +3 *1349:10 0.00235828 +4 *1349:9 0.0013962 +5 *1349:7 0.00215962 +6 *1349:5 0.00224262 +7 *1349:10 *1357:16 0.00201868 +8 *2472:la_data_out_mprj[79] *2472:la_oenb_mprj[79] 0 +9 *2472:la_iena_mprj[79] *2472:la_oenb_mprj[79] 0 +10 *2472:la_iena_mprj[89] *1349:7 0 +11 *709:11 *1349:7 0 +12 *711:7 *2472:la_oenb_mprj[79] 0 +13 *714:8 *1349:10 0.00014932 +14 *715:8 *1349:10 9.27159e-05 +15 *720:7 *1349:7 0 +16 *965:7 *1349:7 0 +17 *965:10 *1349:10 0.00333337 +18 *969:10 *1349:10 0 +19 *976:13 *1349:7 0.000685962 +20 *1223:10 *1349:10 0 +21 *1227:10 *1349:10 0.000769711 +22 *1346:10 *1349:10 0.000356413 +*RES +1 *2478:la_oenb[79] *1349:5 2.05183 +2 *1349:5 *1349:7 62.6664 +3 *1349:7 *1349:9 4.5 +4 *1349:9 *1349:10 81.6955 +5 *1349:10 *2472:la_oenb_mprj[79] 29.293 +*END + +*D_NET *1350 0.187885 +*CONN +*I *2472:la_oenb_mprj[7] I *D mgmt_protect +*I *2478:la_oenb[7] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[7] 0.000861475 +2 *2478:la_oenb[7] 8.29983e-05 +3 *1350:10 0.00676848 +4 *1350:9 0.00590701 +5 *1350:7 0.00272575 +6 *1350:5 0.00280875 +7 *2472:la_iena_mprj[7] *2472:la_oenb_mprj[7] 0 +8 *710:11 *1350:7 0 +9 *721:7 *2472:la_oenb_mprj[7] 0 +10 *966:7 *1350:7 0 +11 *1148:10 *1350:10 0 +12 *1162:10 *1350:10 0.003828 +13 *1222:10 *1350:10 0.0821406 +14 *1244:10 *1350:10 0.0792428 +15 *1292:10 *1350:10 0.00351928 +*RES +1 *2478:la_oenb[7] *1350:5 2.05183 +2 *1350:5 *1350:7 67.4418 +3 *1350:7 *1350:9 3.36879 +4 *1350:9 *1350:10 104.306 +5 *1350:10 *2472:la_oenb_mprj[7] 23.3864 +*END + +*D_NET *1351 0.0251683 +*CONN +*I *2472:la_oenb_mprj[80] I *D mgmt_protect +*I *2478:la_oenb[80] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[80] 0.000745035 +2 *2478:la_oenb[80] 8.30061e-05 +3 *1351:10 0.00176237 +4 *1351:9 0.00101733 +5 *1351:7 0.00235692 +6 *1351:5 0.00243992 +7 *1351:7 *2472:la_oenb_mprj[91] 0.000156444 +8 *1351:10 *1352:10 0.00785602 +9 *2472:la_data_out_mprj[92] *1351:7 0 +10 *2472:la_iena_mprj[80] *2472:la_oenb_mprj[80] 0 +11 *2472:la_iena_mprj[91] *1351:7 0.0010763 +12 *709:8 *1351:10 0.00632081 +13 *711:11 *1351:7 0 +14 *712:7 *2472:la_oenb_mprj[80] 0 +15 *712:8 *1351:10 0.00027732 +16 *967:7 *1351:7 0 +17 *967:10 *1351:10 0.00102027 +18 *1219:10 *1351:10 5.65165e-05 +*RES +1 *2478:la_oenb[80] *1351:5 2.05183 +2 *1351:5 *1351:7 68.48 +3 *1351:7 *1351:9 4.5 +4 *1351:9 *1351:10 93.8968 +5 *1351:10 *2472:la_oenb_mprj[80] 23.4795 +*END + +*D_NET *1352 0.0287339 +*CONN +*I *2472:la_oenb_mprj[81] I *D mgmt_protect +*I *2478:la_oenb[81] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[81] 0.000772307 +2 *2478:la_oenb[81] 8.30061e-05 +3 *1352:10 0.00190419 +4 *1352:9 0.00113188 +5 *1352:7 0.00232962 +6 *1352:5 0.00241263 +7 *1352:7 *2472:la_oenb_mprj[93] 9.32536e-05 +8 *1352:10 *1358:20 0.000129801 +9 *2472:la_data_out_mprj[81] *2472:la_oenb_mprj[81] 0 +10 *2472:la_data_out_mprj[94] *1352:7 0 +11 *2472:la_iena_mprj[81] *2472:la_oenb_mprj[81] 0 +12 *712:8 *1352:10 0.00938895 +13 *712:11 *1352:7 0 +14 *713:7 *2472:la_oenb_mprj[81] 0 +15 *724:8 *1352:10 0.000658933 +16 *726:5 *1352:7 0.00190121 +17 *967:10 *1352:10 7.2143e-05 +18 *968:7 *1352:7 0 +19 *1351:10 *1352:10 0.00785602 +*RES +1 *2478:la_oenb[81] *1352:5 2.05183 +2 *1352:5 *1352:7 68.0647 +3 *1352:7 *1352:9 4.5 +4 *1352:9 *1352:10 104.989 +5 *1352:10 *2472:la_oenb_mprj[81] 23.8947 +*END + +*D_NET *1353 0.0196463 +*CONN +*I *2472:la_oenb_mprj[82] I *D mgmt_protect +*I *2478:la_oenb[82] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[82] 0.000909876 +2 *2478:la_oenb[82] 8.30061e-05 +3 *1353:10 0.00449486 +4 *1353:9 0.00358498 +5 *1353:7 0.00192397 +6 *1353:5 0.00200697 +7 *1353:7 *2472:la_oenb_mprj[96] 0 +8 *1353:10 *1365:16 2.60015e-05 +9 *1353:10 *1367:16 4.95977e-05 +10 *2472:la_data_out_mprj[83] *2472:la_oenb_mprj[82] 0 +11 *2472:la_data_out_mprj[96] *1353:7 0.000704506 +12 *2472:la_iena_mprj[82] *2472:la_oenb_mprj[82] 0 +13 *2472:la_iena_mprj[96] *1353:7 0.000715752 +14 *711:8 *1353:10 0.00275944 +15 *713:8 *1353:10 0.000909351 +16 *713:11 *1353:7 0 +17 *714:7 *2472:la_oenb_mprj[82] 0.00135413 +18 *962:7 *2472:la_oenb_mprj[82] 0.000123893 +19 *969:7 *1353:7 0 +20 *1225:10 *1353:10 0 +*RES +1 *2478:la_oenb[82] *1353:5 2.05183 +2 *1353:5 *1353:7 58.5139 +3 *1353:7 *1353:9 4.5 +4 *1353:9 *1353:10 115.526 +5 *1353:10 *2472:la_oenb_mprj[82] 35.3019 +*END + +*D_NET *1354 0.0336903 +*CONN +*I *2472:la_oenb_mprj[83] I *D mgmt_protect +*I *2478:la_oenb[83] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[83] 0.000909673 +2 *2478:la_oenb[83] 8.30061e-05 +3 *1354:10 0.00228166 +4 *1354:9 0.00137199 +5 *1354:7 0.0020536 +6 *1354:5 0.0021366 +7 *1354:7 *2472:la_oenb_mprj[98] 0.00197704 +8 *1354:10 *1358:16 0.00060672 +9 *2472:la_iena_mprj[83] *2472:la_oenb_mprj[83] 0 +10 *2472:la_iena_mprj[98] *1354:7 0.000587734 +11 *714:8 *1354:10 0.000194684 +12 *714:11 *1354:7 0 +13 *715:7 *2472:la_oenb_mprj[83] 0 +14 *715:8 *1354:10 0.000108598 +15 *969:10 *1354:10 0.0104549 +16 *1226:10 *1354:10 0.0109241 +*RES +1 *2478:la_oenb[83] *1354:5 2.05183 +2 *1354:5 *1354:7 65.158 +3 *1354:7 *1354:9 4.5 +4 *1354:9 *1354:10 128.282 +5 *1354:10 *2472:la_oenb_mprj[83] 26.8015 +*END + +*D_NET *1355 0.0286488 +*CONN +*I *2472:la_oenb_mprj[84] I *D mgmt_protect +*I *2478:la_oenb[84] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[84] 0.00216326 +2 *2478:la_oenb[84] 0.000936695 +3 *1355:12 0.00216326 +4 *1355:10 0.00271939 +5 *1355:9 0.00365608 +6 *1355:10 *1368:16 0.00372683 +7 *2472:la_data_out_mprj[84] *2472:la_oenb_mprj[84] 0 +8 *2472:la_iena_mprj[84] *2472:la_oenb_mprj[84] 0 +9 *716:7 *2472:la_oenb_mprj[84] 0 +10 *963:7 *2472:la_oenb_mprj[84] 0 +11 *971:9 *1355:9 0 +12 *972:10 *1355:10 0.000443728 +13 *1229:10 *1355:10 0.0120591 +14 *1347:7 *2472:la_oenb_mprj[84] 0.000780411 +*RES +1 *2478:la_oenb[84] *1355:9 28.0839 +2 *1355:9 *1355:10 142.702 +3 *1355:10 *1355:12 4.5 +4 *1355:12 *2472:la_oenb_mprj[84] 64.242 +*END + +*D_NET *1356 0.0387651 +*CONN +*I *2472:la_oenb_mprj[85] I *D mgmt_protect +*I *2478:la_oenb[85] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[85] 0.00179757 +2 *2478:la_oenb[85] 0.00108571 +3 *1356:12 0.00179757 +4 *1356:10 0.00119564 +5 *1356:9 0.00228135 +6 *1356:10 *1371:12 0.000111178 +7 *2472:la_iena_mprj[85] *2472:la_oenb_mprj[85] 0 +8 *2478:la_input[85] *1356:9 0 +9 *717:7 *2472:la_oenb_mprj[85] 0 +10 *972:9 *1356:9 0 +11 *972:10 *1356:10 0.00041958 +12 *973:10 *1356:10 0.0139388 +13 *1220:7 *2472:la_oenb_mprj[85] 0.00278322 +14 *1228:10 *1356:10 0.0133546 +*RES +1 *2478:la_oenb[85] *1356:9 31.4303 +2 *1356:9 *1356:10 151.576 +3 *1356:10 *1356:12 4.5 +4 *1356:12 *2472:la_oenb_mprj[85] 62.5809 +*END + +*D_NET *1357 0.0395907 +*CONN +*I *2472:la_oenb_mprj[86] I *D mgmt_protect +*I *2478:la_oenb[86] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[86] 0.000947287 +2 *2478:la_oenb[86] 0.0013587 +3 *1357:16 0.00256746 +4 *1357:15 0.00296561 +5 *1357:12 0.00157068 +6 *1357:9 0.00158394 +7 *1357:9 *1363:15 0.00041536 +8 *1357:12 *1370:12 0.000173271 +9 *1357:15 *1358:15 0 +10 *1357:16 *1360:16 0.000416163 +11 *2472:la_data_out_mprj[102] *1357:15 5.41467e-05 +12 *2472:la_iena_mprj[86] *2472:la_oenb_mprj[86] 0 +13 *2472:la_oenb_mprj[102] *1357:15 0 +14 *2478:la_input[86] *1357:9 0 +15 *612:5 *1357:9 0 +16 *715:8 *1357:16 0.00020979 +17 *718:7 *2472:la_oenb_mprj[86] 0 +18 *723:8 *1357:16 0.00910478 +19 *731:8 *1357:16 0 +20 *965:10 *1357:16 9.41997e-05 +21 *973:9 *1357:9 0 +22 *983:10 *1357:12 0.00272839 +23 *1119:10 *1357:16 4.37921e-05 +24 *1227:10 *1357:16 0.0104613 +25 *1241:10 *1357:12 0.00272419 +26 *1348:7 *2472:la_oenb_mprj[86] 0.000152985 +27 *1349:10 *1357:16 0.00201868 +*RES +1 *2478:la_oenb[86] *1357:9 37.6591 +2 *1357:9 *1357:12 33.5082 +3 *1357:12 *1357:15 36.6454 +4 *1357:15 *1357:16 134.937 +5 *1357:16 *2472:la_oenb_mprj[86] 28.8777 +*END + +*D_NET *1358 0.0398388 +*CONN +*I *2472:la_oenb_mprj[87] I *D mgmt_protect +*I *2478:la_oenb[87] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[87] 0.000795701 +2 *2478:la_oenb[87] 0.00116302 +3 *1358:20 0.0017779 +4 *1358:18 0.00103206 +5 *1358:16 0.000642292 +6 *1358:15 0.00189776 +7 *1358:10 0.00177467 +8 *1358:9 0.00163236 +9 *1358:10 *1359:10 0.00311683 +10 *2472:la_data_out_mprj[102] *1358:15 0 +11 *2472:la_iena_mprj[87] *2472:la_oenb_mprj[87] 0 +12 *2472:la_oenb_mprj[101] *1358:15 0.000603266 +13 *2478:la_input[87] *1358:9 0 +14 *614:5 *1358:9 0.000388524 +15 *712:8 *1358:20 0.0046198 +16 *715:8 *1358:16 1.40453e-05 +17 *719:7 *2472:la_oenb_mprj[87] 0 +18 *724:8 *1358:16 0 +19 *724:8 *1358:20 0.000264175 +20 *728:8 *1358:16 0.000193373 +21 *729:8 *1358:16 0.00401097 +22 *731:8 *1358:16 0.00240557 +23 *968:10 *1358:20 0.00538192 +24 *969:10 *1358:16 0.000785032 +25 *969:10 *1358:20 0.000190399 +26 *974:9 *1358:9 0 +27 *1235:10 *1358:10 0.000854233 +28 *1242:10 *1358:10 0.00500935 +29 *1243:15 *1358:9 0.000389717 +30 *1246:12 *1358:10 0.000159297 +31 *1352:10 *1358:20 0.000129801 +32 *1354:10 *1358:16 0.00060672 +33 *1357:15 *1358:15 0 +*RES +1 *2478:la_oenb[87] *1358:9 36.4134 +2 *1358:9 *1358:10 53.4107 +3 *1358:10 *1358:15 45.2979 +4 *1358:15 *1358:16 51.7469 +5 *1358:16 *1358:18 1.39857 +6 *1358:18 *1358:20 70.6034 +7 *1358:20 *2472:la_oenb_mprj[87] 24.7252 +*END + +*D_NET *1359 0.0328236 +*CONN +*I *2472:la_oenb_mprj[88] I *D mgmt_protect +*I *2478:la_oenb[88] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[88] 0.000616447 +2 *2478:la_oenb[88] 0.00129407 +3 *1359:16 0.0048582 +4 *1359:15 0.00424175 +5 *1359:13 0.00182322 +6 *1359:10 0.00227605 +7 *1359:9 0.0017469 +8 *2472:la_data_out_mprj[104] *1359:13 5.05252e-05 +9 *2472:la_iena_mprj[104] *1359:13 6.97064e-05 +10 *2472:la_iena_mprj[88] *2472:la_oenb_mprj[88] 0 +11 *2472:la_oenb_mprj[103] *1359:13 0 +12 *2472:la_oenb_mprj[104] *1359:13 0 +13 *2478:la_input[88] *1359:9 0 +14 *607:8 *1359:16 0.00263889 +15 *609:8 *1359:16 0.00104186 +16 *610:7 *1359:13 0 +17 *617:5 *1359:9 0 +18 *618:5 *1359:9 0 +19 *720:7 *2472:la_oenb_mprj[88] 0 +20 *724:8 *1359:16 0.00038789 +21 *975:9 *1359:9 0 +22 *1224:10 *1359:16 0.00140301 +23 *1235:10 *1359:10 0.0049942 +24 *1242:10 *1359:10 1.15389e-05 +25 *1243:10 *1359:10 0.00184072 +26 *1246:12 *1359:10 0.00010238 +27 *1247:15 *1359:9 0.000309381 +28 *1358:10 *1359:10 0.00311683 +*RES +1 *2478:la_oenb[88] *1359:9 35.9981 +2 *1359:9 *1359:10 53.4107 +3 *1359:10 *1359:13 46.1962 +4 *1359:13 *1359:15 4.5 +5 *1359:15 *1359:16 133.828 +6 *1359:16 *2472:la_oenb_mprj[88] 20.988 +*END + +*D_NET *1360 0.0442492 +*CONN +*I *2472:la_oenb_mprj[89] I *D mgmt_protect +*I *2478:la_oenb[89] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[89] 0.00103235 +2 *2478:la_oenb[89] 0.000979315 +3 *1360:16 0.00229667 +4 *1360:15 0.00301716 +5 *1360:10 0.00269298 +6 *1360:9 0.00191945 +7 *1360:10 *1362:10 0.0058648 +8 *1360:10 *1363:10 0.000339026 +9 *2472:la_iena_mprj[105] *1360:15 0 +10 *2472:la_iena_mprj[89] *2472:la_oenb_mprj[89] 0 +11 *2478:la_input[86] *1360:15 0 +12 *2478:la_input[89] *1360:9 0 +13 *620:5 *1360:9 0.000315176 +14 *713:8 *1360:16 0 +15 *722:7 *2472:la_oenb_mprj[89] 0 +16 *722:8 *1360:16 0.0120208 +17 *723:8 *1360:16 0.0112542 +18 *965:10 *1360:16 6.50586e-05 +19 *973:10 *1360:10 0.000116943 +20 *976:9 *1360:9 0 +21 *1247:16 *1360:10 0.00191915 +22 *1357:16 *1360:16 0.000416163 +*RES +1 *2478:la_oenb[89] *1360:9 30.038 +2 *1360:9 *1360:10 67.8304 +3 *1360:10 *1360:15 47.3742 +4 *1360:15 *1360:16 131.61 +5 *1360:16 *2472:la_oenb_mprj[89] 29.7083 +*END + +*D_NET *1361 0.161333 +*CONN +*I *2472:la_oenb_mprj[8] I *D mgmt_protect +*I *2478:la_oenb[8] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[8] 0.00283044 +2 *2478:la_oenb[8] 0.00132694 +3 *1361:12 0.00283044 +4 *1361:10 0.00527555 +5 *1361:9 0.00660249 +6 *2472:la_data_out_mprj[9] *2472:la_oenb_mprj[8] 0 +7 *2472:la_iena_mprj[8] *2472:la_oenb_mprj[8] 0 +8 *2478:la_input[45] *2472:la_oenb_mprj[8] 0.000173926 +9 *677:14 *1361:10 3.40535e-05 +10 *721:11 *1361:9 0 +11 *732:7 *2472:la_oenb_mprj[8] 0 +12 *955:10 *1361:10 0.068472 +13 *977:9 *1361:9 0 +14 *977:10 *1361:10 0.00083916 +15 *988:10 *1361:10 0.0681067 +16 *1179:10 *1361:10 0.000185163 +17 *1295:10 *1361:10 0.00393788 +18 *1311:10 *1361:10 0.000598598 +19 *1339:10 *1361:10 0.000119292 +*RES +1 *2478:la_oenb[8] *1361:9 37.6591 +2 *1361:9 *1361:10 744.447 +3 *1361:10 *1361:12 4.5 +4 *1361:12 *2472:la_oenb_mprj[8] 56.3522 +*END + +*D_NET *1362 0.0462368 +*CONN +*I *2472:la_oenb_mprj[90] I *D mgmt_protect +*I *2478:la_oenb[90] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[90] 0.00106838 +2 *2478:la_oenb[90] 0.00110524 +3 *1362:16 0.00230497 +4 *1362:15 0.0022701 +5 *1362:10 0.00226267 +6 *1362:9 0.00233439 +7 *1362:10 *1363:10 0.000113651 +8 *1362:10 *1365:10 0.00279002 +9 *1362:15 *1363:15 0.0020274 +10 *1362:16 *1363:16 0.0111035 +11 *2472:la_data_out_mprj[91] *2472:la_oenb_mprj[90] 0 +12 *2472:la_iena_mprj[105] *1362:15 0.000487195 +13 *2472:la_iena_mprj[90] *2472:la_oenb_mprj[90] 0 +14 *2472:la_oenb_mprj[105] *1362:15 7.77309e-06 +15 *2478:la_input[86] *1362:15 0 +16 *713:8 *1362:16 0.000143231 +17 *722:8 *1362:16 0.0119275 +18 *722:11 *1362:9 0 +19 *723:7 *2472:la_oenb_mprj[90] 0 +20 *973:10 *1362:10 0.000232907 +21 *978:9 *1362:9 0 +22 *1231:10 *1362:10 0.000193117 +23 *1360:10 *1362:10 0.0058648 +*RES +1 *2478:la_oenb[90] *1362:9 31.0151 +2 *1362:9 *1362:10 82.2501 +3 *1362:10 *1362:15 46.1284 +4 *1362:15 *1362:16 128.282 +5 *1362:16 *2472:la_oenb_mprj[90] 30.5388 +*END + +*D_NET *1363 0.0435152 +*CONN +*I *2472:la_oenb_mprj[91] I *D mgmt_protect +*I *2478:la_oenb[91] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[91] 0.0010364 +2 *2478:la_oenb[91] 0.00100224 +3 *1363:16 0.0022637 +4 *1363:15 0.00221526 +5 *1363:10 0.00337641 +6 *1363:9 0.00339068 +7 *1363:10 *1365:10 0 +8 *1363:10 *1368:16 0.000317707 +9 *1363:16 *1365:16 0.00956833 +10 *2472:la_data_out_mprj[92] *2472:la_oenb_mprj[91] 0 +11 *2472:la_iena_mprj[91] *2472:la_oenb_mprj[91] 0 +12 *2472:la_oenb_mprj[105] *1363:15 0.000794212 +13 *2472:la_oenb_mprj[117] *1363:9 7.06274e-05 +14 *2478:la_input[86] *1363:15 0 +15 *612:5 *1363:15 0 +16 *613:8 *1363:10 0.00132561 +17 *713:8 *1363:16 0.00038227 +18 *722:8 *1363:16 1.65872e-05 +19 *723:11 *1363:9 0 +20 *724:7 *2472:la_oenb_mprj[91] 0 +21 *979:9 *1363:9 0 +22 *1243:16 *1363:10 0.00203157 +23 *1247:16 *1363:10 0.00156814 +24 *1351:7 *2472:la_oenb_mprj[91] 0.000156444 +25 *1357:9 *1363:15 0.00041536 +26 *1360:10 *1363:10 0.000339026 +27 *1362:10 *1363:10 0.000113651 +28 *1362:15 *1363:15 0.0020274 +29 *1362:16 *1363:16 0.0111035 +*RES +1 *2478:la_oenb[91] *1363:9 28.9388 +2 *1363:9 *1363:10 102.216 +3 *1363:10 *1363:15 47.7894 +4 *1363:15 *1363:16 119.963 +5 *1363:16 *2472:la_oenb_mprj[91] 30.954 +*END + +*D_NET *1364 0.0406836 +*CONN +*I *2472:la_oenb_mprj[92] I *D mgmt_protect +*I *2478:la_oenb[92] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[92] 0.00153087 +2 *2478:la_oenb[92] 0.00180929 +3 *1364:12 0.00153087 +4 *1364:10 0.00555321 +5 *1364:9 0.0073625 +6 *2472:la_iena_mprj[92] *2472:la_oenb_mprj[92] 0 +7 *724:11 *1364:9 0 +8 *725:5 *2472:la_oenb_mprj[92] 0 +9 *725:8 *1364:10 0.00021369 +10 *979:10 *1364:10 0.0205173 +11 *1224:7 *2472:la_oenb_mprj[92] 0.00190782 +12 *1236:10 *1364:10 0.000258087 +13 *1249:10 *1364:10 0 +*RES +1 *2478:la_oenb[92] *1364:9 44.3031 +2 *1364:9 *1364:10 233.657 +3 *1364:10 *1364:12 4.5 +4 *1364:12 *2472:la_oenb_mprj[92] 49.7081 +*END + +*D_NET *1365 0.0398562 +*CONN +*I *2472:la_oenb_mprj[93] I *D mgmt_protect +*I *2478:la_oenb[93] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[93] 0.00102371 +2 *2478:la_oenb[93] 0.00114813 +3 *1365:16 0.00274494 +4 *1365:15 0.00283209 +5 *1365:10 0.00355535 +6 *1365:9 0.00359262 +7 *1365:10 *1367:10 0.00464251 +8 *1365:15 *1367:15 0.00030546 +9 *1365:16 *1367:16 0 +10 *1365:16 *1369:16 0.00335258 +11 *2472:la_data_out_mprj[107] *1365:15 0.00110887 +12 *2472:la_iena_mprj[107] *1365:15 0.000360628 +13 *2472:la_iena_mprj[93] *2472:la_oenb_mprj[93] 0 +14 *2472:la_oenb_mprj[122] *1365:9 0 +15 *2478:la_input[87] *1365:15 0 +16 *2478:la_input[93] *1365:9 0 +17 *712:11 *2472:la_oenb_mprj[93] 8.75713e-05 +18 *713:8 *1365:16 0.000683081 +19 *722:8 *1365:16 0.000316073 +20 *726:5 *2472:la_oenb_mprj[93] 0 +21 *981:9 *1365:9 0 +22 *1230:9 *1365:15 9.60903e-06 +23 *1231:10 *1365:10 0.0016154 +24 *1251:10 *1365:10 0 +25 *1352:7 *2472:la_oenb_mprj[93] 9.32536e-05 +26 *1353:10 *1365:16 2.60015e-05 +27 *1362:10 *1365:10 0.00279002 +28 *1363:10 *1365:10 0 +29 *1363:16 *1365:16 0.00956833 +*RES +1 *2478:la_oenb[93] *1365:9 31.8456 +2 *1365:9 *1365:10 128.837 +3 *1365:10 *1365:15 44.4674 +4 *1365:15 *1365:16 116.636 +5 *1365:16 *2472:la_oenb_mprj[93] 31.3693 +*END + +*D_NET *1366 0.0588076 +*CONN +*I *2472:la_oenb_mprj[94] I *D mgmt_protect +*I *2478:la_oenb[94] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[94] 0.00189759 +2 *2478:la_oenb[94] 0.00141176 +3 *1366:12 0.00189759 +4 *1366:10 0.00208354 +5 *1366:9 0.0034953 +6 *2472:la_iena_mprj[94] *2472:la_oenb_mprj[94] 0 +7 *2472:la_oenb_mprj[124] *1366:9 0.000913233 +8 *2478:la_input[94] *1366:9 0 +9 *727:5 *2472:la_oenb_mprj[94] 0 +10 *968:7 *2472:la_oenb_mprj[94] 0.000571834 +11 *980:10 *1366:10 0.0209895 +12 *981:10 *1366:10 0.0227672 +13 *982:9 *1366:9 0 +14 *982:10 *1366:10 0.000264727 +15 *985:12 *1366:10 0.000235008 +16 *1121:10 *1366:10 0.000851028 +17 *1239:10 *1366:10 0.00142928 +*RES +1 *2478:la_oenb[94] *1366:9 40.5659 +2 *1366:9 *1366:10 257.505 +3 *1366:10 *1366:12 4.5 +4 *1366:12 *2472:la_oenb_mprj[94] 53.4454 +*END + +*D_NET *1367 0.0440454 +*CONN +*I *2472:la_oenb_mprj[95] I *D mgmt_protect +*I *2478:la_oenb[95] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[95] 0.00104032 +2 *2478:la_oenb[95] 0.00121326 +3 *1367:16 0.00476978 +4 *1367:15 0.00464926 +5 *1367:10 0.00317988 +6 *1367:9 0.00347334 +7 *1367:10 *1369:10 0.0145309 +8 *1367:10 *1371:12 0.000220514 +9 *1367:16 *1369:16 0 +10 *2472:la_data_out_mprj[107] *1367:15 0.000888202 +11 *2472:la_data_out_mprj[96] *2472:la_oenb_mprj[95] 0 +12 *2472:la_iena_mprj[95] *2472:la_oenb_mprj[95] 0 +13 *2478:la_input[95] *1367:9 0 +14 *613:5 *1367:15 0.000464812 +15 *634:8 *1367:10 0 +16 *713:11 *2472:la_oenb_mprj[95] 5.82975e-05 +17 *728:7 *2472:la_oenb_mprj[95] 0.00122938 +18 *864:16 *1367:16 0.000250133 +19 *974:10 *1367:10 0.0012501 +20 *983:9 *1367:9 0 +21 *1230:9 *1367:15 7.09666e-06 +22 *1231:10 *1367:10 0.00171937 +23 *1234:10 *1367:10 0.000103234 +24 *1251:10 *1367:10 0 +25 *1270:10 *1367:10 0 +26 *1353:10 *1367:16 4.95977e-05 +27 *1365:10 *1367:10 0.00464251 +28 *1365:15 *1367:15 0.00030546 +29 *1365:16 *1367:16 0 +*RES +1 *2478:la_oenb[95] *1367:9 32.6761 +2 *1367:9 *1367:10 170.432 +3 *1367:10 *1367:15 39.8997 +4 *1367:15 *1367:16 96.6698 +5 *1367:16 *2472:la_oenb_mprj[95] 36.963 +*END + +*D_NET *1368 0.0636085 +*CONN +*I *2472:la_oenb_mprj[96] I *D mgmt_protect +*I *2478:la_oenb[96] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[96] 0.00240441 +2 *2478:la_oenb[96] 0.00136631 +3 *1368:18 0.00240441 +4 *1368:16 0.0011228 +5 *1368:15 0.00150155 +6 *1368:10 0.00184524 +7 *1368:9 0.0028328 +8 *1368:10 *1370:12 0.00115772 +9 *2472:la_data_out_mprj[97] *2472:la_oenb_mprj[96] 0 +10 *2472:la_iena_mprj[96] *2472:la_oenb_mprj[96] 0 +11 *612:5 *1368:15 0.000397835 +12 *728:11 *1368:9 0 +13 *729:7 *2472:la_oenb_mprj[96] 0 +14 *730:11 *1368:9 0.00046086 +15 *863:10 *1368:10 0.000838111 +16 *969:7 *2472:la_oenb_mprj[96] 0.000681256 +17 *973:9 *1368:15 0 +18 *983:10 *1368:10 0.017454 +19 *984:9 *1368:9 0 +20 *1229:10 *1368:16 0.000151531 +21 *1241:10 *1368:10 0.0189152 +22 *1242:10 *1368:10 0.000279817 +23 *1243:16 *1368:16 0.00223788 +24 *1247:16 *1368:16 0.00351212 +25 *1353:7 *2472:la_oenb_mprj[96] 0 +26 *1355:10 *1368:16 0.00372683 +27 *1363:10 *1368:16 0.000317707 +*RES +1 *2478:la_oenb[96] *1368:9 37.6591 +2 *1368:9 *1368:10 200.381 +3 *1368:10 *1368:15 17.476 +4 *1368:15 *1368:16 80.5863 +5 *1368:16 *1368:18 4.5 +6 *1368:18 *2472:la_oenb_mprj[96] 64.6572 +*END + +*D_NET *1369 0.0473394 +*CONN +*I *2472:la_oenb_mprj[97] I *D mgmt_protect +*I *2478:la_oenb[97] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[97] 0.000746694 +2 *2478:la_oenb[97] 0.00125287 +3 *1369:16 0.00280049 +4 *1369:15 0.00300344 +5 *1369:10 0.00534635 +6 *1369:9 0.00564958 +7 *1369:9 *2472:mprj_adr_o_core[3] 0 +8 *1369:9 *1674:5 0 +9 *1369:10 *1371:12 0.000224455 +10 *1369:10 *1592:24 0 +11 *1369:10 *1603:10 3.58963e-05 +12 *2472:la_data_out_mprj[109] *1369:15 0.000316275 +13 *2472:la_data_out_mprj[98] *2472:la_oenb_mprj[97] 0 +14 *2472:la_iena_mprj[109] *1369:15 0 +15 *615:5 *1369:15 0.00184111 +16 *634:8 *1369:10 0 +17 *714:11 *2472:la_oenb_mprj[97] 0 +18 *722:8 *1369:16 8.46377e-05 +19 *729:11 *1369:9 0 +20 *730:7 *2472:la_oenb_mprj[97] 0 +21 *864:16 *1369:16 0.00240627 +22 *978:10 *1369:10 9.12806e-05 +23 *1234:10 *1369:10 0.00402611 +24 *1235:10 *1369:10 0 +25 *1241:13 *2472:la_oenb_mprj[97] 0.0016305 +26 *1247:10 *1369:10 0 +27 *1365:16 *1369:16 0.00335258 +28 *1367:10 *1369:10 0.0145309 +29 *1367:16 *1369:16 0 +*RES +1 *2478:la_oenb[97] *1369:9 33.0913 +2 *1369:9 *1369:10 194.835 +3 *1369:10 *1369:15 42.3912 +4 *1369:15 *1369:16 97.2244 +5 *1369:16 *2472:la_oenb_mprj[97] 32.1998 +*END + +*D_NET *1370 0.0854548 +*CONN +*I *2472:la_oenb_mprj[98] I *D mgmt_protect +*I *2478:la_oenb[98] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[98] 0.00185192 +2 *2478:la_oenb[98] 0.00143985 +3 *1370:12 0.00618152 +4 *1370:9 0.00576945 +5 *1370:9 *1676:5 4.38172e-05 +6 *2472:la_data_out_mprj[99] *2472:la_oenb_mprj[98] 0 +7 *2472:la_iena_mprj[98] *2472:la_oenb_mprj[98] 0 +8 *609:14 *1370:12 0.000212637 +9 *731:7 *2472:la_oenb_mprj[98] 0 +10 *986:9 *1370:9 0 +11 *986:12 *1370:12 0.0329032 +12 *987:12 *1370:12 0.0324049 +13 *1241:10 *1370:12 0.0013395 +14 *1354:7 *2472:la_oenb_mprj[98] 0.00197704 +15 *1357:12 *1370:12 0.000173271 +16 *1368:10 *1370:12 0.00115772 +*RES +1 *2478:la_oenb[98] *1370:9 35.1966 +2 *1370:9 *1370:12 45.4883 +3 *1370:12 *2472:la_oenb_mprj[98] 56.5598 +*END + +*D_NET *1371 0.0707426 +*CONN +*I *2472:la_oenb_mprj[99] I *D mgmt_protect +*I *2478:la_oenb[99] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[99] 0.00226909 +2 *2478:la_oenb[99] 0.00123515 +3 *1371:12 0.0153532 +4 *1371:9 0.0143192 +5 *1371:12 *1592:24 0.000107179 +6 *1371:12 *1603:10 0.000114491 +7 *1371:12 *1606:10 0.000127812 +8 *1371:12 *1674:8 0.00012426 +9 *2472:la_iena_mprj[99] *2472:la_oenb_mprj[99] 0 +10 *606:7 *2472:la_oenb_mprj[99] 0 +11 *634:8 *1371:12 0.000102215 +12 *731:11 *1371:9 0 +13 *973:10 *1371:12 0.000477891 +14 *974:10 *1371:12 0.000477891 +15 *987:9 *1371:9 0 +16 *987:12 *1371:12 9.74681e-05 +17 *1118:12 *1371:12 0.033113 +18 *1227:7 *2472:la_oenb_mprj[99] 0.000920759 +19 *1228:10 *1371:12 0.000102438 +20 *1230:10 *1371:12 0.000622192 +21 *1231:10 *1371:12 0.000622192 +22 *1356:10 *1371:12 0.000111178 +23 *1367:10 *1371:12 0.000220514 +24 *1369:10 *1371:12 0.000224455 +*RES +1 *2478:la_oenb[99] *1371:9 31.3372 +2 *1371:9 *1371:12 46.8651 +3 *1371:12 *2472:la_oenb_mprj[99] 61.5428 +*END + +*D_NET *1372 0.124369 +*CONN +*I *2472:la_oenb_mprj[9] I *D mgmt_protect +*I *2478:la_oenb[9] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[9] 0.000643385 +2 *2478:la_oenb[9] 8.29983e-05 +3 *1372:10 0.0172567 +4 *1372:9 0.0166134 +5 *1372:7 0.00291215 +6 *1372:5 0.00299515 +7 *2472:la_iena_mprj[9] *2472:la_oenb_mprj[9] 0 +8 *616:7 *2472:la_oenb_mprj[9] 0 +9 *732:11 *1372:7 0 +10 *910:10 *1372:10 0.00286711 +11 *988:9 *1372:7 0 +12 *1128:10 *1372:10 0.000480973 +13 *1244:10 *1372:10 0.000268945 +14 *1256:10 *1372:10 0.077612 +15 *1297:10 *1372:10 0.00263589 +*RES +1 *2478:la_oenb[9] *1372:5 2.05183 +2 *1372:5 *1372:7 70.7639 +3 *1372:7 *1372:9 3.36879 +4 *1372:9 *1372:10 101.093 +5 *1372:10 *2472:la_oenb_mprj[9] 20.0644 +*END + +*D_NET *1373 0.0611022 +*CONN +*I *2473:la_oenb[0] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[0] O *D mgmt_protect +*CAP +1 *2473:la_oenb[0] 0.00261458 +2 *2472:la_oenb_core[0] 0.00105537 +3 *1373:10 0.00261458 +4 *1373:8 0.00305513 +5 *1373:7 0.0041105 +6 *2473:la_oenb[0] *1648:7 0.00024398 +7 *1373:8 *1613:8 0.000385391 +8 *1373:8 *1632:8 0 +9 *1373:8 *1638:8 3.91975e-05 +10 *1373:8 *1681:8 5.03285e-05 +11 *1373:8 *1697:8 0.000505953 +12 *1373:8 *1699:8 0 +13 *1373:8 *1701:8 0 +14 *1373:8 *1758:8 0.0182208 +15 *1373:8 *1762:8 6.58943e-05 +16 *1373:8 *1764:8 0 +17 *2472:la_data_out_core[0] *1373:7 0 +18 *733:7 *1373:7 0 +19 *733:13 *1373:8 0.00284978 +20 *772:5 *1373:7 0 +21 *794:11 *1373:7 7.75059e-05 +22 *794:11 *1373:8 0 +23 *1028:8 *1373:8 0.0252132 +*RES +1 *2472:la_oenb_core[0] *1373:7 33.8608 +2 *1373:7 *1373:8 283.017 +3 *1373:8 *1373:10 4.5 +4 *1373:10 *2473:la_oenb[0] 54.6912 +*END + +*D_NET *1374 0.227273 +*CONN +*I *2473:la_oenb[100] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[100] O *D mgmt_protect +*CAP +1 *2473:la_oenb[100] 0.00229285 +2 *2472:la_oenb_core[100] 0.00159628 +3 *1374:10 0.00229285 +4 *1374:8 0.00702759 +5 *1374:7 0.00862388 +6 *1374:8 *1376:8 0.000206147 +7 *1374:8 *1378:8 0.0967987 +8 *1374:8 *1380:8 0.00926612 +9 *1374:8 *1499:8 0.0978286 +10 *2472:la_data_out_core[100] *1374:7 0 +11 *734:7 *1374:7 0 +12 *735:7 *1374:7 0 +13 *1063:5 *1374:7 0 +14 *1065:8 *1374:8 0.00134021 +*RES +1 *2472:la_oenb_core[100] *1374:7 37.598 +2 *1374:7 *1374:8 1049.48 +3 *1374:8 *1374:10 4.5 +4 *1374:10 *2473:la_oenb[100] 50.9539 +*END + +*D_NET *1375 0.231197 +*CONN +*I *2473:la_oenb[101] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[101] O *D mgmt_protect +*CAP +1 *2473:la_oenb[101] 0.0014943 +2 *2472:la_oenb_core[101] 0.00172099 +3 *1375:8 0.00849619 +4 *1375:7 0.00700188 +5 *1375:5 0.00172099 +6 *1375:8 *1377:8 0.0993943 +7 *1375:8 *1379:8 0.000141029 +8 *1375:8 *1448:14 0.000634418 +9 *1375:8 *1468:10 1.55995e-05 +10 *1375:8 *1472:10 0.0459911 +11 *1375:8 *1492:14 1.41689e-05 +12 *1375:8 *1498:18 0.00642687 +13 *2473:la_data_in[52] *1375:5 0.000686056 +14 *736:7 *1375:5 0 +15 *991:11 *1375:5 0.00258673 +16 *1014:8 *1375:8 0.0548721 +*RES +1 *2472:la_oenb_core[101] *1375:5 50.9539 +2 *1375:5 *1375:7 4.5 +3 *1375:7 *1375:8 1062.79 +4 *1375:8 *2473:la_oenb[101] 37.598 +*END + +*D_NET *1376 0.272661 +*CONN +*I *2473:la_oenb[102] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[102] O *D mgmt_protect +*CAP +1 *2473:la_oenb[102] 0.00226263 +2 *2472:la_oenb_core[102] 0.0016287 +3 *1376:10 0.00226263 +4 *1376:8 0.0125647 +5 *1376:7 0.0141934 +6 *1376:8 *1380:8 0.113597 +7 *1376:8 *1499:8 0.010549 +8 *2472:la_data_out_core[102] *1376:7 0 +9 *737:7 *1376:7 0 +10 *1103:8 *1376:8 0 +11 *1112:8 *1376:8 0.00841036 +12 *1114:8 *1376:8 0.106987 +13 *1374:8 *1376:8 0.000206147 +*RES +1 *2472:la_oenb_core[102] *1376:7 36.6745 +2 *1376:7 *1376:8 148.517 +3 *1376:8 *1376:10 3.36879 +4 *1376:10 *2473:la_oenb[102] 50.7463 +*END + +*D_NET *1377 0.231196 +*CONN +*I *2473:la_oenb[103] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[103] O *D mgmt_protect +*CAP +1 *2473:la_oenb[103] 0.00150075 +2 *2472:la_oenb_core[103] 0.00196723 +3 *1377:8 0.00888686 +4 *1377:7 0.00738612 +5 *1377:5 0.00196723 +6 *1377:8 *1379:8 0.000305243 +7 *1377:8 *1381:16 0.0957542 +8 *1377:8 *1468:10 0.00315438 +9 *1377:8 *1468:16 0.000423922 +10 *1377:8 *1468:18 8.66138e-05 +11 *738:7 *1377:5 0 +12 *993:11 *1377:5 0.00255694 +13 *1014:8 *1377:8 0.00182431 +14 *1115:8 *1377:8 0.00598824 +15 *1375:8 *1377:8 0.0993943 +*RES +1 *2472:la_oenb_core[103] *1377:5 50.5386 +2 *1377:5 *1377:7 4.5 +3 *1377:7 *1377:8 1089.41 +4 *1377:8 *2473:la_oenb[103] 38.0133 +*END + +*D_NET *1378 0.236042 +*CONN +*I *2473:la_oenb[104] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[104] O *D mgmt_protect +*CAP +1 *2473:la_oenb[104] 0.00227303 +2 *2472:la_oenb_core[104] 0.00151437 +3 *1378:10 0.00227303 +4 *1378:8 0.00828916 +5 *1378:7 0.00980353 +6 *1378:8 *1380:8 0.011271 +7 *1378:8 *1382:8 0.101957 +8 *2472:la_data_out_core[104] *1378:7 0 +9 *2473:la_data_in[53] *1378:7 0.000227718 +10 *739:7 *1378:7 0 +11 *1065:8 *1378:8 0.000538827 +12 *1067:10 *1378:8 0.00109633 +13 *1374:8 *1378:8 0.0967987 +*RES +1 *2472:la_oenb_core[104] *1378:7 37.1828 +2 *1378:7 *1378:8 1103.28 +3 *1378:8 *1378:10 4.5 +4 *1378:10 *2473:la_oenb[104] 51.3691 +*END + +*D_NET *1379 0.283057 +*CONN +*I *2473:la_oenb[105] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[105] O *D mgmt_protect +*CAP +1 *2473:la_oenb[105] 0.00156779 +2 *2472:la_oenb_core[105] 0.00256779 +3 *1379:8 0.020881 +4 *1379:7 0.0193132 +5 *1379:5 0.00256779 +6 *1379:8 *1381:16 0.000579822 +7 *1379:8 *1383:16 0.00730115 +8 *1379:8 *1386:16 0.000110257 +9 *1379:8 *1387:12 0.000101365 +10 *1379:8 *1391:8 0.111586 +11 *1379:8 *1450:14 0.000157517 +12 *1379:8 *1452:10 0.00024852 +13 *1379:8 *1465:14 0.000228981 +14 *1379:8 *1468:10 0.000259403 +15 *1379:8 *1468:18 0.00183192 +16 *1379:8 *1490:10 0.00112836 +17 *1379:8 *1494:14 0.000384538 +18 *2472:la_data_out_core[106] *1379:5 0 +19 *740:7 *1379:5 0 +20 *995:11 *1379:5 0 +21 *1014:8 *1379:8 0.000403492 +22 *1016:8 *1379:8 0.00469217 +23 *1068:8 *1379:8 0.000253421 +24 *1115:8 *1379:8 0.106446 +25 *1375:8 *1379:8 0.000141029 +26 *1377:8 *1379:8 0.000305243 +*RES +1 *2472:la_oenb_core[105] *1379:5 49.0853 +2 *1379:5 *1379:7 3.36879 +3 *1379:7 *1379:8 153.948 +4 *1379:8 *2473:la_oenb[105] 38.3355 +*END + +*D_NET *1380 0.285805 +*CONN +*I *2473:la_oenb[106] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[106] O *D mgmt_protect +*CAP +1 *2473:la_oenb[106] 0.00225867 +2 *2472:la_oenb_core[106] 0.00161862 +3 *1380:10 0.00225867 +4 *1380:8 0.0131568 +5 *1380:7 0.0147754 +6 *1380:8 *1382:8 0.00010238 +7 *1380:8 *1388:8 0.116796 +8 *2472:la_data_out_core[106] *1380:7 0 +9 *2473:la_data_in[54] *1380:7 0 +10 *741:7 *1380:7 0 +11 *1103:8 *1380:8 0.000704755 +12 *1374:8 *1380:8 0.00926612 +13 *1376:8 *1380:8 0.113597 +14 *1378:8 *1380:8 0.011271 +*RES +1 *2472:la_oenb_core[106] *1380:7 35.844 +2 *1380:7 *1380:8 155.86 +3 *1380:8 *1380:10 3.36879 +4 *1380:10 *2473:la_oenb[106] 51.5768 +*END + +*D_NET *1381 0.239801 +*CONN +*I *2473:la_oenb[107] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[107] O *D mgmt_protect +*CAP +1 *2473:la_oenb[107] 0.00149907 +2 *2472:la_oenb_core[107] 0.00193896 +3 *1381:16 0.00912095 +4 *1381:15 0.00828052 +5 *1381:10 0.00131141 +6 *1381:9 0.00259173 +7 *1381:9 *1451:17 0.000256748 +8 *1381:10 *1383:15 0.00284109 +9 *1381:10 *1386:15 0.00120988 +10 *1381:10 *1477:10 0.000875064 +11 *1381:15 *2473:la_oenb[56] 0 +12 *1381:15 *1383:15 0 +13 *1381:16 *1383:16 1.41689e-05 +14 *1381:16 *1391:8 0.000135387 +15 *1381:16 *1468:18 0.0286484 +16 *2472:la_data_out_core[107] *1381:9 0 +17 *2472:la_data_out_core[108] *1381:9 0 +18 *742:7 *1381:9 0 +19 *813:11 *1381:15 0 +20 *1014:8 *1381:16 0.00211615 +21 *1016:8 *1381:16 0.0751938 +22 *1066:8 *1381:10 0.000217951 +23 *1076:8 *1381:10 0.000694433 +24 *1108:8 *1381:10 0.00011056 +25 *1115:8 *1381:16 0.00641114 +26 *1377:8 *1381:16 0.0957542 +27 *1379:8 *1381:16 0.000579822 +*RES +1 *2472:la_oenb_core[107] *1381:9 44.1444 +2 *1381:9 *1381:10 48.9739 +3 *1381:10 *1381:15 20.3828 +4 *1381:15 *1381:16 1093.29 +5 *1381:16 *2473:la_oenb[107] 38.4285 +*END + +*D_NET *1382 0.247599 +*CONN +*I *2473:la_oenb[108] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[108] O *D mgmt_protect +*CAP +1 *2473:la_oenb[108] 0.00224878 +2 *2472:la_oenb_core[108] 0.00162001 +3 *1382:10 0.00224878 +4 *1382:8 0.00849244 +5 *1382:7 0.0101125 +6 *1382:8 *1385:8 0.108582 +7 *1382:8 *1388:8 0.0108327 +8 *2472:la_data_out_core[108] *1382:7 0 +9 *2472:la_data_out_core[109] *1382:7 0 +10 *743:7 *1382:7 0 +11 *1067:10 *1382:8 0.00131609 +12 *1069:12 *1382:8 8.66138e-05 +13 *1378:8 *1382:8 0.101957 +14 *1380:8 *1382:8 0.00010238 +*RES +1 *2472:la_oenb_core[108] *1382:7 36.7675 +2 *1382:7 *1382:8 1156.52 +3 *1382:8 *1382:10 4.5 +4 *1382:10 *2473:la_oenb[108] 51.7844 +*END + +*D_NET *1383 0.254017 +*CONN +*I *2473:la_oenb[109] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[109] O *D mgmt_protect +*CAP +1 *2473:la_oenb[109] 0.00152697 +2 *2472:la_oenb_core[109] 0.00166852 +3 *1383:16 0.00885769 +4 *1383:15 0.00801376 +5 *1383:9 0.00235157 +6 *1383:15 *1386:15 0.00045075 +7 *1383:16 *1386:16 0.108157 +8 *1383:16 *1391:8 0.000398957 +9 *1383:16 *1468:18 0.0288586 +10 *2472:la_data_out_core[109] *1383:9 0 +11 *2472:la_data_out_core[110] *1383:9 0 +12 *2473:la_data_in[55] *1383:9 0.00128379 +13 *745:7 *1383:9 0.000195317 +14 *1004:17 *1383:15 7.5909e-06 +15 *1016:8 *1383:16 0.0792531 +16 *1067:7 *1383:9 0 +17 *1076:8 *1383:15 0.00283686 +18 *1379:8 *1383:16 0.00730115 +19 *1381:10 *1383:15 0.00284109 +20 *1381:15 *1383:15 0 +21 *1381:16 *1383:16 1.41689e-05 +*RES +1 *2472:la_oenb_core[109] *1383:9 45.1214 +2 *1383:9 *1383:15 49.2544 +3 *1383:15 *1383:16 1138.22 +4 *1383:16 *2473:la_oenb[109] 39.2591 +*END + +*D_NET *1384 0.0357462 +*CONN +*I *2473:la_oenb[10] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[10] O *D mgmt_protect +*CAP +1 *2473:la_oenb[10] 0.00134823 +2 *2472:la_oenb_core[10] 0.00176953 +3 *1384:8 0.00231276 +4 *1384:7 0.000964521 +5 *1384:5 0.00176953 +6 *1384:5 *2473:la_oenb[17] 0.000231941 +7 *2472:la_data_out_core[10] *1384:5 0 +8 *744:8 *1384:8 0.000313928 +9 *755:5 *1384:5 0 +10 *755:8 *1384:8 0.0135388 +11 *1000:8 *1384:8 0.000591248 +12 *1011:8 *1384:8 0.0129057 +*RES +1 *2472:la_oenb_core[10] *1384:5 48.8776 +2 *1384:5 *1384:7 4.5 +3 *1384:7 *1384:8 149.357 +4 *1384:8 *2473:la_oenb[10] 39.6743 +*END + +*D_NET *1385 0.256092 +*CONN +*I *2473:la_oenb[110] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[110] O *D mgmt_protect +*CAP +1 *2473:la_oenb[110] 0.00225248 +2 *2472:la_oenb_core[110] 0.0015084 +3 *1385:10 0.00225248 +4 *1385:8 0.00806445 +5 *1385:7 0.00957285 +6 *1385:8 *1388:8 0.0124681 +7 *1385:8 *1389:8 0.109557 +8 *2472:la_data_out_core[110] *1385:7 0 +9 *2472:la_data_out_core[111] *1385:7 0 +10 *746:7 *1385:7 0 +11 *1069:10 *1385:8 0.00128951 +12 *1069:12 *1385:8 0.000171288 +13 *1070:13 *1385:7 0.000373157 +14 *1382:8 *1385:8 0.108582 +*RES +1 *2472:la_oenb_core[110] *1385:7 36.3523 +2 *1385:7 *1385:8 1183.14 +3 *1385:8 *1385:10 4.5 +4 *1385:10 *2473:la_oenb[110] 52.1996 +*END + +*D_NET *1386 0.255932 +*CONN +*I *2473:la_oenb[111] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[111] O *D mgmt_protect +*CAP +1 *2473:la_oenb[111] 0.00153341 +2 *2472:la_oenb_core[111] 0.00199938 +3 *1386:16 0.00924623 +4 *1386:15 0.00819727 +5 *1386:9 0.00248382 +6 *1386:15 *1477:10 0.000535212 +7 *1386:16 *1387:12 0.112261 +8 *1386:16 *1391:8 0.00713709 +9 *1386:16 *1468:16 7.92757e-06 +10 *2472:la_data_out_core[111] *1386:9 0 +11 *2472:la_data_out_core[112] *1386:9 0 +12 *2473:la_data_in[56] *1386:9 0 +13 *747:7 *1386:9 0.000285554 +14 *1004:17 *1386:15 0.000492649 +15 *1016:8 *1386:16 0.00182431 +16 *1379:8 *1386:16 0.000110257 +17 *1381:10 *1386:15 0.00120988 +18 *1383:15 *1386:15 0.00045075 +19 *1383:16 *1386:16 0.108157 +*RES +1 *2472:la_oenb_core[111] *1386:9 44.8527 +2 *1386:9 *1386:15 32.477 +3 *1386:15 *1386:16 1181.47 +4 *1386:16 *2473:la_oenb[111] 39.6743 +*END + +*D_NET *1387 0.260281 +*CONN +*I *2473:la_oenb[112] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[112] O *D mgmt_protect +*CAP +1 *2473:la_oenb[112] 0.00155211 +2 *2472:la_oenb_core[112] 0.00247658 +3 *1387:12 0.00949224 +4 *1387:10 0.00804108 +5 *1387:5 0.00257754 +6 *1387:10 *1452:10 1.41689e-05 +7 *1387:10 *1468:16 7.45288e-05 +8 *1387:10 *1494:14 0.000277502 +9 *1387:12 *1390:8 0.112652 +10 *1387:12 *1391:8 0.0081727 +11 *1387:12 *1452:10 0.000625682 +12 *1387:12 *1465:14 0.000482046 +13 *1387:12 *1468:16 2.77625e-06 +14 *2472:la_data_out_core[112] *1387:5 0 +15 *2473:la_data_in[56] *1387:5 0.000404197 +16 *748:7 *1387:5 0 +17 *1016:8 *1387:12 0.000534616 +18 *1068:7 *1387:5 0 +19 *1068:8 *1387:10 0.000538827 +20 *1379:8 *1387:12 0.000101365 +21 *1386:16 *1387:12 0.112261 +*RES +1 *2472:la_oenb_core[112] *1387:5 48.8776 +2 *1387:5 *1387:10 11.3481 +3 *1387:10 *1387:12 1203.66 +4 *1387:12 *2473:la_oenb[112] 40.0896 +*END + +*D_NET *1388 0.304082 +*CONN +*I *2473:la_oenb[113] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[113] O *D mgmt_protect +*CAP +1 *2473:la_oenb[113] 0.00222649 +2 *2472:la_oenb_core[113] 0.0016365 +3 *1388:10 0.00222649 +4 *1388:8 0.0147882 +5 *1388:7 0.0164247 +6 *1388:8 *1398:8 0.125304 +7 *749:7 *1388:7 0 +8 *813:11 *1388:7 0 +9 *1004:17 *1388:7 0 +10 *1103:8 *1388:8 0.00137859 +11 *1380:8 *1388:8 0.116796 +12 *1382:8 *1388:8 0.0108327 +13 *1385:8 *1388:8 0.0124681 +*RES +1 *2472:la_oenb_core[113] *1388:7 35.0134 +2 *1388:7 *1388:8 168.711 +3 *1388:8 *1388:10 3.36879 +4 *1388:10 *2473:la_oenb[113] 52.4073 +*END + +*D_NET *1389 0.264086 +*CONN +*I *2473:la_oenb[114] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[114] O *D mgmt_protect +*CAP +1 *2473:la_oenb[114] 0.00223157 +2 *2472:la_oenb_core[114] 0.00164267 +3 *1389:10 0.00223157 +4 *1389:8 0.00898476 +5 *1389:7 0.0106274 +6 *1389:8 *1392:8 0.115452 +7 *1389:8 *1398:8 0.0119551 +8 *1389:8 *1453:12 0.000301452 +9 *1389:8 *1455:8 0.000150716 +10 *2472:la_data_out_core[114] *1389:7 0 +11 *2472:la_data_out_core[115] *1389:7 0 +12 *750:7 *1389:7 0 +13 *813:11 *1389:7 0 +14 *1069:10 *1389:8 0.000950798 +15 *1385:8 *1389:8 0.109557 +*RES +1 *2472:la_oenb_core[114] *1389:7 35.937 +2 *1389:7 *1389:8 1235.83 +3 *1389:8 *1389:10 4.5 +4 *1389:10 *2473:la_oenb[114] 52.6149 +*END + +*D_NET *1390 0.265375 +*CONN +*I *2473:la_oenb[115] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[115] O *D mgmt_protect +*CAP +1 *2473:la_oenb[115] 0.00155387 +2 *2472:la_oenb_core[115] 0.00263214 +3 *1390:8 0.0101056 +4 *1390:7 0.00855175 +5 *1390:5 0.00263214 +6 *1390:8 *1391:8 0.00012601 +7 *1390:8 *1393:14 0.0002798 +8 *1390:8 *1399:8 0.00756682 +9 *1390:8 *1465:14 0.0231575 +10 *2472:la_data_out_core[115] *1390:5 0 +11 *751:7 *1390:5 0 +12 *1016:8 *1390:8 0.00113035 +13 *1019:8 *1390:8 0.0949867 +14 *1069:5 *1390:5 0 +15 *1387:12 *1390:8 0.112652 +*RES +1 *2472:la_oenb_core[115] *1390:5 48.0471 +2 *1390:5 *1390:7 4.5 +3 *1390:7 *1390:8 1249.69 +4 *1390:8 *2473:la_oenb[115] 40.5048 +*END + +*D_NET *1391 0.313894 +*CONN +*I *2473:la_oenb[116] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[116] O *D mgmt_protect +*CAP +1 *2473:la_oenb[116] 0.00153864 +2 *2472:la_oenb_core[116] 0.00255752 +3 *1391:8 0.0235536 +4 *1391:7 0.0220149 +5 *1391:5 0.00255752 +6 *1391:5 *2473:la_oenb[57] 0.000286862 +7 *1391:8 *1393:14 0.000104638 +8 *1391:8 *1399:8 0.131141 +9 *1391:8 *1465:14 0.000115848 +10 *1391:8 *1490:10 0.00107487 +11 *2472:la_data_out_core[116] *1391:5 0 +12 *751:7 *1391:5 0 +13 *752:5 *1391:5 0 +14 *1014:8 *1391:8 0.000653639 +15 *1016:8 *1391:8 0.000739113 +16 *1379:8 *1391:8 0.111586 +17 *1381:16 *1391:8 0.000135387 +18 *1383:16 *1391:8 0.000398957 +19 *1386:16 *1391:8 0.00713709 +20 *1387:12 *1391:8 0.0081727 +21 *1390:8 *1391:8 0.00012601 +*RES +1 *2472:la_oenb_core[116] *1391:5 48.2547 +2 *1391:5 *1391:7 3.36879 +3 *1391:7 *1391:8 174.142 +4 *1391:8 *2473:la_oenb[116] 39.166 +*END + +*D_NET *1392 0.276168 +*CONN +*I *2473:la_oenb[117] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[117] O *D mgmt_protect +*CAP +1 *2473:la_oenb[117] 0.00219785 +2 *2472:la_oenb_core[117] 0.00131999 +3 *1392:10 0.00219785 +4 *1392:8 0.00888599 +5 *1392:7 0.010206 +6 *1392:8 *1394:8 0.120092 +7 *1392:8 *1398:8 0.0142009 +8 *1392:8 *1455:8 0.000139764 +9 *1392:8 *1455:14 0.000293761 +10 *2472:la_data_out_core[117] *1392:7 0 +11 *2473:la_data_in[58] *1392:7 0.00118109 +12 *753:7 *1392:7 0 +13 *1389:8 *1392:8 0.115452 +*RES +1 *2472:la_oenb_core[117] *1392:7 35.5218 +2 *1392:7 *1392:8 1276.31 +3 *1392:8 *1392:10 4.5 +4 *1392:10 *2473:la_oenb[117] 53.0301 +*END + +*D_NET *1393 0.275906 +*CONN +*I *2473:la_oenb[118] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[118] O *D mgmt_protect +*CAP +1 *2473:la_oenb[118] 0.00155738 +2 *2472:la_oenb_core[118] 0.00125798 +3 *1393:14 0.009828 +4 *1393:13 0.00961488 +5 *1393:10 0.00260224 +6 *1393:10 *1458:14 0.000203727 +7 *1393:13 *1394:7 0.000175504 +8 *1393:14 *1397:14 0.113743 +9 *1393:14 *1399:8 0.00010238 +10 *1393:14 *1401:10 0.00351861 +11 *1393:14 *1401:12 1.41689e-05 +12 *1393:14 *1457:12 0.00119797 +13 *1393:14 *1459:8 0.000156904 +14 *1393:14 *1465:14 0.0200461 +15 *1393:14 *1646:8 0.00823899 +16 *2472:la_data_out_core[118] *1393:10 0 +17 *2472:la_data_out_core[119] *1393:13 0 +18 *753:7 *1393:10 0 +19 *754:5 *1393:10 0 +20 *756:5 *1393:13 0.000247277 +21 *856:8 *1393:10 0.000842351 +22 *1019:8 *1393:14 0.10107 +23 *1071:8 *1393:14 0.00110368 +24 *1390:8 *1393:14 0.0002798 +25 *1391:8 *1393:14 0.000104638 +*RES +1 *2472:la_oenb_core[118] *1393:10 39.0982 +2 *1393:10 *1393:13 30.8319 +3 *1393:13 *1393:14 1280.19 +4 *1393:14 *2473:la_oenb[118] 41.3353 +*END + +*D_NET *1394 0.282264 +*CONN +*I *2473:la_oenb[119] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[119] O *D mgmt_protect +*CAP +1 *2473:la_oenb[119] 0.00217475 +2 *2472:la_oenb_core[119] 0.00156694 +3 *1394:10 0.00217475 +4 *1394:8 0.00858894 +5 *1394:7 0.0101559 +6 *1394:8 *1396:8 0.123398 +7 *1394:8 *1400:8 0.0136061 +8 *1394:8 *1455:14 0.000332046 +9 *2472:la_data_out_core[119] *1394:7 0 +10 *756:5 *1394:7 0 +11 *1392:8 *1394:8 0.120092 +12 *1393:13 *1394:7 0.000175504 +*RES +1 *2472:la_oenb_core[119] *1394:7 35.1065 +2 *1394:7 *1394:8 1302.93 +3 *1394:8 *1394:10 4.5 +4 *1394:10 *2473:la_oenb[119] 53.4454 +*END + +*D_NET *1395 0.0301921 +*CONN +*I *2473:la_oenb[11] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[11] O *D mgmt_protect +*CAP +1 *2473:la_oenb[11] 0.0012684 +2 *2472:la_oenb_core[11] 0.00185498 +3 *1395:8 0.00259428 +4 *1395:7 0.00132588 +5 *1395:5 0.00185498 +6 *1395:8 *1405:8 0.00891622 +7 *2472:la_data_out_core[11] *1395:5 0 +8 *2473:la_data_in[18] *1395:5 0.000182519 +9 *764:5 *1395:5 0 +10 *764:8 *1395:8 0.000936869 +11 *765:10 *1395:8 0.0102397 +12 *1000:8 *1395:8 0.000183679 +13 *1020:8 *1395:8 0.000544848 +14 *1021:8 *1395:8 0.00028978 +*RES +1 *2472:la_oenb_core[11] *1395:5 50.9539 +2 *1395:5 *1395:7 4.5 +3 *1395:7 *1395:8 136.601 +4 *1395:8 *2473:la_oenb[11] 37.598 +*END + +*D_NET *1396 0.286307 +*CONN +*I *2473:la_oenb[120] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[120] O *D mgmt_protect +*CAP +1 *2473:la_oenb[120] 0.00217802 +2 *2472:la_oenb_core[120] 0.00160867 +3 *1396:10 0.00217802 +4 *1396:8 0.00851259 +5 *1396:7 0.0101213 +6 *1396:8 *1400:8 0.0153037 +7 *1396:8 *1402:8 0.120898 +8 *1396:8 *1455:14 0.00109722 +9 *1396:8 *1475:8 0.000650226 +10 *2472:la_data_out_core[120] *1396:7 0 +11 *757:5 *1396:7 0 +12 *759:10 *1396:8 0.000361243 +13 *815:11 *1396:7 0 +14 *1394:8 *1396:8 0.123398 +*RES +1 *2472:la_oenb_core[120] *1396:7 34.6913 +2 *1396:7 *1396:8 1315.69 +3 *1396:8 *1396:10 4.5 +4 *1396:10 *2473:la_oenb[120] 53.8607 +*END + +*D_NET *1397 0.281848 +*CONN +*I *2473:la_oenb[121] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[121] O *D mgmt_protect +*CAP +1 *2473:la_oenb[121] 0.00152441 +2 *2472:la_oenb_core[121] 0.00163893 +3 *1397:14 0.00952013 +4 *1397:13 0.00847676 +5 *1397:8 0.00161917 +6 *1397:7 0.00277707 +7 *1397:8 *1477:10 0.00116739 +8 *1397:13 *2473:la_oenb[62] 6.16595e-06 +9 *1397:14 *1401:12 0.119891 +10 *1397:14 *1646:8 0.0101918 +11 *758:5 *1397:7 0 +12 *1013:11 *1397:7 0.00192124 +13 *1019:8 *1397:14 0.00278118 +14 *1076:8 *1397:8 0.00658974 +15 *1393:14 *1397:14 0.113743 +*RES +1 *2472:la_oenb_core[121] *1397:7 43.8268 +2 *1397:7 *1397:8 70.0488 +3 *1397:8 *1397:13 16.6455 +4 *1397:13 *1397:14 1259.67 +5 *1397:14 *2473:la_oenb[121] 41.7506 +*END + +*D_NET *1398 0.33194 +*CONN +*I *2473:la_oenb[122] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[122] O *D mgmt_protect +*CAP +1 *2473:la_oenb[122] 0.00210045 +2 *2472:la_oenb_core[122] 0.00165369 +3 *1398:10 0.00210045 +4 *1398:8 0.0140178 +5 *1398:7 0.0156714 +6 *1398:8 *1400:8 0.144522 +7 *2472:la_data_out_core[122] *1398:7 0 +8 *2472:la_data_out_core[123] *1398:7 0 +9 *758:5 *1398:7 0 +10 *759:10 *1398:7 0 +11 *1103:8 *1398:8 0.000414164 +12 *1388:8 *1398:8 0.125304 +13 *1389:8 *1398:8 0.0119551 +14 *1392:8 *1398:8 0.0142009 +*RES +1 *2472:la_oenb_core[122] *1398:7 34.1829 +2 *1398:7 *1398:8 185.156 +3 *1398:8 *1398:10 3.36879 +4 *1398:10 *2473:la_oenb[122] 53.2378 +*END + +*D_NET *1399 0.340531 +*CONN +*I *2473:la_oenb[123] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[123] O *D mgmt_protect +*CAP +1 *2473:la_oenb[123] 0.00145807 +2 *2472:la_oenb_core[123] 0.0026525 +3 *1399:8 0.0199936 +4 *1399:7 0.0185355 +5 *1399:5 0.0026525 +6 *1399:8 *1403:8 0.000121359 +7 *1399:8 *1465:14 0.00147281 +8 *1399:8 *1490:10 0.000828329 +9 *1399:8 *1646:8 0.143709 +10 *2472:la_data_out_core[123] *1399:5 0 +11 *760:5 *1399:5 0 +12 *1016:8 *1399:8 0.000950405 +13 *1019:8 *1399:8 0.00934631 +14 *1390:8 *1399:8 0.00756682 +15 *1391:8 *1399:8 0.131141 +16 *1393:14 *1399:8 0.00010238 +*RES +1 *2472:la_oenb_core[123] *1399:5 47.4242 +2 *1399:5 *1399:7 3.36879 +3 *1399:7 *1399:8 187.068 +4 *1399:8 *2473:la_oenb[123] 39.9965 +*END + +*D_NET *1400 0.35084 +*CONN +*I *2473:la_oenb[124] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[124] O *D mgmt_protect +*CAP +1 *2473:la_oenb[124] 0.00209024 +2 *2472:la_oenb_core[124] 0.00162096 +3 *1400:10 0.00209024 +4 *1400:8 0.0113032 +5 *1400:7 0.0129242 +6 *1400:8 *2413:8 0.146097 +7 *2472:la_data_out_core[124] *1400:7 0 +8 *759:13 *1400:7 0 +9 *760:5 *1400:7 0 +10 *761:5 *1400:7 0 +11 *1103:8 *1400:8 0.00128357 +12 *1394:8 *1400:8 0.0136061 +13 *1396:8 *1400:8 0.0153037 +14 *1398:8 *1400:8 0.144522 +*RES +1 *2472:la_oenb_core[124] *1400:7 33.3524 +2 *1400:7 *1400:8 188.904 +3 *1400:8 *1400:10 3.36879 +4 *1400:10 *2473:la_oenb[124] 54.0683 +*END + +*D_NET *1401 0.292978 +*CONN +*I *2473:la_oenb[125] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[125] O *D mgmt_protect +*CAP +1 *2473:la_oenb[125] 0.00147047 +2 *2472:la_oenb_core[125] 0.00263758 +3 *1401:12 0.0103698 +4 *1401:10 0.00927647 +5 *1401:5 0.00301469 +6 *1401:10 *1459:8 0.00100217 +7 *1401:10 *1646:8 0.000133887 +8 *1401:10 *2414:8 1.67988e-05 +9 *1401:10 *2414:14 0.0011774 +10 *1401:12 *1403:8 0.0101881 +11 *1401:12 *2414:8 0.128107 +12 *2472:la_data_out_core[125] *1401:5 0 +13 *761:5 *1401:5 0 +14 *762:5 *1401:5 0 +15 *1019:8 *1401:12 0.00215947 +16 *1393:14 *1401:10 0.00351861 +17 *1393:14 *1401:12 1.41689e-05 +18 *1397:14 *1401:12 0.119891 +*RES +1 *2472:la_oenb_core[125] *1401:5 46.8014 +2 *1401:5 *1401:10 42.4059 +3 *1401:10 *1401:12 1345.64 +4 *1401:12 *2473:la_oenb[125] 42.1658 +*END + +*D_NET *1402 0.296674 +*CONN +*I *2473:la_oenb[126] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[126] O *D mgmt_protect +*CAP +1 *2473:la_oenb[126] 0.00205293 +2 *2472:la_oenb_core[126] 0.00148266 +3 *1402:10 0.00205293 +4 *1402:8 0.010278 +5 *1402:7 0.0117606 +6 *1402:8 *1475:8 0.00076823 +7 *1402:8 *2413:8 0.0155613 +8 *1402:8 *2415:10 0.131446 +9 *2472:la_data_out_core[126] *1402:7 0 +10 *763:5 *1402:7 0 +11 *1074:5 *1402:7 0.00037344 +12 *1396:8 *1402:8 0.120898 +*RES +1 *2472:la_oenb_core[126] *1402:7 34.276 +2 *1402:7 *1402:8 1396.11 +3 *1402:8 *1402:10 4.5 +4 *1402:10 *2473:la_oenb[126] 54.2759 +*END + +*D_NET *1403 0.324367 +*CONN +*I *2473:la_oenb[127] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[127] O *D mgmt_protect +*CAP +1 *2473:la_oenb[127] 0.00146862 +2 *2472:la_oenb_core[127] 0.00252088 +3 *1403:8 0.0325862 +4 *1403:7 0.0336385 +5 *1403:7 *2473:la_oenb[61] 0.000193734 +6 *1403:7 *1646:7 0 +7 *1403:8 *1490:10 0.0672204 +8 *1403:8 *1646:8 0.15304 +9 *1403:8 *2414:8 0.0152772 +10 *2472:la_data_out_core[127] *1403:7 0 +11 *763:5 *1403:7 0 +12 *1007:8 *1403:8 0.00811257 +13 *1108:8 *1403:8 0 +14 *1399:8 *1403:8 0.000121359 +15 *1401:12 *1403:8 0.0101881 +*RES +1 *2472:la_oenb_core[127] *1403:7 49.132 +2 *1403:7 *1403:8 194.411 +3 *1403:8 *2473:la_oenb[127] 41.6575 +*END + +*D_NET *1404 0.02897 +*CONN +*I *2473:la_oenb[12] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[12] O *D mgmt_protect +*CAP +1 *2473:la_oenb[12] 0.00120998 +2 *2472:la_oenb_core[12] 0.0018952 +3 *1404:8 0.00257295 +4 *1404:7 0.00136297 +5 *1404:5 0.0018952 +6 *1404:5 *2473:la_oenb[18] 0 +7 *2472:la_data_out_core[12] *1404:5 0 +8 *765:7 *1404:5 0 +9 *1020:8 *1404:8 0.011446 +10 *1021:8 *1404:8 8.14875e-05 +11 *1022:8 *1404:8 0.00827893 +12 *1026:7 *1404:5 0.000227207 +*RES +1 *2472:la_oenb_core[12] *1404:5 52.6149 +2 *1404:5 *1404:7 4.5 +3 *1404:7 *1404:8 122.736 +4 *1404:8 *2473:la_oenb[12] 35.937 +*END + +*D_NET *1405 0.0278375 +*CONN +*I *2473:la_oenb[13] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[13] O *D mgmt_protect +*CAP +1 *2473:la_oenb[13] 0.00127429 +2 *2472:la_oenb_core[13] 0.00193456 +3 *1405:8 0.00213938 +4 *1405:7 0.000865093 +5 *1405:5 0.00193456 +6 *2473:la_data_in[19] *1405:5 0 +7 *765:10 *1405:8 0.000525216 +8 *766:5 *1405:5 0 +9 *766:8 *1405:8 0 +10 *1021:8 *1405:8 0.0102139 +11 *1021:11 *1405:5 0 +12 *1022:8 *1405:8 3.42853e-05 +13 *1395:8 *1405:8 0.00891622 +*RES +1 *2472:la_oenb_core[13] *1405:5 51.3691 +2 *1405:5 *1405:7 4.5 +3 *1405:7 *1405:8 109.98 +4 *1405:8 *2473:la_oenb[13] 37.1828 +*END + +*D_NET *1406 0.0196321 +*CONN +*I *2473:la_oenb[14] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[14] O *D mgmt_protect +*CAP +1 *2473:la_oenb[14] 0.00118077 +2 *2472:la_oenb_core[14] 0.00201102 +3 *1406:8 0.00335337 +4 *1406:7 0.00217261 +5 *1406:5 0.00201102 +6 *2472:la_data_out_core[14] *1406:5 0 +7 *2472:la_data_out_core[15] *1406:5 0 +8 *767:5 *1406:5 0 +9 *1022:8 *1406:8 0.00890333 +*RES +1 *2472:la_oenb_core[14] *1406:5 53.4454 +2 *1406:5 *1406:7 4.5 +3 *1406:7 *1406:8 96.6698 +4 *1406:8 *2473:la_oenb[14] 35.1065 +*END + +*D_NET *1407 0.0222226 +*CONN +*I *2473:la_oenb[15] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[15] O *D mgmt_protect +*CAP +1 *2473:la_oenb[15] 0.00155977 +2 *2472:la_oenb_core[15] 0.00172426 +3 *1407:8 0.00252459 +4 *1407:7 0.000964825 +5 *1407:5 0.00172426 +6 *2472:la_data_out_core[15] *1407:5 0 +7 *2472:la_data_out_core[16] *1407:5 0 +8 *767:8 *1407:8 0.00722852 +9 *768:7 *1407:5 0 +10 *1023:12 *1407:8 6.44576e-05 +11 *1024:8 *1407:8 0.00643191 +*RES +1 *2472:la_oenb_core[15] *1407:5 45.9709 +2 *1407:5 *1407:7 4.5 +3 *1407:7 *1407:8 82.8047 +4 *1407:8 *2473:la_oenb[15] 42.5811 +*END + +*D_NET *1408 0.0158592 +*CONN +*I *2473:la_oenb[16] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[16] O *D mgmt_protect +*CAP +1 *2473:la_oenb[16] 0.00169025 +2 *2472:la_oenb_core[16] 0.00154596 +3 *1408:8 0.00302589 +4 *1408:7 0.0028816 +5 *2472:la_data_out_core[16] *1408:7 0 +6 *768:8 *1408:8 0.00152165 +7 *769:7 *1408:7 0 +8 *769:8 *1408:8 0 +9 *1024:8 *1408:8 0 +10 *1025:8 *1408:8 0.00519379 +11 *1026:8 *1408:8 0 +*RES +1 *2472:la_oenb_core[16] *1408:7 47.1488 +2 *1408:7 *1408:8 70.0488 +3 *1408:8 *2473:la_oenb[16] 45.9031 +*END + +*D_NET *1409 0.0166846 +*CONN +*I *2473:la_oenb[17] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[17] O *D mgmt_protect +*CAP +1 *2473:la_oenb[17] 0.00155003 +2 *2472:la_oenb_core[17] 0.00126804 +3 *1409:8 0.00227775 +4 *1409:7 0.00199576 +5 *1409:8 *1410:10 0.00328262 +6 *2472:la_data_out_core[11] *2473:la_oenb[17] 0 +7 *2472:la_data_out_core[17] *1409:7 0.000897663 +8 *755:5 *2473:la_oenb[17] 0 +9 *769:8 *1409:8 0.0047116 +10 *770:7 *1409:7 0 +11 *770:8 *1409:8 0.000326185 +12 *773:10 *1409:8 3.40535e-05 +13 *1025:8 *1409:8 0.000108945 +14 *1384:5 *2473:la_oenb[17] 0.000231941 +*RES +1 *2472:la_oenb_core[17] *1409:7 49.2251 +2 *1409:7 *1409:8 56.1838 +3 *1409:8 *2473:la_oenb[17] 43.8268 +*END + +*D_NET *1410 0.0147724 +*CONN +*I *2473:la_oenb[18] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[18] O *D mgmt_protect +*CAP +1 *2473:la_oenb[18] 0.00139324 +2 *2472:la_oenb_core[18] 0.00161022 +3 *1410:10 0.00188344 +4 *1410:7 0.00210041 +5 *1410:10 *1413:10 9.18559e-06 +6 *2472:la_data_out_core[18] *1410:7 0 +7 *765:7 *2473:la_oenb[18] 0.000362171 +8 *770:7 *1410:7 0 +9 *770:8 *1410:10 0.000291294 +10 *771:7 *1410:7 0 +11 *771:10 *1410:10 0.00340818 +12 *773:10 *1410:10 0 +13 *1021:11 *2473:la_oenb[18] 0.000431615 +14 *1404:5 *2473:la_oenb[18] 0 +15 *1409:8 *1410:10 0.00328262 +*RES +1 *2472:la_oenb_core[18] *1410:7 48.8099 +2 *1410:7 *1410:10 47.9279 +3 *1410:10 *2473:la_oenb[18] 39.7421 +*END + +*D_NET *1411 0.0113395 +*CONN +*I *2473:la_oenb[19] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[19] O *D mgmt_protect +*CAP +1 *2473:la_oenb[19] 0.00116616 +2 *2472:la_oenb_core[19] 0.00179056 +3 *1411:10 0.00162028 +4 *1411:5 0.00224468 +5 *2472:la_data_out_core[19] *1411:5 0 +6 *2472:la_data_out_core[20] *1411:5 0 +7 *2473:la_data_in[21] *1411:5 0.000483512 +8 *773:5 *1411:5 0 +9 *1027:10 *1411:10 0.00254822 +10 *1029:10 *1411:10 0.00148607 +*RES +1 *2472:la_oenb_core[19] *1411:5 53.8607 +2 *1411:5 *1411:10 38.5628 +3 *1411:10 *2473:la_oenb[19] 30.1913 +*END + +*D_NET *1412 0.0543624 +*CONN +*I *2473:la_oenb[1] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[1] O *D mgmt_protect +*CAP +1 *2473:la_oenb[1] 0.00178888 +2 *2472:la_oenb_core[1] 0.00172881 +3 *1412:8 0.00550203 +4 *1412:7 0.00544195 +5 *2473:la_oenb[1] *1692:11 8.8054e-05 +6 *1412:8 *1631:8 0.00020979 +7 *1412:8 *1633:8 3.04269e-05 +8 *1412:8 *1637:8 0 +9 *1412:8 *1698:8 0.0190352 +10 *1412:8 *1700:18 0.000270207 +11 *1412:8 *1702:8 0 +12 *1412:8 *1763:8 0.0200465 +13 *1412:8 *1769:8 0 +14 *1412:8 *1776:8 0.000220514 +15 *2472:la_data_out_core[1] *1412:7 0 +16 *766:14 *1412:8 0 +17 *772:5 *1412:7 0 +18 *772:8 *1412:8 0 +19 *783:7 *1412:7 0 +*RES +1 *2472:la_oenb_core[1] *1412:7 47.9793 +2 *1412:7 *1412:8 269.706 +3 *1412:8 *2473:la_oenb[1] 45.0726 +*END + +*D_NET *1413 0.00783379 +*CONN +*I *2473:la_oenb[20] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[20] O *D mgmt_protect +*CAP +1 *2473:la_oenb[20] 0.00147094 +2 *2472:la_oenb_core[20] 0.00166861 +3 *1413:10 0.00200227 +4 *1413:5 0.00219993 +5 *2472:la_data_out_core[19] *2473:la_oenb[20] 0 +6 *2472:la_data_out_core[20] *1413:5 0 +7 *2472:la_data_out_core[21] *1413:5 0 +8 *771:10 *1413:10 1.22938e-05 +9 *773:10 *1413:10 0.000457836 +10 *774:7 *1413:5 0 +11 *1030:7 *1413:5 0 +12 *1030:10 *1413:10 1.27226e-05 +13 *1410:10 *1413:10 9.18559e-06 +*RES +1 *2472:la_oenb_core[20] *1413:5 45.9709 +2 *1413:5 *1413:10 25.8069 +3 *1413:10 *2473:la_oenb[20] 38.0811 +*END + +*D_NET *1414 0.00675766 +*CONN +*I *2473:la_oenb[21] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[21] O *D mgmt_protect +*CAP +1 *2473:la_oenb[21] 0.000286792 +2 *2472:la_oenb_core[21] 0.00309204 +3 *1414:5 0.00337883 +4 *2472:la_data_out_core[21] *1414:5 0 +5 *775:5 *1414:5 0 +*RES +1 *2472:la_oenb_core[21] *1414:5 83.9664 +2 *1414:5 *2473:la_oenb[21] 2.89455 +*END + +*D_NET *1415 0.00735542 +*CONN +*I *2473:la_oenb[22] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[22] O *D mgmt_protect +*CAP +1 *2473:la_oenb[22] 0.00175498 +2 *2472:la_oenb_core[22] 0.00134687 +3 *1415:10 0.00201706 +4 *1415:7 0.00160895 +5 *1415:10 *1416:10 0.000220183 +6 *2472:la_data_out_core[22] *1415:7 0 +7 *2472:la_data_out_core[23] *1415:7 0 +8 *776:8 *1415:7 0 +9 *777:7 *2473:la_oenb[22] 8.62625e-06 +10 *1031:10 *1415:10 0.000277502 +11 *1032:10 *1415:10 0.000121243 +*RES +1 *2472:la_oenb_core[22] *1415:7 41.7506 +2 *1415:7 *1415:10 14.6517 +3 *1415:10 *2473:la_oenb[22] 46.8014 +*END + +*D_NET *1416 0.00956404 +*CONN +*I *2473:la_oenb[23] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[23] O *D mgmt_protect +*CAP +1 *2473:la_oenb[23] 0.00173576 +2 *2472:la_oenb_core[23] 0.00138434 +3 *1416:10 0.00227656 +4 *1416:7 0.00192514 +5 *2472:la_data_out_core[23] *1416:7 0 +6 *777:7 *1416:7 0 +7 *777:10 *1416:10 0.00201716 +8 *1032:10 *1416:10 4.89898e-06 +9 *1415:10 *1416:10 0.000220183 +*RES +1 *2472:la_oenb_core[23] *1416:7 42.1658 +2 *1416:7 *1416:10 28.5167 +3 *1416:10 *2473:la_oenb[23] 46.3861 +*END + +*D_NET *1417 0.0111734 +*CONN +*I *2473:la_oenb[24] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[24] O *D mgmt_protect +*CAP +1 *2473:la_oenb[24] 0.00185353 +2 *2472:la_oenb_core[24] 0.00121114 +3 *1417:10 0.00265185 +4 *1417:7 0.00200946 +5 *2473:la_oenb[24] *1421:7 0 +6 *2472:la_data_out_core[24] *1417:7 0 +7 *2472:la_data_out_core[29] *2473:la_oenb[24] 7.50872e-05 +8 *2473:la_data_in[23] *1417:7 0.000163304 +9 *778:7 *1417:7 0 +10 *778:10 *1417:10 0.000185642 +11 *782:13 *1417:10 2.93863e-05 +12 *1032:10 *1417:10 5.82695e-05 +13 *1034:8 *1417:10 0.00280311 +14 *1035:14 *1417:10 0.000132587 +*RES +1 *2472:la_oenb_core[24] *1417:7 39.2591 +2 *1417:7 *1417:10 41.2726 +3 *1417:10 *2473:la_oenb[24] 49.2929 +*END + +*D_NET *1418 0.014394 +*CONN +*I *2473:la_oenb[25] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[25] O *D mgmt_protect +*CAP +1 *2473:la_oenb[25] 0.00214521 +2 *2472:la_oenb_core[25] 0.000614721 +3 *1418:10 0.00214521 +4 *1418:8 0.00122559 +5 *1418:7 0.00184031 +6 *2473:la_oenb[25] *1425:7 0 +7 *2472:la_data_out_core[25] *1418:7 0.00165997 +8 *2472:la_data_out_core[26] *1418:7 0 +9 *2472:la_data_out_core[32] *2473:la_oenb[25] 0 +10 *779:7 *1418:7 0 +11 *779:8 *1418:8 0.00449543 +12 *786:7 *2473:la_oenb[25] 0.000267602 +*RES +1 *2472:la_oenb_core[25] *1418:7 31.3693 +2 *1418:7 *1418:8 50.6377 +3 *1418:8 *1418:10 4.5 +4 *1418:10 *2473:la_oenb[25] 57.1827 +*END + +*D_NET *1419 0.0154911 +*CONN +*I *2473:la_oenb[26] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[26] O *D mgmt_protect +*CAP +1 *2473:la_oenb[26] 0.00201973 +2 *2472:la_oenb_core[26] 0.000894111 +3 *1419:10 0.00201973 +4 *1419:8 0.00142713 +5 *1419:7 0.00232124 +6 *2473:la_oenb[26] *1428:7 0.000492241 +7 *2472:la_data_out_core[26] *1419:7 0 +8 *2472:la_data_out_core[34] *2473:la_oenb[26] 0.000355859 +9 *779:8 *1419:8 0.000289241 +10 *780:7 *1419:7 0 +11 *780:8 *1419:8 0.00567179 +*RES +1 *2472:la_oenb_core[26] *1419:7 28.8777 +2 *1419:7 *1419:8 63.3936 +3 *1419:8 *1419:10 4.5 +4 *1419:10 *2473:la_oenb[26] 59.6742 +*END + +*D_NET *1420 0.01755 +*CONN +*I *2473:la_oenb[27] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[27] O *D mgmt_protect +*CAP +1 *2473:la_oenb[27] 0.0022832 +2 *2472:la_oenb_core[27] 0.000611708 +3 *1420:10 0.0022832 +4 *1420:8 0.00157633 +5 *1420:7 0.00218804 +6 *2473:la_oenb[27] *1430:7 0 +7 *2472:la_data_out_core[27] *1420:7 0.000642344 +8 *780:7 *1420:7 0 +9 *780:8 *1420:8 0.00100572 +10 *781:7 *1420:7 0 +11 *791:13 *2473:la_oenb[27] 0.000421307 +12 *1036:8 *1420:8 0.00653818 +*RES +1 *2472:la_oenb_core[27] *1420:7 26.8015 +2 *1420:7 *1420:8 77.2587 +3 *1420:8 *1420:10 4.5 +4 *1420:10 *2473:la_oenb[27] 61.7504 +*END + +*D_NET *1421 0.020792 +*CONN +*I *2473:la_oenb[28] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[28] O *D mgmt_protect +*CAP +1 *2473:la_oenb[28] 0.00181243 +2 *2472:la_oenb_core[28] 0.00140048 +3 *1421:14 0.00181243 +4 *1421:12 0.000880908 +5 *1421:10 0.00114905 +6 *1421:7 0.00166862 +7 *2473:la_oenb[28] *1433:5 0.000299581 +8 *2472:la_data_out_core[28] *1421:7 0 +9 *2472:la_data_out_core[29] *1421:7 0 +10 *2472:la_data_out_core[39] *2473:la_oenb[28] 0 +11 *2472:la_data_out_core[40] *2473:la_oenb[28] 0 +12 *2473:la_oenb[24] *1421:7 0 +13 *778:10 *1421:10 0.000114773 +14 *782:7 *1421:7 0 +15 *1037:8 *1421:12 0.00244933 +16 *1037:14 *1421:10 0.00212788 +17 *1037:14 *1421:12 9.82896e-06 +18 *1038:8 *1421:10 9.82896e-06 +19 *1038:8 *1421:12 0.00633412 +20 *1038:14 *1421:10 0.00072275 +*RES +1 *2472:la_oenb_core[28] *1421:7 41.3353 +2 *1421:7 *1421:10 23.3416 +3 *1421:10 *1421:12 67.8304 +4 *1421:12 *1421:14 4.5 +5 *1421:14 *2473:la_oenb[28] 48.0471 +*END + +*D_NET *1422 0.0268693 +*CONN +*I *2473:la_oenb[29] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[29] O *D mgmt_protect +*CAP +1 *2473:la_oenb[29] 0.00187627 +2 *2472:la_oenb_core[29] 0.00131381 +3 *1422:10 0.00187627 +4 *1422:8 0.00100733 +5 *1422:7 0.00232114 +6 *2472:la_data_out_core[29] *1422:7 0 +7 *2472:la_data_out_core[42] *2473:la_oenb[29] 0.000297677 +8 *782:13 *1422:8 4.4379e-05 +9 *782:14 *1422:8 0.00727297 +10 *784:7 *1422:7 0 +11 *784:8 *1422:8 0.00947126 +12 *797:8 *1422:8 0 +13 *798:7 *2473:la_oenb[29] 0 +14 *1034:8 *1422:8 0.00107411 +15 *1038:8 *1422:8 0.000306383 +16 *1038:14 *1422:8 7.6719e-06 +*RES +1 *2472:la_oenb_core[29] *1422:7 39.2591 +2 *1422:7 *1422:8 103.88 +3 *1422:8 *1422:10 4.5 +4 *1422:10 *2473:la_oenb[29] 49.2929 +*END + +*D_NET *1423 0.0578039 +*CONN +*I *2473:la_oenb[2] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[2] O *D mgmt_protect +*CAP +1 *2473:la_oenb[2] 0.00243779 +2 *2472:la_oenb_core[2] 0.00111462 +3 *1423:10 0.00243779 +4 *1423:8 0.00229822 +5 *1423:7 0.00341284 +6 *2473:la_oenb[2] *2472:mprj_dat_i_user[3] 0.00028143 +7 *2473:la_oenb[2] *1638:7 2.71397e-05 +8 *2473:la_oenb[2] *1770:5 0 +9 *2473:la_oenb[2] *2189:7 0 +10 *1423:8 *1434:8 0.0220639 +11 *1423:8 *1640:8 0.000338574 +12 *2472:la_data_out_core[2] *1423:7 0 +13 *2472:la_data_out_core[3] *1423:7 0 +14 *794:7 *1423:7 0 +15 *1028:8 *1423:8 0.0231003 +16 *1050:8 *1423:8 0.000291294 +*RES +1 *2472:la_oenb_core[2] *1423:7 34.6913 +2 *1423:7 *1423:8 256.396 +3 *1423:8 *1423:10 4.5 +4 *1423:10 *2473:la_oenb[2] 53.8607 +*END + +*D_NET *1424 0.0255754 +*CONN +*I *2473:la_oenb[30] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[30] O *D mgmt_protect +*CAP +1 *2473:la_oenb[30] 0.00205224 +2 *2472:la_oenb_core[30] 0.00127 +3 *1424:10 0.00205224 +4 *1424:8 0.00132549 +5 *1424:7 0.00259549 +6 *1424:8 *1425:8 0.00379883 +7 *1424:8 *1438:8 0 +8 *2472:la_data_out_core[30] *1424:7 0 +9 *2472:la_data_out_core[31] *1424:7 0 +10 *2472:la_data_out_core[45] *2473:la_oenb[30] 4.93854e-05 +11 *782:13 *1424:8 0.000326398 +12 *785:7 *1424:7 0 +13 *1035:10 *1424:8 0.00190449 +14 *1035:14 *1424:8 1.67988e-05 +15 *1040:10 *1424:8 0.0101423 +16 *1055:8 *1424:8 4.17356e-05 +*RES +1 *2472:la_oenb_core[30] *1424:7 38.0133 +2 *1424:7 *1424:8 116.636 +3 *1424:8 *1424:10 4.5 +4 *1424:10 *2473:la_oenb[30] 50.5386 +*END + +*D_NET *1425 0.0273175 +*CONN +*I *2473:la_oenb[31] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[31] O *D mgmt_protect +*CAP +1 *2473:la_oenb[31] 0.00212352 +2 *2472:la_oenb_core[31] 0.00124321 +3 *1425:10 0.00212352 +4 *1425:8 0.00170918 +5 *1425:7 0.00295239 +6 *2473:la_oenb[31] *1442:7 5.68404e-05 +7 *1425:8 *1440:8 0 +8 *2472:la_data_out_core[31] *1425:7 0 +9 *2472:la_data_out_core[48] *2473:la_oenb[31] 0 +10 *2473:la_oenb[25] *1425:7 0 +11 *786:7 *1425:7 0 +12 *1035:10 *1425:8 0.00153275 +13 *1041:8 *1425:8 0.0115462 +14 *1042:8 *1425:8 0.000171303 +15 *1055:8 *1425:8 0 +16 *1058:8 *1425:8 5.96914e-05 +17 *1424:8 *1425:8 0.00379883 +*RES +1 *2472:la_oenb_core[31] *1425:7 37.1828 +2 *1425:7 *1425:8 130.501 +3 *1425:8 *1425:10 4.5 +4 *1425:10 *2473:la_oenb[31] 51.3691 +*END + +*D_NET *1426 0.0355502 +*CONN +*I *2473:la_oenb[32] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[32] O *D mgmt_protect +*CAP +1 *2473:la_oenb[32] 0.00208889 +2 *2472:la_oenb_core[32] 0.00109471 +3 *1426:10 0.00208889 +4 *1426:8 0.00131871 +5 *1426:7 0.00241342 +6 *2473:la_oenb[32] *1446:5 0.000447918 +7 *1426:8 *1427:8 0.000113197 +8 *2472:la_data_out_core[32] *1426:7 0 +9 *2472:la_data_out_core[50] *2473:la_oenb[32] 5.93521e-05 +10 *2473:la_data_in[26] *1426:7 0.000112532 +11 *779:8 *1426:8 0 +12 *786:8 *1426:8 0.000105652 +13 *787:7 *1426:7 0 +14 *787:8 *1426:8 0.0132199 +15 *788:8 *1426:8 0.012487 +*RES +1 *2472:la_oenb_core[32] *1426:7 34.6913 +2 *1426:7 *1426:8 143.811 +3 *1426:8 *1426:10 4.5 +4 *1426:10 *2473:la_oenb[32] 53.8607 +*END + +*D_NET *1427 0.0352572 +*CONN +*I *2473:la_oenb[33] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[33] O *D mgmt_protect +*CAP +1 *2473:la_oenb[33] 0.00228344 +2 *2472:la_oenb_core[33] 0.000849038 +3 *1427:10 0.00228344 +4 *1427:8 0.00208852 +5 *1427:7 0.00293756 +6 *2472:la_data_out_core[33] *1427:7 0.000592544 +7 *2472:la_data_out_core[53] *2473:la_oenb[33] 5.82975e-05 +8 *788:7 *1427:7 0 +9 *788:8 *1427:8 0.014488 +10 *795:8 *1427:8 0.000339591 +11 *796:8 *1427:8 0.00922354 +12 *1426:8 *1427:8 0.000113197 +*RES +1 *2472:la_oenb_core[33] *1427:7 33.8608 +2 *1427:7 *1427:8 157.122 +3 *1427:8 *1427:10 4.5 +4 *1427:10 *2473:la_oenb[33] 54.6912 +*END + +*D_NET *1428 0.0371709 +*CONN +*I *2473:la_oenb[34] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[34] O *D mgmt_protect +*CAP +1 *2473:la_oenb[34] 0.00173807 +2 *2472:la_oenb_core[34] 0.00154943 +3 *1428:14 0.00254344 +4 *1428:8 0.0022059 +5 *1428:7 0.00294995 +6 *2473:la_oenb[34] *1439:13 0 +7 *1428:8 *1431:8 0.000113197 +8 *2472:la_data_out_core[34] *1428:7 0 +9 *2473:la_oenb[26] *1428:7 0.000492241 +10 *789:7 *1428:7 0 +11 *792:8 *1428:8 0.010852 +12 *792:8 *1428:14 0.000562618 +13 *792:12 *1428:14 0.000536581 +14 *793:18 *2473:la_oenb[34] 0 +15 *1043:8 *1428:8 0.0132665 +16 *1044:8 *1428:8 0.000360915 +17 *1054:17 *2473:la_oenb[34] 0 +*RES +1 *2472:la_oenb_core[34] *1428:7 47.9793 +2 *1428:7 *1428:8 142.147 +3 *1428:8 *1428:14 33.9422 +4 *1428:14 *2473:la_oenb[34] 41.4031 +*END + +*D_NET *1429 0.042647 +*CONN +*I *2473:la_oenb[35] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[35] O *D mgmt_protect +*CAP +1 *2473:la_oenb[35] 0.00151521 +2 *2472:la_oenb_core[35] 0.00141805 +3 *1429:8 0.00297742 +4 *1429:7 0.00146222 +5 *1429:5 0.00141805 +6 *2473:la_oenb[35] *1454:5 1.77537e-06 +7 *1429:8 *1433:8 0.0142532 +8 *2472:la_data_out_core[35] *1429:5 0.00129087 +9 *2473:la_data_in[27] *1429:5 8.92568e-06 +10 *789:10 *1429:8 0.00134929 +11 *790:5 *1429:5 0 +12 *791:14 *1429:8 0.000313928 +13 *1045:8 *1429:8 0.0166381 +*RES +1 *2472:la_oenb_core[35] *1429:5 47.2166 +2 *1429:5 *1429:7 4.5 +3 *1429:7 *1429:8 183.743 +4 *1429:8 *2473:la_oenb[35] 41.3353 +*END + +*D_NET *1430 0.0466321 +*CONN +*I *2473:la_oenb[36] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[36] O *D mgmt_protect +*CAP +1 *2473:la_oenb[36] 0.00133762 +2 *2472:la_oenb_core[36] 8.20467e-05 +3 *1430:10 0.00276877 +4 *1430:9 0.00143115 +5 *1430:7 0.00191482 +6 *1430:5 0.00199687 +7 *1430:10 *1433:8 1.41689e-05 +8 *1430:10 *1446:8 1.41689e-05 +9 *1430:10 *1450:14 0.00130966 +10 *1430:10 *1452:10 0.00336573 +11 *2473:la_oenb[27] *1430:7 0 +12 *789:10 *1430:10 0.0149773 +13 *790:8 *1430:10 0.0170334 +14 *791:9 *1430:7 0 +15 *791:13 *1430:7 0 +16 *818:5 *2473:la_oenb[36] 0.000386365 +17 *1046:11 *1430:7 0 +18 *1073:17 *2473:la_oenb[36] 0 +*RES +1 *2472:la_oenb_core[36] *1430:5 2.33274 +2 *1430:5 *1430:7 48.5479 +3 *1430:7 *1430:9 4.5 +4 *1430:9 *1430:10 194.835 +5 *1430:10 *2473:la_oenb[36] 40.0896 +*END + +*D_NET *1431 0.0492101 +*CONN +*I *2473:la_oenb[37] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[37] O *D mgmt_protect +*CAP +1 *2473:la_oenb[37] 0.00168642 +2 *2472:la_oenb_core[37] 0.00131369 +3 *1431:8 0.00331124 +4 *1431:7 0.00293851 +5 *1431:8 *1439:14 0.000301452 +6 *2472:la_data_out_core[37] *1431:7 0.00123291 +7 *2473:la_data_in[28] *1431:7 0 +8 *792:7 *1431:7 0 +9 *792:8 *1431:8 0.0130166 +10 *792:12 *1431:8 9.82896e-06 +11 *793:8 *1431:8 1.19856e-05 +12 *821:11 *2473:la_oenb[37] 0 +13 *1044:8 *1431:8 0.000226394 +14 *1047:8 *1431:8 0.00572108 +15 *1047:12 *1431:8 7.02172e-06 +16 *1048:8 *1431:8 0.00650705 +17 *1048:14 *1431:8 0.0128128 +18 *1068:13 *2473:la_oenb[37] 0 +19 *1428:8 *1431:8 0.000113197 +*RES +1 *2472:la_oenb_core[37] *1431:7 48.8099 +2 *1431:7 *1431:8 210.364 +3 *1431:8 *2473:la_oenb[37] 44.2421 +*END + +*D_NET *1432 0.0375373 +*CONN +*I *2473:la_oenb[38] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[38] O *D mgmt_protect +*CAP +1 *2473:la_oenb[38] 0.00120614 +2 *2472:la_oenb_core[38] 0.0021563 +3 *1432:8 0.00629322 +4 *1432:7 0.00508708 +5 *1432:5 0.0021563 +6 *2472:la_data_out_core[38] *1432:5 0 +7 *2473:la_data_in[28] *1432:5 0 +8 *793:5 *1432:5 0 +9 *820:8 *1432:8 0 +10 *823:5 *2473:la_oenb[38] 0 +11 *824:5 *2473:la_oenb[38] 0 +12 *1037:5 *1432:5 0 +13 *1046:8 *1432:8 0 +14 *1049:8 *1432:8 0.0206383 +*RES +1 *2472:la_oenb_core[38] *1432:5 54.2759 +2 *1432:5 *1432:7 4.5 +3 *1432:7 *1432:8 223.674 +4 *1432:8 *2473:la_oenb[38] 34.276 +*END + +*D_NET *1433 0.0552907 +*CONN +*I *2473:la_oenb[39] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[39] O *D mgmt_protect +*CAP +1 *2473:la_oenb[39] 0.0013985 +2 *2472:la_oenb_core[39] 0.00179274 +3 *1433:8 0.00314942 +4 *1433:7 0.00175092 +5 *1433:5 0.00179274 +6 *2473:la_oenb[39] *1465:13 8.62625e-06 +7 *1433:8 *1452:10 0.00937219 +8 *1433:8 *1454:8 0.0079023 +9 *2472:la_data_out_core[39] *1433:5 0 +10 *2472:la_data_out_core[40] *1433:5 0 +11 *2473:la_oenb[28] *1433:5 0.000299581 +12 *789:10 *1433:8 0.0128895 +13 *791:14 *1433:8 3.59302e-05 +14 *795:7 *1433:5 0 +15 *826:5 *2473:la_oenb[39] 0.000630902 +16 *1429:8 *1433:8 0.0142532 +17 *1430:10 *1433:8 1.41689e-05 +*RES +1 *2472:la_oenb_core[39] *1433:5 47.6319 +2 *1433:5 *1433:7 4.5 +3 *1433:7 *1433:8 236.43 +4 *1433:8 *2473:la_oenb[39] 40.9201 +*END + +*D_NET *1434 0.0561452 +*CONN +*I *2473:la_oenb[3] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[3] O *D mgmt_protect +*CAP +1 *2473:la_oenb[3] 0.00226797 +2 *2472:la_oenb_core[3] 0.00112241 +3 *1434:10 0.00226797 +4 *1434:8 0.00201894 +5 *1434:7 0.00314136 +6 *2473:la_oenb[3] *2472:mprj_dat_i_user[5] 0.00017338 +7 *2473:la_oenb[3] *1772:5 0.000385299 +8 *2472:la_data_out_core[3] *1434:7 0 +9 *805:5 *1434:7 0 +10 *1050:8 *1434:8 0.0225908 +11 *1061:8 *1434:8 0.000113197 +12 *1423:8 *1434:8 0.0220639 +*RES +1 *2472:la_oenb_core[3] *1434:7 35.1065 +2 *1434:7 *1434:8 243.085 +3 *1434:8 *1434:10 4.5 +4 *1434:10 *2473:la_oenb[3] 53.4454 +*END + +*D_NET *1435 0.0557126 +*CONN +*I *2473:la_oenb[40] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[40] O *D mgmt_protect +*CAP +1 *2473:la_oenb[40] 0.00126951 +2 *2472:la_oenb_core[40] 0.00189732 +3 *1435:8 0.00360275 +4 *1435:7 0.00233324 +5 *1435:5 0.00189732 +6 *2472:la_data_out_core[40] *1435:5 0 +7 *2473:la_data_in[29] *1435:5 0.00105786 +8 *796:7 *1435:5 0 +9 *820:8 *1435:8 0 +10 *830:5 *2473:la_oenb[40] 0 +11 *1046:8 *1435:8 0 +12 *1049:8 *1435:8 0.0209505 +13 *1052:8 *1435:8 0.000298839 +14 *1053:8 *1435:8 0.0224053 +*RES +1 *2472:la_oenb_core[40] *1435:5 53.4454 +2 *1435:5 *1435:7 4.5 +3 *1435:7 *1435:8 250.295 +4 *1435:8 *2473:la_oenb[40] 35.1065 +*END + +*D_NET *1436 0.0555961 +*CONN +*I *2473:la_oenb[41] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[41] O *D mgmt_protect +*CAP +1 *2473:la_oenb[41] 0.00137667 +2 *2472:la_oenb_core[41] 0.00185211 +3 *1436:8 0.00388087 +4 *1436:7 0.0025042 +5 *1436:5 0.00185211 +6 *1436:8 *1441:8 0.0210862 +7 *2472:la_data_out_core[42] *1436:5 0 +8 *797:7 *1436:5 0 +9 *833:5 *2473:la_oenb[41] 0 +10 *1038:5 *1436:5 0.000910279 +11 *1046:8 *1436:8 0.00128833 +12 *1052:8 *1436:8 0.000903336 +13 *1052:11 *1436:5 0 +14 *1057:8 *1436:8 0.000113197 +15 *1059:8 *1436:8 0.0198288 +*RES +1 *2472:la_oenb_core[41] *1436:5 51.3691 +2 *1436:5 *1436:7 4.5 +3 *1436:7 *1436:8 263.051 +4 *1436:8 *2473:la_oenb[41] 37.1828 +*END + +*D_NET *1437 0.0497466 +*CONN +*I *2473:la_oenb[42] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[42] O *D mgmt_protect +*CAP +1 *2473:la_oenb[42] 0.0017036 +2 *2472:la_oenb_core[42] 0.000996348 +3 *1437:16 0.0017036 +4 *1437:14 0.00223728 +5 *1437:13 0.00274874 +6 *1437:8 0.00190819 +7 *1437:7 0.00239307 +8 *2473:la_oenb[42] *1474:9 5.78262e-05 +9 *1437:8 *1439:8 0.00269558 +10 *1437:8 *1448:8 0.00154564 +11 *1437:14 *1447:14 0.00183377 +12 *2472:la_data_out_core[42] *1437:7 0 +13 *2472:la_data_out_core[55] *1437:13 0 +14 *2473:la_data_in[34] *1437:13 0 +15 *795:8 *1437:8 0.000128915 +16 *797:8 *1437:14 0.0156161 +17 *798:7 *1437:7 0 +18 *798:8 *1437:14 0.000612767 +19 *835:7 *2473:la_oenb[42] 0.00127266 +20 *1054:18 *1437:8 0.00382957 +21 *1064:8 *1437:14 0.0084629 +*RES +1 *2472:la_oenb_core[42] *1437:7 31.7845 +2 *1437:7 *1437:8 96.6698 +3 *1437:8 *1437:13 19.9675 +4 *1437:13 *1437:14 180.415 +5 *1437:14 *1437:16 4.5 +6 *1437:16 *2473:la_oenb[42] 45.9709 +*END + +*D_NET *1438 0.0648337 +*CONN +*I *2473:la_oenb[43] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[43] O *D mgmt_protect +*CAP +1 *2473:la_oenb[43] 0.00212129 +2 *2472:la_oenb_core[43] 0.00142248 +3 *1438:10 0.00212129 +4 *1438:8 0.00234979 +5 *1438:7 0.00377227 +6 *2473:la_oenb[43] *1477:9 8.52802e-05 +7 *2472:la_data_out_core[43] *1438:7 0 +8 *2472:la_data_out_core[79] *2473:la_oenb[43] 0 +9 *2473:la_data_in[30] *1438:7 0 +10 *798:7 *1438:7 0 +11 *798:8 *1438:8 8.90486e-05 +12 *799:7 *1438:7 0 +13 *799:8 *1438:8 0.0263656 +14 *799:14 *1438:8 0.000119063 +15 *837:5 *2473:la_oenb[43] 0 +16 *1040:10 *1438:8 0 +17 *1055:8 *1438:8 0.000347135 +18 *1056:14 *1438:8 0.0260405 +19 *1424:8 *1438:8 0 +*RES +1 *2472:la_oenb_core[43] *1438:7 40.9201 +2 *1438:7 *1438:8 289.672 +3 *1438:8 *1438:10 4.5 +4 *1438:10 *2473:la_oenb[43] 47.6319 +*END + +*D_NET *1439 0.0467454 +*CONN +*I *2473:la_oenb[44] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[44] O *D mgmt_protect +*CAP +1 *2473:la_oenb[44] 0.00173916 +2 *2472:la_oenb_core[44] 0.000967137 +3 *1439:14 0.00470822 +4 *1439:13 0.00373346 +5 *1439:8 0.00279469 +6 *1439:7 0.00299742 +7 *2473:la_oenb[44] *1481:7 0 +8 *1439:8 *1448:8 0.00211915 +9 *1439:8 *1450:10 0.000589703 +10 *1439:14 *1459:8 0.00506317 +11 *1439:14 *1474:10 4.89898e-06 +12 *1439:14 *1476:10 0.000378805 +13 *1439:14 *1479:10 0.000146388 +14 *2472:la_data_out_core[44] *1439:7 0 +15 *2472:la_data_out_core[45] *1439:7 0 +16 *2472:la_data_out_core[55] *1439:13 0.000321771 +17 *2473:la_oenb[34] *1439:13 0 +18 *793:20 *1439:14 0.00905776 +19 *800:7 *1439:7 0 +20 *842:7 *2473:la_oenb[44] 0 +21 *1047:8 *1439:14 0.00566601 +22 *1048:8 *1439:14 0.000338561 +23 *1048:13 *1439:13 1.03986e-05 +24 *1054:8 *1439:14 0.0018007 +25 *1054:12 *1439:14 0.000562009 +26 *1064:14 *1439:8 0 +27 *1096:8 *1439:14 0.000749011 +28 *1431:8 *1439:14 0.000301452 +29 *1437:8 *1439:8 0.00269558 +*RES +1 *2472:la_oenb_core[44] *1439:7 30.954 +2 *1439:7 *1439:8 86.687 +3 *1439:8 *1439:13 27.8573 +4 *1439:13 *1439:14 217.019 +5 *1439:14 *2473:la_oenb[44] 43.4116 +*END + +*D_NET *1440 0.0703669 +*CONN +*I *2473:la_oenb[45] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[45] O *D mgmt_protect +*CAP +1 *2473:la_oenb[45] 0.00170431 +2 *2472:la_oenb_core[45] 0.00137623 +3 *1440:10 0.00170431 +4 *1440:8 0.00279427 +5 *1440:7 0.0041705 +6 *2473:la_oenb[45] *1483:9 0.000339101 +7 *1440:8 *1442:8 0.0283192 +8 *1440:8 *1480:8 9.48476e-05 +9 *1440:8 *1482:8 0.000472909 +10 *2472:la_data_out_core[45] *1440:7 0 +11 *2472:la_data_out_core[46] *1440:7 0 +12 *2473:la_data_in[31] *1440:7 0 +13 *801:7 *1440:7 0 +14 *844:7 *2473:la_oenb[45] 0.00190798 +15 *1055:8 *1440:8 0.0271235 +16 *1058:8 *1440:8 0.000113197 +17 *1095:8 *1440:8 9.00479e-06 +18 *1097:8 *1440:8 0.0002375 +19 *1425:8 *1440:8 0 +*RES +1 *2472:la_oenb_core[45] *1440:7 39.6743 +2 *1440:7 *1440:8 316.847 +3 *1440:8 *1440:10 4.5 +4 *1440:10 *2473:la_oenb[45] 48.8776 +*END + +*D_NET *1441 0.0737252 +*CONN +*I *2473:la_oenb[46] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[46] O *D mgmt_protect +*CAP +1 *2473:la_oenb[46] 0.00142252 +2 *2472:la_oenb_core[46] 0.00213112 +3 *1441:8 0.00382902 +4 *1441:7 0.0024065 +5 *1441:5 0.00213112 +6 *1441:8 *1472:10 0.00978832 +7 *1441:8 *1481:10 0.000293908 +8 *2472:la_data_out_core[46] *1441:5 0 +9 *2472:la_data_out_core[47] *1441:5 0 +10 *2472:la_data_out_core[87] *2473:la_oenb[46] 0 +11 *802:7 *1441:5 0 +12 *846:5 *2473:la_oenb[46] 0 +13 *1041:5 *1441:5 0 +14 *1057:8 *1441:8 0.0305015 +15 *1059:8 *1441:8 0.00013491 +16 *1436:8 *1441:8 0.0210862 +*RES +1 *2472:la_oenb_core[46] *1441:5 51.7844 +2 *1441:5 *1441:7 4.5 +3 *1441:7 *1441:8 330.158 +4 *1441:8 *2473:la_oenb[46] 36.7675 +*END + +*D_NET *1442 0.0747945 +*CONN +*I *2473:la_oenb[47] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[47] O *D mgmt_protect +*CAP +1 *2473:la_oenb[47] 0.000170636 +2 *2472:la_oenb_core[47] 0.00135117 +3 *1442:11 0.00250655 +4 *1442:10 0.00233591 +5 *1442:8 0.00289082 +6 *1442:7 0.00424199 +7 *1442:8 *1482:8 0.000886242 +8 *1442:8 *1488:8 0.000142393 +9 *1442:11 *1488:7 0 +10 *2472:la_data_out_core[47] *1442:7 0 +11 *2472:la_data_out_core[48] *1442:7 0 +12 *2472:la_data_out_core[90] *1442:11 9.35753e-06 +13 *2473:la_oenb[31] *1442:7 5.68404e-05 +14 *803:7 *1442:7 0 +15 *1058:5 *1442:11 0 +16 *1058:8 *1442:8 0.0316494 +17 *1060:8 *1442:8 0.000233938 +18 *1440:8 *1442:8 0.0283192 +*RES +1 *2472:la_oenb_core[47] *1442:7 39.2591 +2 *1442:7 *1442:8 341.805 +3 *1442:8 *1442:10 4.5 +4 *1442:10 *1442:11 49.3784 +5 *1442:11 *2473:la_oenb[47] 1.77093 +*END + +*D_NET *1443 0.0799465 +*CONN +*I *2473:la_oenb[48] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[48] O *D mgmt_protect +*CAP +1 *2473:la_oenb[48] 0.00137447 +2 *2472:la_oenb_core[48] 0.00183293 +3 *1443:8 0.00395734 +4 *1443:7 0.00258287 +5 *1443:5 0.00183293 +6 *2473:la_oenb[48] *1492:11 3.49417e-05 +7 *1443:8 *1448:14 0.0248174 +8 *1443:8 *1472:10 0.000308997 +9 *2472:la_data_out_core[48] *1443:5 0 +10 *2472:la_data_out_core[49] *1443:5 0 +11 *2473:la_data_in[32] *1443:5 0.00109013 +12 *804:7 *1443:5 0 +13 *853:7 *2473:la_oenb[48] 0.000255256 +14 *1046:8 *1443:8 0.00883955 +15 *1051:8 *1443:8 1.41689e-05 +16 *1059:8 *1443:8 0.0330055 +*RES +1 *2472:la_oenb_core[48] *1443:5 50.5386 +2 *1443:5 *1443:7 4.5 +3 *1443:7 *1443:8 356.779 +4 *1443:8 *2473:la_oenb[48] 38.0133 +*END + +*D_NET *1444 0.0819024 +*CONN +*I *2473:la_oenb[49] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[49] O *D mgmt_protect +*CAP +1 *2473:la_oenb[49] 0.00248326 +2 *2472:la_oenb_core[49] 0.00127489 +3 *1444:10 0.00248326 +4 *1444:8 0.00306538 +5 *1444:7 0.00434027 +6 *2473:la_oenb[49] *1495:7 8.01837e-05 +7 *2472:la_data_out_core[49] *1444:7 0 +8 *2472:la_data_out_core[50] *1444:7 0 +9 *2472:la_data_out_core[95] *2473:la_oenb[49] 0 +10 *787:8 *1444:8 0 +11 *788:8 *1444:8 0 +12 *806:7 *1444:7 0 +13 *855:5 *2473:la_oenb[49] 0 +14 *1042:5 *1444:7 0.000111326 +15 *1060:8 *1444:8 0.00020979 +16 *1062:8 *1444:8 0.0343401 +17 *1063:8 *1444:8 0.0335139 +*RES +1 *2472:la_oenb_core[49] *1444:7 37.598 +2 *1444:7 *1444:8 370.089 +3 *1444:8 *1444:10 4.5 +4 *1444:10 *2473:la_oenb[49] 50.9539 +*END + +*D_NET *1445 0.0524806 +*CONN +*I *2473:la_oenb[4] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[4] O *D mgmt_protect +*CAP +1 *2473:la_oenb[4] 0.000170594 +2 *2472:la_oenb_core[4] 0.00121251 +3 *1445:11 0.00238961 +4 *1445:10 0.00221902 +5 *1445:8 0.00187177 +6 *1445:7 0.00308429 +7 *1445:8 *1456:8 0.0196179 +8 *1445:8 *1614:8 0.00030032 +9 *1445:8 *1644:8 0.000479276 +10 *1445:8 *1683:8 1.5006e-05 +11 *1445:8 *1746:8 0.000128915 +12 *1445:11 *2472:mprj_dat_i_user[8] 8.62625e-06 +13 *1445:11 *1618:13 6.88675e-05 +14 *1445:11 *1643:5 0 +15 *1445:11 *1687:17 4.34379e-05 +16 *1445:11 *1691:13 0.000124169 +17 *1445:11 *1759:13 8.29573e-05 +18 *1445:11 *1775:7 0 +19 *2472:la_data_out_core[4] *1445:7 0 +20 *816:7 *1445:7 0 +21 *816:8 *1445:8 0.000221463 +22 *1061:8 *1445:8 0.000157173 +23 *1072:8 *1445:8 0.0202847 +*RES +1 *2472:la_oenb_core[4] *1445:7 37.1828 +2 *1445:7 *1445:8 227.556 +3 *1445:8 *1445:10 4.5 +4 *1445:10 *1445:11 51.4546 +5 *1445:11 *2473:la_oenb[4] 1.77093 +*END + +*D_NET *1446 0.0853949 +*CONN +*I *2473:la_oenb[50] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[50] O *D mgmt_protect +*CAP +1 *2473:la_oenb[50] 0.00162462 +2 *2472:la_oenb_core[50] 0.00189583 +3 *1446:8 0.00442181 +4 *1446:7 0.00279719 +5 *1446:5 0.00189583 +6 *1446:8 *1448:14 1.41689e-05 +7 *1446:8 *1450:14 0.0295004 +8 *1446:8 *1468:10 0.0207755 +9 *2472:la_data_out_core[50] *1446:5 0 +10 *2473:la_data_in[33] *1446:5 0 +11 *2473:la_oenb[32] *1446:5 0.000447918 +12 *790:8 *1446:8 0.00664129 +13 *807:7 *1446:5 0 +14 *1051:8 *1446:8 0.0153662 +15 *1430:10 *1446:8 1.41689e-05 +*RES +1 *2472:la_oenb_core[50] *1446:5 49.2929 +2 *1446:5 *1446:7 4.5 +3 *1446:7 *1446:8 383.4 +4 *1446:8 *2473:la_oenb[50] 39.2591 +*END + +*D_NET *1447 0.0771424 +*CONN +*I *2473:la_oenb[51] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[51] O *D mgmt_protect +*CAP +1 *2473:la_oenb[51] 0.00225437 +2 *2472:la_oenb_core[51] 0.000839672 +3 *1447:14 0.00536802 +4 *1447:13 0.00349748 +5 *1447:8 0.00239853 +6 *1447:7 0.00285437 +7 *1447:8 *1449:8 0.00224181 +8 *1447:13 *1448:13 0.000650382 +9 *2472:la_data_out_core[51] *1447:7 0 +10 *2472:la_data_out_core[52] *1447:7 0 +11 *792:12 *1447:14 0 +12 *800:8 *1447:8 0 +13 *808:7 *1447:7 0 +14 *817:7 *1447:13 8.83765e-05 +15 *991:11 *2473:la_oenb[51] 0 +16 *1064:8 *1447:14 0.0265279 +17 *1064:14 *1447:8 0 +18 *1066:8 *1447:14 0.0267361 +19 *1073:17 *1447:13 0.000959915 +20 *1076:8 *1447:14 0.000759171 +21 *1108:8 *1447:14 0.00013257 +22 *1437:14 *1447:14 0.00183377 +*RES +1 *2472:la_oenb_core[51] *1447:7 25.971 +2 *1447:7 *1447:8 70.0488 +3 *1447:8 *1447:13 26.6116 +4 *1447:13 *1447:14 326.83 +5 *1447:14 *2473:la_oenb[51] 49.6404 +*END + +*D_NET *1448 0.0826465 +*CONN +*I *2473:la_oenb[52] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[52] O *D mgmt_protect +*CAP +1 *2473:la_oenb[52] 0.00140412 +2 *2472:la_oenb_core[52] 0.00105923 +3 *1448:14 0.00455297 +4 *1448:13 0.00380253 +5 *1448:8 0.00166647 +6 *1448:7 0.00207203 +7 *1448:8 *1450:10 0.00135039 +8 *1448:14 *1468:10 0.0247916 +9 *1448:14 *1472:10 0.00165794 +10 *2472:la_data_out_core[52] *1448:7 0 +11 *2472:la_data_out_core[53] *1448:7 0 +12 *795:8 *1448:8 0.00053673 +13 *809:7 *1448:7 0 +14 *817:7 *1448:13 0.00051899 +15 *993:11 *2473:la_oenb[52] 0.000866262 +16 *1046:7 *1448:13 8.62625e-06 +17 *1051:8 *1448:14 0.00800429 +18 *1054:18 *1448:8 0.00031258 +19 *1064:14 *1448:8 0 +20 *1073:17 *1448:13 0.000260534 +21 *1375:8 *1448:14 0.000634418 +22 *1437:8 *1448:8 0.00154564 +23 *1439:8 *1448:8 0.00211915 +24 *1443:8 *1448:14 0.0248174 +25 *1446:8 *1448:14 1.41689e-05 +26 *1447:13 *1448:13 0.000650382 +*RES +1 *2472:la_oenb_core[52] *1448:7 31.3693 +2 *1448:7 *1448:8 61.7298 +3 *1448:8 *1448:13 32.4251 +4 *1448:13 *1448:14 348.46 +5 *1448:14 *2473:la_oenb[52] 38.4285 +*END + +*D_NET *1449 0.0707264 +*CONN +*I *2473:la_oenb[53] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[53] O *D mgmt_protect +*CAP +1 *2473:la_oenb[53] 0.00151049 +2 *2472:la_oenb_core[53] 0.000889356 +3 *1449:18 0.00188841 +4 *1449:17 0.00186707 +5 *1449:12 0.00307661 +6 *1449:10 0.0016081 +7 *1449:8 0.00600715 +8 *1449:7 0.00687587 +9 *1449:8 *1451:8 0.00126707 +10 *1449:8 *1451:12 0.0163709 +11 *1449:8 *1460:8 0.00457807 +12 *1449:12 *1451:12 0.00247199 +13 *1449:12 *1498:13 0.000683029 +14 *1449:17 *1498:17 0.000272318 +15 *1449:17 *1499:7 0.000568328 +16 *1449:18 *1481:10 0.00493538 +17 *1449:18 *1492:14 0.00493959 +18 *2472:la_data_out_core[53] *1449:7 0 +19 *2472:la_data_out_core[54] *1449:7 0 +20 *2473:la_data_in[51] *1449:17 0 +21 *810:7 *1449:7 0 +22 *856:8 *1449:12 0.000703115 +23 *1063:5 *1449:17 0 +24 *1064:14 *1449:8 8.47539e-05 +25 *1066:14 *1449:8 0.000264018 +26 *1068:14 *1449:8 0.00595019 +27 *1080:16 *1449:8 0.00167272 +28 *1447:8 *1449:8 0.00224181 +*RES +1 *2472:la_oenb_core[53] *1449:7 26.8015 +2 *1449:7 *1449:8 303.26 +3 *1449:8 *1449:10 0.578717 +4 *1449:10 *1449:12 68.1077 +5 *1449:12 *1449:17 39.4844 +6 *1449:17 *1449:18 52.3015 +7 *1449:18 *2473:la_oenb[53] 36.3523 +*END + +*D_NET *1450 0.0917886 +*CONN +*I *2473:la_oenb[54] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[54] O *D mgmt_protect +*CAP +1 *2473:la_oenb[54] 0.00172713 +2 *2472:la_oenb_core[54] 0.0010505 +3 *1450:14 0.00478848 +4 *1450:13 0.00383964 +5 *1450:10 0.00162531 +6 *1450:7 0.00189752 +7 *1450:13 *1455:7 0 +8 *1450:14 *1452:10 0.00103897 +9 *1450:14 *1468:10 0.000312991 +10 *1450:14 *1494:14 0.00732031 +11 *2472:la_data_out_core[109] *2473:la_oenb[54] 0 +12 *2472:la_data_out_core[54] *1450:7 0 +13 *2472:la_data_out_core[55] *1450:7 0 +14 *2472:la_data_out_core[59] *1450:13 0.00115301 +15 *2473:la_data_in[36] *1450:13 8.62625e-06 +16 *811:7 *1450:7 0 +17 *1064:14 *1450:10 0.00023441 +18 *1068:8 *1450:14 0.033884 +19 *1379:8 *1450:14 0.000157517 +20 *1430:10 *1450:14 0.00130966 +21 *1439:8 *1450:10 0.000589703 +22 *1446:8 *1450:14 0.0295004 +23 *1448:8 *1450:10 0.00135039 +*RES +1 *2472:la_oenb_core[54] *1450:7 30.5388 +2 *1450:7 *1450:10 42.9364 +3 *1450:10 *1450:13 27.5099 +4 *1450:13 *1450:14 398.374 +5 *1450:14 *2473:la_oenb[54] 39.6743 +*END + +*D_NET *1451 0.0918502 +*CONN +*I *2473:la_oenb[55] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[55] O *D mgmt_protect +*CAP +1 *2473:la_oenb[55] 0.00150862 +2 *2472:la_oenb_core[55] 0.000939039 +3 *1451:20 0.00172859 +4 *1451:17 0.00179417 +5 *1451:12 0.0051497 +6 *1451:10 0.00359614 +7 *1451:8 0.00101728 +8 *1451:7 0.00193569 +9 *1451:8 *1462:8 0.00296819 +10 *1451:12 *1460:14 0.0287718 +11 *1451:12 *1462:8 3.07561e-05 +12 *1451:12 *1498:13 0.000678803 +13 *1451:20 *1481:10 0.00278378 +14 *1451:20 *1492:14 0.00278799 +15 *2472:la_data_out_core[107] *1451:17 0 +16 *2472:la_data_out_core[111] *2473:la_oenb[55] 5.33526e-05 +17 *2472:la_data_out_core[55] *1451:7 0 +18 *2472:la_data_out_core[56] *1451:7 0 +19 *812:7 *1451:7 0 +20 *856:8 *1451:12 0.000394741 +21 *1064:14 *1451:8 1.41689e-05 +22 *1066:7 *1451:17 0.000198577 +23 *1066:14 *1451:8 0.00751763 +24 *1068:14 *1451:8 0.00594597 +25 *1080:16 *1451:8 0.00166851 +26 *1381:9 *1451:17 0.000256748 +27 *1449:8 *1451:8 0.00126707 +28 *1449:8 *1451:12 0.0163709 +29 *1449:12 *1451:12 0.00247199 +*RES +1 *2472:la_oenb_core[55] *1451:7 27.632 +2 *1451:7 *1451:8 112.199 +3 *1451:8 *1451:10 0.578717 +4 *1451:10 *1451:12 308.528 +5 *1451:12 *1451:17 38.6539 +6 *1451:17 *1451:20 34.0628 +7 *1451:20 *2473:la_oenb[55] 31.8523 +*END + +*D_NET *1452 0.102204 +*CONN +*I *2473:la_oenb[56] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[56] O *D mgmt_protect +*CAP +1 *2473:la_oenb[56] 0.00132646 +2 *2472:la_oenb_core[56] 0.00208952 +3 *1452:10 0.00483328 +4 *1452:9 0.00350682 +5 *1452:7 0.00208952 +6 *1452:10 *1454:8 1.41689e-05 +7 *1452:10 *1465:14 0.0342558 +8 *1452:10 *1490:10 0.000246453 +9 *2472:la_data_out_core[56] *1452:7 0 +10 *2472:la_data_out_core[57] *1452:7 0 +11 *2473:la_data_in[35] *1452:7 8.62625e-06 +12 *813:7 *1452:7 0 +13 *813:11 *2473:la_oenb[56] 0.00187058 +14 *1054:17 *1452:7 0 +15 *1068:8 *1452:10 0.0372973 +16 *1379:8 *1452:10 0.00024852 +17 *1381:15 *2473:la_oenb[56] 0 +18 *1387:10 *1452:10 1.41689e-05 +19 *1387:12 *1452:10 0.000625682 +20 *1430:10 *1452:10 0.00336573 +21 *1433:8 *1452:10 0.00937219 +22 *1450:14 *1452:10 0.00103897 +*RES +1 *2472:la_oenb_core[56] *1452:7 48.7799 +2 *1452:7 *1452:9 4.5 +3 *1452:9 *1452:10 463.263 +4 *1452:10 *2473:la_oenb[56] 40.5048 +*END + +*D_NET *1453 0.104775 +*CONN +*I *2473:la_oenb[57] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[57] O *D mgmt_protect +*CAP +1 *2473:la_oenb[57] 0.00254668 +2 *2472:la_oenb_core[57] 0.001286 +3 *1453:14 0.00254668 +4 *1453:12 0.000400491 +5 *1453:8 0.00384222 +6 *1453:7 0.00472773 +7 *1453:8 *1455:8 1.41853e-05 +8 *1453:12 *1455:8 0.00461873 +9 *2472:la_data_out_core[117] *2473:la_oenb[57] 0 +10 *2472:la_data_out_core[57] *1453:7 0 +11 *2472:la_data_out_core[58] *1453:7 0 +12 *752:5 *2473:la_oenb[57] 0.00050637 +13 *796:8 *1453:8 3.40535e-05 +14 *814:7 *1453:7 0 +15 *1069:10 *1453:12 0.00389262 +16 *1069:12 *1453:8 0.0401714 +17 *1069:12 *1453:12 1.67988e-05 +18 *1070:14 *1453:8 0.039583 +19 *1389:8 *1453:12 0.000301452 +20 *1391:5 *2473:la_oenb[57] 0.000286862 +*RES +1 *2472:la_oenb_core[57] *1453:7 35.5218 +2 *1453:7 *1453:8 427.768 +3 *1453:8 *1453:12 49.5526 +4 *1453:12 *1453:14 4.5 +5 *1453:14 *2473:la_oenb[57] 53.4454 +*END + +*D_NET *1454 0.107802 +*CONN +*I *2473:la_oenb[58] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[58] O *D mgmt_protect +*CAP +1 *2473:la_oenb[58] 0.00183329 +2 *2472:la_oenb_core[58] 0.00204843 +3 *1454:8 0.00552918 +4 *1454:7 0.00369589 +5 *1454:5 0.00204843 +6 *1454:8 *1465:14 0.0382571 +7 *1454:8 *1490:10 0.000355068 +8 *2472:la_data_out_core[119] *2473:la_oenb[58] 0.000215198 +9 *2472:la_data_out_core[58] *1454:5 0 +10 *2472:la_data_out_core[59] *1454:5 0 +11 *2473:la_oenb[35] *1454:5 1.77537e-06 +12 *756:5 *2473:la_oenb[58] 0 +13 *791:14 *1454:8 0.000233938 +14 *815:7 *1454:5 0 +15 *1071:8 *1454:8 0.0456672 +16 *1433:8 *1454:8 0.0079023 +17 *1452:10 *1454:8 1.41689e-05 +*RES +1 *2472:la_oenb_core[58] *1454:5 47.2166 +2 *1454:5 *1454:7 4.5 +3 *1454:7 *1454:8 490.438 +4 *1454:8 *2473:la_oenb[58] 41.3353 +*END + +*D_NET *1455 0.108331 +*CONN +*I *2473:la_oenb[59] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[59] O *D mgmt_protect +*CAP +1 *2473:la_oenb[59] 0.0027757 +2 *2472:la_oenb_core[59] 0.00126702 +3 *1455:14 0.00323473 +4 *1455:8 0.00435767 +5 *1455:7 0.00516567 +6 *1455:8 *1458:8 0.0426181 +7 *1455:14 *1475:8 0.000264516 +8 *2472:la_data_out_core[59] *1455:7 0 +9 *758:5 *2473:la_oenb[59] 0.000584078 +10 *796:8 *1455:8 0.000366401 +11 *817:7 *1455:7 0 +12 *1013:11 *2473:la_oenb[59] 0 +13 *1070:14 *1455:8 0.0385933 +14 *1075:14 *1455:8 1.41853e-05 +15 *1075:14 *1455:14 0.00244356 +16 *1389:8 *1455:8 0.000150716 +17 *1392:8 *1455:8 0.000139764 +18 *1392:8 *1455:14 0.000293761 +19 *1394:8 *1455:14 0.000332046 +20 *1396:8 *1455:14 0.00109722 +21 *1450:13 *1455:7 0 +22 *1453:8 *1455:8 1.41853e-05 +23 *1453:12 *1455:8 0.00461873 +*RES +1 *2472:la_oenb_core[59] *1455:7 34.6913 +2 *1455:7 *1455:8 470.473 +3 *1455:8 *1455:14 37.9691 +4 *1455:14 *2473:la_oenb[59] 54.2759 +*END + +*D_NET *1456 0.0493982 +*CONN +*I *2473:la_oenb[5] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[5] O *D mgmt_protect +*CAP +1 *2473:la_oenb[5] 0.00222699 +2 *2472:la_oenb_core[5] 0.00121245 +3 *1456:10 0.00222699 +4 *1456:8 0.00175867 +5 *1456:7 0.00297113 +6 *2473:la_oenb[5] *2472:mprj_dat_i_user[10] 0 +7 *2473:la_oenb[5] *2472:mprj_dat_i_user[11] 0 +8 *2473:la_oenb[5] *1746:7 2.71397e-05 +9 *1456:8 *1467:8 0.0183884 +10 *1456:8 *1683:8 9.51286e-05 +11 *1456:8 *1748:8 4.92912e-05 +12 *2472:la_data_out_core[5] *1456:7 0 +13 *827:7 *1456:7 0 +14 *827:8 *1456:8 0.000590133 +15 *1072:8 *1456:8 0.000233938 +16 *1445:8 *1456:8 0.0196179 +*RES +1 *2472:la_oenb_core[5] *1456:7 37.598 +2 *1456:7 *1456:8 216.464 +3 *1456:8 *1456:10 4.5 +4 *1456:10 *2473:la_oenb[5] 50.9539 +*END + +*D_NET *1457 0.112317 +*CONN +*I *2473:la_oenb[60] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[60] O *D mgmt_protect +*CAP +1 *2473:la_oenb[60] 0.00199253 +2 *2472:la_oenb_core[60] 0.00168455 +3 *1457:12 0.00585212 +4 *1457:10 0.00398928 +5 *1457:5 0.00181424 +6 *1457:12 *1459:8 0.0471815 +7 *1457:12 *1490:10 0.000506175 +8 *759:13 *2473:la_oenb[60] 0 +9 *761:5 *2473:la_oenb[60] 9.1098e-05 +10 *791:14 *1457:10 0.00106767 +11 *793:20 *1457:10 0.00135332 +12 *793:20 *1457:12 7.45396e-05 +13 *818:5 *1457:5 0 +14 *1071:8 *1457:10 0.000117922 +15 *1071:8 *1457:12 0.0446551 +16 *1073:17 *1457:5 0.000739351 +17 *1393:14 *1457:12 0.00119797 +*RES +1 *2472:la_oenb_core[60] *1457:5 45.9709 +2 *1457:5 *1457:10 19.3899 +3 *1457:10 *1457:12 502.917 +4 *1457:12 *2473:la_oenb[60] 42.1658 +*END + +*D_NET *1458 0.112632 +*CONN +*I *2473:la_oenb[61] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[61] O *D mgmt_protect +*CAP +1 *2473:la_oenb[61] 0.0034277 +2 *2472:la_oenb_core[61] 0.00124123 +3 *1458:16 0.0034277 +4 *1458:14 0.00137176 +5 *1458:13 0.00177793 +6 *1458:8 0.0039955 +7 *1458:7 0.00483056 +8 *2473:la_oenb[61] *1646:7 0 +9 *1458:14 *1460:14 0.000849929 +10 *1458:14 *1460:18 0.0046885 +11 *2472:la_data_out_core[61] *1458:7 0 +12 *2473:la_data_in[58] *1458:13 0 +13 *753:7 *1458:13 0.000116915 +14 *763:5 *2473:la_oenb[61] 0 +15 *796:8 *1458:8 0.000226377 +16 *818:5 *1458:7 0 +17 *819:7 *1458:7 0 +18 *856:8 *1458:14 0.00108523 +19 *1070:8 *1458:14 0.000483488 +20 *1075:14 *1458:8 0.0420935 +21 *1393:10 *1458:14 0.000203727 +22 *1403:7 *2473:la_oenb[61] 0.000193734 +23 *1455:8 *1458:8 0.0426181 +*RES +1 *2472:la_oenb_core[61] *1458:7 34.276 +2 *1458:7 *1458:8 453.835 +3 *1458:8 *1458:13 16.6455 +4 *1458:13 *1458:14 76.1495 +5 *1458:14 *1458:16 4.5 +6 *1458:16 *2473:la_oenb[61] 61.7504 +*END + +*D_NET *1459 0.111065 +*CONN +*I *2473:la_oenb[62] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[62] O *D mgmt_protect +*CAP +1 *2473:la_oenb[62] 0.0021048 +2 *2472:la_oenb_core[62] 0.00201179 +3 *1459:8 0.00673772 +4 *1459:7 0.00463293 +5 *1459:5 0.00201179 +6 *1459:8 *1490:10 0.000654003 +7 *1459:8 *2414:14 0.00111656 +8 *2472:la_data_out_core[62] *1459:5 0 +9 *793:20 *1459:8 0.00355462 +10 *819:7 *1459:5 0 +11 *820:5 *1459:5 0 +12 *1047:7 *1459:5 1.47102e-05 +13 *1096:8 *1459:8 0.000186902 +14 *1098:8 *1459:8 0.00067765 +15 *1100:8 *1459:8 0.0339516 +16 *1393:14 *1459:8 0.000156904 +17 *1397:13 *2473:la_oenb[62] 6.16595e-06 +18 *1401:10 *1459:8 0.00100217 +19 *1439:14 *1459:8 0.00506317 +20 *1457:12 *1459:8 0.0471815 +*RES +1 *2472:la_oenb_core[62] *1459:5 45.9709 +2 *1459:5 *1459:7 4.5 +3 *1459:7 *1459:8 543.68 +4 *1459:8 *2473:la_oenb[62] 42.5811 +*END + +*D_NET *1460 0.113132 +*CONN +*I *2473:la_oenb[63] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[63] O *D mgmt_protect +*CAP +1 *2473:la_oenb[63] 0.0035014 +2 *2472:la_oenb_core[63] 0.000916947 +3 *1460:20 0.0035014 +4 *1460:18 0.00128402 +5 *1460:16 0.00130465 +6 *1460:14 0.00382374 +7 *1460:13 0.00385522 +8 *1460:8 0.00123023 +9 *1460:7 0.00209507 +10 *1460:13 *1466:7 7.50872e-05 +11 *1460:14 *1462:8 0.0388726 +12 *1460:18 *1462:8 0.00124343 +13 *2472:la_data_out_core[63] *1460:7 0 +14 *821:9 *1460:7 0 +15 *821:11 *1460:7 0 +16 *856:8 *1460:14 0 +17 *856:8 *1460:18 0.000268186 +18 *1016:16 *1460:18 0.000284032 +19 *1070:8 *1460:14 0.00600554 +20 *1075:8 *1460:18 0.00598173 +21 *1449:8 *1460:8 0.00457807 +22 *1451:12 *1460:14 0.0287718 +23 *1458:14 *1460:14 0.000849929 +24 *1458:14 *1460:18 0.0046885 +*RES +1 *2472:la_oenb_core[63] *1460:7 26.3862 +2 *1460:7 *1460:8 48.9739 +3 *1460:8 *1460:13 10.4167 +4 *1460:13 *1460:14 415.012 +5 *1460:14 *1460:16 0.578717 +6 *1460:16 *1460:18 92.7876 +7 *1460:18 *1460:20 4.5 +8 *1460:20 *2473:la_oenb[63] 61.3352 +*END + +*D_NET *1461 0.122281 +*CONN +*I *2473:la_oenb[64] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[64] O *D mgmt_protect +*CAP +1 *2473:la_oenb[64] 0.00244889 +2 *2472:la_oenb_core[64] 0.00177708 +3 *1461:8 0.00745919 +4 *1461:7 0.00678738 +5 *1461:8 *1463:8 0.0520936 +6 *1461:8 *1477:10 0 +7 *1461:8 *1483:10 0.000789395 +8 *2472:la_data_out_core[64] *1461:7 0 +9 *821:11 *1461:7 0 +10 *822:5 *1461:7 0 +11 *1054:12 *1461:8 0 +12 *1076:8 *1461:8 0.0509252 +*RES +1 *2472:la_oenb_core[64] *1461:7 44.6573 +2 *1461:7 *1461:8 569.747 +3 *1461:8 *2473:la_oenb[64] 48.3946 +*END + +*D_NET *1462 0.123864 +*CONN +*I *2473:la_oenb[65] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[65] O *D mgmt_protect +*CAP +1 *2473:la_oenb[65] 0.00344489 +2 *2472:la_oenb_core[65] 0.00100871 +3 *1462:10 0.00344489 +4 *1462:8 0.00540859 +5 *1462:7 0.0064173 +6 *2472:la_data_out_core[65] *1462:7 0 +7 *822:5 *1462:7 0 +8 *823:5 *1462:7 0 +9 *856:8 *1462:8 0 +10 *1064:14 *1462:8 0.000171288 +11 *1066:13 *1462:7 8.62625e-06 +12 *1075:8 *1462:8 0.00597332 +13 *1079:8 *1462:8 0.0541904 +14 *1099:8 *1462:8 0.000680957 +15 *1451:8 *1462:8 0.00296819 +16 *1451:12 *1462:8 3.07561e-05 +17 *1460:14 *1462:8 0.0388726 +18 *1460:18 *1462:8 0.00124343 +*RES +1 *2472:la_oenb_core[65] *1462:7 28.0472 +2 *1462:7 *1462:8 583.057 +3 *1462:8 *1462:10 4.5 +4 *1462:10 *2473:la_oenb[65] 60.5047 +*END + +*D_NET *1463 0.126972 +*CONN +*I *2473:la_oenb[66] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[66] O *D mgmt_protect +*CAP +1 *2473:la_oenb[66] 0.00241382 +2 *2472:la_oenb_core[66] 0.00181907 +3 *1463:8 0.00788226 +4 *1463:7 0.00728751 +5 *1463:8 *1477:10 0 +6 *1463:8 *1483:10 0.00139304 +7 *2472:la_data_out_core[66] *1463:7 0 +8 *823:5 *1463:7 0 +9 *824:5 *1463:7 0 +10 *1054:12 *1463:8 0 +11 *1080:8 *1463:8 0.0540183 +12 *1082:8 *1463:8 6.49003e-05 +13 *1461:8 *1463:8 0.0520936 +*RES +1 *2472:la_oenb_core[66] *1463:7 45.0726 +2 *1463:7 *1463:8 596.368 +3 *1463:8 *2473:la_oenb[66] 47.9793 +*END + +*D_NET *1464 0.132295 +*CONN +*I *2473:la_oenb[67] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[67] O *D mgmt_protect +*CAP +1 *2473:la_oenb[67] 0.00337618 +2 *2472:la_oenb_core[67] 0.00105823 +3 *1464:10 0.00337618 +4 *1464:8 0.00528767 +5 *1464:7 0.00634591 +6 *2472:la_data_out_core[67] *1464:7 0 +7 *795:8 *1464:8 0 +8 *824:5 *1464:7 0 +9 *825:7 *1464:7 0 +10 *856:8 *1464:8 0 +11 *1079:8 *1464:8 0.054575 +12 *1081:8 *1464:8 0.0568055 +13 *1099:8 *1464:8 0.00146993 +*RES +1 *2472:la_oenb_core[67] *1464:7 28.8777 +2 *1464:7 *1464:8 610.233 +3 *1464:8 *1464:10 4.5 +4 *1464:10 *2473:la_oenb[67] 59.6742 +*END + +*D_NET *1465 0.136098 +*CONN +*I *2473:la_oenb[68] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[68] O *D mgmt_protect +*CAP +1 *2473:la_oenb[68] 0.00194814 +2 *2472:la_oenb_core[68] 0.0012193 +3 *1465:14 0.00646213 +4 *1465:13 0.00528677 +5 *1465:10 0.00199207 +6 *1465:14 *1490:10 0.000246453 +7 *2472:la_data_out_core[68] *1465:10 0 +8 *2472:la_data_out_core[69] *1465:13 0.000201199 +9 *2473:la_oenb[39] *1465:13 8.62625e-06 +10 *795:8 *1465:10 0 +11 *825:7 *1465:10 0 +12 *826:5 *1465:10 0 +13 *826:5 *1465:13 0.000490751 +14 *1071:8 *1465:14 8.66138e-05 +15 *1081:8 *1465:10 0.00013978 +16 *1379:8 *1465:14 0.000228981 +17 *1387:12 *1465:14 0.000482046 +18 *1390:8 *1465:14 0.0231575 +19 *1391:8 *1465:14 0.000115848 +20 *1393:14 *1465:14 0.0200461 +21 *1399:8 *1465:14 0.00147281 +22 *1452:10 *1465:14 0.0342558 +23 *1454:8 *1465:14 0.0382571 +*RES +1 *2472:la_oenb_core[68] *1465:10 38.1199 +2 *1465:10 *1465:13 26.6794 +3 *1465:13 *1465:14 619.661 +4 *1465:14 *2473:la_oenb[68] 40.9201 +*END + +*D_NET *1466 0.139113 +*CONN +*I *2473:la_oenb[69] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[69] O *D mgmt_protect +*CAP +1 *2473:la_oenb[69] 0.00330393 +2 *2472:la_oenb_core[69] 0.00107129 +3 *1466:10 0.00330393 +4 *1466:8 0.00540409 +5 *1466:7 0.00647538 +6 *2472:la_data_out_core[69] *1466:7 0 +7 *795:8 *1466:8 0 +8 *796:8 *1466:8 0 +9 *828:7 *1466:7 0 +10 *1080:16 *1466:7 5.33266e-05 +11 *1081:8 *1466:8 0.0571564 +12 *1084:8 *1466:8 0.0593869 +13 *1099:8 *1466:8 0.00288264 +14 *1460:13 *1466:7 7.50872e-05 +*RES +1 *2472:la_oenb_core[69] *1466:7 29.7083 +2 *1466:7 *1466:8 636.854 +3 *1466:8 *1466:10 4.5 +4 *1466:10 *2473:la_oenb[69] 58.8437 +*END + +*D_NET *1467 0.0473481 +*CONN +*I *2473:la_oenb[6] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[6] O *D mgmt_protect +*CAP +1 *2473:la_oenb[6] 0.00205104 +2 *2472:la_oenb_core[6] 0.00122465 +3 *1467:10 0.00205104 +4 *1467:8 0.00161546 +5 *1467:7 0.00284011 +6 *2473:la_oenb[6] *1617:5 0 +7 *2473:la_oenb[6] *1749:5 0.000325317 +8 *2472:la_data_out_core[6] *1467:7 0 +9 *827:8 *1467:8 0.0186498 +10 *838:7 *1467:7 0 +11 *1094:8 *1467:8 0.000202245 +12 *1456:8 *1467:8 0.0183884 +*RES +1 *2472:la_oenb_core[6] *1467:7 38.0133 +2 *1467:7 *1467:8 202.599 +3 *1467:8 *1467:10 4.5 +4 *1467:10 *2473:la_oenb[6] 50.5386 +*END + +*D_NET *1468 0.139265 +*CONN +*I *2473:la_oenb[70] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[70] O *D mgmt_protect +*CAP +1 *2473:la_oenb[70] 0.00179596 +2 *2472:la_oenb_core[70] 6.22868e-05 +3 *1468:18 0.00387596 +4 *1468:16 0.00221454 +5 *1468:10 0.00285768 +6 *1468:9 0.00272314 +7 *1468:7 0.00160043 +8 *1468:5 0.00166272 +9 *1468:10 *1494:14 0.0104745 +10 *2472:la_data_out_core[70] *1468:7 0 +11 *828:7 *1468:7 0 +12 *829:5 *1468:7 0.00273745 +13 *1016:13 *2473:la_oenb[70] 7.09666e-06 +14 *1051:7 *1468:7 8.62625e-06 +15 *1375:8 *1468:10 1.55995e-05 +16 *1377:8 *1468:10 0.00315438 +17 *1377:8 *1468:16 0.000423922 +18 *1377:8 *1468:18 8.66138e-05 +19 *1379:8 *1468:10 0.000259403 +20 *1379:8 *1468:18 0.00183192 +21 *1381:16 *1468:18 0.0286484 +22 *1383:16 *1468:18 0.0288586 +23 *1386:16 *1468:16 7.92757e-06 +24 *1387:10 *1468:16 7.45288e-05 +25 *1387:12 *1468:16 2.77625e-06 +26 *1446:8 *1468:10 0.0207755 +27 *1448:14 *1468:10 0.0247916 +28 *1450:14 *1468:10 0.000312991 +*RES +1 *2472:la_oenb_core[70] *1468:5 1.77093 +2 *1468:5 *1468:7 49.7936 +3 *1468:7 *1468:9 4.5 +4 *1468:9 *1468:10 339.032 +5 *1468:10 *1468:16 5.76305 +6 *1468:16 *1468:18 304.646 +7 *1468:18 *2473:la_oenb[70] 38.8438 +*END + +*D_NET *1469 0.144923 +*CONN +*I *2473:la_oenb[71] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[71] O *D mgmt_protect +*CAP +1 *2473:la_oenb[71] 0.00322762 +2 *2472:la_oenb_core[71] 0.00113815 +3 *1469:10 0.00322762 +4 *1469:8 0.00551315 +5 *1469:7 0.0066513 +6 *1469:8 *1484:8 0.00346547 +7 *2472:la_data_out_core[71] *1469:7 0 +8 *796:8 *1469:8 0 +9 *830:5 *1469:7 0 +10 *1084:8 *1469:8 0.0597314 +11 *1086:8 *1469:8 0.0619683 +*RES +1 *2472:la_oenb_core[71] *1469:7 30.5388 +2 *1469:7 *1469:8 663.475 +3 *1469:8 *1469:10 4.5 +4 *1469:10 *2473:la_oenb[71] 58.0132 +*END + +*D_NET *1470 0.148538 +*CONN +*I *2473:la_oenb[72] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[72] O *D mgmt_protect +*CAP +1 *2473:la_oenb[72] 0.0022466 +2 *2472:la_oenb_core[72] 0.00164252 +3 *1470:10 0.00733915 +4 *1470:9 0.00673507 +5 *1470:10 *1485:8 0.00347326 +6 *2472:la_data_out_core[72] *1470:9 0.000418015 +7 *2473:la_data_in[41] *1470:9 0.00070507 +8 *830:5 *1470:9 0 +9 *831:5 *1470:9 0 +10 *1054:8 *1470:10 0.00052801 +11 *1085:8 *1470:10 0.000242981 +12 *1087:8 *1470:10 0.063363 +13 *1089:8 *1470:10 0.0618445 +*RES +1 *2472:la_oenb_core[72] *1470:9 48.4435 +2 *1470:9 *1470:10 677.34 +3 *1470:10 *2473:la_oenb[72] 45.9031 +*END + +*D_NET *1471 0.150786 +*CONN +*I *2473:la_oenb[73] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[73] O *D mgmt_protect +*CAP +1 *2473:la_oenb[73] 0.00314048 +2 *2472:la_oenb_core[73] 0.00118102 +3 *1471:10 0.00314048 +4 *1471:8 0.00561491 +5 *1471:7 0.00679593 +6 *2472:la_data_out_core[73] *1471:7 0 +7 *2472:la_data_out_core[74] *1471:7 0 +8 *832:7 *1471:7 0 +9 *1075:14 *1471:8 0 +10 *1086:8 *1471:8 0.0623063 +11 *1088:8 *1471:8 0.0645433 +12 *1101:8 *1471:8 0.00406408 +*RES +1 *2472:la_oenb_core[73] *1471:7 31.3693 +2 *1471:7 *1471:8 690.096 +3 *1471:8 *1471:10 4.5 +4 *1471:10 *2473:la_oenb[73] 57.1827 +*END + +*D_NET *1472 0.146797 +*CONN +*I *2473:la_oenb[74] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[74] O *D mgmt_protect +*CAP +1 *2473:la_oenb[74] 0.00166377 +2 *2472:la_oenb_core[74] 2.27669e-05 +3 *1472:10 0.00743026 +4 *1472:9 0.00576649 +5 *1472:7 0.00239646 +6 *1472:5 0.00241923 +7 *1472:10 *1481:10 0.00105402 +8 *1472:10 *1492:14 0.0525029 +9 *1472:10 *1498:18 0.00265013 +10 *2472:la_data_out_core[74] *1472:7 0 +11 *833:5 *1472:7 0 +12 *1014:13 *2473:la_oenb[74] 7.09666e-06 +13 *1059:8 *1472:10 0.0131378 +14 *1375:8 *1472:10 0.0459911 +15 *1441:8 *1472:10 0.00978832 +16 *1443:8 *1472:10 0.000308997 +17 *1448:14 *1472:10 0.00165794 +*RES +1 *2472:la_oenb_core[74] *1472:5 0.647305 +2 *1472:5 *1472:7 51.4546 +3 *1472:7 *1472:9 4.5 +4 *1472:9 *1472:10 702.297 +5 *1472:10 *2473:la_oenb[74] 37.1828 +*END + +*D_NET *1473 0.156542 +*CONN +*I *2473:la_oenb[75] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[75] O *D mgmt_protect +*CAP +1 *2473:la_oenb[75] 0.00306967 +2 *2472:la_oenb_core[75] 0.00123073 +3 *1473:10 0.00306967 +4 *1473:8 0.00571153 +5 *1473:7 0.00694225 +6 *1473:8 *1486:8 0.00444999 +7 *2472:la_data_out_core[75] *1473:7 0 +8 *2472:la_data_out_core[76] *1473:7 0 +9 *834:7 *1473:7 0 +10 *1075:14 *1473:8 9.48476e-05 +11 *1088:8 *1473:8 0.0648748 +12 *1090:8 *1473:8 0.0670989 +*RES +1 *2472:la_oenb_core[75] *1473:7 32.1998 +2 *1473:7 *1473:8 716.717 +3 *1473:8 *1473:10 4.5 +4 *1473:10 *2473:la_oenb[75] 56.3522 +*END + +*D_NET *1474 0.158642 +*CONN +*I *2473:la_oenb[76] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[76] O *D mgmt_protect +*CAP +1 *2473:la_oenb[76] 0.00217579 +2 *2472:la_oenb_core[76] 0.0020546 +3 *1474:10 0.00781745 +4 *1474:9 0.00769625 +5 *1474:10 *1476:10 0.067255 +6 *1474:10 *1485:8 0.000104638 +7 *1474:10 *1487:8 0.00383555 +8 *2472:la_data_out_core[76] *1474:9 0 +9 *2473:la_oenb[42] *1474:9 5.78262e-05 +10 *835:7 *1474:9 0 +11 *1054:8 *1474:10 0.00151983 +12 *1089:8 *1474:10 0.0661204 +13 *1439:14 *1474:10 4.89898e-06 +*RES +1 *2472:la_oenb_core[76] *1474:9 49.274 +2 *1474:9 *1474:10 728.363 +3 *1474:10 *2473:la_oenb[76] 45.0726 +*END + +*D_NET *1475 0.160242 +*CONN +*I *2473:la_oenb[77] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[77] O *D mgmt_protect +*CAP +1 *2473:la_oenb[77] 0.00296333 +2 *2472:la_oenb_core[77] 0.00129502 +3 *1475:10 0.00296333 +4 *1475:8 0.00563116 +5 *1475:7 0.00692619 +6 *1475:8 *2415:10 0.0314182 +7 *2472:la_data_out_core[77] *1475:7 0 +8 *759:10 *1475:8 0.000842351 +9 *836:5 *1475:7 0 +10 *1075:14 *1475:8 0.0329946 +11 *1090:8 *1475:8 0.000226394 +12 *1092:8 *1475:8 0.069678 +13 *1103:8 *1475:8 0.00362051 +14 *1396:8 *1475:8 0.000650226 +15 *1402:8 *1475:8 0.00076823 +16 *1455:14 *1475:8 0.000264516 +*RES +1 *2472:la_oenb_core[77] *1475:7 33.4455 +2 *1475:7 *1475:8 743.338 +3 *1475:8 *1475:10 4.5 +4 *1475:10 *2473:la_oenb[77] 55.1064 +*END + +*D_NET *1476 0.162202 +*CONN +*I *2473:la_oenb[78] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[78] O *D mgmt_protect +*CAP +1 *2473:la_oenb[78] 0.00213211 +2 *2472:la_oenb_core[78] 0.0017713 +3 *1476:10 0.00823792 +4 *1476:9 0.00610581 +5 *1476:7 0.0017713 +6 *1476:10 *1479:10 0.0698637 +7 *1476:10 *1487:8 0.00370005 +8 *2472:la_data_out_core[78] *1476:7 0 +9 *837:5 *1476:7 0.000977067 +10 *1054:7 *1476:7 8.62625e-06 +11 *1439:14 *1476:10 0.000378805 +12 *1474:10 *1476:10 0.067255 +*RES +1 *2472:la_oenb_core[78] *1476:7 45.751 +2 *1476:7 *1476:9 4.5 +3 *1476:9 *1476:10 754.984 +4 *1476:10 *2473:la_oenb[78] 44.6573 +*END + +*D_NET *1477 0.123968 +*CONN +*I *2473:la_oenb[79] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[79] O *D mgmt_protect +*CAP +1 *2473:la_oenb[79] 0.00237469 +2 *2472:la_oenb_core[79] 0.00174151 +3 *1477:12 0.00237469 +4 *1477:10 0.0133474 +5 *1477:9 0.0150889 +6 *2472:la_data_out_core[79] *1477:9 0 +7 *2472:la_data_out_core[80] *1477:9 0 +8 *2473:la_oenb[43] *1477:9 8.52802e-05 +9 *799:14 *1477:10 0.000649254 +10 *839:7 *1477:9 0 +11 *1056:14 *1477:10 8.47539e-05 +12 *1064:8 *1477:10 0.00739831 +13 *1066:8 *1477:10 0.000682903 +14 *1076:8 *1477:10 0.000455138 +15 *1080:8 *1477:10 0 +16 *1082:8 *1477:10 0 +17 *1085:8 *1477:10 0 +18 *1095:8 *1477:10 0.072356 +19 *1108:8 *1477:10 0.00475172 +20 *1381:10 *1477:10 0.000875064 +21 *1386:15 *1477:10 0.000535212 +22 *1397:8 *1477:10 0.00116739 +23 *1461:8 *1477:10 0 +24 *1463:8 *1477:10 0 +*RES +1 *2472:la_oenb_core[79] *1477:9 42.8986 +2 *1477:9 *1477:10 769.404 +3 *1477:10 *1477:12 4.5 +4 *1477:12 *2473:la_oenb[79] 46.3861 +*END + +*D_NET *1478 0.0437184 +*CONN +*I *2473:la_oenb[7] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[7] O *D mgmt_protect +*CAP +1 *2473:la_oenb[7] 0.00192936 +2 *2472:la_oenb_core[7] 0.00134635 +3 *1478:10 0.00192936 +4 *1478:8 0.00154336 +5 *1478:7 0.00288971 +6 *2473:la_oenb[7] *2472:mprj_dat_i_user[16] 0.000185323 +7 *2473:la_oenb[7] *1751:5 0 +8 *1478:8 *1489:8 0.015923 +9 *1478:8 *1622:8 0.000108585 +10 *1478:8 *1689:12 0.000218833 +11 *1478:8 *1752:10 0.000426168 +12 *2472:la_data_out_core[7] *1478:7 0 +13 *2472:la_data_out_core[8] *1478:7 0 +14 *783:8 *1478:8 3.94365e-05 +15 *849:7 *1478:7 0 +16 *1083:8 *1478:8 0.000582572 +17 *1105:8 *1478:8 0.0165963 +*RES +1 *2472:la_oenb_core[7] *1478:7 40.9201 +2 *1478:7 *1478:8 189.843 +3 *1478:8 *1478:10 4.5 +4 *1478:10 *2473:la_oenb[7] 47.6319 +*END + +*D_NET *1479 0.169168 +*CONN +*I *2473:la_oenb[80] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[80] O *D mgmt_protect +*CAP +1 *2473:la_oenb[80] 0.00209685 +2 *2472:la_oenb_core[80] 0.00180021 +3 *1479:10 0.00823714 +4 *1479:9 0.00614029 +5 *1479:7 0.00180021 +6 *2472:la_data_out_core[80] *1479:7 0 +7 *2473:la_data_in[44] *1479:7 0 +8 *840:5 *1479:7 0.000979934 +9 *1096:8 *1479:10 0.0735749 +10 *1104:8 *1479:10 0.00452865 +11 *1439:14 *1479:10 0.000146388 +12 *1476:10 *1479:10 0.0698637 +*RES +1 *2472:la_oenb_core[80] *1479:7 46.1663 +2 *1479:7 *1479:9 4.5 +3 *1479:9 *1479:10 781.605 +4 *1479:10 *2473:la_oenb[80] 44.2421 +*END + +*D_NET *1480 0.173883 +*CONN +*I *2473:la_oenb[81] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[81] O *D mgmt_protect +*CAP +1 *2473:la_oenb[81] 0.00238978 +2 *2472:la_oenb_core[81] 0.0016875 +3 *1480:10 0.00238978 +4 *1480:8 0.00591008 +5 *1480:7 0.00759757 +6 *1480:8 *1491:8 0.00611461 +7 *2472:la_data_out_core[81] *1480:7 0 +8 *2472:la_data_out_core[82] *1480:7 0 +9 *841:7 *1480:7 0 +10 *1055:5 *1480:7 2.72089e-05 +11 *1095:8 *1480:8 0.0727471 +12 *1097:8 *1480:8 0.0749245 +13 *1440:8 *1480:8 9.48476e-05 +*RES +1 *2472:la_oenb_core[81] *1480:7 41.3353 +2 *1480:7 *1480:8 796.58 +3 *1480:8 *1480:10 4.5 +4 *1480:10 *2473:la_oenb[81] 47.2166 +*END + +*D_NET *1481 0.168392 +*CONN +*I *2473:la_oenb[82] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[82] O *D mgmt_protect +*CAP +1 *2473:la_oenb[82] 0.00154481 +2 *2472:la_oenb_core[82] 2.27669e-05 +3 *1481:10 0.00777816 +4 *1481:9 0.00623335 +5 *1481:7 0.00181464 +6 *1481:5 0.00183741 +7 *1481:10 *1492:14 0.0032657 +8 *1481:10 *1494:20 0.0536853 +9 *1481:10 *1496:8 0.00366233 +10 *2472:la_data_out_core[82] *1481:7 0 +11 *2473:la_oenb[44] *1481:7 0 +12 *842:7 *1481:7 0.00281809 +13 *1056:11 *1481:10 0.00106121 +14 *1057:8 *1481:10 0.00305573 +15 *1091:8 *1481:10 0.00242746 +16 *1107:8 *1481:10 0.0701183 +17 *1441:8 *1481:10 0.000293908 +18 *1449:18 *1481:10 0.00493538 +19 *1451:20 *1481:10 0.00278378 +20 *1472:10 *1481:10 0.00105402 +*RES +1 *2472:la_oenb_core[82] *1481:5 0.647305 +2 *1481:5 *1481:7 52.7004 +3 *1481:7 *1481:9 4.5 +4 *1481:9 *1481:10 809.335 +5 *1481:10 *2473:la_oenb[82] 35.937 +*END + +*D_NET *1482 0.177557 +*CONN +*I *2473:la_oenb[83] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[83] O *D mgmt_protect +*CAP +1 *2473:la_oenb[83] 0.00241168 +2 *2472:la_oenb_core[83] 0.00166627 +3 *1482:10 0.00241168 +4 *1482:8 0.00630304 +5 *1482:7 0.00796931 +6 *1482:8 *1488:8 0.0734216 +7 *2472:la_data_out_core[83] *1482:7 0 +8 *2472:la_data_out_core[84] *1482:7 0 +9 *843:7 *1482:7 0 +10 *1097:8 *1482:8 0.0753092 +11 *1110:8 *1482:8 0.00670533 +12 *1440:8 *1482:8 0.000472909 +13 *1442:8 *1482:8 0.000886242 +*RES +1 *2472:la_oenb_core[83] *1482:7 40.5048 +2 *1482:7 *1482:8 823.201 +3 *1482:8 *1482:10 4.5 +4 *1482:10 *2473:la_oenb[83] 48.0471 +*END + +*D_NET *1483 0.172601 +*CONN +*I *2473:la_oenb[84] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[84] O *D mgmt_protect +*CAP +1 *2473:la_oenb[84] 0.00218403 +2 *2472:la_oenb_core[84] 0.00169763 +3 *1483:10 0.0276534 +4 *1483:9 0.027167 +5 *1483:10 *1485:8 0.088861 +6 *1483:10 *1491:8 0 +7 *2472:la_data_out_core[84] *1483:9 0 +8 *2473:la_oenb[45] *1483:9 0.000339101 +9 *844:7 *1483:9 0.000783419 +10 *1080:8 *1483:10 0.00204188 +11 *1082:8 *1483:10 0.0028038 +12 *1085:8 *1483:10 0.00328423 +13 *1087:8 *1483:10 0.00354326 +14 *1108:8 *1483:10 0.0100596 +15 *1461:8 *1483:10 0.000789395 +16 *1463:8 *1483:10 0.00139304 +*RES +1 *2472:la_oenb_core[84] *1483:9 47.3977 +2 *1483:9 *1483:10 115.091 +3 *1483:10 *2473:la_oenb[84] 45.81 +*END + +*D_NET *1484 0.215603 +*CONN +*I *2473:la_oenb[85] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[85] O *D mgmt_protect +*CAP +1 *2473:la_oenb[85] 0.00297926 +2 *2472:la_oenb_core[85] 0.00111165 +3 *1484:10 0.00297926 +4 *1484:8 0.0102889 +5 *1484:7 0.0114006 +6 *2472:la_data_out_core[85] *1484:7 0 +7 *2472:la_data_out_core[86] *1484:7 0 +8 *2473:la_data_in[46] *1484:7 0.000144245 +9 *845:7 *1484:7 0 +10 *1001:8 *1484:8 0 +11 *1086:8 *1484:8 0.00279501 +12 *1099:8 *1484:8 0.0889823 +13 *1101:8 *1484:8 0.0914567 +14 *1469:8 *1484:8 0.00346547 +*RES +1 *2472:la_oenb_core[85] *1484:7 29.1999 +2 *1484:7 *1484:8 117.233 +3 *1484:8 *1484:10 3.36879 +4 *1484:10 *2473:la_oenb[85] 58.2208 +*END + +*D_NET *1485 0.218411 +*CONN +*I *2473:la_oenb[86] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[86] O *D mgmt_protect +*CAP +1 *2473:la_oenb[86] 0.00210641 +2 *2472:la_oenb_core[86] 0.00204764 +3 *1485:8 0.013305 +4 *1485:7 0.0132462 +5 *1485:8 *1487:8 0.0917588 +6 *2472:la_data_out_core[86] *1485:7 0 +7 *846:5 *1485:7 0 +8 *1007:13 *2473:la_oenb[86] 6.82761e-05 +9 *1089:8 *1485:8 0.00343936 +10 *1108:8 *1485:8 0 +11 *1470:10 *1485:8 0.00347326 +12 *1474:10 *1485:8 0.000104638 +13 *1483:10 *1485:8 0.088861 +*RES +1 *2472:la_oenb_core[86] *1485:7 45.81 +2 *1485:7 *1485:8 119.069 +3 *1485:8 *2473:la_oenb[86] 44.9795 +*END + +*D_NET *1486 0.223662 +*CONN +*I *2473:la_oenb[87] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[87] O *D mgmt_protect +*CAP +1 *2473:la_oenb[87] 0.00288548 +2 *2472:la_oenb_core[87] 0.00128135 +3 *1486:10 0.00288548 +4 *1486:8 0.0106956 +5 *1486:7 0.0119769 +6 *2472:la_data_out_core[87] *1486:7 0 +7 *2472:la_data_out_core[88] *1486:7 0 +8 *847:7 *1486:7 0 +9 *1090:8 *1486:8 0.00325845 +10 *1101:8 *1486:8 0.0918812 +11 *1103:8 *1486:8 0.0943479 +12 *1473:8 *1486:8 0.00444999 +*RES +1 *2472:la_oenb_core[87] *1486:7 30.8609 +2 *1486:7 *1486:8 120.904 +3 *1486:8 *1486:10 3.36879 +4 *1486:10 *2473:la_oenb[87] 56.5598 +*END + +*D_NET *1487 0.226991 +*CONN +*I *2473:la_oenb[88] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[88] O *D mgmt_protect +*CAP +1 *2473:la_oenb[88] 0.00208299 +2 *2472:la_oenb_core[88] 0.00192869 +3 *1487:8 0.0137545 +4 *1487:7 0.0136002 +5 *2472:la_data_out_core[88] *1487:7 0 +6 *2473:la_data_in[47] *1487:7 0.000546668 +7 *848:7 *1487:7 0 +8 *1104:8 *1487:8 0.0957837 +9 *1108:8 *1487:8 0 +10 *1474:10 *1487:8 0.00383555 +11 *1476:10 *1487:8 0.00370005 +12 *1485:8 *1487:8 0.0917588 +*RES +1 *2472:la_oenb_core[88] *1487:7 46.6405 +2 *1487:7 *1487:8 122.74 +3 *1487:8 *2473:la_oenb[88] 44.149 +*END + +*D_NET *1488 0.189814 +*CONN +*I *2473:la_oenb[89] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[89] O *D mgmt_protect +*CAP +1 *2473:la_oenb[89] 0.00236198 +2 *2472:la_oenb_core[89] 0.00170643 +3 *1488:10 0.00236198 +4 *1488:8 0.00795017 +5 *1488:7 0.00965661 +6 *1488:8 *1497:8 0.00703449 +7 *2472:la_data_out_core[89] *1488:7 0 +8 *2472:la_data_out_core[90] *1488:7 0 +9 *850:7 *1488:7 0 +10 *1058:5 *1488:7 0 +11 *1060:8 *1488:8 2.50864e-05 +12 *1106:8 *1488:8 0.0851534 +13 *1442:8 *1488:8 0.000142393 +14 *1442:11 *1488:7 0 +15 *1482:8 *1488:8 0.0734216 +*RES +1 *2472:la_oenb_core[89] *1488:7 40.0896 +2 *1488:7 *1488:8 902.509 +3 *1488:8 *1488:10 4.5 +4 *1488:10 *2473:la_oenb[89] 48.4624 +*END + +*D_NET *1489 0.0411458 +*CONN +*I *2473:la_oenb[8] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[8] O *D mgmt_protect +*CAP +1 *2473:la_oenb[8] 0.00185544 +2 *2472:la_oenb_core[8] 0.0013439 +3 *1489:10 0.00185544 +4 *1489:8 0.00137292 +5 *1489:7 0.00271682 +6 *2473:la_oenb[8] *2472:mprj_dat_i_user[18] 0 +7 *2473:la_oenb[8] *1623:5 0.000221328 +8 *2472:la_data_out_core[8] *1489:7 0 +9 *2472:la_data_out_core[9] *1489:7 0 +10 *783:8 *1489:8 0.000223991 +11 *860:7 *1489:7 0 +12 *860:8 *1489:8 3.42853e-05 +13 *1105:8 *1489:8 0.000233938 +14 *1116:8 *1489:8 0.0153647 +15 *1478:8 *1489:8 0.015923 +*RES +1 *2472:la_oenb_core[8] *1489:7 41.3353 +2 *1489:7 *1489:8 175.978 +3 *1489:8 *1489:10 4.5 +4 *1489:10 *2473:la_oenb[8] 47.2166 +*END + +*D_NET *1490 0.227664 +*CONN +*I *2473:la_oenb[90] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[90] O *D mgmt_protect +*CAP +1 *2473:la_oenb[90] 0.00199837 +2 *2472:la_oenb_core[90] 0.00232639 +3 *1490:10 0.0220379 +4 *1490:9 0.0200395 +5 *1490:7 0.00232639 +6 *1490:10 *1496:8 0 +7 *2472:la_data_out_core[90] *1490:7 0 +8 *2473:la_data_in[48] *1490:7 0 +9 *851:7 *1490:7 0 +10 *1007:8 *1490:10 0.000868916 +11 *1071:8 *1490:10 0.000473848 +12 *1098:8 *1490:10 0.00508783 +13 *1100:8 *1490:10 0.00427931 +14 *1104:8 *1490:10 0.0959657 +15 *1108:8 *1490:10 0 +16 *1111:8 *1490:10 0 +17 *1113:8 *1490:10 0 +18 *1115:8 *1490:10 0 +19 *1379:8 *1490:10 0.00112836 +20 *1391:8 *1490:10 0.00107487 +21 *1399:8 *1490:10 0.000828329 +22 *1403:8 *1490:10 0.0672204 +23 *1452:10 *1490:10 0.000246453 +24 *1454:8 *1490:10 0.000355068 +25 *1457:12 *1490:10 0.000506175 +26 *1459:8 *1490:10 0.000654003 +27 *1465:14 *1490:10 0.000246453 +*RES +1 *2472:la_oenb_core[90] *1490:7 47.351 +2 *1490:7 *1490:9 3.36879 +3 *1490:9 *1490:10 126.106 +4 *1490:10 *2473:la_oenb[90] 42.488 +*END + +*D_NET *1491 0.238779 +*CONN +*I *2473:la_oenb[91] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[91] O *D mgmt_protect +*CAP +1 *2473:la_oenb[91] 0.00228312 +2 *2472:la_oenb_core[91] 0.00176251 +3 *1491:10 0.00228312 +4 *1491:8 0.0103985 +5 *1491:7 0.012161 +6 *2472:la_data_out_core[91] *1491:7 0 +7 *2472:la_data_out_core[92] *1491:7 0 +8 *852:7 *1491:7 0 +9 *1095:8 *1491:8 0.00480076 +10 *1103:8 *1491:8 0 +11 *1108:8 *1491:8 0.100347 +12 *1110:8 *1491:8 0.0986278 +13 *1480:8 *1491:8 0.00611461 +14 *1483:10 *1491:8 0 +*RES +1 *2472:la_oenb_core[91] *1491:7 39.9965 +2 *1491:7 *1491:8 128.247 +3 *1491:8 *1491:10 3.36879 +4 *1491:10 *2473:la_oenb[91] 47.4242 +*END + +*D_NET *1492 0.201832 +*CONN +*I *2473:la_oenb[92] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[92] O *D mgmt_protect +*CAP +1 *2473:la_oenb[92] 0.00154201 +2 *2472:la_oenb_core[92] 0.000291355 +3 *1492:14 0.0080588 +4 *1492:13 0.00651679 +5 *1492:11 0.00180451 +6 *1492:9 0.00209587 +7 *1492:14 *1494:20 0.0739792 +8 *2472:la_data_out_core[92] *1492:9 0 +9 *2473:la_oenb[48] *1492:11 3.49417e-05 +10 *853:5 *1492:9 0 +11 *853:7 *1492:9 7.1812e-05 +12 *853:7 *1492:11 0.00245299 +13 *1014:8 *1492:14 0.0365512 +14 *1113:8 *1492:14 0.0049225 +15 *1375:8 *1492:14 1.41689e-05 +16 *1449:18 *1492:14 0.00493959 +17 *1451:20 *1492:14 0.00278799 +18 *1472:10 *1492:14 0.0525029 +19 *1481:10 *1492:14 0.0032657 +*RES +1 *2472:la_oenb_core[92] *1492:9 7.73102 +2 *1492:9 *1492:11 47.9372 +3 *1492:11 *1492:13 4.5 +4 *1492:13 *1492:14 939.667 +5 *1492:14 *2473:la_oenb[92] 36.7675 +*END + +*D_NET *1493 0.204719 +*CONN +*I *2473:la_oenb[93] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[93] O *D mgmt_protect +*CAP +1 *2473:la_oenb[93] 0.00233013 +2 *2472:la_oenb_core[93] 0.00152704 +3 *1493:10 0.00233013 +4 *1493:8 0.00738319 +5 *1493:7 0.00891023 +6 *1493:8 *1495:8 0.0893201 +7 *2472:la_data_out_core[94] *1493:7 0 +8 *2473:la_data_in[49] *1493:7 0.000413324 +9 *854:7 *1493:7 0 +10 *1060:8 *1493:8 0.000344639 +11 *1062:8 *1493:8 0.000172773 +12 *1106:8 *1493:8 0.0839836 +13 *1109:11 *1493:7 0 +14 *1114:8 *1493:8 0.00800388 +*RES +1 *2472:la_oenb_core[93] *1493:7 39.2591 +2 *1493:7 *1493:8 956.305 +3 *1493:8 *1493:10 4.5 +4 *1493:10 *2473:la_oenb[93] 49.2929 +*END + +*D_NET *1494 0.207843 +*CONN +*I *2473:la_oenb[94] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[94] O *D mgmt_protect +*CAP +1 *2473:la_oenb[94] 0.00149639 +2 *2472:la_oenb_core[94] 0.00320703 +3 *1494:20 0.00698016 +4 *1494:19 0.00569468 +5 *1494:14 0.00110971 +6 *1494:13 0.00203479 +7 *1494:10 0.00434301 +8 *2472:la_data_out_core[94] *1494:10 0 +9 *2473:la_data_in[51] *1494:13 0.00117916 +10 *855:5 *1494:10 0 +11 *1014:8 *1494:20 0.00183679 +12 *1017:8 *1494:20 0.023951 +13 *1068:8 *1494:14 0.00128045 +14 *1099:8 *1494:10 0.00395802 +15 *1107:8 *1494:20 0.000183207 +16 *1113:8 *1494:20 0.00446762 +17 *1115:11 *1494:13 0 +18 *1379:8 *1494:14 0.000384538 +19 *1387:10 *1494:14 0.000277502 +20 *1450:14 *1494:14 0.00732031 +21 *1468:10 *1494:14 0.0104745 +22 *1481:10 *1494:20 0.0536853 +23 *1492:14 *1494:20 0.0739792 +*RES +1 *2472:la_oenb_core[94] *1494:10 36.0059 +2 *1494:10 *1494:13 29.7937 +3 *1494:13 *1494:14 111.09 +4 *1494:14 *1494:19 12.0778 +5 *1494:19 *1494:20 822.091 +6 *1494:20 *2473:la_oenb[94] 36.3523 +*END + +*D_NET *1495 0.214034 +*CONN +*I *2473:la_oenb[95] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[95] O *D mgmt_protect +*CAP +1 *2473:la_oenb[95] 0.00231371 +2 *2472:la_oenb_core[95] 0.00164168 +3 *1495:10 0.00231371 +4 *1495:8 0.00698692 +5 *1495:7 0.00862861 +6 *2472:la_data_out_core[95] *1495:7 0 +7 *2472:la_data_out_core[96] *1495:7 0 +8 *2473:la_oenb[49] *1495:7 8.01837e-05 +9 *856:7 *1495:7 0 +10 *1062:8 *1495:8 0.00020979 +11 *1112:8 *1495:8 0.0929249 +12 *1114:8 *1495:8 0.00961415 +13 *1493:8 *1495:8 0.0893201 +*RES +1 *2472:la_oenb_core[95] *1495:7 38.8438 +2 *1495:7 *1495:8 982.926 +3 *1495:8 *1495:10 4.5 +4 *1495:10 *2473:la_oenb[95] 49.7081 +*END + +*D_NET *1496 0.259344 +*CONN +*I *2473:la_oenb[96] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[96] O *D mgmt_protect +*CAP +1 *2473:la_oenb[96] 0.00146266 +2 *2472:la_oenb_core[96] 0.00240902 +3 *1496:8 0.0160358 +4 *1496:7 0.0145731 +5 *1496:5 0.00240902 +6 *2472:la_data_out_core[96] *1496:5 0 +7 *2473:la_data_in[50] *1496:5 0.000724021 +8 *857:5 *1496:5 0 +9 *1006:8 *1496:8 0 +10 *1017:8 *1496:8 0.00160401 +11 *1107:8 *1496:8 0.00406685 +12 *1111:8 *1496:8 0.104979 +13 *1113:8 *1496:8 0.107418 +14 *1481:10 *1496:8 0.00366233 +15 *1490:10 *1496:8 0 +*RES +1 *2472:la_oenb_core[96] *1496:5 52.4073 +2 *1496:5 *1496:7 3.36879 +3 *1496:7 *1496:8 137.426 +4 *1496:8 *2473:la_oenb[96] 35.0134 +*END + +*D_NET *1497 0.255926 +*CONN +*I *2473:la_oenb[97] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[97] O *D mgmt_protect +*CAP +1 *2473:la_oenb[97] 0.00225064 +2 *2472:la_oenb_core[97] 0.00169523 +3 *1497:10 0.00225064 +4 *1497:8 0.010943 +5 *1497:7 0.0126383 +6 *2472:la_data_out_core[98] *1497:7 0 +7 *858:7 *1497:7 0 +8 *1103:8 *1497:8 0 +9 *1106:8 *1497:8 0.00805459 +10 *1110:8 *1497:8 0.101894 +11 *1113:11 *1497:7 0 +12 *1114:8 *1497:8 0.109165 +13 *1488:8 *1497:8 0.00703449 +*RES +1 *2472:la_oenb_core[97] *1497:7 38.3355 +2 *1497:7 *1497:8 139.262 +3 *1497:8 *1497:10 3.36879 +4 *1497:10 *2473:la_oenb[97] 49.0853 +*END + +*D_NET *1498 0.26756 +*CONN +*I *2473:la_oenb[98] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[98] O *D mgmt_protect +*CAP +1 *2473:la_oenb[98] 0.00152829 +2 *2472:la_oenb_core[98] 0.00111822 +3 *1498:18 0.0155547 +4 *1498:17 0.0152323 +5 *1498:13 0.00232416 +6 *1498:13 *1499:7 3.88655e-06 +7 *1498:17 *1499:7 0.000536439 +8 *2472:la_data_out_core[98] *1498:13 0 +9 *2473:la_data_in[51] *1498:17 0 +10 *859:5 *1498:13 0 +11 *1014:8 *1498:18 0.00288695 +12 *1113:8 *1498:18 0.107067 +13 *1115:8 *1498:18 0.110132 +14 *1115:11 *1498:13 1.9101e-05 +15 *1115:11 *1498:17 0.00044603 +16 *1375:8 *1498:18 0.00642687 +17 *1449:12 *1498:13 0.000683029 +18 *1449:17 *1498:17 0.000272318 +19 *1451:12 *1498:13 0.000678803 +20 *1472:10 *1498:18 0.00265013 +*RES +1 *2472:la_oenb_core[98] *1498:13 39.7915 +2 *1498:13 *1498:17 31.7769 +3 *1498:17 *1498:18 140.027 +4 *1498:18 *2473:la_oenb[98] 36.6745 +*END + +*D_NET *1499 0.224157 +*CONN +*I *2473:la_oenb[99] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[99] O *D mgmt_protect +*CAP +1 *2473:la_oenb[99] 0.00227379 +2 *2472:la_oenb_core[99] 0.00116635 +3 *1499:10 0.00227379 +4 *1499:8 0.00766961 +5 *1499:7 0.00883597 +6 *734:7 *1499:7 0 +7 *1063:8 *1499:8 0.000110684 +8 *1065:8 *1499:8 0.000120705 +9 *1112:8 *1499:8 0.0917148 +10 *1114:8 *1499:8 0.000159297 +11 *1115:11 *1499:7 0.000345453 +12 *1374:8 *1499:8 0.0978286 +13 *1376:8 *1499:8 0.010549 +14 *1449:17 *1499:7 0.000568328 +15 *1498:13 *1499:7 3.88655e-06 +16 *1498:17 *1499:7 0.000536439 +*RES +1 *2472:la_oenb_core[99] *1499:7 38.0133 +2 *1499:7 *1499:8 1036.17 +3 *1499:8 *1499:10 4.5 +4 *1499:10 *2473:la_oenb[99] 50.5386 +*END + +*D_NET *1500 0.0311511 +*CONN +*I *2473:la_oenb[9] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[9] O *D mgmt_protect +*CAP +1 *2473:la_oenb[9] 0.00166288 +2 *2472:la_oenb_core[9] 0.0013663 +3 *1500:10 0.00166288 +4 *1500:8 0.00230701 +5 *1500:7 0.00367331 +6 *2473:la_oenb[9] *2472:mprj_dat_i_user[21] 0.000936873 +7 *2473:la_oenb[9] *1627:7 0 +8 *2472:la_data_out_core[9] *1500:7 0 +9 *744:5 *1500:7 0 +10 *768:8 *1500:8 0 +11 *783:8 *1500:8 0.00427329 +12 *1039:12 *1500:8 5.03285e-05 +13 *1116:8 *1500:8 0.0152182 +*RES +1 *2472:la_oenb_core[9] *1500:7 42.1658 +2 *1500:7 *1500:8 163.222 +3 *1500:8 *1500:10 4.5 +4 *1500:10 *2473:la_oenb[9] 46.3861 +*END + +*D_NET *1501 0.0123259 +*CONN +*I *2471:mask_rev_in[0] I *D housekeeping +*I *2479:mask_rev[0] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[0] 0.00129999 +2 *2479:mask_rev[0] 0.000194382 +3 *1501:12 0.00372149 +4 *1501:10 0.00261588 +5 *2471:mask_rev_in[0] *2471:mask_rev_in[1] 0 +6 *2471:mask_rev_in[0] *1526:11 0.000345725 +7 *1501:10 *1530:9 0 +8 *1501:12 *1512:11 0.00249075 +9 *1501:12 *1514:19 0 +10 *1501:12 *1530:10 0.00123205 +11 *1501:12 *1531:16 0 +12 *1501:12 *1532:16 0.000425627 +13 *64:51 *2471:mask_rev_in[0] 0 +14 *66:17 *2471:mask_rev_in[0] 0 +*RES +1 *2479:mask_rev[0] *1501:10 8.45803 +2 *1501:10 *1501:12 101.661 +3 *1501:12 *2471:mask_rev_in[0] 42.2269 +*END + +*D_NET *1502 0.0232945 +*CONN +*I *2471:mask_rev_in[10] I *D housekeeping +*I *2479:mask_rev[10] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[10] 0.00090124 +2 *2479:mask_rev[10] 0.000613924 +3 *1502:11 0.00218445 +4 *1502:10 0.00128321 +5 *1502:8 0.00066859 +6 *1502:7 0.00128251 +7 *2471:mask_rev_in[10] *2471:mask_rev_in[9] 0.00196916 +8 *2471:mask_rev_in[10] *1503:17 0.000134832 +9 *2471:mask_rev_in[10] *1530:10 1.92094e-05 +10 *1502:7 *1526:7 0 +11 *1502:7 *1531:7 0 +12 *1502:8 *1503:8 0.00511026 +13 *1502:8 *1504:8 0.000767831 +14 *1502:8 *1508:10 0.00404588 +15 *1502:8 *1526:8 0.000622925 +16 *1502:8 *1531:8 8.47748e-05 +17 *1502:11 *2471:mask_rev_in[9] 0 +18 *1502:11 *1503:11 0.00360571 +*RES +1 *2479:mask_rev[10] *1502:7 21.8185 +2 *1502:7 *1502:8 71.1581 +3 *1502:8 *1502:10 4.5 +4 *1502:10 *1502:11 57.891 +5 *1502:11 *2471:mask_rev_in[10] 46.486 +*END + +*D_NET *1503 0.0221878 +*CONN +*I *2471:mask_rev_in[11] I *D housekeeping +*I *2479:mask_rev[11] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[11] 7.21667e-05 +2 *2479:mask_rev[11] 0.000644592 +3 *1503:17 0.00141843 +4 *1503:11 0.00209148 +5 *1503:10 0.000745214 +6 *1503:8 0.000307369 +7 *1503:7 0.00095196 +8 *1503:7 *1504:7 0 +9 *1503:8 *1504:8 1.00981e-05 +10 *1503:8 *1526:8 0.00516758 +11 *1503:11 *2471:mask_rev_in[9] 0 +12 *1503:11 *1504:11 0.00174648 +13 *1503:17 *2471:mask_rev_in[12] 0 +14 *1503:17 *1530:10 0 +15 *1503:17 *2223:11 0.000181643 +16 *2471:mask_rev_in[10] *1503:17 0.000134832 +17 *1502:8 *1503:8 0.00511026 +18 *1502:11 *1503:11 0.00360571 +*RES +1 *2479:mask_rev[11] *1503:7 22.2337 +2 *1503:7 *1503:8 53.9653 +3 *1503:8 *1503:10 4.5 +4 *1503:10 *1503:11 59.1368 +5 *1503:11 *1503:17 48.0405 +6 *1503:17 *2471:mask_rev_in[11] 2.05183 +*END + +*D_NET *1504 0.0163898 +*CONN +*I *2471:mask_rev_in[12] I *D housekeeping +*I *2479:mask_rev[12] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[12] 0.00110389 +2 *2479:mask_rev[12] 0.000584713 +3 *1504:16 0.00130066 +4 *1504:11 0.001781 +5 *1504:10 0.00158423 +6 *1504:8 0.00114861 +7 *1504:7 0.00173333 +8 *1504:8 *1508:10 0.0030922 +9 *1504:8 *1526:8 2.01874e-05 +10 *1504:11 *2471:mask_rev_in[7] 0 +11 *1504:11 *2471:mask_rev_in[8] 0 +12 *1504:11 *2471:mask_rev_in[9] 0 +13 *1504:16 *1528:19 0.00120988 +14 *1504:16 *2223:11 0.000306662 +15 *1502:8 *1504:8 0.000767831 +16 *1503:7 *1504:7 0 +17 *1503:8 *1504:8 1.00981e-05 +18 *1503:11 *1504:11 0.00174648 +19 *1503:17 *2471:mask_rev_in[12] 0 +*RES +1 *2479:mask_rev[12] *1504:7 20.988 +2 *1504:7 *1504:8 51.7469 +3 *1504:8 *1504:10 4.5 +4 *1504:10 *1504:11 60.3826 +5 *1504:11 *1504:16 21.9247 +6 *1504:16 *2471:mask_rev_in[12] 30.6676 +*END + +*D_NET *1505 0.0117104 +*CONN +*I *2471:mask_rev_in[13] I *D housekeeping +*I *2479:mask_rev[13] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[13] 0.00100945 +2 *2479:mask_rev[13] 0.000158022 +3 *1505:8 0.00163848 +4 *1505:7 0.000787051 +5 *2471:mask_rev_in[13] *2471:mask_rev_in[14] 0 +6 *1505:7 *2471:mask_rev_in[26] 0 +7 *1505:7 *2471:mask_rev_in[29] 2.70576e-05 +8 *1505:7 *1517:10 0 +9 *1505:8 *2471:mask_rev_in[16] 9.8904e-05 +10 *1505:8 *1506:16 0.00233837 +11 *1505:8 *1527:13 0.00565311 +*RES +1 *2479:mask_rev[13] *1505:7 8.53039 +2 *1505:7 *1505:8 60.066 +3 *1505:8 *2471:mask_rev_in[13] 32.6761 +*END + +*D_NET *1506 0.0150084 +*CONN +*I *2471:mask_rev_in[14] I *D housekeeping +*I *2479:mask_rev[14] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[14] 0.00104547 +2 *2479:mask_rev[14] 0.000665553 +3 *1506:16 0.00228142 +4 *1506:15 0.00193115 +5 *1506:12 0.00136076 +6 *2471:mask_rev_in[14] *2471:mask_rev_in[15] 0 +7 *1506:12 *1514:16 0.000287346 +8 *1506:12 *1525:15 0.000892705 +9 *1506:15 *1507:16 0.00141003 +10 *1506:15 *1527:12 0 +11 *1506:16 *2471:mask_rev_in[16] 0.000430352 +12 *1506:16 *2471:mask_rev_in[25] 0.0012501 +13 *1506:16 *2471:mask_rev_in[26] 0.000214838 +14 *1506:16 *1527:13 0.000203053 +15 *1506:16 *1528:13 0.00069725 +16 *1506:16 *2223:11 0 +17 *2471:mask_rev_in[13] *2471:mask_rev_in[14] 0 +18 *1505:8 *1506:16 0.00233837 +*RES +1 *2479:mask_rev[14] *1506:12 32.9587 +2 *1506:12 *1506:15 32.4929 +3 *1506:15 *1506:16 67.8304 +4 *1506:16 *2471:mask_rev_in[14] 33.5066 +*END + +*D_NET *1507 0.0151464 +*CONN +*I *2471:mask_rev_in[15] I *D housekeeping +*I *2479:mask_rev[15] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[15] 0.000888956 +2 *2479:mask_rev[15] 0.000595023 +3 *1507:17 0.00248419 +4 *1507:16 0.00219026 +5 *2471:mask_rev_in[15] *2471:mask_rev_in[16] 0.0016928 +6 *2471:mask_rev_in[15] *1529:10 0 +7 *1507:16 *1513:16 0.00135814 +8 *1507:16 *1514:16 0.00012693 +9 *1507:16 *1527:12 4.3116e-06 +10 *1507:17 *1513:19 0.00404459 +11 *1507:17 *1530:10 0.000351195 +12 *1507:17 *2223:11 0 +13 *2471:mask_rev_in[14] *2471:mask_rev_in[15] 0 +14 *1506:15 *1507:16 0.00141003 +*RES +1 *2479:mask_rev[15] *1507:16 36.8545 +2 *1507:16 *1507:17 63.3936 +3 *1507:17 *2471:mask_rev_in[15] 38.9049 +*END + +*D_NET *1508 0.0178273 +*CONN +*I *2471:mask_rev_in[16] I *D housekeeping +*I *2479:mask_rev[16] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[16] 0.000863379 +2 *2479:mask_rev[16] 0.000599318 +3 *1508:11 0.00310972 +4 *1508:10 0.00264562 +5 *1508:7 0.0009986 +6 *2471:mask_rev_in[16] *1527:13 1.62206e-05 +7 *2471:mask_rev_in[16] *1528:13 0.000234276 +8 *2471:mask_rev_in[16] *1529:10 0 +9 *2471:mask_rev_in[15] *2471:mask_rev_in[16] 0.0016928 +10 *1502:8 *1508:10 0.00404588 +11 *1504:8 *1508:10 0.0030922 +12 *1505:8 *2471:mask_rev_in[16] 9.8904e-05 +13 *1506:16 *2471:mask_rev_in[16] 0.000430352 +*RES +1 *2479:mask_rev[16] *1508:7 21.4032 +2 *1508:7 *1508:10 46.8187 +3 *1508:10 *1508:11 62.0436 +4 *1508:11 *2471:mask_rev_in[16] 48.0189 +*END + +*D_NET *1509 0.00495375 +*CONN +*I *2471:mask_rev_in[17] I *D housekeeping +*I *2479:mask_rev[17] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[17] 0.000835149 +2 *2479:mask_rev[17] 0.000988024 +3 *1509:10 0.00182317 +4 *2471:mask_rev_in[17] *2471:mask_rev_in[18] 0 +5 *1509:10 *2471:mask_rev_in[23] 0 +6 *1509:10 *2471:mask_rev_in[25] 0 +7 *1509:10 *1511:10 0.0013074 +8 *1509:10 *1527:13 0 +*RES +1 *2479:mask_rev[17] *1509:10 39.3965 +2 *1509:10 *2471:mask_rev_in[17] 23.6083 +*END + +*D_NET *1510 0.00380909 +*CONN +*I *2471:mask_rev_in[18] I *D housekeeping +*I *2479:mask_rev[18] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[18] 0.00190455 +2 *2479:mask_rev[18] 0.00190455 +3 *2471:mask_rev_in[18] *2471:mask_rev_in[19] 0 +4 *2471:mask_rev_in[18] *1529:10 0 +5 *2471:mask_rev_in[17] *2471:mask_rev_in[18] 0 +*RES +1 *2479:mask_rev[18] *2471:mask_rev_in[18] 47.4969 +*END + +*D_NET *1511 0.00514811 +*CONN +*I *2471:mask_rev_in[19] I *D housekeeping +*I *2479:mask_rev[19] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[19] 0.000818143 +2 *2479:mask_rev[19] 0.000968793 +3 *1511:10 0.00178694 +4 *2471:mask_rev_in[19] *2471:mask_rev_in[20] 0 +5 *1511:10 *2471:mask_rev_in[25] 0 +6 *1511:10 *1517:10 0.000266832 +7 *2471:mask_rev_in[18] *2471:mask_rev_in[19] 0 +8 *1509:10 *1511:10 0.0013074 +*RES +1 *2479:mask_rev[19] *1511:10 39.8117 +2 *1511:10 *2471:mask_rev_in[19] 23.1931 +*END + +*D_NET *1512 0.013055 +*CONN +*I *2471:mask_rev_in[1] I *D housekeeping +*I *2479:mask_rev[1] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[1] 0.00140529 +2 *2479:mask_rev[1] 0.00106167 +3 *1512:11 0.00225066 +4 *1512:10 0.00190704 +5 *2471:mask_rev_in[1] *2471:mask_rev_in[2] 0 +6 *2471:mask_rev_in[1] *1526:11 0 +7 *1512:10 *1523:10 0 +8 *1512:10 *1529:10 0.000583389 +9 *1512:11 *1523:11 0.000652867 +10 *1512:11 *1532:16 0.00270333 +11 *2471:mask_rev_in[0] *2471:mask_rev_in[1] 0 +12 *1501:12 *1512:11 0.00249075 +*RES +1 *2479:mask_rev[1] *1512:10 26.3084 +2 *1512:10 *1512:11 59.5114 +3 *1512:11 *2471:mask_rev_in[1] 43.0574 +*END + +*D_NET *1513 0.0146849 +*CONN +*I *2471:mask_rev_in[20] I *D housekeeping +*I *2479:mask_rev[20] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[20] 0.00128404 +2 *2479:mask_rev[20] 0.000443979 +3 *1513:19 0.00163627 +4 *1513:16 0.000796209 +5 *2471:mask_rev_in[20] *2471:mask_rev_in[21] 0 +6 *2471:mask_rev_in[20] *1518:12 0 +7 *1513:16 *1514:16 0.00136446 +8 *1513:16 *1527:12 7.77309e-06 +9 *1513:19 *1514:19 0.00363262 +10 *1513:19 *1530:10 4.02303e-05 +11 *1513:19 *1532:15 7.65564e-05 +12 *2471:mask_rev_in[19] *2471:mask_rev_in[20] 0 +13 *1507:16 *1513:16 0.00135814 +14 *1507:17 *1513:19 0.00404459 +*RES +1 *2479:mask_rev[20] *1513:16 32.1736 +2 *1513:16 *1513:19 47.3733 +3 *1513:19 *2471:mask_rev_in[20] 34.8201 +*END + +*D_NET *1514 0.0139159 +*CONN +*I *2471:mask_rev_in[21] I *D housekeeping +*I *2479:mask_rev[21] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[21] 0.000821266 +2 *2479:mask_rev[21] 0.000716483 +3 *1514:19 0.0014583 +4 *1514:16 0.00135352 +5 *2471:mask_rev_in[21] *2471:mask_rev_in[22] 0.00199039 +6 *2471:mask_rev_in[21] *1516:16 0.000101246 +7 *2471:mask_rev_in[21] *1518:12 3.67709e-05 +8 *1514:16 *1525:15 4.90912e-05 +9 *1514:16 *1527:12 0.000893274 +10 *1514:19 *1530:10 0.000333145 +11 *1514:19 *1532:15 0.000751057 +12 *2471:mask_rev_in[20] *2471:mask_rev_in[21] 0 +13 *1501:12 *1514:19 0 +14 *1506:12 *1514:16 0.000287346 +15 *1507:16 *1514:16 0.00012693 +16 *1513:16 *1514:16 0.00136446 +17 *1513:19 *1514:19 0.00363262 +*RES +1 *2479:mask_rev[21] *1514:16 41.007 +2 *1514:16 *1514:19 42.9364 +3 *1514:19 *2471:mask_rev_in[21] 35.2354 +*END + +*D_NET *1515 0.00400691 +*CONN +*I *2471:mask_rev_in[22] I *D housekeeping +*I *2479:mask_rev[22] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[22] 0.00100826 +2 *2479:mask_rev[22] 0.00100826 +3 *2471:mask_rev_in[22] *2471:mask_rev_in[23] 0 +4 *2471:mask_rev_in[22] *1520:7 0 +5 *2471:mask_rev_in[21] *2471:mask_rev_in[22] 0.00199039 +*RES +1 *2479:mask_rev[22] *2471:mask_rev_in[22] 37.9848 +*END + +*D_NET *1516 0.00796338 +*CONN +*I *2471:mask_rev_in[23] I *D housekeeping +*I *2479:mask_rev[23] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[23] 0.000912134 +2 *2479:mask_rev[23] 0.000293814 +3 *1516:16 0.00197978 +4 *1516:12 0.00136146 +5 *2471:mask_rev_in[23] *1520:7 0.000814346 +6 *1516:16 *1518:12 0.00183782 +7 *1516:16 *1528:13 0.000192188 +8 *1516:16 *2223:11 0.000470585 +9 *2471:mask_rev_in[21] *1516:16 0.000101246 +10 *2471:mask_rev_in[22] *2471:mask_rev_in[23] 0 +11 *1509:10 *2471:mask_rev_in[23] 0 +*RES +1 *2479:mask_rev[23] *1516:12 16.8644 +2 *1516:12 *1516:16 48.8649 +3 *1516:16 *2471:mask_rev_in[23] 35.9981 +*END + +*D_NET *1517 0.00513722 +*CONN +*I *2471:mask_rev_in[24] I *D housekeeping +*I *2479:mask_rev[24] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[24] 0.000547886 +2 *2479:mask_rev[24] 0.000971421 +3 *1517:10 0.00151931 +4 *2471:mask_rev_in[24] *2471:mask_rev_in[25] 0.00133833 +5 *1517:10 *2471:mask_rev_in[28] 0.000377259 +6 *1517:10 *2471:mask_rev_in[29] 0.000116179 +7 *1517:10 *1527:13 0 +8 *1505:7 *1517:10 0 +9 *1511:10 *1517:10 0.000266832 +*RES +1 *2479:mask_rev[24] *1517:10 38.2873 +2 *1517:10 *2471:mask_rev_in[24] 23.0465 +*END + +*D_NET *1518 0.00881643 +*CONN +*I *2471:mask_rev_in[25] I *D housekeeping +*I *2479:mask_rev[25] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[25] 0.00103228 +2 *2479:mask_rev[25] 0.00088935 +3 *1518:12 0.00192163 +4 *2471:mask_rev_in[25] *1528:13 0.000308415 +5 *1518:12 *1532:15 0.000201734 +6 *2471:mask_rev_in[20] *1518:12 0 +7 *2471:mask_rev_in[21] *1518:12 3.67709e-05 +8 *2471:mask_rev_in[24] *2471:mask_rev_in[25] 0.00133833 +9 *1506:16 *2471:mask_rev_in[25] 0.0012501 +10 *1509:10 *2471:mask_rev_in[25] 0 +11 *1511:10 *2471:mask_rev_in[25] 0 +12 *1516:16 *1518:12 0.00183782 +*RES +1 *2479:mask_rev[25] *1518:12 40.3719 +2 *1518:12 *2471:mask_rev_in[25] 47.4011 +*END + +*D_NET *1519 0.00297337 +*CONN +*I *2471:mask_rev_in[26] I *D housekeeping +*I *2479:mask_rev[26] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[26] 0.00125932 +2 *2479:mask_rev[26] 0.00125932 +3 *2471:mask_rev_in[26] *2471:mask_rev_in[27] 2.01457e-05 +4 *2471:mask_rev_in[26] *1528:13 0.000219753 +5 *1505:7 *2471:mask_rev_in[26] 0 +6 *1506:16 *2471:mask_rev_in[26] 0.000214838 +*RES +1 *2479:mask_rev[26] *2471:mask_rev_in[26] 46.3667 +*END + +*D_NET *1520 0.00402976 +*CONN +*I *2471:mask_rev_in[27] I *D housekeeping +*I *2479:mask_rev[27] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[27] 0.000804623 +2 *2479:mask_rev[27] 0.000793009 +3 *1520:7 0.00159763 +4 *2471:mask_rev_in[22] *1520:7 0 +5 *2471:mask_rev_in[23] *1520:7 0.000814346 +6 *2471:mask_rev_in[26] *2471:mask_rev_in[27] 2.01457e-05 +*RES +1 *2479:mask_rev[27] *1520:7 33.0303 +2 *1520:7 *2471:mask_rev_in[27] 25.3415 +*END + +*D_NET *1521 0.00325602 +*CONN +*I *2471:mask_rev_in[28] I *D housekeeping +*I *2479:mask_rev[28] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[28] 0.00131317 +2 *2479:mask_rev[28] 0.00131317 +3 *2471:mask_rev_in[28] *2471:mask_rev_in[29] 0 +4 *2471:mask_rev_in[28] *2471:mask_rev_in[30] 0.000252418 +5 *1517:10 *2471:mask_rev_in[28] 0.000377259 +*RES +1 *2479:mask_rev[28] *2471:mask_rev_in[28] 48.5851 +*END + +*D_NET *1522 0.00549948 +*CONN +*I *2471:mask_rev_in[29] I *D housekeeping +*I *2479:mask_rev[29] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[29] 0.00267812 +2 *2479:mask_rev[29] 0.00267812 +3 *2471:mask_rev_in[28] *2471:mask_rev_in[29] 0 +4 *1505:7 *2471:mask_rev_in[29] 2.70576e-05 +5 *1517:10 *2471:mask_rev_in[29] 0.000116179 +*RES +1 *2479:mask_rev[29] *2471:mask_rev_in[29] 42.6624 +*END + +*D_NET *1523 0.0113848 +*CONN +*I *2471:mask_rev_in[2] I *D housekeeping +*I *2479:mask_rev[2] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[2] 0.00102638 +2 *2479:mask_rev[2] 0.00151638 +3 *1523:11 0.00242211 +4 *1523:10 0.0029121 +5 *2471:mask_rev_in[2] *2471:mask_rev_in[3] 0.00187001 +6 *1523:10 *1529:10 0 +7 *1523:11 *1532:16 0.000984954 +8 *2471:mask_rev_in[1] *2471:mask_rev_in[2] 0 +9 *1512:10 *1523:10 0 +10 *1512:11 *1523:11 0.000652867 +*RES +1 *2479:mask_rev[2] *1523:10 30.8106 +2 *1523:10 *1523:11 50.6377 +3 *1523:11 *2471:mask_rev_in[2] 43.7413 +*END + +*D_NET *1524 0.00464005 +*CONN +*I *2471:mask_rev_in[30] I *D housekeeping +*I *2479:mask_rev[30] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[30] 0.00101047 +2 *2479:mask_rev[30] 0.00101047 +3 *2471:mask_rev_in[30] *2471:mask_rev_in[31] 0.00236668 +4 *2471:mask_rev_in[28] *2471:mask_rev_in[30] 0.000252418 +*RES +1 *2479:mask_rev[30] *2471:mask_rev_in[30] 44.8089 +*END + +*D_NET *1525 0.00913827 +*CONN +*I *2471:mask_rev_in[31] I *D housekeeping +*I *2479:mask_rev[31] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[31] 0.000805002 +2 *2479:mask_rev[31] 0.000861435 +3 *1525:15 0.00162241 +4 *1525:12 0.00167884 +5 *2471:mask_rev_in[31] *1527:12 7.90402e-05 +6 *2471:mask_rev_in[31] *1528:12 0.000747364 +7 *1525:15 *1527:12 0 +8 *1525:15 *1528:12 3.57027e-05 +9 *2471:mask_rev_in[30] *2471:mask_rev_in[31] 0.00236668 +10 *1506:12 *1525:15 0.000892705 +11 *1514:16 *1525:15 4.90912e-05 +*RES +1 *2479:mask_rev[31] *1525:12 32.3789 +2 *1525:12 *1525:15 29.4951 +3 *1525:15 *2471:mask_rev_in[31] 41.7939 +*END + +*D_NET *1526 0.0267881 +*CONN +*I *2471:mask_rev_in[3] I *D housekeeping +*I *2479:mask_rev[3] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[3] 0.000979909 +2 *2479:mask_rev[3] 0.000649945 +3 *1526:11 0.0030414 +4 *1526:10 0.00206149 +5 *1526:8 0.00138764 +6 *1526:7 0.00203758 +7 *2471:mask_rev_in[3] *2223:11 0.000785004 +8 *1526:8 *1531:8 0.00781876 +9 *2471:mask_rev_in[0] *1526:11 0.000345725 +10 *2471:mask_rev_in[1] *1526:11 0 +11 *2471:mask_rev_in[2] *2471:mask_rev_in[3] 0.00187001 +12 *64:51 *1526:11 0 +13 *1502:7 *1526:7 0 +14 *1502:8 *1526:8 0.000622925 +15 *1503:8 *1526:8 0.00516758 +16 *1504:8 *1526:8 2.01874e-05 +*RES +1 *2479:mask_rev[3] *1526:7 22.649 +2 *1526:7 *1526:8 100.552 +3 *1526:8 *1526:10 4.5 +4 *1526:10 *1526:11 60.3826 +5 *1526:11 *2471:mask_rev_in[3] 49.8165 +*END + +*D_NET *1527 0.0172097 +*CONN +*I *2471:mask_rev_in[4] I *D housekeeping +*I *2479:mask_rev[4] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[4] 0.00097339 +2 *2479:mask_rev[4] 0.000694684 +3 *1527:13 0.00403786 +4 *1527:12 0.00375916 +5 *2471:mask_rev_in[4] *2471:mask_rev_in[5] 0 +6 *2471:mask_rev_in[4] *2260:15 3.31733e-05 +7 *1527:12 *1528:12 0.000854635 +8 *1527:13 *1528:13 0 +9 *1527:13 *1528:19 0 +10 *1527:13 *1529:13 0 +11 *1527:13 *2223:11 0 +12 *2471:mask_rev_in[16] *1527:13 1.62206e-05 +13 *2471:mask_rev_in[31] *1527:12 7.90402e-05 +14 *1505:8 *1527:13 0.00565311 +15 *1506:15 *1527:12 0 +16 *1506:16 *1527:13 0.000203053 +17 *1507:16 *1527:12 4.3116e-06 +18 *1509:10 *1527:13 0 +19 *1513:16 *1527:12 7.77309e-06 +20 *1514:16 *1527:12 0.000893274 +21 *1517:10 *1527:13 0 +22 *1525:15 *1527:12 0 +*RES +1 *2479:mask_rev[4] *1527:12 30.4059 +2 *1527:12 *1527:13 104.989 +3 *1527:13 *2471:mask_rev_in[4] 32.2608 +*END + +*D_NET *1528 0.0141319 +*CONN +*I *2471:mask_rev_in[5] I *D housekeeping +*I *2479:mask_rev[5] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[5] 0.00107564 +2 *2479:mask_rev[5] 0.000379773 +3 *1528:19 0.0018502 +4 *1528:13 0.00241719 +5 *1528:12 0.0020224 +6 *2471:mask_rev_in[5] *2471:mask_rev_in[6] 0 +7 *2471:mask_rev_in[5] *2260:15 0 +8 *2471:mask_rev_in[5] *2260:17 0 +9 *1528:13 *2223:11 0.00163712 +10 *1528:19 *2223:11 0.000250096 +11 *2471:mask_rev_in[16] *1528:13 0.000234276 +12 *2471:mask_rev_in[25] *1528:13 0.000308415 +13 *2471:mask_rev_in[26] *1528:13 0.000219753 +14 *2471:mask_rev_in[31] *1528:12 0.000747364 +15 *2471:mask_rev_in[4] *2471:mask_rev_in[5] 0 +16 *1504:16 *1528:19 0.00120988 +17 *1506:16 *1528:13 0.00069725 +18 *1516:16 *1528:13 0.000192188 +19 *1525:15 *1528:12 3.57027e-05 +20 *1527:12 *1528:12 0.000854635 +21 *1527:13 *1528:13 0 +22 *1527:13 *1528:19 0 +*RES +1 *2479:mask_rev[5] *1528:12 22.5161 +2 *1528:12 *1528:13 73.0992 +3 *1528:13 *1528:19 32.7004 +4 *1528:19 *2471:mask_rev_in[5] 30.2523 +*END + +*D_NET *1529 0.00818377 +*CONN +*I *2471:mask_rev_in[6] I *D housekeeping +*I *2479:mask_rev[6] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[6] 0.000876564 +2 *2479:mask_rev[6] 0.00140762 +3 *1529:13 0.00239257 +4 *1529:10 0.00292362 +5 *2471:mask_rev_in[6] *2471:mask_rev_in[7] 0 +6 *2471:mask_rev_in[15] *1529:10 0 +7 *2471:mask_rev_in[16] *1529:10 0 +8 *2471:mask_rev_in[18] *1529:10 0 +9 *2471:mask_rev_in[5] *2471:mask_rev_in[6] 0 +10 *1512:10 *1529:10 0.000583389 +11 *1523:10 *1529:10 0 +12 *1527:13 *1529:13 0 +*RES +1 *2479:mask_rev[6] *1529:10 33.4442 +2 *1529:10 *1529:13 43.491 +3 *1529:13 *2471:mask_rev_in[6] 24.8541 +*END + +*D_NET *1530 0.0103638 +*CONN +*I *2471:mask_rev_in[7] I *D housekeeping +*I *2479:mask_rev[7] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[7] 0.00084567 +2 *2479:mask_rev[7] 0.000134258 +3 *1530:10 0.00257712 +4 *1530:9 0.00186571 +5 *2471:mask_rev_in[7] *2471:mask_rev_in[8] 0.00200538 +6 *1530:10 *1531:16 9.19886e-06 +7 *1530:10 *1532:15 0.000950584 +8 *1530:10 *2223:11 0 +9 *2471:mask_rev_in[10] *1530:10 1.92094e-05 +10 *2471:mask_rev_in[6] *2471:mask_rev_in[7] 0 +11 *1501:10 *1530:9 0 +12 *1501:12 *1530:10 0.00123205 +13 *1503:17 *1530:10 0 +14 *1504:11 *2471:mask_rev_in[7] 0 +15 *1507:17 *1530:10 0.000351195 +16 *1513:19 *1530:10 4.02303e-05 +17 *1514:19 *1530:10 0.000333145 +*RES +1 *2479:mask_rev[7] *1530:9 6.64954 +2 *1530:9 *1530:10 71.1581 +3 *1530:10 *2471:mask_rev_in[7] 40.0041 +*END + +*D_NET *1531 0.0216358 +*CONN +*I *2471:mask_rev_in[8] I *D housekeeping +*I *2479:mask_rev[8] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[8] 0.000800198 +2 *2479:mask_rev[8] 0.00066014 +3 *1531:16 0.0011591 +4 *1531:11 0.00245631 +5 *1531:10 0.00209741 +6 *1531:8 0.00194218 +7 *1531:7 0.00260232 +8 *1531:11 *2260:17 0 +9 *1531:16 *2223:11 0 +10 *2471:mask_rev_in[7] *2471:mask_rev_in[8] 0.00200538 +11 *1501:12 *1531:16 0 +12 *1502:7 *1531:7 0 +13 *1502:8 *1531:8 8.47748e-05 +14 *1504:11 *2471:mask_rev_in[8] 0 +15 *1526:8 *1531:8 0.00781876 +16 *1530:10 *1531:16 9.19886e-06 +*RES +1 *2479:mask_rev[8] *1531:7 23.0642 +2 *1531:7 *1531:8 89.46 +3 *1531:8 *1531:10 4.5 +4 *1531:10 *1531:11 58.7215 +5 *1531:11 *1531:16 18.5971 +6 *1531:16 *2471:mask_rev_in[8] 34.4049 +*END + +*D_NET *1532 0.0123254 +*CONN +*I *2471:mask_rev_in[9] I *D housekeeping +*I *2479:mask_rev[9] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[9] 0.000983892 +2 *2479:mask_rev[9] 0.000339086 +3 *1532:16 0.0017921 +4 *1532:15 0.00114729 +5 *2471:mask_rev_in[10] *2471:mask_rev_in[9] 0.00196916 +6 *1501:12 *1532:16 0.000425627 +7 *1502:11 *2471:mask_rev_in[9] 0 +8 *1503:11 *2471:mask_rev_in[9] 0 +9 *1504:11 *2471:mask_rev_in[9] 0 +10 *1512:11 *1532:16 0.00270333 +11 *1513:19 *1532:15 7.65564e-05 +12 *1514:19 *1532:15 0.000751057 +13 *1518:12 *1532:15 0.000201734 +14 *1523:11 *1532:16 0.000984954 +15 *1530:10 *1532:15 0.000950584 +*RES +1 *2479:mask_rev[9] *1532:15 29.2942 +2 *1532:15 *1532:16 45.6463 +3 *1532:16 *2471:mask_rev_in[9] 42.9108 +*END + +*D_NET *1533 0.0136905 +*CONN +*I *2471:mgmt_gpio_in[0] I *D housekeeping +*I *2444:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[0] 0.00141101 +2 *2444:mgmt_gpio_in 0.000963386 +3 *1533:16 0.00304799 +4 *1533:15 0.00260037 +5 *1533:15 *1777:13 0.000912063 +6 *1533:15 *1804:13 2.73318e-05 +7 *498:8 *1533:16 0.00472831 +*RES +1 *2444:mgmt_gpio_in *1533:15 35.9942 +2 *1533:15 *1533:16 75.5393 +3 *1533:16 *2471:mgmt_gpio_in[0] 8.48033 +*END + +*D_NET *1534 0.387978 +*CONN +*I *2451:mgmt_gpio_out I *D gpio_control_block +*I *2451:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[10] I *D housekeeping +*I *2471:mgmt_gpio_out[10] O *D housekeeping +*CAP +1 *2451:mgmt_gpio_out 0.000281191 +2 *2451:mgmt_gpio_in 7.25379e-05 +3 *2471:mgmt_gpio_in[10] 0.000326108 +4 *2471:mgmt_gpio_out[10] 0.000131986 +5 *1534:26 0.000464922 +6 *1534:23 0.0017248 +7 *1534:20 0.0276906 +8 *1534:19 0.0273876 +9 *1534:5 0.00176866 +10 *2471:mgmt_gpio_in[10] *2020:15 0.00130366 +11 *2471:mgmt_gpio_in[10] *2031:11 0.000982761 +12 *1534:20 *1535:20 0.170447 +13 *1534:20 *1544:14 0.00045563 +14 *1534:20 *1570:20 0.154787 +15 *113:14 *1534:20 0 +16 *525:8 *2471:mgmt_gpio_in[10] 0.000153775 +*RES +1 *2471:mgmt_gpio_out[10] *1534:5 0.369148 +2 *1534:5 *2471:mgmt_gpio_in[10] 28.0403 +3 *1534:5 *1534:19 8.00808 +4 *1534:19 *1534:20 2754.75 +5 *1534:20 *1534:23 45.7095 +6 *1534:23 *1534:26 7.37013 +7 *1534:26 *2451:mgmt_gpio_in 3.59493 +8 *1534:26 *2451:mgmt_gpio_out 8.86202 +*END + +*D_NET *1535 0.422731 +*CONN +*I *2452:mgmt_gpio_out I *D gpio_control_block +*I *2452:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[11] I *D housekeeping +*I *2471:mgmt_gpio_out[11] O *D housekeeping +*CAP +1 *2452:mgmt_gpio_out 0.00028116 +2 *2452:mgmt_gpio_in 7.25283e-05 +3 *2471:mgmt_gpio_in[11] 0.000296239 +4 *2471:mgmt_gpio_out[11] 0.000131986 +5 *1535:26 0.000450276 +6 *1535:23 0.00168151 +7 *1535:20 0.0294815 +8 *1535:19 0.029185 +9 *1535:5 0.00171662 +10 *2471:mgmt_gpio_in[11] *2020:15 0.00130366 +11 *2471:mgmt_gpio_in[11] *2031:11 0.00130366 +12 *1535:20 *1536:20 0.185727 +13 *113:14 *1535:20 0.000653095 +14 *1534:20 *1535:20 0.170447 +*RES +1 *2471:mgmt_gpio_out[11] *1535:5 0.369148 +2 *1535:5 *2471:mgmt_gpio_in[11] 28.0403 +3 *1535:5 *1535:19 7.93159 +4 *1535:19 *1535:20 2999.33 +5 *1535:20 *1535:23 45.7095 +6 *1535:23 *1535:26 6.95487 +7 *1535:26 *2452:mgmt_gpio_in 3.59493 +8 *1535:26 *2452:mgmt_gpio_out 8.86202 +*END + +*D_NET *1536 0.455401 +*CONN +*I *2453:mgmt_gpio_out I *D gpio_control_block +*I *2453:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[12] I *D housekeeping +*I *2471:mgmt_gpio_out[12] O *D housekeeping +*CAP +1 *2453:mgmt_gpio_out 0.000303688 +2 *2453:mgmt_gpio_in 5.64855e-05 +3 *2471:mgmt_gpio_in[12] 0.000522353 +4 *2471:mgmt_gpio_out[12] 6.68701e-05 +5 *1536:25 0.00133154 +6 *1536:20 0.0308616 +7 *1536:19 0.0312238 +8 *1536:5 0.00192274 +9 *2453:mgmt_gpio_out *1779:14 0 +10 *2453:mgmt_gpio_out *1780:17 3.36517e-05 +11 *2471:mgmt_gpio_in[12] *2020:21 0.00130366 +12 *1536:20 *1537:20 0.201106 +13 *113:14 *1536:20 0.000941838 +14 *1535:20 *1536:20 0.185727 +*RES +1 *2471:mgmt_gpio_out[12] *1536:5 0.216168 +2 *1536:5 *2471:mgmt_gpio_in[12] 27.8873 +3 *1536:5 *1536:19 8.00808 +4 *1536:19 *1536:20 3245.99 +5 *1536:20 *1536:25 33.0167 +6 *1536:25 *2453:mgmt_gpio_in 3.58495 +7 *1536:25 *2453:mgmt_gpio_out 11.2305 +*END + +*D_NET *1537 0.449267 +*CONN +*I *2454:mgmt_gpio_out I *D gpio_control_block +*I *2454:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[13] I *D housekeeping +*I *2471:mgmt_gpio_out[13] O *D housekeeping +*CAP +1 *2454:mgmt_gpio_out 0.000566946 +2 *2454:mgmt_gpio_in 0.000101163 +3 *2471:mgmt_gpio_in[13] 0.00181981 +4 *2471:mgmt_gpio_out[13] 0.00109195 +5 *1537:32 0.00134823 +6 *1537:23 0.00177461 +7 *1537:22 0.00109449 +8 *1537:20 0.102056 +9 *1537:19 0.102371 +10 *1537:5 0.00322751 +11 *2471:mgmt_gpio_in[13] *2329:16 0 +12 *2471:mgmt_gpio_in[13] *2340:14 0 +13 *2471:mgmt_gpio_in[13] *2367:16 0 +14 *1537:23 *1970:10 0.00212559 +15 *1537:23 *2024:8 0.00192115 +16 *1537:23 *2078:10 6.89789e-05 +17 *1537:23 *2159:13 8.03397e-05 +18 *1537:32 *1893:8 0.000692673 +19 *1537:32 *2159:8 0.000692673 +20 *106:40 *1537:20 5.02971e-05 +21 *109:90 *1537:20 0.000443459 +22 *113:14 *1537:20 0.0146474 +23 *473:34 *1537:20 0 +24 *491:51 *1537:20 4.11944e-05 +25 *492:28 *1537:20 0.0119459 +26 *1536:20 *1537:20 0.201106 +*RES +1 *2471:mgmt_gpio_out[13] *1537:5 3.65823 +2 *1537:5 *2471:mgmt_gpio_in[13] 31.3294 +3 *1537:5 *1537:19 4.48953 +4 *1537:19 *1537:20 4301.98 +5 *1537:20 *1537:22 4.5 +6 *1537:22 *1537:23 45.6463 +7 *1537:23 *1537:32 20.4744 +8 *1537:32 *2454:mgmt_gpio_in 0.51465 +9 *1537:32 *2454:mgmt_gpio_out 1.36397 +*END + +*D_NET *1538 0.00247218 +*CONN +*I *2471:mgmt_gpio_in[14] I *D housekeeping +*I *2471:mgmt_gpio_out[14] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[14] 0.000584262 +2 *2471:mgmt_gpio_out[14] 0.000584262 +3 *2471:mgmt_gpio_in[14] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[14] *2471:mgmt_gpio_in[14] 28.1035 +*END + +*D_NET *1539 0.00247218 +*CONN +*I *2471:mgmt_gpio_in[15] I *D housekeeping +*I *2471:mgmt_gpio_out[15] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[15] 0.000584262 +2 *2471:mgmt_gpio_out[15] 0.000584262 +3 *2471:mgmt_gpio_in[15] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[15] *2471:mgmt_gpio_in[15] 28.1035 +*END + +*D_NET *1540 0.00586208 +*CONN +*I *2471:mgmt_gpio_in[16] I *D housekeeping +*I *2471:mgmt_gpio_out[16] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[16] 0.00293104 +2 *2471:mgmt_gpio_out[16] 0.00293104 +3 *2471:mgmt_gpio_in[16] *2340:14 0 +*RES +1 *2471:mgmt_gpio_out[16] *2471:mgmt_gpio_in[16] 34.9876 +*END + +*D_NET *1541 0.00247218 +*CONN +*I *2471:mgmt_gpio_in[17] I *D housekeeping +*I *2471:mgmt_gpio_out[17] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[17] 0.000584262 +2 *2471:mgmt_gpio_out[17] 0.000584262 +3 *2471:mgmt_gpio_in[17] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[17] *2471:mgmt_gpio_in[17] 28.1035 +*END + +*D_NET *1542 0.00247218 +*CONN +*I *2471:mgmt_gpio_in[18] I *D housekeeping +*I *2471:mgmt_gpio_out[18] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[18] 0.000584262 +2 *2471:mgmt_gpio_out[18] 0.000584262 +3 *2471:mgmt_gpio_in[18] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[18] *2471:mgmt_gpio_in[18] 28.1035 +*END + +*D_NET *1543 0.00249295 +*CONN +*I *2471:mgmt_gpio_in[19] I *D housekeeping +*I *2471:mgmt_gpio_out[19] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[19] 0.000594646 +2 *2471:mgmt_gpio_out[19] 0.000594646 +3 *2471:mgmt_gpio_in[19] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[19] *2471:mgmt_gpio_in[19] 28.1035 +*END + +*D_NET *1544 0.0395597 +*CONN +*I *2471:mgmt_gpio_in[1] I *D housekeeping +*I *2445:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[1] 0.0012712 +2 *2445:mgmt_gpio_in 0.00130921 +3 *1544:14 0.00803223 +4 *1544:13 0.00807024 +5 *1544:14 *1564:20 0 +6 *1544:14 *1568:20 0 +7 *1544:14 *1569:20 0 +8 *1544:14 *1570:20 0 +9 *113:8 *1544:14 0.00601149 +10 *113:14 *1544:14 0.0141016 +11 *480:8 *1544:14 0.0003032 +12 *485:53 *1544:13 4.89469e-06 +13 *1534:20 *1544:14 0.00045563 +*RES +1 *2445:mgmt_gpio_in *1544:13 37.5349 +2 *1544:13 *1544:14 322.199 +3 *1544:14 *2471:mgmt_gpio_in[1] 8.09787 +*END + +*D_NET *1545 0.000499504 +*CONN +*I *2471:mgmt_gpio_in[20] I *D housekeeping +*I *2471:mgmt_gpio_out[20] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[20] 0.000249752 +2 *2471:mgmt_gpio_out[20] 0.000249752 +*RES +1 *2471:mgmt_gpio_out[20] *2471:mgmt_gpio_in[20] 15.6935 +*END + +*D_NET *1546 0.000546314 +*CONN +*I *2471:mgmt_gpio_in[21] I *D housekeeping +*I *2471:mgmt_gpio_out[21] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[21] 0.000273157 +2 *2471:mgmt_gpio_out[21] 0.000273157 +*RES +1 *2471:mgmt_gpio_out[21] *2471:mgmt_gpio_in[21] 8.79358 +*END + +*D_NET *1547 0.000499504 +*CONN +*I *2471:mgmt_gpio_in[22] I *D housekeeping +*I *2471:mgmt_gpio_out[22] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[22] 0.000249752 +2 *2471:mgmt_gpio_out[22] 0.000249752 +*RES +1 *2471:mgmt_gpio_out[22] *2471:mgmt_gpio_in[22] 15.6935 +*END + +*D_NET *1548 0.000546314 +*CONN +*I *2471:mgmt_gpio_in[23] I *D housekeeping +*I *2471:mgmt_gpio_out[23] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[23] 0.000273157 +2 *2471:mgmt_gpio_out[23] 0.000273157 +*RES +1 *2471:mgmt_gpio_out[23] *2471:mgmt_gpio_in[23] 8.79358 +*END + +*D_NET *1549 0.000499504 +*CONN +*I *2471:mgmt_gpio_in[24] I *D housekeeping +*I *2471:mgmt_gpio_out[24] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[24] 0.000249752 +2 *2471:mgmt_gpio_out[24] 0.000249752 +*RES +1 *2471:mgmt_gpio_out[24] *2471:mgmt_gpio_in[24] 15.6935 +*END + +*D_NET *1550 1.14107 +*CONN +*I *2461:mgmt_gpio_out I *D gpio_control_block +*I *2461:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[25] I *D housekeeping +*I *2471:mgmt_gpio_out[25] O *D housekeeping +*CAP +1 *2461:mgmt_gpio_out 0.000221183 +2 *2461:mgmt_gpio_in 8.09303e-05 +3 *2471:mgmt_gpio_in[25] 1.28869e-05 +4 *2471:mgmt_gpio_out[25] 0.000248672 +5 *1550:40 0.000546167 +6 *1550:34 0.00458469 +7 *1550:33 0.00434063 +8 *1550:31 0.0289506 +9 *1550:29 0.0294655 +10 *1550:24 0.0536902 +11 *1550:23 0.0546409 +12 *1550:13 0.00172714 +13 *2461:mgmt_gpio_out *2474:mprj_io_analog_en[14] 5.02212e-05 +14 *2461:mgmt_gpio_out *1836:13 0.000470189 +15 *1550:13 *1551:19 0 +16 *1550:24 *1574:10 0.337527 +17 *1550:24 *1578:15 0.128354 +18 *1550:34 *1836:13 0.00056387 +19 *1550:40 *1895:8 3.61259e-05 +20 *1550:40 *1998:7 0.000123244 +21 *100:74 *1550:29 1.01451e-05 +22 *100:77 *1550:24 0.000164123 +23 *467:42 *1550:24 0.0470158 +24 *480:28 *1550:31 0.222937 +25 *498:22 *1550:29 0.000804144 +26 *498:22 *1550:31 0.222975 +27 *911:9 *1550:29 0.00151239 +28 *911:9 *1550:31 1.3813e-05 +*RES +1 *2471:mgmt_gpio_out[25] *1550:13 8.59816 +2 *1550:13 *2471:mgmt_gpio_in[25] 0.366399 +3 *1550:13 *1550:23 44.7428 +4 *1550:23 *1550:24 3535.77 +5 *1550:24 *1550:29 34.2638 +6 *1550:29 *1550:31 3569.47 +7 *1550:31 *1550:33 4.5 +8 *1550:33 *1550:34 119.409 +9 *1550:34 *1550:40 10.4172 +10 *1550:40 *2461:mgmt_gpio_in 0.292658 +11 *1550:40 *2461:mgmt_gpio_out 14.6758 +*END + +*D_NET *1551 0.957355 +*CONN +*I *2462:mgmt_gpio_out I *D gpio_control_block +*I *2462:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[26] I *D housekeeping +*I *2471:mgmt_gpio_out[26] O *D housekeeping +*CAP +1 *2462:mgmt_gpio_out 0.0002729 +2 *2462:mgmt_gpio_in 0.000100801 +3 *2471:mgmt_gpio_in[26] 0.000320692 +4 *2471:mgmt_gpio_out[26] 0.000248526 +5 *1551:35 0.000389011 +6 *1551:34 0.00166331 +7 *1551:29 0.0362168 +8 *1551:28 0.0345688 +9 *1551:26 0.0492667 +10 *1551:25 0.0492667 +11 *1551:23 0.0104456 +12 *1551:21 0.0106492 +13 *1551:19 0.0038802 +14 *1551:17 0.00374875 +15 *2462:mgmt_gpio_out *1810:12 0.000461064 +16 *2462:mgmt_gpio_out *1999:9 0 +17 *2471:mgmt_gpio_in[26] *1552:21 0 +18 *1551:23 *2415:7 0.0032363 +19 *1551:26 *1554:24 0.343153 +20 *1551:29 *1554:27 0.135874 +21 *1551:29 *2222:36 0.185368 +22 *1551:35 *1810:12 2.02035e-05 +23 *109:61 *1551:26 0.0868108 +24 *112:33 *1551:26 7.25324e-06 +25 *472:23 *1551:26 2.41483e-05 +26 *475:34 *1551:26 0.00120224 +27 *479:34 *1551:26 0.000160376 +28 *497:34 *1551:26 0 +29 *1550:13 *1551:19 0 +*RES +1 *2471:mgmt_gpio_out[26] *2471:mgmt_gpio_in[26] 9.62408 +2 *2471:mgmt_gpio_in[26] *1551:17 2.05183 +3 *1551:17 *1551:19 99.514 +4 *1551:19 *1551:21 5.7891 +5 *1551:21 *1551:23 313.381 +6 *1551:23 *1551:25 4.5 +7 *1551:25 *1551:26 3618.96 +8 *1551:26 *1551:28 4.5 +9 *1551:28 *1551:29 2963.41 +10 *1551:29 *1551:34 49.6549 +11 *1551:34 *1551:35 0.378612 +12 *1551:35 *2462:mgmt_gpio_in 3.67142 +13 *1551:35 *2462:mgmt_gpio_out 11.3169 +*END + +*D_NET *1552 0.902064 +*CONN +*I *2463:mgmt_gpio_out I *D gpio_control_block +*I *2463:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[27] I *D housekeeping +*I *2471:mgmt_gpio_out[27] O *D housekeeping +*CAP +1 *2463:mgmt_gpio_out 0.000252042 +2 *2463:mgmt_gpio_in 0.000164335 +3 *2471:mgmt_gpio_in[27] 1.28869e-05 +4 *2471:mgmt_gpio_out[27] 0.000268432 +5 *1552:33 0.000579889 +6 *1552:30 0.00289249 +7 *1552:29 0.00272898 +8 *1552:27 0.0712248 +9 *1552:26 0.0712248 +10 *1552:24 0.0612457 +11 *1552:23 0.0612457 +12 *1552:21 0.00229398 +13 *1552:13 0.0025753 +14 *2463:mgmt_gpio_out *1784:7 3.09106e-06 +15 *2463:mgmt_gpio_out *1903:12 0.000468828 +16 *2463:mgmt_gpio_out *2000:11 0.000155405 +17 *1552:13 *1553:23 0 +18 *1552:24 *1556:24 0.340518 +19 *1552:24 *1557:24 1.00937e-05 +20 *1552:27 *1556:27 0.141002 +21 *1552:27 *2365:16 0.0126038 +22 *1552:27 *2391:16 0.0120542 +23 *1552:30 *1903:15 0.000473242 +24 *1552:33 *1903:12 0.000779081 +25 *1552:33 *2000:11 0.000259268 +26 *2471:mgmt_gpio_in[26] *1552:21 0 +27 *2478:la_input[0] *1552:27 0.000410798 +28 *615:8 *1552:24 0 +29 *618:8 *1552:24 0 +30 *619:8 *1552:24 0 +31 *620:8 *1552:24 0 +32 *621:10 *1552:24 0.000528711 +33 *631:8 *1552:24 0.00062937 +34 *901:10 *1552:24 0.0561891 +35 *902:10 *1552:24 0.000306383 +36 *903:10 *1552:24 0.000241948 +37 *904:10 *1552:24 0.00014932 +38 *905:10 *1552:24 4.49334e-05 +39 *906:10 *1552:24 0 +40 *907:10 *1552:24 0 +41 *1264:10 *1552:24 0.00108877 +42 *1272:10 *1552:24 0.0574379 +*RES +1 *2471:mgmt_gpio_out[27] *1552:13 9.15998 +2 *1552:13 *2471:mgmt_gpio_in[27] 0.366399 +3 *1552:13 *1552:21 60.1749 +4 *1552:21 *1552:23 4.5 +5 *1552:23 *1552:24 3602.32 +6 *1552:24 *1552:26 4.5 +7 *1552:26 *1552:27 3038.99 +8 *1552:27 *1552:29 4.5 +9 *1552:29 *1552:30 73.9311 +10 *1552:30 *1552:33 16.9209 +11 *1552:33 *2463:mgmt_gpio_in 3.708 +12 *1552:33 *2463:mgmt_gpio_out 11.3535 +*END + +*D_NET *1553 0.876453 +*CONN +*I *2464:mgmt_gpio_out I *D gpio_control_block +*I *2464:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[28] I *D housekeeping +*I *2471:mgmt_gpio_out[28] O *D housekeeping +*CAP +1 *2464:mgmt_gpio_out 0.000682725 +2 *2464:mgmt_gpio_in 0.00530895 +3 *2471:mgmt_gpio_in[28] 1.28869e-05 +4 *2471:mgmt_gpio_out[28] 0.000248672 +5 *1553:33 0.0926242 +6 *1553:32 0.0887639 +7 *1553:27 0.0130614 +8 *1553:26 0.0122955 +9 *1553:24 0.123275 +10 *1553:23 0.124878 +11 *1553:13 0.00186471 +12 *2464:mgmt_gpio_out *1785:13 3.44774e-05 +13 *1553:13 *1554:21 0 +14 *1553:24 *1573:10 0.00364636 +15 *1553:24 *1730:25 0.00434791 +16 *1553:24 *1732:25 0.00251159 +17 *1553:24 *1734:25 0.00320795 +18 *1553:24 *1736:23 0.00221772 +19 *1553:33 *2294:17 0.0011138 +20 *2478:la_input[3] *1553:27 0.00285028 +21 *112:30 *1553:33 0.00020097 +22 *113:17 *1553:24 0.387953 +23 *113:20 *1553:27 0.00334581 +24 *479:31 *1553:33 0.000281071 +25 *480:25 *1553:27 0 +26 *485:41 *1553:27 0 +27 *495:50 *1553:33 0.00145675 +28 *497:31 *1553:33 0.000269598 +29 *1552:13 *1553:23 0 +*RES +1 *2471:mgmt_gpio_out[28] *1553:13 8.59816 +2 *1553:13 *2471:mgmt_gpio_in[28] 0.366399 +3 *1553:13 *1553:23 46.726 +4 *1553:23 *1553:24 490.046 +5 *1553:24 *1553:26 3.36879 +6 *1553:26 *1553:27 358.949 +7 *1553:27 *1553:32 5.97528 +8 *1553:32 *1553:33 336.675 +9 *1553:33 *2464:mgmt_gpio_in 16.6275 +10 *2464:mgmt_gpio_in *2464:mgmt_gpio_out 1.82911 +*END + +*D_NET *1554 1.00643 +*CONN +*I *2465:mgmt_gpio_out I *D gpio_control_block +*I *2465:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[29] I *D housekeeping +*I *2471:mgmt_gpio_out[29] O *D housekeeping +*CAP +1 *2465:mgmt_gpio_out 0.000355645 +2 *2465:mgmt_gpio_in 9.71535e-05 +3 *2471:mgmt_gpio_in[29] 1.28869e-05 +4 *2471:mgmt_gpio_out[29] 0.000248672 +5 *1554:33 0.000475898 +6 *1554:30 0.0017451 +7 *1554:27 0.0479964 +8 *1554:26 0.0462744 +9 *1554:24 0.0247487 +10 *1554:23 0.0247487 +11 *1554:21 0.0147208 +12 *1554:13 0.0149824 +13 *2465:mgmt_gpio_out *1813:9 0 +14 *2465:mgmt_gpio_out *2002:9 0 +15 *1554:13 *1556:21 0 +16 *1554:27 *1561:8 0.00154509 +17 *1554:27 *2038:11 0.0015027 +18 *1554:27 *2222:36 1.2693e-05 +19 *109:61 *1554:24 0.000145086 +20 *472:23 *1554:24 0.346311 +21 *475:34 *1554:24 0.00132631 +22 *479:34 *1554:24 0.000154498 +23 *1551:26 *1554:24 0.343153 +24 *1551:29 *1554:27 0.135874 +25 *1553:13 *1554:21 0 +*RES +1 *2471:mgmt_gpio_out[29] *1554:13 8.59816 +2 *1554:13 *2471:mgmt_gpio_in[29] 0.366399 +3 *1554:13 *1554:21 411.478 +4 *1554:21 *1554:23 4.5 +5 *1554:23 *1554:24 3651.68 +6 *1554:24 *1554:26 4.5 +7 *1554:26 *1554:27 2172.36 +8 *1554:27 *1554:30 46.2641 +9 *1554:30 *1554:33 4.87861 +10 *1554:33 *2465:mgmt_gpio_in 3.65147 +11 *1554:33 *2465:mgmt_gpio_out 11.297 +*END + +*D_NET *1555 0.081429 +*CONN +*I *2455:mgmt_gpio_in O *D gpio_control_block +*I *2455:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_in[2] I *D housekeeping +*I *2471:mgmt_gpio_out[2] O *D housekeeping +*CAP +1 *2455:mgmt_gpio_in 4.79273e-05 +2 *2455:mgmt_gpio_out 0.000375235 +3 *2471:mgmt_gpio_in[2] 0.000263681 +4 *2471:mgmt_gpio_out[2] 9.94281e-05 +5 *1555:29 0.00148072 +6 *1555:20 0.00695375 +7 *1555:19 0.00733707 +8 *1555:5 0.00180398 +9 *2455:mgmt_gpio_out *1796:8 3.36517e-05 +10 *2455:mgmt_gpio_out *1850:13 0.000105034 +11 *2471:mgmt_gpio_in[2] *2020:11 0.00130366 +12 *2471:mgmt_gpio_in[2] *2222:12 0.00130366 +13 *1555:20 *1564:20 0.032146 +14 *1555:20 *1565:20 0.000670391 +15 *1555:20 *1566:20 0.000931168 +16 *1555:20 *1567:20 0.0263669 +17 *1555:20 *2128:14 0 +18 *1555:29 *1796:8 4.89469e-06 +19 *1555:29 *1850:13 0 +20 *104:40 *2455:mgmt_gpio_out 0.000100924 +21 *489:35 *2455:mgmt_gpio_out 0.000100924 +22 *498:8 *1555:20 0 +23 *498:14 *1555:20 0 +*RES +1 *2471:mgmt_gpio_out[2] *1555:5 0.292658 +2 *1555:5 *2471:mgmt_gpio_in[2] 27.9638 +3 *1555:5 *1555:19 8.46702 +4 *1555:19 *1555:20 544.774 +5 *1555:20 *1555:29 32.9701 +6 *1555:29 *2455:mgmt_gpio_out 1.24546 +7 *1555:29 *2455:mgmt_gpio_in 0.177923 +*END + +*D_NET *1556 1.00595 +*CONN +*I *2466:mgmt_gpio_out I *D gpio_control_block +*I *2466:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[30] I *D housekeeping +*I *2471:mgmt_gpio_out[30] O *D housekeeping +*CAP +1 *2466:mgmt_gpio_out 0.00032512 +2 *2466:mgmt_gpio_in 0.000134027 +3 *2471:mgmt_gpio_in[30] 1.28869e-05 +4 *2471:mgmt_gpio_out[30] 0.000248672 +5 *1556:33 0.000602166 +6 *1556:30 0.00308963 +7 *1556:29 0.00294661 +8 *1556:27 0.0407396 +9 *1556:26 0.0407396 +10 *1556:24 0.0260452 +11 *1556:23 0.0260452 +12 *1556:21 0.00225452 +13 *1556:13 0.00251608 +14 *2466:mgmt_gpio_out *1787:7 3.09106e-06 +15 *2466:mgmt_gpio_out *2003:11 0.000155405 +16 *1556:13 *1557:21 0 +17 *1556:24 *1557:24 0.00040531 +18 *1556:24 *1572:10 0.34138 +19 *1556:27 *2368:16 0.0120829 +20 *1556:27 *2370:16 0.0122023 +21 *1556:27 *2372:13 0.0021245 +22 *1556:27 *2400:16 0.0102713 +23 *1556:33 *2003:11 9.86056e-05 +24 *2478:la_input[0] *1556:27 8.92568e-06 +25 *1245:9 *1556:27 0 +26 *1552:24 *1556:24 0.340518 +27 *1552:27 *1556:27 0.141002 +28 *1554:13 *1556:21 0 +*RES +1 *2471:mgmt_gpio_out[30] *1556:13 8.59816 +2 *1556:13 *2471:mgmt_gpio_in[30] 0.366399 +3 *1556:13 *1556:21 59.7597 +4 *1556:21 *1556:23 4.5 +5 *1556:23 *1556:24 3627.28 +6 *1556:24 *1556:26 4.5 +7 *1556:26 *1556:27 2255.41 +8 *1556:27 *1556:29 4.5 +9 *1556:29 *1556:30 74.4857 +10 *1556:30 *1556:33 9.44639 +11 *1556:33 *2466:mgmt_gpio_in 3.708 +12 *1556:33 *2466:mgmt_gpio_out 11.3535 +*END + +*D_NET *1557 1.01635 +*CONN +*I *2467:mgmt_gpio_out I *D gpio_control_block +*I *2467:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[31] I *D housekeeping +*I *2471:mgmt_gpio_out[31] O *D housekeeping +*CAP +1 *2467:mgmt_gpio_out 0.000173295 +2 *2467:mgmt_gpio_in 0.000124846 +3 *2471:mgmt_gpio_in[31] 1.28869e-05 +4 *2471:mgmt_gpio_out[31] 0.000248672 +5 *1557:33 0.00137041 +6 *1557:27 0.0201293 +7 *1557:26 0.019057 +8 *1557:24 0.0270994 +9 *1557:23 0.0270994 +10 *1557:21 0.00219022 +11 *1557:13 0.00245177 +12 *2467:mgmt_gpio_out *1789:15 6.21488e-06 +13 *2467:mgmt_gpio_out *1843:15 0.000468828 +14 *2467:mgmt_gpio_out *1914:15 0.000469124 +15 *1557:13 *1558:19 0 +16 *1557:24 *1561:11 0.00025847 +17 *1557:24 *1562:19 0.342128 +18 *1557:24 *1571:10 0.345365 +19 *1557:24 *1572:10 2.41483e-05 +20 *1557:27 *1558:29 0.0088565 +21 *1557:27 *1559:31 1.78514e-05 +22 *1557:27 *1575:19 0.006583 +23 *1557:33 *1843:15 2.37478e-05 +24 *1557:33 *1914:15 1.66771e-05 +25 *105:24 *1557:27 2.19276e-05 +26 *470:19 *1557:24 0.000110213 +27 *471:24 *1557:27 0.00296728 +28 *472:20 *1557:27 0.102916 +29 *487:36 *1557:27 0.00323471 +30 *492:22 *1557:27 0.102505 +31 *1552:24 *1557:24 1.00937e-05 +32 *1556:13 *1557:21 0 +33 *1556:24 *1557:24 0.00040531 +*RES +1 *2471:mgmt_gpio_out[31] *1557:13 8.59816 +2 *1557:13 *2471:mgmt_gpio_in[31] 0.366399 +3 *1557:13 *1557:21 58.5139 +4 *1557:21 *1557:23 4.5 +5 *1557:23 *1557:24 3684.4 +6 *1557:24 *1557:26 4.5 +7 *1557:26 *1557:27 1997.95 +8 *1557:27 *1557:33 35.0592 +9 *1557:33 *2467:mgmt_gpio_in 3.59493 +10 *1557:33 *2467:mgmt_gpio_out 11.2405 +*END + +*D_NET *1558 0.705578 +*CONN +*I *2468:mgmt_gpio_out I *D gpio_control_block +*I *2468:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[32] I *D housekeeping +*I *2471:mgmt_gpio_out[32] O *D housekeeping +*CAP +1 *2468:mgmt_gpio_out 0.000208881 +2 *2468:mgmt_gpio_in 8.01334e-05 +3 *2471:mgmt_gpio_in[32] 0.000340452 +4 *2471:mgmt_gpio_out[32] 0.000268285 +5 *1558:35 0.00145909 +6 *1558:29 0.0128896 +7 *1558:28 0.0117196 +8 *1558:26 0.0741324 +9 *1558:25 0.0753325 +10 *1558:19 0.00487061 +11 *1558:17 0.00374265 +12 *2468:mgmt_gpio_out *1790:7 5.36925e-05 +13 *2468:mgmt_gpio_out *1918:10 0.000469124 +14 *2471:mgmt_gpio_in[32] *1559:23 0 +15 *2471:mgmt_gpio_in[32] *1559:25 0 +16 *1558:26 *1559:28 4.33819e-05 +17 *1558:26 *1560:26 0.348318 +18 *1558:26 *1575:16 1.41291e-05 +19 *1558:29 *1559:31 0.0554707 +20 *1558:35 *1918:10 1.66771e-05 +21 *109:40 *1558:29 0.00701027 +22 *492:22 *1558:29 0.0537323 +23 *622:8 *1558:26 0.000633819 +24 *1253:10 *1558:26 0 +25 *1254:10 *1558:26 5.13878e-05 +26 *1255:10 *1558:26 0.000394687 +27 *1258:10 *1558:26 0.000695785 +28 *1260:10 *1558:26 0.0447738 +29 *1557:13 *1558:19 0 +30 *1557:27 *1558:29 0.0088565 +*RES +1 *2471:mgmt_gpio_out[32] *2471:mgmt_gpio_in[32] 10.1859 +2 *2471:mgmt_gpio_in[32] *1558:17 2.05183 +3 *1558:17 *1558:19 99.514 +4 *1558:19 *1558:25 37.708 +5 *1558:25 *1558:26 3683.29 +6 *1558:26 *1558:28 4.5 +7 *1558:28 *1558:29 1152.91 +8 *1558:29 *1558:35 34.5046 +9 *1558:35 *2468:mgmt_gpio_in 3.66144 +10 *1558:35 *2468:mgmt_gpio_out 11.307 +*END + +*D_NET *1559 0.884602 +*CONN +*I *2469:mgmt_gpio_out I *D gpio_control_block +*I *2469:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[33] I *D housekeeping +*I *2471:mgmt_gpio_out[33] O *D housekeeping +*CAP +1 *2469:mgmt_gpio_out 0.000231198 +2 *2469:mgmt_gpio_in 0.00010793 +3 *2471:mgmt_gpio_in[33] 8.65599e-06 +4 *2471:mgmt_gpio_out[33] 0.000246698 +5 *1559:37 0.00149444 +6 *1559:31 0.00939789 +7 *1559:30 0.00824258 +8 *1559:28 0.0257411 +9 *1559:27 0.0257411 +10 *1559:25 0.00418176 +11 *1559:23 0.00422432 +12 *1559:13 0.000297914 +13 *2469:mgmt_gpio_out *1791:12 1.53622e-05 +14 *2469:mgmt_gpio_out *1845:12 0.00022036 +15 *2469:mgmt_gpio_out *1922:8 0.000223181 +16 *1559:13 *1560:19 0 +17 *1559:25 *1560:25 0.00161868 +18 *1559:28 *1560:26 0.349908 +19 *1559:28 *1575:16 0.350015 +20 *1559:31 *1560:29 0.00784797 +21 *1559:31 *2346:16 0.0112243 +22 *1559:37 *1845:12 7.86825e-06 +23 *1559:37 *1922:8 5.39635e-06 +24 *2471:mgmt_gpio_in[32] *1559:23 0 +25 *2471:mgmt_gpio_in[32] *1559:25 0 +26 *109:40 *1559:31 0.0280684 +27 *1557:27 *1559:31 1.78514e-05 +28 *1558:26 *1559:28 4.33819e-05 +29 *1558:29 *1559:31 0.0554707 +*RES +1 *2471:mgmt_gpio_out[33] *1559:13 8.59816 +2 *1559:13 *2471:mgmt_gpio_in[33] 0.366399 +3 *1559:13 *1559:23 1.73429 +4 *1559:23 *1559:25 123.709 +5 *1559:25 *1559:27 4.5 +6 *1559:27 *1559:28 3699.37 +7 *1559:28 *1559:30 4.5 +8 *1559:30 *1559:31 889.641 +9 *1559:31 *1559:37 35.0592 +10 *1559:37 *2469:mgmt_gpio_in 3.66144 +11 *1559:37 *2469:mgmt_gpio_out 11.307 +*END + +*D_NET *1560 0.832673 +*CONN +*I *2470:mgmt_gpio_out I *D gpio_control_block +*I *2470:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[34] I *D housekeeping +*I *2471:mgmt_gpio_out[34] O *D housekeeping +*CAP +1 *2470:mgmt_gpio_out 0.000315724 +2 *2470:mgmt_gpio_in 0.000229558 +3 *2471:mgmt_gpio_in[34] 0.000320692 +4 *2471:mgmt_gpio_out[34] 0.000248526 +5 *1560:35 0.0017583 +6 *1560:29 0.0130799 +7 *1560:28 0.0118669 +8 *1560:26 0.0260029 +9 *1560:25 0.0268213 +10 *1560:19 0.00448891 +11 *1560:17 0.00374265 +12 *2470:mgmt_gpio_out *1792:7 3.28957e-06 +13 *2470:mgmt_gpio_out *1954:10 0.000461064 +14 *2470:mgmt_gpio_out *2008:11 0.000223181 +15 *2471:mgmt_gpio_in[34] *1561:14 0 +16 *1560:26 *1575:16 1.65872e-05 +17 *1560:29 *2346:16 0.0112207 +18 *1560:35 *1954:10 2.02035e-05 +19 *1560:35 *2008:11 5.39635e-06 +20 *2446:resetn *1560:29 0 +21 *2446:serial_load *1560:29 0.000513945 +22 *109:40 *1560:29 0.011506 +23 *109:46 *1560:29 0 +24 *479:16 *1560:29 0.0121348 +25 *497:16 *1560:29 0 +26 *1558:26 *1560:26 0.348318 +27 *1559:13 *1560:19 0 +28 *1559:25 *1560:25 0.00161868 +29 *1559:28 *1560:26 0.349908 +30 *1559:31 *1560:29 0.00784797 +*RES +1 *2471:mgmt_gpio_out[34] *2471:mgmt_gpio_in[34] 9.62408 +2 *2471:mgmt_gpio_in[34] *1560:17 2.05183 +3 *1560:17 *1560:19 99.514 +4 *1560:19 *1560:25 37.2927 +5 *1560:25 *1560:26 3698.82 +6 *1560:26 *1560:28 4.5 +7 *1560:28 *1560:29 624.295 +8 *1560:29 *1560:35 37.2776 +9 *1560:35 *2470:mgmt_gpio_in 3.78449 +10 *1560:35 *2470:mgmt_gpio_out 11.43 +*END + +*D_NET *1561 0.800429 +*CONN +*I *2471:mgmt_gpio_in[35] I *D housekeeping +*I *2446:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[35] 1.28869e-05 +2 *2446:mgmt_gpio_in 0.00214424 +3 *1561:14 0.00164828 +4 *1561:13 0.00163539 +5 *1561:11 0.0266118 +6 *1561:10 0.0266118 +7 *1561:8 0.00964678 +8 *1561:7 0.011791 +9 *1561:7 *2446:mgmt_gpio_oeb 0 +10 *1561:8 *2038:11 0.0236341 +11 *1561:11 *1571:10 0.00162197 +12 *1561:14 *1575:13 0.00114411 +13 *2471:mgmt_gpio_in[34] *1561:14 0 +14 *104:37 *1561:11 0.342146 +15 *470:19 *1561:11 0.349977 +16 *1554:27 *1561:8 0.00154509 +17 *1557:24 *1561:11 0.00025847 +*RES +1 *2446:mgmt_gpio_in *1561:7 9.32172 +2 *1561:7 *1561:8 430.165 +3 *1561:8 *1561:10 4.5 +4 *1561:10 *1561:11 3701.04 +5 *1561:11 *1561:13 4.5 +6 *1561:13 *1561:14 56.8529 +7 *1561:14 *2471:mgmt_gpio_in[35] 0.366399 +*END + +*D_NET *1562 0.778633 +*CONN +*I *2471:mgmt_gpio_in[36] I *D housekeeping +*I *2447:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[36] 1.91998e-05 +2 *2447:mgmt_gpio_in 0.000200045 +3 *1562:22 0.00221766 +4 *1562:21 0.00219846 +5 *1562:19 0.0258607 +6 *1562:18 0.0258607 +7 *1562:16 0.00322401 +8 *1562:15 0.00322401 +9 *1562:13 0.00175994 +10 *1562:12 0.00195998 +11 *1562:12 *2447:mgmt_gpio_oeb 0.000310254 +12 *1562:13 *1572:16 0.00853872 +13 *1562:16 *1572:13 0.00979848 +14 *1562:16 *2037:11 7.77309e-06 +15 *1562:19 *1571:10 0.00155606 +16 *1562:19 *1572:10 0.346134 +17 *1562:22 *1571:7 0 +18 *1562:22 *1572:7 0 +19 *1562:22 *1574:9 0 +20 *65:16 *1562:13 0.00258421 +21 *489:32 *1562:19 0.000135103 +22 *515:12 *1562:12 0 +23 *644:11 *1562:16 0.000915109 +24 *1156:7 *1562:16 0 +25 *1284:7 *1562:16 0 +26 *1557:24 *1562:19 0.342128 +*RES +1 *2447:mgmt_gpio_in *1562:12 13.0413 +2 *1562:12 *1562:13 91.6784 +3 *1562:13 *1562:15 4.5 +4 *1562:15 *1562:16 158.382 +5 *1562:16 *1562:18 4.5 +6 *1562:18 *1562:19 3660.55 +7 *1562:19 *1562:21 4.5 +8 *1562:21 *1562:22 58.9292 +9 *1562:22 *2471:mgmt_gpio_in[36] 0.366399 +*END + +*D_NET *1563 0.532361 +*CONN +*I *2471:mgmt_gpio_in[37] I *D housekeeping +*I *2448:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[37] 0.00114783 +2 *2448:mgmt_gpio_in 5.90925e-05 +3 *1563:13 0.0806701 +4 *1563:12 0.0795222 +5 *1563:10 0.00253566 +6 *1563:9 0.00259475 +7 *2471:mgmt_gpio_in[37] *1572:7 0 +8 *2471:mgmt_gpio_in[37] *1573:7 0 +9 *1563:13 *1576:10 0.355574 +10 *99:11 *1563:13 0.0102575 +*RES +1 *2448:mgmt_gpio_in *1563:9 3.59493 +2 *1563:9 *1563:10 71.802 +3 *1563:10 *1563:12 4.5 +4 *1563:12 *1563:13 3819.72 +5 *1563:13 *2471:mgmt_gpio_in[37] 36.8042 +*END + +*D_NET *1564 0.111 +*CONN +*I *2456:mgmt_gpio_out I *D gpio_control_block +*I *2456:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[3] I *D housekeeping +*I *2471:mgmt_gpio_out[3] O *D housekeeping +*CAP +1 *2456:mgmt_gpio_out 0.000251614 +2 *2456:mgmt_gpio_in 0.000121702 +3 *2471:mgmt_gpio_in[3] 0.000263681 +4 *2471:mgmt_gpio_out[3] 9.94281e-05 +5 *1564:26 0.000542929 +6 *1564:25 0.00163676 +7 *1564:20 0.0104056 +8 *1564:19 0.0103594 +9 *1564:5 0.00178399 +10 *2471:mgmt_gpio_in[3] *2020:11 0.00130366 +11 *2471:mgmt_gpio_in[3] *2222:12 0.00130366 +12 *1564:20 *1567:20 0.00827816 +13 *1564:20 *1568:20 0.0415096 +14 *1564:25 *1797:13 0.00079721 +15 *480:8 *1564:20 0 +16 *498:8 *1564:20 0.000196785 +17 *512:8 *1564:20 0 +18 *1544:14 *1564:20 0 +19 *1555:20 *1564:20 0.032146 +*RES +1 *2471:mgmt_gpio_out[3] *1564:5 0.292658 +2 *1564:5 *2471:mgmt_gpio_in[3] 27.9638 +3 *1564:5 *1564:19 8.39053 +4 *1564:19 *1564:20 787.282 +5 *1564:20 *1564:25 47.4364 +6 *1564:25 *1564:26 4.53113 +7 *1564:26 *2456:mgmt_gpio_in 3.708 +8 *1564:26 *2456:mgmt_gpio_out 8.74894 +*END + +*D_NET *1565 0.145176 +*CONN +*I *2457:mgmt_gpio_out I *D gpio_control_block +*I *2457:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[4] I *D housekeeping +*I *2471:mgmt_gpio_out[4] O *D housekeeping +*CAP +1 *2457:mgmt_gpio_out 0.000251614 +2 *2457:mgmt_gpio_in 0.000121702 +3 *2471:mgmt_gpio_in[4] 0.000382528 +4 *2471:mgmt_gpio_out[4] 0.000131986 +5 *1565:26 0.000747402 +6 *1565:25 0.00190164 +7 *1565:20 0.015134 +8 *1565:19 0.0152028 +9 *1565:5 0.00211088 +10 *2471:mgmt_gpio_in[4] *2020:15 0.00130366 +11 *1565:19 *2329:13 0.000141764 +12 *1565:19 *2356:22 0.000223678 +13 *1565:19 *2383:22 0.000121985 +14 *1565:20 *1566:20 0.0621017 +15 *1565:20 *1859:18 0 +16 *1565:20 *2148:16 0 +17 *1565:20 *2148:25 0 +18 *1565:20 *2175:14 0 +19 *474:60 *1565:20 0.00863553 +20 *478:32 *1565:20 0.0011378 +21 *485:50 *1565:20 0.00215031 +22 *498:14 *1565:20 0.0320744 +23 *505:14 *1565:20 0 +24 *525:8 *2471:mgmt_gpio_in[4] 0.00063009 +25 *1555:20 *1565:20 0.000670391 +*RES +1 *2471:mgmt_gpio_out[4] *1565:5 0.369148 +2 *1565:5 *2471:mgmt_gpio_in[4] 28.0403 +3 *1565:5 *1565:19 8.62 +4 *1565:19 *1565:20 1025.64 +5 *1565:20 *1565:25 45.218 +6 *1565:25 *1565:26 10.3447 +7 *1565:26 *2457:mgmt_gpio_in 3.708 +8 *1565:26 *2457:mgmt_gpio_out 8.74894 +*END + +*D_NET *1566 0.182128 +*CONN +*I *2458:mgmt_gpio_in O *D gpio_control_block +*I *2458:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_in[5] I *D housekeeping +*I *2471:mgmt_gpio_out[5] O *D housekeeping +*CAP +1 *2458:mgmt_gpio_in 4.79273e-05 +2 *2458:mgmt_gpio_out 0.000416314 +3 *2471:mgmt_gpio_in[5] 0.0017784 +4 *2471:mgmt_gpio_out[5] 0.00104164 +5 *1566:29 0.00144236 +6 *1566:20 0.0124272 +7 *1566:19 0.0120128 +8 *1566:5 0.00338373 +9 *2458:mgmt_gpio_out *1799:13 3.36517e-05 +10 *2471:mgmt_gpio_in[5] *2329:16 0 +11 *1566:20 *1567:20 0.077897 +12 *1566:29 *1799:13 0 +13 *1566:29 *1853:13 0 +14 *1566:29 *2150:8 0 +15 *474:60 *1566:20 0.00212345 +16 *474:75 *1566:20 0.00649045 +17 *1555:20 *1566:20 0.000931168 +18 *1565:20 *1566:20 0.0621017 +*RES +1 *2471:mgmt_gpio_out[5] *1566:5 3.65823 +2 *1566:5 *2471:mgmt_gpio_in[5] 31.3294 +3 *1566:5 *1566:19 5.25444 +4 *1566:19 *1566:20 1277.28 +5 *1566:20 *1566:29 31.8608 +6 *1566:29 *2458:mgmt_gpio_out 1.24546 +7 *1566:29 *2458:mgmt_gpio_in 0.177923 +*END + +*D_NET *1567 0.204978 +*CONN +*I *2459:mgmt_gpio_out I *D gpio_control_block +*I *2459:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[6] I *D housekeeping +*I *2471:mgmt_gpio_out[6] O *D housekeeping +*CAP +1 *2459:mgmt_gpio_out 0.000251614 +2 *2459:mgmt_gpio_in 0.000121702 +3 *2471:mgmt_gpio_in[6] 0.000372116 +4 *2471:mgmt_gpio_out[6] 0.000131986 +5 *1567:26 0.000528324 +6 *1567:25 0.00147997 +7 *1567:20 0.0184066 +8 *1567:19 0.018535 +9 *1567:5 0.00195752 +10 *2471:mgmt_gpio_in[6] *2020:15 0.00130366 +11 *1567:20 *1568:20 0.00273436 +12 *1567:20 *1868:14 0 +13 *1567:20 *2070:25 0 +14 *1567:25 *1800:13 0.00119837 +15 *474:75 *1567:20 0.0012715 +16 *496:44 *1567:20 0.0435134 +17 *525:8 *2471:mgmt_gpio_in[6] 0.00063009 +18 *1555:20 *1567:20 0.0263669 +19 *1564:20 *1567:20 0.00827816 +20 *1566:20 *1567:20 0.077897 +*RES +1 *2471:mgmt_gpio_out[6] *1567:5 0.369148 +2 *1567:5 *2471:mgmt_gpio_in[6] 28.0403 +3 *1567:5 *1567:19 8.46702 +4 *1567:19 *1567:20 1520.2 +5 *1567:20 *1567:25 46.3272 +6 *1567:25 *1567:26 4.11588 +7 *1567:26 *2459:mgmt_gpio_in 3.708 +8 *1567:26 *2459:mgmt_gpio_out 8.74894 +*END + +*D_NET *1568 0.289386 +*CONN +*I *2460:mgmt_gpio_out I *D gpio_control_block +*I *2460:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[7] I *D housekeeping +*I *2471:mgmt_gpio_out[7] O *D housekeeping +*CAP +1 *2460:mgmt_gpio_out 0.000307879 +2 *2460:mgmt_gpio_in 5.64855e-05 +3 *2471:mgmt_gpio_in[7] 0.000373169 +4 *2471:mgmt_gpio_out[7] 0.000131986 +5 *1568:25 0.00118518 +6 *1568:20 0.0181569 +7 *1568:19 0.018694 +8 *1568:5 0.00186308 +9 *2460:mgmt_gpio_out *1801:13 3.84497e-05 +10 *2471:mgmt_gpio_in[7] *2020:15 0.00125157 +11 *1568:20 *1569:20 0.125078 +12 *111:48 *1568:20 0.0773797 +13 *496:44 *1568:20 2.05972e-05 +14 *525:8 *2471:mgmt_gpio_in[7] 0.000604703 +15 *1544:14 *1568:20 0 +16 *1564:20 *1568:20 0.0415096 +17 *1567:20 *1568:20 0.00273436 +*RES +1 *2471:mgmt_gpio_out[7] *1568:5 0.369148 +2 *1568:5 *2471:mgmt_gpio_in[7] 27.2098 +3 *1568:5 *1568:19 8.23755 +4 *1568:19 *1568:20 2030.96 +5 *1568:20 *1568:25 30.2437 +6 *1568:25 *2460:mgmt_gpio_in 3.58495 +7 *1568:25 *2460:mgmt_gpio_out 11.2305 +*END + +*D_NET *1569 0.321863 +*CONN +*I *2449:mgmt_gpio_out I *D gpio_control_block +*I *2449:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[8] I *D housekeeping +*I *2471:mgmt_gpio_out[8] O *D housekeeping +*CAP +1 *2449:mgmt_gpio_out 0.000266387 +2 *2449:mgmt_gpio_in 9.7115e-05 +3 *2471:mgmt_gpio_in[8] 0.000372116 +4 *2471:mgmt_gpio_out[8] 0.000131986 +5 *1569:26 0.000474695 +6 *1569:25 0.00173359 +7 *1569:20 0.0210849 +8 *1569:19 0.0208109 +9 *1569:5 0.00185243 +10 *2471:mgmt_gpio_in[8] *2020:15 0.00130366 +11 *1569:20 *1570:20 0.139883 +12 *111:48 *1569:20 0.00814342 +13 *525:8 *2471:mgmt_gpio_in[8] 0.00063009 +14 *1544:14 *1569:20 0 +15 *1568:20 *1569:20 0.125078 +*RES +1 *2471:mgmt_gpio_out[8] *1569:5 0.369148 +2 *1569:5 *2471:mgmt_gpio_in[8] 28.0403 +3 *1569:5 *1569:19 8.16106 +4 *1569:19 *1569:20 2266.41 +5 *1569:20 *1569:25 48.5456 +6 *1569:25 *1569:26 2.87013 +7 *1569:26 *2449:mgmt_gpio_in 3.65147 +8 *1569:26 *2449:mgmt_gpio_out 8.80548 +*END + +*D_NET *1570 0.354274 +*CONN +*I *2450:mgmt_gpio_out I *D gpio_control_block +*I *2450:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[9] I *D housekeeping +*I *2471:mgmt_gpio_out[9] O *D housekeeping +*CAP +1 *2450:mgmt_gpio_out 0.000251614 +2 *2450:mgmt_gpio_in 0.000121702 +3 *2471:mgmt_gpio_in[9] 0.000372116 +4 *2471:mgmt_gpio_out[9] 0.000131986 +5 *1570:26 0.000674376 +6 *1570:25 0.0015712 +7 *1570:20 0.0249102 +8 *1570:19 0.0249684 +9 *1570:5 0.00183244 +10 *2471:mgmt_gpio_in[9] *2020:15 0.00130366 +11 *1570:20 *1828:23 0 +12 *1570:20 *1874:21 0 +13 *1570:20 *2018:27 0 +14 *1570:25 *2044:8 0.00169355 +15 *111:48 *1570:20 0.00114235 +16 *525:8 *2471:mgmt_gpio_in[9] 0.00063009 +17 *1534:20 *1570:20 0.154787 +18 *1544:14 *1570:20 0 +19 *1569:20 *1570:20 0.139883 +*RES +1 *2471:mgmt_gpio_out[9] *1570:5 0.369148 +2 *1570:5 *2471:mgmt_gpio_in[9] 28.0403 +3 *1570:5 *1570:19 8.08457 +4 *1570:19 *1570:20 2505.18 +5 *1570:20 *1570:25 49.1003 +6 *1570:25 *1570:26 8.2684 +7 *1570:26 *2450:mgmt_gpio_in 3.708 +8 *1570:26 *2450:mgmt_gpio_out 8.74894 +*END + +*D_NET *1571 0.791134 +*CONN +*I *2446:mgmt_gpio_oeb I *D gpio_control_block +*I *2471:mgmt_gpio_oeb[35] O *D housekeeping +*CAP +1 *2446:mgmt_gpio_oeb 0.00213373 +2 *2471:mgmt_gpio_oeb[35] 1.91998e-05 +3 *1571:13 0.0154157 +4 *1571:12 0.013282 +5 *1571:10 0.0265466 +6 *1571:9 0.0265466 +7 *1571:7 0.00160634 +8 *1571:5 0.00162554 +9 *2446:mgmt_gpio_oeb *2446:mgmt_gpio_out 0.0013568 +10 *1571:7 *1574:9 0 +11 *1571:7 *1575:13 0.0016806 +12 *1571:13 *2373:16 0.0100108 +13 *104:37 *1571:10 1.92336e-05 +14 *489:32 *1571:10 0.342348 +15 *1557:24 *1571:10 0.345365 +16 *1561:7 *2446:mgmt_gpio_oeb 0 +17 *1561:11 *1571:10 0.00162197 +18 *1562:19 *1571:10 0.00155606 +19 *1562:22 *1571:7 0 +*RES +1 *2471:mgmt_gpio_oeb[35] *1571:5 0.366399 +2 *1571:5 *1571:7 58.0987 +3 *1571:7 *1571:9 4.5 +4 *1571:9 *1571:10 3689.39 +5 *1571:10 *1571:12 4.5 +6 *1571:12 *1571:13 433.902 +7 *1571:13 *2446:mgmt_gpio_oeb 11.3105 +*END + +*D_NET *1572 0.781541 +*CONN +*I *2447:mgmt_gpio_oeb I *D gpio_control_block +*I *2471:mgmt_gpio_oeb[36] O *D housekeeping +*CAP +1 *2447:mgmt_gpio_oeb 0.000352051 +2 *2471:mgmt_gpio_oeb[36] 1.91998e-05 +3 *1572:16 0.00241697 +4 *1572:15 0.00206492 +5 *1572:13 0.00141826 +6 *1572:12 0.00141826 +7 *1572:10 0.0265935 +8 *1572:9 0.0265935 +9 *1572:7 0.00220898 +10 *1572:5 0.00222818 +11 *2447:mgmt_gpio_oeb *2447:mgmt_gpio_out 1.10565e-05 +12 *2447:mgmt_gpio_oeb *1794:15 0.00014929 +13 *1572:13 *2037:11 0.00947008 +14 *1572:16 *1848:15 0.000254007 +15 *1572:16 *2118:21 0.000111722 +16 *2471:mgmt_gpio_in[37] *1572:7 0 +17 *489:32 *1572:10 4.4379e-05 +18 *515:12 *2447:mgmt_gpio_oeb 0 +19 *1156:7 *1572:13 0 +20 *1556:24 *1572:10 0.34138 +21 *1557:24 *1572:10 2.41483e-05 +22 *1562:12 *2447:mgmt_gpio_oeb 0.000310254 +23 *1562:13 *1572:16 0.00853872 +24 *1562:16 *1572:13 0.00979848 +25 *1562:19 *1572:10 0.346134 +26 *1562:22 *1572:7 0 +*RES +1 *2471:mgmt_gpio_oeb[36] *1572:5 0.366399 +2 *1572:5 *1572:7 59.3444 +3 *1572:7 *1572:9 4.5 +4 *1572:9 *1572:10 3663.88 +5 *1572:10 *1572:12 4.5 +6 *1572:12 *1572:13 157.552 +7 *1572:13 *1572:15 4.5 +8 *1572:15 *1572:16 91.6784 +9 *1572:16 *2447:mgmt_gpio_oeb 18.4396 +*END + +*D_NET *1573 0.364592 +*CONN +*I *2448:mgmt_gpio_oeb I *D gpio_control_block +*I *2471:mgmt_gpio_oeb[37] O *D housekeeping +*CAP +1 *2448:mgmt_gpio_oeb 0.00512955 +2 *2471:mgmt_gpio_oeb[37] 0.00167755 +3 *1573:17 0.00716648 +4 *1573:16 0.00203694 +5 *1573:14 0.00309318 +6 *1573:12 0.00317227 +7 *1573:10 0.125968 +8 *1573:9 0.125889 +9 *1573:7 0.00167755 +10 *1573:7 *1576:9 0 +11 *1573:7 *2020:21 0 +12 *1573:7 *2222:30 0 +13 *1573:10 *1574:18 7.7523e-05 +14 *1573:10 *1577:16 3.73547e-05 +15 *1573:10 *1611:25 0 +16 *1573:10 *1713:25 0 +17 *1573:10 *1720:24 0 +18 *1573:10 *1726:25 0 +19 *1573:10 *1728:31 0.000198023 +20 *1573:10 *1730:25 0.000551188 +21 *1573:10 *1732:25 0.00180887 +22 *1573:10 *1736:23 0.0386237 +23 *1573:10 *2193:11 0 +24 *1573:10 *2194:11 0 +25 *2448:gpio_defaults[0] *1573:17 0 +26 *2471:mgmt_gpio_in[37] *1573:7 0 +27 *102:21 *1573:10 0 +28 *102:23 *1573:10 0 +29 *102:23 *1573:14 0.0143775 +30 *102:26 *1573:17 0.00593618 +31 *102:32 *2448:mgmt_gpio_oeb 0.000626464 +32 *487:21 *1573:10 0.022849 +33 *487:25 *1573:10 5.04351e-05 +34 *1553:24 *1573:10 0.00364636 +*RES +1 *2471:mgmt_gpio_oeb[37] *1573:7 45.5922 +2 *1573:7 *1573:9 4.5 +3 *1573:9 *1573:10 3813.9 +4 *1573:10 *1573:12 2.21841 +5 *1573:12 *1573:14 150.189 +6 *1573:14 *1573:16 4.5 +7 *1573:16 *1573:17 94.6409 +8 *1573:17 *2448:mgmt_gpio_oeb 38.8372 +*END + +*D_NET *1574 0.831733 +*CONN +*I *2446:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_out[35] O *D housekeeping +*CAP +1 *2446:mgmt_gpio_out 0.00454003 +2 *2471:mgmt_gpio_out[35] 0.00146651 +3 *1574:19 0.0148003 +4 *1574:18 0.0105552 +5 *1574:10 0.0223354 +6 *1574:9 0.0235069 +7 *2446:mgmt_gpio_out *1793:13 3.81792e-05 +8 *2446:mgmt_gpio_out *1847:7 0 +9 *2446:mgmt_gpio_out *2372:12 0 +10 *2446:mgmt_gpio_out *2399:9 0.000594812 +11 *2446:mgmt_gpio_oeb *2446:mgmt_gpio_out 0.0013568 +12 *100:74 *1574:18 0 +13 *100:77 *1574:10 0.346032 +14 *109:49 *2446:mgmt_gpio_out 0.00179582 +15 *467:41 *1574:18 0.000406539 +16 *478:26 *1574:19 0.00491749 +17 *480:17 *1574:10 0.0440279 +18 *480:25 *1574:18 0.000414208 +19 *480:28 *1574:19 0.010244 +20 *487:21 *1574:18 0.000599849 +21 *493:45 *1574:19 0.00488005 +22 *911:9 *1574:19 0.00161652 +23 *1295:9 *1574:19 0 +24 *1550:24 *1574:10 0.337527 +25 *1562:22 *1574:9 0 +26 *1571:7 *1574:9 0 +27 *1573:10 *1574:18 7.7523e-05 +*RES +1 *2471:mgmt_gpio_out[35] *1574:9 44.694 +2 *1574:9 *1574:10 3620.07 +3 *1574:10 *1574:18 27.5543 +4 *1574:18 *1574:19 447.605 +5 *1574:19 *2446:mgmt_gpio_out 20.3363 +*END + +*D_NET *1575 0.782516 +*CONN +*I *2447:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_out[36] O *D housekeeping +*CAP +1 *2447:mgmt_gpio_out 0.00118976 +2 *2471:mgmt_gpio_out[36] 0.000467188 +3 *1575:19 0.00202962 +4 *1575:18 0.000839862 +5 *1575:16 0.0261903 +6 *1575:15 0.0261903 +7 *1575:13 0.00352734 +8 *1575:12 0.00399453 +9 *2447:mgmt_gpio_out *1794:15 2.29355e-05 +10 *2447:mgmt_gpio_oeb *2447:mgmt_gpio_out 1.10565e-05 +11 *471:27 *1575:16 0.352013 +12 *487:36 *1575:19 0.00658658 +13 *1557:27 *1575:19 0.006583 +14 *1558:26 *1575:16 1.41291e-05 +15 *1559:28 *1575:16 0.350015 +16 *1560:26 *1575:16 1.65872e-05 +17 *1561:14 *1575:13 0.00114411 +18 *1571:7 *1575:13 0.0016806 +*RES +1 *2471:mgmt_gpio_out[36] *1575:12 21.5821 +2 *1575:12 *1575:13 124.747 +3 *1575:13 *1575:15 4.5 +4 *1575:15 *1575:16 3720.45 +5 *1575:16 *1575:18 4.5 +6 *1575:18 *1575:19 105.23 +7 *1575:19 *2447:mgmt_gpio_out 38.6541 +*END + +*D_NET *1576 0.582215 +*CONN +*I *2448:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_out[37] O *D housekeeping +*CAP +1 *2448:mgmt_gpio_out 0.00165453 +2 *2471:mgmt_gpio_out[37] 0.00116243 +3 *1576:13 0.00342061 +4 *1576:12 0.00176608 +5 *1576:10 0.0635403 +6 *1576:9 0.0647027 +7 *2448:mgmt_gpio_out *1795:7 0.000104522 +8 *2448:mgmt_gpio_out *1822:7 0 +9 *2448:mgmt_gpio_out *1849:7 0 +10 *2448:mgmt_gpio_out *1984:21 0.00013217 +11 *2448:mgmt_gpio_out *2092:15 5.48652e-06 +12 *1576:9 *2020:21 0 +13 *1576:10 *1647:27 0.0887684 +14 *1576:13 *2038:11 0.00138332 +15 *1563:13 *1576:10 0.355574 +16 *1573:7 *1576:9 0 +*RES +1 *2471:mgmt_gpio_out[37] *1576:9 37.2194 +2 *1576:9 *1576:10 3725.44 +3 *1576:10 *1576:12 4.5 +4 *1576:12 *1576:13 65.5732 +5 *1576:13 *2448:mgmt_gpio_out 9.01576 +*END + +*D_NET *1577 0.309205 +*CONN +*I *2471:usr2_vcc_pwrgood I *D housekeeping +*I *2472:user2_vcc_powergood O *D mgmt_protect +*CAP +1 *2471:usr2_vcc_pwrgood 0.00154198 +2 *2472:user2_vcc_powergood 0.00127867 +3 *1577:17 0.00950162 +4 *1577:16 0.00820399 +5 *1577:8 0.00445047 +6 *1577:7 0.00548479 +7 *2471:usr2_vcc_pwrgood *2471:usr1_vcc_pwrgood 0 +8 *2471:usr2_vcc_pwrgood *2471:usr1_vdd_pwrgood 0 +9 *1577:8 *1578:8 0.00479156 +10 *1577:8 *1578:12 6.23919e-05 +11 *1577:8 *2194:8 0.00158929 +12 *1577:16 *2193:8 0.000292789 +13 *1577:16 *2194:8 6.1578e-06 +14 *1577:17 *1578:15 0.127411 +15 *1577:17 *2194:11 0.127414 +16 *487:21 *1577:16 0.000260374 +17 *498:17 *1577:17 0.0159501 +18 *870:7 *1577:8 0.000849418 +19 *1127:9 *1577:16 7.93528e-05 +20 *1573:10 *1577:16 3.73547e-05 +*RES +1 *2472:user2_vcc_powergood *1577:7 8.17437 +2 *1577:7 *1577:8 135.336 +3 *1577:8 *1577:16 22.4263 +4 *1577:16 *1577:17 1335.65 +5 *1577:17 *2471:usr2_vcc_pwrgood 45.9397 +*END + +*D_NET *1578 0.310822 +*CONN +*I *2471:usr2_vdd_pwrgood I *D housekeeping +*I *2472:user2_vdd_powergood O *D mgmt_protect +*CAP +1 *2471:usr2_vdd_pwrgood 0.00151472 +2 *2472:user2_vdd_powergood 0.00124611 +3 *1578:15 0.00965504 +4 *1578:14 0.00814032 +5 *1578:12 0.00243309 +6 *1578:10 0.00251935 +7 *1578:8 0.00319901 +8 *1578:7 0.00435886 +9 *2471:usr2_vdd_pwrgood *2471:usr1_vdd_pwrgood 0 +10 *1578:12 *2194:8 0 +11 *1578:15 *2194:11 0.000161493 +12 *467:42 *1578:15 0.0146612 +13 *870:7 *1578:12 0.00231348 +14 *1254:7 *1578:8 0 +15 *1254:7 *1578:12 0 +16 *1550:24 *1578:15 0.128354 +17 *1577:8 *1578:8 0.00479156 +18 *1577:8 *1578:12 6.23919e-05 +19 *1577:17 *1578:15 0.127411 +*RES +1 *2472:user2_vdd_powergood *1578:7 8.09787 +2 *1578:7 *1578:8 102.641 +3 *1578:8 *1578:10 1.29461 +4 *1578:10 *1578:12 58.1964 +5 *1578:12 *1578:14 4.5 +6 *1578:14 *1578:15 1345.64 +7 *1578:15 *2471:usr2_vdd_pwrgood 45.5245 +*END + +*D_NET *1579 0.143194 +*CONN +*I *2478:mprj_ack_i I *D mgmt_core_wrapper +*I *2472:mprj_ack_i_core O *D mgmt_protect +*CAP +1 *2478:mprj_ack_i 1.28869e-05 +2 *2472:mprj_ack_i_core 0.000616065 +3 *1579:11 0.00352523 +4 *1579:10 0.00351234 +5 *1579:8 0.00497422 +6 *1579:7 0.00559028 +7 *1579:7 *2472:mprj_cyc_o_core 0.00018195 +8 *1579:8 *1581:24 0.0608598 +9 *1579:8 *1741:24 0.00369071 +10 *1579:11 *1647:9 0 +11 *2472:la_oenb_mprj[127] *1579:7 0 +12 *609:8 *1579:8 0.000133137 +13 *635:8 *1579:8 0.060097 +*RES +1 *2472:mprj_ack_i_core *1579:7 18.9117 +2 *1579:7 *1579:8 652.383 +3 *1579:8 *1579:10 4.5 +4 *1579:10 *1579:11 73.0477 +5 *1579:11 *2478:mprj_ack_i 0.366399 +*END + +*D_NET *1580 0.111523 +*CONN +*I *2472:mprj_ack_i_user I *D mgmt_protect +*I *2473:wbs_ack_o O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_ack_i_user 0.000468068 +2 *2473:wbs_ack_o 0.00264885 +3 *1580:8 0.0204182 +4 *1580:7 0.0199501 +5 *1580:5 0.00264885 +6 *2472:mprj_ack_i_user *1648:7 0 +7 *2472:mprj_ack_i_user *2182:7 0 +8 *1580:8 *1615:8 0.0441844 +9 *469:25 *1580:8 0.0212041 +*RES +1 *2473:wbs_ack_o *1580:5 71.7165 +2 *1580:5 *1580:7 4.5 +3 *1580:7 *1580:8 753.875 +4 *1580:8 *2472:mprj_ack_i_user 16.8354 +*END + +*D_NET *1581 0.289782 +*CONN +*I *2472:mprj_adr_o_core[0] I *D mgmt_protect +*I *2471:wb_adr_i[0] I *D housekeeping +*I *2478:mprj_adr_o[0] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[0] 0.000715151 +2 *2471:wb_adr_i[0] 0.00203315 +3 *2478:mprj_adr_o[0] 0.000180524 +4 *1581:24 0.00707656 +5 *1581:23 0.00636141 +6 *1581:21 0.00330711 +7 *1581:12 0.00203315 +8 *1581:10 0.00510976 +9 *1581:9 0.00510976 +10 *1581:7 0.00348763 +11 *2471:wb_adr_i[0] *2471:wb_adr_i[1] 0 +12 *2472:mprj_adr_o_core[0] *2472:mprj_we_o_core 0 +13 *2472:mprj_adr_o_core[0] *1649:7 0 +14 *1581:7 *1649:17 0 +15 *1581:7 *2195:9 0 +16 *1581:7 *2195:25 0 +17 *1581:10 *1592:10 0.0665507 +18 *1581:21 *1649:17 0 +19 *1581:21 *2195:9 0 +20 *1581:24 *2472:mprj_adr_o_core[10] 0.000329835 +21 *1581:24 *1582:24 0.000244551 +22 *1581:24 *1587:24 0.0534686 +23 *1581:24 *1612:36 0 +24 *1581:24 *1741:24 0.00411816 +25 *102:21 *1581:10 0.0683305 +26 *609:8 *1581:24 0.000115028 +27 *610:8 *1581:24 0 +28 *866:16 *1581:24 0 +29 *867:20 *1581:24 0.000350848 +30 *1579:8 *1581:24 0.0608598 +*RES +1 *2478:mprj_adr_o[0] *1581:7 4.48228 +2 *1581:7 *1581:9 4.5 +3 *1581:9 *1581:10 720.599 +4 *1581:10 *1581:12 4.5 +5 *1581:12 *2471:wb_adr_i[0] 48.499 +6 *1581:7 *1581:21 68.6876 +7 *1581:21 *1581:23 4.5 +8 *1581:23 *1581:24 669.021 +9 *1581:24 *2472:mprj_adr_o_core[0] 19.3269 +*END + +*D_NET *1582 0.256211 +*CONN +*I *2471:wb_adr_i[10] I *D housekeeping +*I *2472:mprj_adr_o_core[10] I *D mgmt_protect +*I *2478:mprj_adr_o[10] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[10] 0.00204181 +2 *2472:mprj_adr_o_core[10] 0.00120335 +3 *2478:mprj_adr_o[10] 0.00022801 +4 *1582:37 0.00204181 +5 *1582:35 0.0042355 +6 *1582:24 0.00167409 +7 *1582:21 0.00114299 +8 *1582:16 0.0188217 +9 *1582:15 0.0181495 +10 *1582:13 0.00170251 +11 *1582:12 0.00202111 +12 *1582:9 0.00478211 +13 *2471:wb_adr_i[10] *2471:wb_adr_i[11] 0 +14 *2471:wb_adr_i[10] *1612:13 0 +15 *2472:mprj_adr_o_core[10] *2472:mprj_dat_o_core[9] 0 +16 *2472:mprj_adr_o_core[10] *1612:36 0.000339591 +17 *2472:mprj_adr_o_core[10] *1650:5 0 +18 *2472:mprj_adr_o_core[10] *1651:8 0.00122777 +19 *1582:9 *2478:mprj_dat_i[10] 0 +20 *1582:12 *1592:10 0.00132675 +21 *1582:13 *2478:mprj_dat_i[9] 0 +22 *1582:13 *1612:7 0.00119747 +23 *1582:13 *1612:23 0.00125403 +24 *1582:16 *1583:24 0.075911 +25 *1582:16 *1589:22 0.000963002 +26 *1582:16 *1598:24 0.000964633 +27 *1582:16 *1598:28 0.000163504 +28 *1582:16 *1664:8 0.000141029 +29 *1582:16 *1673:8 0 +30 *1582:16 *1713:10 0 +31 *1582:16 *2183:19 0 +32 *1582:16 *2185:10 0 +33 *1582:21 *2472:mprj_dat_o_core[18] 0.000173069 +34 *1582:21 *1658:5 0.000836779 +35 *1582:24 *1587:24 0.000784833 +36 *1582:24 *1590:18 0.00027103 +37 *1582:24 *1651:8 5.30213e-05 +38 *1582:24 *1653:10 0.00295106 +39 *1582:35 *1583:10 0.0529763 +40 *1582:35 *1592:10 0.0522846 +41 *102:21 *1582:35 0.000598683 +42 *873:10 *1582:16 0.000897843 +43 *1271:10 *1582:16 0.00227613 +44 *1581:24 *2472:mprj_adr_o_core[10] 0.000329835 +45 *1581:24 *1582:24 0.000244551 +*RES +1 *2478:mprj_adr_o[10] *1582:9 9.81279 +2 *1582:9 *1582:12 18.5339 +3 *1582:12 *1582:13 49.3784 +4 *1582:13 *1582:15 3.36879 +5 *1582:15 *1582:16 96.5805 +6 *1582:16 *1582:21 25.2727 +7 *1582:21 *1582:24 33.7464 +8 *1582:24 *2472:mprj_adr_o_core[10] 42.509 +9 *1582:9 *1582:35 575.847 +10 *1582:35 *1582:37 4.5 +11 *1582:37 *2471:wb_adr_i[10] 49.3295 +*END + +*D_NET *1583 0.309331 +*CONN +*I *2472:mprj_adr_o_core[11] I *D mgmt_protect +*I *2471:wb_adr_i[11] I *D housekeeping +*I *2478:mprj_adr_o[11] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[11] 0.00165799 +2 *2471:wb_adr_i[11] 0.00205983 +3 *2478:mprj_adr_o[11] 0.000283976 +4 *1583:24 0.0108996 +5 *1583:23 0.0092416 +6 *1583:21 0.00183016 +7 *1583:12 0.00205983 +8 *1583:10 0.00390393 +9 *1583:9 0.00390393 +10 *1583:7 0.00211413 +11 *2471:wb_adr_i[11] *1584:13 0 +12 *2472:mprj_adr_o_core[11] *2472:mprj_dat_o_core[11] 0 +13 *2472:mprj_adr_o_core[11] *1651:7 0 +14 *2472:mprj_adr_o_core[11] *1714:19 0 +15 *1583:7 *1651:17 0.000130911 +16 *1583:10 *1584:10 0.0520397 +17 *1583:21 *1651:17 0.00105001 +18 *1583:21 *1715:29 4.08754e-05 +19 *1583:24 *1584:24 0.0837917 +20 *1583:24 *1713:10 0 +21 *2471:wb_adr_i[10] *2471:wb_adr_i[11] 0 +22 *102:21 *1583:10 4.4379e-05 +23 *608:11 *2472:mprj_adr_o_core[11] 0.000173895 +24 *876:10 *1583:24 0.00181421 +25 *890:10 *1583:24 0 +26 *1131:10 *1583:24 0.00115127 +27 *1273:10 *1583:24 0.00225187 +28 *1582:16 *1583:24 0.075911 +29 *1582:35 *1583:10 0.0529763 +*RES +1 *2478:mprj_adr_o[11] *1583:7 7.41347 +2 *1583:7 *1583:9 4.5 +3 *1583:9 *1583:10 560.873 +4 *1583:10 *1583:12 4.5 +5 *1583:12 *2471:wb_adr_i[11] 49.7448 +6 *1583:7 *1583:21 48.1326 +7 *1583:21 *1583:23 3.36879 +8 *1583:23 *1583:24 107.595 +9 *1583:24 *2472:mprj_adr_o_core[11] 37.505 +*END + +*D_NET *1584 0.314643 +*CONN +*I *2472:mprj_adr_o_core[12] I *D mgmt_protect +*I *2471:wb_adr_i[12] I *D housekeeping +*I *2478:mprj_adr_o[12] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[12] 0.00180595 +2 *2471:wb_adr_i[12] 1.28869e-05 +3 *2478:mprj_adr_o[12] 0.000268462 +4 *1584:24 0.00990575 +5 *1584:23 0.0080998 +6 *1584:21 0.00216288 +7 *1584:13 0.00207343 +8 *1584:12 0.00206054 +9 *1584:10 0.00387732 +10 *1584:9 0.00387732 +11 *1584:7 0.00243134 +12 *2472:mprj_adr_o_core[12] *2472:mprj_dat_o_core[11] 0 +13 *2472:mprj_adr_o_core[12] *1652:5 0 +14 *1584:7 *2478:mprj_dat_i[12] 0 +15 *1584:7 *1715:7 0 +16 *1584:10 *1585:10 0.0508375 +17 *1584:13 *1585:13 0 +18 *1584:21 *2478:mprj_dat_i[12] 0 +19 *1584:21 *1715:7 0 +20 *1584:24 *1585:24 0.0844467 +21 *2471:wb_adr_i[11] *1584:13 0 +22 *102:21 *1584:10 1.59204e-05 +23 *875:10 *1584:24 0.00133953 +24 *890:10 *1584:24 0 +25 *1134:10 *1584:24 0.00205834 +26 *1274:10 *1584:24 0.0022229 +27 *1275:10 *1584:24 0.00131527 +28 *1583:10 *1584:10 0.0520397 +29 *1583:24 *1584:24 0.0837917 +*RES +1 *2478:mprj_adr_o[12] *1584:7 6.14329 +2 *1584:7 *1584:9 4.5 +3 *1584:9 *1584:10 550.89 +4 *1584:10 *1584:12 4.5 +5 *1584:12 *1584:13 49.7936 +6 *1584:13 *2471:wb_adr_i[12] 0.366399 +7 *1584:7 *1584:21 46.8869 +8 *1584:21 *1584:23 3.36879 +9 *1584:23 *1584:24 108.436 +10 *1584:24 *2472:mprj_adr_o_core[12] 38.3355 +*END + +*D_NET *1585 0.312732 +*CONN +*I *2472:mprj_adr_o_core[13] I *D mgmt_protect +*I *2471:wb_adr_i[13] I *D housekeeping +*I *2478:mprj_adr_o[13] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[13] 0.00173837 +2 *2471:wb_adr_i[13] 1.28869e-05 +3 *2478:mprj_adr_o[13] 0.000290126 +4 *1585:24 0.00951262 +5 *1585:23 0.00986132 +6 *1585:13 0.00208804 +7 *1585:12 0.00207515 +8 *1585:10 0.00377293 +9 *1585:9 0.00377293 +10 *1585:7 0.00237719 +11 *2472:mprj_adr_o_core[13] *2472:mprj_dat_o_core[12] 0 +12 *2472:mprj_adr_o_core[13] *1653:9 0 +13 *1585:7 *1653:19 0 +14 *1585:10 *1586:10 0.0497416 +15 *1585:13 *1586:13 0 +16 *1585:23 *1653:19 0 +17 *1585:24 *1586:24 0.0854864 +18 *1585:24 *1660:14 0.00120502 +19 *102:21 *1585:10 0 +20 *610:13 *2472:mprj_adr_o_core[13] 0.00032249 +21 *878:16 *1585:24 0.00145702 +22 *880:10 *1585:24 0.000910857 +23 *890:10 *1585:24 0 +24 *1133:10 *1585:24 0.0015278 +25 *1275:14 *1585:24 0.001295 +26 *1584:10 *1585:10 0.0508375 +27 *1584:13 *1585:13 0 +28 *1584:24 *1585:24 0.0844467 +*RES +1 *2478:mprj_adr_o[13] *1585:7 6.55854 +2 *1585:7 *1585:9 4.5 +3 *1585:9 *1585:10 538.134 +4 *1585:10 *1585:12 4.5 +5 *1585:12 *1585:13 50.2089 +6 *1585:13 *2471:wb_adr_i[13] 0.366399 +7 *1585:7 *1585:23 49.0099 +8 *1585:23 *1585:24 109.66 +9 *1585:24 *2472:mprj_adr_o_core[13] 39.166 +*END + +*D_NET *1586 0.283239 +*CONN +*I *2472:mprj_adr_o_core[14] I *D mgmt_protect +*I *2471:wb_adr_i[14] I *D housekeeping +*I *2478:mprj_adr_o[14] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[14] 0.00191266 +2 *2471:wb_adr_i[14] 1.28869e-05 +3 *2478:mprj_adr_o[14] 0.000332514 +4 *1586:24 0.0104804 +5 *1586:23 0.0106025 +6 *1586:13 0.00210264 +7 *1586:12 0.00208975 +8 *1586:10 0.0115027 +9 *1586:9 0.0115027 +10 *1586:7 0.00236721 +11 *2472:mprj_adr_o_core[14] *2472:mprj_dat_o_core[13] 0 +12 *2472:mprj_adr_o_core[14] *1654:7 0 +13 *1586:7 *2478:mprj_dat_i[14] 0 +14 *1586:7 *1717:7 0 +15 *1586:13 *1587:13 0 +16 *1586:23 *2478:mprj_dat_i[14] 0 +17 *1586:23 *1717:7 0 +18 *1586:23 *1717:23 0 +19 *1586:24 *1588:24 0.0856074 +20 *1586:24 *1608:24 0.00285289 +21 *1586:24 *1649:14 0.00171697 +22 *102:21 *1586:10 0 +23 *865:15 *2472:mprj_adr_o_core[14] 0 +24 *880:14 *1586:24 0.00125879 +25 *881:10 *1586:24 0.000690326 +26 *881:14 *1586:24 0.000118134 +27 *882:14 *1586:24 0.000719048 +28 *882:18 *1586:24 0.00203317 +29 *890:10 *1586:24 0 +30 *1119:7 *2472:mprj_adr_o_core[14] 0 +31 *1120:15 *2472:mprj_adr_o_core[14] 0 +32 *1138:20 *1586:24 0.000108607 +33 *1585:10 *1586:10 0.0497416 +34 *1585:13 *1586:13 0 +35 *1585:24 *1586:24 0.0854864 +*RES +1 *2478:mprj_adr_o[14] *1586:7 7.53561 +2 *1586:7 *1586:9 4.5 +3 *1586:9 *1586:10 526.488 +4 *1586:10 *1586:12 4.5 +5 *1586:12 *1586:13 50.6241 +6 *1586:13 *2471:wb_adr_i[14] 0.366399 +7 *1586:7 *1586:23 47.7641 +8 *1586:23 *1586:24 110.808 +9 *1586:24 *2472:mprj_adr_o_core[14] 39.9965 +*END + +*D_NET *1587 0.262166 +*CONN +*I *2472:mprj_adr_o_core[15] I *D mgmt_protect +*I *2471:wb_adr_i[15] I *D housekeeping +*I *2478:mprj_adr_o[15] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[15] 0.000736587 +2 *2471:wb_adr_i[15] 1.28869e-05 +3 *2478:mprj_adr_o[15] 0.00121715 +4 *1587:24 0.00965747 +5 *1587:23 0.0109171 +6 *1587:13 0.00300544 +7 *1587:12 0.00299255 +8 *1587:10 0.00421571 +9 *1587:9 0.00421571 +10 *1587:7 0.00321333 +11 *2472:mprj_adr_o_core[15] *2472:mprj_dat_o_core[14] 0 +12 *2472:mprj_adr_o_core[15] *1655:7 0 +13 *1587:7 *1655:21 0 +14 *1587:7 *1718:24 0 +15 *1587:10 *2478:irq[1] 0.000388665 +16 *1587:10 *1588:10 0.00429088 +17 *1587:10 *1612:10 0.00147241 +18 *1587:10 *1723:12 0.00046538 +19 *1587:10 *1723:25 0.000653519 +20 *1587:10 *1725:10 0.0406512 +21 *1587:10 *1727:10 0.0379218 +22 *1587:10 *1736:10 0 +23 *1587:10 *1741:10 0.000605439 +24 *1587:13 *1588:13 0 +25 *1587:23 *1655:21 0 +26 *1587:24 *1590:18 0.0754535 +27 *1587:24 *1741:24 0.00582633 +28 *1581:24 *1587:24 0.0534686 +29 *1582:24 *1587:24 0.000784833 +30 *1586:13 *1587:13 0 +*RES +1 *2478:mprj_adr_o[15] *1587:7 27.3212 +2 *1587:7 *1587:9 4.5 +3 *1587:9 *1587:10 513.732 +4 *1587:10 *1587:12 4.5 +5 *1587:12 *1587:13 70.9715 +6 *1587:13 *2471:wb_adr_i[15] 0.366399 +7 *1587:7 *1587:23 49.9335 +8 *1587:23 *1587:24 812.108 +9 *1587:24 *2472:mprj_adr_o_core[15] 19.7422 +*END + +*D_NET *1588 0.315384 +*CONN +*I *2472:mprj_adr_o_core[16] I *D mgmt_protect +*I *2471:wb_adr_i[16] I *D housekeeping +*I *2478:mprj_adr_o[16] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[16] 0.00181004 +2 *2471:wb_adr_i[16] 1.28869e-05 +3 *2478:mprj_adr_o[16] 0.0013321 +4 *1588:24 0.0117352 +5 *1588:23 0.0109627 +6 *1588:13 0.00304147 +7 *1588:12 0.00302858 +8 *1588:10 0.00353103 +9 *1588:9 0.00353103 +10 *1588:7 0.00236963 +11 *2472:mprj_adr_o_core[16] *2472:mprj_dat_o_core[15] 0 +12 *2472:mprj_adr_o_core[16] *1656:5 0.00041429 +13 *1588:7 *2478:mprj_dat_i[16] 0 +14 *1588:7 *1719:7 0 +15 *1588:7 *1719:23 0 +16 *1588:10 *2478:irq[1] 0.000329156 +17 *1588:10 *1589:15 0.000436811 +18 *1588:10 *1589:31 0.0461999 +19 *1588:10 *1727:10 0.0381917 +20 *1588:10 *1736:10 0.000218095 +21 *1588:13 *1589:34 0 +22 *1588:23 *1719:23 0 +23 *1588:24 *1591:28 0.0867827 +24 *1588:24 *1610:24 0.00318468 +25 *1588:24 *1653:16 0.00339601 +26 *881:14 *1588:24 0.0016364 +27 *885:10 *1588:24 0.000781986 +28 *890:10 *1588:24 0 +29 *1138:10 *1588:24 0.000574932 +30 *1138:20 *1588:24 0.00198471 +31 *1586:24 *1588:24 0.0856074 +32 *1587:10 *1588:10 0.00429088 +33 *1587:13 *1588:13 0 +*RES +1 *2478:mprj_adr_o[16] *1588:7 29.8371 +2 *1588:7 *1588:9 4.5 +3 *1588:9 *1588:10 502.64 +4 *1588:10 *1588:12 4.5 +5 *1588:12 *1588:13 71.802 +6 *1588:13 *2471:wb_adr_i[16] 0.366399 +7 *1588:7 *1588:23 25.7558 +8 *1588:23 *1588:24 113.026 +9 *1588:24 *2472:mprj_adr_o_core[16] 40.827 +*END + +*D_NET *1589 0.268601 +*CONN +*I *2471:wb_adr_i[17] I *D housekeeping +*I *2472:mprj_adr_o_core[17] I *D mgmt_protect +*I *2478:mprj_adr_o[17] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[17] 1.28869e-05 +2 *2472:mprj_adr_o_core[17] 0.00162508 +3 *2478:mprj_adr_o[17] 0.00126708 +4 *1589:34 0.00305605 +5 *1589:33 0.00304316 +6 *1589:31 0.00342604 +7 *1589:22 0.00379955 +8 *1589:21 0.00260069 +9 *1589:16 0.00550617 +10 *1589:15 0.00612246 +11 *1589:9 0.00573563 +12 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[16] 0 +13 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[17] 0 +14 *2472:mprj_adr_o_core[17] *1657:7 0 +15 *1589:9 *1657:17 0 +16 *1589:9 *1720:9 0 +17 *1589:9 *1720:23 0 +18 *1589:15 *1736:10 0.000109258 +19 *1589:16 *1595:24 0.00515079 +20 *1589:16 *1598:18 7.55683e-05 +21 *1589:16 *1612:24 0.0316508 +22 *1589:16 *1651:14 0.00142625 +23 *1589:16 *1653:16 0.000530783 +24 *1589:16 *1655:18 0.0338513 +25 *1589:16 *1657:14 0.000943298 +26 *1589:22 *1598:24 0.0110735 +27 *1589:22 *1598:28 0.000339155 +28 *1589:22 *1602:30 0.0172104 +29 *1589:22 *1664:8 0.00818447 +30 *1589:31 *2478:irq[1] 0.000206867 +31 *1589:31 *1591:12 0.00211693 +32 *1589:31 *1591:14 0.00253428 +33 *1589:31 *1593:26 0.000650889 +34 *1589:31 *1727:10 0.000137345 +35 *1589:31 *1729:15 0.000383703 +36 *1589:31 *1729:25 0.0352422 +37 *1589:31 *1736:10 0.000421596 +38 *1589:34 *1590:30 0 +39 *884:10 *1589:16 0.00211887 +40 *884:14 *1589:16 0.00635887 +41 *886:10 *1589:16 0.0150653 +42 *887:10 *1589:16 0.00085425 +43 *1122:15 *2472:mprj_adr_o_core[17] 0 +44 *1134:7 *1589:21 2.67003e-05 +45 *1141:16 *1589:22 0.00565466 +46 *1143:16 *1589:22 0.000685805 +47 *1144:10 *1589:16 0.000120974 +48 *1147:16 *1589:22 0.00168132 +49 *1582:16 *1589:22 0.000963002 +50 *1588:10 *1589:15 0.000436811 +51 *1588:10 *1589:31 0.0461999 +52 *1588:13 *1589:34 0 +*RES +1 *2478:mprj_adr_o[17] *1589:9 33.0669 +2 *1589:9 *1589:15 34.5392 +3 *1589:15 *1589:16 566.419 +4 *1589:16 *1589:21 16.6455 +5 *1589:21 *1589:22 259.169 +6 *1589:22 *2472:mprj_adr_o_core[17] 35.5218 +7 *1589:9 *1589:31 489.329 +8 *1589:31 *1589:33 4.5 +9 *1589:33 *1589:34 72.2172 +10 *1589:34 *2471:wb_adr_i[17] 0.366399 +*END + +*D_NET *1590 0.206834 +*CONN +*I *2471:wb_adr_i[18] I *D housekeeping +*I *2472:mprj_adr_o_core[18] I *D mgmt_protect +*I *2478:mprj_adr_o[18] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[18] 1.28869e-05 +2 *2472:mprj_adr_o_core[18] 0.000758023 +3 *2478:mprj_adr_o[18] 0.00139926 +4 *1590:30 0.00341451 +5 *1590:29 0.00340162 +6 *1590:27 0.0070886 +7 *1590:18 0.0130527 +8 *1590:17 0.0138067 +9 *1590:12 0.00999991 +10 *2472:mprj_adr_o_core[18] *2472:mprj_dat_o_core[17] 0 +11 *2472:mprj_adr_o_core[18] *1658:5 0 +12 *1590:12 *2478:mprj_dat_i[18] 0.000823584 +13 *1590:12 *1593:29 0.000364767 +14 *1590:12 *1658:14 0.000113968 +15 *1590:12 *1659:8 0.000167076 +16 *1590:12 *1661:8 1.41853e-05 +17 *1590:17 *2478:mprj_dat_i[18] 8.62625e-06 +18 *1590:18 *1612:30 0.032419 +19 *1590:18 *1653:10 0.00327915 +20 *1590:18 *1655:12 0.000316909 +21 *1590:18 *1662:14 0.000286319 +22 *1590:18 *1671:8 0 +23 *1590:18 *1741:24 0.00724031 +24 *1590:18 *2191:16 0 +25 *1590:27 *1595:10 0.0180076 +26 *1590:27 *1606:25 0.0104359 +27 *1590:27 *1659:8 0.000605222 +28 *1590:27 *1661:8 0.00286042 +29 *1590:27 *1663:14 6.33518e-05 +30 *1590:27 *1726:10 0.000895002 +31 *1590:27 *1739:16 0.00027341 +32 *1590:30 *1591:17 0 +33 *1582:24 *1590:18 0.00027103 +34 *1587:24 *1590:18 0.0754535 +35 *1589:34 *1590:30 0 +*RES +1 *2478:mprj_adr_o[18] *1590:12 45.0535 +2 *1590:12 *1590:17 45.2979 +3 *1590:17 *1590:18 842.612 +4 *1590:18 *2472:mprj_adr_o_core[18] 20.1574 +5 *1590:12 *1590:27 472.691 +6 *1590:27 *1590:29 4.5 +7 *1590:29 *1590:30 79.6918 +8 *1590:30 *2471:wb_adr_i[18] 0.366399 +*END + +*D_NET *1591 0.299327 +*CONN +*I *2472:mprj_adr_o_core[19] I *D mgmt_protect +*I *2471:wb_adr_i[19] I *D housekeeping +*I *2478:mprj_adr_o[19] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[19] 0.00189268 +2 *2471:wb_adr_i[19] 1.28869e-05 +3 *2478:mprj_adr_o[19] 0.00112239 +4 *1591:28 0.011517 +5 *1591:27 0.0105208 +6 *1591:17 0.00308967 +7 *1591:16 0.00307679 +8 *1591:14 0.00358306 +9 *1591:12 0.00377334 +10 *1591:7 0.00220915 +11 *2472:mprj_adr_o_core[19] *2472:mprj_dat_o_core[18] 0.000407913 +12 *2472:mprj_adr_o_core[19] *1659:5 0 +13 *1591:7 *2478:mprj_dat_i[19] 0.000685027 +14 *1591:7 *1723:15 0 +15 *1591:12 *1593:26 0.00148832 +16 *1591:12 *1736:10 0.000272272 +17 *1591:14 *2478:irq[1] 0.000184687 +18 *1591:14 *1729:15 0.000379505 +19 *1591:14 *1729:25 0.035781 +20 *1591:14 *1733:19 0.000376796 +21 *1591:14 *1736:10 0.0142976 +22 *1591:14 *1737:15 0.000171456 +23 *1591:14 *1737:25 0.0116742 +24 *1591:17 *1593:13 0 +25 *1591:27 *2478:mprj_dat_i[19] 0.000155824 +26 *1591:27 *1723:15 0 +27 *1591:28 *1595:24 0.0900509 +28 *1591:28 *1612:24 0.00336822 +29 *1591:28 *1651:14 0.00325843 +30 *884:10 *1591:28 0.000238938 +31 *884:14 *1591:28 0.00242457 +32 *885:14 *1591:28 0.0016364 +33 *890:10 *1591:28 0.00024367 +34 *1588:24 *1591:28 0.0867827 +35 *1589:31 *1591:12 0.00211693 +36 *1589:31 *1591:14 0.00253428 +37 *1590:30 *1591:17 0 +*RES +1 *2478:mprj_adr_o[19] *1591:7 30.6676 +2 *1591:7 *1591:12 27.4317 +3 *1591:12 *1591:14 439.969 +4 *1591:14 *1591:16 4.5 +5 *1591:16 *1591:17 73.0477 +6 *1591:17 *2471:wb_adr_i[19] 0.366399 +7 *1591:7 *1591:27 24.0948 +8 *1591:27 *1591:28 117.233 +9 *1591:28 *2472:mprj_adr_o_core[19] 41.6575 +*END + +*D_NET *1592 0.279317 +*CONN +*I *2472:mprj_adr_o_core[1] I *D mgmt_protect +*I *2471:wb_adr_i[1] I *D housekeeping +*I *2478:mprj_adr_o[1] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[1] 0.00289861 +2 *2471:wb_adr_i[1] 0.00205016 +3 *2478:mprj_adr_o[1] 0.000284414 +4 *1592:26 0.00289861 +5 *1592:24 0.00555585 +6 *1592:23 0.00634126 +7 *1592:12 0.00205016 +8 *1592:10 0.00686198 +9 *1592:9 0.00686198 +10 *1592:7 0.00106983 +11 *2471:wb_adr_i[1] *1603:25 0 +12 *2472:mprj_adr_o_core[1] *2472:mprj_dat_o_core[1] 0 +13 *2472:mprj_adr_o_core[1] *2472:mprj_sel_o_core[0] 0 +14 *2472:mprj_adr_o_core[1] *1660:7 0.00024649 +15 *1592:7 *2183:14 0 +16 *1592:7 *2183:16 0 +17 *1592:23 *2183:16 0 +18 *1592:24 *1603:10 0.063439 +19 *1592:24 *1722:10 0.00138234 +20 *2471:wb_adr_i[0] *2471:wb_adr_i[1] 0 +21 *102:21 *1592:10 0.000113197 +22 *607:13 *2472:mprj_adr_o_core[1] 0 +23 *609:13 *2472:mprj_adr_o_core[1] 0 +24 *634:8 *1592:24 0.0565657 +25 *868:23 *2472:mprj_adr_o_core[1] 0 +26 *984:9 *2472:mprj_adr_o_core[1] 0.000428463 +27 *1241:9 *2472:mprj_adr_o_core[1] 0 +28 *1369:10 *1592:24 0 +29 *1371:12 *1592:24 0.000107179 +30 *1581:10 *1592:10 0.0665507 +31 *1582:12 *1592:10 0.00132675 +32 *1582:35 *1592:10 0.0522846 +*RES +1 *2478:mprj_adr_o[1] *1592:7 6.58297 +2 *1592:7 *1592:9 4.5 +3 *1592:9 *1592:10 704.515 +4 *1592:10 *1592:12 4.5 +5 *1592:12 *2471:wb_adr_i[1] 48.9143 +6 *1592:7 *1592:23 24.1878 +7 *1592:23 *1592:24 679.004 +8 *1592:24 *1592:26 4.5 +9 *1592:26 *2472:mprj_adr_o_core[1] 63.4115 +*END + +*D_NET *1593 0.284974 +*CONN +*I *2472:mprj_adr_o_core[20] I *D mgmt_protect +*I *2471:wb_adr_i[20] I *D housekeeping +*I *2478:mprj_adr_o[20] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[20] 0.00278677 +2 *2471:wb_adr_i[20] 1.28869e-05 +3 *2478:mprj_adr_o[20] 0.000795273 +4 *1593:32 0.00278677 +5 *1593:30 0.00574078 +6 *1593:29 0.00602018 +7 *1593:26 0.000908299 +8 *1593:13 0.00270523 +9 *1593:12 0.00269234 +10 *1593:10 0.00308083 +11 *1593:9 0.00308083 +12 *1593:7 0.00142417 +13 *2472:mprj_adr_o_core[20] *2472:mprj_dat_o_core[19] 0 +14 *2472:mprj_adr_o_core[20] *1659:5 0 +15 *2472:mprj_adr_o_core[20] *1661:5 0 +16 *1593:7 *2478:mprj_dat_i[20] 0.000555237 +17 *1593:10 *1594:10 0.0415069 +18 *1593:10 *1743:10 0.042709 +19 *1593:10 *2184:25 0.0034499 +20 *1593:13 *1594:13 0 +21 *1593:13 *1595:13 0 +22 *1593:26 *2478:mprj_dat_i[20] 0.000136229 +23 *1593:26 *1736:10 0.00294953 +24 *1593:30 *1594:30 0.00358835 +25 *1593:30 *1658:14 0.0740965 +26 *1593:30 *1659:8 0.0783481 +27 *1593:30 *1661:8 0.000202457 +28 *1593:30 *1738:10 0.000175462 +29 *1593:30 *1740:12 0.000214358 +30 *1593:30 *2184:16 0.00248873 +31 *1122:12 *1593:30 1.45065e-05 +32 *1589:31 *1593:26 0.000650889 +33 *1590:12 *1593:29 0.000364767 +34 *1591:12 *1593:26 0.00148832 +35 *1591:17 *1593:13 0 +*RES +1 *2478:mprj_adr_o[20] *1593:7 23.6083 +2 *1593:7 *1593:9 4.5 +3 *1593:9 *1593:10 449.398 +4 *1593:10 *1593:12 4.5 +5 *1593:12 *1593:13 65.5732 +6 *1593:13 *2471:wb_adr_i[20] 0.366399 +7 *1593:7 *1593:26 47.8721 +8 *1593:26 *1593:29 11.7303 +9 *1593:29 *1593:30 827.637 +10 *1593:30 *1593:32 4.5 +11 *1593:32 *2472:mprj_adr_o_core[20] 51.3691 +*END + +*D_NET *1594 0.260424 +*CONN +*I *2472:mprj_adr_o_core[21] I *D mgmt_protect +*I *2471:wb_adr_i[21] I *D housekeeping +*I *2478:mprj_adr_o[21] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[21] 0.00279774 +2 *2471:wb_adr_i[21] 1.28869e-05 +3 *2478:mprj_adr_o[21] 0.000895434 +4 *1594:32 0.00279774 +5 *1594:30 0.000399065 +6 *1594:24 0.00604082 +7 *1594:23 0.00636011 +8 *1594:13 0.0026797 +9 *1594:12 0.00266681 +10 *1594:10 0.00952432 +11 *1594:9 0.00952432 +12 *1594:7 0.00161379 +13 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[20] 0 +14 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[21] 0 +15 *2472:mprj_adr_o_core[21] *1661:5 0 +16 *2472:mprj_adr_o_core[21] *1662:7 0 +17 *1594:7 *2478:mprj_dat_i[21] 0 +18 *1594:7 *1725:7 0 +19 *1594:10 *1743:10 0.000113197 +20 *1594:10 *2184:25 0.00634336 +21 *1594:13 *1595:13 0 +22 *1594:23 *2478:mprj_dat_i[21] 0 +23 *1594:23 *1725:7 0 +24 *1594:23 *1725:23 0 +25 *1594:24 *1663:14 0.0782848 +26 *1594:24 *1726:10 0.078287 +27 *1594:24 *1740:12 0.00305581 +28 *1594:24 *1742:30 0.000100568 +29 *1594:30 *1658:13 7.09666e-06 +30 *1594:30 *1661:8 0.00359255 +31 *1594:30 *1731:27 5.88009e-05 +32 *1594:30 *1738:10 0.000101365 +33 *1249:9 *2472:mprj_adr_o_core[21] 7.16076e-05 +34 *1593:10 *1594:10 0.0415069 +35 *1593:13 *1594:13 0 +36 *1593:30 *1594:30 0.00358835 +*RES +1 *2478:mprj_adr_o[21] *1594:7 21.5076 +2 *1594:7 *1594:9 4.5 +3 *1594:9 *1594:10 439.415 +4 *1594:10 *1594:12 4.5 +5 *1594:12 *1594:13 65.158 +6 *1594:13 *2471:wb_adr_i[21] 0.366399 +7 *1594:7 *1594:23 17.9591 +8 *1594:23 *1594:24 826.528 +9 *1594:24 *1594:30 48.8532 +10 *1594:30 *1594:32 4.5 +11 *1594:32 *2472:mprj_adr_o_core[21] 51.7844 +*END + +*D_NET *1595 0.296829 +*CONN +*I *2472:mprj_adr_o_core[22] I *D mgmt_protect +*I *2471:wb_adr_i[22] I *D housekeeping +*I *2478:mprj_adr_o[22] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[22] 0.00214789 +2 *2471:wb_adr_i[22] 1.28869e-05 +3 *2478:mprj_adr_o[22] 0.00170541 +4 *1595:24 0.0122003 +5 *1595:23 0.0106258 +6 *1595:13 0.00336052 +7 *1595:12 0.00334763 +8 *1595:10 0.00371989 +9 *1595:9 0.00371989 +10 *1595:7 0.00227872 +11 *2472:mprj_adr_o_core[22] *2472:mprj_dat_o_core[21] 0 +12 *2472:mprj_adr_o_core[22] *1612:35 0 +13 *2472:mprj_adr_o_core[22] *1663:7 0 +14 *1595:7 *2478:mprj_dat_i[22] 0 +15 *1595:7 *1726:9 0 +16 *1595:7 *1726:24 0 +17 *1595:10 *1596:10 0.000245724 +18 *1595:10 *1596:21 0.0394223 +19 *1595:10 *1663:14 0.000238987 +20 *1595:10 *1739:16 0 +21 *1595:13 *1596:24 0 +22 *1595:24 *1599:24 0.0917926 +23 *1595:24 *1655:18 0.0036624 +24 *877:10 *1595:24 0.0015278 +25 *886:10 *1595:24 0.00311094 +26 *890:10 *1595:24 0.000499706 +27 *1589:16 *1595:24 0.00515079 +28 *1590:27 *1595:10 0.0180076 +29 *1591:28 *1595:24 0.0900509 +30 *1593:13 *1595:13 0 +31 *1594:13 *1595:13 0 +*RES +1 *2478:mprj_adr_o[22] *1595:7 36.8964 +2 *1595:7 *1595:9 4.5 +3 *1595:9 *1595:10 428.877 +4 *1595:10 *1595:12 4.5 +5 *1595:12 *1595:13 78.8613 +6 *1595:13 *2471:wb_adr_i[22] 0.366399 +7 *1595:7 *1595:23 17.0355 +8 *1595:23 *1595:24 120.292 +9 *1595:24 *2472:mprj_adr_o_core[22] 42.488 +*END + +*D_NET *1596 0.275094 +*CONN +*I *2471:wb_adr_i[23] I *D housekeeping +*I *2472:mprj_adr_o_core[23] I *D mgmt_protect +*I *2478:mprj_adr_o[23] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[23] 1.28869e-05 +2 *2472:mprj_adr_o_core[23] 0.00296313 +3 *2478:mprj_adr_o[23] 0.00165942 +4 *1596:24 0.0033328 +5 *1596:23 0.00331991 +6 *1596:21 0.00284215 +7 *1596:19 0.0028774 +8 *1596:12 0.00296313 +9 *1596:10 0.00620884 +10 *1596:9 0.00790351 +11 *2472:mprj_adr_o_core[23] *2472:mprj_dat_o_core[22] 0 +12 *2472:mprj_adr_o_core[23] *1664:7 0 +13 *1596:9 *1664:17 0 +14 *1596:9 *1727:7 0 +15 *1596:9 *1727:23 0 +16 *1596:10 *1663:12 0.0010263 +17 *1596:10 *1663:14 0.0801136 +18 *1596:10 *1666:8 0.00020979 +19 *1596:10 *1727:24 0.0829211 +20 *1596:10 *1740:12 0.000144814 +21 *1596:10 *1742:30 0.000116596 +22 *1596:10 *1744:10 0.000102518 +23 *1596:10 *2186:24 0.00275653 +24 *1596:21 *1597:15 0.000448777 +25 *1596:21 *1597:39 0.00381391 +26 *1596:21 *1604:10 0.0295837 +27 *1596:21 *1666:8 9.97598e-05 +28 *1596:21 *1739:16 0 +29 *1596:24 *1597:42 0 +30 *611:13 *2472:mprj_adr_o_core[23] 0 +31 *1122:9 *2472:mprj_adr_o_core[23] 5.47232e-06 +32 *1595:10 *1596:10 0.000245724 +33 *1595:10 *1596:21 0.0394223 +34 *1595:13 *1596:24 0 +*RES +1 *2478:mprj_adr_o[23] *1596:9 40.1506 +2 *1596:9 *1596:10 880.879 +3 *1596:10 *1596:12 4.5 +4 *1596:12 *2472:mprj_adr_o_core[23] 53.8607 +5 *1596:9 *1596:19 0.988641 +6 *1596:19 *1596:21 416.121 +7 *1596:21 *1596:23 4.5 +8 *1596:23 *1596:24 78.446 +9 *1596:24 *2471:wb_adr_i[23] 0.366399 +*END + +*D_NET *1597 0.225434 +*CONN +*I *2471:wb_adr_i[24] I *D housekeeping +*I *2472:mprj_adr_o_core[24] I *D mgmt_protect +*I *2478:mprj_adr_o[24] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[24] 1.28869e-05 +2 *2472:mprj_adr_o_core[24] 0.000796628 +3 *2478:mprj_adr_o[24] 0.00156989 +4 *1597:42 0.00328993 +5 *1597:41 0.00327704 +6 *1597:39 0.00349017 +7 *1597:30 0.00144849 +8 *1597:29 0.00187589 +9 *1597:24 0.00207569 +10 *1597:22 0.000987966 +11 *1597:16 0.0128702 +12 *1597:15 0.013193 +13 *1597:9 0.00551922 +14 *2472:mprj_adr_o_core[24] *2472:mprj_dat_o_core[23] 0 +15 *2472:mprj_adr_o_core[24] *1665:7 0.000209958 +16 *1597:9 *1665:11 0 +17 *1597:9 *1728:7 0 +18 *1597:9 *1728:30 0 +19 *1597:15 *1664:17 0.000751398 +20 *1597:15 *1666:8 0.000453691 +21 *1597:15 *1728:7 0 +22 *1597:16 *1602:24 0.0005862 +23 *1597:16 *1604:24 0.058576 +24 *1597:16 *1605:24 0.0109635 +25 *1597:24 *1605:24 0.00121289 +26 *1597:29 *1662:13 0.000162209 +27 *1597:29 *1664:13 5.26316e-05 +28 *1597:29 *1728:15 1.02986e-05 +29 *1597:30 *1655:12 0.00760676 +30 *1597:30 *1657:8 0.00761096 +31 *1597:30 *1743:24 0.00039825 +32 *1597:39 *1598:12 0.000326398 +33 *1597:39 *1598:37 0.0165039 +34 *1597:39 *1604:10 0.0298428 +35 *1597:39 *1666:8 0.000672619 +36 *1597:42 *1598:40 0 +37 *870:15 *1597:29 7.09666e-06 +38 *874:10 *1597:24 0.00642016 +39 *878:10 *1597:24 0.00142889 +40 *879:10 *1597:16 0.00485917 +41 *879:12 *1597:22 9.95922e-06 +42 *879:14 *1597:16 1.67988e-05 +43 *879:14 *1597:22 0.000906101 +44 *879:14 *1597:24 1.41853e-05 +45 *1137:10 *1597:16 0.00733588 +46 *1137:10 *1597:22 0.000388082 +47 *1137:10 *1597:24 6.50727e-05 +48 *1137:16 *1597:24 0.0102139 +49 *1147:10 *1597:16 0.000692134 +50 *1265:15 *1597:29 0.00055459 +51 *1269:10 *1597:16 0.00175459 +52 *1269:10 *1597:24 0.000156918 +53 *1596:21 *1597:15 0.000448777 +54 *1596:21 *1597:39 0.00381391 +55 *1596:24 *1597:42 0 +*RES +1 *2478:mprj_adr_o[24] *1597:9 38.4652 +2 *1597:9 *1597:15 32.3348 +3 *1597:15 *1597:16 681.777 +4 *1597:16 *1597:22 10.7545 +5 *1597:22 *1597:24 108.316 +6 *1597:24 *1597:29 32.8404 +7 *1597:29 *1597:30 81.1409 +8 *1597:30 *2472:mprj_adr_o_core[24] 22.2337 +9 *1597:9 *1597:39 402.256 +10 *1597:39 *1597:41 4.5 +11 *1597:41 *1597:42 77.6155 +12 *1597:42 *2471:wb_adr_i[24] 0.366399 +*END + +*D_NET *1598 0.238611 +*CONN +*I *2471:wb_adr_i[25] I *D housekeeping +*I *2472:mprj_adr_o_core[25] I *D mgmt_protect +*I *2478:mprj_adr_o[25] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[25] 1.28869e-05 +2 *2472:mprj_adr_o_core[25] 0.00172492 +3 *2478:mprj_adr_o[25] 0.00161098 +4 *1598:40 0.00325391 +5 *1598:39 0.00324102 +6 *1598:37 0.00331838 +7 *1598:28 0.00301767 +8 *1598:26 0.001328 +9 *1598:24 0.00216312 +10 *1598:23 0.00264463 +11 *1598:18 0.00607051 +12 *1598:17 0.00618188 +13 *1598:12 0.00555749 +14 *2472:mprj_adr_o_core[25] *2472:mprj_dat_o_core[24] 0 +15 *2472:mprj_adr_o_core[25] *1666:5 0 +16 *1598:12 *2478:mprj_dat_i[25] 0 +17 *1598:12 *1666:8 0.00027329 +18 *1598:12 *1667:10 1.41853e-05 +19 *1598:12 *1729:9 0 +20 *1598:17 *2478:mprj_dat_i[25] 8.62625e-06 +21 *1598:18 *1600:24 0.00601968 +22 *1598:18 *1602:24 0.0630006 +23 *1598:18 *1655:18 0.000496273 +24 *1598:18 *1657:14 0.00108819 +25 *1598:18 *1662:20 0.038191 +26 *1598:24 *1602:30 0.000733508 +27 *1598:28 *1664:8 0.00283176 +28 *1598:37 *1599:10 0.00302706 +29 *1598:37 *1604:10 6.44576e-05 +30 *1598:37 *1605:10 0.0286278 +31 *1598:37 *1667:10 0.0013863 +32 *1598:40 *1599:13 0 +33 *873:10 *1598:24 0.000594511 +34 *873:10 *1598:28 0.00376841 +35 *876:10 *1598:24 0 +36 *879:10 *1598:18 0.000513755 +37 *1131:10 *1598:24 0.00014568 +38 *1135:12 *1598:18 0.000436811 +39 *1136:15 *2472:mprj_adr_o_core[25] 4.98393e-05 +40 *1144:10 *1598:18 0.0158046 +41 *1147:16 *1598:28 0.000778588 +42 *1250:7 *2472:mprj_adr_o_core[25] 0 +43 *1271:10 *1598:24 0.00118392 +44 *1582:16 *1598:24 0.000964633 +45 *1582:16 *1598:28 0.000163504 +46 *1589:16 *1598:18 7.55683e-05 +47 *1589:22 *1598:24 0.0110735 +48 *1589:22 *1598:28 0.000339155 +49 *1597:39 *1598:12 0.000326398 +50 *1597:39 *1598:37 0.0165039 +51 *1597:42 *1598:40 0 +*RES +1 *2478:mprj_adr_o[25] *1598:12 42.1251 +2 *1598:12 *1598:17 23.7048 +3 *1598:17 *1598:18 679.558 +4 *1598:18 *1598:23 17.8913 +5 *1598:23 *1598:24 133.551 +6 *1598:24 *1598:26 0.988641 +7 *1598:26 *1598:28 91.4011 +8 *1598:28 *2472:mprj_adr_o_core[25] 36.7675 +9 *1598:12 *1598:37 385.618 +10 *1598:37 *1598:39 4.5 +11 *1598:39 *1598:40 76.785 +12 *1598:40 *2471:wb_adr_i[25] 0.366399 +*END + +*D_NET *1599 0.311461 +*CONN +*I *2472:mprj_adr_o_core[26] I *D mgmt_protect +*I *2471:wb_adr_i[26] I *D housekeeping +*I *2478:mprj_adr_o[26] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[26] 0.0022023 +2 *2471:wb_adr_i[26] 1.28869e-05 +3 *2478:mprj_adr_o[26] 0.00152796 +4 *1599:24 0.0120692 +5 *1599:23 0.0105265 +6 *1599:13 0.00321103 +7 *1599:12 0.00319815 +8 *1599:10 0.00245725 +9 *1599:9 0.00245725 +10 *1599:7 0.00218752 +11 *2472:mprj_adr_o_core[26] *2472:mprj_dat_o_core[25] 0 +12 *2472:mprj_adr_o_core[26] *1667:7 0 +13 *1599:7 *2478:mprj_dat_i[26] 0 +14 *1599:10 *1600:10 0.0342924 +15 *1599:10 *1605:10 0.0288869 +16 *1599:10 *1667:10 0.000379505 +17 *1599:10 *1669:8 0.00022889 +18 *1599:10 *1731:24 0.00122278 +19 *1599:13 *1600:13 0 +20 *1599:24 *1600:24 0.0978947 +21 *1599:24 *1657:14 0.00333083 +22 *1599:24 *1662:20 0.00417434 +23 *887:10 *1599:24 0.00286007 +24 *890:10 *1599:24 0.000145207 +25 *1144:10 *1599:24 0.00331572 +26 *1147:15 *2472:mprj_adr_o_core[26] 6.02883e-05 +27 *1595:24 *1599:24 0.0917926 +28 *1598:37 *1599:10 0.00302706 +29 *1598:40 *1599:13 0 +*RES +1 *2478:mprj_adr_o[26] *1599:7 32.866 +2 *1599:7 *1599:9 4.5 +3 *1599:9 *1599:10 377.854 +4 *1599:10 *1599:12 4.5 +5 *1599:12 *1599:13 75.9545 +6 *1599:13 *2471:wb_adr_i[26] 0.366399 +7 *1599:7 *1599:23 19.1117 +8 *1599:23 *1599:24 125.264 +9 *1599:24 *2472:mprj_adr_o_core[26] 43.3185 +*END + +*D_NET *1600 0.321324 +*CONN +*I *2472:mprj_adr_o_core[27] I *D mgmt_protect +*I *2471:wb_adr_i[27] I *D housekeeping +*I *2478:mprj_adr_o[27] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[27] 0.00173708 +2 *2471:wb_adr_i[27] 1.28869e-05 +3 *2478:mprj_adr_o[27] 0.00132772 +4 *1600:24 0.0114847 +5 *1600:23 0.0102348 +6 *1600:13 0.0031896 +7 *1600:12 0.00317671 +8 *1600:10 0.00221168 +9 *1600:9 0.00221168 +10 *1600:7 0.00181485 +11 *2472:mprj_adr_o_core[27] *1668:7 0 +12 *2472:mprj_adr_o_core[27] *1731:27 0.00196231 +13 *1600:7 *1668:11 0.00071777 +14 *1600:7 *1731:7 0 +15 *1600:7 *1731:23 0 +16 *1600:10 *1601:19 0.000494521 +17 *1600:10 *1602:10 0.0319155 +18 *1600:10 *1605:10 0.000113197 +19 *1600:10 *1669:8 0.00153498 +20 *1600:13 *1601:22 0 +21 *1600:23 *1668:11 0.000403607 +22 *1600:24 *1602:24 0.00693877 +23 *1600:24 *1605:24 0.0970915 +24 *879:14 *1600:24 0.00173269 +25 *890:10 *1600:24 0.00115066 +26 *1135:12 *1600:24 0.000152397 +27 *1135:14 *1600:24 0.00140471 +28 *1137:16 *1600:24 0.00010238 +29 *1598:18 *1600:24 0.00601968 +30 *1599:10 *1600:10 0.0342924 +31 *1599:13 *1600:13 0 +32 *1599:24 *1600:24 0.0978947 +*RES +1 *2478:mprj_adr_o[27] *1600:7 33.5744 +2 *1600:7 *1600:9 4.5 +3 *1600:9 *1600:10 362.325 +4 *1600:10 *1600:12 4.5 +5 *1600:12 *1600:13 75.5393 +6 *1600:13 *2471:wb_adr_i[27] 0.366399 +7 *1600:7 *1600:23 18.6965 +8 *1600:23 *1600:24 126.947 +9 *1600:24 *2472:mprj_adr_o_core[27] 44.149 +*END + +*D_NET *1601 0.278265 +*CONN +*I *2471:wb_adr_i[28] I *D housekeeping +*I *2472:mprj_adr_o_core[28] I *D mgmt_protect +*I *2478:mprj_adr_o[28] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[28] 1.28869e-05 +2 *2472:mprj_adr_o_core[28] 0.00317045 +3 *2478:mprj_adr_o[28] 0.00129317 +4 *1601:22 0.00314673 +5 *1601:21 0.00313384 +6 *1601:19 0.0021363 +7 *1601:12 0.00317045 +8 *1601:10 0.00644586 +9 *1601:9 0.00987533 +10 *2472:mprj_adr_o_core[28] *2472:mprj_dat_o_core[27] 0 +11 *2472:mprj_adr_o_core[28] *1669:5 0 +12 *1601:9 *2478:mprj_dat_i[28] 0.000697318 +13 *1601:9 *1732:24 0 +14 *1601:10 *1652:12 7.46601e-05 +15 *1601:10 *1669:8 0.0877024 +16 *1601:10 *1716:10 0.00359011 +17 *1601:10 *1731:24 8.41339e-05 +18 *1601:10 *1733:10 0.0874858 +19 *1601:19 *1602:10 0.0321746 +20 *1601:19 *1669:8 0.000113968 +21 *1601:19 *1733:10 0.000783723 +22 *1601:19 *1733:19 0.032593 +23 *1601:22 *1602:13 0 +24 *867:9 *2472:mprj_adr_o_core[28] 8.5712e-05 +25 *1600:10 *1601:19 0.000494521 +26 *1600:13 *1601:22 0 +*RES +1 *2478:mprj_adr_o[28] *1601:9 37.2439 +2 *1601:9 *1601:10 928.575 +3 *1601:10 *1601:12 4.5 +4 *1601:12 *2472:mprj_adr_o_core[28] 56.7674 +5 *1601:9 *1601:19 350.124 +6 *1601:19 *1601:21 4.5 +7 *1601:21 *1601:22 74.7088 +8 *1601:22 *2471:wb_adr_i[28] 0.366399 +*END + +*D_NET *1602 0.265284 +*CONN +*I *2472:mprj_adr_o_core[29] I *D mgmt_protect +*I *2471:wb_adr_i[29] I *D housekeeping +*I *2478:mprj_adr_o[29] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[29] 0.00163239 +2 *2471:wb_adr_i[29] 1.28869e-05 +3 *2478:mprj_adr_o[29] 0.00147006 +4 *1602:30 0.0038872 +5 *1602:29 0.00282804 +6 *1602:24 0.00607205 +7 *1602:23 0.00615351 +8 *1602:13 0.00316474 +9 *1602:12 0.00315185 +10 *1602:10 0.00208655 +11 *1602:9 0.00208655 +12 *1602:7 0.00212475 +13 *2472:mprj_adr_o_core[29] *2472:mprj_dat_o_core[28] 0 +14 *2472:mprj_adr_o_core[29] *1670:7 0 +15 *1602:7 *1670:11 0 +16 *1602:7 *1733:9 0 +17 *1602:10 *1605:10 0.000135103 +18 *1602:10 *1733:19 0.000113197 +19 *1602:13 *1604:13 0 +20 *1602:23 *2411:14 0 +21 *1602:24 *1604:24 0.066805 +22 *1602:24 *1605:24 0.000228912 +23 *1602:30 *1604:30 0.00890252 +24 *1602:30 *1713:10 0.00129656 +25 *879:10 *1602:24 0.000277488 +26 *1143:15 *2472:mprj_adr_o_core[29] 2.95757e-05 +27 *1143:16 *1602:30 6.08467e-05 +28 *1257:15 *2472:mprj_adr_o_core[29] 6.9233e-05 +29 *1265:16 *1602:30 0.000134848 +30 *1271:10 *1602:30 0 +31 *1589:22 *1602:30 0.0172104 +32 *1597:16 *1602:24 0.0005862 +33 *1598:18 *1602:24 0.0630006 +34 *1598:24 *1602:30 0.000733508 +35 *1600:10 *1602:10 0.0319155 +36 *1600:24 *1602:24 0.00693877 +37 *1601:19 *1602:10 0.0321746 +38 *1601:22 *1602:13 0 +*RES +1 *2478:mprj_adr_o[29] *1602:7 31.4737 +2 *1602:7 *1602:9 4.5 +3 *1602:9 *1602:10 340.141 +4 *1602:10 *1602:12 4.5 +5 *1602:12 *1602:13 75.124 +6 *1602:13 *2471:wb_adr_i[29] 0.366399 +7 *1602:7 *1602:23 20.4506 +8 *1602:23 *1602:24 719.49 +9 *1602:24 *1602:29 19.137 +10 *1602:29 *1602:30 215.355 +11 *1602:30 *2472:mprj_adr_o_core[29] 35.1065 +*END + +*D_NET *1603 0.289569 +*CONN +*I *2471:wb_adr_i[2] I *D housekeeping +*I *2472:mprj_adr_o_core[2] I *D mgmt_protect +*I *2478:mprj_adr_o[2] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[2] 1.28869e-05 +2 *2472:mprj_adr_o_core[2] 0.00281483 +3 *2478:mprj_adr_o[2] 0.00101343 +4 *1603:25 0.0035851 +5 *1603:24 0.00357221 +6 *1603:22 0.00520174 +7 *1603:21 0.00596138 +8 *1603:12 0.00281483 +9 *1603:10 0.00525662 +10 *1603:9 0.00525662 +11 *1603:7 0.00177307 +12 *2472:mprj_adr_o_core[2] *2472:mprj_dat_o_core[2] 0 +13 *2472:mprj_adr_o_core[2] *2472:mprj_sel_o_core[1] 0 +14 *2472:mprj_adr_o_core[2] *1671:7 0 +15 *1603:7 *1671:11 0 +16 *1603:10 *1606:10 7.24449e-05 +17 *1603:10 *1674:8 0.0646009 +18 *1603:10 *1722:10 0.000139836 +19 *1603:21 *1671:11 0 +20 *1603:22 *1606:25 0.0620738 +21 *1603:22 *1659:8 0.00139457 +22 *1603:22 *1739:16 0.0595971 +23 *1603:25 *1606:28 0 +24 *2471:wb_adr_i[1] *1603:25 0 +25 *607:13 *2472:mprj_adr_o_core[2] 0 +26 *729:11 *2472:mprj_adr_o_core[2] 0.000838174 +27 *1241:9 *2472:mprj_adr_o_core[2] 0 +28 *1369:10 *1603:10 3.58963e-05 +29 *1371:12 *1603:10 0.000114491 +30 *1592:24 *1603:10 0.063439 +*RES +1 *2478:mprj_adr_o[2] *1603:7 24.8296 +2 *1603:7 *1603:9 4.5 +3 *1603:9 *1603:10 693.423 +4 *1603:10 *1603:12 4.5 +5 *1603:12 *2472:mprj_adr_o_core[2] 62.9962 +6 *1603:7 *1603:21 17.5438 +7 *1603:21 *1603:22 684.55 +8 *1603:22 *1603:24 4.5 +9 *1603:24 *1603:25 81.3528 +10 *1603:25 *2471:wb_adr_i[2] 0.366399 +*END + +*D_NET *1604 0.251196 +*CONN +*I *2472:mprj_adr_o_core[30] I *D mgmt_protect +*I *2471:wb_adr_i[30] I *D housekeeping +*I *2478:mprj_adr_o[30] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[30] 0.00164397 +2 *2471:wb_adr_i[30] 1.28869e-05 +3 *2478:mprj_adr_o[30] 0.00174507 +4 *1604:30 0.00567088 +5 *1604:29 0.00465371 +6 *1604:24 0.00699577 +7 *1604:23 0.00686731 +8 *1604:13 0.00327277 +9 *1604:12 0.00325988 +10 *1604:10 0.00233429 +11 *1604:9 0.00233429 +12 *1604:7 0.00224341 +13 *2472:mprj_adr_o_core[30] *2472:mprj_dat_o_core[29] 0 +14 *2472:mprj_adr_o_core[30] *1672:5 0 +15 *1604:7 *2478:mprj_dat_i[30] 0 +16 *1604:7 *1734:7 0 +17 *1604:7 *1734:24 1.77537e-06 +18 *1604:10 *1605:10 0.000246253 +19 *1604:10 *1739:16 0 +20 *1604:13 *1605:13 0 +21 *1604:23 *1734:7 0 +22 *1604:24 *1605:24 0.0072481 +23 *1604:30 *1713:10 0.00177768 +24 *624:11 *1604:29 0 +25 *1265:16 *1604:30 0.00409855 +26 *1268:16 *1604:30 0.00136434 +27 *1271:10 *1604:30 0 +28 *1274:16 *1604:30 0.00165041 +29 *1275:20 *1604:30 0 +30 *1596:21 *1604:10 0.0295837 +31 *1597:16 *1604:24 0.058576 +32 *1597:39 *1604:10 0.0298428 +33 *1598:37 *1604:10 6.44576e-05 +34 *1602:13 *1604:13 0 +35 *1602:24 *1604:24 0.066805 +36 *1602:30 *1604:30 0.00890252 +*RES +1 *2478:mprj_adr_o[30] *1604:7 36.0659 +2 *1604:7 *1604:9 4.5 +3 *1604:9 *1604:10 329.049 +4 *1604:10 *1604:12 4.5 +5 *1604:12 *1604:13 78.0308 +6 *1604:13 *2471:wb_adr_i[30] 0.366399 +7 *1604:7 *1604:23 17.1286 +8 *1604:23 *1604:24 716.717 +9 *1604:24 *1604:29 20.3828 +10 *1604:29 *1604:30 225.892 +11 *1604:30 *2472:mprj_adr_o_core[30] 34.276 +*END + +*D_NET *1605 0.27998 +*CONN +*I *2472:mprj_adr_o_core[31] I *D mgmt_protect +*I *2471:wb_adr_i[31] I *D housekeeping +*I *2478:mprj_adr_o[31] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[31] 0.00234614 +2 *2471:wb_adr_i[31] 1.28869e-05 +3 *2478:mprj_adr_o[31] 0.00166943 +4 *1605:24 0.0214408 +5 *1605:23 0.019647 +6 *1605:13 0.00320513 +7 *1605:12 0.00319224 +8 *1605:10 0.00212403 +9 *1605:9 0.00212403 +10 *1605:7 0.00222178 +11 *2472:mprj_adr_o_core[31] *2472:mprj_dat_o_core[30] 0 +12 *2472:mprj_adr_o_core[31] *1673:7 0 +13 *1605:7 *1673:11 0 +14 *1605:7 *1736:9 0 +15 *1605:10 *1733:19 3.18408e-05 +16 *1605:13 *2471:wb_dat_i[0] 0 +17 *1605:23 *1673:11 0 +18 *1605:24 *1647:10 0 +19 *870:16 *1605:24 0.000409538 +20 *879:10 *1605:24 0.000195504 +21 *890:10 *1605:24 0.0442856 +22 *891:10 *1605:24 0.000915019 +23 *1137:16 *1605:24 0.00140471 +24 *1597:16 *1605:24 0.0109635 +25 *1597:24 *1605:24 0.00121289 +26 *1598:37 *1605:10 0.0286278 +27 *1599:10 *1605:10 0.0288869 +28 *1600:10 *1605:10 0.000113197 +29 *1600:24 *1605:24 0.0970915 +30 *1602:10 *1605:10 0.000135103 +31 *1602:24 *1605:24 0.000228912 +32 *1604:10 *1605:10 0.000246253 +33 *1604:13 *1605:13 0 +34 *1604:24 *1605:24 0.0072481 +*RES +1 *2478:mprj_adr_o[31] *1605:7 33.8431 +2 *1605:7 *1605:9 4.5 +3 *1605:9 *1605:10 316.293 +4 *1605:10 *1605:12 4.5 +5 *1605:12 *1605:13 76.3698 +6 *1605:13 *2471:wb_adr_i[31] 0.366399 +7 *1605:7 *1605:23 17.0355 +8 *1605:23 *1605:24 131.231 +9 *1605:24 *2472:mprj_adr_o_core[31] 44.9795 +*END + +*D_NET *1606 0.266927 +*CONN +*I *2471:wb_adr_i[3] I *D housekeeping +*I *2472:mprj_adr_o_core[3] I *D mgmt_protect +*I *2478:mprj_adr_o[3] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[3] 1.28869e-05 +2 *2472:mprj_adr_o_core[3] 0.00278551 +3 *2478:mprj_adr_o[3] 0.00105849 +4 *1606:28 0.00356125 +5 *1606:27 0.00354837 +6 *1606:25 0.00675734 +7 *1606:24 0.00769382 +8 *1606:12 0.00278551 +9 *1606:10 0.00525833 +10 *1606:9 0.0072533 +11 *2472:mprj_adr_o_core[3] *2472:mprj_sel_o_core[2] 0 +12 *2472:mprj_adr_o_core[3] *1674:5 0.000754523 +13 *1606:9 *2478:mprj_dat_i[3] 0 +14 *1606:9 *2185:25 0 +15 *1606:10 *1607:10 0.054765 +16 *1606:10 *1607:16 0 +17 *1606:10 *1609:19 0.00303273 +18 *1606:10 *1674:8 0.0664828 +19 *1606:24 *1607:10 0.00122278 +20 *1606:24 *1674:8 0.000259093 +21 *1606:24 *1722:10 0 +22 *1606:24 *1738:9 0 +23 *1606:24 *2186:23 0 +24 *1606:25 *1659:8 0.0226635 +25 *1606:25 *1739:16 0.000113197 +26 *1606:28 *2471:wb_adr_i[4] 0 +27 *1606:28 *1608:13 0 +28 *606:14 *1606:10 0.00420899 +29 *1247:10 *1606:10 0 +30 *1369:9 *2472:mprj_adr_o_core[3] 0 +31 *1371:12 *1606:10 0.000127812 +32 *1590:27 *1606:25 0.0104359 +33 *1603:10 *1606:10 7.24449e-05 +34 *1603:22 *1606:25 0.0620738 +35 *1603:25 *1606:28 0 +*RES +1 *2478:mprj_adr_o[3] *1606:9 30.1601 +2 *1606:9 *1606:10 704.515 +3 *1606:10 *1606:12 4.5 +4 *1606:12 *2472:mprj_adr_o_core[3] 62.1657 +5 *1606:9 *1606:24 33.7227 +6 *1606:24 *1606:25 654.601 +7 *1606:25 *1606:27 4.5 +8 *1606:27 *1606:28 80.9375 +9 *1606:28 *2471:wb_adr_i[3] 0.366399 +*END + +*D_NET *1607 0.282826 +*CONN +*I *2471:wb_adr_i[4] I *D housekeeping +*I *2472:mprj_adr_o_core[4] I *D mgmt_protect +*I *2478:mprj_adr_o[4] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[4] 0.00141188 +2 *2472:mprj_adr_o_core[4] 0.00242703 +3 *2478:mprj_adr_o[4] 0.00138232 +4 *1607:35 0.00486747 +5 *1607:33 0.00352007 +6 *1607:31 0.00153403 +7 *1607:30 0.0015886 +8 *1607:18 0.00242703 +9 *1607:16 0.00159319 +10 *1607:15 0.00177511 +11 *1607:10 0.00440137 +12 *1607:9 0.00548273 +13 *2471:wb_adr_i[4] *1608:13 0 +14 *2472:mprj_adr_o_core[4] *2472:mprj_sel_o_core[3] 0 +15 *2472:mprj_adr_o_core[4] *1675:7 0 +16 *1607:9 *1675:11 0 +17 *1607:9 *1713:31 2.84204e-05 +18 *1607:9 *2186:7 0.000591946 +19 *1607:9 *2186:23 8.3205e-05 +20 *1607:10 *1609:19 0.056494 +21 *1607:10 *1722:10 0 +22 *1607:10 *1735:10 0.000139878 +23 *1607:16 *1611:10 0.000147439 +24 *1607:16 *1650:8 0.00114063 +25 *1607:16 *1652:8 3.61993e-05 +26 *1607:16 *1654:10 0.00466341 +27 *1607:16 *1676:8 0 +28 *1607:16 *1678:8 2.53589e-05 +29 *1607:16 *1680:8 0.000159317 +30 *1607:16 *2195:10 0.000369269 +31 *1607:31 *1609:33 0.00657794 +32 *1607:31 *1738:31 0.000607553 +33 *1607:31 *1740:29 0.00277355 +34 *1607:31 *1740:31 0 +35 *1607:31 *1742:7 0 +36 *1607:31 *1744:33 5.80259e-05 +37 *1607:35 *1609:33 0.00020924 +38 *1607:35 *1716:27 0.0494227 +39 *1607:35 *1744:33 0.0524965 +40 *99:10 *1607:35 0.000510617 +41 *100:77 *1607:31 0.00130552 +42 *480:17 *1607:31 0.0011778 +43 *480:17 *1607:35 0.00485587 +44 *606:11 *2472:mprj_adr_o_core[4] 0.00191532 +45 *606:14 *1607:16 0 +46 *865:9 *1607:15 3.479e-05 +47 *865:10 *1607:16 0.0019047 +48 *1122:9 *1607:15 0 +49 *1242:9 *2472:mprj_adr_o_core[4] 6.22114e-05 +50 *1247:10 *1607:16 0.00663637 +51 *1606:10 *1607:10 0.054765 +52 *1606:10 *1607:16 0 +53 *1606:24 *1607:10 0.00122278 +54 *1606:28 *2471:wb_adr_i[4] 0 +*RES +1 *2478:mprj_adr_o[4] *1607:9 38.0744 +2 *1607:9 *1607:10 596.922 +3 *1607:10 *1607:15 12.493 +4 *1607:15 *1607:16 117.745 +5 *1607:16 *1607:18 4.5 +6 *1607:18 *2472:mprj_adr_o_core[4] 58.4284 +7 *2478:mprj_adr_o[4] *1607:30 6.93045 +8 *1607:30 *1607:31 99.4428 +9 *1607:31 *1607:33 1.80849 +10 *1607:33 *1607:35 548.672 +11 *1607:35 *2471:wb_adr_i[4] 41.7872 +*END + +*D_NET *1608 0.265042 +*CONN +*I *2472:mprj_adr_o_core[5] I *D mgmt_protect +*I *2471:wb_adr_i[5] I *D housekeeping +*I *2478:mprj_adr_o[5] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[5] 0.00120807 +2 *2471:wb_adr_i[5] 1.28869e-05 +3 *2478:mprj_adr_o[5] 0.000997981 +4 *1608:30 0.00574489 +5 *1608:29 0.00504333 +6 *1608:24 0.00461302 +7 *1608:23 0.00553067 +8 *1608:13 0.00289278 +9 *1608:12 0.00287989 +10 *1608:10 0.00551429 +11 *1608:9 0.00551429 +12 *1608:7 0.00242215 +13 *2472:mprj_adr_o_core[5] *2472:mprj_dat_o_core[4] 0 +14 *2472:mprj_adr_o_core[5] *1676:5 0 +15 *1608:7 *2478:mprj_dat_i[5] 0 +16 *1608:7 *1739:12 0 +17 *1608:10 *1609:19 0 +18 *1608:10 *1610:10 0.00407263 +19 *1608:10 *1678:8 0 +20 *1608:10 *1714:15 0.000142393 +21 *1608:10 *1714:27 0.000605222 +22 *1608:10 *1715:10 0.0509566 +23 *1608:10 *1715:26 0.000596133 +24 *1608:10 *1717:10 0.0480744 +25 *1608:10 *1722:10 0.00203045 +26 *1608:10 *1735:10 0.00413509 +27 *1608:10 *1739:12 2.2836e-05 +28 *1608:13 *2471:wb_adr_i[6] 0 +29 *1608:13 *1610:13 0 +30 *1608:23 *2478:mprj_dat_i[5] 0 +31 *1608:23 *1739:12 0 +32 *1608:23 *1739:13 0 +33 *1608:23 *1739:29 0 +34 *1608:24 *1649:14 0.0153927 +35 *1608:24 *1653:16 0.00397241 +36 *1608:24 *1660:14 0.00950683 +37 *1608:30 *1610:30 0.0384626 +38 *1608:30 *1735:24 0.00456436 +39 *2471:wb_adr_i[4] *1608:13 0 +40 *626:11 *1608:29 0 +41 *882:12 *1608:24 0.00137341 +42 *885:10 *1608:24 0.0015295 +43 *1252:10 *1608:30 0.000520301 +44 *1275:10 *1608:24 0.00246032 +45 *1275:14 *1608:24 0.00142453 +46 *1275:20 *1608:30 0.0299719 +47 *1586:24 *1608:24 0.00285289 +48 *1606:28 *1608:13 0 +*RES +1 *2478:mprj_adr_o[5] *1608:7 23.9991 +2 *1608:7 *1608:9 4.5 +3 *1608:9 *1608:10 637.963 +4 *1608:10 *1608:12 4.5 +5 *1608:12 *1608:13 67.6495 +6 *1608:13 *2471:wb_adr_i[5] 0.366399 +7 *1608:7 *1608:23 32.4929 +8 *1608:23 *1608:24 305.201 +9 *1608:24 *1608:29 19.9675 +10 *1608:29 *1608:30 419.449 +11 *1608:30 *2472:mprj_adr_o_core[5] 29.7083 +*END + +*D_NET *1609 0.28635 +*CONN +*I *2471:wb_adr_i[6] I *D housekeeping +*I *2472:mprj_adr_o_core[6] I *D mgmt_protect +*I *2478:mprj_adr_o[6] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[6] 0.0014479 +2 *2472:mprj_adr_o_core[6] 0.00295234 +3 *2478:mprj_adr_o[6] 2.00393e-05 +4 *1609:37 0.00442062 +5 *1609:35 0.0030161 +6 *1609:33 0.00102954 +7 *1609:32 0.00114229 +8 *1609:21 0.00295234 +9 *1609:19 0.00574112 +10 *1609:18 0.00716474 +11 *1609:5 0.00159979 +12 *2471:wb_adr_i[6] *1610:13 0 +13 *2472:mprj_adr_o_core[6] *2472:mprj_dat_o_core[5] 0 +14 *2472:mprj_adr_o_core[6] *1676:5 0 +15 *2472:mprj_adr_o_core[6] *1677:7 0 +16 *1609:18 *1677:11 0 +17 *1609:18 *1740:11 0 +18 *1609:18 *1740:29 0 +19 *1609:19 *1676:8 0.0682756 +20 *1609:19 *1678:8 0.000508612 +21 *1609:19 *1722:10 0 +22 *1609:19 *1735:10 0.000246453 +23 *1609:19 *1739:12 0.00063214 +24 *1609:19 *2195:10 0.000419621 +25 *1609:33 *1716:27 1.00937e-05 +26 *1609:35 *1716:25 3.65965e-05 +27 *1609:37 *1611:33 0.0010746 +28 *1609:37 *1611:35 0.0474779 +29 *1609:37 *1716:27 0.0498943 +30 *99:10 *1609:37 0.000424011 +31 *100:77 *1609:33 0.00970483 +32 *100:77 *1609:37 7.02172e-06 +33 *480:17 *1609:33 0.00134687 +34 *480:17 *1609:37 0.00507928 +35 *606:14 *1609:19 0.00304707 +36 *866:15 *2472:mprj_adr_o_core[6] 0.000309256 +37 *986:9 *2472:mprj_adr_o_core[6] 5.55772e-05 +38 *1606:10 *1609:19 0.00303273 +39 *1607:10 *1609:19 0.056494 +40 *1607:31 *1609:33 0.00657794 +41 *1607:35 *1609:33 0.00020924 +42 *1608:10 *1609:19 0 +43 *1608:13 *2471:wb_adr_i[6] 0 +*RES +1 *2478:mprj_adr_o[6] *1609:5 0.366399 +2 *1609:5 *1609:18 37.5126 +3 *1609:18 *1609:19 733.909 +4 *1609:19 *1609:21 4.5 +5 *1609:21 *2472:mprj_adr_o_core[6] 61.3352 +6 *1609:5 *1609:32 7.15029 +7 *1609:32 *1609:33 101.661 +8 *1609:33 *1609:35 1.39857 +9 *1609:35 *1609:37 521.496 +10 *1609:37 *2471:wb_adr_i[6] 42.6177 +*END + +*D_NET *1610 0.284047 +*CONN +*I *2472:mprj_adr_o_core[7] I *D mgmt_protect +*I *2471:wb_adr_i[7] I *D housekeeping +*I *2478:mprj_adr_o[7] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[7] 0.00120165 +2 *2471:wb_adr_i[7] 1.28869e-05 +3 *2478:mprj_adr_o[7] 0.00111828 +4 *1610:30 0.00425553 +5 *1610:29 0.00358295 +6 *1610:24 0.00279449 +7 *1610:23 0.00356162 +8 *1610:13 0.00293509 +9 *1610:12 0.0029222 +10 *1610:10 0.00547491 +11 *1610:9 0.00547491 +12 *1610:7 0.00241448 +13 *2472:mprj_adr_o_core[7] *2472:mprj_dat_o_core[6] 0 +14 *2472:mprj_adr_o_core[7] *1677:7 0 +15 *2472:mprj_adr_o_core[7] *1678:5 0 +16 *1610:7 *2478:mprj_dat_i[7] 0 +17 *1610:7 *1741:7 0 +18 *1610:10 *1611:10 0 +19 *1610:10 *1612:10 0.00244771 +20 *1610:10 *1678:8 0 +21 *1610:10 *1680:8 0 +22 *1610:10 *1715:26 0.000591935 +23 *1610:10 *1717:10 0.0486055 +24 *1610:10 *1719:10 0.0457232 +25 *1610:10 *1741:10 0.00443437 +26 *1610:13 *2471:wb_adr_i[8] 0 +27 *1610:13 *1612:13 0 +28 *1610:23 *2478:mprj_dat_i[7] 0 +29 *1610:23 *1741:21 0 +30 *1610:24 *1612:24 0.0015295 +31 *1610:24 *1649:14 0.001368 +32 *1610:24 *1651:14 0.0249536 +33 *1610:24 *1653:16 0.0234707 +34 *1610:30 *1673:8 0.00334468 +35 *1610:30 *1732:10 0.000281315 +36 *1610:30 *1737:16 0.0243318 +37 *2471:wb_adr_i[6] *1610:13 0 +38 *626:11 *1610:29 0 +39 *884:10 *1610:24 0.0026351 +40 *885:10 *1610:24 0.00448322 +41 *1252:10 *1610:30 0.0142046 +42 *1266:7 *1610:29 0.000173308 +43 *1588:24 *1610:24 0.00318468 +44 *1608:10 *1610:10 0.00407263 +45 *1608:13 *1610:13 0 +46 *1608:30 *1610:30 0.0384626 +*RES +1 *2478:mprj_adr_o[7] *1610:7 26.5151 +2 *1610:7 *1610:9 4.5 +3 *1610:9 *1610:10 614.67 +4 *1610:10 *1610:12 4.5 +5 *1610:12 *1610:13 68.48 +6 *1610:13 *2471:wb_adr_i[7] 0.366399 +7 *1610:7 *1610:23 30.4166 +8 *1610:23 *1610:24 326.276 +9 *1610:24 *1610:29 21.6286 +10 *1610:29 *1610:30 414.458 +11 *1610:30 *2472:mprj_adr_o_core[7] 29.293 +*END + +*D_NET *1611 0.283249 +*CONN +*I *2471:wb_adr_i[8] I *D housekeeping +*I *2472:mprj_adr_o_core[8] I *D mgmt_protect +*I *2478:mprj_adr_o[8] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[8] 0.00146248 +2 *2472:mprj_adr_o_core[8] 0.00275439 +3 *2478:mprj_adr_o[8] 0.00137118 +4 *1611:35 0.00432453 +5 *1611:33 0.00339762 +6 *1611:25 0.00219542 +7 *1611:24 0.00177342 +8 *1611:12 0.00275439 +9 *1611:10 0.00543752 +10 *1611:9 0.00669514 +11 *2471:wb_adr_i[8] *1612:13 0 +12 *2472:mprj_adr_o_core[8] *2472:mprj_dat_o_core[7] 0 +13 *2472:mprj_adr_o_core[8] *1679:7 0.000269015 +14 *1611:9 *1679:11 0 +15 *1611:10 *1678:8 0.0699197 +16 *1611:10 *1680:8 0.0701852 +17 *1611:10 *1718:10 0.000383594 +18 *1611:10 *2195:10 0.0018508 +19 *1611:25 *1744:31 0.000432613 +20 *1611:25 *2193:11 0.002931 +21 *1611:33 *1716:9 0 +22 *1611:35 *1716:27 0.000226394 +23 *1611:35 *1718:25 0.0476573 +24 *99:10 *1611:35 0.000390675 +25 *100:77 *1611:33 0.00107703 +26 *100:77 *1611:35 8.75392e-05 +27 *113:17 *1611:25 0.000937295 +28 *480:17 *1611:33 0.000319727 +29 *480:17 *1611:35 0.0051791 +30 *731:11 *2472:mprj_adr_o_core[8] 0.000418286 +31 *1248:10 *1611:10 0.000118134 +32 *1573:10 *1611:25 0 +33 *1607:16 *1611:10 0.000147439 +34 *1609:37 *1611:33 0.0010746 +35 *1609:37 *1611:35 0.0474779 +36 *1610:10 *1611:10 0 +37 *1610:13 *2471:wb_adr_i[8] 0 +*RES +1 *2478:mprj_adr_o[8] *1611:9 34.4592 +2 *1611:9 *1611:10 749.993 +3 *1611:10 *1611:12 4.5 +4 *1611:12 *2472:mprj_adr_o_core[8] 60.0894 +5 *2478:mprj_adr_o[8] *1611:24 6.93045 +6 *1611:24 *1611:25 72.2673 +7 *1611:25 *1611:33 38.7509 +8 *1611:33 *1611:35 501.808 +9 *1611:35 *2471:wb_adr_i[8] 43.033 +*END + +*D_NET *1612 0.278618 +*CONN +*I *2472:mprj_adr_o_core[9] I *D mgmt_protect +*I *2471:wb_adr_i[9] I *D housekeeping +*I *2478:mprj_adr_o[9] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[9] 0.000822238 +2 *2471:wb_adr_i[9] 1.28869e-05 +3 *2478:mprj_adr_o[9] 0.000829011 +4 *1612:36 0.00182195 +5 *1612:35 0.00108193 +6 *1612:30 0.00235844 +7 *1612:29 0.00349974 +8 *1612:24 0.00343304 +9 *1612:23 0.00306922 +10 *1612:13 0.00298603 +11 *1612:12 0.00297314 +12 *1612:10 0.0063895 +13 *1612:9 0.0063895 +14 *1612:7 0.0016887 +15 *2472:mprj_adr_o_core[9] *2472:mprj_dat_o_core[8] 0 +16 *2472:mprj_adr_o_core[9] *1680:5 0 +17 *1612:7 *2478:mprj_dat_i[9] 0 +18 *1612:10 *2478:irq[0] 0.000324592 +19 *1612:10 *1650:8 0 +20 *1612:10 *1654:10 0 +21 *1612:10 *1680:8 1.41317e-05 +22 *1612:10 *1719:10 0.00122553 +23 *1612:10 *1721:10 0.0435076 +24 *1612:10 *1723:12 0.00106121 +25 *1612:10 *1723:25 0.040507 +26 *1612:10 *1736:10 0 +27 *1612:10 *1741:10 0.00126156 +28 *1612:24 *1651:14 0.0280718 +29 *1612:30 *1653:10 0.032419 +30 *1612:30 *1741:24 0.00333812 +31 *1612:35 *1663:7 9.98011e-06 +32 *1612:36 *1649:8 0.000322019 +33 *1612:36 *1651:8 0.00661635 +34 *1612:36 *1655:8 0.00418477 +35 *2471:wb_adr_i[10] *1612:13 0 +36 *2471:wb_adr_i[8] *1612:13 0 +37 *2472:mprj_adr_o_core[10] *1612:36 0.000339591 +38 *2472:mprj_adr_o_core[22] *1612:35 0 +39 *610:8 *1612:36 0.00254977 +40 *884:7 *1612:29 3.60933e-06 +41 *884:10 *1612:24 0.000167076 +42 *1581:24 *1612:36 0 +43 *1582:13 *1612:7 0.00119747 +44 *1582:13 *1612:23 0.00125403 +45 *1587:10 *1612:10 0.00147241 +46 *1589:16 *1612:24 0.0316508 +47 *1590:18 *1612:30 0.032419 +48 *1591:28 *1612:24 0.00336822 +49 *1610:10 *1612:10 0.00244771 +50 *1610:13 *1612:13 0 +51 *1610:24 *1612:24 0.0015295 +*RES +1 *2478:mprj_adr_o[9] *1612:7 26.0754 +2 *1612:7 *1612:9 4.5 +3 *1612:9 *1612:10 587.494 +4 *1612:10 *1612:12 4.5 +5 *1612:12 *1612:13 69.7257 +6 *1612:13 *2471:wb_adr_i[9] 0.366399 +7 *1612:7 *1612:23 28.3404 +8 *1612:23 *1612:24 332.931 +9 *1612:24 *1612:29 31.1794 +10 *1612:29 *1612:30 341.805 +11 *1612:30 *1612:35 10.4167 +12 *1612:35 *1612:36 86.1323 +13 *1612:36 *2472:mprj_adr_o_core[9] 21.8185 +*END + +*D_NET *1613 0.128188 +*CONN +*I *2473:wbs_adr_i[0] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[0] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[0] 0.00202471 +2 *2472:mprj_adr_o_user[0] 0.00130455 +3 *1613:10 0.00202471 +4 *1613:8 0.00607449 +5 *1613:7 0.00737905 +6 *1613:7 *2472:mprj_dat_i_user[0] 0 +7 *1613:7 *2196:7 0 +8 *1613:8 *1681:8 0.0689307 +9 *1613:8 *1758:8 0.000106589 +10 *1613:8 *2182:8 0.0312624 +11 *1613:8 *2187:8 0.00869571 +12 *1373:8 *1613:8 0.000385391 +*RES +1 *2472:mprj_adr_o_user[0] *1613:7 34.6913 +2 *1613:7 *1613:8 736.128 +3 *1613:8 *1613:10 4.5 +4 *1613:10 *2473:wbs_adr_i[0] 53.8607 +*END + +*D_NET *1614 0.130539 +*CONN +*I *2473:wbs_adr_i[10] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[10] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[10] 0.00208803 +2 *2472:mprj_adr_o_user[10] 0.0014488 +3 *1614:10 0.00208803 +4 *1614:8 0.00383392 +5 *1614:7 0.00528273 +6 *1614:7 *2472:mprj_dat_i_user[10] 0 +7 *1614:7 *1776:7 0 +8 *1614:8 *1644:8 0.0549021 +9 *1614:8 *1746:8 0.0549294 +10 *1614:8 *2189:8 0.00558952 +11 *1072:5 *1614:7 7.65861e-05 +12 *1445:8 *1614:8 0.00030032 +*RES +1 *2472:mprj_adr_o_user[10] *1614:7 38.0133 +2 *1614:7 *1614:8 584.721 +3 *1614:8 *1614:10 4.5 +4 *1614:10 *2473:wbs_adr_i[10] 50.5386 +*END + +*D_NET *1615 0.0854113 +*CONN +*I *2473:wbs_adr_i[11] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[11] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[11] 0.00297764 +2 *2472:mprj_adr_o_user[11] 0.000487132 +3 *1615:10 0.00297764 +4 *1615:8 0.0139231 +5 *1615:7 0.0144103 +6 *1615:7 *2472:mprj_dat_i_user[11] 0 +7 *1615:7 *1746:7 0 +8 *469:25 *1615:8 0.00645101 +9 *1580:8 *1615:8 0.0441844 +*RES +1 *2472:mprj_adr_o_user[11] *1615:7 17.2507 +2 *1615:7 *1615:8 571.965 +3 *1615:8 *1615:10 4.5 +4 *1615:10 *2473:wbs_adr_i[11] 71.3012 +*END + +*D_NET *1616 0.103049 +*CONN +*I *2473:wbs_adr_i[12] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[12] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[12] 0.00192783 +2 *2472:mprj_adr_o_user[12] 0.00165641 +3 *1616:8 0.0155514 +4 *1616:7 0.01528 +5 *1616:7 *2472:mprj_dat_i_user[12] 0 +6 *1616:7 *1747:7 0 +7 *1616:8 *1633:14 0.000287711 +8 *1616:8 *1684:8 0.00712931 +9 *1616:8 *1687:10 0.00035954 +10 *1616:8 *1691:8 0.00275392 +11 *1616:8 *1747:8 0 +12 *1616:8 *1754:16 0.000199062 +13 *1616:8 *1775:8 0.0577811 +14 *2473:la_data_in[6] *1616:7 0 +15 *733:20 *1616:8 0.00012309 +*RES +1 *2472:mprj_adr_o_user[12] *1616:7 40.827 +2 *1616:7 *1616:8 76.999 +3 *1616:8 *2473:wbs_adr_i[12] 49.9625 +*END + +*D_NET *1617 0.117094 +*CONN +*I *2473:wbs_adr_i[13] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[13] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[13] 0.00142327 +2 *2472:mprj_adr_o_user[13] 0.00220129 +3 *1617:8 0.00525291 +4 *1617:7 0.00382964 +5 *1617:5 0.00220129 +6 *1617:5 *2472:mprj_dat_i_user[13] 0 +7 *1617:5 *1748:7 0 +8 *1617:5 *1749:5 0 +9 *1617:8 *1710:8 0.0472562 +10 *1617:8 *1749:8 0.0510686 +11 *1617:8 *1767:10 0.00186668 +12 *2473:la_oenb[6] *1617:5 0 +13 *805:8 *1617:8 0.00199376 +14 *1083:5 *1617:5 0 +*RES +1 *2472:mprj_adr_o_user[13] *1617:5 48.4624 +2 *1617:5 *1617:7 4.5 +3 *1617:7 *1617:8 545.344 +4 *1617:8 *2473:wbs_adr_i[13] 40.0896 +*END + +*D_NET *1618 0.118342 +*CONN +*I *2473:wbs_adr_i[14] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[14] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[14] 0.00207211 +2 *2472:mprj_adr_o_user[14] 0.00129354 +3 *1618:16 0.00207211 +4 *1618:14 0.00307114 +5 *1618:13 0.00311163 +6 *1618:8 0.000572829 +7 *1618:7 0.00182587 +8 *1618:7 *1686:11 0.000898055 +9 *1618:7 *1749:5 0 +10 *1618:8 *1689:8 0.000211478 +11 *1618:8 *1689:12 0.00176543 +12 *1618:8 *1752:12 0.00425295 +13 *1618:13 *1687:17 6.88675e-05 +14 *1618:14 *1685:8 0.0462205 +15 *1618:14 *1748:8 0.0462289 +16 *1618:14 *1773:8 0.00460768 +17 *1445:11 *1618:13 6.88675e-05 +*RES +1 *2472:mprj_adr_o_user[14] *1618:7 40.9201 +2 *1618:7 *1618:8 45.6463 +3 *1618:8 *1618:13 10.4167 +4 *1618:13 *1618:14 486.002 +5 *1618:14 *1618:16 4.5 +6 *1618:16 *2473:wbs_adr_i[14] 48.8776 +*END + +*D_NET *1619 0.111337 +*CONN +*I *2473:wbs_adr_i[15] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[15] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[15] 0.00134679 +2 *2472:mprj_adr_o_user[15] 0.0021673 +3 *1619:8 0.0053335 +4 *1619:7 0.00398671 +5 *1619:5 0.0021673 +6 *1619:5 *2472:mprj_dat_i_user[15] 0 +7 *1619:5 *1750:7 0 +8 *1619:5 *1751:5 0 +9 *1619:8 *1686:8 0.000313928 +10 *1619:8 *1688:8 0.045803 +11 *1619:8 *1751:8 0.0485346 +12 *1619:8 *2190:8 0.00147798 +13 *2473:la_data_in[7] *1619:5 0 +14 *1094:5 *1619:5 0.00020584 +*RES +1 *2472:mprj_adr_o_user[15] *1619:5 50.1234 +2 *1619:5 *1619:7 4.5 +3 *1619:7 *1619:8 518.723 +4 *1619:8 *2473:wbs_adr_i[15] 38.4285 +*END + +*D_NET *1620 0.0823198 +*CONN +*I *2473:wbs_adr_i[16] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[16] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[16] 0.00174213 +2 *2472:mprj_adr_o_user[16] 0.000823496 +3 *1620:14 0.00980119 +4 *1620:13 0.00911275 +5 *1620:8 0.0034094 +6 *1620:7 0.00317922 +7 *1620:7 *2472:mprj_dat_i_user[16] 0 +8 *1620:7 *1751:5 0 +9 *1620:8 *1687:18 0.00534892 +10 *1620:8 *1745:8 6.50586e-05 +11 *1620:13 *2472:mprj_dat_i_user[0] 0 +12 *1620:13 *1639:13 7.90019e-05 +13 *1620:14 *1627:10 0.000225466 +14 *1620:14 *1629:8 0.00213562 +15 *1620:14 *1645:16 0 +16 *1620:14 *1696:8 0.000131218 +17 *1620:14 *1698:8 0.0154731 +18 *1620:14 *1754:16 0 +19 *1620:14 *1763:8 0.0141561 +20 *1620:14 *1776:8 0.00339983 +21 *989:8 *1620:8 0.0126035 +22 *1028:5 *1620:13 0.000633876 +*RES +1 *2472:mprj_adr_o_user[16] *1620:7 26.8015 +2 *1620:7 *1620:8 134.937 +3 *1620:8 *1620:13 30.3488 +4 *1620:13 *1620:14 370.089 +5 *1620:14 *2473:wbs_adr_i[16] 45.0726 +*END + +*D_NET *1621 0.108124 +*CONN +*I *2473:wbs_adr_i[17] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[17] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[17] 0.00130855 +2 *2472:mprj_adr_o_user[17] 2.27669e-05 +3 *1621:10 0.00475211 +4 *1621:9 0.00344356 +5 *1621:7 0.00189852 +6 *1621:5 0.00192129 +7 *1621:7 *2472:mprj_dat_i_user[16] 0 +8 *1621:7 *2472:mprj_dat_i_user[17] 0 +9 *1621:7 *1752:10 0 +10 *1621:10 *1688:8 0.0461618 +11 *1621:10 *1753:8 0.0459494 +12 *1621:10 *1770:8 0.00118142 +13 *2473:la_data_in[8] *1621:7 0.0014842 +14 *805:8 *1621:10 0 +*RES +1 *2472:mprj_adr_o_user[17] *1621:5 0.647305 +2 *1621:5 *1621:7 51.0394 +3 *1621:7 *1621:9 4.5 +4 *1621:9 *1621:10 491.548 +5 *1621:10 *2473:wbs_adr_i[17] 37.598 +*END + +*D_NET *1622 0.101429 +*CONN +*I *2473:wbs_adr_i[18] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[18] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[18] 0.00202522 +2 *2472:mprj_adr_o_user[18] 0.0016241 +3 *1622:14 0.00202522 +4 *1622:12 0.00313335 +5 *1622:10 0.00315421 +6 *1622:8 0.000913635 +7 *1622:7 0.00251687 +8 *1622:7 *2472:mprj_dat_i_user[18] 0 +9 *1622:7 *1753:5 0 +10 *1622:8 *1689:8 1.67988e-05 +11 *1622:8 *1689:12 0.00660833 +12 *1622:10 *1689:10 9.95922e-06 +13 *1622:12 *1689:8 0.0382226 +14 *1622:12 *1691:8 0.0347793 +15 *1622:12 *1754:16 0 +16 *1622:12 *1775:8 0.00305068 +17 *783:8 *1622:8 0.0031296 +18 *783:8 *1622:12 7.68538e-06 +19 *1105:5 *1622:7 0.000102632 +20 *1478:8 *1622:8 0.000108585 +*RES +1 *2472:mprj_adr_o_user[18] *1622:7 42.1658 +2 *1622:7 *1622:8 76.1495 +3 *1622:8 *1622:10 0.578717 +4 *1622:10 *1622:12 402.256 +5 *1622:12 *1622:14 4.5 +6 *1622:14 *2473:wbs_adr_i[18] 46.8014 +*END + +*D_NET *1623 0.100794 +*CONN +*I *2473:wbs_adr_i[19] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[19] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[19] 0.0012592 +2 *2472:mprj_adr_o_user[19] 0.00222386 +3 *1623:8 0.00443123 +4 *1623:7 0.00317203 +5 *1623:5 0.00222386 +6 *1623:5 *2472:mprj_dat_i_user[18] 0 +7 *1623:5 *2472:mprj_dat_i_user[19] 0 +8 *1623:5 *1754:7 0 +9 *1623:5 *1755:5 0 +10 *1623:8 *1625:10 0.0420855 +11 *1623:8 *1641:8 0.000540546 +12 *1623:8 *1690:8 0.000897631 +13 *1623:8 *1753:8 0.00028978 +14 *1623:8 *1755:8 0.0434492 +15 *2473:la_oenb[8] *1623:5 0.000221328 +*RES +1 *2472:mprj_adr_o_user[19] *1623:5 52.1996 +2 *1623:5 *1623:7 4.5 +3 *1623:7 *1623:8 464.927 +4 *1623:8 *2473:wbs_adr_i[19] 36.3523 +*END + +*D_NET *1624 0.188183 +*CONN +*I *2473:wbs_adr_i[1] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[1] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[1] 0.00200502 +2 *2472:mprj_adr_o_user[1] 0.000923382 +3 *1624:10 0.00200502 +4 *1624:8 0.00550943 +5 *1624:7 0.00643281 +6 *1624:7 *1692:11 0.00175531 +7 *1624:7 *2187:7 0 +8 *1624:8 *1638:8 0.00721213 +9 *1624:8 *1640:8 0.00662577 +10 *1624:8 *1750:8 7.06288e-05 +11 *1624:8 *1756:8 0.0775824 +12 *1624:8 *2187:8 0.078061 +*RES +1 *2472:mprj_adr_o_user[1] *1624:7 34.1829 +2 *1624:7 *1624:8 99.1047 +3 *1624:8 *1624:10 3.36879 +4 *1624:10 *2473:wbs_adr_i[1] 53.2378 +*END + +*D_NET *1625 0.0992235 +*CONN +*I *2473:wbs_adr_i[20] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[20] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[20] 0.00124021 +2 *2472:mprj_adr_o_user[20] 6.22868e-05 +3 *1625:10 0.00432698 +4 *1625:9 0.00308676 +5 *1625:7 0.00178671 +6 *1625:5 0.001849 +7 *1625:7 *2472:mprj_dat_i_user[20] 0 +8 *1625:7 *1755:5 0.000878557 +9 *1625:10 *1690:8 0.0415631 +10 *1625:10 *1755:8 0.00020979 +11 *1625:10 *1757:8 0.000484464 +12 *1625:10 *1772:8 0.000685206 +13 *2473:la_data_in[9] *1625:7 0.000964996 +14 *1623:8 *1625:10 0.0420855 +*RES +1 *2472:mprj_adr_o_user[20] *1625:5 1.77093 +2 *1625:5 *1625:7 52.7004 +3 *1625:7 *1625:9 4.5 +4 *1625:9 *1625:10 449.952 +5 *1625:10 *2473:wbs_adr_i[20] 35.937 +*END + +*D_NET *1626 0.0945627 +*CONN +*I *2473:wbs_adr_i[21] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[21] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[21] 0.00263006 +2 *2472:mprj_adr_o_user[21] 0.00116828 +3 *1626:10 0.00263006 +4 *1626:8 0.00395042 +5 *1626:7 0.0051187 +6 *1626:7 *2472:mprj_dat_i_user[21] 0 +7 *1626:7 *1757:5 0 +8 *1626:8 *1697:8 0.0339524 +9 *1626:8 *1750:8 0.0028485 +10 *1626:8 *1758:8 0.0407286 +11 *1626:8 *1760:8 0.00122665 +12 *1626:8 *2182:8 0.000309013 +*RES +1 *2472:mprj_adr_o_user[21] *1626:7 33.0303 +2 *1626:7 *1626:8 438.306 +3 *1626:8 *1626:10 4.5 +4 *1626:10 *2473:wbs_adr_i[21] 55.5217 +*END + +*D_NET *1627 0.0874962 +*CONN +*I *2473:wbs_adr_i[22] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[22] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[22] 0.00171986 +2 *2472:mprj_adr_o_user[22] 0.0017037 +3 *1627:10 0.00546869 +4 *1627:9 0.00374883 +5 *1627:7 0.0017037 +6 *1627:7 *2472:mprj_dat_i_user[21] 0 +7 *1627:7 *2472:mprj_dat_i_user[22] 0 +8 *1627:7 *1758:7 0.00169535 +9 *1627:10 *1635:8 0.00454653 +10 *1627:10 *1645:16 0.0121194 +11 *1627:10 *1696:8 0.0365949 +12 *1627:10 *1712:8 0.000289628 +13 *2473:la_oenb[9] *1627:7 0 +14 *772:8 *1627:10 0.0176802 +15 *1620:14 *1627:10 0.000225466 +*RES +1 *2472:mprj_adr_o_user[22] *1627:7 47.412 +2 *1627:7 *1627:9 4.5 +3 *1627:9 *1627:10 423.331 +4 *1627:10 *2473:wbs_adr_i[22] 42.9963 +*END + +*D_NET *1628 0.0932917 +*CONN +*I *2473:wbs_adr_i[23] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[23] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[23] 0.00282767 +2 *2472:mprj_adr_o_user[23] 0.000969836 +3 *1628:10 0.00282767 +4 *1628:8 0.0167094 +5 *1628:7 0.0176793 +6 *1628:7 *2472:mprj_dat_i_user[23] 0 +7 *1628:7 *1759:5 0 +8 *1628:8 *1634:8 0.000714341 +9 *1628:8 *1636:8 0.000477446 +10 *1628:8 *1639:10 0.00401207 +11 *1628:8 *1695:8 0.0438945 +12 *1628:8 *1701:8 0.000771867 +13 *1628:8 *1705:8 0.000124104 +14 *1628:8 *1764:8 0.00107168 +15 *1628:8 *1766:8 0.000771867 +16 *1628:8 *1768:8 0.00043991 +*RES +1 *2472:mprj_adr_o_user[23] *1628:7 28.3694 +2 *1628:7 *1628:8 56.8056 +3 *1628:8 *1628:10 3.36879 +4 *1628:10 *2473:wbs_adr_i[23] 59.0513 +*END + +*D_NET *1629 0.0860872 +*CONN +*I *2473:wbs_adr_i[24] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[24] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[24] 0.00180164 +2 *2472:mprj_adr_o_user[24] 0.00193566 +3 *1629:8 0.00482385 +4 *1629:7 0.00495787 +5 *1629:7 *2472:mprj_dat_i_user[23] 0 +6 *1629:7 *2472:mprj_dat_i_user[24] 0 +7 *1629:7 *1760:7 0 +8 *1629:7 *1761:7 0 +9 *1629:8 *1682:8 0.000362278 +10 *1629:8 *1696:8 0.000309013 +11 *1629:8 *1761:8 0.0369113 +12 *1629:8 *1763:8 0.03285 +13 *1620:14 *1629:8 0.00213562 +*RES +1 *2472:mprj_adr_o_user[24] *1629:7 48.8099 +2 *1629:7 *1629:8 398.374 +3 *1629:8 *2473:wbs_adr_i[24] 44.2421 +*END + +*D_NET *1630 0.0853997 +*CONN +*I *2473:wbs_adr_i[25] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[25] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[25] 0.00278801 +2 *2472:mprj_adr_o_user[25] 0.00105929 +3 *1630:10 0.00278801 +4 *1630:8 0.00342592 +5 *1630:7 0.00448521 +6 *1630:7 *2472:mprj_dat_i_user[25] 0 +7 *1630:7 *1761:7 0 +8 *1630:8 *1693:8 0.00206086 +9 *1630:8 *1699:8 0.0328854 +10 *1630:8 *1760:8 0.000309013 +11 *1630:8 *1762:8 0.035598 +*RES +1 *2472:mprj_adr_o_user[25] *1630:7 31.3693 +2 *1630:7 *1630:8 385.064 +3 *1630:8 *1630:10 4.5 +4 *1630:10 *2473:wbs_adr_i[25] 57.1827 +*END + +*D_NET *1631 0.0804116 +*CONN +*I *2473:wbs_adr_i[26] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[26] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[26] 0.00191069 +2 *2472:mprj_adr_o_user[26] 0.00182942 +3 *1631:8 0.00530876 +4 *1631:7 0.00522749 +5 *1631:7 *2472:mprj_dat_i_user[25] 0 +6 *1631:7 *2472:mprj_dat_i_user[26] 0 +7 *1631:7 *1762:7 0 +8 *1631:7 *1765:13 0 +9 *1631:8 *1645:10 0.0087398 +10 *1631:8 *1698:8 0.0345639 +11 *1631:8 *1700:8 0.000151389 +12 *1631:8 *1700:18 0.0193368 +13 *1631:8 *1747:8 0.000748203 +14 *1631:8 *1765:14 1.67988e-05 +15 *1631:8 *1765:20 0.00194244 +16 *1631:8 *1765:22 0.000426221 +17 *1412:8 *1631:8 0.00020979 +*RES +1 *2472:mprj_adr_o_user[26] *1631:7 47.1488 +2 *1631:7 *1631:8 371.753 +3 *1631:8 *2473:wbs_adr_i[26] 45.9031 +*END + +*D_NET *1632 0.0810823 +*CONN +*I *2473:wbs_adr_i[27] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[27] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[27] 0.00287537 +2 *2472:mprj_adr_o_user[27] 0.00102325 +3 *1632:10 0.00287537 +4 *1632:8 0.00296759 +5 *1632:7 0.00399085 +6 *1632:7 *2472:mprj_dat_i_user[27] 0 +7 *1632:7 *1763:7 0 +8 *1632:8 *1695:8 0.00116569 +9 *1632:8 *1699:8 0.0331782 +10 *1632:8 *1745:8 0 +11 *1632:8 *1764:8 0.033006 +12 *1373:8 *1632:8 0 +*RES +1 *2472:mprj_adr_o_user[27] *1632:7 30.5388 +2 *1632:7 *1632:8 358.443 +3 *1632:8 *1632:10 4.5 +4 *1632:10 *2473:wbs_adr_i[27] 58.0132 +*END + +*D_NET *1633 0.0662843 +*CONN +*I *2473:wbs_adr_i[28] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[28] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[28] 0.00201822 +2 *2472:mprj_adr_o_user[28] 0.00175146 +3 *1633:14 0.00442023 +4 *1633:13 0.0025199 +5 *1633:8 0.00195782 +6 *1633:7 0.00359139 +7 *2473:wbs_adr_i[28] *1645:15 0.000162209 +8 *1633:7 *2472:mprj_dat_i_user[27] 0 +9 *1633:7 *2472:mprj_dat_i_user[28] 0 +10 *1633:7 *1764:7 0 +11 *1633:8 *1684:8 0.000220514 +12 *1633:8 *1700:18 0.000371284 +13 *1633:8 *1702:8 0.0208978 +14 *1633:8 *1765:14 0.0195894 +15 *1633:13 *1692:11 0 +16 *1633:13 *1700:17 7.09666e-06 +17 *1633:13 *2188:5 0 +18 *1633:14 *1691:8 0.00152799 +19 *1633:14 *1700:8 0.000227651 +20 *1633:14 *1702:8 0.000371382 +21 *1633:14 *1704:8 0.000627856 +22 *1633:14 *1769:8 0.00482692 +23 *733:13 *1633:7 0 +24 *733:20 *1633:14 0.000877072 +25 *1412:8 *1633:8 3.04269e-05 +26 *1616:8 *1633:14 0.000287711 +*RES +1 *2472:mprj_adr_o_user[28] *1633:7 45.9031 +2 *1633:7 *1633:8 222.565 +3 *1633:8 *1633:13 11.2472 +4 *1633:13 *1633:14 122.736 +5 *1633:14 *2473:wbs_adr_i[28] 49.2251 +*END + +*D_NET *1634 0.0743661 +*CONN +*I *2473:wbs_adr_i[29] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[29] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[29] 0.0029951 +2 *2472:mprj_adr_o_user[29] 0.000934093 +3 *1634:10 0.0029951 +4 *1634:8 0.00299294 +5 *1634:7 0.00392703 +6 *1634:7 *2472:mprj_dat_i_user[29] 0 +7 *1634:7 *1765:8 0 +8 *1634:8 *1636:8 0.0290632 +9 *1634:8 *1701:8 0.000309013 +10 *1634:8 *1745:8 0 +11 *1634:8 *1766:8 0.0304353 +12 *1628:8 *1634:8 0.000714341 +*RES +1 *2472:mprj_adr_o_user[29] *1634:7 28.8777 +2 *1634:7 *1634:8 331.822 +3 *1634:8 *1634:10 4.5 +4 *1634:10 *2473:wbs_adr_i[29] 59.6742 +*END + +*D_NET *1635 0.144931 +*CONN +*I *2473:wbs_adr_i[2] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[2] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[2] 0.00145565 +2 *2472:mprj_adr_o_user[2] 0.00222927 +3 *1635:8 0.00685628 +4 *1635:7 0.00540063 +5 *1635:5 0.00222927 +6 *1635:5 *2472:mprj_dat_i_user[2] 0 +7 *1635:5 *1767:7 0 +8 *1635:5 *2188:5 0 +9 *1635:8 *1645:16 0.0541586 +10 *1635:8 *1648:8 0.00298806 +11 *1635:8 *1706:8 0.0624738 +12 *1635:8 *2188:8 0.000322757 +13 *2473:la_data_in[2] *1635:5 7.08059e-05 +14 *772:8 *1635:8 0.00219959 +15 *1627:10 *1635:8 0.00454653 +*RES +1 *2472:mprj_adr_o_user[2] *1635:5 46.3861 +2 *1635:5 *1635:7 4.5 +3 *1635:7 *1635:8 700.079 +4 *1635:8 *2473:wbs_adr_i[2] 42.1658 +*END + +*D_NET *1636 0.0723637 +*CONN +*I *2473:wbs_adr_i[30] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[30] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[30] 0.00303155 +2 *2472:mprj_adr_o_user[30] 0.000909241 +3 *1636:10 0.00303155 +4 *1636:8 0.002731 +5 *1636:7 0.00364024 +6 *1636:7 *2472:mprj_dat_i_user[30] 0 +7 *1636:7 *1766:7 0 +8 *1636:8 *1745:8 4.35194e-05 +9 *1636:8 *1766:8 0.000226394 +10 *1636:8 *1768:8 0.0292096 +11 *1628:8 *1636:8 0.000477446 +12 *1634:8 *1636:8 0.0290632 +*RES +1 *2472:mprj_adr_o_user[30] *1636:7 28.4625 +2 *1636:7 *1636:8 318.511 +3 *1636:8 *1636:10 4.5 +4 *1636:10 *2473:wbs_adr_i[30] 60.0894 +*END + +*D_NET *1637 0.068476 +*CONN +*I *2473:wbs_adr_i[31] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[31] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[31] 0.00203704 +2 *2472:mprj_adr_o_user[31] 0.00166315 +3 *1637:8 0.00458573 +4 *1637:7 0.00421184 +5 *1637:7 *2472:mprj_dat_i_user[30] 0 +6 *1637:7 *2472:mprj_dat_i_user[31] 0 +7 *1637:7 *1768:7 0 +8 *1637:7 *1769:7 0 +9 *1637:8 *1684:8 0.000111178 +10 *1637:8 *1702:8 0.0274459 +11 *1637:8 *1704:8 0.0281872 +12 *1637:8 *1769:8 0.000233938 +13 *1412:8 *1637:8 0 +*RES +1 *2472:mprj_adr_o_user[31] *1637:7 45.0726 +2 *1637:7 *1637:8 305.201 +3 *1637:8 *2473:wbs_adr_i[31] 47.9793 +*END + +*D_NET *1638 0.147648 +*CONN +*I *2473:wbs_adr_i[3] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[3] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[3] 0.00206185 +2 *2472:mprj_adr_o_user[3] 0.00132861 +3 *1638:10 0.00206185 +4 *1638:8 0.00496379 +5 *1638:7 0.00629241 +6 *1638:7 *2472:mprj_dat_i_user[3] 0 +7 *1638:7 *2189:7 0 +8 *1638:8 *1640:8 0.060369 +9 *1638:8 *1681:8 0.0623518 +10 *1638:8 *1707:8 0.000313928 +11 *2473:la_oenb[2] *1638:7 2.71397e-05 +12 *1028:8 *1638:8 0.000626631 +13 *1373:8 *1638:8 3.91975e-05 +14 *1624:8 *1638:8 0.00721213 +*RES +1 *2472:mprj_adr_o_user[3] *1638:7 35.5218 +2 *1638:7 *1638:8 682.886 +3 *1638:8 *1638:10 4.5 +4 *1638:10 *2473:wbs_adr_i[3] 53.0301 +*END + +*D_NET *1639 0.144492 +*CONN +*I *2473:wbs_adr_i[4] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[4] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[4] 0.00144451 +2 *2472:mprj_adr_o_user[4] 0.00331275 +3 *1639:14 0.00600859 +4 *1639:13 0.00569663 +5 *1639:10 0.0044453 +6 *1639:10 *2472:mprj_dat_i_user[4] 0 +7 *1639:10 *2190:5 0 +8 *1639:13 *1692:11 0 +9 *1639:13 *2187:7 0 +10 *1639:14 *1706:8 0.0596913 +11 *1639:14 *1708:8 0.0562651 +12 *1639:14 *2188:8 0.000199658 +13 *1639:14 *2192:8 0.00253186 +14 *1028:5 *1639:13 0.00080517 +15 *1620:13 *1639:13 7.90019e-05 +16 *1628:8 *1639:10 0.00401207 +*RES +1 *2472:mprj_adr_o_user[4] *1639:10 36.0824 +2 *1639:10 *1639:13 27.7175 +3 *1639:13 *1639:14 627.98 +4 *1639:14 *2473:wbs_adr_i[4] 41.3353 +*END + +*D_NET *1640 0.14457 +*CONN +*I *2473:wbs_adr_i[5] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[5] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[5] 0.00209424 +2 *2472:mprj_adr_o_user[5] 0.0013545 +3 *1640:10 0.00209424 +4 *1640:8 0.00408244 +5 *1640:7 0.00543694 +6 *1640:7 *2472:mprj_dat_i_user[5] 0 +7 *1640:7 *1771:7 0 +8 *1640:8 *1642:8 0.000218833 +9 *1640:8 *1707:8 0.0616201 +10 *1028:8 *1640:8 1.5006e-05 +11 *1050:8 *1640:8 0.00031994 +12 *1423:8 *1640:8 0.000338574 +13 *1624:8 *1640:8 0.00662577 +14 *1638:8 *1640:8 0.060369 +*RES +1 *2472:mprj_adr_o_user[5] *1640:7 35.937 +2 *1640:7 *1640:8 651.828 +3 *1640:8 *1640:10 4.5 +4 *1640:10 *2473:wbs_adr_i[5] 52.6149 +*END + +*D_NET *1641 0.162533 +*CONN +*I *2473:wbs_adr_i[6] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[6] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[6] 0.00125615 +2 *2472:mprj_adr_o_user[6] 0.0024717 +3 *1641:8 0.0100773 +4 *1641:7 0.00882111 +5 *1641:5 0.0024717 +6 *1641:5 *2472:mprj_dat_i_user[6] 0 +7 *1641:5 *1772:5 0 +8 *1641:8 *1712:8 0 +9 *1641:8 *1755:8 0.000787603 +10 *1641:8 *1770:8 0.0669177 +11 *1641:8 *1772:8 0.0689468 +12 *1641:8 *1774:8 0.000121359 +13 *1641:8 *2190:8 0.000121359 +14 *2473:la_data_in[4] *1641:5 0 +15 *1623:8 *1641:8 0.000540546 +*RES +1 *2472:mprj_adr_o_user[6] *1641:5 51.5768 +2 *1641:5 *1641:7 3.36879 +3 *1641:7 *1641:8 88.0136 +4 *1641:8 *2473:wbs_adr_i[6] 35.844 +*END + +*D_NET *1642 0.136872 +*CONN +*I *2473:wbs_adr_i[7] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[7] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[7] 0.00209212 +2 *2472:mprj_adr_o_user[7] 0.000956767 +3 *1642:10 0.00209212 +4 *1642:8 0.00451774 +5 *1642:7 0.00547451 +6 *1642:7 *2472:mprj_dat_i_user[7] 0.00184078 +7 *1642:7 *1773:7 0 +8 *1642:8 *1707:8 0.0575415 +9 *1642:8 *1711:8 0.0561622 +10 *1642:8 *1756:8 0.00560945 +11 *1050:8 *1642:8 0.000366428 +12 *1640:8 *1642:8 0.000218833 +*RES +1 *2472:mprj_adr_o_user[7] *1642:7 36.7675 +2 *1642:7 *1642:8 625.207 +3 *1642:8 *1642:10 4.5 +4 *1642:10 *2473:wbs_adr_i[7] 51.7844 +*END + +*D_NET *1643 0.105102 +*CONN +*I *2473:wbs_adr_i[8] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[8] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[8] 0.00115949 +2 *2472:mprj_adr_o_user[8] 0.00258284 +3 *1643:8 0.0169055 +4 *1643:7 0.015746 +5 *1643:5 0.00258284 +6 *1643:5 *2472:mprj_dat_i_user[8] 0 +7 *1643:5 *1687:17 0 +8 *1643:5 *1774:5 0 +9 *1643:8 *1694:8 0.00010238 +10 *1643:8 *1774:8 0.0660229 +11 *1445:11 *1643:5 0 +*RES +1 *2472:mprj_adr_o_user[8] *1643:5 54.0683 +2 *1643:5 *1643:7 3.36879 +3 *1643:7 *1643:8 84.3421 +4 *1643:8 *2473:wbs_adr_i[8] 33.3524 +*END + +*D_NET *1644 0.132272 +*CONN +*I *2473:wbs_adr_i[9] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[9] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[9] 0.00208911 +2 *2472:mprj_adr_o_user[9] 0.00143071 +3 *1644:10 0.00208911 +4 *1644:8 0.00406771 +5 *1644:7 0.00549842 +6 *1644:7 *2472:mprj_dat_i_user[9] 0 +7 *1644:7 *1775:7 0 +8 *1644:8 *1703:8 0.00523289 +9 *1644:8 *1711:8 0.0564551 +10 *816:11 *1644:7 2.25812e-05 +11 *1061:8 *1644:8 4.91225e-06 +12 *1445:8 *1644:8 0.000479276 +13 *1614:8 *1644:8 0.0549021 +*RES +1 *2472:mprj_adr_o_user[9] *1644:7 37.598 +2 *1644:7 *1644:8 598.586 +3 *1644:8 *1644:10 4.5 +4 *1644:10 *2473:wbs_adr_i[9] 50.9539 +*END + +*D_NET *1645 0.127077 +*CONN +*I *2473:wb_clk_i I *D user_analog_project_wrapper +*I *2472:user_clock O *D mgmt_protect +*CAP +1 *2473:wb_clk_i 0.00144366 +2 *2472:user_clock 0.00204012 +3 *1645:16 0.0154075 +4 *1645:15 0.01417 +5 *1645:10 0.000845014 +6 *1645:9 0.00267899 +7 *1645:9 *2182:7 0 +8 *1645:10 *1700:8 0.000330532 +9 *1645:10 *1765:22 0.00800919 +10 *1645:16 *1648:8 0.00681304 +11 *2473:la_data_in[0] *1645:9 0 +12 *2473:wbs_adr_i[28] *1645:15 0.000162209 +13 *989:5 *1645:9 0.000158695 +14 *1620:14 *1645:16 0 +15 *1627:10 *1645:16 0.0121194 +16 *1631:8 *1645:10 0.0087398 +17 *1635:8 *1645:16 0.0541586 +*RES +1 *2472:user_clock *1645:9 48.59 +2 *1645:9 *1645:10 92.233 +3 *1645:10 *1645:15 12.9083 +4 *1645:15 *1645:16 669.021 +5 *1645:16 *2473:wb_clk_i 42.5811 +*END + +*D_NET *1646 0.358405 +*CONN +*I *2473:user_clock2 I *D user_analog_project_wrapper +*I *2472:user_clock2 O *D mgmt_protect +*CAP +1 *2473:user_clock2 0.00142238 +2 *2472:user_clock2 0.00264514 +3 *1646:8 0.0185765 +4 *1646:7 0.0197993 +5 *1646:7 *2472:user_irq_core[0] 0 +6 *1646:7 *2472:user_irq_core[1] 0 +7 *2473:la_oenb[61] *1646:7 0 +8 *1019:8 *1646:8 0.000647491 +9 *1393:14 *1646:8 0.00823899 +10 *1397:14 *1646:8 0.0101918 +11 *1399:8 *1646:8 0.143709 +12 *1401:10 *1646:8 0.000133887 +13 *1403:7 *1646:7 0 +14 *1403:8 *1646:8 0.15304 +*RES +1 *2472:user_clock2 *1646:7 49.9625 +2 *1646:7 *1646:8 195.023 +3 *1646:8 *2473:user_clock2 40.827 +*END + +*D_NET *1647 0.30396 +*CONN +*I *2471:wb_cyc_i I *D housekeeping +*I *2472:mprj_cyc_o_core I *D mgmt_protect +*I *2478:mprj_cyc_o O *D mgmt_core_wrapper +*CAP +1 *2471:wb_cyc_i 0.0011907 +2 *2472:mprj_cyc_o_core 0.00215085 +3 *2478:mprj_cyc_o 0.00239657 +4 *1647:27 0.00717844 +5 *1647:25 0.0065978 +6 *1647:12 0.00215085 +7 *1647:10 0.0171454 +8 *1647:9 0.0189319 +9 *2471:wb_cyc_i *2471:usr1_vcc_pwrgood 0 +10 *2471:wb_cyc_i *2471:wb_we_i 0 +11 *2472:mprj_cyc_o_core *2472:mprj_stb_o_core 0 +12 *1647:9 *2191:9 0 +13 *1647:10 *1724:10 0.0687012 +14 *1647:25 *2191:9 5.22654e-06 +15 *1647:27 *2195:27 0.0870754 +16 *2472:la_oenb_mprj[127] *2472:mprj_cyc_o_core 0 +17 *863:15 *2472:mprj_cyc_o_core 0.000626404 +18 *867:10 *1647:10 0.000101537 +19 *888:10 *1647:10 0 +20 *889:10 *1647:10 0 +21 *891:10 *1647:10 0 +22 *985:12 *1647:10 0 +23 *1120:15 *1647:10 0.000141764 +24 *1257:10 *1647:10 0.000615448 +25 *1576:10 *1647:27 0.0887684 +26 *1579:7 *2472:mprj_cyc_o_core 0.00018195 +27 *1579:11 *1647:9 0 +28 *1605:24 *1647:10 0 +*RES +1 *2478:mprj_cyc_o *1647:9 41.2789 +2 *1647:9 *1647:10 90.4613 +3 *1647:10 *1647:12 3.36879 +4 *1647:12 *2472:mprj_cyc_o_core 49.9158 +5 *2478:mprj_cyc_o *1647:25 18.2418 +6 *1647:25 *1647:27 931.903 +7 *1647:27 *2471:wb_cyc_i 37.6347 +*END + +*D_NET *1648 0.181171 +*CONN +*I *2473:wbs_cyc_i I *D user_analog_project_wrapper +*I *2472:mprj_cyc_o_user O *D mgmt_protect +*CAP +1 *2473:wbs_cyc_i 0.00145965 +2 *2472:mprj_cyc_o_user 0.00217481 +3 *1648:8 0.0161863 +4 *1648:7 0.0169015 +5 *1648:7 *2182:7 0 +6 *1648:7 *2192:7 0 +7 *1648:8 *1712:8 0.0535329 +8 *1648:8 *1776:8 0.000193654 +9 *1648:8 *2192:8 0.0806772 +10 *2472:mprj_ack_i_user *1648:7 0 +11 *2473:la_oenb[0] *1648:7 0.00024398 +12 *1635:8 *1648:8 0.00298806 +13 *1645:16 *1648:8 0.00681304 +*RES +1 *2472:mprj_cyc_o_user *1648:7 49.132 +2 *1648:7 *1648:8 103.388 +3 *1648:8 *2473:wbs_cyc_i 41.6575 +*END + +*D_NET *1649 0.146159 +*CONN +*I *2478:mprj_dat_i[0] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[0] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[0] 8.30061e-05 +2 *2472:mprj_dat_i_core[0] 0.00089339 +3 *1649:17 0.0024907 +4 *1649:16 0.00240769 +5 *1649:14 0.00113132 +6 *1649:13 0.00207911 +7 *1649:8 0.00491741 +8 *1649:7 0.00486301 +9 *1649:7 *2472:mprj_dat_o_core[0] 0 +10 *1649:7 *2472:mprj_we_o_core 0 +11 *1649:8 *1655:8 0.000517688 +12 *1649:8 *1657:8 0.0369871 +13 *1649:8 *1660:8 0.0472016 +14 *1649:8 *1715:30 0.00344673 +15 *1649:8 *2191:16 0.00033203 +16 *1649:14 *1653:16 0.0119134 +17 *2472:mprj_adr_o_core[0] *1649:7 0 +18 *608:8 *1649:8 0.0070112 +19 *610:8 *1649:8 0.000647488 +20 *629:11 *1649:13 0 +21 *885:10 *1649:14 0.000436811 +22 *1581:7 *1649:17 0 +23 *1581:21 *1649:17 0 +24 *1586:24 *1649:14 0.00171697 +25 *1608:24 *1649:14 0.0153927 +26 *1610:24 *1649:14 0.001368 +27 *1612:36 *1649:8 0.000322019 +*RES +1 *2472:mprj_dat_i_core[0] *1649:7 23.0642 +2 *1649:7 *1649:8 508.74 +3 *1649:8 *1649:13 27.0268 +4 *1649:13 *1649:14 162.113 +5 *1649:14 *1649:16 4.5 +6 *1649:16 *1649:17 51.0394 +7 *1649:17 *2478:mprj_dat_i[0] 2.05183 +*END + +*D_NET *1650 0.166278 +*CONN +*I *2478:mprj_dat_i[10] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[10] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[10] 0.00126461 +2 *2472:mprj_dat_i_core[10] 0.00276898 +3 *1650:8 0.0069031 +4 *1650:7 0.00563849 +5 *1650:5 0.00276898 +6 *2478:mprj_dat_i[10] *1714:15 0 +7 *1650:5 *2472:mprj_dat_o_core[9] 0 +8 *1650:5 *1714:19 0.000642913 +9 *1650:8 *1654:10 0.0703043 +10 *1650:8 *1680:8 0.0713938 +11 *1650:8 *1718:10 0.000895982 +12 *1650:8 *2195:10 0.00244147 +13 *2472:mprj_adr_o_core[10] *1650:5 0 +14 *608:11 *1650:5 0 +15 *1248:10 *1650:8 0.000114604 +16 *1582:9 *2478:mprj_dat_i[10] 0 +17 *1607:16 *1650:8 0.00114063 +18 *1612:10 *1650:8 0 +*RES +1 *2472:mprj_dat_i_core[10] *1650:5 59.2589 +2 *1650:5 *1650:7 4.5 +3 *1650:7 *1650:8 769.959 +4 *1650:8 *2478:mprj_dat_i[10] 34.1905 +*END + +*D_NET *1651 0.169643 +*CONN +*I *2478:mprj_dat_i[11] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[11] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[11] 9.52866e-05 +2 *2472:mprj_dat_i_core[11] 0.000811248 +3 *1651:17 0.00161008 +4 *1651:16 0.00151479 +5 *1651:14 0.00230127 +6 *1651:13 0.00342333 +7 *1651:8 0.00430496 +8 *1651:7 0.00399415 +9 *1651:7 *2472:mprj_dat_o_core[11] 0 +10 *1651:8 *1653:10 0.0412581 +11 *1651:8 *1655:8 0.00025715 +12 *1651:8 *1655:12 0.035393 +13 *1651:8 *1739:30 0.00366396 +14 *1651:14 *1653:16 0.00283592 +15 *1651:17 *1715:29 0.00139221 +16 *2472:mprj_adr_o_core[10] *1651:8 0.00122777 +17 *2472:mprj_adr_o_core[11] *1651:7 0 +18 *630:11 *1651:13 0 +19 *1582:24 *1651:8 5.30213e-05 +20 *1583:7 *1651:17 0.000130911 +21 *1583:21 *1651:17 0.00105001 +22 *1589:16 *1651:14 0.00142625 +23 *1591:28 *1651:14 0.00325843 +24 *1610:24 *1651:14 0.0249536 +25 *1612:24 *1651:14 0.0280718 +26 *1612:36 *1651:8 0.00661635 +*RES +1 *2472:mprj_dat_i_core[11] *1651:7 21.4032 +2 *1651:7 *1651:8 450.507 +3 *1651:8 *1651:13 29.9336 +4 *1651:13 *1651:14 328.494 +5 *1651:14 *1651:16 4.5 +6 *1651:16 *1651:17 49.7936 +7 *1651:17 *2478:mprj_dat_i[11] 2.05183 +*END + +*D_NET *1652 0.167003 +*CONN +*I *2478:mprj_dat_i[12] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[12] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[12] 0.00128577 +2 *2472:mprj_dat_i_core[12] 0.00296797 +3 *1652:12 0.00692757 +4 *1652:10 0.00569747 +5 *1652:8 0.000809093 +6 *1652:7 0.000753427 +7 *1652:5 0.00296797 +8 *2478:mprj_dat_i[12] *1716:9 0 +9 *1652:5 *2472:mprj_dat_o_core[12] 0 +10 *1652:8 *1654:10 4.91225e-06 +11 *1652:8 *1716:10 0.000291404 +12 *1652:12 *1654:10 0.0686033 +13 *1652:12 *1666:8 0 +14 *1652:12 *1672:8 8.90486e-05 +15 *1652:12 *1718:10 0.0017512 +16 *1652:12 *1727:24 0 +17 *1652:12 *1730:10 0 +18 *1652:12 *1731:24 0 +19 *1652:12 *1733:10 0.000262266 +20 *1652:12 *1736:10 0.0635099 +21 *1652:12 *2195:10 0.00191027 +22 *2472:mprj_adr_o_core[12] *1652:5 0 +23 *609:14 *1652:8 0.00120288 +24 *610:14 *1652:8 7.68065e-05 +25 *610:14 *1652:12 0 +26 *862:9 *1652:5 4.18029e-05 +27 *863:10 *1652:8 0.00219313 +28 *865:10 *1652:8 0.00528779 +29 *1247:10 *1652:8 0.000258087 +30 *1584:7 *2478:mprj_dat_i[12] 0 +31 *1584:21 *2478:mprj_dat_i[12] 0 +32 *1601:10 *1652:12 7.46601e-05 +33 *1607:16 *1652:8 3.61993e-05 +*RES +1 *2472:mprj_dat_i_core[12] *1652:5 57.1827 +2 *1652:5 *1652:7 4.5 +3 *1652:7 *1652:8 64.5028 +4 *1652:8 *1652:10 1.39857 +5 *1652:10 *1652:12 724.481 +6 *1652:12 *2478:mprj_dat_i[12] 33.8974 +*END + +*D_NET *1653 0.156163 +*CONN +*I *2478:mprj_dat_i[13] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[13] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[13] 8.30061e-05 +2 *2472:mprj_dat_i_core[13] 0.000619137 +3 *1653:19 0.00239278 +4 *1653:18 0.00230978 +5 *1653:16 0.00469386 +6 *1653:15 0.00579156 +7 *1653:10 0.00445987 +8 *1653:9 0.0039813 +9 *1653:9 *2472:mprj_dat_o_core[13] 0.000875119 +10 *1653:10 *1655:12 0.000668608 +11 *1653:10 *1741:24 0.00426103 +12 *2472:mprj_adr_o_core[13] *1653:9 0 +13 *1582:24 *1653:10 0.00295106 +14 *1585:7 *1653:19 0 +15 *1585:23 *1653:19 0 +16 *1588:24 *1653:16 0.00339601 +17 *1589:16 *1653:16 0.000530783 +18 *1590:18 *1653:10 0.00327915 +19 *1608:24 *1653:16 0.00397241 +20 *1610:24 *1653:16 0.0234707 +21 *1612:30 *1653:10 0.032419 +22 *1649:14 *1653:16 0.0119134 +23 *1651:8 *1653:10 0.0412581 +24 *1651:14 *1653:16 0.00283592 +*RES +1 *2472:mprj_dat_i_core[13] *1653:9 22.2826 +2 *1653:9 *1653:10 451.616 +3 *1653:10 *1653:15 29.5183 +4 *1653:15 *1653:16 343.468 +5 *1653:16 *1653:18 4.5 +6 *1653:18 *1653:19 50.6241 +7 *1653:19 *2478:mprj_dat_i[13] 2.05183 +*END + +*D_NET *1654 0.172428 +*CONN +*I *2478:mprj_dat_i[14] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[14] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[14] 0.0013501 +2 *2472:mprj_dat_i_core[14] 6.22868e-05 +3 *1654:10 0.00791882 +4 *1654:9 0.00656871 +5 *1654:7 0.00222752 +6 *1654:5 0.0022898 +7 *2478:mprj_dat_i[14] *1718:9 0 +8 *1654:7 *2472:mprj_dat_o_core[14] 0.00291234 +9 *1654:10 *1718:10 0.00141193 +10 *1654:10 *1736:10 0.00121044 +11 *1654:10 *2195:10 0.00262915 +12 *2472:mprj_adr_o_core[14] *1654:7 0 +13 *2478:la_input[101] *1654:7 0 +14 *1120:15 *1654:7 0.000271428 +15 *1247:9 *1654:7 0 +16 *1586:7 *2478:mprj_dat_i[14] 0 +17 *1586:23 *2478:mprj_dat_i[14] 0 +18 *1607:16 *1654:10 0.00466341 +19 *1612:10 *1654:10 0 +20 *1650:8 *1654:10 0.0703043 +21 *1652:8 *1654:10 4.91225e-06 +22 *1652:12 *1654:10 0.0686033 +*RES +1 *2472:mprj_dat_i_core[14] *1654:5 1.77093 +2 *1654:5 *1654:7 58.9292 +3 *1654:7 *1654:9 4.5 +4 *1654:9 *1654:10 803.235 +5 *1654:10 *2478:mprj_dat_i[14] 35.1676 +*END + +*D_NET *1655 0.178111 +*CONN +*I *2478:mprj_dat_i[15] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[15] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[15] 8.30061e-05 +2 *2472:mprj_dat_i_core[15] 0.000878408 +3 *1655:21 0.0022859 +4 *1655:20 0.0022029 +5 *1655:18 0.00241729 +6 *1655:17 0.00345601 +7 *1655:12 0.00387979 +8 *1655:10 0.00286412 +9 *1655:8 0.000564849 +10 *1655:7 0.00142022 +11 *1655:7 *2472:mprj_dat_o_core[14] 0 +12 *1655:7 *2472:mprj_dat_o_core[15] 0 +13 *1655:8 *1657:8 0.00361569 +14 *1655:12 *1657:8 0.000187218 +15 *1655:12 *1662:14 0.0305025 +16 *1655:12 *1739:30 0.00444218 +17 *1655:18 *1657:14 0.0319588 +18 *2472:mprj_adr_o_core[15] *1655:7 0 +19 *632:11 *1655:17 0.000397377 +20 *1587:7 *1655:21 0 +21 *1587:23 *1655:21 0 +22 *1589:16 *1655:18 0.0338513 +23 *1590:18 *1655:12 0.000316909 +24 *1595:24 *1655:18 0.0036624 +25 *1597:30 *1655:12 0.00760676 +26 *1598:18 *1655:18 0.000496273 +27 *1612:36 *1655:8 0.00418477 +28 *1649:8 *1655:8 0.000517688 +29 *1651:8 *1655:8 0.00025715 +30 *1651:8 *1655:12 0.035393 +31 *1653:10 *1655:12 0.000668608 +*RES +1 *2472:mprj_dat_i_core[15] *1655:7 22.2337 +2 *1655:7 *1655:8 51.7469 +3 *1655:8 *1655:10 0.578717 +4 *1655:10 *1655:12 406.139 +5 *1655:12 *1655:17 30.7641 +6 *1655:17 *1655:18 356.224 +7 *1655:18 *1655:20 4.5 +8 *1655:20 *1655:21 48.5479 +9 *1655:21 *2478:mprj_dat_i[15] 2.05183 +*END + +*D_NET *1656 0.132381 +*CONN +*I *2478:mprj_dat_i[16] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[16] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[16] 0.000967964 +2 *2472:mprj_dat_i_core[16] 0.00322952 +3 *1656:8 0.0168279 +4 *1656:7 0.01586 +5 *1656:5 0.00322952 +6 *1656:5 *2472:mprj_dat_o_core[16] 0 +7 *1656:8 *1720:10 0.0775847 +8 *1656:8 *2184:25 0.000709571 +9 *2472:mprj_adr_o_core[16] *1656:5 0.00041429 +10 *608:14 *1656:8 4.62974e-05 +11 *613:8 *1656:8 0.0101859 +12 *617:8 *1656:8 0.00255066 +13 *618:8 *1656:8 0.000339015 +14 *619:8 *1656:8 0.000125334 +15 *620:8 *1656:8 0 +16 *631:8 *1656:8 0 +17 *863:9 *1656:5 0 +18 *1120:9 *1656:5 0.000309963 +19 *1262:10 *1656:8 0 +20 *1264:10 *1656:8 0 +21 *1588:7 *2478:mprj_dat_i[16] 0 +*RES +1 *2472:mprj_dat_i_core[16] *1656:5 66.3182 +2 *1656:5 *1656:7 4.5 +3 *1656:7 *1656:8 822.646 +4 *1656:8 *2478:mprj_dat_i[16] 27.6931 +*END + +*D_NET *1657 0.178872 +*CONN +*I *2478:mprj_dat_i[17] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[17] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[17] 8.30061e-05 +2 *2472:mprj_dat_i_core[17] 0.000906673 +3 *1657:17 0.00225967 +4 *1657:16 0.00217667 +5 *1657:14 0.00257909 +6 *1657:13 0.00358964 +7 *1657:8 0.00434843 +8 *1657:7 0.00424456 +9 *1657:7 *2472:mprj_dat_o_core[17] 0 +10 *1657:8 *1660:8 0.00317552 +11 *1657:8 *1662:14 0.032395 +12 *1657:8 *1743:24 0.00461545 +13 *1657:14 *1662:20 0.0323837 +14 *1657:17 *1721:7 0 +15 *1657:17 *1721:23 0 +16 *2472:mprj_adr_o_core[17] *1657:7 0 +17 *633:11 *1657:13 0.000392227 +18 *1145:7 *1657:13 0 +19 *1589:9 *1657:17 0 +20 *1589:16 *1657:14 0.000943298 +21 *1597:30 *1657:8 0.00761096 +22 *1598:18 *1657:14 0.00108819 +23 *1599:24 *1657:14 0.00333083 +24 *1649:8 *1657:8 0.0369871 +25 *1655:8 *1657:8 0.00361569 +26 *1655:12 *1657:8 0.000187218 +27 *1655:18 *1657:14 0.0319588 +*RES +1 *2472:mprj_dat_i_core[17] *1657:7 22.649 +2 *1657:7 *1657:8 464.927 +3 *1657:8 *1657:13 30.3488 +4 *1657:13 *1657:14 366.207 +5 *1657:14 *1657:16 4.5 +6 *1657:16 *1657:17 48.1326 +7 *1657:17 *2478:mprj_dat_i[17] 2.05183 +*END + +*D_NET *1658 0.179492 +*CONN +*I *2478:mprj_dat_i[18] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[18] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[18] 0.0014307 +2 *2472:mprj_dat_i_core[18] 0.00247993 +3 *1658:14 0.00678286 +4 *1658:13 0.00543696 +5 *1658:8 0.00127809 +6 *1658:7 0.00119328 +7 *1658:5 0.00247993 +8 *2478:mprj_dat_i[18] *1722:9 0 +9 *1658:5 *2472:mprj_dat_o_core[17] 0 +10 *1658:5 *2472:mprj_dat_o_core[18] 0 +11 *1658:8 *1659:8 0.00468429 +12 *1658:13 *1731:27 1.54479e-05 +13 *1658:14 *1659:8 1.15389e-05 +14 *1658:14 *1661:8 0.0742406 +15 *1658:14 *1738:10 0.00254022 +16 *1658:14 *1740:12 0.000228981 +17 *2472:mprj_adr_o_core[18] *1658:5 0 +18 *611:16 *1658:8 0.000802325 +19 *864:10 *1658:8 0 +20 *867:10 *1658:8 0 +21 *1122:12 *1658:8 0 +22 *1122:15 *1658:5 0 +23 *1582:21 *1658:5 0.000836779 +24 *1590:12 *2478:mprj_dat_i[18] 0.000823584 +25 *1590:12 *1658:14 0.000113968 +26 *1590:17 *2478:mprj_dat_i[18] 8.62625e-06 +27 *1593:30 *1658:14 0.0740965 +28 *1594:30 *1658:13 7.09666e-06 +*RES +1 *2472:mprj_dat_i_core[18] *1658:5 50.5386 +2 *1658:5 *1658:7 4.5 +3 *1658:7 *1658:8 56.1838 +4 *1658:8 *1658:13 10.4167 +5 *1658:13 *1658:14 783.824 +6 *1658:14 *2478:mprj_dat_i[18] 42.2269 +*END + +*D_NET *1659 0.145885 +*CONN +*I *2478:mprj_dat_i[19] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[19] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[19] 0.00147223 +2 *2472:mprj_dat_i_core[19] 0.00274707 +3 *1659:8 0.0147912 +4 *1659:7 0.013319 +5 *1659:5 0.00274707 +6 *1659:5 *2472:mprj_dat_o_core[18] 0 +7 *1659:5 *2472:mprj_dat_o_core[19] 0 +8 *1659:8 *2184:16 0.000101365 +9 *1659:8 *2191:12 0.000422861 +10 *2472:mprj_adr_o_core[19] *1659:5 0 +11 *2472:mprj_adr_o_core[20] *1659:5 0 +12 *867:10 *1659:8 0 +13 *868:14 *1659:8 0 +14 *1122:12 *1659:8 0 +15 *1136:10 *1659:8 0 +16 *1257:10 *1659:8 0.001569 +17 *1590:12 *1659:8 0.000167076 +18 *1590:27 *1659:8 0.000605222 +19 *1591:7 *2478:mprj_dat_i[19] 0.000685027 +20 *1591:27 *2478:mprj_dat_i[19] 0.000155824 +21 *1593:30 *1659:8 0.0783481 +22 *1603:22 *1659:8 0.00139457 +23 *1606:25 *1659:8 0.0226635 +24 *1658:8 *1659:8 0.00468429 +25 *1658:14 *1659:8 1.15389e-05 +*RES +1 *2472:mprj_dat_i_core[19] *1659:5 50.9539 +2 *1659:5 *1659:7 4.5 +3 *1659:7 *1659:8 848.712 +4 *1659:8 *2478:mprj_dat_i[19] 43.0574 +*END + +*D_NET *1660 0.143748 +*CONN +*I *2478:mprj_dat_i[1] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[1] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[1] 4.18398e-05 +2 *2472:mprj_dat_i_core[1] 0.000803962 +3 *1660:17 0.00230492 +4 *1660:16 0.00226308 +5 *1660:14 0.00167794 +6 *1660:13 0.0025388 +7 *1660:8 0.005294 +8 *1660:7 0.00523711 +9 *1660:7 *2472:mprj_dat_o_core[1] 0 +10 *1660:8 *1662:14 0.00021369 +11 *1660:8 *1715:30 0.00463906 +12 *1660:8 *2191:16 0.055114 +13 *1660:17 *1724:9 0 +14 *1660:17 *2184:15 0.000682521 +15 *2472:mprj_adr_o_core[1] *1660:7 0.00024649 +16 *1275:10 *1660:14 0.00160185 +17 *1585:24 *1660:14 0.00120502 +18 *1608:24 *1660:14 0.00950683 +19 *1649:8 *1660:8 0.0472016 +20 *1657:8 *1660:8 0.00317552 +*RES +1 *2472:mprj_dat_i_core[1] *1660:7 23.4795 +2 *1660:7 *1660:8 583.612 +3 *1660:8 *1660:13 25.7811 +4 *1660:13 *1660:14 99.9974 +5 *1660:14 *1660:16 4.5 +6 *1660:16 *1660:17 51.8699 +7 *1660:17 *2478:mprj_dat_i[1] 0.928211 +*END + +*D_NET *1661 0.185892 +*CONN +*I *2478:mprj_dat_i[20] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[20] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[20] 0.00147438 +2 *2472:mprj_dat_i_core[20] 0.00283481 +3 *1661:8 0.00744998 +4 *1661:7 0.00597559 +5 *1661:5 0.00283481 +6 *1661:5 *2472:mprj_dat_o_core[20] 0 +7 *1661:8 *1726:10 0.0804 +8 *1661:8 *1738:10 0.00286678 +9 *1661:8 *1740:12 0.00024852 +10 *2472:mprj_adr_o_core[20] *1661:5 0 +11 *2472:mprj_adr_o_core[21] *1661:5 0 +12 *1122:12 *1661:8 0.000205749 +13 *1590:12 *1661:8 1.41853e-05 +14 *1590:27 *1661:8 0.00286042 +15 *1593:7 *2478:mprj_dat_i[20] 0.000555237 +16 *1593:26 *2478:mprj_dat_i[20] 0.000136229 +17 *1593:30 *1661:8 0.000202457 +18 *1594:30 *1661:8 0.00359255 +19 *1658:14 *1661:8 0.0742406 +*RES +1 *2472:mprj_dat_i_core[20] *1661:5 52.1996 +2 *1661:5 *1661:7 4.5 +3 *1661:7 *1661:8 857.586 +4 *1661:8 *2478:mprj_dat_i[20] 41.8116 +*END + +*D_NET *1662 0.184832 +*CONN +*I *2478:mprj_dat_i[21] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[21] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[21] 0.00224595 +2 *2472:mprj_dat_i_core[21] 0.0010236 +3 *1662:22 0.00224595 +4 *1662:20 0.00349084 +5 *1662:19 0.00466601 +6 *1662:14 0.00419769 +7 *1662:13 0.00321678 +8 *1662:8 0.00121094 +9 *1662:7 0.00204029 +10 *1662:7 *2472:mprj_dat_o_core[21] 0.000237581 +11 *1662:8 *1665:8 0.00750476 +12 *1662:8 *1679:8 0.00925462 +13 *1662:8 *1721:24 0.000433185 +14 *1662:8 *1728:16 0.000164123 +15 *1662:13 *1728:15 7.09666e-06 +16 *1662:14 *1743:24 0.00414909 +17 *1662:14 *2191:16 0.000290632 +18 *2472:mprj_adr_o_core[21] *1662:7 0 +19 *1250:10 *1662:8 8.46377e-05 +20 *1254:7 *1662:13 2.30095e-05 +21 *1274:7 *1662:19 3.69268e-05 +22 *1590:18 *1662:14 0.000286319 +23 *1594:7 *2478:mprj_dat_i[21] 0 +24 *1594:23 *2478:mprj_dat_i[21] 0 +25 *1597:29 *1662:13 0.000162209 +26 *1598:18 *1662:20 0.038191 +27 *1599:24 *1662:20 0.00417434 +28 *1655:12 *1662:14 0.0305025 +29 *1657:8 *1662:14 0.032395 +30 *1657:14 *1662:20 0.0323837 +31 *1660:8 *1662:14 0.00021369 +*RES +1 *2472:mprj_dat_i_core[21] *1662:7 25.971 +2 *1662:7 *1662:8 98.8882 +3 *1662:8 *1662:13 12.9083 +4 *1662:13 *1662:14 365.653 +5 *1662:14 *1662:19 31.1794 +6 *1662:19 *1662:20 401.702 +7 *1662:20 *1662:22 4.5 +8 *1662:22 *2478:mprj_dat_i[21] 49.7692 +*END + +*D_NET *1663 0.188715 +*CONN +*I *2478:mprj_dat_i[22] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[22] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[22] 0.00166938 +2 *2472:mprj_dat_i_core[22] 6.22868e-05 +3 *1663:14 0.00752917 +4 *1663:12 0.00620315 +5 *1663:7 0.00255816 +6 *1663:5 0.00227708 +7 *2478:mprj_dat_i[22] *1727:7 0 +8 *2478:mprj_dat_i[22] *1727:23 0 +9 *1663:7 *2472:mprj_dat_o_core[22] 0.00256786 +10 *1663:12 *1726:10 0.0026051 +11 *1663:12 *1727:24 3.59437e-05 +12 *1663:12 *1740:12 0.000110257 +13 *1663:14 *1726:10 0.000409617 +14 *1663:14 *1740:12 0.000157517 +15 *1663:14 *1742:30 0.000107429 +16 *1663:14 *2186:24 0.00253422 +17 *2472:mprj_adr_o_core[22] *1663:7 0 +18 *1122:12 *1663:12 0.000111708 +19 *1129:15 *1663:7 3.95188e-05 +20 *1590:27 *1663:14 6.33518e-05 +21 *1594:24 *1663:14 0.0782848 +22 *1595:7 *2478:mprj_dat_i[22] 0 +23 *1595:10 *1663:14 0.000238987 +24 *1596:10 *1663:12 0.0010263 +25 *1596:10 *1663:14 0.0801136 +26 *1612:35 *1663:7 9.98011e-06 +*RES +1 *2472:mprj_dat_i_core[22] *1663:5 1.77093 +2 *1663:5 *1663:7 53.1156 +3 *1663:7 *1663:12 32.9777 +4 *1663:12 *1663:14 845.939 +5 *1663:14 *2478:mprj_dat_i[22] 40.5659 +*END + +*D_NET *1664 0.196528 +*CONN +*I *2478:mprj_dat_i[23] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[23] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[23] 6.85902e-05 +2 *2472:mprj_dat_i_core[23] 0.001703 +3 *1664:17 0.00271803 +4 *1664:16 0.00264944 +5 *1664:14 0.00480211 +6 *1664:13 0.00517662 +7 *1664:8 0.00125746 +8 *1664:7 0.00258595 +9 *1664:7 *2472:mprj_dat_o_core[23] 0 +10 *1664:13 *1728:15 7.09666e-06 +11 *1664:14 *1665:8 0.0760008 +12 *1664:14 *1668:8 0.0760008 +13 *1664:14 *1723:16 0.00934121 +14 *2472:mprj_adr_o_core[23] *1664:7 0 +15 *611:13 *1664:7 0 +16 *1147:16 *1664:8 0.00177249 +17 *1254:7 *1664:13 0.00043595 +18 *1265:15 *1664:13 4.70005e-05 +19 *1582:16 *1664:8 0.000141029 +20 *1589:22 *1664:8 0.00818447 +21 *1596:9 *1664:17 0 +22 *1597:15 *1664:17 0.000751398 +23 *1597:29 *1664:13 5.26316e-05 +24 *1598:28 *1664:8 0.00283176 +*RES +1 *2472:mprj_dat_i_core[23] *1664:7 35.937 +2 *1664:7 *1664:8 87.2416 +3 *1664:8 *1664:13 18.3065 +4 *1664:13 *1664:14 797.134 +5 *1664:14 *1664:16 4.5 +6 *1664:16 *1664:17 65.158 +7 *1664:17 *2478:mprj_dat_i[23] 1.49002 +*END + +*D_NET *1665 0.196804 +*CONN +*I *2478:mprj_dat_i[24] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[24] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[24] 1.72824e-05 +2 *2472:mprj_dat_i_core[24] 0.001068 +3 *1665:11 0.00284831 +4 *1665:10 0.00283103 +5 *1665:8 0.00575569 +6 *1665:7 0.00682369 +7 *1665:7 *2472:mprj_dat_o_core[24] 0 +8 *1665:8 *1668:8 0.000723529 +9 *1665:8 *1679:8 1.41689e-05 +10 *1665:8 *1723:16 0.00880387 +11 *1665:8 *1728:10 0.0766446 +12 *1665:8 *1728:16 0.00755785 +13 *1665:11 *1729:15 0 +14 *2472:mprj_adr_o_core[24] *1665:7 0.000209958 +15 *1597:9 *1665:11 0 +16 *1662:8 *1665:8 0.00750476 +17 *1664:14 *1665:8 0.0760008 +*RES +1 *2472:mprj_dat_i_core[24] *1665:7 26.3862 +2 *1665:7 *1665:8 894.744 +3 *1665:8 *1665:10 4.5 +4 *1665:10 *1665:11 65.5732 +5 *1665:11 *2478:mprj_dat_i[24] 0.366399 +*END + +*D_NET *1666 0.193523 +*CONN +*I *2478:mprj_dat_i[25] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[25] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[25] 0.00162884 +2 *2472:mprj_dat_i_core[25] 0.00291824 +3 *1666:8 0.00802727 +4 *1666:7 0.00639843 +5 *1666:5 0.00291824 +6 *2478:mprj_dat_i[25] *1730:9 0 +7 *1666:5 *2472:mprj_dat_o_core[25] 0 +8 *1666:8 *1667:10 0.0845439 +9 *1666:8 *1716:10 0.000101794 +10 *1666:8 *1727:24 0.0814959 +11 *1666:8 *1730:10 0.000253172 +12 *1666:8 *1742:30 0.00316606 +13 *2472:mprj_adr_o_core[25] *1666:5 0 +14 *866:9 *1666:5 8.06219e-05 +15 *1136:15 *1666:5 0.000272294 +16 *1596:10 *1666:8 0.00020979 +17 *1596:21 *1666:8 9.97598e-05 +18 *1597:15 *1666:8 0.000453691 +19 *1597:39 *1666:8 0.000672619 +20 *1598:12 *2478:mprj_dat_i[25] 0 +21 *1598:12 *1666:8 0.00027329 +22 *1598:17 *2478:mprj_dat_i[25] 8.62625e-06 +23 *1652:12 *1666:8 0 +*RES +1 *2472:mprj_dat_i_core[25] *1666:5 54.6912 +2 *1666:5 *1666:7 4.5 +3 *1666:7 *1666:8 901.4 +4 *1666:8 *2478:mprj_dat_i[25] 39.3201 +*END + +*D_NET *1667 0.19716 +*CONN +*I *2478:mprj_dat_i[26] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[26] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[26] 0.00161404 +2 *2472:mprj_dat_i_core[26] 6.22868e-05 +3 *1667:10 0.00788834 +4 *1667:9 0.0062743 +5 *1667:7 0.00274843 +6 *1667:5 0.00281071 +7 *1667:7 *1731:27 0.000498959 +8 *1667:10 *1714:16 0.000156689 +9 *1667:10 *1716:10 0.000102215 +10 *1667:10 *1730:10 0.0850132 +11 *1667:10 *1731:24 0.000253038 +12 *1667:10 *1744:10 0.00304106 +13 *2472:mprj_adr_o_core[26] *1667:7 0 +14 *2478:la_input[105] *1667:7 0.000240764 +15 *1123:13 *1667:7 0 +16 *1141:15 *1667:7 0.000131931 +17 *1598:12 *1667:10 1.41853e-05 +18 *1598:37 *1667:10 0.0013863 +19 *1599:7 *2478:mprj_dat_i[26] 0 +20 *1599:10 *1667:10 0.000379505 +21 *1666:8 *1667:10 0.0845439 +*RES +1 *2472:mprj_dat_i_core[26] *1667:5 1.77093 +2 *1667:5 *1667:7 55.1919 +3 *1667:7 *1667:9 4.5 +4 *1667:9 *1667:10 908.055 +5 *1667:10 *2478:mprj_dat_i[26] 38.9049 +*END + +*D_NET *1668 0.199736 +*CONN +*I *2478:mprj_dat_i[27] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[27] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[27] 0.000107621 +2 *2472:mprj_dat_i_core[27] 0.0012134 +3 *1668:11 0.00248765 +4 *1668:10 0.00238003 +5 *1668:8 0.00643214 +6 *1668:7 0.00764554 +7 *1668:7 *2472:mprj_dat_o_core[27] 0 +8 *1668:8 *1670:8 0.0863197 +9 *1668:8 *1725:24 0.00914421 +10 *1668:8 *1728:16 0.00575712 +11 *1668:8 *1729:16 0.000108464 +12 *1668:8 *1732:10 0.000253799 +13 *2472:mprj_adr_o_core[27] *1668:7 0 +14 *1252:10 *1668:8 4.03749e-05 +15 *1600:7 *1668:11 0.00071777 +16 *1600:23 *1668:11 0.000403607 +17 *1664:14 *1668:8 0.0760008 +18 *1665:8 *1668:8 0.000723529 +*RES +1 *2472:mprj_dat_i_core[27] *1668:7 27.2167 +2 *1668:7 *1668:8 919.147 +3 *1668:8 *1668:10 4.5 +4 *1668:10 *1668:11 64.7427 +5 *1668:11 *2478:mprj_dat_i[27] 2.05183 +*END + +*D_NET *1669 0.201635 +*CONN +*I *2478:mprj_dat_i[28] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[28] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[28] 0.00131461 +2 *2472:mprj_dat_i_core[28] 0.00307041 +3 *1669:8 0.00771501 +4 *1669:7 0.0064004 +5 *1669:5 0.00307041 +6 *1669:5 *2472:mprj_dat_o_core[28] 0 +7 *1669:8 *1714:16 0.00350473 +8 *1669:8 *1716:10 0.00012426 +9 *1669:8 *1731:24 0.085759 +10 *2472:mprj_adr_o_core[28] *1669:5 0 +11 *867:9 *1669:5 0.00039899 +12 *1599:10 *1669:8 0.00022889 +13 *1600:10 *1669:8 0.00153498 +14 *1601:9 *2478:mprj_dat_i[28] 0.000697318 +15 *1601:10 *1669:8 0.0877024 +16 *1601:19 *1669:8 0.000113968 +*RES +1 *2472:mprj_dat_i_core[28] *1669:5 56.3522 +2 *1669:5 *1669:7 4.5 +3 *1669:7 *1669:8 927.466 +4 *1669:8 *2478:mprj_dat_i[28] 37.6591 +*END + +*D_NET *1670 0.209037 +*CONN +*I *2478:mprj_dat_i[29] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[29] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[29] 7.75081e-05 +2 *2472:mprj_dat_i_core[29] 0.00113704 +3 *1670:11 0.00178241 +4 *1670:10 0.0017049 +5 *1670:8 0.0061726 +6 *1670:7 0.00730964 +7 *1670:7 *2472:mprj_dat_o_core[29] 0.000243194 +8 *1670:8 *1673:8 0.000304636 +9 *1670:8 *1725:24 0.0101446 +10 *1670:8 *1729:16 0.000117719 +11 *1670:8 *1732:10 7.24449e-05 +12 *1670:8 *1734:10 0.0891279 +13 *1670:11 *2410:14 0.00230193 +14 *1670:11 *2411:14 0.00222106 +15 *2472:mprj_adr_o_core[29] *1670:7 0 +16 *1602:7 *1670:11 0 +17 *1668:8 *1670:8 0.0863197 +*RES +1 *2472:mprj_dat_i_core[29] *1670:7 27.632 +2 *1670:7 *1670:8 936.894 +3 *1670:8 *1670:10 4.5 +4 *1670:10 *1670:11 64.3275 +5 *1670:11 *2478:mprj_dat_i[29] 1.49002 +*END + +*D_NET *1671 0.149318 +*CONN +*I *2478:mprj_dat_i[2] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[2] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[2] 9.53405e-05 +2 *2472:mprj_dat_i_core[2] 0.000945021 +3 *1671:11 0.00329372 +4 *1671:10 0.00319838 +5 *1671:8 0.00618864 +6 *1671:7 0.00713366 +7 *1671:7 *2472:mprj_dat_o_core[2] 0 +8 *1671:8 *1675:8 0.0640834 +9 *1671:8 *1717:24 0.00627732 +10 *1671:8 *2191:16 0.0574594 +11 *1671:11 *1735:7 0 +12 *1671:11 *1735:23 0 +13 *2472:mprj_adr_o_core[2] *1671:7 0 +14 *606:8 *1671:8 0.000618181 +15 *865:16 *1671:8 2.50997e-05 +16 *1590:18 *1671:8 0 +17 *1603:7 *1671:11 0 +18 *1603:21 *1671:11 0 +*RES +1 *2472:mprj_dat_i_core[2] *1671:7 24.31 +2 *1671:7 *1671:8 693.978 +3 *1671:8 *1671:10 4.5 +4 *1671:10 *1671:11 67.6495 +5 *1671:11 *2478:mprj_dat_i[2] 2.05183 +*END + +*D_NET *1672 0.205206 +*CONN +*I *2478:mprj_dat_i[30] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[30] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[30] 0.00153071 +2 *2472:mprj_dat_i_core[30] 0.00316207 +3 *1672:8 0.00807779 +4 *1672:7 0.00654708 +5 *1672:5 0.00316207 +6 *2478:mprj_dat_i[30] *1736:9 0 +7 *2478:mprj_dat_i[30] *2412:14 3.92579e-05 +8 *1672:5 *2472:mprj_dat_o_core[30] 0 +9 *1672:8 *1718:10 0.00359735 +10 *1672:8 *1733:10 0.0872733 +11 *1672:8 *1733:19 0.00218537 +12 *1672:8 *1736:10 0.0892527 +13 *2472:mprj_adr_o_core[30] *1672:5 0 +14 *1252:7 *1672:5 0.0002888 +15 *1604:7 *2478:mprj_dat_i[30] 0 +16 *1652:12 *1672:8 8.90486e-05 +*RES +1 *2472:mprj_dat_i_core[30] *1672:5 57.5979 +2 *1672:5 *1672:7 4.5 +3 *1672:7 *1672:8 945.213 +4 *1672:8 *2478:mprj_dat_i[30] 36.4134 +*END + +*D_NET *1673 0.210139 +*CONN +*I *2478:mprj_dat_i[31] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[31] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[31] 0.00017106 +2 *2472:mprj_dat_i_core[31] 0.00135448 +3 *1673:11 0.00285254 +4 *1673:10 0.00268148 +5 *1673:8 0.015359 +6 *1673:7 0.0167134 +7 *1673:7 *2472:mprj_dat_o_core[31] 0 +8 *1673:8 *1729:16 0.0928511 +9 *1673:8 *1734:10 0.000325419 +10 *1673:8 *1735:24 0.0552346 +11 *1673:8 *1737:16 0.0187229 +12 *1673:8 *2185:10 0.000224106 +13 *1673:11 *1737:15 0 +14 *2472:mprj_adr_o_core[31] *1673:7 0 +15 *1582:16 *1673:8 0 +16 *1605:7 *1673:11 0 +17 *1605:23 *1673:11 0 +18 *1610:30 *1673:8 0.00334468 +19 *1670:8 *1673:8 0.000304636 +*RES +1 *2472:mprj_dat_i_core[31] *1673:7 28.3694 +2 *1673:7 *1673:8 131.536 +3 *1673:8 *1673:10 3.36879 +4 *1673:10 *1673:11 62.4588 +5 *1673:11 *2478:mprj_dat_i[31] 2.05183 +*END + +*D_NET *1674 0.151399 +*CONN +*I *2478:mprj_dat_i[3] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[3] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[3] 0.0011173 +2 *2472:mprj_dat_i_core[3] 0.0023602 +3 *1674:8 0.00640039 +4 *1674:7 0.00528309 +5 *1674:5 0.0023602 +6 *2478:mprj_dat_i[3] *1738:9 0 +7 *1674:5 *2472:mprj_dat_o_core[3] 0 +8 *1674:5 *2472:mprj_sel_o_core[3] 0 +9 *1674:8 *1722:10 0 +10 *2472:mprj_adr_o_core[3] *1674:5 0.000754523 +11 *867:19 *1674:5 0.00157513 +12 *985:9 *1674:5 8.05899e-05 +13 *1369:9 *1674:5 0 +14 *1371:12 *1674:8 0.00012426 +15 *1603:10 *1674:8 0.0646009 +16 *1606:9 *2478:mprj_dat_i[3] 0 +17 *1606:10 *1674:8 0.0664828 +18 *1606:24 *1674:8 0.000259093 +*RES +1 *2472:mprj_dat_i_core[3] *1674:5 62.5809 +2 *1674:5 *1674:7 4.5 +3 *1674:7 *1674:8 705.625 +4 *1674:8 *2478:mprj_dat_i[3] 31.4303 +*END + +*D_NET *1675 0.156756 +*CONN +*I *2478:mprj_dat_i[4] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[4] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[4] 8.30061e-05 +2 *2472:mprj_dat_i_core[4] 0.000959646 +3 *1675:11 0.0032129 +4 *1675:10 0.00312989 +5 *1675:8 0.00557928 +6 *1675:7 0.00653893 +7 *1675:7 *2472:mprj_dat_o_core[4] 0 +8 *1675:8 *1677:8 0.066771 +9 *1675:8 *1719:24 0.0061042 +10 *2472:mprj_adr_o_core[4] *1675:7 0 +11 *865:16 *1675:8 0.000294016 +12 *1607:9 *1675:11 0 +13 *1671:8 *1675:8 0.0640834 +*RES +1 *2472:mprj_dat_i_core[4] *1675:7 24.7252 +2 *1675:7 *1675:8 717.271 +3 *1675:8 *1675:10 4.5 +4 *1675:10 *1675:11 67.2342 +5 *1675:11 *2478:mprj_dat_i[4] 2.05183 +*END + +*D_NET *1676 0.156007 +*CONN +*I *2478:mprj_dat_i[5] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[5] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[5] 0.00118796 +2 *2472:mprj_dat_i_core[5] 0.00278863 +3 *1676:8 0.00645938 +4 *1676:7 0.00527142 +5 *1676:5 0.00278863 +6 *2478:mprj_dat_i[5] *1739:13 5.05252e-05 +7 *1676:5 *2472:mprj_dat_o_core[5] 0.000640321 +8 *1676:8 *1678:8 0.0674494 +9 *1676:8 *1735:10 0.000246453 +10 *1676:8 *2195:10 0.000643073 +11 *2472:mprj_adr_o_core[5] *1676:5 0 +12 *2472:mprj_adr_o_core[6] *1676:5 0 +13 *606:14 *1676:8 0.000161493 +14 *1370:9 *1676:5 4.38172e-05 +15 *1607:16 *1676:8 0 +16 *1608:7 *2478:mprj_dat_i[5] 0 +17 *1608:23 *2478:mprj_dat_i[5] 0 +18 *1609:19 *1676:8 0.0682756 +*RES +1 *2472:mprj_dat_i_core[5] *1676:5 60.9199 +2 *1676:5 *1676:7 4.5 +3 *1676:7 *1676:8 725.59 +4 *1676:8 *2478:mprj_dat_i[5] 33.0913 +*END + +*D_NET *1677 0.16222 +*CONN +*I *2478:mprj_dat_i[6] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[6] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[6] 8.30061e-05 +2 *2472:mprj_dat_i_core[6] 0.000984498 +3 *1677:11 0.00316727 +4 *1677:10 0.00308426 +5 *1677:8 0.00543128 +6 *1677:7 0.00641578 +7 *1677:7 *2472:mprj_dat_o_core[6] 0 +8 *1677:8 *1679:8 0.0684962 +9 *1677:8 *1719:24 0.00726186 +10 *2472:mprj_adr_o_core[6] *1677:7 0 +11 *2472:mprj_adr_o_core[7] *1677:7 0 +12 *865:16 *1677:8 0.000525232 +13 *1609:18 *1677:11 0 +14 *1675:8 *1677:8 0.066771 +*RES +1 *2472:mprj_dat_i_core[6] *1677:7 25.1405 +2 *1677:7 *1677:8 735.019 +3 *1677:8 *1677:10 4.5 +4 *1677:10 *1677:11 66.819 +5 *1677:11 *2478:mprj_dat_i[6] 2.05183 +*END + +*D_NET *1678 0.159762 +*CONN +*I *2478:mprj_dat_i[7] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[7] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[7] 0.00121894 +2 *2472:mprj_dat_i_core[7] 0.00306157 +3 *1678:8 0.00676464 +4 *1678:7 0.0055457 +5 *1678:5 0.00306157 +6 *2478:mprj_dat_i[7] *1742:29 0 +7 *1678:5 *2472:mprj_dat_o_core[7] 0 +8 *1678:8 *1718:10 0.000365829 +9 *1678:8 *2195:10 0.00160888 +10 *2472:mprj_adr_o_core[7] *1678:5 0 +11 *731:11 *1678:5 0 +12 *1118:12 *1678:8 0.000123271 +13 *1248:10 *1678:8 0.000108607 +14 *1607:16 *1678:8 2.53589e-05 +15 *1608:10 *1678:8 0 +16 *1609:19 *1678:8 0.000508612 +17 *1610:7 *2478:mprj_dat_i[7] 0 +18 *1610:10 *1678:8 0 +19 *1610:23 *2478:mprj_dat_i[7] 0 +20 *1611:10 *1678:8 0.0699197 +21 *1676:8 *1678:8 0.0674494 +*RES +1 *2472:mprj_dat_i_core[7] *1678:5 60.5047 +2 *1678:5 *1678:7 4.5 +3 *1678:7 *1678:8 743.338 +4 *1678:8 *2478:mprj_dat_i[7] 33.5066 +*END + +*D_NET *1679 0.162791 +*CONN +*I *2478:mprj_dat_i[8] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[8] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[8] 9.52866e-05 +2 *2472:mprj_dat_i_core[8] 0.000900476 +3 *1679:11 0.00313573 +4 *1679:10 0.00304045 +5 *1679:8 0.00608808 +6 *1679:7 0.00698855 +7 *1679:7 *2472:mprj_dat_o_core[8] 0 +8 *1679:8 *1721:24 0.00679209 +9 *1679:8 *1728:10 0.0542317 +10 *1679:11 *1743:7 0 +11 *1679:11 *1743:21 0 +12 *2472:mprj_adr_o_core[8] *1679:7 0.000269015 +13 *865:16 *1679:8 0.00302127 +14 *1119:10 *1679:8 7.92757e-06 +15 *1122:16 *1679:8 0.000455956 +16 *1250:10 *1679:8 0 +17 *1611:9 *1679:11 0 +18 *1662:8 *1679:8 0.00925462 +19 *1665:8 *1679:8 1.41689e-05 +20 *1677:8 *1679:8 0.0684962 +*RES +1 *2472:mprj_dat_i_core[8] *1679:7 25.5557 +2 *1679:7 *1679:8 752.766 +3 *1679:8 *1679:10 4.5 +4 *1679:10 *1679:11 66.4037 +5 *1679:11 *2478:mprj_dat_i[8] 2.05183 +*END + +*D_NET *1680 0.164613 +*CONN +*I *2478:mprj_dat_i[9] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[9] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[9] 0.00127572 +2 *2472:mprj_dat_i_core[9] 0.00302015 +3 *1680:8 0.00690345 +4 *1680:7 0.00562773 +5 *1680:5 0.00302015 +6 *2478:mprj_dat_i[9] *1744:9 0 +7 *1680:5 *2472:mprj_dat_o_core[9] 0 +8 *1680:8 *1718:10 0.000620666 +9 *1680:8 *2195:10 0.00215045 +10 *2472:mprj_adr_o_core[9] *1680:5 0 +11 *987:9 *1680:5 0.000117507 +12 *1248:10 *1680:8 0.000124658 +13 *1582:13 *2478:mprj_dat_i[9] 0 +14 *1607:16 *1680:8 0.000159317 +15 *1610:10 *1680:8 0 +16 *1611:10 *1680:8 0.0701852 +17 *1612:7 *2478:mprj_dat_i[9] 0 +18 *1612:10 *1680:8 1.41317e-05 +19 *1650:8 *1680:8 0.0713938 +*RES +1 *2472:mprj_dat_i_core[9] *1680:5 59.6742 +2 *1680:5 *1680:7 4.5 +3 *1680:7 *1680:8 761.085 +4 *1680:8 *2478:mprj_dat_i[9] 34.3371 +*END + +*D_NET *1681 0.156593 +*CONN +*I *2472:mprj_dat_i_user[0] I *D mgmt_protect +*I *2473:wbs_dat_o[0] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[0] 0.0013207 +2 *2473:wbs_dat_o[0] 0.0020101 +3 *1681:8 0.00662251 +4 *1681:7 0.0053018 +5 *1681:5 0.0020101 +6 *2472:mprj_dat_i_user[0] *1745:7 0 +7 *2472:mprj_dat_i_user[0] *2187:7 0 +8 *1681:8 *2187:8 0.00799443 +9 *1373:8 *1681:8 5.03285e-05 +10 *1613:7 *2472:mprj_dat_i_user[0] 0 +11 *1613:8 *1681:8 0.0689307 +12 *1620:13 *2472:mprj_dat_i_user[0] 0 +13 *1638:8 *1681:8 0.0623518 +*RES +1 *2473:wbs_dat_o[0] *1681:5 53.4454 +2 *1681:5 *1681:7 4.5 +3 *1681:7 *1681:8 723.927 +4 *1681:8 *2472:mprj_dat_i_user[0] 35.1065 +*END + +*D_NET *1682 0.145926 +*CONN +*I *2472:mprj_dat_i_user[10] I *D mgmt_protect +*I *2473:wbs_dat_o[10] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[10] 0.00203989 +2 *2473:wbs_dat_o[10] 0.00166707 +3 *1682:8 0.00937662 +4 *1682:7 0.00900379 +5 *2472:mprj_dat_i_user[10] *1746:7 0 +6 *1682:8 *1712:8 0.0613396 +7 *1682:8 *1747:8 0.000242717 +8 *1682:8 *1761:8 0.000238938 +9 *1682:8 *1776:8 0.0616548 +10 *2473:la_oenb[5] *2472:mprj_dat_i_user[10] 0 +11 *1072:5 *2472:mprj_dat_i_user[10] 0 +12 *1614:7 *2472:mprj_dat_i_user[10] 0 +13 *1629:8 *1682:8 0.000362278 +*RES +1 *2473:wbs_dat_o[10] *1682:7 43.3185 +2 *1682:7 *1682:8 79.1407 +3 *1682:8 *2472:mprj_dat_i_user[10] 47.471 +*END + +*D_NET *1683 0.124689 +*CONN +*I *2472:mprj_dat_i_user[11] I *D mgmt_protect +*I *2473:wbs_dat_o[11] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[11] 0.0014995 +2 *2473:wbs_dat_o[11] 0.00206786 +3 *1683:8 0.00531216 +4 *1683:7 0.00381265 +5 *1683:5 0.00206786 +6 *2472:mprj_dat_i_user[11] *1746:7 0 +7 *2472:mprj_dat_i_user[11] *1747:7 0 +8 *1683:8 *1746:8 0.0527584 +9 *1683:8 *1748:8 0.0518492 +10 *1683:8 *1771:8 0.00521143 +11 *2473:la_oenb[5] *2472:mprj_dat_i_user[11] 0 +12 *1445:8 *1683:8 1.5006e-05 +13 *1456:8 *1683:8 9.51286e-05 +14 *1615:7 *2472:mprj_dat_i_user[11] 0 +*RES +1 *2473:wbs_dat_o[11] *1683:5 49.7081 +2 *1683:5 *1683:7 4.5 +3 *1683:7 *1683:8 559.764 +4 *1683:8 *2472:mprj_dat_i_user[11] 38.8438 +*END + +*D_NET *1684 0.104081 +*CONN +*I *2472:mprj_dat_i_user[12] I *D mgmt_protect +*I *2473:wbs_dat_o[12] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[12] 0.0017723 +2 *2473:wbs_dat_o[12] 0.00178179 +3 *1684:8 0.0165528 +4 *1684:7 0.0165623 +5 *2472:mprj_dat_i_user[12] *1685:16 0.000267319 +6 *2472:mprj_dat_i_user[12] *1748:7 0 +7 *1684:8 *1700:8 0.000133887 +8 *1684:8 *1700:18 0.000108607 +9 *1684:8 *1702:8 0.000231696 +10 *1684:8 *1704:8 0.000102438 +11 *1684:8 *1747:8 0.0587635 +12 *1684:8 *1765:14 0.000220514 +13 *1684:8 *1765:20 0.00012309 +14 *2473:la_data_in[6] *2472:mprj_dat_i_user[12] 0 +15 *1083:5 *2472:mprj_dat_i_user[12] 0 +16 *1616:7 *2472:mprj_dat_i_user[12] 0 +17 *1616:8 *1684:8 0.00712931 +18 *1633:8 *1684:8 0.000220514 +19 *1637:8 *1684:8 0.000111178 +*RES +1 *2473:wbs_dat_o[12] *1684:7 45.81 +2 *1684:7 *1684:8 75.4692 +3 *1684:8 *2472:mprj_dat_i_user[12] 44.9795 +*END + +*D_NET *1685 0.114364 +*CONN +*I *2472:mprj_dat_i_user[13] I *D mgmt_protect +*I *2473:wbs_dat_o[13] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[13] 0.000779681 +2 *2473:wbs_dat_o[13] 0.00204485 +3 *1685:16 0.00162287 +4 *1685:8 0.00513956 +5 *1685:7 0.00429637 +6 *1685:5 0.00204485 +7 *2472:mprj_dat_i_user[13] *1749:5 0 +8 *1685:8 *1687:10 0 +9 *1685:8 *1691:14 0.00301707 +10 *1685:8 *1748:8 0.00034733 +11 *1685:8 *1752:12 0.0445541 +12 *1685:8 *1773:8 0.00358909 +13 *1685:16 *1687:18 0.000296342 +14 *1685:16 *1748:7 0.000140424 +15 *2472:mprj_dat_i_user[12] *1685:16 0.000267319 +16 *1083:5 *1685:16 3.20069e-06 +17 *1617:5 *2472:mprj_dat_i_user[13] 0 +18 *1618:14 *1685:8 0.0462205 +*RES +1 *2473:wbs_dat_o[13] *1685:5 48.4624 +2 *1685:5 *1685:7 4.5 +3 *1685:7 *1685:8 526.488 +4 *1685:8 *1685:16 35.5835 +5 *1685:16 *2472:mprj_dat_i_user[13] 21.0557 +*END + +*D_NET *1686 0.113377 +*CONN +*I *2472:mprj_dat_i_user[14] I *D mgmt_protect +*I *2473:wbs_dat_o[14] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[14] 6.22868e-05 +2 *2473:wbs_dat_o[14] 0.00138503 +3 *1686:11 0.00190199 +4 *1686:10 0.0018397 +5 *1686:8 0.00357635 +6 *1686:7 0.00496138 +7 *1686:8 *1692:8 0.00147286 +8 *1686:8 *1749:8 0.0488574 +9 *1686:8 *1751:8 0.0478548 +10 *1686:11 *1749:5 0 +11 *1686:11 *1750:7 0 +12 *2473:la_data_in[7] *1686:11 0.000188291 +13 *805:8 *1686:8 6.45664e-05 +14 *1618:7 *1686:11 0.000898055 +15 *1619:8 *1686:8 0.000313928 +*RES +1 *2473:wbs_dat_o[14] *1686:7 39.2591 +2 *1686:7 *1686:8 518.723 +3 *1686:8 *1686:10 4.5 +4 *1686:10 *1686:11 49.3784 +5 *1686:11 *2472:mprj_dat_i_user[14] 1.77093 +*END + +*D_NET *1687 0.106253 +*CONN +*I *2472:mprj_dat_i_user[15] I *D mgmt_protect +*I *2473:wbs_dat_o[15] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[15] 0.000808891 +2 *2473:wbs_dat_o[15] 0.00195503 +3 *1687:18 0.00210878 +4 *1687:17 0.00192802 +5 *1687:12 0.00353319 +6 *1687:10 0.0039608 +7 *1687:5 0.00301076 +8 *2472:mprj_dat_i_user[15] *1751:5 0 +9 *1687:10 *1752:12 8.92241e-05 +10 *1687:12 *1689:8 0.0387092 +11 *1687:12 *1709:8 0.00321977 +12 *1687:12 *1752:12 0.0400836 +13 *1687:12 *1775:8 0.000202397 +14 *1687:17 *2472:mprj_dat_i_user[8] 0.000526414 +15 *1445:11 *1687:17 4.34379e-05 +16 *1616:8 *1687:10 0.00035954 +17 *1618:13 *1687:17 6.88675e-05 +18 *1619:5 *2472:mprj_dat_i_user[15] 0 +19 *1620:8 *1687:18 0.00534892 +20 *1643:5 *1687:17 0 +21 *1685:8 *1687:10 0 +22 *1685:16 *1687:18 0.000296342 +*RES +1 *2473:wbs_dat_o[15] *1687:5 45.9709 +2 *1687:5 *1687:10 34.7621 +3 *1687:10 *1687:12 421.668 +4 *1687:12 *1687:17 23.7048 +5 *1687:17 *1687:18 57.293 +6 *1687:18 *2472:mprj_dat_i_user[15] 26.3862 +*END + +*D_NET *1688 0.107506 +*CONN +*I *2472:mprj_dat_i_user[16] I *D mgmt_protect +*I *2473:wbs_dat_o[16] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[16] 0.00218616 +2 *2473:wbs_dat_o[16] 0.00132767 +3 *1688:10 0.00218616 +4 *1688:8 0.00347403 +5 *1688:7 0.0048017 +6 *2472:mprj_dat_i_user[16] *1751:5 0 +7 *2472:mprj_dat_i_user[16] *1752:10 0 +8 *1688:8 *1751:8 0.000202245 +9 *1688:8 *2190:8 0.00117777 +10 *2473:la_oenb[7] *2472:mprj_dat_i_user[16] 0.000185323 +11 *805:8 *1688:8 0 +12 *1619:8 *1688:8 0.045803 +13 *1620:7 *2472:mprj_dat_i_user[16] 0 +14 *1621:7 *2472:mprj_dat_i_user[16] 0 +15 *1621:10 *1688:8 0.0461618 +*RES +1 *2473:wbs_dat_o[16] *1688:7 38.0133 +2 *1688:7 *1688:8 493.211 +3 *1688:8 *1688:10 4.5 +4 *1688:10 *2472:mprj_dat_i_user[16] 50.5386 +*END + +*D_NET *1689 0.104966 +*CONN +*I *2472:mprj_dat_i_user[17] I *D mgmt_protect +*I *2473:wbs_dat_o[17] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[17] 0.00162704 +2 *2473:wbs_dat_o[17] 0.00204434 +3 *1689:12 0.0024839 +4 *1689:10 0.000877732 +5 *1689:8 0.00277699 +6 *1689:7 0.00275613 +7 *1689:5 0.00204434 +8 *2472:mprj_dat_i_user[17] *1753:5 0 +9 *1689:8 *1752:12 1.15389e-05 +10 *1689:8 *1775:8 0.00362033 +11 *1689:12 *1752:10 0.000609798 +12 *1689:12 *1752:12 0.000351167 +13 *2473:la_data_in[8] *2472:mprj_dat_i_user[17] 0 +14 *1478:8 *1689:12 0.000218833 +15 *1618:8 *1689:8 0.000211478 +16 *1618:8 *1689:12 0.00176543 +17 *1621:7 *2472:mprj_dat_i_user[17] 0 +18 *1622:8 *1689:8 1.67988e-05 +19 *1622:8 *1689:12 0.00660833 +20 *1622:10 *1689:10 9.95922e-06 +21 *1622:12 *1689:8 0.0382226 +22 *1687:12 *1689:8 0.0387092 +*RES +1 *2473:wbs_dat_o[17] *1689:5 47.2166 +2 *1689:5 *1689:7 4.5 +3 *1689:7 *1689:8 410.021 +4 *1689:8 *1689:10 0.578717 +5 *1689:10 *1689:12 70.6034 +6 *1689:12 *2472:mprj_dat_i_user[17] 41.7506 +*END + +*D_NET *1690 0.0984967 +*CONN +*I *2472:mprj_dat_i_user[18] I *D mgmt_protect +*I *2473:wbs_dat_o[18] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[18] 0.00236403 +2 *2473:wbs_dat_o[18] 0.00121295 +3 *1690:10 0.00236403 +4 *1690:8 0.00375633 +5 *1690:7 0.00496929 +6 *2472:mprj_dat_i_user[18] *1754:7 0 +7 *1690:8 *1753:8 0.000131218 +8 *1690:8 *1757:8 0.0408833 +9 *1690:8 *1772:8 0.000354801 +10 *2473:la_oenb[8] *2472:mprj_dat_i_user[18] 0 +11 *1105:5 *2472:mprj_dat_i_user[18] 0 +12 *1622:7 *2472:mprj_dat_i_user[18] 0 +13 *1623:5 *2472:mprj_dat_i_user[18] 0 +14 *1623:8 *1690:8 0.000897631 +15 *1625:10 *1690:8 0.0415631 +*RES +1 *2473:wbs_dat_o[18] *1690:7 35.5218 +2 *1690:7 *1690:8 466.59 +3 *1690:8 *1690:10 4.5 +4 *1690:10 *2472:mprj_dat_i_user[18] 53.0301 +*END + +*D_NET *1691 0.0820245 +*CONN +*I *2472:mprj_dat_i_user[19] I *D mgmt_protect +*I *2473:wbs_dat_o[19] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[19] 0.00152291 +2 *2473:wbs_dat_o[19] 0.00203045 +3 *1691:14 0.00236607 +4 *1691:13 0.000969455 +5 *1691:8 0.006066 +6 *1691:7 0.0059397 +7 *1691:5 0.00203045 +8 *2472:mprj_dat_i_user[19] *1755:5 0 +9 *1691:8 *1700:8 0 +10 *1691:13 *1775:7 1.47632e-05 +11 *1691:14 *1748:8 0.00317414 +12 *1691:14 *1752:12 3.83336e-05 +13 *733:14 *1691:8 7.02172e-06 +14 *733:20 *1691:8 0.00398786 +15 *783:8 *1691:8 0.00246556 +16 *827:8 *1691:14 0.000301715 +17 *838:8 *1691:14 0.00380725 +18 *1083:8 *1691:14 0.00510047 +19 *1445:11 *1691:13 0.000124169 +20 *1616:8 *1691:8 0.00275392 +21 *1622:12 *1691:8 0.0347793 +22 *1623:5 *2472:mprj_dat_i_user[19] 0 +23 *1633:14 *1691:8 0.00152799 +24 *1685:8 *1691:14 0.00301707 +*RES +1 *2473:wbs_dat_o[19] *1691:5 46.3861 +2 *1691:5 *1691:7 4.5 +3 *1691:7 *1691:8 366.207 +4 *1691:8 *1691:13 11.6625 +5 *1691:13 *1691:14 87.7962 +6 *1691:14 *2472:mprj_dat_i_user[19] 39.6743 +*END + +*D_NET *1692 0.174867 +*CONN +*I *2472:mprj_dat_i_user[1] I *D mgmt_protect +*I *2473:wbs_dat_o[1] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[1] 8.20467e-05 +2 *2473:wbs_dat_o[1] 0.00133475 +3 *1692:11 0.00206008 +4 *1692:10 0.00197803 +5 *1692:8 0.0089998 +6 *1692:7 0.0103346 +7 *1692:8 *1706:8 0.000131 +8 *1692:8 *1751:8 0.00135408 +9 *1692:8 *1767:10 0.0742015 +10 *1692:8 *1770:8 0.00066078 +11 *1692:8 *2188:8 0.00066078 +12 *1692:8 *2190:8 0.069545 +13 *1692:8 *2192:8 0.000198316 +14 *1692:11 *1700:17 1.00824e-05 +15 *1692:11 *1756:7 0 +16 *2473:la_oenb[1] *1692:11 8.8054e-05 +17 *1624:7 *1692:11 0.00175531 +18 *1633:13 *1692:11 0 +19 *1639:13 *1692:11 0 +20 *1686:8 *1692:8 0.00147286 +*RES +1 *2473:wbs_dat_o[1] *1692:7 38.3355 +2 *1692:7 *1692:8 97.1924 +3 *1692:8 *1692:10 3.36879 +4 *1692:10 *1692:11 49.1707 +5 *1692:11 *2472:mprj_dat_i_user[1] 2.33274 +*END + +*D_NET *1693 0.113905 +*CONN +*I *2472:mprj_dat_i_user[20] I *D mgmt_protect +*I *2473:wbs_dat_o[20] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[20] 0.00107888 +2 *2473:wbs_dat_o[20] 0.00271043 +3 *1693:8 0.00794281 +4 *1693:7 0.00686392 +5 *1693:5 0.00271043 +6 *2472:mprj_dat_i_user[20] *1757:5 0 +7 *1693:8 *1695:8 0.0429385 +8 *1693:8 *1754:8 0.0461657 +9 *1693:8 *1762:8 0.00143374 +10 *1625:7 *2472:mprj_dat_i_user[20] 0 +11 *1630:8 *1693:8 0.00206086 +*RES +1 *2473:wbs_dat_o[20] *1693:5 57.3903 +2 *1693:5 *1693:7 3.36879 +3 *1693:7 *1693:8 60.7066 +4 *1693:8 *2472:mprj_dat_i_user[20] 30.0304 +*END + +*D_NET *1694 0.0612911 +*CONN +*I *2472:mprj_dat_i_user[21] I *D mgmt_protect +*I *2473:wbs_dat_o[21] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[21] 0.00215016 +2 *2473:wbs_dat_o[21] 0.00115565 +3 *1694:10 0.00215016 +4 *1694:8 0.00974792 +5 *1694:7 0.0109036 +6 *2472:mprj_dat_i_user[21] *1757:5 0 +7 *2472:mprj_dat_i_user[21] *1758:7 0 +8 *1694:8 *1757:8 0.00473309 +9 *1694:8 *1759:14 0.0294113 +10 *2473:la_oenb[9] *2472:mprj_dat_i_user[21] 0.000936873 +11 *1626:7 *2472:mprj_dat_i_user[21] 0 +12 *1627:7 *2472:mprj_dat_i_user[21] 0 +13 *1643:8 *1694:8 0.00010238 +*RES +1 *2473:wbs_dat_o[21] *1694:7 34.276 +2 *1694:7 *1694:8 427.214 +3 *1694:8 *1694:10 4.5 +4 *1694:10 *2472:mprj_dat_i_user[21] 54.2759 +*END + +*D_NET *1695 0.109581 +*CONN +*I *2472:mprj_dat_i_user[22] I *D mgmt_protect +*I *2473:wbs_dat_o[22] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[22] 0.00102436 +2 *2473:wbs_dat_o[22] 0.00278943 +3 *1695:8 0.00746577 +4 *1695:7 0.00644141 +5 *1695:5 0.00278943 +6 *2472:mprj_dat_i_user[22] *1759:5 0 +7 *1695:8 *1699:8 0.00107168 +8 *1627:7 *2472:mprj_dat_i_user[22] 0 +9 *1628:8 *1695:8 0.0438945 +10 *1632:8 *1695:8 0.00116569 +11 *1693:8 *1695:8 0.0429385 +*RES +1 *2473:wbs_dat_o[22] *1695:5 58.2208 +2 *1695:5 *1695:7 3.36879 +3 *1695:7 *1695:8 57.0351 +4 *1695:8 *2472:mprj_dat_i_user[22] 29.1999 +*END + +*D_NET *1696 0.0878794 +*CONN +*I *2472:mprj_dat_i_user[23] I *D mgmt_protect +*I *2473:wbs_dat_o[23] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[23] 0.00198775 +2 *2473:wbs_dat_o[23] 0.0017554 +3 *1696:8 0.00499769 +4 *1696:7 0.00476533 +5 *2472:mprj_dat_i_user[23] *1759:5 0 +6 *2472:mprj_dat_i_user[23] *1760:7 0 +7 *1696:8 *1712:8 0.000362278 +8 *1696:8 *1761:8 0.0364355 +9 *772:8 *1696:8 0.000540322 +10 *1620:14 *1696:8 0.000131218 +11 *1627:10 *1696:8 0.0365949 +12 *1628:7 *2472:mprj_dat_i_user[23] 0 +13 *1629:7 *2472:mprj_dat_i_user[23] 0 +14 *1629:8 *1696:8 0.000309013 +*RES +1 *2473:wbs_dat_o[23] *1696:7 43.4116 +2 *1696:7 *1696:8 400.593 +3 *1696:8 *2472:mprj_dat_i_user[23] 49.6404 +*END + +*D_NET *1697 0.0860614 +*CONN +*I *2472:mprj_dat_i_user[24] I *D mgmt_protect +*I *2473:wbs_dat_o[24] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[24] 0.00111675 +2 *2473:wbs_dat_o[24] 0.0027145 +3 *1697:8 0.00416449 +4 *1697:7 0.00304774 +5 *1697:5 0.0027145 +6 *2472:mprj_dat_i_user[24] *1761:7 0 +7 *1697:8 *1754:8 0.00143374 +8 *1697:8 *1758:8 0.000226394 +9 *1697:8 *1760:8 0.0359827 +10 *1697:8 *1762:8 0.000202245 +11 *1373:8 *1697:8 0.000505953 +12 *1626:8 *1697:8 0.0339524 +13 *1629:7 *2472:mprj_dat_i_user[24] 0 +*RES +1 *2473:wbs_dat_o[24] *1697:5 55.9369 +2 *1697:5 *1697:7 4.5 +3 *1697:7 *1697:8 386.728 +4 *1697:8 *2472:mprj_dat_i_user[24] 32.615 +*END + +*D_NET *1698 0.0830445 +*CONN +*I *2472:mprj_dat_i_user[25] I *D mgmt_protect +*I *2473:wbs_dat_o[25] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[25] 0.00185769 +2 *2473:wbs_dat_o[25] 0.00188343 +3 *1698:8 0.00474367 +4 *1698:7 0.00476941 +5 *2472:mprj_dat_i_user[25] *1761:7 0 +6 *2472:mprj_dat_i_user[25] *1762:7 0 +7 *1698:8 *1747:8 0.000412718 +8 *1698:8 *1763:8 0.000305446 +9 *1412:8 *1698:8 0.0190352 +10 *1620:14 *1698:8 0.0154731 +11 *1630:7 *2472:mprj_dat_i_user[25] 0 +12 *1631:7 *2472:mprj_dat_i_user[25] 0 +13 *1631:8 *1698:8 0.0345639 +*RES +1 *2473:wbs_dat_o[25] *1698:7 45.4878 +2 *1698:7 *1698:8 373.417 +3 *1698:8 *2472:mprj_dat_i_user[25] 47.5641 +*END + +*D_NET *1699 0.0812214 +*CONN +*I *2472:mprj_dat_i_user[26] I *D mgmt_protect +*I *2473:wbs_dat_o[26] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[26] 0.000982179 +2 *2473:wbs_dat_o[26] 0.00284811 +3 *1699:8 0.00396221 +4 *1699:7 0.00298003 +5 *1699:5 0.00284811 +6 *2472:mprj_dat_i_user[26] *1763:7 0 +7 *2472:mprj_dat_i_user[26] *1765:13 0.00023907 +8 *1699:8 *1762:8 0.000226394 +9 *1373:8 *1699:8 0 +10 *1630:8 *1699:8 0.0328854 +11 *1631:7 *2472:mprj_dat_i_user[26] 0 +12 *1632:8 *1699:8 0.0331782 +13 *1695:8 *1699:8 0.00107168 +*RES +1 *2473:wbs_dat_o[26] *1699:5 57.5979 +2 *1699:5 *1699:7 4.5 +3 *1699:7 *1699:8 360.107 +4 *1699:8 *2472:mprj_dat_i_user[26] 30.954 +*END + +*D_NET *1700 0.0730701 +*CONN +*I *2472:mprj_dat_i_user[27] I *D mgmt_protect +*I *2473:wbs_dat_o[27] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[27] 0.00179431 +2 *2473:wbs_dat_o[27] 0.00198433 +3 *1700:18 0.00359747 +4 *1700:17 0.00198186 +5 *1700:8 0.00172525 +6 *1700:7 0.00353088 +7 *2472:mprj_dat_i_user[27] *1763:7 0 +8 *2472:mprj_dat_i_user[27] *1764:7 0 +9 *1700:8 *1702:8 0.00814048 +10 *1700:8 *1765:20 0.000826652 +11 *1700:8 *1765:22 0.00900087 +12 *1700:18 *1765:14 0.0195405 +13 *1412:8 *1700:18 0.000270207 +14 *1631:8 *1700:8 0.000151389 +15 *1631:8 *1700:18 0.0193368 +16 *1632:7 *2472:mprj_dat_i_user[27] 0 +17 *1633:7 *2472:mprj_dat_i_user[27] 0 +18 *1633:8 *1700:18 0.000371284 +19 *1633:13 *1700:17 7.09666e-06 +20 *1633:14 *1700:8 0.000227651 +21 *1645:10 *1700:8 0.000330532 +22 *1684:8 *1700:8 0.000133887 +23 *1684:8 *1700:18 0.000108607 +24 *1691:8 *1700:8 0 +25 *1692:11 *1700:17 1.00824e-05 +*RES +1 *2473:wbs_dat_o[27] *1700:7 47.1488 +2 *1700:7 *1700:8 128.837 +3 *1700:8 *1700:17 11.8823 +4 *1700:17 *1700:18 217.019 +5 *1700:18 *2472:mprj_dat_i_user[27] 46.7336 +*END + +*D_NET *1701 0.0754077 +*CONN +*I *2472:mprj_dat_i_user[28] I *D mgmt_protect +*I *2473:wbs_dat_o[28] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[28] 0.000980381 +2 *2473:wbs_dat_o[28] 0.00295291 +3 *1701:8 0.00384618 +4 *1701:7 0.0028658 +5 *1701:5 0.00295291 +6 *2472:mprj_dat_i_user[28] *1765:8 0 +7 *1701:8 *1745:8 0 +8 *1701:8 *1764:8 0.0308157 +9 *1701:8 *1766:8 0.0299129 +10 *1373:8 *1701:8 0 +11 *1628:8 *1701:8 0.000771867 +12 *1633:7 *2472:mprj_dat_i_user[28] 0 +13 *1634:8 *1701:8 0.000309013 +*RES +1 *2473:wbs_dat_o[28] *1701:5 58.8437 +2 *1701:5 *1701:7 4.5 +3 *1701:7 *1701:8 333.486 +4 *1701:8 *2472:mprj_dat_i_user[28] 29.7083 +*END + +*D_NET *1702 0.0704334 +*CONN +*I *2472:mprj_dat_i_user[29] I *D mgmt_protect +*I *2473:wbs_dat_o[29] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[29] 0.00170849 +2 *2473:wbs_dat_o[29] 0.0020156 +3 *1702:8 0.00449914 +4 *1702:7 0.00480625 +5 *2472:mprj_dat_i_user[29] *1766:7 0 +6 *1702:8 *1704:8 0.000309013 +7 *1702:8 *1765:20 7.6719e-06 +8 *1412:8 *1702:8 0 +9 *1633:8 *1702:8 0.0208978 +10 *1633:14 *1702:8 0.000371382 +11 *1634:7 *2472:mprj_dat_i_user[29] 0 +12 *1637:8 *1702:8 0.0274459 +13 *1684:8 *1702:8 0.000231696 +14 *1700:8 *1702:8 0.00814048 +*RES +1 *2473:wbs_dat_o[29] *1702:7 47.5641 +2 *1702:7 *1702:8 320.175 +3 *1702:8 *2472:mprj_dat_i_user[29] 45.4878 +*END + +*D_NET *1703 0.179259 +*CONN +*I *2472:mprj_dat_i_user[2] I *D mgmt_protect +*I *2473:wbs_dat_o[2] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[2] 0.000983544 +2 *2473:wbs_dat_o[2] 0.00198023 +3 *1703:8 0.00675487 +4 *1703:7 0.00577133 +5 *1703:5 0.00198023 +6 *2472:mprj_dat_i_user[2] *1767:7 0.00183936 +7 *1703:8 *1711:8 0.00599911 +8 *1703:8 *1750:8 9.37208e-05 +9 *1703:8 *1756:8 0.0742945 +10 *1703:8 *2189:8 0.074329 +11 *1635:5 *2472:mprj_dat_i_user[2] 0 +12 *1644:8 *1703:8 0.00523289 +*RES +1 *2473:wbs_dat_o[2] *1703:5 51.5768 +2 *1703:5 *1703:7 3.36879 +3 *1703:7 *1703:8 95.0507 +4 *1703:8 *2472:mprj_dat_i_user[2] 35.844 +*END + +*D_NET *1704 0.0689016 +*CONN +*I *2472:mprj_dat_i_user[30] I *D mgmt_protect +*I *2473:wbs_dat_o[30] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[30] 0.00165539 +2 *2473:wbs_dat_o[30] 0.00205162 +3 *1704:8 0.00403642 +4 *1704:7 0.00443266 +5 *2472:mprj_dat_i_user[30] *1766:7 0 +6 *2472:mprj_dat_i_user[30] *1768:7 0 +7 *1704:8 *1769:8 0.027499 +8 *1633:14 *1704:8 0.000627856 +9 *1636:7 *2472:mprj_dat_i_user[30] 0 +10 *1637:7 *2472:mprj_dat_i_user[30] 0 +11 *1637:8 *1704:8 0.0281872 +12 *1684:8 *1704:8 0.000102438 +13 *1702:8 *1704:8 0.000309013 +*RES +1 *2473:wbs_dat_o[30] *1704:7 48.3946 +2 *1704:7 *1704:8 306.865 +3 *1704:8 *2472:mprj_dat_i_user[30] 44.6573 +*END + +*D_NET *1705 0.0666973 +*CONN +*I *2472:mprj_dat_i_user[31] I *D mgmt_protect +*I *2473:wbs_dat_o[31] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[31] 0.000866369 +2 *2473:wbs_dat_o[31] 0.00309493 +3 *1705:8 0.00351639 +4 *1705:7 0.00265002 +5 *1705:5 0.00309493 +6 *2472:mprj_dat_i_user[31] *1769:7 0 +7 *1705:8 *1745:8 0.000361169 +8 *1705:8 *1768:8 0.0269195 +9 *989:8 *1705:8 0.0260698 +10 *1628:8 *1705:8 0.000124104 +11 *1637:7 *2472:mprj_dat_i_user[31] 0 +*RES +1 *2473:wbs_dat_o[31] *1705:5 60.9199 +2 *1705:5 *1705:7 4.5 +3 *1705:7 *1705:8 293.554 +4 *1705:8 *2472:mprj_dat_i_user[31] 27.632 +*END + +*D_NET *1706 0.143788 +*CONN +*I *2472:mprj_dat_i_user[3] I *D mgmt_protect +*I *2473:wbs_dat_o[3] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[3] 0.00214979 +2 *2473:wbs_dat_o[3] 0.00145459 +3 *1706:10 0.00214979 +4 *1706:8 0.00465152 +5 *1706:7 0.00610611 +6 *2472:mprj_dat_i_user[3] *1770:5 0 +7 *1706:8 *1708:8 0.00119535 +8 *1706:8 *2192:8 0.00295362 +9 *2473:la_oenb[2] *2472:mprj_dat_i_user[3] 0.00028143 +10 *772:8 *1706:8 0.000549381 +11 *1635:8 *1706:8 0.0624738 +12 *1638:7 *2472:mprj_dat_i_user[3] 0 +13 *1639:14 *1706:8 0.0596913 +14 *1692:8 *1706:8 0.000131 +*RES +1 *2473:wbs_dat_o[3] *1706:7 41.7506 +2 *1706:7 *1706:8 670.685 +3 *1706:8 *1706:10 4.5 +4 *1706:10 *2472:mprj_dat_i_user[3] 46.8014 +*END + +*D_NET *1707 0.142776 +*CONN +*I *2472:mprj_dat_i_user[4] I *D mgmt_protect +*I *2473:wbs_dat_o[4] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[4] 0.00137493 +2 *2473:wbs_dat_o[4] 0.00206698 +3 *1707:8 0.00607517 +4 *1707:7 0.00470025 +5 *1707:5 0.00206698 +6 *2472:mprj_dat_i_user[4] *1771:7 0 +7 *2472:mprj_dat_i_user[4] *2190:5 0 +8 *1707:8 *1756:8 0.00660562 +9 *1707:8 *1771:8 0.000410779 +10 *1050:5 *2472:mprj_dat_i_user[4] 0 +11 *1638:8 *1707:8 0.000313928 +12 *1639:10 *2472:mprj_dat_i_user[4] 0 +13 *1640:8 *1707:8 0.0616201 +14 *1642:8 *1707:8 0.0575415 +*RES +1 *2473:wbs_dat_o[4] *1707:5 52.1996 +2 *1707:5 *1707:7 4.5 +3 *1707:7 *1707:8 653.492 +4 *1707:8 *2472:mprj_dat_i_user[4] 36.3523 +*END + +*D_NET *1708 0.135127 +*CONN +*I *2472:mprj_dat_i_user[5] I *D mgmt_protect +*I *2473:wbs_dat_o[5] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[5] 0.00219667 +2 *2473:wbs_dat_o[5] 0.00143441 +3 *1708:10 0.00219667 +4 *1708:8 0.00493166 +5 *1708:7 0.00636606 +6 *2472:mprj_dat_i_user[5] *1771:7 0 +7 *2472:mprj_dat_i_user[5] *1772:5 0 +8 *1708:8 *1710:8 0.0566915 +9 *1708:8 *2188:8 0.00236014 +10 *2473:la_oenb[3] *2472:mprj_dat_i_user[5] 0.00017338 +11 *772:8 *1708:8 9.36702e-05 +12 *794:14 *1708:8 0.00122278 +13 *1050:5 *2472:mprj_dat_i_user[5] 0 +14 *1639:14 *1708:8 0.0562651 +15 *1640:7 *2472:mprj_dat_i_user[5] 0 +16 *1706:8 *1708:8 0.00119535 +*RES +1 *2473:wbs_dat_o[5] *1708:7 40.9201 +2 *1708:7 *1708:8 639.627 +3 *1708:8 *1708:10 4.5 +4 *1708:10 *2472:mprj_dat_i_user[5] 47.6319 +*END + +*D_NET *1709 0.158706 +*CONN +*I *2472:mprj_dat_i_user[6] I *D mgmt_protect +*I *2473:wbs_dat_o[6] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[6] 0.0015914 +2 *2473:wbs_dat_o[6] 0.00192393 +3 *1709:8 0.00761818 +4 *1709:7 0.00602678 +5 *1709:5 0.00192393 +6 *2472:mprj_dat_i_user[6] *1773:7 0 +7 *1709:8 *1752:12 0.00380939 +8 *1709:8 *1773:8 0.0682402 +9 *1709:8 *1775:8 0.0643524 +10 *1641:5 *2472:mprj_dat_i_user[6] 0 +11 *1687:12 *1709:8 0.00321977 +*RES +1 *2473:wbs_dat_o[6] *1709:5 48.2547 +2 *1709:5 *1709:7 3.36879 +3 *1709:7 *1709:8 86.4838 +4 *1709:8 *2472:mprj_dat_i_user[6] 39.166 +*END + +*D_NET *1710 0.126785 +*CONN +*I *2472:mprj_dat_i_user[7] I *D mgmt_protect +*I *2473:wbs_dat_o[7] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[7] 0.00182276 +2 *2473:wbs_dat_o[7] 0.00143336 +3 *1710:10 0.00182276 +4 *1710:8 0.00575668 +5 *1710:7 0.00719004 +6 *2472:mprj_dat_i_user[7] *1773:7 0 +7 *2472:mprj_dat_i_user[7] *1774:5 0 +8 *1710:8 *2188:8 0.00190188 +9 *794:14 *1710:8 0.000353776 +10 *805:8 *1710:8 0.000538827 +11 *1061:5 *2472:mprj_dat_i_user[7] 0.000176075 +12 *1617:8 *1710:8 0.0472562 +13 *1642:7 *2472:mprj_dat_i_user[7] 0.00184078 +14 *1708:8 *1710:8 0.0566915 +*RES +1 *2473:wbs_dat_o[7] *1710:7 40.5048 +2 *1710:7 *1710:8 611.342 +3 *1710:8 *1710:10 4.5 +4 *1710:10 *2472:mprj_dat_i_user[7] 49.9035 +*END + +*D_NET *1711 0.133994 +*CONN +*I *2472:mprj_dat_i_user[8] I *D mgmt_protect +*I *2473:wbs_dat_o[8] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[8] 0.00127294 +2 *2473:wbs_dat_o[8] 0.00210823 +3 *1711:8 0.00520362 +4 *1711:7 0.00393069 +5 *1711:5 0.00210823 +6 *2472:mprj_dat_i_user[8] *1775:7 0 +7 *1050:8 *1711:8 2.90905e-05 +8 *1061:8 *1711:8 0.000189584 +9 *1445:11 *2472:mprj_dat_i_user[8] 8.62625e-06 +10 *1642:8 *1711:8 0.0561622 +11 *1643:5 *2472:mprj_dat_i_user[8] 0 +12 *1644:8 *1711:8 0.0564551 +13 *1687:17 *2472:mprj_dat_i_user[8] 0.000526414 +14 *1703:8 *1711:8 0.00599911 +*RES +1 *2473:wbs_dat_o[8] *1711:5 51.3691 +2 *1711:5 *1711:7 4.5 +3 *1711:7 *1711:8 600.25 +4 *1711:8 *2472:mprj_dat_i_user[8] 37.1828 +*END + +*D_NET *1712 0.143008 +*CONN +*I *2472:mprj_dat_i_user[9] I *D mgmt_protect +*I *2473:wbs_dat_o[9] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[9] 0.00192882 +2 *2473:wbs_dat_o[9] 0.00162883 +3 *1712:8 0.0108781 +4 *1712:7 0.0105781 +5 *2472:mprj_dat_i_user[9] *1776:7 0 +6 *1712:8 *1767:10 0.000111022 +7 *1712:8 *1774:8 0 +8 *1712:8 *1776:8 0.000961946 +9 *1712:8 *2188:8 0.000627723 +10 *1712:8 *2190:8 0 +11 *1712:8 *2192:8 0.000121359 +12 *816:11 *2472:mprj_dat_i_user[9] 0.00064738 +13 *1627:10 *1712:8 0.000289628 +14 *1641:8 *1712:8 0 +15 *1644:7 *2472:mprj_dat_i_user[9] 0 +16 *1648:8 *1712:8 0.0535329 +17 *1682:8 *1712:8 0.0613396 +18 *1696:8 *1712:8 0.000362278 +*RES +1 *2473:wbs_dat_o[9] *1712:7 42.488 +2 *1712:7 *1712:8 80.9 +3 *1712:8 *2472:mprj_dat_i_user[9] 48.3015 +*END + +*D_NET *1713 0.297511 +*CONN +*I *2471:wb_dat_i[0] I *D housekeeping +*I *2472:mprj_dat_o_core[0] I *D mgmt_protect +*I *2478:mprj_dat_o[0] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[0] 0.00128326 +2 *2472:mprj_dat_o_core[0] 0.00110338 +3 *2478:mprj_dat_o[0] 0.000189363 +4 *1713:33 0.00559898 +5 *1713:31 0.00467846 +6 *1713:25 0.00176215 +7 *1713:24 0.00157588 +8 *1713:10 0.0239989 +9 *1713:9 0.0228955 +10 *1713:7 0.00274127 +11 *1713:5 0.00275416 +12 *2471:wb_dat_i[0] *2471:wb_dat_i[1] 0 +13 *2472:mprj_dat_o_core[0] *2472:mprj_sel_o_core[0] 0 +14 *1713:7 *2183:14 0 +15 *1713:7 *2183:16 0 +16 *1713:10 *2183:19 0.0727757 +17 *1713:24 *2183:14 0 +18 *1713:25 *2193:11 0.00625285 +19 *1713:31 *1724:27 0.000197192 +20 *1713:31 *1724:31 7.32658e-06 +21 *1713:33 *1724:27 0 +22 *1713:33 *1724:31 4.82966e-05 +23 *1713:33 *1724:33 0.0696822 +24 *1713:33 *2185:27 0.0698415 +25 *99:10 *1713:33 0.000344331 +26 *485:44 *1713:25 0.000782018 +27 *609:13 *2472:mprj_dat_o_core[0] 0.000642613 +28 *730:11 *2472:mprj_dat_o_core[0] 0.000448313 +29 *1259:16 *1713:10 0.000600965 +30 *1263:16 *1713:10 0.000858442 +31 *1265:16 *1713:10 0.000163504 +32 *1268:16 *1713:10 0.000991958 +33 *1274:16 *1713:10 0.00218951 +34 *1573:10 *1713:25 0 +35 *1582:16 *1713:10 0 +36 *1583:24 *1713:10 0 +37 *1602:30 *1713:10 0.00129656 +38 *1604:30 *1713:10 0.00177768 +39 *1605:13 *2471:wb_dat_i[0] 0 +40 *1607:9 *1713:31 2.84204e-05 +41 *1649:7 *2472:mprj_dat_o_core[0] 0 +*RES +1 *2478:mprj_dat_o[0] *1713:5 0.366399 +2 *1713:5 *1713:7 59.1368 +3 *1713:7 *1713:9 3.36879 +4 *1713:9 *1713:10 93.1384 +5 *1713:10 *2472:mprj_dat_o_core[0] 31.6914 +6 *2478:mprj_dat_o[0] *1713:24 8.59146 +7 *1713:24 *1713:25 65.612 +8 *1713:25 *1713:31 17.1816 +9 *1713:31 *1713:33 731.691 +10 *1713:33 *2471:wb_dat_i[0] 39.2957 +*END + +*D_NET *1714 0.339935 +*CONN +*I *2471:wb_dat_i[10] I *D housekeeping +*I *2472:mprj_dat_o_core[10] I *D mgmt_protect +*I *2478:mprj_dat_o[10] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[10] 1.28869e-05 +2 *2472:mprj_dat_o_core[10] 2.27669e-05 +3 *2478:mprj_dat_o[10] 0.000977219 +4 *1714:30 0.00272232 +5 *1714:29 0.00270943 +6 *1714:27 0.00468881 +7 *1714:19 0.0021573 +8 *1714:18 0.00213454 +9 *1714:16 0.0107245 +10 *1714:15 0.0111725 +11 *1714:9 0.00611403 +12 *1714:15 *1722:10 0.000330596 +13 *1714:16 *1716:10 0.082134 +14 *1714:16 *1731:24 0.00322808 +15 *1714:16 *1744:10 0.0819887 +16 *1714:16 *2195:10 0.000151238 +17 *1714:27 *1715:10 0.0607459 +18 *1714:27 *1722:10 0.0112763 +19 *1714:27 *1731:10 0.038977 +20 *1714:27 *1743:10 0.0052714 +21 *1714:27 *2186:10 0.00434774 +22 *1714:30 *2471:wb_dat_i[9] 0 +23 *1714:30 *1715:13 0 +24 *1714:30 *1743:13 0 +25 *2472:mprj_adr_o_core[11] *1714:19 0 +26 *2478:mprj_dat_i[10] *1714:15 0 +27 *607:14 *1714:16 0.000130331 +28 *608:11 *1714:19 0.00192147 +29 *609:14 *1714:16 0.000464675 +30 *863:10 *1714:16 0.000141764 +31 *1246:12 *1714:16 0.000337748 +32 *1608:10 *1714:15 0.000142393 +33 *1608:10 *1714:27 0.000605222 +34 *1650:5 *1714:19 0.000642913 +35 *1667:10 *1714:16 0.000156689 +36 *1669:8 *1714:16 0.00350473 +*RES +1 *2478:mprj_dat_o[10] *1714:9 27.6686 +2 *1714:9 *1714:15 19.6336 +3 *1714:15 *1714:16 106.524 +4 *1714:16 *1714:18 3.36879 +5 *1714:18 *1714:19 56.6453 +6 *1714:19 *2472:mprj_dat_o_core[10] 0.647305 +7 *1714:9 *1714:27 654.601 +8 *1714:27 *1714:29 4.5 +9 *1714:29 *1714:30 66.819 +10 *1714:30 *2471:wb_dat_i[10] 0.366399 +*END + +*D_NET *1715 0.331909 +*CONN +*I *2472:mprj_dat_o_core[11] I *D mgmt_protect +*I *2471:wb_dat_i[11] I *D housekeeping +*I *2478:mprj_dat_o[11] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[11] 0.000951049 +2 *2471:wb_dat_i[11] 1.28869e-05 +3 *2478:mprj_dat_o[11] 0.00101412 +4 *1715:30 0.00897395 +5 *1715:29 0.00972394 +6 *1715:26 0.0018093 +7 *1715:13 0.00273389 +8 *1715:12 0.00272101 +9 *1715:10 0.00453088 +10 *1715:9 0.00453088 +11 *1715:7 0.00112238 +12 *1715:10 *1717:10 0.00447049 +13 *1715:10 *1731:10 0.000113197 +14 *1715:10 *2186:10 0.0039392 +15 *1715:13 *2471:wb_dat_i[12] 0 +16 *1715:13 *1717:13 0 +17 *1715:30 *1717:24 0.0829849 +18 *1715:30 *1735:24 0 +19 *1715:30 *1743:24 0.0798671 +20 *2472:mprj_adr_o_core[11] *2472:mprj_dat_o_core[11] 0 +21 *2472:mprj_adr_o_core[12] *2472:mprj_dat_o_core[11] 0 +22 *1583:21 *1715:29 4.08754e-05 +23 *1584:7 *1715:7 0 +24 *1584:21 *1715:7 0 +25 *1608:10 *1715:10 0.0509566 +26 *1608:10 *1715:26 0.000596133 +27 *1610:10 *1715:26 0.000591935 +28 *1649:8 *1715:30 0.00344673 +29 *1651:7 *2472:mprj_dat_o_core[11] 0 +30 *1651:17 *1715:29 0.00139221 +31 *1660:8 *1715:30 0.00463906 +32 *1714:27 *1715:10 0.0607459 +33 *1714:30 *1715:13 0 +*RES +1 *2478:mprj_dat_o[11] *1715:7 23.5839 +2 *1715:7 *1715:9 4.5 +3 *1715:9 *1715:10 642.954 +4 *1715:10 *1715:12 4.5 +5 *1715:12 *1715:13 67.2342 +6 *1715:13 *2471:wb_dat_i[11] 0.366399 +7 *1715:7 *1715:26 16.2709 +8 *1715:26 *1715:29 47.7641 +9 *1715:29 *1715:30 107.213 +10 *1715:30 *2472:mprj_dat_o_core[11] 22.5559 +*END + +*D_NET *1716 0.33239 +*CONN +*I *2471:wb_dat_i[12] I *D housekeeping +*I *2472:mprj_dat_o_core[12] I *D mgmt_protect +*I *2478:mprj_dat_o[12] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[12] 0.00137866 +2 *2472:mprj_dat_o_core[12] 0.00297492 +3 *2478:mprj_dat_o[12] 0.00195902 +4 *1716:27 0.00635144 +5 *1716:25 0.00515326 +6 *1716:12 0.00297492 +7 *1716:10 0.0115231 +8 *1716:9 0.0133017 +9 *2471:wb_dat_i[12] *1717:13 0 +10 *1716:10 *1718:10 0.0841234 +11 *1716:10 *1727:24 0.000101794 +12 *1716:10 *1731:24 0.000114491 +13 *1716:10 *1733:10 0.00389851 +14 *1716:10 *2195:10 0.000576645 +15 *1716:27 *1718:25 0.00237967 +16 *1716:27 *1744:33 0.00426676 +17 *1716:27 *2185:27 0 +18 *2472:mprj_adr_o_core[13] *2472:mprj_dat_o_core[12] 0 +19 *2478:mprj_dat_i[12] *1716:9 0 +20 *99:10 *1716:27 0.000469437 +21 *480:17 *1716:27 0.00476053 +22 *610:13 *2472:mprj_dat_o_core[12] 0 +23 *862:9 *2472:mprj_dat_o_core[12] 4.67024e-05 +24 *863:10 *1716:10 0.000101365 +25 *1601:10 *1716:10 0.00359011 +26 *1607:35 *1716:27 0.0494227 +27 *1609:33 *1716:27 1.00937e-05 +28 *1609:35 *1716:25 3.65965e-05 +29 *1609:37 *1716:27 0.0498943 +30 *1611:33 *1716:9 0 +31 *1611:35 *1716:27 0.000226394 +32 *1652:5 *2472:mprj_dat_o_core[12] 0 +33 *1652:8 *1716:10 0.000291404 +34 *1666:8 *1716:10 0.000101794 +35 *1667:10 *1716:10 0.000102215 +36 *1669:8 *1716:10 0.00012426 +37 *1714:16 *1716:10 0.082134 +38 *1715:13 *2471:wb_dat_i[12] 0 +*RES +1 *2478:mprj_dat_o[12] *1716:9 41.3033 +2 *1716:9 *1716:10 108.972 +3 *1716:10 *1716:12 3.36879 +4 *1716:12 *2472:mprj_dat_o_core[12] 57.3903 +5 *2478:mprj_dat_o[12] *1716:25 7.91909 +6 *1716:25 *1716:27 628.535 +7 *1716:27 *2471:wb_dat_i[12] 42.2025 +*END + +*D_NET *1717 0.328311 +*CONN +*I *2472:mprj_dat_o_core[13] I *D mgmt_protect +*I *2471:wb_dat_i[13] I *D housekeeping +*I *2478:mprj_dat_o[13] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[13] 0.000792859 +2 *2471:wb_dat_i[13] 1.28869e-05 +3 *2478:mprj_dat_o[13] 0.00108011 +4 *1717:24 0.00879977 +5 *1717:23 0.00998082 +6 *1717:13 0.0027592 +7 *1717:12 0.00274631 +8 *1717:10 0.00464287 +9 *1717:9 0.00464287 +10 *1717:7 0.00305402 +11 *1717:10 *1719:10 0.00448558 +12 *1717:10 *1731:10 9.48476e-05 +13 *1717:10 *1735:10 0.00390299 +14 *1717:13 *2471:wb_dat_i[14] 0 +15 *1717:13 *1719:13 0 +16 *1717:24 *1719:24 0.0855385 +17 *1717:24 *1735:24 0 +18 *1717:24 *2191:16 0.00448941 +19 *2471:wb_dat_i[12] *1717:13 0 +20 *2472:mprj_adr_o_core[14] *2472:mprj_dat_o_core[13] 0 +21 *610:13 *2472:mprj_dat_o_core[13] 0 +22 *1586:7 *1717:7 0 +23 *1586:23 *1717:7 0 +24 *1586:23 *1717:23 0 +25 *1608:10 *1717:10 0.0480744 +26 *1610:10 *1717:10 0.0486055 +27 *1653:9 *2472:mprj_dat_o_core[13] 0.000875119 +28 *1671:8 *1717:24 0.00627732 +29 *1715:10 *1717:10 0.00447049 +30 *1715:13 *1717:13 0 +31 *1715:30 *1717:24 0.0829849 +*RES +1 *2478:mprj_dat_o[13] *1717:7 24.4144 +2 *1717:7 *1717:9 4.5 +3 *1717:9 *1717:10 617.997 +4 *1717:10 *1717:12 4.5 +5 *1717:12 *1717:13 68.0647 +6 *1717:13 *2471:wb_dat_i[13] 0.366399 +7 *1717:7 *1717:23 46.9336 +8 *1717:23 *1717:24 110.502 +9 *1717:24 *2472:mprj_dat_o_core[13] 23.3864 +*END + +*D_NET *1718 0.330304 +*CONN +*I *2471:wb_dat_i[14] I *D housekeeping +*I *2472:mprj_dat_o_core[14] I *D mgmt_protect +*I *2478:mprj_dat_o[14] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[14] 0.00142248 +2 *2472:mprj_dat_o_core[14] 0.00230281 +3 *2478:mprj_dat_o[14] 0.00172686 +4 *1718:25 0.00601803 +5 *1718:24 0.00495016 +6 *1718:12 0.00230281 +7 *1718:10 0.0192644 +8 *1718:9 0.0206366 +9 *2471:wb_dat_i[14] *1719:13 0 +10 *1718:10 *1733:10 0.000103514 +11 *1718:10 *1735:10 0 +12 *1718:10 *1736:10 0.00390639 +13 *1718:10 *1741:10 0 +14 *1718:10 *2184:15 0 +15 *1718:10 *2184:25 0 +16 *1718:10 *2195:10 0.0606962 +17 *1718:25 *1722:25 0.0517061 +18 *2472:mprj_adr_o_core[15] *2472:mprj_dat_o_core[14] 0 +19 *2478:mprj_dat_i[14] *1718:9 0 +20 *100:77 *1718:25 0.0026997 +21 *480:17 *1718:25 0.00619868 +22 *865:10 *1718:10 0.000267904 +23 *1247:9 *2472:mprj_dat_o_core[14] 2.33103e-06 +24 *1587:7 *1718:24 0 +25 *1611:10 *1718:10 0.000383594 +26 *1611:35 *1718:25 0.0476573 +27 *1650:8 *1718:10 0.000895982 +28 *1652:12 *1718:10 0.0017512 +29 *1654:7 *2472:mprj_dat_o_core[14] 0.00291234 +30 *1654:10 *1718:10 0.00141193 +31 *1655:7 *2472:mprj_dat_o_core[14] 0 +32 *1672:8 *1718:10 0.00359735 +33 *1678:8 *1718:10 0.000365829 +34 *1680:8 *1718:10 0.000620666 +35 *1716:10 *1718:10 0.0841234 +36 *1716:27 *1718:25 0.00237967 +37 *1717:13 *2471:wb_dat_i[14] 0 +*RES +1 *2478:mprj_dat_o[14] *1718:9 34.6593 +2 *1718:9 *1718:10 111.42 +3 *1718:10 *1718:12 3.36879 +4 *1718:12 *2472:mprj_dat_o_core[14] 58.2208 +5 *2478:mprj_dat_o[14] *1718:24 11.2051 +6 *1718:24 *1718:25 604.687 +7 *1718:25 *2471:wb_dat_i[14] 43.4482 +*END + +*D_NET *1719 0.333098 +*CONN +*I *2472:mprj_dat_o_core[15] I *D mgmt_protect +*I *2471:wb_dat_i[15] I *D housekeeping +*I *2478:mprj_dat_o[15] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[15] 0.0010785 +2 *2471:wb_dat_i[15] 1.28869e-05 +3 *2478:mprj_dat_o[15] 0.00113731 +4 *1719:24 0.00881232 +5 *1719:23 0.00959598 +6 *1719:13 0.00278159 +7 *1719:12 0.00276871 +8 *1719:10 0.00427565 +9 *1719:9 0.00427565 +10 *1719:7 0.00299947 +11 *1719:10 *1721:10 0.0535947 +12 *1719:10 *1731:10 0 +13 *1719:10 *1741:10 0.00376542 +14 *1719:10 *2186:10 0.000156019 +15 *1719:13 *2471:wb_dat_i[16] 0 +16 *1719:24 *1721:24 0.0875053 +17 *1719:24 *1735:24 0 +18 *2471:wb_dat_i[14] *1719:13 0 +19 *2472:mprj_adr_o_core[16] *2472:mprj_dat_o_core[15] 0 +20 *1588:7 *1719:7 0 +21 *1588:7 *1719:23 0 +22 *1588:23 *1719:23 0 +23 *1610:10 *1719:10 0.0457232 +24 *1612:10 *1719:10 0.00122553 +25 *1655:7 *2472:mprj_dat_o_core[15] 0 +26 *1675:8 *1719:24 0.0061042 +27 *1677:8 *1719:24 0.00726186 +28 *1717:10 *1719:10 0.00448558 +29 *1717:13 *1719:13 0 +30 *1717:24 *1719:24 0.0855385 +*RES +1 *2478:mprj_dat_o[15] *1719:7 25.2449 +2 *1719:7 *1719:9 4.5 +3 *1719:9 *1719:10 593.04 +4 *1719:10 *1719:12 4.5 +5 *1719:12 *1719:13 68.8952 +6 *1719:13 *2471:wb_dat_i[15] 0.366399 +7 *1719:7 *1719:23 45.2726 +8 *1719:23 *1719:24 112.873 +9 *1719:24 *2472:mprj_dat_o_core[15] 24.2169 +*END + +*D_NET *1720 0.265621 +*CONN +*I *2471:wb_dat_i[16] I *D housekeeping +*I *2472:mprj_dat_o_core[16] I *D mgmt_protect +*I *2478:mprj_dat_o[16] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[16] 0.00165929 +2 *2472:mprj_dat_o_core[16] 0.00338719 +3 *2478:mprj_dat_o[16] 1.28869e-05 +4 *1720:24 0.00633031 +5 *1720:23 0.00479027 +6 *1720:12 0.00338719 +7 *1720:10 0.0077208 +8 *1720:9 0.00862213 +9 *1720:5 0.00103346 +10 *2471:wb_dat_i[16] *1721:13 0 +11 *1720:10 *1722:10 0.0291113 +12 *1720:10 *1743:10 0.0117089 +13 *1720:10 *2184:25 0.000551302 +14 *1720:24 *1726:25 0.0479822 +15 *1720:24 *2193:11 0.0552514 +16 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[16] 0 +17 *2478:la_input[102] *2472:mprj_dat_o_core[16] 0 +18 *485:44 *1720:24 0.00579314 +19 *608:14 *1720:10 0.000166542 +20 *1120:9 *2472:mprj_dat_o_core[16] 0.000300728 +21 *1251:10 *1720:10 0.000227563 +22 *1573:10 *1720:24 0 +23 *1589:9 *1720:9 0 +24 *1589:9 *1720:23 0 +25 *1656:5 *2472:mprj_dat_o_core[16] 0 +26 *1656:8 *1720:10 0.0775847 +27 *1719:13 *2471:wb_dat_i[16] 0 +*RES +1 *2478:mprj_dat_o[16] *1720:5 0.366399 +2 *1720:5 *1720:9 26.0565 +3 *1720:9 *1720:10 827.637 +4 *1720:10 *1720:12 4.5 +5 *1720:12 *2472:mprj_dat_o_core[16] 65.903 +6 *1720:5 *1720:23 7.27242 +7 *1720:23 *1720:24 579.73 +8 *1720:24 *2471:wb_dat_i[16] 47.1855 +*END + +*D_NET *1721 0.333282 +*CONN +*I *2472:mprj_dat_o_core[17] I *D mgmt_protect +*I *2471:wb_dat_i[17] I *D housekeeping +*I *2478:mprj_dat_o[17] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[17] 0.00112749 +2 *2471:wb_dat_i[17] 1.28869e-05 +3 *2478:mprj_dat_o[17] 0.00121144 +4 *1721:24 0.00853094 +5 *1721:23 0.00919235 +6 *1721:13 0.00278502 +7 *1721:12 0.00277213 +8 *1721:10 0.00406239 +9 *1721:9 0.00406239 +10 *1721:7 0.00300035 +11 *1721:10 *2478:irq[0] 0.000346541 +12 *1721:10 *1723:25 0.0047663 +13 *1721:10 *1731:10 0 +14 *1721:10 *1741:10 0.001053 +15 *1721:13 *2471:wb_dat_i[18] 0 +16 *1721:13 *1723:28 0 +17 *1721:24 *1723:16 0.0893098 +18 *1721:24 *1728:10 0.00906555 +19 *1721:24 *1735:24 0.0001506 +20 *2471:wb_dat_i[16] *1721:13 0 +21 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[17] 0 +22 *2472:mprj_adr_o_core[18] *2472:mprj_dat_o_core[17] 0 +23 *1612:10 *1721:10 0.0435076 +24 *1657:7 *2472:mprj_dat_o_core[17] 0 +25 *1657:17 *1721:7 0 +26 *1657:17 *1721:23 0 +27 *1658:5 *2472:mprj_dat_o_core[17] 0 +28 *1662:8 *1721:24 0.000433185 +29 *1679:8 *1721:24 0.00679209 +30 *1719:10 *1721:10 0.0535947 +31 *1719:24 *1721:24 0.0875053 +*RES +1 *2478:mprj_dat_o[17] *1721:7 27.3456 +2 *1721:7 *1721:9 4.5 +3 *1721:9 *1721:10 570.301 +4 *1721:10 *1721:12 4.5 +5 *1721:12 *1721:13 69.3105 +6 *1721:13 *2471:wb_dat_i[17] 0.366399 +7 *1721:7 *1721:23 44.0269 +8 *1721:23 *1721:24 115.091 +9 *1721:24 *2472:mprj_dat_o_core[17] 25.0474 +*END + +*D_NET *1722 0.2347 +*CONN +*I *2471:wb_dat_i[18] I *D housekeeping +*I *2472:mprj_dat_o_core[18] I *D mgmt_protect +*I *2478:mprj_dat_o[18] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[18] 0.0014412 +2 *2472:mprj_dat_o_core[18] 0.00308763 +3 *2478:mprj_dat_o[18] 0.00157745 +4 *1722:25 0.00501612 +5 *1722:24 0.00376091 +6 *1722:12 0.00308763 +7 *1722:10 0.0114089 +8 *1722:9 0.0128004 +9 *2471:wb_dat_i[18] *1723:28 0 +10 *1722:10 *1739:12 0 +11 *1722:10 *1743:10 0.0143852 +12 *1722:10 *2184:25 0.000557532 +13 *2472:mprj_adr_o_core[19] *2472:mprj_dat_o_core[18] 0.000407913 +14 *2478:mprj_dat_i[18] *1722:9 0 +15 *100:77 *1722:25 0.0527978 +16 *480:17 *1722:25 0.00586024 +17 *634:8 *1722:10 0.00185525 +18 *864:9 *2472:mprj_dat_o_core[18] 0.000592024 +19 *1248:9 *2472:mprj_dat_o_core[18] 0 +20 *1251:10 *1722:10 0.00535381 +21 *1270:10 *1722:10 0.01456 +22 *1582:21 *2472:mprj_dat_o_core[18] 0.000173069 +23 *1592:24 *1722:10 0.00138234 +24 *1603:10 *1722:10 0.000139836 +25 *1606:24 *1722:10 0 +26 *1607:10 *1722:10 0 +27 *1608:10 *1722:10 0.00203045 +28 *1609:19 *1722:10 0 +29 *1658:5 *2472:mprj_dat_o_core[18] 0 +30 *1659:5 *2472:mprj_dat_o_core[18] 0 +31 *1674:8 *1722:10 0 +32 *1714:15 *1722:10 0.000330596 +33 *1714:27 *1722:10 0.0112763 +34 *1718:25 *1722:25 0.0517061 +35 *1720:10 *1722:10 0.0291113 +36 *1721:13 *2471:wb_dat_i[18] 0 +*RES +1 *2478:mprj_dat_o[18] *1722:9 33.9218 +2 *1722:9 *1722:10 842.612 +3 *1722:10 *1722:12 4.5 +4 *1722:12 *2472:mprj_dat_o_core[18] 65.0725 +5 *2478:mprj_dat_o[18] *1722:24 6.93045 +6 *1722:24 *1722:25 554.218 +7 *1722:25 *2471:wb_dat_i[18] 43.8635 +*END + +*D_NET *1723 0.333096 +*CONN +*I *2471:wb_dat_i[19] I *D housekeeping +*I *2472:mprj_dat_o_core[19] I *D mgmt_protect +*I *2478:mprj_dat_o[19] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[19] 1.28869e-05 +2 *2472:mprj_dat_o_core[19] 0.00116696 +3 *2478:mprj_dat_o[19] 0.00117834 +4 *1723:28 0.00280963 +5 *1723:27 0.00279674 +6 *1723:25 0.00390032 +7 *1723:16 0.00821682 +8 *1723:15 0.00875068 +9 *1723:12 0.00181905 +10 *1723:9 0.00519688 +11 *1723:16 *1725:24 0.0908336 +12 *1723:16 *1728:16 0.000433185 +13 *1723:16 *1735:24 0.000127811 +14 *1723:25 *2478:irq[2] 0.00036298 +15 *1723:25 *1725:10 0.0502494 +16 *1723:25 *1731:10 0 +17 *1723:25 *1741:10 0.000332844 +18 *1723:28 *1725:13 0 +19 *2471:wb_dat_i[18] *1723:28 0 +20 *2472:mprj_adr_o_core[20] *2472:mprj_dat_o_core[19] 0 +21 *1587:10 *1723:12 0.00046538 +22 *1587:10 *1723:25 0.000653519 +23 *1591:7 *1723:15 0 +24 *1591:27 *1723:15 0 +25 *1612:10 *1723:12 0.00106121 +26 *1612:10 *1723:25 0.040507 +27 *1659:5 *2472:mprj_dat_o_core[19] 0 +28 *1664:14 *1723:16 0.00934121 +29 *1665:8 *1723:16 0.00880387 +30 *1721:10 *1723:25 0.0047663 +31 *1721:13 *1723:28 0 +32 *1721:24 *1723:16 0.0893098 +*RES +1 *2478:mprj_dat_o[19] *1723:9 30.9906 +2 *1723:9 *1723:12 15.7609 +3 *1723:12 *1723:15 42.3659 +4 *1723:15 *1723:16 116.162 +5 *1723:16 *2472:mprj_dat_o_core[19] 25.8779 +6 *1723:9 *1723:25 544.235 +7 *1723:25 *1723:27 4.5 +8 *1723:27 *1723:28 70.141 +9 *1723:28 *2471:wb_dat_i[19] 0.366399 +*END + +*D_NET *1724 0.319501 +*CONN +*I *2471:wb_dat_i[1] I *D housekeeping +*I *2472:mprj_dat_o_core[1] I *D mgmt_protect +*I *2478:mprj_dat_o[1] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[1] 0.00113513 +2 *2472:mprj_dat_o_core[1] 0.00212118 +3 *2478:mprj_dat_o[1] 0.00231538 +4 *1724:33 0.00613368 +5 *1724:31 0.00503912 +6 *1724:27 0.000943873 +7 *1724:24 0.00104151 +8 *1724:12 0.00212118 +9 *1724:10 0.00612705 +10 *1724:9 0.00830424 +11 *2471:wb_dat_i[1] *1735:13 0 +12 *2472:mprj_dat_o_core[1] *2472:mprj_sel_o_core[1] 0 +13 *1724:9 *2184:15 0 +14 *1724:10 *1738:10 0.000147045 +15 *1724:10 *2184:16 0.0734052 +16 *1724:27 *1738:27 0.000631564 +17 *1724:27 *2183:37 0.000683502 +18 *1724:31 *1738:27 0 +19 *1724:31 *1738:31 4.73037e-06 +20 *1724:33 *1738:31 0 +21 *1724:33 *1738:33 0.0657257 +22 *1724:33 *2185:27 0.000137345 +23 *2471:wb_dat_i[0] *2471:wb_dat_i[1] 0 +24 *2472:mprj_adr_o_core[1] *2472:mprj_dat_o_core[1] 0 +25 *99:10 *1724:33 0.000361052 +26 *100:77 *1724:27 0.00175037 +27 *480:17 *1724:27 0.000895693 +28 *488:32 *2471:wb_dat_i[1] 0.000728314 +29 *607:13 *2472:mprj_dat_o_core[1] 0.000677005 +30 *868:23 *2472:mprj_dat_o_core[1] 0.000408405 +31 *985:12 *1724:10 2.6242e-05 +32 *1647:10 *1724:10 0.0687012 +33 *1660:7 *2472:mprj_dat_o_core[1] 0 +34 *1660:17 *1724:9 0 +35 *1713:31 *1724:27 0.000197192 +36 *1713:31 *1724:31 7.32658e-06 +37 *1713:33 *1724:27 0 +38 *1713:33 *1724:31 4.82966e-05 +39 *1713:33 *1724:33 0.0696822 +*RES +1 *2478:mprj_dat_o[1] *1724:9 47.9473 +2 *1724:9 *1724:10 94.5153 +3 *1724:10 *1724:12 3.36879 +4 *1724:12 *2472:mprj_dat_o_core[1] 50.7463 +5 *2478:mprj_dat_o[1] *1724:24 6.93045 +6 *1724:24 *1724:27 49.6491 +7 *1724:27 *1724:31 1.85672 +8 *1724:31 *1724:33 733.355 +9 *1724:33 *2471:wb_dat_i[1] 39.7109 +*END + +*D_NET *1725 0.333668 +*CONN +*I *2472:mprj_dat_o_core[20] I *D mgmt_protect +*I *2471:wb_dat_i[20] I *D housekeeping +*I *2478:mprj_dat_o[20] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[20] 0.00120669 +2 *2471:wb_dat_i[20] 1.28869e-05 +3 *2478:mprj_dat_o[20] 0.00120797 +4 *1725:24 0.00839736 +5 *1725:23 0.00880702 +6 *1725:13 0.00282013 +7 *1725:12 0.00280725 +8 *1725:10 0.00369973 +9 *1725:9 0.00369973 +10 *1725:7 0.00282432 +11 *1725:10 *2478:irq[2] 0.000342696 +12 *1725:10 *1727:10 0.00441162 +13 *1725:10 *1731:10 0 +14 *1725:10 *1741:10 0.000742466 +15 *1725:13 *2471:wb_dat_i[21] 0 +16 *1725:13 *1727:13 0 +17 *1725:24 *1729:16 0.0910138 +18 *1725:24 *1735:24 0.000650902 +19 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[20] 0 +20 *1587:10 *1725:10 0.0406512 +21 *1594:7 *1725:7 0 +22 *1594:23 *1725:7 0 +23 *1594:23 *1725:23 0 +24 *1661:5 *2472:mprj_dat_o_core[20] 0 +25 *1668:8 *1725:24 0.00914421 +26 *1670:8 *1725:24 0.0101446 +27 *1723:16 *1725:24 0.0908336 +28 *1723:25 *1725:10 0.0502494 +29 *1723:28 *1725:13 0 +*RES +1 *2478:mprj_dat_o[20] *1725:7 26.9059 +2 *1725:7 *1725:9 4.5 +3 *1725:9 *1725:10 531.479 +4 *1725:10 *1725:12 4.5 +5 *1725:12 *1725:13 70.5562 +6 *1725:13 *2471:wb_dat_i[20] 0.366399 +7 *1725:7 *1725:23 41.1201 +8 *1725:23 *1725:24 118.916 +9 *1725:24 *2472:mprj_dat_o_core[20] 26.7084 +*END + +*D_NET *1726 0.298147 +*CONN +*I *2471:wb_dat_i[21] I *D housekeeping +*I *2472:mprj_dat_o_core[21] I *D mgmt_protect +*I *2478:mprj_dat_o[21] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[21] 0.00167007 +2 *2472:mprj_dat_o_core[21] 0.00278007 +3 *2478:mprj_dat_o[21] 0.00175643 +4 *1726:25 0.00539296 +5 *1726:24 0.00383453 +6 *1726:12 0.00278007 +7 *1726:10 0.00607573 +8 *1726:9 0.00772052 +9 *2471:wb_dat_i[21] *1727:13 0 +10 *1726:10 *1740:12 0.00291073 +11 *1726:25 *1728:31 0.0463165 +12 *1726:25 *2193:11 0.000597677 +13 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[21] 0 +14 *2472:mprj_adr_o_core[22] *2472:mprj_dat_o_core[21] 0 +15 *113:17 *1726:25 0.00523011 +16 *1122:12 *1726:10 0.000265631 +17 *1129:15 *2472:mprj_dat_o_core[21] 0 +18 *1249:9 *2472:mprj_dat_o_core[21] 0 +19 *1573:10 *1726:25 0 +20 *1590:27 *1726:10 0.000895002 +21 *1594:24 *1726:10 0.078287 +22 *1595:7 *1726:9 0 +23 *1595:7 *1726:24 0 +24 *1661:8 *1726:10 0.0804 +25 *1662:7 *2472:mprj_dat_o_core[21] 0.000237581 +26 *1663:12 *1726:10 0.0026051 +27 *1663:14 *1726:10 0.000409617 +28 *1720:24 *1726:25 0.0479822 +29 *1725:13 *2471:wb_dat_i[21] 0 +*RES +1 *2478:mprj_dat_o[21] *1726:9 40.2728 +2 *1726:9 *1726:10 870.342 +3 *1726:10 *1726:12 4.5 +4 *1726:12 *2472:mprj_dat_o_core[21] 52.6149 +5 *2478:mprj_dat_o[21] *1726:24 7.05258 +6 *1726:24 *1726:25 518.169 +7 *1726:25 *2471:wb_dat_i[21] 47.6007 +*END + +*D_NET *1727 0.290868 +*CONN +*I *2472:mprj_dat_o_core[22] I *D mgmt_protect +*I *2471:wb_dat_i[22] I *D housekeeping +*I *2478:mprj_dat_o[22] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[22] 0.00228728 +2 *2471:wb_dat_i[22] 1.28869e-05 +3 *2478:mprj_dat_o[22] 0.00133769 +4 *1727:26 0.00228728 +5 *1727:24 0.00625386 +6 *1727:23 0.00655053 +7 *1727:13 0.00284527 +8 *1727:12 0.00283239 +9 *1727:10 0.00426656 +10 *1727:9 0.00426656 +11 *1727:7 0.00163435 +12 *1727:10 *2478:irq[1] 0.00036442 +13 *1727:10 *1729:25 0.00254933 +14 *1727:10 *1741:10 0.00221918 +15 *1727:13 *2471:wb_dat_i[23] 0 +16 *1727:13 *1729:28 0 +17 *1727:24 *1742:30 0.00302282 +18 *2471:wb_dat_i[21] *1727:13 0 +19 *2472:mprj_adr_o_core[23] *2472:mprj_dat_o_core[22] 0 +20 *2478:mprj_dat_i[22] *1727:7 0 +21 *2478:mprj_dat_i[22] *1727:23 0 +22 *610:14 *1727:24 0.000284653 +23 *1122:9 *2472:mprj_dat_o_core[22] 4.63742e-05 +24 *1122:12 *1727:24 2.16355e-05 +25 *1587:10 *1727:10 0.0379218 +26 *1588:10 *1727:10 0.0381917 +27 *1589:31 *1727:10 0.000137345 +28 *1596:9 *1727:7 0 +29 *1596:9 *1727:23 0 +30 *1596:10 *1727:24 0.0829211 +31 *1652:12 *1727:24 0 +32 *1663:7 *2472:mprj_dat_o_core[22] 0.00256786 +33 *1663:12 *1727:24 3.59437e-05 +34 *1666:8 *1727:24 0.0814959 +35 *1716:10 *1727:24 0.000101794 +36 *1725:10 *1727:10 0.00441162 +37 *1725:13 *1727:13 0 +*RES +1 *2478:mprj_dat_o[22] *1727:7 29.4218 +2 *1727:7 *1727:9 4.5 +3 *1727:9 *1727:10 508.186 +4 *1727:10 *1727:12 4.5 +5 *1727:12 *1727:13 71.3867 +6 *1727:13 *2471:wb_dat_i[22] 0.366399 +7 *1727:7 *1727:23 10.4845 +8 *1727:23 *1727:24 878.106 +9 *1727:24 *1727:26 4.5 +10 *1727:26 *2472:mprj_dat_o_core[22] 54.2759 +*END + +*D_NET *1728 0.286576 +*CONN +*I *2471:wb_dat_i[23] I *D housekeeping +*I *2472:mprj_dat_o_core[23] I *D mgmt_protect +*I *2478:mprj_dat_o[23] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[23] 0.00168919 +2 *2472:mprj_dat_o_core[23] 0.00117379 +3 *2478:mprj_dat_o[23] 0.000166684 +4 *1728:31 0.00517368 +5 *1728:30 0.00363609 +6 *1728:16 0.00212956 +7 *1728:15 0.00100911 +8 *1728:10 0.00843418 +9 *1728:9 0.00838084 +10 *1728:7 0.00285531 +11 *1728:5 0.0028704 +12 *2471:wb_dat_i[23] *1729:28 0 +13 *1728:31 *1730:25 0.0439202 +14 *1728:31 *2193:11 0.000128915 +15 *2472:mprj_adr_o_core[24] *2472:mprj_dat_o_core[23] 0 +16 *113:17 *1728:31 0.00439555 +17 *1250:10 *1728:16 9.91596e-05 +18 *1252:10 *1728:16 0.000109568 +19 *1254:7 *1728:15 1.02986e-05 +20 *1573:10 *1728:31 0.000198023 +21 *1597:9 *1728:7 0 +22 *1597:9 *1728:30 0 +23 *1597:15 *1728:7 0 +24 *1597:29 *1728:15 1.02986e-05 +25 *1662:8 *1728:16 0.000164123 +26 *1662:13 *1728:15 7.09666e-06 +27 *1664:7 *2472:mprj_dat_o_core[23] 0 +28 *1664:13 *1728:15 7.09666e-06 +29 *1665:8 *1728:10 0.0766446 +30 *1665:8 *1728:16 0.00755785 +31 *1668:8 *1728:16 0.00575712 +32 *1679:8 *1728:10 0.0542317 +33 *1721:24 *1728:10 0.00906555 +34 *1723:16 *1728:16 0.000433185 +35 *1726:25 *1728:31 0.0463165 +36 *1727:13 *2471:wb_dat_i[23] 0 +*RES +1 *2478:mprj_dat_o[23] *1728:5 0.366399 +2 *1728:5 *1728:7 65.9885 +3 *1728:7 *1728:9 4.5 +4 *1728:9 *1728:10 803.789 +5 *1728:10 *1728:15 10.0015 +6 *1728:15 *1728:16 84.4685 +7 *1728:16 *2472:mprj_dat_o_core[23] 26.8015 +8 *2478:mprj_dat_o[23] *1728:30 7.76095 +9 *1728:30 *1728:31 492.102 +10 *1728:31 *2471:wb_dat_i[23] 48.016 +*END + +*D_NET *1729 0.322884 +*CONN +*I *2471:wb_dat_i[24] I *D housekeeping +*I *2472:mprj_dat_o_core[24] I *D mgmt_protect +*I *2478:mprj_dat_o[24] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[24] 1.28869e-05 +2 *2472:mprj_dat_o_core[24] 0.00127906 +3 *2478:mprj_dat_o[24] 0.00141448 +4 *1729:28 0.00288095 +5 *1729:27 0.00286806 +6 *1729:25 0.00446639 +7 *1729:16 0.00938948 +8 *1729:15 0.00955813 +9 *1729:9 0.00732858 +10 *1729:16 *1732:10 0.0108377 +11 *1729:16 *1734:10 0.00977543 +12 *1729:16 *1735:24 0.00189034 +13 *1729:25 *2478:irq[1] 0.000193655 +14 *1729:25 *1737:25 0.0025617 +15 *1729:28 *2471:wb_dat_i[25] 0 +16 *1729:28 *1731:13 0 +17 *2471:wb_dat_i[23] *1729:28 0 +18 *2472:mprj_adr_o_core[25] *2472:mprj_dat_o_core[24] 0 +19 *1250:7 *2472:mprj_dat_o_core[24] 0 +20 *1589:31 *1729:15 0.000383703 +21 *1589:31 *1729:25 0.0352422 +22 *1591:14 *1729:15 0.000379505 +23 *1591:14 *1729:25 0.035781 +24 *1598:12 *1729:9 0 +25 *1665:7 *2472:mprj_dat_o_core[24] 0 +26 *1665:11 *1729:15 0 +27 *1668:8 *1729:16 0.000108464 +28 *1670:8 *1729:16 0.000117719 +29 *1673:8 *1729:16 0.0928511 +30 *1725:24 *1729:16 0.0910138 +31 *1727:10 *1729:25 0.00254933 +32 *1727:13 *1729:28 0 +*RES +1 *2478:mprj_dat_o[24] *1729:9 35.1676 +2 *1729:9 *1729:15 46.7643 +3 *1729:15 *1729:16 123.582 +4 *1729:16 *2472:mprj_dat_o_core[24] 27.5389 +5 *1729:9 *1729:25 479.346 +6 *1729:25 *1729:27 4.5 +7 *1729:27 *1729:28 72.6325 +8 *1729:28 *2471:wb_dat_i[24] 0.366399 +*END + +*D_NET *1730 0.294805 +*CONN +*I *2471:wb_dat_i[25] I *D housekeeping +*I *2472:mprj_dat_o_core[25] I *D mgmt_protect +*I *2478:mprj_dat_o[25] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[25] 0.00170831 +2 *2472:mprj_dat_o_core[25] 0.00309577 +3 *2478:mprj_dat_o[25] 0.00178457 +4 *1730:25 0.00499644 +5 *1730:24 0.00343521 +6 *1730:12 0.00309577 +7 *1730:10 0.00637311 +8 *1730:9 0.00801061 +9 *2471:wb_dat_i[25] *1731:13 0 +10 *1730:10 *1731:24 0.0848626 +11 *1730:10 *1744:10 0.00330783 +12 *1730:25 *1732:25 0.00130401 +13 *1730:25 *1734:25 0.0386561 +14 *1730:25 *2193:11 8.8758e-05 +15 *2472:mprj_adr_o_core[26] *2472:mprj_dat_o_core[25] 0 +16 *2478:mprj_dat_i[25] *1730:9 0 +17 *1123:13 *2472:mprj_dat_o_core[25] 0 +18 *1147:15 *2472:mprj_dat_o_core[25] 0 +19 *1553:24 *1730:25 0.00434791 +20 *1573:10 *1730:25 0.000551188 +21 *1652:12 *1730:10 0 +22 *1666:5 *2472:mprj_dat_o_core[25] 0 +23 *1666:8 *1730:10 0.000253172 +24 *1667:10 *1730:10 0.0850132 +25 *1728:31 *1730:25 0.0439202 +26 *1729:28 *2471:wb_dat_i[25] 0 +*RES +1 *2478:mprj_dat_o[25] *1730:9 39.3201 +2 *1730:9 *1730:10 904.173 +3 *1730:10 *1730:12 4.5 +4 *1730:12 *2472:mprj_dat_o_core[25] 55.5217 +5 *2478:mprj_dat_o[25] *1730:24 6.93045 +6 *1730:24 *1730:25 467.145 +7 *1730:25 *2471:wb_dat_i[25] 48.4312 +*END + +*D_NET *1731 0.293861 +*CONN +*I *2472:mprj_dat_o_core[26] I *D mgmt_protect +*I *2471:wb_dat_i[26] I *D housekeeping +*I *2478:mprj_dat_o[26] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[26] 4.25268e-05 +2 *2471:wb_dat_i[26] 1.28869e-05 +3 *2478:mprj_dat_o[26] 0.00107262 +4 *1731:27 0.00239797 +5 *1731:26 0.00235545 +6 *1731:24 0.0064312 +7 *1731:23 0.00694482 +8 *1731:13 0.00263839 +9 *1731:12 0.00262551 +10 *1731:10 0.00433606 +11 *1731:9 0.00433606 +12 *1731:7 0.00158624 +13 *1731:10 *1743:10 0.038446 +14 *1731:10 *2184:25 0.00330201 +15 *1731:13 *2471:wb_dat_i[27] 0 +16 *1731:13 *1733:22 0 +17 *2471:wb_dat_i[25] *1731:13 0 +18 *2472:mprj_adr_o_core[27] *1731:27 0.00196231 +19 *2478:la_input[105] *1731:27 8.86708e-05 +20 *1594:30 *1731:27 5.88009e-05 +21 *1599:10 *1731:24 0.00122278 +22 *1600:7 *1731:7 0 +23 *1600:7 *1731:23 0 +24 *1601:10 *1731:24 8.41339e-05 +25 *1652:12 *1731:24 0 +26 *1658:13 *1731:27 1.54479e-05 +27 *1667:7 *1731:27 0.000498959 +28 *1667:10 *1731:24 0.000253038 +29 *1669:8 *1731:24 0.085759 +30 *1714:16 *1731:24 0.00322808 +31 *1714:27 *1731:10 0.038977 +32 *1715:10 *1731:10 0.000113197 +33 *1716:10 *1731:24 0.000114491 +34 *1717:10 *1731:10 9.48476e-05 +35 *1719:10 *1731:10 0 +36 *1721:10 *1731:10 0 +37 *1723:25 *1731:10 0 +38 *1725:10 *1731:10 0 +39 *1729:28 *1731:13 0 +40 *1730:10 *1731:24 0.0848626 +*RES +1 *2478:mprj_dat_o[26] *1731:7 24.4388 +2 *1731:7 *1731:9 4.5 +3 *1731:9 *1731:10 454.944 +4 *1731:10 *1731:12 4.5 +5 *1731:12 *1731:13 66.4037 +6 *1731:13 *2471:wb_dat_i[26] 0.366399 +7 *1731:7 *1731:23 13.8065 +8 *1731:23 *1731:24 915.265 +9 *1731:24 *1731:26 4.5 +10 *1731:26 *1731:27 56.0224 +11 *1731:27 *2472:mprj_dat_o_core[26] 1.20912 +*END + +*D_NET *1732 0.297581 +*CONN +*I *2471:wb_dat_i[27] I *D housekeeping +*I *2472:mprj_dat_o_core[27] I *D mgmt_protect +*I *2478:mprj_dat_o[27] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[27] 0.0017448 +2 *2472:mprj_dat_o_core[27] 0.00127766 +3 *2478:mprj_dat_o[27] 0.000136329 +4 *1732:25 0.00480913 +5 *1732:24 0.00318338 +6 *1732:10 0.00703839 +7 *1732:9 0.00576073 +8 *1732:7 0.0026987 +9 *1732:5 0.00271598 +10 *2471:wb_dat_i[27] *1733:22 0 +11 *1732:10 *1734:10 0.0868018 +12 *1732:10 *1737:16 0.0855553 +13 *1732:25 *1734:25 0.0391766 +14 *1732:25 *1736:23 0.0378335 +15 *2472:mprj_adr_o_core[28] *2472:mprj_dat_o_core[27] 0 +16 *1252:10 *1732:10 0.00177894 +17 *1553:24 *1732:25 0.00251159 +18 *1573:10 *1732:25 0.00180887 +19 *1601:9 *1732:24 0 +20 *1610:30 *1732:10 0.000281315 +21 *1668:7 *2472:mprj_dat_o_core[27] 0 +22 *1668:8 *1732:10 0.000253799 +23 *1670:8 *1732:10 7.24449e-05 +24 *1729:16 *1732:10 0.0108377 +25 *1730:25 *1732:25 0.00130401 +26 *1731:13 *2471:wb_dat_i[27] 0 +*RES +1 *2478:mprj_dat_o[27] *1732:5 0.366399 +2 *1732:5 *1732:7 63.4969 +3 *1732:7 *1732:9 4.5 +4 *1732:9 *1732:10 923.584 +5 *1732:10 *2472:mprj_dat_o_core[27] 28.4625 +6 *2478:mprj_dat_o[27] *1732:24 6.93045 +7 *1732:24 *1732:25 442.188 +8 *1732:25 *2471:wb_dat_i[27] 49.2617 +*END + +*D_NET *1733 0.288678 +*CONN +*I *2471:wb_dat_i[28] I *D housekeeping +*I *2472:mprj_dat_o_core[28] I *D mgmt_protect +*I *2478:mprj_dat_o[28] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[28] 1.28869e-05 +2 *2472:mprj_dat_o_core[28] 0.00309155 +3 *2478:mprj_dat_o[28] 0.00142719 +4 *1733:22 0.00292307 +5 *1733:21 0.00291018 +6 *1733:19 0.00404214 +7 *1733:12 0.00309155 +8 *1733:10 0.00649385 +9 *1733:9 0.0119632 +10 *1733:19 *1736:10 0.000202245 +11 *1733:19 *1737:15 0.000379505 +12 *1733:19 *1737:25 0.036655 +13 *1733:22 *2471:wb_dat_i[29] 0 +14 *1733:22 *2471:wb_dat_i[30] 0 +15 *2471:wb_dat_i[27] *1733:22 0 +16 *2472:mprj_adr_o_core[29] *2472:mprj_dat_o_core[28] 0 +17 *1124:9 *2472:mprj_dat_o_core[28] 0 +18 *1257:15 *2472:mprj_dat_o_core[28] 0.000378618 +19 *1591:14 *1733:19 0.000376796 +20 *1601:10 *1733:10 0.0874858 +21 *1601:19 *1733:10 0.000783723 +22 *1601:19 *1733:19 0.032593 +23 *1602:7 *1733:9 0 +24 *1602:10 *1733:19 0.000113197 +25 *1605:10 *1733:19 3.18408e-05 +26 *1652:12 *1733:10 0.000262266 +27 *1669:5 *2472:mprj_dat_o_core[28] 0 +28 *1672:8 *1733:10 0.0872733 +29 *1672:8 *1733:19 0.00218537 +30 *1716:10 *1733:10 0.00389851 +31 *1718:10 *1733:10 0.000103514 +32 *1731:13 *1733:22 0 +*RES +1 *2478:mprj_dat_o[28] *1733:9 35.1432 +2 *1733:9 *1733:10 932.457 +3 *1733:10 *1733:12 4.5 +4 *1733:12 *2472:mprj_dat_o_core[28] 57.1827 +5 *1733:9 *1733:19 431.65 +6 *1733:19 *1733:21 4.5 +7 *1733:21 *1733:22 74.2935 +8 *1733:22 *2471:wb_dat_i[28] 0.366399 +*END + +*D_NET *1734 0.297891 +*CONN +*I *2471:wb_dat_i[29] I *D housekeeping +*I *2472:mprj_dat_o_core[29] I *D mgmt_protect +*I *2478:mprj_dat_o[29] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[29] 0.00172336 +2 *2472:mprj_dat_o_core[29] 0.00116313 +3 *2478:mprj_dat_o[29] 0.000112424 +4 *1734:25 0.00458005 +5 *1734:24 0.00295183 +6 *1734:10 0.00706641 +7 *1734:9 0.00590328 +8 *1734:7 0.00273444 +9 *1734:5 0.00275173 +10 *2471:wb_dat_i[29] *2471:wb_dat_i[30] 0 +11 *1734:7 *2410:14 0 +12 *1734:10 *1737:16 0.00129795 +13 *1734:25 *1736:23 0.000226394 +14 *1734:25 *2193:11 6.36816e-05 +15 *2472:mprj_adr_o_core[30] *2472:mprj_dat_o_core[29] 0 +16 *1553:24 *1734:25 0.00320795 +17 *1604:7 *1734:7 0 +18 *1604:7 *1734:24 1.77537e-06 +19 *1604:23 *1734:7 0 +20 *1670:7 *2472:mprj_dat_o_core[29] 0.000243194 +21 *1670:8 *1734:10 0.0891279 +22 *1673:8 *1734:10 0.000325419 +23 *1729:16 *1734:10 0.00977543 +24 *1730:25 *1734:25 0.0386561 +25 *1732:10 *1734:10 0.0868018 +26 *1732:25 *1734:25 0.0391766 +27 *1733:22 *2471:wb_dat_i[29] 0 +*RES +1 *2478:mprj_dat_o[29] *1734:5 0.366399 +2 *1734:5 *1734:7 63.9122 +3 *1734:7 *1734:9 4.5 +4 *1734:9 *1734:10 941.331 +5 *1734:10 *2472:mprj_dat_o_core[29] 28.0472 +6 *2478:mprj_dat_o[29] *1734:24 6.36863 +7 *1734:24 *1734:25 417.785 +8 *1734:25 *2471:wb_dat_i[29] 48.8465 +*END + +*D_NET *1735 0.363432 +*CONN +*I *2472:mprj_dat_o_core[2] I *D mgmt_protect +*I *2471:wb_dat_i[2] I *D housekeeping +*I *2478:mprj_dat_o[2] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[2] 0.0012368 +2 *2471:wb_dat_i[2] 1.28869e-05 +3 *2478:mprj_dat_o[2] 0.00105507 +4 *1735:24 0.0126075 +5 *1735:23 0.0132375 +6 *1735:13 0.00279405 +7 *1735:12 0.00278117 +8 *1735:10 0.0106979 +9 *1735:9 0.0106979 +10 *1735:7 0.00292185 +11 *2472:mprj_dat_o_core[2] *2472:mprj_sel_o_core[2] 0 +12 *1735:7 *2185:7 0 +13 *1735:10 *1741:10 0.0752583 +14 *1735:10 *2184:25 0.00132602 +15 *1735:10 *2186:10 0.079923 +16 *1735:13 *2471:wb_dat_i[3] 0 +17 *1735:13 *1739:19 0 +18 *1735:23 *2185:7 0 +19 *1735:24 *1739:30 0 +20 *1735:24 *1743:24 0 +21 *1735:24 *2183:19 0.000102747 +22 *1735:24 *2185:10 0.0752063 +23 *2471:wb_dat_i[1] *1735:13 0 +24 *2472:mprj_adr_o_core[2] *2472:mprj_dat_o_core[2] 0 +25 *488:32 *1735:13 0 +26 *729:11 *2472:mprj_dat_o_core[2] 0 +27 *1275:20 *1735:24 0.00228409 +28 *1607:10 *1735:10 0.000139878 +29 *1608:10 *1735:10 0.00413509 +30 *1608:30 *1735:24 0.00456436 +31 *1609:19 *1735:10 0.000246453 +32 *1671:7 *2472:mprj_dat_o_core[2] 0 +33 *1671:11 *1735:7 0 +34 *1671:11 *1735:23 0 +35 *1673:8 *1735:24 0.0552346 +36 *1676:8 *1735:10 0.000246453 +37 *1715:30 *1735:24 0 +38 *1717:10 *1735:10 0.00390299 +39 *1717:24 *1735:24 0 +40 *1718:10 *1735:10 0 +41 *1719:24 *1735:24 0 +42 *1721:24 *1735:24 0.0001506 +43 *1723:16 *1735:24 0.000127811 +44 *1725:24 *1735:24 0.000650902 +45 *1729:16 *1735:24 0.00189034 +*RES +1 *2478:mprj_dat_o[2] *1735:7 25.4769 +2 *1735:7 *1735:9 3.36879 +3 *1735:9 *1735:10 105.759 +4 *1735:10 *1735:12 3.36879 +5 *1735:12 *1735:13 67.4418 +6 *1735:13 *2471:wb_dat_i[2] 0.366399 +7 *1735:7 *1735:23 41.743 +8 *1735:23 *1735:24 96.1216 +9 *1735:24 *2472:mprj_dat_o_core[2] 29.1999 +*END + +*D_NET *1736 0.289279 +*CONN +*I *2471:wb_dat_i[30] I *D housekeeping +*I *2472:mprj_dat_o_core[30] I *D mgmt_protect +*I *2478:mprj_dat_o[30] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[30] 0.00175598 +2 *2472:mprj_dat_o_core[30] 0.00286524 +3 *2478:mprj_dat_o[30] 0.00155064 +4 *1736:23 0.00450386 +5 *1736:22 0.00277088 +6 *1736:12 0.00286524 +7 *1736:10 0.00731843 +8 *1736:9 0.00884607 +9 *2471:wb_dat_i[30] *1737:28 0 +10 *1736:9 *2412:14 0 +11 *1736:23 *2193:11 0 +12 *2471:wb_dat_i[29] *2471:wb_dat_i[30] 0 +13 *2472:mprj_adr_o_core[31] *2472:mprj_dat_o_core[30] 0 +14 *2478:mprj_dat_i[30] *1736:9 0 +15 *868:12 *2472:mprj_dat_o_core[30] 0 +16 *1252:7 *2472:mprj_dat_o_core[30] 0.00155079 +17 *1553:24 *1736:23 0.00221772 +18 *1573:10 *1736:23 0.0386237 +19 *1587:10 *1736:10 0 +20 *1588:10 *1736:10 0.000218095 +21 *1589:15 *1736:10 0.000109258 +22 *1589:31 *1736:10 0.000421596 +23 *1591:12 *1736:10 0.000272272 +24 *1591:14 *1736:10 0.0142976 +25 *1593:26 *1736:10 0.00294953 +26 *1605:7 *1736:9 0 +27 *1612:10 *1736:10 0 +28 *1652:12 *1736:10 0.0635099 +29 *1654:10 *1736:10 0.00121044 +30 *1672:5 *2472:mprj_dat_o_core[30] 0 +31 *1672:8 *1736:10 0.0892527 +32 *1718:10 *1736:10 0.00390639 +33 *1732:25 *1736:23 0.0378335 +34 *1733:19 *1736:10 0.000202245 +35 *1733:22 *2471:wb_dat_i[30] 0 +36 *1734:25 *1736:23 0.000226394 +*RES +1 *2478:mprj_dat_o[30] *1736:9 35.9981 +2 *1736:9 *1736:10 947.986 +3 *1736:10 *1736:12 4.5 +4 *1736:12 *2472:mprj_dat_o_core[30] 58.0132 +5 *2478:mprj_dat_o[30] *1736:22 5.20837 +6 *1736:22 *1736:23 406.971 +7 *1736:23 *2471:wb_dat_i[30] 49.677 +*END + +*D_NET *1737 0.237681 +*CONN +*I *2471:wb_dat_i[31] I *D housekeeping +*I *2472:mprj_dat_o_core[31] I *D mgmt_protect +*I *2478:mprj_dat_o[31] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[31] 1.28869e-05 +2 *2472:mprj_dat_o_core[31] 0.00132244 +3 *2478:mprj_dat_o[31] 0.00159365 +4 *1737:28 0.00288413 +5 *1737:27 0.00287125 +6 *1737:25 0.00397783 +7 *1737:16 0.0182934 +8 *1737:15 0.0182958 +9 *1737:9 0.00689629 +10 *1737:25 *2478:irq[1] 0.000183925 +11 *1737:28 *2471:wb_sel_i[0] 0 +12 *2471:wb_dat_i[30] *1737:28 0 +13 *1591:14 *1737:15 0.000171456 +14 *1591:14 *1737:25 0.0116742 +15 *1610:30 *1737:16 0.0243318 +16 *1673:7 *2472:mprj_dat_o_core[31] 0 +17 *1673:8 *1737:16 0.0187229 +18 *1673:11 *1737:15 0 +19 *1729:25 *1737:25 0.0025617 +20 *1732:10 *1737:16 0.0855553 +21 *1733:19 *1737:15 0.000379505 +22 *1733:19 *1737:25 0.036655 +23 *1734:10 *1737:16 0.00129795 +*RES +1 *2478:mprj_dat_o[31] *1737:9 36.4134 +2 *1737:9 *1737:15 46.4422 +3 *1737:15 *1737:16 956.86 +4 *1737:16 *2472:mprj_dat_o_core[31] 28.8777 +5 *1737:9 *1737:25 392.274 +6 *1737:25 *1737:27 4.5 +7 *1737:27 *1737:28 73.8783 +8 *1737:28 *2471:wb_dat_i[31] 0.366399 +*END + +*D_NET *1738 0.332225 +*CONN +*I *2471:wb_dat_i[3] I *D housekeeping +*I *2472:mprj_dat_o_core[3] I *D mgmt_protect +*I *2478:mprj_dat_o[3] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[3] 0.00130564 +2 *2472:mprj_dat_o_core[3] 0.00246403 +3 *2478:mprj_dat_o[3] 0.00236388 +4 *1738:33 0.00564952 +5 *1738:31 0.00558869 +6 *1738:27 0.00153146 +7 *1738:12 0.00246403 +8 *1738:10 0.0103771 +9 *1738:9 0.0124544 +10 *2471:wb_dat_i[3] *1739:19 0 +11 *2472:mprj_dat_o_core[3] *2472:mprj_sel_o_core[3] 0 +12 *1738:10 *1740:12 0.0749683 +13 *1738:10 *2184:16 0.0718148 +14 *1738:10 *2186:24 0.000563713 +15 *1738:31 *1740:29 0.000743873 +16 *1738:33 *1740:29 0 +17 *1738:33 *1740:31 0.0651021 +18 *1738:33 *2185:27 0.000122714 +19 *2478:mprj_dat_i[3] *1738:9 0 +20 *99:10 *1738:33 0.000385684 +21 *100:77 *1738:27 0.000589703 +22 *100:77 *1738:31 2.79092e-05 +23 *480:17 *1738:31 0.000416377 +24 *864:10 *1738:10 0.000101365 +25 *867:19 *2472:mprj_dat_o_core[3] 0.000343352 +26 *986:12 *1738:10 4.61116e-05 +27 *1593:30 *1738:10 0.000175462 +28 *1594:30 *1738:10 0.000101365 +29 *1606:24 *1738:9 0 +30 *1607:31 *1738:31 0.000607553 +31 *1658:14 *1738:10 0.00254022 +32 *1661:8 *1738:10 0.00286678 +33 *1674:5 *2472:mprj_dat_o_core[3] 0 +34 *1724:10 *1738:10 0.000147045 +35 *1724:27 *1738:27 0.000631564 +36 *1724:31 *1738:27 0 +37 *1724:31 *1738:31 4.73037e-06 +38 *1724:33 *1738:31 0 +39 *1724:33 *1738:33 0.0657257 +40 *1735:13 *2471:wb_dat_i[3] 0 +*RES +1 *2478:mprj_dat_o[3] *1738:9 45.4558 +2 *1738:9 *1738:10 97.7278 +3 *1738:10 *1738:12 3.36879 +4 *1738:12 *2472:mprj_dat_o_core[3] 52.4073 +5 *2478:mprj_dat_o[3] *1738:27 15.0084 +6 *1738:27 *1738:31 47.5874 +7 *1738:31 *1738:33 694.255 +8 *1738:33 *2471:wb_dat_i[3] 40.1262 +*END + +*D_NET *1739 0.28796 +*CONN +*I *2472:mprj_dat_o_core[4] I *D mgmt_protect +*I *2471:wb_dat_i[4] I *D housekeeping +*I *2478:mprj_dat_o[4] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[4] 0.000826225 +2 *2471:wb_dat_i[4] 1.28869e-05 +3 *2478:mprj_dat_o[4] 0.00130334 +4 *1739:30 0.00893905 +5 *1739:29 0.00961783 +6 *1739:19 0.00336419 +7 *1739:18 0.0033513 +8 *1739:16 0.0166577 +9 *1739:15 0.0166577 +10 *1739:13 0.00218642 +11 *1739:12 0.00198476 +12 *1739:19 *2471:wb_dat_i[5] 0 +13 *1739:19 *1741:13 0 +14 *1739:30 *1741:24 0.0778123 +15 *1739:30 *1743:24 0.0764514 +16 *2471:wb_dat_i[3] *1739:19 0 +17 *2472:mprj_adr_o_core[5] *2472:mprj_dat_o_core[4] 0 +18 *2478:mprj_dat_i[5] *1739:13 5.05252e-05 +19 *1590:27 *1739:16 0.00027341 +20 *1595:10 *1739:16 0 +21 *1596:21 *1739:16 0 +22 *1603:22 *1739:16 0.0595971 +23 *1604:10 *1739:16 0 +24 *1606:25 *1739:16 0.000113197 +25 *1608:7 *1739:12 0 +26 *1608:10 *1739:12 2.2836e-05 +27 *1608:23 *1739:12 0 +28 *1608:23 *1739:13 0 +29 *1608:23 *1739:29 0 +30 *1609:19 *1739:12 0.00063214 +31 *1651:8 *1739:30 0.00366396 +32 *1655:12 *1739:30 0.00444218 +33 *1675:7 *2472:mprj_dat_o_core[4] 0 +34 *1722:10 *1739:12 0 +35 *1735:13 *1739:19 0 +36 *1735:24 *1739:30 0 +*RES +1 *2478:mprj_dat_o[4] *1739:12 43.5849 +2 *1739:12 *1739:13 12.2133 +3 *1739:13 *1739:15 4.5 +4 *1739:15 *1739:16 721.153 +5 *1739:16 *1739:18 4.5 +6 *1739:18 *1739:19 81.768 +7 *1739:19 *2471:wb_dat_i[4] 0.366399 +8 *1739:13 *1739:29 35.7218 +9 *1739:29 *1739:30 100.787 +10 *1739:30 *2472:mprj_dat_o_core[4] 20.8949 +*END + +*D_NET *1740 0.339126 +*CONN +*I *2471:wb_dat_i[5] I *D housekeeping +*I *2472:mprj_dat_o_core[5] I *D mgmt_protect +*I *2478:mprj_dat_o[5] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[5] 0.00132024 +2 *2472:mprj_dat_o_core[5] 0.00201338 +3 *2478:mprj_dat_o[5] 0.00241128 +4 *1740:31 0.00547632 +5 *1740:29 0.0047129 +6 *1740:14 0.00201338 +7 *1740:12 0.011177 +8 *1740:11 0.0130315 +9 *2471:wb_dat_i[5] *1741:13 0 +10 *1740:12 *1742:30 0.00125604 +11 *1740:12 *2186:24 0.0759981 +12 *1740:29 *1742:5 4.24594e-05 +13 *1740:31 *1742:7 0.0655471 +14 *1740:31 *2185:27 8.8758e-05 +15 *2472:mprj_adr_o_core[6] *2472:mprj_dat_o_core[5] 0 +16 *99:10 *1740:31 0.000418593 +17 *480:17 *1740:29 0.000337843 +18 *864:10 *1740:12 0.000511918 +19 *866:15 *2472:mprj_dat_o_core[5] 0.0014697 +20 *1593:30 *1740:12 0.000214358 +21 *1594:24 *1740:12 0.00305581 +22 *1596:10 *1740:12 0.000144814 +23 *1607:31 *1740:29 0.00277355 +24 *1607:31 *1740:31 0 +25 *1609:18 *1740:11 0 +26 *1609:18 *1740:29 0 +27 *1658:14 *1740:12 0.000228981 +28 *1661:8 *1740:12 0.00024852 +29 *1663:12 *1740:12 0.000110257 +30 *1663:14 *1740:12 0.000157517 +31 *1676:5 *2472:mprj_dat_o_core[5] 0.000640321 +32 *1726:10 *1740:12 0.00291073 +33 *1738:10 *1740:12 0.0749683 +34 *1738:31 *1740:29 0.000743873 +35 *1738:33 *1740:29 0 +36 *1738:33 *1740:31 0.0651021 +37 *1739:19 *2471:wb_dat_i[5] 0 +*RES +1 *2478:mprj_dat_o[5] *1740:11 43.1109 +2 *1740:11 *1740:12 100.787 +3 *1740:12 *1740:14 3.36879 +4 *1740:14 *2472:mprj_dat_o_core[5] 53.2378 +5 *2478:mprj_dat_o[5] *1740:29 38.2738 +6 *1740:29 *1740:31 687.877 +7 *1740:31 *2471:wb_dat_i[5] 40.5414 +*END + +*D_NET *1741 0.316383 +*CONN +*I *2472:mprj_dat_o_core[6] I *D mgmt_protect +*I *2471:wb_dat_i[6] I *D housekeeping +*I *2478:mprj_dat_o[6] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[6] 0.000788009 +2 *2471:wb_dat_i[6] 1.28869e-05 +3 *2478:mprj_dat_o[6] 0.00103182 +4 *1741:24 0.0228815 +5 *1741:23 0.0220935 +6 *1741:21 0.00224653 +7 *1741:13 0.00281638 +8 *1741:12 0.0028035 +9 *1741:10 0.0236734 +10 *1741:9 0.0236734 +11 *1741:7 0.00327835 +12 *1741:10 *2478:irq[0] 0.00593133 +13 *1741:10 *2478:irq[1] 2.48636e-05 +14 *1741:10 *2478:irq[2] 0.0010138 +15 *1741:10 *2186:10 0.000499585 +16 *1741:13 *2471:wb_dat_i[7] 0 +17 *1741:13 *1743:13 0 +18 *1741:24 *1743:24 0.000994708 +19 *2471:wb_dat_i[5] *1741:13 0 +20 *2472:mprj_adr_o_core[7] *2472:mprj_dat_o_core[6] 0 +21 *635:8 *1741:24 0.00352548 +22 *1145:10 *1741:24 0.00313436 +23 *1579:8 *1741:24 0.00369071 +24 *1581:24 *1741:24 0.00411816 +25 *1587:10 *1741:10 0.000605439 +26 *1587:24 *1741:24 0.00582633 +27 *1590:18 *1741:24 0.00724031 +28 *1610:7 *1741:7 0 +29 *1610:10 *1741:10 0.00443437 +30 *1610:23 *1741:21 0 +31 *1612:10 *1741:10 0.00126156 +32 *1612:30 *1741:24 0.00333812 +33 *1653:10 *1741:24 0.00426103 +34 *1677:7 *2472:mprj_dat_o_core[6] 0 +35 *1718:10 *1741:10 0 +36 *1719:10 *1741:10 0.00376542 +37 *1721:10 *1741:10 0.001053 +38 *1723:25 *1741:10 0.000332844 +39 *1725:10 *1741:10 0.000742466 +40 *1727:10 *1741:10 0.00221918 +41 *1735:10 *1741:10 0.0752583 +42 *1739:19 *1741:13 0 +43 *1739:30 *1741:24 0.0778123 +*RES +1 *2478:mprj_dat_o[6] *1741:7 24.622 +2 *1741:7 *1741:9 3.36879 +3 *1741:9 *1741:10 97.2689 +4 *1741:10 *1741:12 3.36879 +5 *1741:12 *1741:13 68.2723 +6 *1741:13 *2471:wb_dat_i[6] 0.366399 +7 *1741:7 *1741:21 46.6792 +8 *1741:21 *1741:23 3.36879 +9 *1741:23 *1741:24 102.011 +10 *1741:24 *2472:mprj_dat_o_core[6] 20.0644 +*END + +*D_NET *1742 0.335594 +*CONN +*I *2472:mprj_dat_o_core[7] I *D mgmt_protect +*I *2471:wb_dat_i[7] I *D housekeeping +*I *2478:mprj_dat_o[7] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[7] 0.00235317 +2 *2471:wb_dat_i[7] 0.00133485 +3 *2478:mprj_dat_o[7] 0.00206654 +4 *1742:32 0.00235317 +5 *1742:30 0.0110241 +6 *1742:29 0.0130683 +7 *1742:7 0.00609102 +8 *1742:5 0.00477855 +9 *2471:wb_dat_i[7] *1743:13 0 +10 *1742:7 *1744:33 0.06254 +11 *1742:7 *2185:27 3.18408e-05 +12 *1742:30 *1744:10 0.0793401 +13 *1742:30 *2186:24 0.0745623 +14 *2472:mprj_adr_o_core[8] *2472:mprj_dat_o_core[7] 0 +15 *2478:mprj_dat_i[7] *1742:29 0 +16 *99:10 *1742:7 0.000463439 +17 *731:11 *2472:mprj_dat_o_core[7] 0.00165642 +18 *862:10 *1742:30 0.000252021 +19 *987:12 *1742:30 0.000293424 +20 *1246:12 *1742:30 2.61599e-05 +21 *1594:24 *1742:30 0.000100568 +22 *1596:10 *1742:30 0.000116596 +23 *1607:31 *1742:7 0 +24 *1663:14 *1742:30 0.000107429 +25 *1666:8 *1742:30 0.00316606 +26 *1678:5 *2472:mprj_dat_o_core[7] 0 +27 *1727:24 *1742:30 0.00302282 +28 *1740:12 *1742:30 0.00125604 +29 *1740:29 *1742:5 4.24594e-05 +30 *1740:31 *1742:7 0.0655471 +31 *1741:13 *2471:wb_dat_i[7] 0 +*RES +1 *2478:mprj_dat_o[7] *1742:5 5.48864 +2 *1742:5 *1742:7 692.591 +3 *1742:7 *2471:wb_dat_i[7] 40.9567 +4 *2478:mprj_dat_o[7] *1742:29 45.3337 +5 *1742:29 *1742:30 102.929 +6 *1742:30 *1742:32 3.36879 +7 *1742:32 *2472:mprj_dat_o_core[7] 54.8988 +*END + +*D_NET *1743 0.326433 +*CONN +*I *2472:mprj_dat_o_core[8] I *D mgmt_protect +*I *2471:wb_dat_i[8] I *D housekeeping +*I *2478:mprj_dat_o[8] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[8] 0.00088156 +2 *2471:wb_dat_i[8] 1.28869e-05 +3 *2478:mprj_dat_o[8] 0.000995965 +4 *1743:24 0.00890632 +5 *1743:23 0.00802476 +6 *1743:21 0.00226847 +7 *1743:13 0.00267991 +8 *1743:12 0.00266702 +9 *1743:10 0.00650743 +10 *1743:9 0.00650743 +11 *1743:7 0.00326444 +12 *1743:10 *2184:25 0.00460762 +13 *1743:13 *2471:wb_dat_i[9] 0 +14 *2471:wb_dat_i[7] *1743:13 0 +15 *2472:mprj_adr_o_core[9] *2472:mprj_dat_o_core[8] 0 +16 *1593:10 *1743:10 0.042709 +17 *1594:10 *1743:10 0.000113197 +18 *1597:30 *1743:24 0.00039825 +19 *1657:8 *1743:24 0.00461545 +20 *1662:14 *1743:24 0.00414909 +21 *1679:7 *2472:mprj_dat_o_core[8] 0 +22 *1679:11 *1743:7 0 +23 *1679:11 *1743:21 0 +24 *1714:27 *1743:10 0.0052714 +25 *1714:30 *1743:13 0 +26 *1715:30 *1743:24 0.0798671 +27 *1720:10 *1743:10 0.0117089 +28 *1722:10 *1743:10 0.0143852 +29 *1731:10 *1743:10 0.038446 +30 *1735:24 *1743:24 0 +31 *1739:30 *1743:24 0.0764514 +32 *1741:13 *1743:13 0 +33 *1741:24 *1743:24 0.000994708 +*RES +1 *2478:mprj_dat_o[8] *1743:7 24.0236 +2 *1743:7 *1743:9 4.5 +3 *1743:9 *1743:10 681.777 +4 *1743:10 *1743:12 4.5 +5 *1743:12 *1743:13 65.9885 +6 *1743:13 *2471:wb_dat_i[8] 0.366399 +7 *1743:7 *1743:21 47.3021 +8 *1743:21 *1743:23 3.36879 +9 *1743:23 *1743:24 104.23 +10 *1743:24 *2472:mprj_dat_o_core[8] 21.7254 +*END + +*D_NET *1744 0.333947 +*CONN +*I *2471:wb_dat_i[9] I *D housekeeping +*I *2472:mprj_dat_o_core[9] I *D mgmt_protect +*I *2478:mprj_dat_o[9] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[9] 0.00134945 +2 *2472:mprj_dat_o_core[9] 0.00284618 +3 *2478:mprj_dat_o[9] 0.00213438 +4 *1744:33 0.00580797 +5 *1744:31 0.00497205 +6 *1744:12 0.00284618 +7 *1744:10 0.0115736 +8 *1744:9 0.0131945 +9 *1744:31 *2193:11 0.000436811 +10 *1744:33 *2185:27 0 +11 *2472:mprj_adr_o_core[10] *2472:mprj_dat_o_core[9] 0 +12 *2478:mprj_dat_i[9] *1744:9 0 +13 *99:10 *1744:33 0.000504093 +14 *610:14 *1744:10 0.000545583 +15 *1246:12 *1744:10 0.000162159 +16 *1596:10 *1744:10 0.000102518 +17 *1607:31 *1744:33 5.80259e-05 +18 *1607:35 *1744:33 0.0524965 +19 *1611:25 *1744:31 0.000432613 +20 *1650:5 *2472:mprj_dat_o_core[9] 0 +21 *1667:10 *1744:10 0.00304106 +22 *1680:5 *2472:mprj_dat_o_core[9] 0 +23 *1714:16 *1744:10 0.0819887 +24 *1714:30 *2471:wb_dat_i[9] 0 +25 *1716:27 *1744:33 0.00426676 +26 *1730:10 *1744:10 0.00330783 +27 *1742:7 *1744:33 0.06254 +28 *1742:30 *1744:10 0.0793401 +29 *1743:13 *2471:wb_dat_i[9] 0 +*RES +1 *2478:mprj_dat_o[9] *1744:9 38.8118 +2 *1744:9 *1744:10 105.377 +3 *1744:10 *1744:12 3.36879 +4 *1744:12 *2472:mprj_dat_o_core[9] 55.7293 +5 *2478:mprj_dat_o[9] *1744:31 26.6681 +6 *1744:31 *1744:33 661.256 +7 *1744:33 *2471:wb_dat_i[9] 41.372 +*END + +*D_NET *1745 0.0594405 +*CONN +*I *2473:wbs_dat_i[0] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[0] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[0] 0.0023407 +2 *2472:mprj_dat_o_user[0] 0.000816953 +3 *1745:10 0.0023407 +4 *1745:8 0.0256412 +5 *1745:7 0.0264581 +6 *1745:7 *2187:7 0 +7 *1745:8 *1764:8 0 +8 *1745:8 *1768:8 0.000524873 +9 *2472:mprj_dat_i_user[0] *1745:7 0 +10 *989:8 *1745:8 0.000848219 +11 *1620:8 *1745:8 6.50586e-05 +12 *1632:8 *1745:8 0 +13 *1634:8 *1745:8 0 +14 *1636:8 *1745:8 4.35194e-05 +15 *1701:8 *1745:8 0 +16 *1705:8 *1745:8 0.000361169 +*RES +1 *2472:mprj_dat_o_user[0] *1745:7 26.3862 +2 *1745:7 *1745:8 733.909 +3 *1745:8 *1745:10 4.5 +4 *1745:10 *2473:wbs_dat_i[0] 62.1657 +*END + +*D_NET *1746 0.128128 +*CONN +*I *2473:wbs_dat_i[10] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[10] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[10] 0.00206891 +2 *2472:mprj_dat_o_user[10] 0.00147385 +3 *1746:10 0.00206891 +4 *1746:8 0.00415993 +5 *1746:7 0.00563378 +6 *1746:8 *1771:8 0.00010238 +7 *1746:8 *2189:8 0.00477669 +8 *2472:mprj_dat_i_user[10] *1746:7 0 +9 *2472:mprj_dat_i_user[11] *1746:7 0 +10 *2473:la_oenb[5] *1746:7 2.71397e-05 +11 *1445:8 *1746:8 0.000128915 +12 *1614:8 *1746:8 0.0549294 +13 *1615:7 *1746:7 0 +14 *1683:8 *1746:8 0.0527584 +*RES +1 *2472:mprj_dat_o_user[10] *1746:7 38.4285 +2 *1746:7 *1746:8 583.057 +3 *1746:8 *1746:10 4.5 +4 *1746:10 *2473:wbs_dat_i[10] 50.1234 +*END + +*D_NET *1747 0.142845 +*CONN +*I *2473:wbs_dat_i[11] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[11] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[11] 0.00174355 +2 *2472:mprj_dat_o_user[11] 0.001813 +3 *1747:8 0.0092667 +4 *1747:7 0.00933615 +5 *1747:8 *1775:8 0 +6 *1747:8 *1776:8 0.0600803 +7 *2472:mprj_dat_i_user[11] *1747:7 0 +8 *2473:la_data_in[6] *1747:7 0.000437695 +9 *1616:7 *1747:7 0 +10 *1616:8 *1747:8 0 +11 *1631:8 *1747:8 0.000748203 +12 *1682:8 *1747:8 0.000242717 +13 *1684:8 *1747:8 0.0587635 +14 *1698:8 *1747:8 0.000412718 +*RES +1 *2472:mprj_dat_o_user[11] *1747:7 45.81 +2 *1747:7 *1747:8 78.6053 +3 *1747:8 *2473:wbs_dat_i[11] 44.9795 +*END + +*D_NET *1748 0.121445 +*CONN +*I *2473:wbs_dat_i[12] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[12] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[12] 0.00205777 +2 *2472:mprj_dat_o_user[12] 0.00146376 +3 *1748:10 0.00205777 +4 *1748:8 0.00407883 +5 *1748:7 0.00554259 +6 *1748:8 *1771:8 0.00414196 +7 *2472:mprj_dat_i_user[12] *1748:7 0 +8 *827:8 *1748:8 0.000312946 +9 *1456:8 *1748:8 4.92912e-05 +10 *1617:5 *1748:7 0 +11 *1618:14 *1748:8 0.0462289 +12 *1683:8 *1748:8 0.0518492 +13 *1685:8 *1748:8 0.00034733 +14 *1685:16 *1748:7 0.000140424 +15 *1691:14 *1748:8 0.00317414 +*RES +1 *2472:mprj_dat_o_user[12] *1748:7 39.2591 +2 *1748:7 *1748:8 556.436 +3 *1748:8 *1748:10 4.5 +4 *1748:10 *2473:wbs_dat_i[12] 49.2929 +*END + +*D_NET *1749 0.117115 +*CONN +*I *2473:wbs_dat_i[13] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[13] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[13] 0.00140415 +2 *2472:mprj_dat_o_user[13] 0.00209736 +3 *1749:8 0.00545992 +4 *1749:7 0.00405577 +5 *1749:5 0.00209736 +6 *1749:8 *1767:10 0.00163396 +7 *2472:mprj_dat_i_user[13] *1749:5 0 +8 *2473:la_oenb[6] *1749:5 0.000325317 +9 *805:8 *1749:8 0.000114786 +10 *1617:5 *1749:5 0 +11 *1617:8 *1749:8 0.0510686 +12 *1618:7 *1749:5 0 +13 *1686:8 *1749:8 0.0488574 +14 *1686:11 *1749:5 0 +*RES +1 *2472:mprj_dat_o_user[13] *1749:5 48.8776 +2 *1749:5 *1749:7 4.5 +3 *1749:7 *1749:8 543.126 +4 *1749:8 *2473:wbs_dat_i[13] 39.6743 +*END + +*D_NET *1750 0.125488 +*CONN +*I *2473:wbs_dat_i[14] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[14] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[14] 0.00249562 +2 *2472:mprj_dat_o_user[14] 0.00119476 +3 *1750:10 0.00249562 +4 *1750:8 0.0115464 +5 *1750:7 0.0127412 +6 *1750:8 *1754:8 0.0458542 +7 *1750:8 *1756:8 0.000104744 +8 *1750:8 *1758:8 0.00215785 +9 *1750:8 *1771:8 0 +10 *1750:8 *1773:8 0 +11 *1750:8 *1775:8 0 +12 *1750:8 *2187:8 0.000452673 +13 *1750:8 *2189:8 0 +14 *1750:8 *2196:8 0.043432 +15 *1619:5 *1750:7 0 +16 *1624:8 *1750:8 7.06288e-05 +17 *1626:8 *1750:8 0.0028485 +18 *1686:11 *1750:7 0 +19 *1703:8 *1750:8 9.37208e-05 +*RES +1 *2472:mprj_dat_o_user[14] *1750:7 31.6914 +2 *1750:7 *1750:8 73.098 +3 *1750:8 *1750:10 3.36879 +4 *1750:10 *2473:wbs_dat_i[14] 55.7293 +*END + +*D_NET *1751 0.112534 +*CONN +*I *2473:wbs_dat_i[15] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[15] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[15] 0.00137405 +2 *2472:mprj_dat_o_user[15] 0.0022325 +3 *1751:8 0.00497523 +4 *1751:7 0.00360118 +5 *1751:5 0.0022325 +6 *1751:8 *2190:8 0.00010238 +7 *2472:mprj_dat_i_user[15] *1751:5 0 +8 *2472:mprj_dat_i_user[16] *1751:5 0 +9 *2473:la_oenb[7] *1751:5 0 +10 *805:8 *1751:8 7.09348e-05 +11 *1094:5 *1751:5 0 +12 *1619:5 *1751:5 0 +13 *1619:8 *1751:8 0.0485346 +14 *1620:7 *1751:5 0 +15 *1686:8 *1751:8 0.0478548 +16 *1688:8 *1751:8 0.000202245 +17 *1692:8 *1751:8 0.00135408 +*RES +1 *2472:mprj_dat_o_user[15] *1751:5 49.7081 +2 *1751:5 *1751:7 4.5 +3 *1751:7 *1751:8 516.505 +4 *1751:8 *2473:wbs_dat_i[15] 38.8438 +*END + +*D_NET *1752 0.111497 +*CONN +*I *2473:wbs_dat_i[16] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[16] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[16] 0.00206497 +2 *2472:mprj_dat_o_user[16] 0.00170899 +3 *1752:14 0.00206497 +4 *1752:12 0.00360043 +5 *1752:10 0.00530942 +6 *2472:mprj_dat_i_user[16] *1752:10 0 +7 *1083:8 *1752:10 4.51518e-05 +8 *1083:8 *1752:12 0.00247657 +9 *1478:8 *1752:10 0.000426168 +10 *1618:8 *1752:12 0.00425295 +11 *1621:7 *1752:10 0 +12 *1685:8 *1752:12 0.0445541 +13 *1687:10 *1752:12 8.92241e-05 +14 *1687:12 *1752:12 0.0400836 +15 *1689:8 *1752:12 1.15389e-05 +16 *1689:12 *1752:10 0.000609798 +17 *1689:12 *1752:12 0.000351167 +18 *1691:14 *1752:12 3.83336e-05 +19 *1709:8 *1752:12 0.00380939 +*RES +1 *2472:mprj_dat_o_user[16] *1752:10 48.8707 +2 *1752:10 *1752:12 496.816 +3 *1752:12 *1752:14 4.5 +4 *1752:14 *2473:wbs_dat_i[16] 48.0471 +*END + +*D_NET *1753 0.104256 +*CONN +*I *2473:wbs_dat_i[17] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[17] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[17] 0.00128943 +2 *2472:mprj_dat_o_user[17] 0.00228509 +3 *1753:8 0.00513762 +4 *1753:7 0.00384819 +5 *1753:5 0.00228509 +6 *1753:8 *1755:8 0.0424105 +7 *1753:8 *1770:8 0.000629962 +8 *2472:mprj_dat_i_user[17] *1753:5 0 +9 *2473:la_data_in[8] *1753:5 0 +10 *805:8 *1753:8 0 +11 *1105:5 *1753:5 0 +12 *1621:10 *1753:8 0.0459494 +13 *1622:7 *1753:5 0 +14 *1623:8 *1753:8 0.00028978 +15 *1690:8 *1753:8 0.000131218 +*RES +1 *2472:mprj_dat_o_user[17] *1753:5 51.3691 +2 *1753:5 *1753:7 4.5 +3 *1753:7 *1753:8 489.884 +4 *1753:8 *2473:wbs_dat_i[17] 37.1828 +*END + +*D_NET *1754 0.119861 +*CONN +*I *2473:wbs_dat_i[18] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[18] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[18] 0.00192904 +2 *2472:mprj_dat_o_user[18] 0.0011334 +3 *1754:16 0.00340753 +4 *1754:8 0.00901391 +5 *1754:7 0.00866882 +6 *1754:8 *1760:8 0.00205572 +7 *2472:mprj_dat_i_user[18] *1754:7 0 +8 *1616:8 *1754:16 0.000199062 +9 *1620:14 *1754:16 0 +10 *1622:12 *1754:16 0 +11 *1623:5 *1754:7 0 +12 *1693:8 *1754:8 0.0461657 +13 *1697:8 *1754:8 0.00143374 +14 *1750:8 *1754:8 0.0458542 +*RES +1 *2472:mprj_dat_o_user[18] *1754:7 30.8609 +2 *1754:7 *1754:8 62.8483 +3 *1754:8 *1754:16 46.0334 +4 *1754:16 *2473:wbs_dat_i[18] 44.3099 +*END + +*D_NET *1755 0.101498 +*CONN +*I *2473:wbs_dat_i[19] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[19] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[19] 0.00128646 +2 *2472:mprj_dat_o_user[19] 0.00176325 +3 *1755:8 0.00465959 +4 *1755:7 0.00337313 +5 *1755:5 0.00176325 +6 *2472:mprj_dat_i_user[19] *1755:5 0 +7 *2473:la_data_in[9] *1755:5 0.000916502 +8 *805:8 *1755:8 0 +9 *1623:5 *1755:5 0 +10 *1623:8 *1755:8 0.0434492 +11 *1625:7 *1755:5 0.000878557 +12 *1625:10 *1755:8 0.00020979 +13 *1641:8 *1755:8 0.000787603 +14 *1753:8 *1755:8 0.0424105 +*RES +1 *2472:mprj_dat_o_user[19] *1755:5 51.7844 +2 *1755:5 *1755:7 4.5 +3 *1755:7 *1755:8 463.263 +4 *1755:8 *2473:wbs_dat_i[19] 36.7675 +*END + +*D_NET *1756 0.183121 +*CONN +*I *2473:wbs_dat_i[1] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[1] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[1] 0.00197422 +2 *2472:mprj_dat_o_user[1] 0.00138219 +3 *1756:10 0.00197422 +4 *1756:8 0.00610549 +5 *1756:7 0.00748768 +6 *1756:7 *2188:5 0 +7 *1624:8 *1756:8 0.0775824 +8 *1642:8 *1756:8 0.00560945 +9 *1692:11 *1756:7 0 +10 *1703:8 *1756:8 0.0742945 +11 *1707:8 *1756:8 0.00660562 +12 *1750:8 *1756:8 0.000104744 +*RES +1 *2472:mprj_dat_o_user[1] *1756:7 35.0134 +2 *1756:7 *1756:8 98.8752 +3 *1756:8 *1756:10 3.36879 +4 *1756:10 *2473:wbs_dat_i[1] 52.4073 +*END + +*D_NET *1757 0.0905265 +*CONN +*I *2473:wbs_dat_i[20] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[20] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[20] 0.00119383 +2 *2472:mprj_dat_o_user[20] 0.00221319 +3 *1757:8 0.00505138 +4 *1757:7 0.00385755 +5 *1757:5 0.00221319 +6 *1757:8 *1759:14 0.029409 +7 *1757:8 *1774:8 0.00010238 +8 *2472:mprj_dat_i_user[20] *1757:5 0 +9 *2472:mprj_dat_i_user[21] *1757:5 0 +10 *1116:5 *1757:5 0.000385114 +11 *1625:10 *1757:8 0.000484464 +12 *1626:7 *1757:5 0 +13 *1690:8 *1757:8 0.0408833 +14 *1694:8 *1757:8 0.00473309 +*RES +1 *2472:mprj_dat_o_user[20] *1757:5 53.4454 +2 *1757:5 *1757:7 4.5 +3 *1757:7 *1757:8 449.952 +4 *1757:8 *2473:wbs_dat_i[20] 35.1065 +*END + +*D_NET *1758 0.0988924 +*CONN +*I *2473:wbs_dat_i[21] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[21] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[21] 0.00261108 +2 *2472:mprj_dat_o_user[21] 0.000792237 +3 *1758:10 0.00261108 +4 *1758:8 0.003161 +5 *1758:7 0.00395324 +6 *1758:8 *2182:8 0.0226282 +7 *2472:mprj_dat_i_user[21] *1758:7 0 +8 *1373:8 *1758:8 0.0182208 +9 *1613:8 *1758:8 0.000106589 +10 *1626:8 *1758:8 0.0407286 +11 *1627:7 *1758:7 0.00169535 +12 *1697:8 *1758:8 0.000226394 +13 *1750:8 *1758:8 0.00215785 +*RES +1 *2472:mprj_dat_o_user[21] *1758:7 33.4455 +2 *1758:7 *1758:8 436.642 +3 *1758:8 *1758:10 4.5 +4 *1758:10 *2473:wbs_dat_i[21] 55.1064 +*END + +*D_NET *1759 0.0879461 +*CONN +*I *2473:wbs_dat_i[22] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[22] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[22] 0.00118291 +2 *2472:mprj_dat_o_user[22] 0.00206912 +3 *1759:14 0.0032621 +4 *1759:13 0.00240758 +5 *1759:8 0.00150795 +6 *1759:7 0.00117956 +7 *1759:5 0.00206912 +8 *2472:mprj_dat_i_user[22] *1759:5 0 +9 *2472:mprj_dat_i_user[23] *1759:5 0 +10 *772:8 *1759:8 0.00470981 +11 *794:14 *1759:8 0.0106547 +12 *816:11 *1759:13 0 +13 *1445:11 *1759:13 8.29573e-05 +14 *1628:7 *1759:5 0 +15 *1694:8 *1759:14 0.0294113 +16 *1757:8 *1759:14 0.029409 +*RES +1 *2472:mprj_dat_o_user[22] *1759:5 46.8014 +2 *1759:5 *1759:7 4.5 +3 *1759:7 *1759:8 113.308 +4 *1759:8 *1759:13 16.2303 +5 *1759:13 *1759:14 310.192 +6 *1759:14 *2473:wbs_dat_i[22] 34.6913 +*END + +*D_NET *1760 0.0890054 +*CONN +*I *2473:wbs_dat_i[23] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[23] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[23] 0.00270906 +2 *2472:mprj_dat_o_user[23] 0.00110217 +3 *1760:10 0.00270906 +4 *1760:8 0.00359917 +5 *1760:7 0.00470134 +6 *1760:8 *1762:8 0.0346106 +7 *2472:mprj_dat_i_user[23] *1760:7 0 +8 *1626:8 *1760:8 0.00122665 +9 *1629:7 *1760:7 0 +10 *1630:8 *1760:8 0.000309013 +11 *1697:8 *1760:8 0.0359827 +12 *1754:8 *1760:8 0.00205572 +*RES +1 *2472:mprj_dat_o_user[23] *1760:7 32.1998 +2 *1760:7 *1760:8 410.021 +3 *1760:8 *1760:10 4.5 +4 *1760:10 *2473:wbs_dat_i[23] 56.3522 +*END + +*D_NET *1761 0.087475 +*CONN +*I *2473:wbs_dat_i[24] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[24] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[24] 0.00178266 +2 *2472:mprj_dat_o_user[24] 0.00194583 +3 *1761:8 0.00475069 +4 *1761:7 0.00491386 +5 *1761:8 *1763:8 0.000233938 +6 *2472:mprj_dat_i_user[24] *1761:7 0 +7 *2472:mprj_dat_i_user[25] *1761:7 0 +8 *772:8 *1761:8 0.000262266 +9 *1629:7 *1761:7 0 +10 *1629:8 *1761:8 0.0369113 +11 *1630:7 *1761:7 0 +12 *1682:8 *1761:8 0.000238938 +13 *1696:8 *1761:8 0.0364355 +*RES +1 *2472:mprj_dat_o_user[24] *1761:7 49.2251 +2 *1761:7 *1761:8 396.71 +3 *1761:8 *2473:wbs_dat_i[24] 43.8268 +*END + +*D_NET *1762 0.085911 +*CONN +*I *2473:wbs_dat_i[25] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[25] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[25] 0.00276903 +2 *2472:mprj_dat_o_user[25] 0.00107388 +3 *1762:10 0.00276903 +4 *1762:8 0.00304413 +5 *1762:7 0.00411801 +6 *2472:mprj_dat_i_user[25] *1762:7 0 +7 *1373:8 *1762:8 6.58943e-05 +8 *1630:8 *1762:8 0.035598 +9 *1631:7 *1762:7 0 +10 *1693:8 *1762:8 0.00143374 +11 *1697:8 *1762:8 0.000202245 +12 *1699:8 *1762:8 0.000226394 +13 *1760:8 *1762:8 0.0346106 +*RES +1 *2472:mprj_dat_o_user[25] *1762:7 31.7845 +2 *1762:7 *1762:8 383.4 +3 *1762:8 *1762:10 4.5 +4 *1762:10 *2473:wbs_dat_i[25] 56.7674 +*END + +*D_NET *1763 0.0812645 +*CONN +*I *2473:wbs_dat_i[26] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[26] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[26] 0.00183718 +2 *2472:mprj_dat_o_user[26] 0.00187905 +3 *1763:8 0.00478626 +4 *1763:7 0.00482812 +5 *1763:7 *1765:13 0 +6 *1763:8 *1776:8 0.000238938 +7 *2472:mprj_dat_i_user[26] *1763:7 0 +8 *2472:mprj_dat_i_user[27] *1763:7 0 +9 *772:8 *1763:8 0.000102959 +10 *1412:8 *1763:8 0.0200465 +11 *1620:14 *1763:8 0.0141561 +12 *1629:8 *1763:8 0.03285 +13 *1632:7 *1763:7 0 +14 *1698:8 *1763:8 0.000305446 +15 *1761:8 *1763:8 0.000233938 +*RES +1 *2472:mprj_dat_o_user[26] *1763:7 48.3946 +2 *1763:7 *1763:8 370.089 +3 *1763:8 *2473:wbs_dat_i[26] 44.6573 +*END + +*D_NET *1764 0.0792878 +*CONN +*I *2473:wbs_dat_i[27] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[27] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[27] 0.00290263 +2 *2472:mprj_dat_o_user[27] 0.00100182 +3 *1764:10 0.00290263 +4 *1764:8 0.00329276 +5 *1764:7 0.00429457 +6 *2472:mprj_dat_i_user[27] *1764:7 0 +7 *1373:8 *1764:8 0 +8 *1628:8 *1764:8 0.00107168 +9 *1632:8 *1764:8 0.033006 +10 *1633:7 *1764:7 0 +11 *1701:8 *1764:8 0.0308157 +12 *1745:8 *1764:8 0 +*RES +1 *2472:mprj_dat_o_user[27] *1764:7 30.1235 +2 *1764:7 *1764:8 356.779 +3 *1764:8 *1764:10 4.5 +4 *1764:10 *2473:wbs_dat_i[27] 58.4284 +*END + +*D_NET *1765 0.0753266 +*CONN +*I *2473:wbs_dat_i[28] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[28] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[28] 0.00196521 +2 *2472:mprj_dat_o_user[28] 0.00129358 +3 *1765:22 0.00264367 +4 *1765:20 0.000946128 +5 *1765:14 0.00191814 +6 *1765:13 0.00257647 +7 *1765:8 0.00221957 +8 *2472:mprj_dat_i_user[26] *1765:13 0.00023907 +9 *2472:mprj_dat_i_user[28] *1765:8 0 +10 *849:8 *1765:8 0.00182139 +11 *1631:7 *1765:13 0 +12 *1631:8 *1765:14 1.67988e-05 +13 *1631:8 *1765:20 0.00194244 +14 *1631:8 *1765:22 0.000426221 +15 *1633:8 *1765:14 0.0195894 +16 *1634:7 *1765:8 0 +17 *1645:10 *1765:22 0.00800919 +18 *1684:8 *1765:14 0.000220514 +19 *1684:8 *1765:20 0.00012309 +20 *1700:8 *1765:20 0.000826652 +21 *1700:8 *1765:22 0.00900087 +22 *1700:18 *1765:14 0.0195405 +23 *1702:8 *1765:20 7.6719e-06 +24 *1763:7 *1765:13 0 +*RES +1 *2472:mprj_dat_o_user[28] *1765:8 45.9662 +2 *1765:8 *1765:13 29.1031 +3 *1765:13 *1765:14 208.7 +4 *1765:14 *1765:20 21.8466 +5 *1765:20 *1765:22 95.006 +6 *1765:22 *2473:wbs_dat_i[28] 46.7336 +*END + +*D_NET *1766 0.0748821 +*CONN +*I *2473:wbs_dat_i[29] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[29] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[29] 0.00298017 +2 *2472:mprj_dat_o_user[29] 0.000945262 +3 *1766:10 0.00298017 +4 *1766:8 0.00284241 +5 *1766:7 0.00378768 +6 *2472:mprj_dat_i_user[29] *1766:7 0 +7 *2472:mprj_dat_i_user[30] *1766:7 0 +8 *733:13 *1766:8 0 +9 *1628:8 *1766:8 0.000771867 +10 *1634:8 *1766:8 0.0304353 +11 *1636:7 *1766:7 0 +12 *1636:8 *1766:8 0.000226394 +13 *1701:8 *1766:8 0.0299129 +*RES +1 *2472:mprj_dat_o_user[29] *1766:7 29.293 +2 *1766:7 *1766:8 330.158 +3 *1766:8 *1766:10 4.5 +4 *1766:10 *2473:wbs_dat_i[29] 59.2589 +*END + +*D_NET *1767 0.17888 +*CONN +*I *2473:wbs_dat_i[2] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[2] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[2] 0.00137299 +2 *2472:mprj_dat_o_user[2] 8.20467e-05 +3 *1767:10 0.00983146 +4 *1767:9 0.00845847 +5 *1767:7 0.00191103 +6 *1767:5 0.00199308 +7 *1767:7 *2189:7 0 +8 *1767:10 *2188:8 0.0749833 +9 *1767:10 *2190:8 0.000595257 +10 *2472:mprj_dat_i_user[2] *1767:7 0.00183936 +11 *2473:la_data_in[2] *1767:7 0 +12 *1039:9 *1767:7 0 +13 *1617:8 *1767:10 0.00186668 +14 *1635:5 *1767:7 0 +15 *1692:8 *1767:10 0.0742015 +16 *1712:8 *1767:10 0.000111022 +17 *1749:8 *1767:10 0.00163396 +*RES +1 *2472:mprj_dat_o_user[2] *1767:5 2.33274 +2 *1767:5 *1767:7 48.3402 +3 *1767:7 *1767:9 3.36879 +4 *1767:9 *1767:10 96.0451 +5 *1767:10 *2473:wbs_dat_i[2] 39.166 +*END + +*D_NET *1768 0.0707537 +*CONN +*I *2473:wbs_dat_i[30] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[30] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[30] 0.00305298 +2 *2472:mprj_dat_o_user[30] 0.000887805 +3 *1768:10 0.00305298 +4 *1768:8 0.00288908 +5 *1768:7 0.00377688 +6 *2472:mprj_dat_i_user[30] *1768:7 0 +7 *1628:8 *1768:8 0.00043991 +8 *1636:8 *1768:8 0.0292096 +9 *1637:7 *1768:7 0 +10 *1705:8 *1768:8 0.0269195 +11 *1745:8 *1768:8 0.000524873 +*RES +1 *2472:mprj_dat_o_user[30] *1768:7 28.0472 +2 *1768:7 *1768:8 316.847 +3 *1768:8 *1768:10 4.5 +4 *1768:10 *2473:wbs_dat_i[30] 60.5047 +*END + +*D_NET *1769 0.0669165 +*CONN +*I *2473:wbs_dat_i[31] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[31] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[31] 0.00207991 +2 *2472:mprj_dat_o_user[31] 0.00161788 +3 *1769:8 0.00461979 +4 *1769:7 0.00415775 +5 *2472:mprj_dat_i_user[31] *1769:7 0 +6 *733:7 *1769:7 0 +7 *733:14 *1769:8 0.0206364 +8 *733:20 *1769:8 9.17756e-05 +9 *1039:12 *1769:8 0.00115309 +10 *1412:8 *1769:8 0 +11 *1633:14 *1769:8 0.00482692 +12 *1637:7 *1769:7 0 +13 *1637:8 *1769:8 0.000233938 +14 *1704:8 *1769:8 0.027499 +*RES +1 *2472:mprj_dat_o_user[31] *1769:7 44.2421 +2 *1769:7 *1769:8 303.537 +3 *1769:8 *2473:wbs_dat_i[31] 48.8099 +*END + +*D_NET *1770 0.16762 +*CONN +*I *2473:wbs_dat_i[3] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[3] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[3] 0.00127633 +2 *2472:mprj_dat_o_user[3] 0.0024672 +3 *1770:8 0.0101422 +4 *1770:7 0.00886589 +5 *1770:5 0.0024672 +6 *1770:5 *2190:5 0 +7 *1770:8 *1772:8 0.00066078 +8 *1770:8 *2190:8 0.0723506 +9 *2472:mprj_dat_i_user[3] *1770:5 0 +10 *2473:la_oenb[2] *1770:5 0 +11 *1621:10 *1770:8 0.00118142 +12 *1641:8 *1770:8 0.0669177 +13 *1692:8 *1770:8 0.00066078 +14 *1753:8 *1770:8 0.000629962 +*RES +1 *2472:mprj_dat_o_user[3] *1770:5 50.7463 +2 *1770:5 *1770:7 3.36879 +3 *1770:7 *1770:8 93.9033 +4 *1770:8 *2473:wbs_dat_i[3] 36.6745 +*END + +*D_NET *1771 0.168703 +*CONN +*I *2473:wbs_dat_i[4] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[4] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[4] 0.00195615 +2 *2472:mprj_dat_o_user[4] 0.00145007 +3 *1771:10 0.00195615 +4 *1771:8 0.0069964 +5 *1771:7 0.00844648 +6 *1771:8 *1773:8 0.0676124 +7 *1771:8 *2189:8 0.0702528 +8 *2472:mprj_dat_i_user[4] *1771:7 0 +9 *2472:mprj_dat_i_user[5] *1771:7 0 +10 *1050:5 *1771:7 0.000166394 +11 *1640:7 *1771:7 0 +12 *1683:8 *1771:8 0.00521143 +13 *1707:8 *1771:8 0.000410779 +14 *1746:8 *1771:8 0.00010238 +15 *1748:8 *1771:8 0.00414196 +16 *1750:8 *1771:8 0 +*RES +1 *2472:mprj_dat_o_user[4] *1771:7 37.505 +2 *1771:7 *1771:8 91.5321 +3 *1771:8 *1771:10 3.36879 +4 *1771:10 *2473:wbs_dat_i[4] 49.9158 +*END + +*D_NET *1772 0.161391 +*CONN +*I *2473:wbs_dat_i[5] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[5] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[5] 0.00121791 +2 *2472:mprj_dat_o_user[5] 0.00238311 +3 *1772:8 0.00986561 +4 *1772:7 0.00864771 +5 *1772:5 0.00238311 +6 *1772:8 *1774:8 0.0658606 +7 *2472:mprj_dat_i_user[5] *1772:5 0 +8 *2473:la_oenb[3] *1772:5 0.000385299 +9 *1625:10 *1772:8 0.000685206 +10 *1641:5 *1772:5 0 +11 *1641:8 *1772:8 0.0689468 +12 *1690:8 *1772:8 0.000354801 +13 *1770:8 *1772:8 0.00066078 +*RES +1 *2472:mprj_dat_o_user[5] *1772:5 52.4073 +2 *1772:5 *1772:7 3.36879 +3 *1772:7 *1772:8 89.6199 +4 *1772:8 *2473:wbs_dat_i[5] 35.0134 +*END + +*D_NET *1773 0.164014 +*CONN +*I *2473:wbs_dat_i[6] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[6] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[6] 0.00195314 +2 *2472:mprj_dat_o_user[6] 0.00153688 +3 *1773:10 0.00195314 +4 *1773:8 0.00643152 +5 *1773:7 0.0079684 +6 *1773:8 *1775:8 0.000121359 +7 *2472:mprj_dat_i_user[6] *1773:7 0 +8 *2472:mprj_dat_i_user[7] *1773:7 0 +9 *1618:14 *1773:8 0.00460768 +10 *1642:7 *1773:7 0 +11 *1685:8 *1773:8 0.00358909 +12 *1709:8 *1773:8 0.0682402 +13 *1750:8 *1773:8 0 +14 *1771:8 *1773:8 0.0676124 +*RES +1 *2472:mprj_dat_o_user[6] *1773:7 38.3355 +2 *1773:7 *1773:8 87.8606 +3 *1773:8 *1773:10 3.36879 +4 *1773:10 *2473:wbs_dat_i[6] 49.0853 +*END + +*D_NET *1774 0.157081 +*CONN +*I *2473:wbs_dat_i[7] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[7] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[7] 0.0011887 +2 *2472:mprj_dat_o_user[7] 0.00253313 +3 *1774:8 0.0099539 +4 *1774:7 0.0087652 +5 *1774:5 0.00253313 +6 *2472:mprj_dat_i_user[7] *1774:5 0 +7 *1061:5 *1774:5 0 +8 *1641:8 *1774:8 0.000121359 +9 *1643:5 *1774:5 0 +10 *1643:8 *1774:8 0.0660229 +11 *1712:8 *1774:8 0 +12 *1757:8 *1774:8 0.00010238 +13 *1772:8 *1774:8 0.0658606 +*RES +1 *2472:mprj_dat_o_user[7] *1774:5 53.2378 +2 *1774:5 *1774:7 3.36879 +3 *1774:7 *1774:8 85.9484 +4 *1774:8 *2473:wbs_dat_i[7] 34.1829 +*END + +*D_NET *1775 0.151469 +*CONN +*I *2473:wbs_dat_i[8] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[8] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[8] 0.00192092 +2 *2472:mprj_dat_o_user[8] 0.00162122 +3 *1775:10 0.00192092 +4 *1775:8 0.00762077 +5 *1775:7 0.009242 +6 *1775:8 *1776:8 0 +7 *2472:mprj_dat_i_user[8] *1775:7 0 +8 *816:11 *1775:7 0 +9 *1445:11 *1775:7 0 +10 *1616:8 *1775:8 0.0577811 +11 *1622:12 *1775:8 0.00305068 +12 *1644:7 *1775:7 0 +13 *1687:12 *1775:8 0.000202397 +14 *1689:8 *1775:8 0.00362033 +15 *1691:13 *1775:7 1.47632e-05 +16 *1709:8 *1775:8 0.0643524 +17 *1747:8 *1775:8 0 +18 *1750:8 *1775:8 0 +19 *1773:8 *1775:8 0.000121359 +*RES +1 *2472:mprj_dat_o_user[8] *1775:7 39.9965 +2 *1775:7 *1775:8 84.1891 +3 *1775:8 *1775:10 3.36879 +4 *1775:10 *2473:wbs_dat_i[8] 47.4242 +*END + +*D_NET *1776 0.150694 +*CONN +*I *2473:wbs_dat_i[9] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[9] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[9] 0.00168724 +2 *2472:mprj_dat_o_user[9] 0.00199364 +3 *1776:8 0.00997829 +4 *1776:7 0.0102847 +5 *2472:mprj_dat_i_user[9] *1776:7 0 +6 *1072:5 *1776:7 0 +7 *1412:8 *1776:8 0.000220514 +8 *1614:7 *1776:7 0 +9 *1620:14 *1776:8 0.00339983 +10 *1648:8 *1776:8 0.000193654 +11 *1682:8 *1776:8 0.0616548 +12 *1712:8 *1776:8 0.000961946 +13 *1747:8 *1776:8 0.0600803 +14 *1763:8 *1776:8 0.000238938 +15 *1775:8 *1776:8 0 +*RES +1 *2472:mprj_dat_o_user[9] *1776:7 46.6405 +2 *1776:7 *1776:8 82.2768 +3 *1776:8 *2473:wbs_dat_i[9] 44.149 +*END + +*D_NET *1777 0.0121411 +*CONN +*I *2474:mprj_io_analog_en[0] I *D chip_io_alt +*I *2444:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[0] 0.000297244 +2 *2444:pad_gpio_ana_en 0.000518252 +3 *1777:14 0.00271839 +4 *1777:13 0.0029394 +5 *2474:mprj_io_analog_en[0] *2474:mprj_io_dm[1] 0.000548997 +6 *1777:13 *1804:13 0.00161422 +7 *1777:13 *2074:8 0 +8 *1777:14 *1804:14 0.00259258 +9 *1777:14 *1869:14 0 +10 *1777:14 *1993:17 0 +11 *1777:14 *1993:30 0 +12 *1777:14 *2047:14 0 +13 *1533:15 *1777:13 0.000912063 +*RES +1 *2444:pad_gpio_ana_en *1777:13 35.234 +2 *1777:13 *1777:14 92.7722 +3 *1777:14 *2474:mprj_io_analog_en[0] 19.4392 +*END + +*D_NET *1778 0.0535179 +*CONN +*I *2474:mprj_io_analog_en[10] I *D chip_io_alt +*I *2451:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[10] 0.000611081 +2 *2451:pad_gpio_ana_en 0.000832831 +3 *1778:16 0.00892524 +4 *1778:15 0.00914699 +5 *2474:mprj_io_analog_en[10] *2474:mprj_io_dm[30] 0 +6 *2474:mprj_io_analog_en[10] *2304:19 0.000159409 +7 *2474:mprj_io_analog_en[10] *2322:13 0.000101437 +8 *1778:15 *1879:32 0 +9 *1778:15 *1881:13 0 +10 *1778:16 *1857:20 0.00405865 +11 *1778:16 *1881:14 0.000362696 +12 *1778:16 *1883:14 0.0105541 +13 *1778:16 *2075:8 0.00617163 +14 *1778:16 *2100:8 0.00010448 +15 *1778:16 *2127:14 0 +16 *1778:16 *2127:23 0.00242582 +17 *501:14 *1778:16 0.00736799 +18 *511:14 *1778:16 0.00269555 +*RES +1 *2451:pad_gpio_ana_en *1778:15 30.335 +2 *1778:15 *1778:16 439.093 +3 *1778:16 *2474:mprj_io_analog_en[10] 24.1547 +*END + +*D_NET *1779 0.0610701 +*CONN +*I *2474:mprj_io_analog_en[11] I *D chip_io_alt +*I *2452:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[11] 0.000537962 +2 *2452:pad_gpio_ana_en 0.00334058 +3 *1779:20 0.00127806 +4 *1779:19 0.00124487 +5 *1779:14 0.00320589 +6 *1779:13 0.0060417 +7 *2474:mprj_io_analog_en[11] *2474:mprj_io_dm[33] 0.000108501 +8 *2474:mprj_io_analog_en[11] *1889:18 5.5787e-05 +9 *1779:13 *2474:mprj_io_analog_pol[10] 0.00416612 +10 *1779:13 *2474:mprj_io_ib_mode_sel[10] 0.000424014 +11 *1779:13 *1806:13 0.0165757 +12 *1779:13 *1832:8 8.21151e-05 +13 *1779:13 *1833:20 0 +14 *1779:13 *1967:20 0.000274287 +15 *1779:14 *1806:14 0.00379303 +16 *1779:14 *1806:18 0 +17 *1779:14 *1833:20 0.000722314 +18 *1779:14 *1834:13 0 +19 *1779:14 *1887:13 0 +20 *1779:14 *1888:13 0 +21 *1779:14 *1889:8 0.00377537 +22 *1779:14 *1942:14 0 +23 *1779:14 *2021:7 2.5386e-05 +24 *1779:19 *1885:19 0.000240073 +25 *1779:20 *1834:14 0.00574178 +26 *1779:20 *1885:20 0.00515915 +27 *1779:20 *1942:22 9.71323e-06 +28 *1779:20 *1942:26 0.000570713 +29 *1779:20 *1969:13 0.000730668 +30 *2452:serial_data_in *1779:13 0.00296635 +31 *2453:mgmt_gpio_out *1779:14 0 +*RES +1 *2452:pad_gpio_ana_en *1779:13 36.9409 +2 *1779:13 *1779:14 119.971 +3 *1779:14 *1779:19 21.3701 +4 *1779:19 *1779:20 91.9417 +5 *1779:20 *2474:mprj_io_analog_en[11] 21.2074 +*END + +*D_NET *1780 0.0518387 +*CONN +*I *2474:mprj_io_analog_en[12] I *D chip_io_alt +*I *2453:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[12] 0.000505432 +2 *2453:pad_gpio_ana_en 0.00584052 +3 *1780:18 0.0048923 +4 *1780:17 0.0102274 +5 *2474:mprj_io_analog_en[12] *2474:mprj_io_dm[36] 0 +6 *2474:mprj_io_analog_en[12] *2324:13 0.000162075 +7 *1780:17 *1807:19 0.0174273 +8 *1780:17 *1833:20 0 +9 *1780:18 *1887:14 0 +10 *1780:18 *2104:14 0.0127501 +11 *2453:mgmt_gpio_out *1780:17 3.36517e-05 +*RES +1 *2453:pad_gpio_ana_en *1780:17 40.0662 +2 *1780:17 *1780:18 203.437 +3 *1780:18 *2474:mprj_io_analog_en[12] 22.4953 +*END + +*D_NET *1781 0.0161764 +*CONN +*I *2474:mprj_io_analog_en[13] I *D chip_io_alt +*I *2454:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[13] 0.000280674 +2 *2454:pad_gpio_ana_en 0.000677698 +3 *1781:22 0.000872686 +4 *1781:14 0.00224659 +5 *1781:13 0.00233227 +6 *2474:mprj_io_analog_en[13] *2474:mprj_io_dm[39] 0 +7 *2474:mprj_io_analog_en[13] *2325:9 0.000202472 +8 *1781:13 *1808:13 0.00136509 +9 *1781:13 *1997:19 0 +10 *1781:14 *1890:14 0.00475978 +11 *1781:22 *2474:mprj_io_dm[39] 0.00111694 +12 *1781:22 *1892:14 0.00186719 +13 *1781:22 *1893:17 5.04829e-06 +14 *1781:22 *1997:13 0 +15 *1781:22 *2051:14 0.000449909 +16 *502:22 *1781:14 0 +*RES +1 *2454:pad_gpio_ana_en *1781:13 33.9939 +2 *1781:13 *1781:14 76.1621 +3 *1781:14 *1781:22 49.284 +4 *1781:22 *2474:mprj_io_analog_en[13] 12.4909 +*END + +*D_NET *1782 0.00792777 +*CONN +*I *2474:mprj_io_analog_en[14] I *D chip_io_alt +*I *2461:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[14] 0.00350171 +2 *2461:pad_gpio_ana_en 0.00350171 +3 *2474:mprj_io_analog_en[14] *2474:mprj_io_inp_dis[14] 0 +4 *2474:mprj_io_analog_en[14] *1809:13 5.19526e-05 +5 *2474:mprj_io_analog_en[14] *1894:11 0.000113603 +6 *2474:mprj_io_analog_en[14] *1998:7 0.000527776 +7 *2474:mprj_io_analog_en[14] *2308:9 0.000180791 +8 *2461:mgmt_gpio_out *2474:mprj_io_analog_en[14] 5.02212e-05 +9 *100:42 *2474:mprj_io_analog_en[14] 0 +10 *467:12 *2474:mprj_io_analog_en[14] 0 +*RES +1 *2461:pad_gpio_ana_en *2474:mprj_io_analog_en[14] 18.0274 +*END + +*D_NET *1783 0.00837823 +*CONN +*I *2474:mprj_io_analog_en[15] I *D chip_io_alt +*I *2462:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[15] 0.000214054 +2 *2462:pad_gpio_ana_en 0.00130669 +3 *1783:18 0.00173923 +4 *1783:15 0.00246422 +5 *1783:12 0.00224574 +6 *2474:mprj_io_analog_en[15] *2474:mprj_io_inp_dis[15] 0 +7 *2474:mprj_io_analog_en[15] *2107:14 0.000162254 +8 *2474:mprj_io_analog_en[15] *2327:11 0 +9 *1783:12 *2462:pad_gpio_in 4.89469e-06 +10 *1783:12 *1972:12 0 +11 *1783:15 *1810:15 3.75095e-05 +12 *1783:15 *2053:13 5.04829e-06 +13 *1783:18 *2474:mprj_io_dm[45] 0 +14 *1783:18 *1837:8 0.00019354 +15 *1783:18 *1897:8 0 +16 *1783:18 *1898:8 0 +17 *1783:18 *2107:8 0 +18 *1783:18 *2107:14 0 +19 *1783:18 *2134:8 0 +20 *1783:18 *2327:11 0 +21 *489:13 *2474:mprj_io_analog_en[15] 5.04829e-06 +*RES +1 *2462:pad_gpio_ana_en *1783:12 42.109 +2 *1783:12 *1783:15 29.626 +3 *1783:15 *1783:18 48.6877 +4 *1783:18 *2474:mprj_io_analog_en[15] 10.7333 +*END + +*D_NET *1784 0.0128891 +*CONN +*I *2474:mprj_io_analog_en[16] I *D chip_io_alt +*I *2463:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[16] 0.000492991 +2 *2463:pad_gpio_ana_en 0.000544026 +3 *1784:8 0.00125725 +4 *1784:7 0.00130829 +5 *2474:mprj_io_analog_en[16] *2474:mprj_io_dm[48] 8.1645e-05 +6 *1784:7 *1811:7 0.000133918 +7 *1784:7 *1838:7 0 +8 *1784:8 *1903:16 0.00339015 +9 *1784:8 *1946:8 0.000743997 +10 *2463:mgmt_gpio_out *1784:7 3.09106e-06 +11 *518:8 *1784:8 0.00493371 +*RES +1 *2463:pad_gpio_ana_en *1784:7 5.19125 +2 *1784:7 *1784:8 79.2765 +3 *1784:8 *2474:mprj_io_analog_en[16] 20.8271 +*END + +*D_NET *1785 0.0134712 +*CONN +*I *2474:mprj_io_analog_en[17] I *D chip_io_alt +*I *2464:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[17] 0.000354366 +2 *2464:pad_gpio_ana_en 0.000574705 +3 *1785:20 0.000725787 +4 *1785:16 0.000665882 +5 *1785:13 0.000869167 +6 *2474:mprj_io_analog_en[17] *2474:mprj_io_dm[51] 0 +7 *2474:mprj_io_analog_en[17] *2312:9 9.29258e-05 +8 *1785:13 *2464:pad_gpio_in 0.000628637 +9 *1785:13 *1812:13 4.80336e-05 +10 *1785:13 *2055:8 0.000625055 +11 *1785:16 *1839:36 1.3813e-05 +12 *1785:16 *2055:14 0.000202472 +13 *1785:16 *2163:8 0.00176033 +14 *1785:20 *2474:mprj_io_analog_pol[17] 0.000962164 +15 *1785:20 *1839:36 0.00254725 +16 *1785:20 *1906:22 0.000282607 +17 *1785:20 *1974:17 0.00149895 +18 *1785:20 *2055:14 3.10929e-05 +19 *2464:mgmt_gpio_out *1785:13 3.44774e-05 +20 *473:8 *1785:16 0 +21 *519:22 *1785:16 0.00155346 +*RES +1 *2464:pad_gpio_ana_en *1785:13 31.494 +2 *1785:13 *1785:16 29.7638 +3 *1785:16 *1785:20 45.5733 +4 *1785:20 *2474:mprj_io_analog_en[17] 12.8629 +*END + +*D_NET *1786 0.0104016 +*CONN +*I *2474:mprj_io_analog_en[18] I *D chip_io_alt +*I *2465:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[18] 0.000342041 +2 *2465:pad_gpio_ana_en 0.00134049 +3 *1786:18 0.00130965 +4 *1786:15 0.00203273 +5 *1786:12 0.00240561 +6 *2474:mprj_io_analog_en[18] *2474:mprj_io_dm[54] 0 +7 *2474:mprj_io_analog_en[18] *2295:13 5.23318e-05 +8 *2474:mprj_io_analog_en[18] *2313:15 0 +9 *1786:15 *2164:15 5.04829e-06 +10 *1786:18 *2474:mprj_io_inp_dis[18] 0.000247781 +11 *1786:18 *1909:10 0 +12 *1786:18 *1948:22 0.00266595 +13 *1786:18 *2137:10 0 +14 *1786:18 *2295:13 0 +15 *1786:18 *2313:15 0 +16 *107:51 *1786:18 0 +*RES +1 *2465:pad_gpio_ana_en *1786:12 42.996 +2 *1786:12 *1786:15 32.399 +3 *1786:15 *1786:18 47.442 +4 *1786:18 *2474:mprj_io_analog_en[18] 14.5283 +*END + +*D_NET *1787 0.0133288 +*CONN +*I *2474:mprj_io_analog_en[19] I *D chip_io_alt +*I *2466:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[19] 0.000248051 +2 *2466:pad_gpio_ana_en 0.000403797 +3 *1787:8 0.000975818 +4 *1787:7 0.00113156 +5 *2474:mprj_io_analog_en[19] *2474:mprj_io_analog_pol[19] 0.000217643 +6 *2474:mprj_io_analog_en[19] *2474:mprj_io_dm[57] 3.27332e-05 +7 *1787:7 *1814:7 0.000242299 +8 *1787:8 *1912:14 1.87125e-05 +9 *1787:8 *2057:14 0.00254879 +10 *1787:8 *2057:29 0.00116524 +11 *1787:8 *2138:12 0.00373293 +12 *1787:8 *2165:28 0.00121846 +13 *1787:8 *2296:13 0.0013897 +14 *2466:mgmt_gpio_out *1787:7 3.09106e-06 +15 *475:16 *1787:8 0 +*RES +1 *2466:pad_gpio_ana_en *1787:7 4.8088 +2 *1787:7 *1787:8 80.5223 +3 *1787:8 *2474:mprj_io_analog_en[19] 16.8083 +*END + +*D_NET *1788 0.0166377 +*CONN +*I *2474:mprj_io_analog_en[1] I *D chip_io_alt +*I *2445:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[1] 0.000501359 +2 *2445:pad_gpio_ana_en 0.000584024 +3 *1788:18 0.00132577 +4 *1788:16 0.00136309 +5 *1788:13 0.0011227 +6 *2474:mprj_io_analog_en[1] *2474:mprj_io_dm[3] 0 +7 *1788:13 *1815:13 0.000875346 +8 *1788:13 *1842:13 0 +9 *1788:13 *1891:13 0 +10 *1788:16 *1891:14 1.2366e-05 +11 *1788:16 *1902:19 0.00140854 +12 *1788:16 *2058:14 0 +13 *1788:18 *1891:14 0.00480754 +14 *1788:18 *2004:11 0 +15 *1788:18 *2058:14 0 +16 *1788:18 *2058:20 0.00430323 +17 *1788:18 *2139:14 0.00012693 +18 *467:55 *2474:mprj_io_analog_en[1] 0.000202472 +19 *485:53 *1788:13 4.3116e-06 +*RES +1 *2445:pad_gpio_ana_en *1788:13 27.2733 +2 *1788:13 *1788:16 23.9503 +3 *1788:16 *1788:18 80.9375 +4 *1788:18 *2474:mprj_io_analog_en[1] 22.5297 +*END + +*D_NET *1789 0.0135122 +*CONN +*I *2474:mprj_io_analog_en[20] I *D chip_io_alt +*I *2467:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[20] 0.00031457 +2 *2467:pad_gpio_ana_en 0.000491895 +3 *1789:16 0.00112314 +4 *1789:15 0.00130047 +5 *2474:mprj_io_analog_en[20] *2474:mprj_io_dm[60] 0 +6 *2474:mprj_io_analog_en[20] *1916:18 9.29258e-05 +7 *1789:15 *1816:15 0.00024656 +8 *1789:15 *1843:15 4.97938e-05 +9 *1789:15 *1914:15 4.15236e-05 +10 *1789:16 *1843:16 2.18442e-05 +11 *1789:16 *1915:8 0.00431494 +12 *1789:16 *2059:14 0.00121359 +13 *1789:16 *2086:13 0.000670231 +14 *1789:16 *2140:8 0.00362454 +15 *2467:mgmt_gpio_out *1789:15 6.21488e-06 +16 *474:48 *1789:16 0 +*RES +1 *2467:pad_gpio_ana_en *1789:15 24.0951 +2 *1789:15 *1789:16 78.6536 +3 *1789:16 *2474:mprj_io_analog_en[20] 17.3629 +*END + +*D_NET *1790 0.0138248 +*CONN +*I *2474:mprj_io_analog_en[21] I *D chip_io_alt +*I *2468:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[21] 0.00036721 +2 *2468:pad_gpio_ana_en 0.000376211 +3 *1790:8 0.00106453 +4 *1790:7 0.00107353 +5 *2474:mprj_io_analog_en[21] *2474:mprj_io_dm[63] 0 +6 *2474:mprj_io_analog_en[21] *2298:21 1.64263e-05 +7 *2474:mprj_io_analog_en[21] *2316:13 9.7442e-05 +8 *1790:7 *1817:7 0.000263857 +9 *1790:8 *1817:8 0.00499598 +10 *1790:8 *1917:14 0.00330122 +11 *1790:8 *2087:8 0 +12 *2468:mgmt_gpio_out *1790:7 5.36925e-05 +13 *477:8 *1790:8 0.00154711 +14 *494:20 *1790:8 0.000667635 +*RES +1 *2468:pad_gpio_ana_en *1790:7 4.88529 +2 *1790:7 *1790:8 80.107 +3 *1790:8 *2474:mprj_io_analog_en[21] 17.9175 +*END + +*D_NET *1791 0.00948161 +*CONN +*I *2474:mprj_io_analog_en[22] I *D chip_io_alt +*I *2469:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[22] 4.41996e-05 +2 *2469:pad_gpio_ana_en 0.000864002 +3 *1791:19 0.00168455 +4 *1791:15 0.00195406 +5 *1791:12 0.00117772 +6 *2474:mprj_io_analog_en[22] *2317:12 4.35659e-05 +7 *1791:12 *1818:15 0.000958982 +8 *1791:12 *1845:12 0.00186867 +9 *1791:12 *1922:8 0 +10 *1791:19 *2474:mprj_io_dm[66] 0 +11 *1791:19 *1922:25 0 +12 *1791:19 *2115:8 0 +13 *1791:19 *2142:14 0 +14 *1791:19 *2299:21 4.05482e-05 +15 *1791:19 *2317:12 0 +16 *2469:mgmt_gpio_out *1791:12 1.53622e-05 +17 *477:26 *1791:19 0.000411592 +18 *496:17 *1791:12 0.00041836 +*RES +1 *2469:pad_gpio_ana_en *1791:12 46.6767 +2 *1791:12 *1791:15 11.8786 +3 *1791:15 *1791:19 48.8621 +4 *1791:19 *2474:mprj_io_analog_en[22] 6.03888 +*END + +*D_NET *1792 0.0139111 +*CONN +*I *2474:mprj_io_analog_en[23] I *D chip_io_alt +*I *2470:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[23] 0.000357666 +2 *2470:pad_gpio_ana_en 0.000588549 +3 *1792:8 0.00110287 +4 *1792:7 0.00133375 +5 *2474:mprj_io_analog_en[23] *2474:mprj_io_dm[69] 0.000223427 +6 *2474:mprj_io_analog_en[23] *2300:18 0 +7 *1792:7 *1819:7 0.000229469 +8 *1792:8 *1819:8 0.000655145 +9 *1792:8 *1926:16 0.00366586 +10 *2470:mgmt_gpio_out *1792:7 3.28957e-06 +11 *494:20 *1792:8 0.000729048 +12 *513:14 *1792:8 0.00502203 +*RES +1 *2470:pad_gpio_ana_en *1792:7 5.03827 +2 *1792:7 *1792:8 80.5223 +3 *1792:8 *2474:mprj_io_analog_en[23] 18.4721 +*END + +*D_NET *1793 0.00819775 +*CONN +*I *2474:mprj_io_analog_en[24] I *D chip_io_alt +*I *2446:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[24] 0.000255799 +2 *2446:pad_gpio_ana_en 0.000598812 +3 *1793:14 0.00248608 +4 *1793:13 0.00282909 +5 *2474:mprj_io_analog_en[24] *1927:15 8.1645e-05 +6 *2474:mprj_io_analog_en[24] *1982:19 5.04829e-06 +7 *2474:mprj_io_analog_en[24] *2319:10 0 +8 *1793:13 *1820:13 3.81792e-05 +9 *1793:13 *2009:11 0.000618491 +10 *1793:14 *2474:mprj_io_analog_pol[24] 0 +11 *1793:14 *1927:15 0 +12 *1793:14 *1928:8 0 +13 *1793:14 *1955:8 0.000567179 +14 *1793:14 *1955:14 0 +15 *1793:14 *2301:11 0 +16 *2446:mgmt_gpio_out *1793:13 3.81792e-05 +17 *477:26 *1793:14 0.00067925 +18 *514:14 *1793:14 0 +*RES +1 *2446:pad_gpio_ana_en *1793:13 28.7209 +2 *1793:13 *1793:14 68.6876 +3 *1793:14 *2474:mprj_io_analog_en[24] 15.8357 +*END + +*D_NET *1794 0.0124749 +*CONN +*I *2474:mprj_io_analog_en[25] I *D chip_io_alt +*I *2447:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[25] 0.000386268 +2 *2447:pad_gpio_ana_en 0.000802776 +3 *1794:16 0.00102565 +4 *1794:15 0.00144216 +5 *2474:mprj_io_analog_en[25] *2474:mprj_io_analog_pol[25] 0.000159956 +6 *1794:16 *1848:16 0.00384819 +7 *1794:16 *1930:10 7.8756e-07 +8 *1794:16 *1930:12 1.7607e-05 +9 *1794:16 *2064:8 0.000267062 +10 *1794:16 *2118:8 0.000514271 +11 *1794:16 *2118:21 0.000323277 +12 *1794:16 *2172:14 0.00351467 +13 *2447:mgmt_gpio_oeb *1794:15 0.00014929 +14 *2447:mgmt_gpio_out *1794:15 2.29355e-05 +15 *515:12 *1794:15 0 +*RES +1 *2447:pad_gpio_ana_en *1794:15 31.2937 +2 *1794:15 *1794:16 70.7639 +3 *1794:16 *2474:mprj_io_analog_en[25] 18.9862 +*END + +*D_NET *1795 0.00919868 +*CONN +*I *2474:mprj_io_analog_en[26] I *D chip_io_alt +*I *2448:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[26] 4.75854e-05 +2 *2448:pad_gpio_ana_en 0.000152619 +3 *1795:8 0.00217907 +4 *1795:7 0.0022841 +5 *1795:7 *1822:7 0.000145223 +6 *1795:7 *1984:21 4.79986e-05 +7 *1795:8 *2474:mprj_io_dm[79] 6.55232e-05 +8 *1795:8 *2474:mprj_io_slow_sel[26] 0.0006713 +9 *1795:8 *2474:mprj_io_vtrip_sel[26] 0.000272159 +10 *1795:8 *1822:8 0 +11 *1795:8 *1934:8 0 +12 *1795:8 *1984:21 0.000301444 +13 *1795:8 *2011:7 0.00292714 +14 *2448:mgmt_gpio_out *1795:7 0.000104522 +*RES +1 *2448:pad_gpio_ana_en *1795:7 4.12039 +2 *1795:7 *1795:8 81.9757 +3 *1795:8 *2474:mprj_io_analog_en[26] 1.20912 +*END + +*D_NET *1796 0.0150472 +*CONN +*I *2474:mprj_io_analog_en[2] I *D chip_io_alt +*I *2455:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[2] 0.00020644 +2 *2455:pad_gpio_ana_en 0.00105917 +3 *1796:14 0.000775732 +4 *1796:13 0.000740014 +5 *1796:8 0.00122989 +6 *1796:8 *1823:13 0.000254349 +7 *1796:8 *1850:13 4.3116e-06 +8 *1796:13 *2147:13 0.000160617 +9 *2455:mgmt_gpio_out *1796:8 3.36517e-05 +10 *100:80 *1796:14 0.00365668 +11 *104:40 *1796:8 0.00284392 +12 *104:55 *2474:mprj_io_analog_en[2] 5.04829e-06 +13 *471:40 *2474:mprj_io_analog_en[2] 0.00019711 +14 *503:20 *1796:14 0.00366386 +15 *503:25 *1796:13 0.000211492 +16 *1555:29 *1796:8 4.89469e-06 +*RES +1 *2455:pad_gpio_ana_en *1796:8 49.4555 +2 *1796:8 *1796:13 13.6056 +3 *1796:13 *1796:14 59.5521 +4 *1796:14 *2474:mprj_io_analog_en[2] 14.5871 +*END + +*D_NET *1797 0.0139714 +*CONN +*I *2474:mprj_io_analog_en[3] I *D chip_io_alt +*I *2456:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[3] 0.000718899 +2 *2456:pad_gpio_ana_en 0.000690269 +3 *1797:22 0.00178139 +4 *1797:19 0.00137766 +5 *1797:13 0.00100544 +6 *2474:mprj_io_analog_en[3] *2474:mprj_io_analog_pol[3] 0.00015919 +7 *2474:mprj_io_analog_en[3] *2474:mprj_io_dm[9] 0.000118972 +8 *2474:mprj_io_analog_en[3] *2474:mprj_io_inp_dis[3] 0.000208218 +9 *2474:mprj_io_analog_en[3] *2013:7 0.00160635 +10 *2474:mprj_io_analog_en[3] *2040:20 0.000225379 +11 *1797:13 *1824:13 3.84497e-05 +12 *1797:13 *2094:8 0.000396678 +13 *1797:19 *1824:17 5.04829e-06 +14 *1797:19 *1860:16 0.00174863 +15 *1797:19 *2121:14 0.00175222 +16 *1797:22 *1824:20 0 +17 *1797:22 *1860:23 8.21849e-06 +18 *1797:22 *2121:14 0.000471395 +19 *1797:22 *2148:26 0.000754971 +20 *1797:22 *2175:14 0 +21 *2455:resetn_out *2474:mprj_io_analog_en[3] 0.000106863 +22 *1564:25 *1797:13 0.00079721 +*RES +1 *2456:pad_gpio_ana_en *1797:13 34.8788 +2 *1797:13 *1797:19 38.8255 +3 *1797:19 *1797:22 45.781 +4 *1797:22 *2474:mprj_io_analog_en[3] 37.3273 +*END + +*D_NET *1798 0.0186909 +*CONN +*I *2474:mprj_io_analog_en[4] I *D chip_io_alt +*I *2457:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[4] 0.000377159 +2 *2457:pad_gpio_ana_en 0.000689833 +3 *1798:14 0.00131306 +4 *1798:13 0.00162573 +5 *2474:mprj_io_analog_en[4] *2474:mprj_io_dm[13] 0.00020879 +6 *2474:mprj_io_analog_en[4] *2014:18 0.000471151 +7 *1798:13 *1825:15 0.000249186 +8 *1798:13 *1852:13 0 +9 *1798:13 *1861:15 0 +10 *1798:13 *2014:31 0 +11 *1798:14 *1861:16 0.00624292 +12 *1798:14 *1862:16 0.0064983 +13 *1798:14 *2014:18 9.34396e-06 +14 *1798:14 *2014:21 0 +15 *477:32 *1798:14 0.00100548 +16 *505:14 *1798:14 0 +*RES +1 *2457:pad_gpio_ana_en *1798:13 27.828 +2 *1798:13 *1798:14 104.815 +3 *1798:14 *2474:mprj_io_analog_en[4] 21.521 +*END + +*D_NET *1799 0.01809 +*CONN +*I *2474:mprj_io_analog_en[5] I *D chip_io_alt +*I *2458:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[5] 0.000524766 +2 *2458:pad_gpio_ana_en 0.000730295 +3 *1799:14 0.00143534 +4 *1799:13 0.00164087 +5 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[15] 0 +6 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[16] 0 +7 *1799:13 *1826:13 0.00117647 +8 *1799:13 *2150:8 0 +9 *1799:14 *1864:20 0.000553587 +10 *1799:14 *2015:11 0.0061995 +11 *1799:14 *2069:14 0.00479451 +12 *1799:14 *2150:14 0 +13 *2458:mgmt_gpio_out *1799:13 3.36517e-05 +14 *478:32 *1799:14 0.000904028 +15 *495:64 *2474:mprj_io_analog_en[5] 9.69513e-05 +16 *1566:29 *1799:13 0 +*RES +1 *2458:pad_gpio_ana_en *1799:13 32.4094 +2 *1799:13 *1799:14 99.001 +3 *1799:14 *2474:mprj_io_analog_en[5] 23.0988 +*END + +*D_NET *1800 0.0146865 +*CONN +*I *2474:mprj_io_analog_en[6] I *D chip_io_alt +*I *2459:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[6] 0.000534604 +2 *2459:pad_gpio_ana_en 0.000820463 +3 *1800:14 0.00261113 +4 *1800:13 0.00289699 +5 *2474:mprj_io_analog_en[6] *2474:mprj_io_dm[18] 0 +6 *1800:13 *1827:13 3.84497e-05 +7 *1800:13 *2097:8 0.000268051 +8 *1800:14 *1827:14 2.6506e-05 +9 *1800:14 *1827:20 0.00254154 +10 *1800:14 *1867:14 9.4939e-05 +11 *1800:14 *1868:14 0 +12 *1800:14 *2016:19 0 +13 *1800:14 *2043:13 0 +14 *1800:14 *2151:16 0.0026848 +15 *477:32 *1800:14 0.000876323 +16 *507:18 *2474:mprj_io_analog_en[6] 9.4338e-05 +17 *1567:25 *1800:13 0.00119837 +*RES +1 *2459:pad_gpio_ana_en *1800:13 38.9004 +2 *1800:13 *1800:14 91.9417 +3 *1800:14 *2474:mprj_io_analog_en[6] 22.3515 +*END + +*D_NET *1801 0.0440476 +*CONN +*I *2474:mprj_io_analog_en[7] I *D chip_io_alt +*I *2460:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[7] 0.000598517 +2 *2460:pad_gpio_ana_en 0.00044638 +3 *1801:20 0.00109126 +4 *1801:19 0.000643941 +5 *1801:14 0.0102202 +6 *1801:13 0.0105154 +7 *2474:mprj_io_analog_en[7] *2474:mprj_io_dm[21] 3.31733e-05 +8 *2474:mprj_io_analog_en[7] *2293:9 1.15509e-05 +9 *2474:mprj_io_analog_en[7] *2311:13 4.65615e-06 +10 *1801:13 *1828:13 0.00106567 +11 *1801:13 *1855:13 0 +12 *1801:14 *1802:14 0.00319876 +13 *1801:14 *1828:14 0 +14 *1801:14 *1872:14 0.00539242 +15 *1801:14 *1873:14 0 +16 *1801:14 *2179:14 0.00301963 +17 *1801:19 *1828:23 5.04829e-06 +18 *1801:19 *1873:19 0 +19 *1801:20 *1873:14 0.00021551 +20 *1801:20 *1873:20 0 +21 *1801:20 *1874:22 0.000628648 +22 *1801:20 *1876:14 0.00353265 +23 *1801:20 *1963:16 0.00260008 +24 *1801:20 *2099:16 0.000522055 +25 *2460:mgmt_gpio_out *1801:13 3.84497e-05 +26 *110:98 *1801:14 0 +27 *477:32 *1801:14 0.000263647 +*RES +1 *2460:pad_gpio_ana_en *1801:13 27.4071 +2 *1801:13 *1801:14 376.389 +3 *1801:14 *1801:19 12.4964 +4 *1801:19 *1801:20 56.6453 +5 *1801:20 *2474:mprj_io_analog_en[7] 21.3845 +*END + +*D_NET *1802 0.0552278 +*CONN +*I *2474:mprj_io_analog_en[8] I *D chip_io_alt +*I *2449:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[8] 0.000676077 +2 *2449:pad_gpio_ana_en 0.000578169 +3 *1802:20 0.00170559 +4 *1802:19 0.00126274 +5 *1802:14 0.00571852 +6 *1802:13 0.00606346 +7 *2474:mprj_io_analog_en[8] *2474:mprj_io_dm[24] 0 +8 *2474:mprj_io_analog_en[8] *2019:11 0 +9 *2474:mprj_io_analog_en[8] *2320:15 6.38108e-05 +10 *1802:14 *1829:14 0.00219694 +11 *1802:14 *1856:19 0.00185323 +12 *1802:14 *1872:14 0.00942161 +13 *1802:14 *1873:14 0 +14 *1802:14 *1873:20 0.00239578 +15 *1802:14 *1874:22 0 +16 *1802:14 *1875:14 0.00271408 +17 *1802:14 *1876:14 0 +18 *1802:14 *1877:14 0.00412641 +19 *1802:14 *1963:20 0.0022711 +20 *1802:14 *1964:16 0.000164008 +21 *1802:14 *2179:14 0.000751736 +22 *1802:19 *1875:19 0.000202749 +23 *1802:20 *1875:20 0.0051382 +24 *1802:20 *1876:14 2.01595e-05 +25 *1802:20 *1991:16 0.000825644 +26 *1802:20 *2073:37 0.000502001 +27 *110:123 *1802:13 0.000298093 +28 *495:87 *1802:13 0.000306656 +29 *511:14 *1802:20 0.0027723 +30 *1801:14 *1802:14 0.00319876 +*RES +1 *2449:pad_gpio_ana_en *1802:13 27.1284 +2 *1802:13 *1802:14 346.076 +3 *1802:14 *1802:19 15.2694 +4 *1802:19 *1802:20 90.696 +5 *1802:20 *2474:mprj_io_analog_en[8] 22.9089 +*END + +*D_NET *1803 0.0533982 +*CONN +*I *2474:mprj_io_analog_en[9] I *D chip_io_alt +*I *2450:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[9] 0.000143051 +2 *2450:pad_gpio_ana_en 0.00530494 +3 *1803:27 0.000665697 +4 *1803:14 0.00291236 +5 *1803:13 0.00769466 +6 *2474:mprj_io_analog_en[9] *2303:13 4.40471e-05 +7 *2474:mprj_io_analog_en[9] *2321:13 0.000110297 +8 *1803:13 *1829:13 6.55666e-06 +9 *1803:13 *1830:13 0.0174418 +10 *1803:14 *1879:32 0.00352789 +11 *1803:14 *1965:8 0.00142959 +12 *1803:14 *2019:11 1.23804e-05 +13 *1803:14 *2073:44 0.0105013 +14 *1803:27 *2474:mprj_io_slow_sel[9] 8.0872e-06 +15 *1803:27 *1879:32 0 +16 *1803:27 *1879:42 0.000765261 +17 *1803:27 *1879:44 0.000291627 +18 *1803:27 *1965:8 0.000237656 +19 *1803:27 *1994:44 0.00129905 +20 *1803:27 *2019:11 0.000197391 +21 *1803:27 *2154:14 1.2693e-05 +22 *1803:27 *2303:13 0.000747954 +23 *2450:resetn *1803:13 4.38142e-05 +*RES +1 *2450:pad_gpio_ana_en *1803:13 38.5425 +2 *1803:13 *1803:14 170.424 +3 *1803:14 *1803:27 48.7341 +4 *1803:27 *2474:mprj_io_analog_en[9] 8.86012 +*END + +*D_NET *1804 0.0131608 +*CONN +*I *2474:mprj_io_analog_pol[0] I *D chip_io_alt +*I *2444:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[0] 0.000320712 +2 *2444:pad_gpio_ana_pol 0.000724069 +3 *1804:14 0.00140364 +4 *1804:13 0.001807 +5 *2474:mprj_io_analog_pol[0] *2474:mprj_io_dm[0] 5.65975e-05 +6 *2474:mprj_io_analog_pol[0] *2474:mprj_io_inp_dis[0] 0 +7 *1804:13 *1831:19 3.84497e-05 +8 *1804:13 *2074:8 0 +9 *1804:14 *1993:17 0.000375822 +10 *1804:14 *1993:21 0.00419553 +11 *1804:14 *1993:30 4.80103e-06 +12 *1533:15 *1804:13 2.73318e-05 +13 *1777:13 *1804:13 0.00161422 +14 *1777:14 *1804:14 0.00259258 +*RES +1 *2444:pad_gpio_ana_pol *1804:13 37.5097 +2 *1804:13 *1804:14 85.2977 +3 *1804:14 *2474:mprj_io_analog_pol[0] 17.4995 +*END + +*D_NET *1805 0.0508868 +*CONN +*I *2474:mprj_io_analog_pol[10] I *D chip_io_alt +*I *2451:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[10] 0.00132971 +2 *2451:pad_gpio_ana_pol 3.60638e-05 +3 *1805:8 0.00933322 +4 *1805:7 0.00803957 +5 *2474:mprj_io_analog_pol[10] *2474:mprj_io_ib_mode_sel[10] 0.00131349 +6 *2474:mprj_io_analog_pol[10] *2474:mprj_io_inp_dis[10] 0 +7 *2474:mprj_io_analog_pol[10] *2322:13 0 +8 *1805:8 *1832:8 0.0266686 +9 *1779:13 *2474:mprj_io_analog_pol[10] 0.00416612 +*RES +1 *2451:pad_gpio_ana_pol *1805:7 0.51465 +2 *1805:7 *1805:8 53.2018 +3 *1805:8 *2474:mprj_io_analog_pol[10] 15.5486 +*END + +*D_NET *1806 0.0641132 +*CONN +*I *2474:mprj_io_analog_pol[11] I *D chip_io_alt +*I *2452:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[11] 0.000543388 +2 *2452:pad_gpio_ana_pol 0.00242096 +3 *1806:26 0.000806239 +4 *1806:18 0.00199604 +5 *1806:16 0.00181966 +6 *1806:14 0.0010521 +7 *1806:13 0.00338658 +8 *2474:mprj_io_analog_pol[11] *2474:mprj_io_dm[33] 0 +9 *2474:mprj_io_analog_pol[11] *1889:18 0.000210531 +10 *2474:mprj_io_analog_pol[11] *1969:17 0.000159945 +11 *2474:mprj_io_analog_pol[11] *1996:32 0.000113028 +12 *2474:mprj_io_analog_pol[11] *2049:30 0.00156107 +13 *2474:mprj_io_analog_pol[11] *2050:18 0.000254307 +14 *2474:mprj_io_analog_pol[11] *2305:13 0.00103372 +15 *1806:13 *1832:8 0.0130952 +16 *1806:14 *2021:7 0.00171314 +17 *1806:14 *2103:18 0.000523547 +18 *1806:14 *2130:24 0 +19 *1806:18 *2474:mprj_io_out[11] 0.00091007 +20 *1806:18 *1942:14 0.0049269 +21 *1806:18 *2050:14 0.00309845 +22 *1806:18 *2050:18 0.00139239 +23 *1806:18 *2130:24 9.89388e-06 +24 *1806:18 *2130:28 0.000338349 +25 *1806:26 *1942:22 0.000158451 +26 *1806:26 *2049:30 2.62399e-05 +27 *1806:26 *2050:18 0.00106255 +28 *1806:26 *2077:10 0.000127672 +29 *1806:26 *2130:32 0.00100412 +30 *1779:13 *1806:13 0.0165757 +31 *1779:14 *1806:14 0.00379303 +32 *1779:14 *1806:18 0 +*RES +1 *2452:pad_gpio_ana_pol *1806:13 36.9417 +2 *1806:13 *1806:14 60.8711 +3 *1806:14 *1806:16 2.41823 +4 *1806:16 *1806:18 113.254 +5 *1806:18 *1806:26 28.9694 +6 *1806:26 *2474:mprj_io_analog_pol[11] 41.7584 +*END + +*D_NET *1807 0.0552105 +*CONN +*I *2474:mprj_io_analog_pol[12] I *D chip_io_alt +*I *2453:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[12] 0.000310612 +2 *2453:pad_gpio_ana_pol 0.00551146 +3 *1807:20 0.00322764 +4 *1807:19 0.00842849 +5 *2474:mprj_io_analog_pol[12] *2474:mprj_io_inp_dis[12] 2.09084e-05 +6 *2474:mprj_io_analog_pol[12] *2324:13 0 +7 *1807:19 *2474:mprj_io_analog_sel[11] 0 +8 *1807:19 *2474:mprj_io_out[11] 0 +9 *1807:19 *1833:20 6.68192e-05 +10 *1807:19 *1834:13 3.54846e-05 +11 *1807:19 *2077:15 0 +12 *1807:19 *2131:10 0.000460632 +13 *1807:20 *1887:14 0.00022421 +14 *1807:20 *1888:14 0.0122959 +15 *1807:20 *1942:40 0.00114814 +16 *1807:20 *1969:17 0.00194344 +17 *1807:20 *1996:15 0.00284633 +18 *1807:20 *1996:19 0.00104769 +19 *1807:20 *2050:18 0 +20 *1807:20 *2104:14 0 +21 *1807:20 *2324:13 0.000215495 +22 *1780:17 *1807:19 0.0174273 +*RES +1 *2453:pad_gpio_ana_pol *1807:19 38.7399 +2 *1807:19 *1807:20 213.403 +3 *1807:20 *2474:mprj_io_analog_pol[12] 15.8385 +*END + +*D_NET *1808 0.0148332 +*CONN +*I *2474:mprj_io_analog_pol[13] I *D chip_io_alt +*I *2454:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[13] 0.00034576 +2 *2454:pad_gpio_ana_pol 0.000595771 +3 *1808:14 0.00149947 +4 *1808:13 0.00174948 +5 *2474:mprj_io_analog_pol[13] *2474:mprj_io_dm[39] 0 +6 *2474:mprj_io_analog_pol[13] *2474:mprj_io_inp_dis[13] 0 +7 *2474:mprj_io_analog_pol[13] *2325:9 0.000176426 +8 *1808:13 *1890:13 0 +9 *1808:14 *1892:14 0.00612497 +10 *1808:14 *2132:14 0.00297624 +11 *1781:13 *1808:13 0.00136509 +*RES +1 *2454:pad_gpio_ana_pol *1808:13 32.9419 +2 *1808:13 *1808:14 98.1705 +3 *1808:14 *2474:mprj_io_analog_pol[13] 17.6849 +*END + +*D_NET *1809 0.0149098 +*CONN +*I *2474:mprj_io_analog_pol[14] I *D chip_io_alt +*I *2461:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[14] 0.000471667 +2 *2461:pad_gpio_ana_pol 0.000568875 +3 *1809:14 0.00118513 +4 *1809:13 0.00128234 +5 *2474:mprj_io_analog_pol[14] *2474:mprj_io_dm[42] 0 +6 *2474:mprj_io_analog_pol[14] *2474:mprj_io_inp_dis[14] 0.00019711 +7 *1809:13 *1836:13 7.03696e-05 +8 *1809:14 *2160:8 0.00554117 +9 *2474:mprj_io_analog_en[14] *1809:13 5.19526e-05 +10 *516:8 *1809:14 0.00554117 +*RES +1 *2461:pad_gpio_ana_pol *1809:13 23.7463 +2 *1809:13 *1809:14 88.6197 +3 *1809:14 *2474:mprj_io_analog_pol[14] 20.6877 +*END + +*D_NET *1810 0.0126627 +*CONN +*I *2474:mprj_io_analog_pol[15] I *D chip_io_alt +*I *2462:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[15] 0.000491875 +2 *2462:pad_gpio_ana_pol 0.00121303 +3 *1810:16 0.000956093 +4 *1810:15 0.000977582 +5 *1810:12 0.00172639 +6 *2474:mprj_io_analog_pol[15] *2474:mprj_io_dm[45] 0 +7 *2474:mprj_io_analog_pol[15] *2474:mprj_io_inp_dis[15] 9.4338e-05 +8 *1810:12 *1837:7 5.16691e-06 +9 *1810:12 *1972:19 0 +10 *1810:12 *1999:9 0 +11 *1810:12 *2134:8 0 +12 *1810:15 *2053:13 7.65239e-05 +13 *1810:16 *1837:16 0.00261386 +14 *1810:16 *2080:8 0.000658277 +15 *2462:mgmt_gpio_out *1810:12 0.000461064 +16 *471:16 *1810:16 0.00331202 +17 *517:20 *1810:16 1.87269e-05 +18 *1551:35 *1810:12 2.02035e-05 +19 *1783:15 *1810:15 3.75095e-05 +*RES +1 *2462:pad_gpio_ana_pol *1810:12 42.5242 +2 *1810:12 *1810:15 16.8701 +3 *1810:15 *1810:16 53.3233 +4 *1810:16 *2474:mprj_io_analog_pol[15] 21.2423 +*END + +*D_NET *1811 0.011356 +*CONN +*I *2474:mprj_io_analog_pol[16] I *D chip_io_alt +*I *2463:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[16] 0.000464019 +2 *2463:pad_gpio_ana_pol 0.000138698 +3 *1811:8 0.00169273 +4 *1811:7 0.00136741 +5 *2474:mprj_io_analog_pol[16] *2474:mprj_io_dm[48] 0.00105183 +6 *2474:mprj_io_analog_pol[16] *2474:mprj_io_inp_dis[16] 0 +7 *2474:mprj_io_analog_pol[16] *1838:8 0 +8 *2474:mprj_io_analog_pol[16] *1838:14 0.00042737 +9 *2474:mprj_io_analog_pol[16] *1900:8 1.38204e-05 +10 *2474:mprj_io_analog_pol[16] *1901:8 1.3813e-05 +11 *1811:7 *1838:7 0.000133918 +12 *1811:8 *1900:8 0 +13 *1811:8 *1901:8 0.00440576 +14 *1811:8 *2310:13 0.00151273 +15 *1784:7 *1811:7 0.000133918 +*RES +1 *2463:pad_gpio_ana_pol *1811:7 4.12039 +2 *1811:7 *1811:8 70.7639 +3 *1811:8 *2474:mprj_io_analog_pol[16] 26.4418 +*END + +*D_NET *1812 0.0132587 +*CONN +*I *2474:mprj_io_analog_pol[17] I *D chip_io_alt +*I *2464:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[17] 0.000569973 +2 *2464:pad_gpio_ana_pol 0.000761564 +3 *1812:23 0.00114072 +4 *1812:16 0.00174057 +5 *1812:13 0.00193139 +6 *2474:mprj_io_analog_pol[17] *2474:mprj_io_dm[51] 0 +7 *2474:mprj_io_analog_pol[17] *1839:36 9.15115e-06 +8 *2474:mprj_io_analog_pol[17] *1906:22 0.000479286 +9 *2474:mprj_io_analog_pol[17] *2055:14 0.00133853 +10 *2474:mprj_io_analog_pol[17] *2312:9 0.00019711 +11 *1812:13 *2464:pad_gpio_in 4.51062e-05 +12 *1812:13 *1904:7 0 +13 *1812:13 *2055:8 4.15236e-05 +14 *1812:16 *2082:12 0.00119282 +15 *1812:16 *2109:16 0.00041783 +16 *1812:23 *1947:17 0.00112352 +17 *1812:23 *1974:17 5.04829e-06 +18 *1812:23 *2109:16 2.01595e-05 +19 *1812:23 *2109:18 0.00123416 +20 *1785:13 *1812:13 4.80336e-05 +21 *1785:20 *2474:mprj_io_analog_pol[17] 0.000962164 +*RES +1 *2464:pad_gpio_ana_pol *1812:13 27.4892 +2 *1812:13 *1812:16 46.7892 +3 *1812:16 *1812:23 35.9954 +4 *1812:23 *2474:mprj_io_analog_pol[17] 40.2334 +*END + +*D_NET *1813 0.0153169 +*CONN +*I *2474:mprj_io_analog_pol[18] I *D chip_io_alt +*I *2465:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[18] 0.000494765 +2 *2465:pad_gpio_ana_pol 0.000465288 +3 *1813:16 0.000901653 +4 *1813:10 0.00085545 +5 *1813:9 0.00091385 +6 *2474:mprj_io_analog_pol[18] *2474:mprj_io_analog_sel[18] 3.87462e-05 +7 *2474:mprj_io_analog_pol[18] *2474:mprj_io_inp_dis[18] 0 +8 *1813:9 *1840:13 0.000284075 +9 *1813:10 *1907:10 0.00321974 +10 *1813:10 *1908:10 0.00322332 +11 *1813:16 *2474:mprj_io_inp_dis[18] 0.000277634 +12 *1813:16 *1909:15 5.04829e-06 +13 *1813:16 *1975:22 0.00231656 +14 *1813:16 *2313:16 1.19726e-05 +15 *2465:mgmt_gpio_out *1813:9 0 +16 *474:14 *1813:16 0.00230879 +*RES +1 *2465:pad_gpio_ana_pol *1813:9 5.18127 +2 *1813:9 *1813:10 51.8699 +3 *1813:10 *1813:16 47.961 +4 *1813:16 *2474:mprj_io_analog_pol[18] 20.5512 +*END + +*D_NET *1814 0.0147986 +*CONN +*I *2474:mprj_io_analog_pol[19] I *D chip_io_alt +*I *2466:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[19] 0.000696806 +2 *2466:pad_gpio_ana_pol 0.000445725 +3 *1814:8 0.0014416 +4 *1814:7 0.00119051 +5 *2474:mprj_io_analog_pol[19] *2474:mprj_io_dm[57] 0.00019711 +6 *1814:7 *1841:7 0.000295951 +7 *1814:8 *1912:8 0.00325775 +8 *1814:8 *1949:8 0.000276812 +9 *1814:8 *1949:17 0.000588095 +10 *1814:8 *2057:29 0.000205067 +11 *1814:8 *2084:8 0.00509 +12 *1814:8 *2165:27 0.00065321 +13 *2474:mprj_io_analog_en[19] *2474:mprj_io_analog_pol[19] 0.000217643 +14 *475:16 *2474:mprj_io_analog_pol[19] 0 +15 *1787:7 *1814:7 0.000242299 +*RES +1 *2466:pad_gpio_ana_pol *1814:7 5.19125 +2 *1814:7 *1814:8 81.768 +3 *1814:8 *2474:mprj_io_analog_pol[19] 28.718 +*END + +*D_NET *1815 0.0124517 +*CONN +*I *2474:mprj_io_analog_pol[1] I *D chip_io_alt +*I *2445:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[1] 0.000669129 +2 *2445:pad_gpio_ana_pol 0.000438355 +3 *1815:20 0.00201304 +4 *1815:19 0.00172168 +5 *1815:13 0.000816131 +6 *2474:mprj_io_analog_pol[1] *2474:mprj_io_analog_sel[1] 0.000286651 +7 *2474:mprj_io_analog_pol[1] *2474:mprj_io_dm[3] 0 +8 *2474:mprj_io_analog_pol[1] *2474:mprj_io_inp_dis[1] 0.00019711 +9 *1815:13 *1842:13 0.000129429 +10 *1815:19 *1950:17 5.04829e-06 +11 *1815:19 *2004:11 0.00158217 +12 *1815:19 *2058:14 0.000740845 +13 *1815:19 *2058:19 5.04829e-06 +14 *1815:19 *2058:20 0 +15 *1815:20 *1950:20 0.00138386 +16 *1815:20 *2004:11 0.000152386 +17 *1815:20 *2058:20 0 +18 *467:55 *2474:mprj_io_analog_pol[1] 0.00134341 +19 *485:53 *1815:13 9.20603e-05 +20 *1788:13 *1815:13 0.000875346 +*RES +1 *2445:pad_gpio_ana_pol *1815:13 24.9893 +2 *1815:13 *1815:19 35.7794 +3 *1815:19 *1815:20 52.908 +4 *1815:20 *2474:mprj_io_analog_pol[1] 37.4732 +*END + +*D_NET *1816 0.0121537 +*CONN +*I *2474:mprj_io_analog_pol[20] I *D chip_io_alt +*I *2467:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[20] 0.000441716 +2 *2467:pad_gpio_ana_pol 0.000599218 +3 *1816:16 0.00173753 +4 *1816:15 0.00189504 +5 *2474:mprj_io_analog_pol[20] *2474:mprj_io_dm[60] 0 +6 *2474:mprj_io_analog_pol[20] *2474:mprj_io_inp_dis[20] 0 +7 *2474:mprj_io_analog_pol[20] *1916:18 0.000145018 +8 *1816:15 *1843:15 0.00013145 +9 *1816:15 *1914:15 0.000119662 +10 *1816:16 *1843:16 0.00220484 +11 *1816:16 *1914:16 0.00434304 +12 *1816:16 *2140:8 0.000289658 +13 *494:8 *1816:16 0 +14 *1789:15 *1816:15 0.00024656 +*RES +1 *2467:pad_gpio_ana_pol *1816:15 27.5592 +2 *1816:15 *1816:16 86.9587 +3 *1816:16 *2474:mprj_io_analog_pol[20] 20.4118 +*END + +*D_NET *1817 0.0152627 +*CONN +*I *2474:mprj_io_analog_pol[21] I *D chip_io_alt +*I *2468:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[21] 0.000398227 +2 *2468:pad_gpio_ana_pol 0.000317115 +3 *1817:8 0.00119678 +4 *1817:7 0.00111567 +5 *2474:mprj_io_analog_pol[21] *2474:mprj_io_inp_dis[21] 0 +6 *2474:mprj_io_analog_pol[21] *2298:21 5.6259e-05 +7 *1817:7 *1844:10 0.000279125 +8 *1817:8 *1917:14 7.12527e-05 +9 *1817:8 *1952:14 0.000102508 +10 *1817:8 *2168:8 0.00562108 +11 *477:8 *1817:8 6.80434e-05 +12 *494:20 *1817:8 0.000776783 +13 *1790:7 *1817:7 0.000263857 +14 *1790:8 *1817:8 0.00499598 +*RES +1 *2468:pad_gpio_ana_pol *1817:7 4.96178 +2 *1817:7 *1817:8 90.0731 +3 *1817:8 *2474:mprj_io_analog_pol[21] 18.8874 +*END + +*D_NET *1818 0.015055 +*CONN +*I *2474:mprj_io_analog_pol[22] I *D chip_io_alt +*I *2469:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[22] 0.00034857 +2 *2469:pad_gpio_ana_pol 0.000908267 +3 *1818:16 0.00092726 +4 *1818:15 0.00148696 +5 *2474:mprj_io_analog_pol[22] *2474:mprj_io_dm[66] 8.47119e-05 +6 *2474:mprj_io_analog_pol[22] *2474:mprj_io_inp_dis[22] 0 +7 *2474:mprj_io_analog_pol[22] *1922:33 6.50727e-05 +8 *2474:mprj_io_analog_pol[22] *2317:12 0.000107031 +9 *1818:15 *1845:12 7.93742e-05 +10 *1818:15 *2007:12 0.000464193 +11 *1818:16 *1921:8 0.00343653 +12 *1818:16 *1922:33 0.000884026 +13 *1818:16 *2115:8 1.72799e-05 +14 *1818:16 *2169:16 0.00436911 +15 *478:10 *1818:16 0.00060648 +16 *495:44 *1818:15 0.000311148 +17 *1791:12 *1818:15 0.000958982 +*RES +1 *2469:pad_gpio_ana_pol *1818:15 41.675 +2 *1818:15 *1818:16 69.9334 +3 *1818:16 *2474:mprj_io_analog_pol[22] 19.4392 +*END + +*D_NET *1819 0.0127857 +*CONN +*I *2474:mprj_io_analog_pol[23] I *D chip_io_alt +*I *2470:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[23] 0.00039288 +2 *2470:pad_gpio_ana_pol 0.000589907 +3 *1819:8 0.00232802 +4 *1819:7 0.00252505 +5 *2474:mprj_io_analog_pol[23] *2474:mprj_io_inp_dis[23] 0.000365085 +6 *1819:7 *1846:7 0.000138555 +7 *1819:7 *1923:7 0 +8 *1819:8 *1926:16 0.00410865 +9 *1819:8 *2300:21 0.000602602 +10 *494:20 *1819:8 0.000804228 +11 *513:14 *1819:8 4.61488e-05 +12 *1792:7 *1819:7 0.000229469 +13 *1792:8 *1819:8 0.000655145 +*RES +1 *2470:pad_gpio_ana_pol *1819:7 5.19125 +2 *1819:7 *1819:8 90.0731 +3 *1819:8 *2474:mprj_io_analog_pol[23] 20.4118 +*END + +*D_NET *1820 0.0145279 +*CONN +*I *2474:mprj_io_analog_pol[24] I *D chip_io_alt +*I *2446:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[24] 0.000913463 +2 *2446:pad_gpio_ana_pol 0.000562988 +3 *1820:14 0.00151193 +4 *1820:13 0.00116145 +5 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[72] 4.81588e-05 +6 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[73] 0 +7 *2474:mprj_io_analog_pol[24] *1927:15 0.0010243 +8 *2474:mprj_io_analog_pol[24] *1955:14 0 +9 *2474:mprj_io_analog_pol[24] *1982:19 0 +10 *1820:13 *1847:7 3.81792e-05 +11 *1820:13 *2009:11 1.66771e-05 +12 *1820:14 *2063:8 0.00434582 +13 *1820:14 *2319:11 0.00434582 +14 *494:20 *1820:14 0.000520968 +15 *1793:13 *1820:13 3.81792e-05 +16 *1793:14 *2474:mprj_io_analog_pol[24] 0 +*RES +1 *2446:pad_gpio_ana_pol *1820:13 23.1917 +2 *1820:13 *1820:14 69.9334 +3 *1820:14 *2474:mprj_io_analog_pol[24] 39.0049 +*END + +*D_NET *1821 0.0152151 +*CONN +*I *2474:mprj_io_analog_pol[25] I *D chip_io_alt +*I *2447:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[25] 0.000503722 +2 *2447:pad_gpio_ana_pol 0.000522449 +3 *1821:16 0.00175641 +4 *1821:15 0.00190939 +5 *1821:12 0.00117915 +6 *2474:mprj_io_analog_pol[25] *2474:mprj_io_dm[75] 0.000118972 +7 *1821:12 *1848:12 0.0011938 +8 *1821:15 *1848:15 0.00279443 +9 *1821:16 *1930:12 0.00356168 +10 *1821:16 *1931:8 0 +11 *2448:serial_load *2474:mprj_io_analog_pol[25] 0.000127577 +12 *2448:serial_load *1821:16 0.000478558 +13 *2474:mprj_io_analog_en[25] *2474:mprj_io_analog_pol[25] 0.000159956 +14 *515:8 *2474:mprj_io_analog_pol[25] 0.000908956 +15 *515:8 *1821:16 0 +*RES +1 *2447:pad_gpio_ana_pol *1821:12 27.5751 +2 *1821:12 *1821:15 34.0628 +3 *1821:15 *1821:16 57.0605 +4 *1821:16 *2474:mprj_io_analog_pol[25] 29.5184 +*END + +*D_NET *1822 0.00954265 +*CONN +*I *2474:mprj_io_analog_pol[26] I *D chip_io_alt +*I *2448:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[26] 0.000433309 +2 *2448:pad_gpio_ana_pol 0.00024693 +3 *1822:8 0.00253752 +4 *1822:7 0.00235114 +5 *2474:mprj_io_analog_pol[26] *2474:mprj_io_dm[78] 0.000152048 +6 *2474:mprj_io_analog_pol[26] *2474:mprj_io_inp_dis[26] 0 +7 *2474:mprj_io_analog_pol[26] *1933:8 0 +8 *1822:7 *1849:7 0.000261617 +9 *1822:8 *1933:8 0.00174438 +10 *1822:8 *1934:8 0 +11 *1822:8 *2146:21 0.00167049 +12 *2448:mgmt_gpio_out *1822:7 0 +13 *1795:7 *1822:7 0.000145223 +14 *1795:8 *1822:8 0 +*RES +1 *2448:pad_gpio_ana_pol *1822:7 4.73231 +2 *1822:7 *1822:8 85.0901 +3 *1822:8 *2474:mprj_io_analog_pol[26] 22.2526 +*END + +*D_NET *1823 0.0167538 +*CONN +*I *2474:mprj_io_analog_pol[2] I *D chip_io_alt +*I *2455:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[2] 0.000619379 +2 *2455:pad_gpio_ana_pol 0.00073543 +3 *1823:20 0.00123507 +4 *1823:19 0.00106974 +5 *1823:13 0.00118948 +6 *2474:mprj_io_analog_pol[2] *2474:mprj_io_dm[6] 0 +7 *1823:13 *1850:13 0.00176225 +8 *1823:19 *1935:14 0.00203363 +9 *1823:20 *1935:23 0.00106726 +10 *1823:20 *2012:11 0.00361469 +11 *104:40 *1823:13 5.35541e-05 +12 *471:40 *2474:mprj_io_analog_pol[2] 4.35659e-05 +13 *480:14 *1823:19 0.000990376 +14 *480:14 *1823:20 0.00124392 +15 *485:50 *1823:19 0.000327945 +16 *485:50 *1823:20 0.000513186 +17 *1796:8 *1823:13 0.000254349 +*RES +1 *2455:pad_gpio_ana_pol *1823:13 37.3187 +2 *1823:13 *1823:19 43.3691 +3 *1823:19 *1823:20 57.891 +4 *1823:20 *2474:mprj_io_analog_pol[2] 22.9089 +*END + +*D_NET *1824 0.0128556 +*CONN +*I *2474:mprj_io_analog_pol[3] I *D chip_io_alt +*I *2456:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[3] 0.000506471 +2 *2456:pad_gpio_ana_pol 0.000611737 +3 *1824:20 0.0015368 +4 *1824:19 0.00103033 +5 *1824:17 0.00118699 +6 *1824:13 0.00179872 +7 *2474:mprj_io_analog_pol[3] *2474:mprj_io_inp_dis[3] 0 +8 *1824:13 *1851:15 4.69062e-05 +9 *1824:13 *1938:13 0 +10 *1824:13 *1986:13 0 +11 *1824:13 *2094:8 5.88867e-05 +12 *1824:17 *2121:14 0.00123731 +13 *1824:17 *2175:14 0 +14 *1824:20 *1938:18 0.00358983 +15 *1824:20 *2148:26 0 +16 *1824:20 *2175:14 0.00104897 +17 *2474:mprj_io_analog_en[3] *2474:mprj_io_analog_pol[3] 0.00015919 +18 *1797:13 *1824:13 3.84497e-05 +19 *1797:19 *1824:17 5.04829e-06 +20 *1797:22 *1824:20 0 +*RES +1 *2456:pad_gpio_ana_pol *1824:13 25.3308 +2 *1824:13 *1824:17 48.8621 +3 *1824:17 *1824:19 4.5 +4 *1824:19 *1824:20 57.4758 +5 *1824:20 *2474:mprj_io_analog_pol[3] 21.747 +*END + +*D_NET *1825 0.0108986 +*CONN +*I *2474:mprj_io_analog_pol[4] I *D chip_io_alt +*I *2457:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[4] 0.000736212 +2 *2457:pad_gpio_ana_pol 0.000548526 +3 *1825:16 0.0028623 +4 *1825:15 0.00267462 +5 *2474:mprj_io_analog_pol[4] *2474:mprj_io_analog_sel[4] 0 +6 *2474:mprj_io_analog_pol[4] *2474:mprj_io_dm[12] 0 +7 *2474:mprj_io_analog_pol[4] *2474:mprj_io_inp_dis[4] 7.44489e-05 +8 *1825:15 *1852:13 0.000116529 +9 *1825:15 *1861:15 0 +10 *1825:15 *2014:31 0 +11 *1825:16 *1861:16 0 +12 *1825:16 *2014:21 0.000455641 +13 *1825:16 *2122:14 0.00181483 +14 *110:98 *1825:16 0 +15 *475:37 *1825:16 0.000819002 +16 *495:56 *2474:mprj_io_analog_pol[4] 0.000545108 +17 *495:62 *2474:mprj_io_analog_pol[4] 2.1558e-06 +18 *1798:13 *1825:15 0.000249186 +*RES +1 *2457:pad_gpio_ana_pol *1825:15 25.5567 +2 *1825:15 *1825:16 82.3909 +3 *1825:16 *2474:mprj_io_analog_pol[4] 34.1656 +*END + +*D_NET *1826 0.0143828 +*CONN +*I *2474:mprj_io_analog_pol[5] I *D chip_io_alt +*I *2458:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[5] 0.000329224 +2 *2458:pad_gpio_ana_pol 0.000410237 +3 *1826:23 0.00145206 +4 *1826:19 0.001635 +5 *1826:13 0.000922404 +6 *2474:mprj_io_analog_pol[5] *2474:mprj_io_analog_sel[5] 7.08723e-06 +7 *2474:mprj_io_analog_pol[5] *2474:mprj_io_dm[15] 0 +8 *2474:mprj_io_analog_pol[5] *2474:mprj_io_inp_dis[5] 0.000905713 +9 *2474:mprj_io_analog_pol[5] *2042:11 5.05252e-05 +10 *1826:13 *1853:13 0.00111034 +11 *1826:13 *2150:8 0 +12 *1826:19 *1864:14 0.00112654 +13 *1826:19 *1864:19 5.04829e-06 +14 *1826:19 *1864:20 0 +15 *1826:19 *1866:14 0.00236865 +16 *1826:23 *2474:mprj_io_dm[17] 0.000912777 +17 *1826:23 *2474:mprj_io_inp_dis[5] 0.000458361 +18 *1826:23 *1864:20 0 +19 *1826:23 *1866:14 8.35615e-06 +20 *1826:23 *2150:14 0.000157419 +21 *108:49 *2474:mprj_io_analog_pol[5] 0.000138177 +22 *475:37 *1826:23 0.00030076 +23 *493:51 *1826:19 0.000382316 +24 *495:64 *2474:mprj_io_analog_pol[5] 0.000525313 +25 *1799:13 *1826:13 0.00117647 +*RES +1 *2458:pad_gpio_ana_pol *1826:13 30.8028 +2 *1826:13 *1826:19 48.2369 +3 *1826:19 *1826:23 47.6192 +4 *1826:23 *2474:mprj_io_analog_pol[5] 24.5054 +*END + +*D_NET *1827 0.0175628 +*CONN +*I *2474:mprj_io_analog_pol[6] I *D chip_io_alt +*I *2459:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[6] 0.000555492 +2 *2459:pad_gpio_ana_pol 0.000789226 +3 *1827:20 0.000925066 +4 *1827:14 0.00083477 +5 *1827:13 0.00125442 +6 *2474:mprj_io_analog_pol[6] *2474:mprj_io_inp_dis[6] 0 +7 *1827:13 *1854:13 3.84497e-05 +8 *1827:13 *1989:13 0 +9 *1827:13 *2097:8 4.05974e-05 +10 *1827:14 *1867:14 0.00358188 +11 *1827:14 *2151:16 0.00351317 +12 *1827:20 *1867:14 0.000126618 +13 *1827:20 *2070:28 0.00226954 +14 *477:32 *1827:20 0.000409501 +15 *478:32 *1827:14 0.000535945 +16 *507:18 *2474:mprj_io_analog_pol[6] 8.1645e-05 +17 *1800:13 *1827:13 3.84497e-05 +18 *1800:14 *1827:14 2.6506e-05 +19 *1800:14 *1827:20 0.00254154 +*RES +1 *2459:pad_gpio_ana_pol *1827:13 29.2131 +2 *1827:13 *1827:14 57.2682 +3 *1827:14 *1827:20 45.8909 +4 *1827:20 *2474:mprj_io_analog_pol[6] 17.9909 +*END + +*D_NET *1828 0.0612442 +*CONN +*I *2474:mprj_io_analog_pol[7] I *D chip_io_alt +*I *2460:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[7] 0.000580597 +2 *2460:pad_gpio_ana_pol 0.000467651 +3 *1828:28 0.000861603 +4 *1828:23 0.00101895 +5 *1828:14 0.00506883 +6 *1828:13 0.00479854 +7 *2474:mprj_io_analog_pol[7] *2018:24 5.04829e-06 +8 *2474:mprj_io_analog_pol[7] *2153:16 2.22735e-05 +9 *2474:mprj_io_analog_pol[7] *2293:9 7.39195e-05 +10 *2474:mprj_io_analog_pol[7] *2293:10 0.000199558 +11 *1828:13 *1855:13 3.84497e-05 +12 *1828:14 *1855:14 0.00862865 +13 *1828:14 *1873:14 0.0224395 +14 *1828:14 *1876:14 0.00555205 +15 *1828:14 *2099:16 0.000518598 +16 *1828:23 *2474:mprj_io_slow_sel[7] 5.04829e-06 +17 *1828:23 *1874:21 0.00052298 +18 *1828:23 *1991:16 0.000255037 +19 *1828:28 *1855:14 0.00213423 +20 *1828:28 *1991:16 0.000344674 +21 *477:32 *1828:14 0.000320798 +22 *478:32 *1828:14 0.0024402 +23 *510:14 *1828:23 0.00173846 +24 *510:14 *1828:28 0.00213783 +25 *1570:20 *1828:23 0 +26 *1801:13 *1828:13 0.00106567 +27 *1801:14 *1828:14 0 +28 *1801:19 *1828:23 5.04829e-06 +*RES +1 *2460:pad_gpio_ana_pol *1828:13 27.3498 +2 *1828:13 *1828:14 375.974 +3 *1828:14 *1828:23 45.7127 +4 *1828:23 *1828:28 43.2217 +5 *1828:28 *2474:mprj_io_analog_pol[7] 18.5078 +*END + +*D_NET *1829 0.0506618 +*CONN +*I *2474:mprj_io_analog_pol[8] I *D chip_io_alt +*I *2449:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[8] 0.000399618 +2 *2449:pad_gpio_ana_pol 0.00735869 +3 *1829:14 0.00490395 +4 *1829:13 0.011863 +5 *2474:mprj_io_analog_pol[8] *2474:mprj_io_inp_dis[8] 0 +6 *2474:mprj_io_analog_pol[8] *1879:25 7.77744e-05 +7 *2474:mprj_io_analog_pol[8] *2302:15 5.62734e-05 +8 *1829:13 *1856:10 0.00151839 +9 *1829:13 *1857:13 2.02814e-05 +10 *1829:13 *1874:10 0.000953202 +11 *1829:13 *1990:8 0 +12 *1829:14 *1856:19 0.000142472 +13 *1829:14 *1857:14 0 +14 *1829:14 *1876:14 0 +15 *1829:14 *1877:14 0.000717961 +16 *1829:14 *2072:24 0.00195445 +17 *1829:14 *2181:8 0.00142495 +18 *2450:resetn *1829:13 0.016466 +19 *509:16 *1829:13 0.000601249 +20 *1802:14 *1829:14 0.00219694 +21 *1803:13 *1829:13 6.55666e-06 +*RES +1 *2449:pad_gpio_ana_pol *1829:13 44.1651 +2 *1829:13 *1829:14 172.708 +3 *1829:14 *2474:mprj_io_analog_pol[8] 18.3328 +*END + +*D_NET *1830 0.0604958 +*CONN +*I *2474:mprj_io_analog_pol[9] I *D chip_io_alt +*I *2450:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[9] 0.000549935 +2 *2450:pad_gpio_ana_pol 0.00508838 +3 *1830:22 0.00154592 +4 *1830:19 0.00123074 +5 *1830:14 0.00168229 +6 *1830:13 0.00653591 +7 *2474:mprj_io_analog_pol[9] *2474:mprj_io_dm[27] 0 +8 *2474:mprj_io_analog_pol[9] *2474:mprj_io_inp_dis[9] 0 +9 *2474:mprj_io_analog_pol[9] *2303:13 9.43525e-05 +10 *1830:14 *1877:14 0.0102848 +11 *1830:14 *1878:14 0.0102724 +12 *1830:14 *1940:10 0.000994168 +13 *1830:14 *2181:8 0.000445769 +14 *1830:19 *1857:19 5.04829e-06 +15 *1830:22 *1877:22 0.00232164 +16 *1830:22 *1881:14 3.74394e-05 +17 *1830:22 *2048:14 0 +18 *1830:22 *2100:8 0.000453415 +19 *1830:22 *2127:14 0.000267587 +20 *1830:22 *2127:28 0.000137372 +21 *2450:resetn *1830:13 0.000997457 +22 *2450:serial_clock *1830:13 0.000109401 +23 *2450:serial_load *1830:13 0 +24 *1803:13 *1830:13 0.0174418 +*RES +1 *2450:pad_gpio_ana_pol *1830:13 38.6963 +2 *1830:13 *1830:14 165.649 +3 *1830:14 *1830:19 14.1602 +4 *1830:19 *1830:22 49.5182 +5 *1830:22 *2474:mprj_io_analog_pol[9] 17.2969 +*END + +*D_NET *1831 0.012093 +*CONN +*I *2474:mprj_io_analog_sel[0] I *D chip_io_alt +*I *2444:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[0] 0.000117778 +2 *2444:pad_gpio_ana_sel 0.000452659 +3 *1831:20 0.00108336 +4 *1831:19 0.00141824 +5 *2474:mprj_io_analog_sel[0] *2474:mprj_io_dm[2] 0 +6 *1831:19 *1858:13 0.000181113 +7 *1831:19 *1880:10 5.66868e-06 +8 *1831:19 *1966:13 0.000565216 +9 *1831:19 *1966:14 0.00106334 +10 *1831:19 *2074:8 0 +11 *1831:19 *2101:14 0.00108938 +12 *1831:19 *2155:19 3.98241e-05 +13 *1831:20 *1880:12 0.000783503 +14 *1831:20 *1966:14 0.00234978 +15 *1831:20 *1993:17 6.86916e-05 +16 *1831:20 *1993:21 0 +17 *1831:20 *2101:14 0.00283599 +18 *1804:13 *1831:19 3.84497e-05 +*RES +1 *2444:pad_gpio_ana_sel *1831:19 48.1448 +2 *1831:19 *1831:20 67.2342 +3 *1831:20 *2474:mprj_io_analog_sel[0] 2.89455 +*END + +*D_NET *1832 0.0577922 +*CONN +*I *2474:mprj_io_analog_sel[10] I *D chip_io_alt +*I *2451:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[10] 0.00051449 +2 *2451:pad_gpio_ana_sel 3.60638e-05 +3 *1832:8 0.00643947 +4 *1832:7 0.00596104 +5 *2474:mprj_io_analog_sel[10] *2474:mprj_io_dm[32] 0 +6 *2452:serial_data_in *1832:8 0.00499525 +7 *1779:13 *1832:8 8.21151e-05 +8 *1805:8 *1832:8 0.0266686 +9 *1806:13 *1832:8 0.0130952 +*RES +1 *2451:pad_gpio_ana_sel *1832:7 0.51465 +2 *1832:7 *1832:8 63.4891 +3 *1832:8 *2474:mprj_io_analog_sel[10] 7.14266 +*END + +*D_NET *1833 0.0474161 +*CONN +*I *2474:mprj_io_analog_sel[11] I *D chip_io_alt +*I *2452:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[11] 0.00291454 +2 *2452:pad_gpio_ana_sel 0.00893349 +3 *1833:20 0.011848 +4 *2474:mprj_io_analog_sel[11] *2474:mprj_io_dm[35] 2.07556e-06 +5 *2474:mprj_io_analog_sel[11] *2474:mprj_io_out[11] 0.00787088 +6 *2474:mprj_io_analog_sel[11] *1889:18 0.000130916 +7 *2474:mprj_io_analog_sel[11] *1942:14 0.000538784 +8 *1833:20 *2474:mprj_io_ib_mode_sel[10] 0.00332417 +9 *1833:20 *2474:mprj_io_out[11] 0.000393304 +10 *1833:20 *1884:13 2.89493e-05 +11 *1833:20 *1886:7 0 +12 *1833:20 *1889:8 0.000324993 +13 *1833:20 *1967:20 0.0024642 +14 *1833:20 *2103:18 0.00759354 +15 *1833:20 *2131:10 0.000130395 +16 *1833:20 *2156:17 0.00012875 +17 *1779:13 *1833:20 0 +18 *1779:14 *1833:20 0.000722314 +19 *1780:17 *1833:20 0 +20 *1807:19 *2474:mprj_io_analog_sel[11] 0 +21 *1807:19 *1833:20 6.68192e-05 +*RES +1 *2452:pad_gpio_ana_sel *1833:20 49.8061 +2 *1833:20 *2474:mprj_io_analog_sel[11] 22.7038 +*END + +*D_NET *1834 0.0573272 +*CONN +*I *2474:mprj_io_analog_sel[12] I *D chip_io_alt +*I *2453:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[12] 0.000839282 +2 *2453:pad_gpio_ana_sel 0.000758738 +3 *1834:14 0.00644145 +4 *1834:13 0.00636091 +5 *2474:mprj_io_analog_sel[12] *2474:mprj_io_dm[38] 0.000317293 +6 *2474:mprj_io_analog_sel[12] *2474:mprj_io_inp_dis[12] 2.01653e-05 +7 *2474:mprj_io_analog_sel[12] *2077:15 0.000132415 +8 *1834:13 *1887:13 2.72062e-05 +9 *1834:14 *1884:14 0.00067385 +10 *1834:14 *1885:20 2.19276e-05 +11 *1834:14 *1941:8 0.00021747 +12 *1834:14 *1942:26 0.00106572 +13 *1834:14 *1942:33 0.00172233 +14 *1834:14 *1969:13 0.00111891 +15 *1834:14 *2104:14 0.0264855 +16 *501:14 *1834:14 0 +17 *502:16 *1834:14 0.000527146 +18 *502:22 *1834:14 0.00481959 +19 *1779:14 *1834:13 0 +20 *1779:20 *1834:14 0.00574178 +21 *1807:19 *1834:13 3.54846e-05 +*RES +1 *2453:pad_gpio_ana_sel *1834:13 29.2131 +2 *1834:13 *1834:14 446.567 +3 *1834:14 *2474:mprj_io_analog_sel[12] 34.7186 +*END + +*D_NET *1835 0.0159499 +*CONN +*I *2474:mprj_io_analog_sel[13] I *D chip_io_alt +*I *2454:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[13] 8.43645e-05 +2 *2454:pad_gpio_ana_sel 2.68958e-05 +3 *1835:18 0.000667516 +4 *1835:13 0.00117523 +5 *1835:8 0.00121933 +6 *1835:7 0.000654149 +7 *2474:mprj_io_analog_sel[13] *2474:mprj_io_dm[41] 0 +8 *2474:mprj_io_analog_sel[13] *1997:13 7.20173e-06 +9 *2474:mprj_io_analog_sel[13] *2051:14 0 +10 *1835:8 *1970:5 0.00191642 +11 *1835:8 *2105:5 0.00294341 +12 *1835:13 *1893:13 1.58551e-05 +13 *1835:13 *1943:13 1.65872e-05 +14 *1835:13 *2105:10 0.00222312 +15 *1835:18 *2474:mprj_io_dm[41] 0 +16 *1835:18 *1997:19 0.00293508 +17 *1835:18 *2051:14 0.000864566 +18 *1835:18 *2159:19 0.00120018 +*RES +1 *2454:pad_gpio_ana_sel *1835:7 3.43197 +2 *1835:7 *1835:8 47.3021 +3 *1835:8 *1835:13 34.126 +4 *1835:13 *1835:18 49.5982 +5 *1835:18 *2474:mprj_io_analog_sel[13] 3.11439 +*END + +*D_NET *1836 0.0166904 +*CONN +*I *2474:mprj_io_analog_sel[14] I *D chip_io_alt +*I *2461:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[14] 0.000384956 +2 *2461:pad_gpio_ana_sel 0.000694749 +3 *1836:14 0.00141209 +4 *1836:13 0.00172188 +5 *2474:mprj_io_analog_sel[14] *2474:mprj_io_dm[44] 0 +6 *2474:mprj_io_analog_sel[14] *2474:mprj_io_oeb[14] 0.000171064 +7 *1836:13 *1894:11 0.0011317 +8 *1836:14 *1894:14 0.00382722 +9 *1836:14 *2079:8 0.000414631 +10 *1836:14 *2106:21 0 +11 *1836:14 *2160:8 0.00582768 +12 *2461:mgmt_gpio_out *1836:13 0.000470189 +13 *485:17 *1836:14 0 +14 *1550:34 *1836:13 0.00056387 +15 *1809:13 *1836:13 7.03696e-05 +*RES +1 *2461:pad_gpio_ana_sel *1836:13 39.1843 +2 *1836:13 *1836:14 93.1875 +3 *1836:14 *2474:mprj_io_analog_sel[14] 19.1633 +*END + +*D_NET *1837 0.0160146 +*CONN +*I *2474:mprj_io_analog_sel[15] I *D chip_io_alt +*I *2462:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[15] 0.000659764 +2 *2462:pad_gpio_ana_sel 0.000195253 +3 *1837:16 0.00105721 +4 *1837:13 0.000750267 +5 *1837:8 0.00100825 +6 *1837:7 0.00085068 +7 *2474:mprj_io_analog_sel[15] *2474:mprj_io_dm[47] 0.000171064 +8 *2474:mprj_io_analog_sel[15] *2309:14 0 +9 *1837:7 *1897:7 0.000154819 +10 *1837:8 *2053:8 0.000824553 +11 *1837:8 *2107:8 0 +12 *1837:8 *2134:8 0.00305119 +13 *1837:13 *1972:19 0 +14 *1837:13 *2080:13 5.04829e-06 +15 *471:16 *2474:mprj_io_analog_sel[15] 0.00115603 +16 *471:16 *1837:16 1.2366e-05 +17 *517:16 *2474:mprj_io_analog_sel[15] 0.000182084 +18 *517:20 *2474:mprj_io_analog_sel[15] 0.000466976 +19 *517:20 *1837:16 0.00265651 +20 *1783:18 *1837:8 0.00019354 +21 *1810:12 *1837:7 5.16691e-06 +22 *1810:16 *1837:16 0.00261386 +*RES +1 *2462:pad_gpio_ana_sel *1837:7 4.19688 +2 *1837:7 *1837:8 48.9631 +3 *1837:8 *1837:13 16.3786 +4 *1837:13 *1837:16 43.4671 +5 *1837:16 *2474:mprj_io_analog_sel[15] 38.9222 +*END + +*D_NET *1838 0.0140512 +*CONN +*I *2474:mprj_io_analog_sel[16] I *D chip_io_alt +*I *2463:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[16] 0.000247254 +2 *2463:pad_gpio_ana_sel 0.000349358 +3 *1838:14 0.00149678 +4 *1838:8 0.00225687 +5 *1838:7 0.0013567 +6 *2474:mprj_io_analog_sel[16] *2474:mprj_io_dm[50] 6.8952e-05 +7 *2474:mprj_io_analog_sel[16] *2027:10 0 +8 *1838:7 *1900:7 0.00019495 +9 *1838:8 *1900:8 0 +10 *1838:8 *1946:8 0.000287034 +11 *1838:8 *2135:8 0.00405056 +12 *1838:8 *2162:8 0.00311799 +13 *1838:14 *2474:mprj_io_dm[48] 5.04829e-06 +14 *1838:14 *2474:mprj_io_dm[50] 0 +15 *1838:14 *2474:mprj_io_holdover[16] 0 +16 *1838:14 *1946:8 0 +17 *1838:14 *1946:17 0 +18 *1838:14 *2054:20 5.84352e-05 +19 *1838:14 *2108:16 0 +20 *2474:mprj_io_analog_pol[16] *1838:8 0 +21 *2474:mprj_io_analog_pol[16] *1838:14 0.00042737 +22 *1784:7 *1838:7 0 +23 *1811:7 *1838:7 0.000133918 +*RES +1 *2463:pad_gpio_ana_sel *1838:7 4.88529 +2 *1838:7 *1838:8 74.7088 +3 *1838:8 *1838:14 48.7943 +4 *1838:14 *2474:mprj_io_analog_sel[16] 15.4204 +*END + +*D_NET *1839 0.0560718 +*CONN +*I *2474:mprj_io_analog_sel[17] I *D chip_io_alt +*I *2464:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[17] 0.000388751 +2 *2464:pad_gpio_ana_sel 0.000876342 +3 *1839:36 0.00115514 +4 *1839:35 0.000766389 +5 *1839:33 0.00519919 +6 *1839:32 0.00565163 +7 *1839:17 0.00586306 +8 *1839:16 0.00628696 +9 *2474:mprj_io_analog_sel[17] *2474:mprj_io_dm[53] 0 +10 *2474:mprj_io_analog_sel[17] *2312:9 0.000171064 +11 *1839:36 *1906:22 0.00154711 +12 *1839:36 *2109:8 0.00101784 +13 *1839:36 *2163:8 0.00106635 +14 *1839:36 *2163:14 0.00365753 +15 *1839:36 *2163:23 0.000246295 +16 *2474:mprj_io_analog_pol[17] *1839:36 9.15115e-06 +17 *491:17 *1839:33 0.0195892 +18 *519:21 *1839:36 1.87269e-05 +19 *1785:16 *1839:36 1.3813e-05 +20 *1785:20 *1839:36 0.00254725 +*RES +1 *2464:pad_gpio_ana_sel *1839:16 13.0377 +2 *1839:16 *1839:17 151.021 +3 *1839:17 *1839:32 27.6306 +4 *1839:32 *1839:33 228.665 +5 *1839:33 *1839:35 4.5 +6 *1839:35 *1839:36 84.0519 +7 *1839:36 *2474:mprj_io_analog_sel[17] 19.1633 +*END + +*D_NET *1840 0.0147879 +*CONN +*I *2474:mprj_io_analog_sel[18] I *D chip_io_alt +*I *2465:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[18] 0.000657147 +2 *2465:pad_gpio_ana_sel 0.00109932 +3 *1840:27 0.0029858 +4 *1840:13 0.00342797 +5 *2474:mprj_io_analog_sel[18] *2474:mprj_io_holdover[18] 0.000674897 +6 *2474:mprj_io_analog_sel[18] *2474:mprj_io_inp_dis[18] 2.98205e-05 +7 *2474:mprj_io_analog_sel[18] *2295:13 0 +8 *1840:13 *1907:9 0.000299342 +9 *1840:13 *1975:19 0.000173182 +10 *1840:13 *2056:10 0.00183361 +11 *1840:13 *2110:15 0.000298318 +12 *1840:27 *2474:mprj_io_inp_dis[18] 0 +13 *1840:27 *1909:10 0.000441815 +14 *1840:27 *2137:10 0.00133683 +15 *1840:27 *2295:13 0.000102556 +16 *1840:27 *2313:15 0.000113119 +17 *2474:mprj_io_analog_pol[18] *2474:mprj_io_analog_sel[18] 3.87462e-05 +18 *107:51 *1840:27 0.000104535 +19 *520:16 *1840:13 0.00088682 +20 *1813:9 *1840:13 0.000284075 +*RES +1 *2465:pad_gpio_ana_sel *1840:13 47.3667 +2 *1840:13 *1840:27 39.2606 +3 *1840:27 *2474:mprj_io_analog_sel[18] 22.558 +*END + +*D_NET *1841 0.0157469 +*CONN +*I *2474:mprj_io_analog_sel[19] I *D chip_io_alt +*I *2466:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[19] 0.000492813 +2 *2466:pad_gpio_ana_sel 0.000578892 +3 *1841:19 0.0012735 +4 *1841:8 0.00212548 +5 *1841:7 0.00192369 +6 *2474:mprj_io_analog_sel[19] *2474:mprj_io_holdover[19] 0.000674897 +7 *2474:mprj_io_analog_sel[19] *2474:mprj_io_inp_dis[19] 9.29258e-05 +8 *1841:7 *1910:7 0.000320815 +9 *1841:8 *2111:8 0.00470439 +10 *1841:19 *1976:16 0.000560261 +11 *1841:19 *2057:29 0.000116789 +12 *474:42 *2474:mprj_io_analog_sel[19] 0.000126093 +13 *475:16 *2474:mprj_io_analog_sel[19] 0 +14 *493:16 *1841:8 0.00153368 +15 *493:20 *1841:19 0.000700943 +16 *493:22 *1841:19 9.71323e-06 +17 *521:8 *1841:19 0.000204856 +18 *521:14 *1841:8 1.1246e-05 +19 *1814:7 *1841:7 0.000295951 +*RES +1 *2466:pad_gpio_ana_sel *1841:7 5.5737 +2 *1841:7 *1841:8 75.9545 +3 *1841:8 *1841:19 49.1015 +4 *1841:19 *2474:mprj_io_analog_sel[19] 18.4665 +*END + +*D_NET *1842 0.0121662 +*CONN +*I *2474:mprj_io_analog_sel[1] I *D chip_io_alt +*I *2445:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[1] 0.000223677 +2 *2445:pad_gpio_ana_sel 0.000406835 +3 *1842:18 0.000809374 +4 *1842:16 0.000973029 +5 *1842:13 0.000794166 +6 *1842:13 *1891:13 0.000228387 +7 *1842:16 *1913:19 0.0018151 +8 *1842:16 *2112:14 1.2366e-05 +9 *1842:18 *1977:19 0.00146422 +10 *1842:18 *2004:11 0 +11 *1842:18 *2112:14 0.0024272 +12 *2474:mprj_io_analog_pol[1] *2474:mprj_io_analog_sel[1] 0.000286651 +13 *100:90 *1842:16 0.000153978 +14 *100:90 *1842:18 3.39725e-05 +15 *467:55 *2474:mprj_io_analog_sel[1] 4.3116e-06 +16 *467:55 *1842:16 0.000819535 +17 *485:53 *1842:13 8.35615e-06 +18 *485:61 *1842:18 0.00157562 +19 *1788:13 *1842:13 0 +20 *1815:13 *1842:13 0.000129429 +*RES +1 *2445:pad_gpio_ana_sel *1842:13 22.555 +2 *1842:13 *1842:16 30.5943 +3 *1842:16 *1842:18 50.2089 +4 *1842:18 *2474:mprj_io_analog_sel[1] 15.6963 +*END + +*D_NET *1843 0.0147392 +*CONN +*I *2474:mprj_io_analog_sel[20] I *D chip_io_alt +*I *2467:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[20] 0.000418245 +2 *2467:pad_gpio_ana_sel 0.00090274 +3 *1843:16 0.00190706 +4 *1843:15 0.00239156 +5 *2474:mprj_io_analog_sel[20] *2474:mprj_io_holdover[20] 0.000158424 +6 *1843:15 *1914:15 0.00124649 +7 *1843:15 *2005:11 0 +8 *1843:16 *2059:14 0.00182853 +9 *1843:16 *2086:13 0.000153237 +10 *1843:16 *2113:16 0 +11 *1843:16 *2140:8 0.00283238 +12 *2467:mgmt_gpio_out *1843:15 0.000468828 +13 *474:48 *1843:16 0 +14 *494:8 *1843:16 0 +15 *1557:33 *1843:15 2.37478e-05 +16 *1789:15 *1843:15 4.97938e-05 +17 *1789:16 *1843:16 2.18442e-05 +18 *1816:15 *1843:15 0.00013145 +19 *1816:16 *1843:16 0.00220484 +*RES +1 *2467:pad_gpio_ana_sel *1843:15 43.6147 +2 *1843:15 *1843:16 91.1112 +3 *1843:16 *2474:mprj_io_analog_sel[20] 19.5164 +*END + +*D_NET *1844 0.0173843 +*CONN +*I *2474:mprj_io_analog_sel[21] I *D chip_io_alt +*I *2468:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[21] 0.000570201 +2 *2468:pad_gpio_ana_sel 0.00136304 +3 *1844:18 0.000934297 +4 *1844:12 0.00139338 +5 *1844:10 0.00239233 +6 *2474:mprj_io_analog_sel[21] *2474:mprj_io_dm[65] 0 +7 *2474:mprj_io_analog_sel[21] *2474:mprj_io_holdover[21] 0.000171064 +8 *1844:10 *1917:10 7.85438e-05 +9 *1844:10 *1919:10 0.00172667 +10 *1844:12 *1919:10 2.01595e-05 +11 *1844:12 *1919:12 0.00290619 +12 *1844:18 *1919:12 0.00223842 +13 *1844:18 *1979:17 5.04829e-06 +14 *474:54 *1844:10 0.000270979 +15 *474:54 *1844:12 0.00045085 +16 *476:22 *1844:18 0.000342008 +17 *477:14 *1844:18 0.00224201 +18 *1817:7 *1844:10 0.000279125 +*RES +1 *2468:pad_gpio_ana_sel *1844:10 33.8841 +2 *1844:10 *1844:12 47.3021 +3 *1844:12 *1844:18 46.1607 +4 *1844:18 *2474:mprj_io_analog_sel[21] 23.0455 +*END + +*D_NET *1845 0.0179606 +*CONN +*I *2474:mprj_io_analog_sel[22] I *D chip_io_alt +*I *2469:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[22] 0.000771547 +2 *2469:pad_gpio_ana_sel 0.000873423 +3 *1845:16 0.00120709 +4 *1845:15 0.0011231 +5 *1845:12 0.00156098 +6 *2474:mprj_io_analog_sel[22] *2474:mprj_io_dm[68] 0.0011915 +7 *2474:mprj_io_analog_sel[22] *2474:mprj_io_holdover[22] 6.30048e-06 +8 *2474:mprj_io_analog_sel[22] *2474:mprj_io_out[22] 0 +9 *2474:mprj_io_analog_sel[22] *1953:17 3.6583e-05 +10 *2474:mprj_io_analog_sel[22] *1980:16 0.00122908 +11 *2474:mprj_io_analog_sel[22] *2299:24 0 +12 *1845:12 *1920:7 1.41809e-05 +13 *1845:12 *1922:8 0 +14 *1845:16 *1953:8 0.00338952 +15 *1845:16 *1980:16 1.2366e-05 +16 *2469:mgmt_gpio_out *1845:12 0.00022036 +17 *476:22 *2474:mprj_io_analog_sel[22] 0.00019411 +18 *476:22 *1845:16 0.000522092 +19 *496:17 *1845:12 0.000207875 +20 *524:16 *2474:mprj_io_analog_sel[22] 1.23804e-05 +21 *524:16 *1845:16 0.00343217 +22 *1559:37 *1845:12 7.86825e-06 +23 *1791:12 *1845:12 0.00186867 +24 *1818:15 *1845:12 7.93742e-05 +*RES +1 *2469:pad_gpio_ana_sel *1845:12 43.4312 +2 *1845:12 *1845:15 19.0885 +3 *1845:15 *1845:16 54.7766 +4 *1845:16 *2474:mprj_io_analog_sel[22] 43.2585 +*END + +*D_NET *1846 0.0106864 +*CONN +*I *2474:mprj_io_analog_sel[23] I *D chip_io_alt +*I *2470:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[23] 0.000406779 +2 *2470:pad_gpio_ana_sel 0.000336222 +3 *1846:8 0.00411357 +4 *1846:7 0.00404301 +5 *2474:mprj_io_analog_sel[23] *2474:mprj_io_dm[71] 0 +6 *2474:mprj_io_analog_sel[23] *1954:16 0 +7 *2474:mprj_io_analog_sel[23] *2318:9 0.000528062 +8 *1846:7 *1923:7 0.000138555 +9 *1846:8 *2474:mprj_io_dm[70] 0 +10 *1846:8 *1925:8 0 +11 *1846:8 *1954:16 0 +12 *1846:8 *2062:8 0 +13 *1846:8 *2300:18 0 +14 *1846:8 *2318:9 0 +15 *477:26 *1846:8 0.000981609 +16 *1819:7 *1846:7 0.000138555 +*RES +1 *2470:pad_gpio_ana_sel *1846:7 4.42635 +2 *1846:7 *1846:8 102.946 +3 *1846:8 *2474:mprj_io_analog_sel[23] 23.1864 +*END + +*D_NET *1847 0.0141714 +*CONN +*I *2474:mprj_io_analog_sel[24] I *D chip_io_alt +*I *2446:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[24] 0.000571172 +2 *2446:pad_gpio_ana_sel 0.000934634 +3 *1847:16 0.00215634 +4 *1847:14 0.00164951 +5 *1847:10 0.00114917 +6 *1847:7 0.00201946 +7 *2474:mprj_io_analog_sel[24] *2474:mprj_io_holdover[24] 0.000171064 +8 *1847:7 *1928:7 0 +9 *1847:7 *1929:7 0 +10 *1847:10 *1929:8 0.00300408 +11 *1847:14 *1929:8 2.82741e-05 +12 *1847:14 *1929:19 4.61962e-05 +13 *1847:14 *1982:8 9.71323e-06 +14 *1847:16 *1929:19 0.00047253 +15 *1847:16 *1982:8 0.00104673 +16 *1847:16 *2117:12 0.000206886 +17 *2446:mgmt_gpio_out *1847:7 0 +18 *474:54 *1847:10 0.000329532 +19 *474:54 *1847:16 0.000337909 +20 *514:8 *1847:16 0 +21 *1820:13 *1847:7 3.81792e-05 +*RES +1 *2446:pad_gpio_ana_sel *1847:7 5.5737 +2 *1847:7 *1847:10 49.073 +3 *1847:10 *1847:14 2.56479 +4 *1847:14 *1847:16 60.5902 +5 *1847:16 *2474:mprj_io_analog_sel[24] 23.6001 +*END + +*D_NET *1848 0.019838 +*CONN +*I *2474:mprj_io_analog_sel[25] I *D chip_io_alt +*I *2447:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[25] 0.000591812 +2 *2447:pad_gpio_ana_sel 0.000585783 +3 *1848:16 0.00132492 +4 *1848:15 0.00138377 +5 *1848:12 0.00123645 +6 *2474:mprj_io_analog_sel[25] *2474:mprj_io_inp_dis[25] 0.000241594 +7 *1848:15 *2118:21 5.04829e-06 +8 *1848:16 *1930:12 0.00412961 +9 *1848:16 *2172:14 0.000337806 +10 *2448:serial_load *2474:mprj_io_analog_sel[25] 0.000284916 +11 *2448:serial_load *1848:16 0.000516867 +12 *515:8 *2474:mprj_io_analog_sel[25] 0.001109 +13 *515:8 *1848:16 0 +14 *1572:16 *1848:15 0.000254007 +15 *1794:16 *1848:16 0.00384819 +16 *1821:12 *1848:12 0.0011938 +17 *1821:15 *1848:15 0.00279443 +*RES +1 *2447:pad_gpio_ana_sel *1848:12 29.6514 +2 *1848:12 *1848:15 35.7266 +3 *1848:15 *1848:16 72.8401 +4 *1848:16 *2474:mprj_io_analog_sel[25] 33.7359 +*END + +*D_NET *1849 0.0173804 +*CONN +*I *2474:mprj_io_analog_sel[26] I *D chip_io_alt +*I *2448:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[26] 0.000522637 +2 *2448:pad_gpio_ana_sel 0.000289368 +3 *1849:8 0.0015014 +4 *1849:7 0.00126813 +5 *2474:mprj_io_analog_sel[26] *2474:mprj_io_dm[80] 0.00154439 +6 *2474:mprj_io_analog_sel[26] *2474:mprj_io_inp_dis[26] 0 +7 *2474:mprj_io_analog_sel[26] *2474:mprj_io_vtrip_sel[26] 0.000242864 +8 *1849:7 *1933:7 0.000292127 +9 *1849:8 *1933:8 0.000274013 +10 *1849:8 *1957:16 0.00581992 +11 *1849:8 *2065:16 0.00536393 +12 *2448:mgmt_gpio_out *1849:7 0 +13 *1822:7 *1849:7 0.000261617 +*RES +1 *2448:pad_gpio_ana_sel *1849:7 5.03827 +2 *1849:7 *1849:8 98.7934 +3 *1849:8 *2474:mprj_io_analog_sel[26] 31.7124 +*END + +*D_NET *1850 0.0129844 +*CONN +*I *2474:mprj_io_analog_sel[2] I *D chip_io_alt +*I *2455:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[2] 0.000516328 +2 *2455:pad_gpio_ana_sel 0.000815203 +3 *1850:14 0.00213033 +4 *1850:13 0.00242921 +5 *1850:13 *1924:13 3.84497e-05 +6 *1850:14 *1924:14 0.000152316 +7 *1850:14 *1937:14 0.00420358 +8 *1850:14 *2066:14 0 +9 *2455:mgmt_gpio_out *1850:13 0.000105034 +10 *104:40 *1850:13 0 +11 *467:48 *1850:14 0.000682375 +12 *471:40 *2474:mprj_io_analog_sel[2] 0.000145018 +13 *1555:29 *1850:13 0 +14 *1796:8 *1850:13 4.3116e-06 +15 *1823:13 *1850:13 0.00176225 +*RES +1 *2455:pad_gpio_ana_sel *1850:13 38.4852 +2 *1850:13 *1850:14 72.4249 +3 *1850:14 *2474:mprj_io_analog_sel[2] 20.9664 +*END + +*D_NET *1851 0.0149269 +*CONN +*I *2474:mprj_io_analog_sel[3] I *D chip_io_alt +*I *2456:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[3] 0.000310983 +2 *2456:pad_gpio_ana_sel 0.000243061 +3 *1851:22 0.000709998 +4 *1851:16 0.000791603 +5 *1851:15 0.000635648 +6 *2474:mprj_io_analog_sel[3] *1860:23 0 +7 *2474:mprj_io_analog_sel[3] *2013:7 2.2862e-06 +8 *2474:mprj_io_analog_sel[3] *2040:19 0.000185867 +9 *2474:mprj_io_analog_sel[3] *2040:20 0 +10 *1851:15 *1860:13 0.000614839 +11 *1851:15 *1938:13 1.67063e-05 +12 *1851:15 *1959:15 3.58044e-05 +13 *1851:15 *1986:13 0.000584129 +14 *1851:16 *1959:18 0.00290903 +15 *1851:16 *2013:13 0.00290543 +16 *1851:22 *2474:mprj_io_holdover[3] 0.000102664 +17 *1851:22 *1860:23 0.0020546 +18 *1851:22 *1959:18 4.61962e-05 +19 *1851:22 *2148:26 8.88511e-05 +20 *2455:resetn_out *1851:16 0.000404814 +21 *2455:resetn_out *1851:22 0.000266116 +22 *472:38 *1851:22 0.00197138 +23 *1824:13 *1851:15 4.69062e-05 +*RES +1 *2456:pad_gpio_ana_sel *1851:15 20.9833 +2 *1851:15 *1851:16 46.6792 +3 *1851:16 *1851:22 46.1607 +4 *1851:22 *2474:mprj_io_analog_sel[3] 16.8055 +*END + +*D_NET *1852 0.0138556 +*CONN +*I *2474:mprj_io_analog_sel[4] I *D chip_io_alt +*I *2457:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[4] 0.000291616 +2 *2457:pad_gpio_ana_sel 0.00035628 +3 *1852:14 0.000972169 +4 *1852:13 0.00103683 +5 *2474:mprj_io_analog_sel[4] *2474:mprj_io_dm[14] 0 +6 *1852:13 *1861:15 0.000460415 +7 *1852:13 *2014:31 0 +8 *2474:mprj_io_analog_pol[4] *2474:mprj_io_analog_sel[4] 0 +9 *108:47 *1852:14 0.00072038 +10 *110:98 *1852:14 0.00491881 +11 *495:62 *2474:mprj_io_analog_sel[4] 6.73609e-05 +12 *495:64 *1852:14 0.00491521 +13 *1798:13 *1852:13 0 +14 *1825:15 *1852:13 0.000116529 +*RES +1 *2457:pad_gpio_ana_sel *1852:13 23.249 +2 *1852:13 *1852:14 79.0689 +3 *1852:14 *2474:mprj_io_analog_sel[4] 17.2875 +*END + +*D_NET *1853 0.0136097 +*CONN +*I *2474:mprj_io_analog_sel[5] I *D chip_io_alt +*I *2458:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[5] 0.000555322 +2 *2458:pad_gpio_ana_sel 0.000561133 +3 *1853:17 0.000944404 +4 *1853:13 0.000950215 +5 *2474:mprj_io_analog_sel[5] *2474:mprj_io_dm[17] 0.000202472 +6 *2474:mprj_io_analog_sel[5] *2474:mprj_io_oeb[5] 4.80635e-06 +7 *2474:mprj_io_analog_sel[5] *1961:14 0.00137549 +8 *2474:mprj_io_analog_sel[5] *2042:11 0.00187288 +9 *1853:13 *1864:13 3.84497e-05 +10 *1853:13 *2150:8 0 +11 *1853:17 *1961:14 9.2346e-06 +12 *1853:17 *2042:11 5.04829e-06 +13 *1853:17 *2096:14 0.00265365 +14 *2474:mprj_io_analog_pol[5] *2474:mprj_io_analog_sel[5] 7.08723e-06 +15 *108:49 *2474:mprj_io_analog_sel[5] 0.000211107 +16 *108:49 *1853:17 0.000428321 +17 *495:64 *1853:17 0.00267971 +18 *1566:29 *1853:13 0 +19 *1826:13 *1853:13 0.00111034 +*RES +1 *2458:pad_gpio_ana_sel *1853:13 30.8601 +2 *1853:13 *1853:17 48.1654 +3 *1853:17 *2474:mprj_io_analog_sel[5] 41.8028 +*END + +*D_NET *1854 0.0141078 +*CONN +*I *2474:mprj_io_analog_sel[6] I *D chip_io_alt +*I *2459:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[6] 0.000184097 +2 *2459:pad_gpio_ana_sel 0.000175312 +3 *1854:14 0.000935515 +4 *1854:13 0.00092673 +5 *2474:mprj_io_analog_sel[6] *2016:18 5.04829e-06 +6 *1854:13 *1867:13 4.2387e-05 +7 *1854:13 *1962:15 0.000470666 +8 *1854:13 *1989:13 0.000460471 +9 *1854:13 *2097:8 1.48603e-05 +10 *1854:14 *1962:16 0.00468588 +11 *1854:14 *1989:14 0.00348054 +12 *1854:14 *2151:22 0.0013897 +13 *2459:serial_clock *1854:14 0.000747342 +14 *495:64 *1854:14 0.000210876 +15 *507:18 *2474:mprj_io_analog_sel[6] 0.000223156 +16 *507:18 *1854:14 0.000116798 +17 *1827:13 *1854:13 3.84497e-05 +*RES +1 *2459:pad_gpio_ana_sel *1854:13 18.6155 +2 *1854:13 *1854:14 82.3909 +3 *1854:14 *2474:mprj_io_analog_sel[6] 14.4477 +*END + +*D_NET *1855 0.061636 +*CONN +*I *2474:mprj_io_analog_sel[7] I *D chip_io_alt +*I *2460:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[7] 0.00143766 +2 *2460:pad_gpio_ana_sel 0.000715054 +3 *1855:14 0.00672939 +4 *1855:13 0.00600679 +5 *2474:mprj_io_analog_sel[7] *2474:mprj_io_inp_dis[7] 9.29408e-05 +6 *2474:mprj_io_analog_sel[7] *1990:8 0.000274373 +7 *2474:mprj_io_analog_sel[7] *2153:16 0.00115167 +8 *1855:13 *1871:13 4.31122e-05 +9 *1855:14 *1876:14 0.00944397 +10 *1855:14 *1991:16 0.000677528 +11 *1855:14 *2098:14 0.00196678 +12 *1855:14 *2099:16 0.000490766 +13 *1855:14 *2152:14 0.0215134 +14 *2450:serial_load *2474:mprj_io_analog_sel[7] 8.99001e-06 +15 *478:32 *1855:14 0.000245504 +16 *496:44 *1855:14 0 +17 *510:14 *1855:14 3.67391e-05 +18 *1801:13 *1855:13 0 +19 *1828:13 *1855:13 3.84497e-05 +20 *1828:14 *1855:14 0.00862865 +21 *1828:28 *1855:14 0.00213423 +*RES +1 *2460:pad_gpio_ana_sel *1855:13 29.7677 +2 *1855:13 *1855:14 435.148 +3 *1855:14 *2474:mprj_io_analog_sel[7] 28.4888 +*END + +*D_NET *1856 0.0728627 +*CONN +*I *2474:mprj_io_analog_sel[8] I *D chip_io_alt +*I *2449:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[8] 0.000236415 +2 *2449:pad_gpio_ana_sel 8.61076e-05 +3 *1856:19 0.00426861 +4 *1856:15 0.00512522 +5 *1856:10 0.00320831 +6 *1856:9 0.00220139 +7 *2474:mprj_io_analog_sel[8] *2019:11 0.000500922 +8 *1856:9 *1874:9 9.94897e-06 +9 *1856:10 *1874:10 0.00714383 +10 *1856:19 *1872:14 0.000311509 +11 *1856:19 *1877:14 0.00134382 +12 *1856:19 *1963:20 0.000341996 +13 *1856:19 *1964:16 0.0233698 +14 *1856:19 *1992:8 0.000471203 +15 *1856:19 *2072:24 0.000679262 +16 *1856:19 *2098:14 0.000292074 +17 *1856:19 *2099:16 0.000473012 +18 *1856:19 *2179:14 0.0062272 +19 *1856:19 *2181:8 0.0107257 +20 *509:16 *1856:10 0.00233223 +21 *1802:14 *1856:19 0.00185323 +22 *1829:13 *1856:10 0.00151839 +23 *1829:14 *1856:19 0.000142472 +*RES +1 *2449:pad_gpio_ana_sel *1856:9 3.65147 +2 *1856:9 *1856:10 117.272 +3 *1856:10 *1856:15 6.35773 +4 *1856:15 *1856:19 47.6488 +5 *1856:19 *2474:mprj_io_analog_sel[8] 12.6387 +*END + +*D_NET *1857 0.0581293 +*CONN +*I *2474:mprj_io_analog_sel[9] I *D chip_io_alt +*I *2450:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[9] 0.000635865 +2 *2450:pad_gpio_ana_sel 0.000719895 +3 *1857:20 0.0011677 +4 *1857:19 0.000664546 +5 *1857:14 0.00898836 +6 *1857:13 0.00957555 +7 *2474:mprj_io_analog_sel[9] *2321:13 5.66111e-05 +8 *1857:13 *1877:13 2.89493e-05 +9 *1857:13 *2018:13 0 +10 *1857:14 *1876:14 0.000812353 +11 *1857:14 *1877:14 0 +12 *1857:14 *1879:26 0 +13 *1857:14 *1882:14 0 +14 *1857:14 *2048:14 0 +15 *1857:14 *2072:24 0 +16 *1857:14 *2099:16 0.00202293 +17 *1857:14 *2100:8 0.00172017 +18 *1857:14 *2127:14 0.0229467 +19 *1857:20 *1883:14 0.00405147 +20 *1857:20 *2075:8 0.000654168 +21 *1778:16 *1857:20 0.00405865 +22 *1829:13 *1857:13 2.02814e-05 +23 *1829:14 *1857:14 0 +24 *1830:19 *1857:19 5.04829e-06 +*RES +1 *2450:pad_gpio_ana_sel *1857:13 28.1039 +2 *1857:13 *1857:14 395.491 +3 *1857:14 *1857:19 11.3872 +4 *1857:19 *1857:20 64.9503 +5 *1857:20 *2474:mprj_io_analog_sel[9] 23.6001 +*END + +*D_NET *1858 0.0165964 +*CONN +*I *2474:mprj_io_dm[0] I *D chip_io_alt +*I *2444:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[0] 0.00118633 +2 *2444:pad_gpio_dm[0] 0.000648196 +3 *1858:14 0.00189134 +4 *1858:13 0.0013532 +5 *2474:mprj_io_dm[0] *2474:mprj_io_inp_dis[0] 7.44489e-05 +6 *2474:mprj_io_dm[0] *1993:17 0 +7 *1858:13 *1869:13 4.80459e-05 +8 *1858:13 *1966:13 0.000119662 +9 *1858:13 *2074:8 0 +10 *1858:14 *1869:14 0.00552231 +11 *1858:14 *2047:14 0.00551513 +12 *2474:mprj_io_analog_pol[0] *2474:mprj_io_dm[0] 5.65975e-05 +13 *1831:19 *1858:13 0.000181113 +*RES +1 *2444:pad_gpio_dm[0] *1858:13 28.1803 +2 *1858:13 *1858:14 88.2045 +3 *1858:14 *2474:mprj_io_dm[0] 41.2305 +*END + +*D_NET *1859 0.0176671 +*CONN +*I *2474:mprj_io_dm[10] I *D chip_io_alt +*I *2456:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[10] 0.000643432 +2 *2456:pad_gpio_dm[1] 0.000736461 +3 *1859:18 0.0020433 +4 *1859:16 0.00183748 +5 *1859:13 0.00117408 +6 *2474:mprj_io_dm[10] *2013:7 0.00041084 +7 *1859:13 *1860:13 4.2387e-05 +8 *1859:13 *1938:13 7.11756e-05 +9 *1859:16 *1938:16 0.00273444 +10 *1859:16 *2067:16 0.0028707 +11 *1859:16 *2148:25 1.67037e-05 +12 *1859:16 *2175:14 8.53782e-05 +13 *1859:18 *1938:16 9.71323e-06 +14 *1859:18 *1938:18 0.000266553 +15 *1859:18 *2067:22 0.00341258 +16 *1859:18 *2148:25 0.00119223 +17 *105:32 *1859:13 0.000119662 +18 *472:32 *1859:13 0 +19 *1565:20 *1859:18 0 +*RES +1 *2456:pad_gpio_dm[1] *1859:13 27.3006 +2 *1859:13 *1859:16 47.7662 +3 *1859:16 *1859:18 70.5562 +4 *1859:18 *2474:mprj_io_dm[10] 27.5156 +*END + +*D_NET *1860 0.0150112 +*CONN +*I *2474:mprj_io_dm[11] I *D chip_io_alt +*I *2456:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[11] 5.07885e-05 +2 *2456:pad_gpio_dm[2] 0.000315517 +3 *1860:23 0.000610982 +4 *1860:16 0.0010355 +5 *1860:13 0.000790826 +6 *2474:mprj_io_dm[11] *2040:19 0.00013008 +7 *1860:13 *1938:13 1.65872e-05 +8 *1860:13 *1959:15 0.000704799 +9 *1860:13 *1986:13 0.000489728 +10 *1860:13 *2094:8 0.000494416 +11 *1860:16 *1959:18 0.00286995 +12 *1860:16 *2121:14 0.000535662 +13 *1860:23 *1959:18 2.6506e-05 +14 *1860:23 *2013:12 0 +15 *1860:23 *2121:14 0.000931774 +16 *1860:23 *2148:26 0.00115315 +17 *2455:resetn_out *1860:16 0.000386267 +18 *2474:mprj_io_analog_sel[3] *1860:23 0 +19 *1797:19 *1860:16 0.00174863 +20 *1797:22 *1860:23 8.21849e-06 +21 *1851:15 *1860:13 0.000614839 +22 *1851:22 *1860:23 0.0020546 +23 *1859:13 *1860:13 4.2387e-05 +*RES +1 *2456:pad_gpio_dm[2] *1860:13 28.8631 +2 *1860:13 *1860:16 46.7892 +3 *1860:16 *1860:23 48.1743 +4 *1860:23 *2474:mprj_io_dm[11] 2.13122 +*END + +*D_NET *1861 0.0150675 +*CONN +*I *2474:mprj_io_dm[12] I *D chip_io_alt +*I *2457:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[12] 0.000530515 +2 *2457:pad_gpio_dm[0] 0.000741769 +3 *1861:16 0.00279926 +4 *1861:15 0.00301051 +5 *2474:mprj_io_dm[12] *2474:mprj_io_slow_sel[4] 7.08723e-06 +6 *1861:15 *1862:15 2.81691e-05 +7 *1861:15 *1863:13 7.22572e-05 +8 *1861:15 *2014:31 0 +9 *1861:16 *1862:16 0.000114237 +10 *1861:16 *2014:21 0 +11 *1861:16 *2122:14 0 +12 *2474:mprj_io_analog_pol[4] *2474:mprj_io_dm[12] 0 +13 *477:32 *1861:16 0.000963396 +14 *495:56 *2474:mprj_io_dm[12] 9.69513e-05 +15 *1798:13 *1861:15 0 +16 *1798:14 *1861:16 0.00624292 +17 *1825:15 *1861:15 0 +18 *1825:16 *1861:16 0 +19 *1852:13 *1861:15 0.000460415 +*RES +1 *2457:pad_gpio_dm[0] *1861:15 30.8212 +2 *1861:15 *1861:16 103.569 +3 *1861:16 *2474:mprj_io_dm[12] 24.1635 +*END + +*D_NET *1862 0.0211098 +*CONN +*I *2474:mprj_io_dm[13] I *D chip_io_alt +*I *2457:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[13] 0.000420935 +2 *2457:pad_gpio_dm[1] 0.000761551 +3 *1862:16 0.00144306 +4 *1862:15 0.00178367 +5 *2474:mprj_io_dm[13] *2474:mprj_io_slow_sel[4] 0.00146502 +6 *2474:mprj_io_dm[13] *2014:18 0.000517809 +7 *1862:15 *1863:13 0.000228916 +8 *1862:16 *2068:16 0.00630011 +9 *1862:16 *2122:14 0 +10 *1862:16 *2149:14 0.000339253 +11 *2474:mprj_io_analog_en[4] *2474:mprj_io_dm[13] 0.00020879 +12 *478:32 *1862:16 0.000991102 +13 *505:14 *1862:16 8.92568e-06 +14 *1798:14 *1862:16 0.0064983 +15 *1861:15 *1862:15 2.81691e-05 +16 *1861:16 *1862:16 0.000114237 +*RES +1 *2457:pad_gpio_dm[1] *1862:15 29.8541 +2 *1862:15 *1862:16 112.289 +3 *1862:16 *2474:mprj_io_dm[13] 31.4943 +*END + +*D_NET *1863 0.0125632 +*CONN +*I *2474:mprj_io_dm[14] I *D chip_io_alt +*I *2457:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[14] 0.000100985 +2 *2457:pad_gpio_dm[2] 0.00050585 +3 *1863:14 0.00106746 +4 *1863:13 0.00147233 +5 *2474:mprj_io_dm[14] *2474:mprj_io_holdover[4] 0 +6 *1863:13 *1960:13 3.84497e-05 +7 *1863:13 *2014:31 0 +8 *1863:14 *1960:14 0.00157622 +9 *1863:14 *2014:30 0.00165376 +10 *2474:mprj_io_analog_sel[4] *2474:mprj_io_dm[14] 0 +11 *108:47 *1863:14 0.00066488 +12 *495:64 *1863:14 0.00518213 +13 *1861:15 *1863:13 7.22572e-05 +14 *1862:15 *1863:13 0.000228916 +*RES +1 *2457:pad_gpio_dm[2] *1863:13 25.877 +2 *1863:13 *1863:14 83.4291 +3 *1863:14 *2474:mprj_io_dm[14] 3.6762 +*END + +*D_NET *1864 0.0132292 +*CONN +*I *2474:mprj_io_dm[15] I *D chip_io_alt +*I *2458:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[15] 0.000488958 +2 *2458:pad_gpio_dm[0] 0.000621244 +3 *1864:20 0.00180367 +4 *1864:19 0.0014353 +5 *1864:14 0.00138632 +6 *1864:13 0.00188697 +7 *1864:13 *1865:13 3.84497e-05 +8 *1864:13 *2150:8 0 +9 *1864:14 *1866:14 0.000250987 +10 *1864:14 *2069:14 0 +11 *1864:20 *2069:14 0.00245546 +12 *1864:20 *2150:14 0 +13 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[15] 0 +14 *2474:mprj_io_analog_pol[5] *2474:mprj_io_dm[15] 0 +15 *475:37 *1864:14 0.000495239 +16 *477:32 *1864:20 0.000539902 +17 *495:64 *2474:mprj_io_dm[15] 0.000103111 +18 *1799:14 *1864:20 0.000553587 +19 *1826:19 *1864:14 0.00112654 +20 *1826:19 *1864:19 5.04829e-06 +21 *1826:19 *1864:20 0 +22 *1826:23 *1864:20 0 +23 *1853:13 *1864:13 3.84497e-05 +*RES +1 *2458:pad_gpio_dm[0] *1864:13 25.3308 +2 *1864:13 *1864:14 49.1707 +3 *1864:14 *1864:19 11.9418 +4 *1864:19 *1864:20 57.4758 +5 *1864:20 *2474:mprj_io_dm[15] 22.1911 +*END + +*D_NET *1865 0.0220035 +*CONN +*I *2474:mprj_io_dm[16] I *D chip_io_alt +*I *2458:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[16] 0.000668563 +2 *2458:pad_gpio_dm[1] 0.000496548 +3 *1865:14 0.00161864 +4 *1865:13 0.00144663 +5 *1865:13 *2458:pad_gpio_in 0.000528767 +6 *1865:13 *1866:13 0.000791854 +7 *1865:13 *1961:13 5.94319e-06 +8 *1865:13 *1988:7 0 +9 *1865:13 *2150:8 0 +10 *1865:14 *2015:11 0.00749462 +11 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[16] 0 +12 *478:32 *1865:14 0.00120624 +13 *495:64 *2474:mprj_io_dm[16] 0.000205402 +14 *506:14 *1865:14 0.0075018 +15 *1864:13 *1865:13 3.84497e-05 +*RES +1 *2458:pad_gpio_dm[1] *1865:13 28.5355 +2 *1865:13 *1865:14 119.764 +3 *1865:14 *2474:mprj_io_dm[16] 27.7559 +*END + +*D_NET *1866 0.0145048 +*CONN +*I *2474:mprj_io_dm[17] I *D chip_io_alt +*I *2458:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[17] 0.000723113 +2 *2458:pad_gpio_dm[2] 0.000297858 +3 *1866:14 0.00131858 +4 *1866:13 0.000893328 +5 *2474:mprj_io_dm[17] *2474:mprj_io_holdover[5] 0 +6 *2474:mprj_io_dm[17] *2150:14 0.00180431 +7 *2474:mprj_io_dm[17] *2177:14 2.6506e-05 +8 *1866:13 *2458:pad_gpio_in 4.62112e-05 +9 *1866:13 *1961:13 0.000575575 +10 *1866:13 *2150:8 0 +11 *1866:14 *2069:14 0 +12 *1866:14 *2177:14 0.00346392 +13 *2474:mprj_io_analog_sel[5] *2474:mprj_io_dm[17] 0.000202472 +14 *493:51 *2474:mprj_io_dm[17] 0.000290304 +15 *493:51 *1866:14 0.000530008 +16 *1826:19 *1866:14 0.00236865 +17 *1826:23 *2474:mprj_io_dm[17] 0.000912777 +18 *1826:23 *1866:14 8.35615e-06 +19 *1864:14 *1866:14 0.000250987 +20 *1865:13 *1866:13 0.000791854 +*RES +1 *2458:pad_gpio_dm[2] *1866:13 23.1889 +2 *1866:13 *1866:14 55.6072 +3 *1866:14 *2474:mprj_io_dm[17] 48.6944 +*END + +*D_NET *1867 0.0195308 +*CONN +*I *2474:mprj_io_dm[18] I *D chip_io_alt +*I *2459:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[18] 0.000553395 +2 *2459:pad_gpio_dm[0] 0.000602405 +3 *1867:14 0.00146534 +4 *1867:13 0.00151435 +5 *1867:13 *1868:13 5.19832e-05 +6 *1867:13 *1870:13 0.000685728 +7 *1867:13 *1962:15 0.000472707 +8 *1867:13 *1989:13 7.77309e-06 +9 *1867:13 *2097:8 0.000145299 +10 *1867:14 *1868:14 0.0066893 +11 *1867:14 *2070:28 0.00227313 +12 *1867:14 *2151:16 0.00013615 +13 *2474:mprj_io_analog_en[6] *2474:mprj_io_dm[18] 0 +14 *478:32 *1867:14 0.000942381 +15 *507:18 *2474:mprj_io_dm[18] 0.000145018 +16 *1800:14 *1867:14 9.4939e-05 +17 *1827:14 *1867:14 0.00358188 +18 *1827:20 *1867:14 0.000126618 +19 *1854:13 *1867:13 4.2387e-05 +*RES +1 *2459:pad_gpio_dm[0] *1867:13 29.2267 +2 *1867:13 *1867:14 106.891 +3 *1867:14 *2474:mprj_io_dm[18] 22.6302 +*END + +*D_NET *1868 0.0169035 +*CONN +*I *2474:mprj_io_dm[19] I *D chip_io_alt +*I *2459:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[19] 0.000584591 +2 *2459:pad_gpio_dm[1] 0.000787155 +3 *1868:14 0.00323511 +4 *1868:13 0.00343767 +5 *1868:13 *1870:13 0.000162049 +6 *1868:13 *2097:8 0.000124349 +7 *1868:14 *2070:25 0.000498418 +8 *1868:14 *2151:16 5.92227e-05 +9 *478:32 *1868:14 0.00107658 +10 *496:44 *1868:14 0 +11 *507:18 *2474:mprj_io_dm[19] 0.00019711 +12 *1567:20 *1868:14 0 +13 *1800:14 *1868:14 0 +14 *1867:13 *1868:13 5.19832e-05 +15 *1867:14 *1868:14 0.0066893 +*RES +1 *2459:pad_gpio_dm[1] *1868:13 29.366 +2 *1868:13 *1868:14 120.179 +3 *1868:14 *2474:mprj_io_dm[19] 24.0154 +*END + +*D_NET *1869 0.0188943 +*CONN +*I *2474:mprj_io_dm[1] I *D chip_io_alt +*I *2444:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[1] 0.000710446 +2 *2444:pad_gpio_dm[1] 0.000716308 +3 *1869:14 0.00182001 +4 *1869:13 0.00182587 +5 *2474:mprj_io_dm[1] *2474:mprj_io_slow_sel[0] 8.10016e-06 +6 *2474:mprj_io_dm[1] *1993:17 0 +7 *1869:13 *1880:10 4.80459e-05 +8 *1869:13 *1966:13 0.000119662 +9 *1869:13 *2074:8 0 +10 *1869:14 *2047:14 0.000415397 +11 *1869:14 *2128:14 0.00711111 +12 *2474:mprj_io_analog_en[0] *2474:mprj_io_dm[1] 0.000548997 +13 *1777:14 *1869:14 0 +14 *1858:13 *1869:13 4.80459e-05 +15 *1858:14 *1869:14 0.00552231 +*RES +1 *2444:pad_gpio_dm[1] *1869:13 28.7349 +2 *1869:13 *1869:14 113.535 +3 *1869:14 *2474:mprj_io_dm[1] 32.332 +*END + +*D_NET *1870 0.0149915 +*CONN +*I *2474:mprj_io_dm[20] I *D chip_io_alt +*I *2459:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[20] 0.000259928 +2 *2459:pad_gpio_dm[2] 0.000375949 +3 *1870:14 0.000944936 +4 *1870:13 0.00106096 +5 *2474:mprj_io_dm[20] *2474:mprj_io_holdover[6] 0 +6 *1870:13 *1962:15 6.02815e-05 +7 *1870:13 *1989:13 0.000416687 +8 *1870:13 *2097:8 8.85241e-05 +9 *2459:resetn *1870:14 0.000804732 +10 *110:98 *1870:14 0.00497089 +11 *495:64 *1870:14 0.00496369 +12 *507:18 *2474:mprj_io_dm[20] 0.00019711 +13 *1867:13 *1870:13 0.000685728 +14 *1868:13 *1870:13 0.000162049 +*RES +1 *2459:pad_gpio_dm[2] *1870:13 26.5081 +2 *1870:13 *1870:14 79.8994 +3 *1870:14 *2474:mprj_io_dm[20] 15.6963 +*END + +*D_NET *1871 0.0578335 +*CONN +*I *2474:mprj_io_dm[21] I *D chip_io_alt +*I *2460:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[21] 0.00028567 +2 *2460:pad_gpio_dm[0] 0.000572873 +3 *1871:18 0.000746016 +4 *1871:16 0.000487242 +5 *1871:14 0.00416351 +6 *1871:13 0.00470949 +7 *2474:mprj_io_dm[21] *2293:9 9.29054e-05 +8 *2474:mprj_io_dm[21] *2311:13 5.481e-05 +9 *1871:13 *2460:pad_gpio_in 3.99086e-06 +10 *1871:13 *1872:13 0.000616236 +11 *1871:13 *1873:13 0.00020489 +12 *1871:14 *1963:8 0 +13 *1871:14 *1990:8 0.00264862 +14 *1871:14 *2017:13 0.00852792 +15 *1871:14 *2071:8 0.0223522 +16 *1871:14 *2072:18 0.0045506 +17 *1871:14 *2126:16 0.000518598 +18 *1871:14 *2153:16 1.23804e-05 +19 *1871:18 *2072:18 3.7752e-05 +20 *1871:18 *2125:20 0.00312553 +21 *1871:18 *2126:16 0.000394625 +22 *1871:18 *2153:16 0.00321668 +23 *2474:mprj_io_analog_en[7] *2474:mprj_io_dm[21] 3.31733e-05 +24 *495:64 *1871:14 0.000434634 +25 *1855:13 *1871:13 4.31122e-05 +*RES +1 *2460:pad_gpio_dm[0] *1871:13 30.8601 +2 *1871:13 *1871:14 362.894 +3 *1871:14 *1871:16 0.732798 +4 *1871:16 *1871:18 51.8699 +5 *1871:18 *2474:mprj_io_dm[21] 17.2154 +*END + +*D_NET *1872 0.0493843 +*CONN +*I *2474:mprj_io_dm[22] I *D chip_io_alt +*I *2460:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[22] 0.000351844 +2 *2460:pad_gpio_dm[1] 0.000374806 +3 *1872:14 0.0059102 +4 *1872:13 0.00593317 +5 *2474:mprj_io_dm[22] *1963:20 5.04829e-06 +6 *2474:mprj_io_dm[22] *2293:9 0.000197125 +7 *1872:13 *2460:pad_gpio_in 0.000671753 +8 *1872:13 *1873:13 0.00123707 +9 *1872:14 *1875:14 0.00835879 +10 *1872:14 *1964:16 0.000173309 +11 *1872:14 *2017:13 0.000591336 +12 *1872:14 *2125:14 0.00680143 +13 *1872:14 *2179:14 0.00250173 +14 *110:98 *1872:14 0.000534875 +15 *1801:14 *1872:14 0.00539242 +16 *1802:14 *1872:14 0.00942161 +17 *1856:19 *1872:14 0.000311509 +18 *1871:13 *1872:13 0.000616236 +*RES +1 *2460:pad_gpio_dm[1] *1872:13 31.9092 +2 *1872:13 *1872:14 404.627 +3 *1872:14 *2474:mprj_io_dm[22] 17.3601 +*END + +*D_NET *1873 0.0529102 +*CONN +*I *2474:mprj_io_dm[23] I *D chip_io_alt +*I *2460:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[23] 0.000359766 +2 *2460:pad_gpio_dm[2] 0.000568975 +3 *1873:20 0.00245766 +4 *1873:19 0.00218422 +5 *1873:14 0.00803375 +6 *1873:13 0.00851639 +7 *2474:mprj_io_dm[23] *2474:mprj_io_holdover[7] 0.000228269 +8 *2474:mprj_io_dm[23] *2311:14 0 +9 *1873:13 *1963:7 6.23168e-05 +10 *1873:13 *1963:8 9.55228e-05 +11 *1873:14 *1876:14 1.87269e-05 +12 *1873:14 *2099:16 0.000490766 +13 *1873:19 *1963:13 0.000114639 +14 *1873:20 *1874:22 0 +15 *1873:20 *1963:16 0 +16 *1873:20 *2179:14 0.000800538 +17 *477:32 *1873:14 0.000303582 +18 *478:32 *1873:14 0.0021823 +19 *495:64 *1873:13 0 +20 *1801:14 *1873:14 0 +21 *1801:19 *1873:19 0 +22 *1801:20 *1873:14 0.00021551 +23 *1801:20 *1873:20 0 +24 *1802:14 *1873:14 0 +25 *1802:14 *1873:20 0.00239578 +26 *1828:14 *1873:14 0.0224395 +27 *1871:13 *1873:13 0.00020489 +28 *1872:13 *1873:13 0.00123707 +*RES +1 *2460:pad_gpio_dm[2] *1873:13 32.9612 +2 *1873:13 *1873:14 363.517 +3 *1873:14 *1873:19 11.9418 +4 *1873:19 *1873:20 80.3147 +5 *1873:20 *2474:mprj_io_dm[23] 18.1934 +*END + +*D_NET *1874 0.0569596 +*CONN +*I *2474:mprj_io_dm[24] I *D chip_io_alt +*I *2449:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[24] 0.000211934 +2 *2449:pad_gpio_dm[0] 0.000107152 +3 *1874:34 0.000921109 +4 *1874:33 0.000831019 +5 *1874:28 0.00184598 +6 *1874:27 0.00211055 +7 *1874:22 0.00227121 +8 *1874:21 0.00242625 +9 *1874:15 0.00170411 +10 *1874:10 0.00394193 +11 *1874:9 0.00288643 +12 *2474:mprj_io_dm[24] *2302:15 0.000197125 +13 *2474:mprj_io_dm[24] *2320:15 0.000111722 +14 *1874:15 *2018:33 4.66705e-05 +15 *1874:21 *1963:20 5.04829e-06 +16 *1874:21 *1991:16 0.000169255 +17 *1874:21 *2018:27 0.00162199 +18 *1874:22 *1876:14 0.00195728 +19 *1874:22 *2099:16 0.000706122 +20 *1874:28 *1965:8 0.000742919 +21 *1874:28 *2018:13 0.00778558 +22 *1874:28 *2019:11 0.00104861 +23 *1874:28 *2044:7 0.0016133 +24 *1874:28 *2126:16 0.000475757 +25 *1874:28 *2153:20 0.00175222 +26 *1874:28 *2153:24 0.00363083 +27 *1874:33 *2072:23 5.04829e-06 +28 *1874:34 *1878:14 0.00177041 +29 *1874:34 *2154:14 0.00365062 +30 *1874:34 *2180:14 0.000559692 +31 *2474:mprj_io_analog_en[8] *2474:mprj_io_dm[24] 0 +32 *510:14 *1874:21 0.000593099 +33 *1570:20 *1874:21 0 +34 *1801:20 *1874:22 0.000628648 +35 *1802:14 *1874:22 0 +36 *1828:23 *1874:21 0.00052298 +37 *1829:13 *1874:10 0.000953202 +38 *1856:9 *1874:9 9.94897e-06 +39 *1856:10 *1874:10 0.00714383 +40 *1873:20 *1874:22 0 +*RES +1 *2449:pad_gpio_dm[0] *1874:9 3.65147 +2 *1874:9 *1874:10 121.632 +3 *1874:10 *1874:15 35.7898 +4 *1874:15 *1874:21 41.0439 +5 *1874:21 *1874:22 74.9164 +6 *1874:22 *1874:27 18.0424 +7 *1874:27 *1874:28 152.569 +8 *1874:28 *1874:33 11.3872 +9 *1874:33 *1874:34 58.7215 +10 *1874:34 *2474:mprj_io_dm[24] 15.1417 +*END + +*D_NET *1875 0.0611211 +*CONN +*I *2474:mprj_io_dm[25] I *D chip_io_alt +*I *2449:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[25] 0.000528377 +2 *2449:pad_gpio_dm[1] 0.000478977 +3 *1875:20 0.00118689 +4 *1875:19 0.000921161 +5 *1875:14 0.00406498 +6 *1875:13 0.0042813 +7 *2474:mprj_io_dm[25] *2073:31 0.000341824 +8 *2474:mprj_io_dm[25] *2302:15 0.000197125 +9 *1875:13 *1876:13 0.000647616 +10 *1875:14 *1877:14 0.00407582 +11 *1875:14 *1878:14 0.0037408 +12 *1875:14 *1963:20 0.0022675 +13 *1875:14 *1964:16 0.00194598 +14 *1875:14 *2071:16 2.2599e-05 +15 *1875:14 *2071:18 0.00377433 +16 *1875:14 *2072:18 0.00235155 +17 *1875:14 *2125:14 0.00577452 +18 *1875:14 *2179:14 0.000117376 +19 *1875:14 *2180:14 0.000490766 +20 *1875:19 *2153:29 5.04829e-06 +21 *1875:20 *1876:14 0.00518161 +22 *1875:20 *1991:16 0.000834009 +23 *110:123 *1875:13 0.000725336 +24 *495:87 *1875:13 0.0007339 +25 *511:14 *1875:20 1.78514e-05 +26 *1802:14 *1875:14 0.00271408 +27 *1802:19 *1875:19 0.000202749 +28 *1802:20 *1875:20 0.0051382 +29 *1872:14 *1875:14 0.00835879 +*RES +1 *2449:pad_gpio_dm[1] *1875:13 33.0785 +2 *1875:13 *1875:14 328.22 +3 *1875:14 *1875:19 15.824 +4 *1875:19 *1875:20 82.8062 +5 *1875:20 *2474:mprj_io_dm[25] 23.4607 +*END + +*D_NET *1876 0.0619613 +*CONN +*I *2474:mprj_io_dm[26] I *D chip_io_alt +*I *2449:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[26] 0.000586915 +2 *2449:pad_gpio_dm[2] 0.000750718 +3 *1876:14 0.00662866 +4 *1876:13 0.00679246 +5 *2474:mprj_io_dm[26] *2019:11 0 +6 *1876:13 *1963:8 0.000274653 +7 *1876:14 *1991:16 0.00332707 +8 *1876:14 *2018:19 0.0026061 +9 *1876:14 *2073:37 0.00119658 +10 *1876:14 *2099:16 0.000518598 +11 *1876:14 *2127:14 0.0101619 +12 *477:46 *1876:13 0.000558552 +13 *478:32 *1876:14 0.00013735 +14 *510:14 *1876:14 0.00125535 +15 *511:14 *1876:14 0 +16 *1801:20 *1876:14 0.00353265 +17 *1802:14 *1876:14 0 +18 *1802:20 *1876:14 2.01595e-05 +19 *1828:14 *1876:14 0.00555205 +20 *1829:14 *1876:14 0 +21 *1855:14 *1876:14 0.00944397 +22 *1857:14 *1876:14 0.000812353 +23 *1873:14 *1876:14 1.87269e-05 +24 *1874:22 *1876:14 0.00195728 +25 *1875:13 *1876:13 0.000647616 +26 *1875:20 *1876:14 0.00518161 +*RES +1 *2449:pad_gpio_dm[2] *1876:13 34.838 +2 *1876:13 *1876:14 449.889 +3 *1876:14 *2474:mprj_io_dm[26] 22.215 +*END + +*D_NET *1877 0.0625968 +*CONN +*I *2474:mprj_io_dm[27] I *D chip_io_alt +*I *2450:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[27] 0.000554518 +2 *2450:pad_gpio_dm[0] 0.000539028 +3 *1877:22 0.000848074 +4 *1877:19 0.000526475 +5 *1877:14 0.00500725 +6 *1877:13 0.00531335 +7 *2474:mprj_io_dm[27] *2127:23 5.04829e-06 +8 *2474:mprj_io_dm[27] *2303:13 6.35532e-05 +9 *2474:mprj_io_dm[27] *2321:13 0 +10 *1877:13 *1878:13 2.72062e-05 +11 *1877:13 *2018:13 0 +12 *1877:14 *2474:mprj_io_dm[28] 0.00028061 +13 *1877:14 *1878:14 0.00190112 +14 *1877:14 *1879:25 0.00170584 +15 *1877:14 *1879:26 0.000503515 +16 *1877:14 *1882:14 0.00752764 +17 *1877:14 *2072:24 0.00419759 +18 *1877:14 *2073:43 0.00177769 +19 *1877:14 *2102:10 0.000940813 +20 *1877:14 *2153:30 0.00443346 +21 *1877:14 *2181:8 0.000851878 +22 *1877:19 *2127:19 5.04829e-06 +23 *1877:22 *1881:14 0.00232882 +24 *1877:22 *2100:8 0.000353882 +25 *2474:mprj_io_analog_pol[9] *2474:mprj_io_dm[27] 0 +26 *101:15 *1877:19 5.04829e-06 +27 *1802:14 *1877:14 0.00412641 +28 *1829:14 *1877:14 0.000717961 +29 *1830:14 *1877:14 0.0102848 +30 *1830:22 *1877:22 0.00232164 +31 *1856:19 *1877:14 0.00134382 +32 *1857:13 *1877:13 2.89493e-05 +33 *1857:14 *1877:14 0 +34 *1875:14 *1877:14 0.00407582 +*RES +1 *2450:pad_gpio_dm[0] *1877:13 23.667 +2 *1877:13 *1877:14 398.813 +3 *1877:14 *1877:19 14.1602 +4 *1877:19 *1877:22 41.6284 +5 *1877:22 *2474:mprj_io_dm[27] 16.8439 +*END + +*D_NET *1878 0.0524176 +*CONN +*I *2474:mprj_io_dm[28] I *D chip_io_alt +*I *2450:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[28] 0.000576925 +2 *2450:pad_gpio_dm[1] 0.000616562 +3 *1878:14 0.00589057 +4 *1878:13 0.00593021 +5 *2474:mprj_io_dm[28] *1882:14 1.87269e-05 +6 *2474:mprj_io_dm[28] *1940:10 0.000229279 +7 *2474:mprj_io_dm[28] *1994:20 9.43616e-05 +8 *2474:mprj_io_dm[28] *2073:52 0.00163939 +9 *2474:mprj_io_dm[28] *2129:14 5.43416e-05 +10 *2474:mprj_io_dm[28] *2303:13 0.000210531 +11 *1878:13 *1879:7 2.89493e-05 +12 *1878:13 *1879:8 0 +13 *1878:13 *2018:13 0 +14 *1878:14 *1879:25 0.00170225 +15 *1878:14 *1940:10 0.000892259 +16 *1878:14 *1964:16 0.00129469 +17 *1878:14 *2072:18 0.00283158 +18 *1878:14 *2129:14 0.00251945 +19 *1878:14 *2153:30 0.00442628 +20 *1878:14 *2154:14 0.00310705 +21 *1878:14 *2180:14 0.000323246 +22 *1878:14 *2181:8 0.000550239 +23 *101:16 *2474:mprj_io_dm[28] 0.00148821 +24 *1830:14 *1878:14 0.0102724 +25 *1874:34 *1878:14 0.00177041 +26 *1875:14 *1878:14 0.0037408 +27 *1877:13 *1878:13 2.72062e-05 +28 *1877:14 *2474:mprj_io_dm[28] 0.00028061 +29 *1877:14 *1878:14 0.00190112 +*RES +1 *2450:pad_gpio_dm[1] *1878:13 25.4646 +2 *1878:13 *1878:14 389.055 +3 *1878:14 *2474:mprj_io_dm[28] 46.7831 +*END + +*D_NET *1879 0.0499538 +*CONN +*I *2474:mprj_io_dm[29] I *D chip_io_alt +*I *2450:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[29] 0.000106013 +2 *2450:pad_gpio_dm[2] 0.000158109 +3 *1879:44 0.00061762 +4 *1879:42 0.000849119 +5 *1879:32 0.00410073 +6 *1879:31 0.00411836 +7 *1879:26 0.0018325 +8 *1879:25 0.00182431 +9 *1879:19 0.00072634 +10 *1879:8 0.00360443 +11 *1879:7 0.00338315 +12 *1879:7 *1965:7 9.71042e-05 +13 *1879:8 *2450:pad_gpio_in 0 +14 *1879:8 *2450:user_gpio_oeb 0 +15 *1879:8 *2450:user_gpio_out 0 +16 *1879:8 *1965:8 0.000628619 +17 *1879:8 *2018:11 2.1558e-06 +18 *1879:8 *2018:13 0.00362062 +19 *1879:8 *2127:13 0 +20 *1879:19 *2474:mprj_io_slow_sel[8] 0.000110949 +21 *1879:19 *1965:8 0.000118828 +22 *1879:19 *2018:11 0.000112116 +23 *1879:19 *2019:11 0.000861563 +24 *1879:19 *2073:21 9.09602e-06 +25 *1879:19 *2073:31 0.000731333 +26 *1879:25 *2474:mprj_io_inp_dis[8] 3.24705e-06 +27 *1879:25 *1964:16 0.000277757 +28 *1879:25 *2073:37 0 +29 *1879:26 *2072:24 6.52332e-05 +30 *1879:26 *2073:43 0.000862855 +31 *1879:26 *2181:8 0.000421174 +32 *1879:32 *1881:13 0 +33 *1879:32 *1882:13 0 +34 *1879:32 *1883:13 0 +35 *1879:32 *1965:8 0.00104233 +36 *1879:32 *1994:50 0.000252395 +37 *1879:32 *2045:7 0.00147263 +38 *1879:32 *2048:13 0 +39 *1879:32 *2073:44 0 +40 *1879:42 *1994:44 7.52188e-05 +41 *1879:42 *2019:10 3.88655e-06 +42 *1879:42 *2129:14 1.3813e-05 +43 *1879:42 *2154:14 0.000654967 +44 *1879:44 *1994:44 0.000549011 +45 *1879:44 *2129:14 0.00319977 +46 *1879:44 *2156:17 0.000315398 +47 *1879:44 *2303:13 1.41761e-05 +48 *1879:44 *2321:13 0.00193517 +49 *2450:serial_clock_out *1879:8 0 +50 *2450:serial_data_in *1879:8 0 +51 *2450:serial_load_out *1879:8 0 +52 *2451:serial_clock *1879:32 0 +53 *2474:mprj_io_analog_pol[8] *1879:25 7.77744e-05 +54 *101:10 *1879:32 0.00257857 +55 *101:33 *1879:32 0 +56 *497:49 *1879:32 0 +57 *511:13 *1879:8 0 +58 *1778:15 *1879:32 0 +59 *1803:14 *1879:32 0.00352789 +60 *1803:27 *1879:32 0 +61 *1803:27 *1879:42 0.000765261 +62 *1803:27 *1879:44 0.000291627 +63 *1857:14 *1879:26 0 +64 *1877:14 *1879:25 0.00170584 +65 *1877:14 *1879:26 0.000503515 +66 *1878:13 *1879:7 2.89493e-05 +67 *1878:13 *1879:8 0 +68 *1878:14 *1879:25 0.00170225 +*RES +1 *2450:pad_gpio_dm[2] *1879:7 3.9674 +2 *1879:7 *1879:8 121.632 +3 *1879:8 *1879:19 37.7579 +4 *1879:19 *1879:25 38.9648 +5 *1879:25 *1879:26 55.3995 +6 *1879:26 *1879:31 16.9332 +7 *1879:31 *1879:32 178.522 +8 *1879:32 *1879:42 17.5139 +9 *1879:42 *1879:44 51.6623 +10 *1879:44 *2474:mprj_io_dm[29] 2.33274 +*END + +*D_NET *1880 0.0117492 +*CONN +*I *2474:mprj_io_dm[2] I *D chip_io_alt +*I *2444:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[2] 8.7495e-05 +2 *2444:pad_gpio_dm[2] 0.000687593 +3 *1880:12 0.000828034 +4 *1880:10 0.00142813 +5 *2474:mprj_io_dm[2] *2474:mprj_io_holdover[0] 0 +6 *1880:10 *1939:7 0.000149197 +7 *1880:10 *1939:10 0.00156229 +8 *1880:10 *1966:14 0.000497776 +9 *1880:10 *2101:14 0 +10 *1880:12 *2474:mprj_io_holdover[0] 0.00264709 +11 *1880:12 *1939:10 0.000564466 +12 *1880:12 *1966:14 0.00245989 +13 *2474:mprj_io_analog_sel[0] *2474:mprj_io_dm[2] 0 +14 *1831:19 *1880:10 5.66868e-06 +15 *1831:20 *1880:12 0.000783503 +16 *1869:13 *1880:10 4.80459e-05 +*RES +1 *2444:pad_gpio_dm[2] *1880:10 30.5564 +2 *1880:10 *1880:12 65.3167 +3 *1880:12 *2474:mprj_io_dm[2] 3.9449 +*END + +*D_NET *1881 0.0622782 +*CONN +*I *2474:mprj_io_dm[30] I *D chip_io_alt +*I *2451:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[30] 0.00061695 +2 *2451:pad_gpio_dm[0] 0.000659283 +3 *1881:14 0.00586491 +4 *1881:13 0.00590724 +5 *2474:mprj_io_dm[30] *2304:19 9.43525e-05 +6 *1881:13 *1882:13 0.000395612 +7 *1881:14 *1883:14 0.0258006 +8 *1881:14 *1884:14 0.00317982 +9 *1881:14 *2048:14 0 +10 *1881:14 *2048:20 0.0033569 +11 *1881:14 *2075:8 0.00394811 +12 *1881:14 *2100:8 0.000161557 +13 *1881:14 *2127:14 0.00754048 +14 *1881:14 *2127:28 0.00202348 +15 *2474:mprj_io_analog_en[10] *2474:mprj_io_dm[30] 0 +16 *501:14 *1881:14 0 +17 *1778:15 *1881:13 0 +18 *1778:16 *1881:14 0.000362696 +19 *1830:22 *1881:14 3.74394e-05 +20 *1877:22 *1881:14 0.00232882 +21 *1879:32 *1881:13 0 +*RES +1 *2451:pad_gpio_dm[0] *1881:13 29.2131 +2 *1881:13 *1881:14 434.11 +3 *1881:14 *2474:mprj_io_dm[30] 23.4607 +*END + +*D_NET *1882 0.0509701 +*CONN +*I *2474:mprj_io_dm[31] I *D chip_io_alt +*I *2451:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[31] 0.000363669 +2 *2451:pad_gpio_dm[1] 0.000384552 +3 *1882:14 0.00724841 +4 *1882:13 0.00726929 +5 *2474:mprj_io_dm[31] *1883:19 0 +6 *2474:mprj_io_dm[31] *2304:19 9.43525e-05 +7 *1882:13 *1883:13 3.84497e-05 +8 *1882:14 *2474:mprj_io_out[10] 0.000617419 +9 *1882:14 *1884:14 0 +10 *1882:14 *1885:14 0.000294807 +11 *1882:14 *1886:8 0.00120743 +12 *1882:14 *1968:25 0.000591672 +13 *1882:14 *1994:20 1.2693e-05 +14 *1882:14 *2048:14 0.0108848 +15 *1882:14 *2049:14 0.00811381 +16 *1882:14 *2102:10 0.00170271 +17 *1882:14 *2129:14 0 +18 *1882:14 *2181:8 0.00012951 +19 *2474:mprj_io_dm[28] *1882:14 1.87269e-05 +20 *101:16 *1882:14 0.00407454 +21 *1857:14 *1882:14 0 +22 *1877:14 *1882:14 0.00752764 +23 *1879:32 *1882:13 0 +24 *1881:13 *1882:13 0.000395612 +*RES +1 *2451:pad_gpio_dm[1] *1882:13 22.5606 +2 *1882:13 *1882:14 422.067 +3 *1882:14 *2474:mprj_io_dm[31] 17.9147 +*END + +*D_NET *1883 0.0615254 +*CONN +*I *2474:mprj_io_dm[32] I *D chip_io_alt +*I *2451:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[32] 0.000632696 +2 *2451:pad_gpio_dm[2] 0.0010904 +3 *1883:19 0.00107598 +4 *1883:14 0.00511029 +5 *1883:13 0.00575741 +6 *2474:mprj_io_dm[32] *2474:mprj_io_holdover[10] 0 +7 *2474:mprj_io_dm[32] *2474:mprj_io_vtrip_sel[10] 0.000243061 +8 *2474:mprj_io_dm[32] *1940:18 0 +9 *2474:mprj_io_dm[32] *2130:20 0.00112596 +10 *2474:mprj_io_dm[32] *2304:19 0.000315402 +11 *2474:mprj_io_dm[32] *2322:13 0.00158069 +12 *1883:13 *1940:10 4.31122e-05 +13 *1883:14 *2075:8 0.00410583 +14 *2474:mprj_io_analog_sel[10] *2474:mprj_io_dm[32] 0 +15 *2474:mprj_io_dm[31] *1883:19 0 +16 *1778:16 *1883:14 0.0105541 +17 *1857:20 *1883:14 0.00405147 +18 *1879:32 *1883:13 0 +19 *1881:14 *1883:14 0.0258006 +20 *1882:13 *1883:13 3.84497e-05 +*RES +1 *2451:pad_gpio_dm[2] *1883:13 37.2422 +2 *1883:13 *1883:14 413.762 +3 *1883:14 *1883:19 18.5971 +4 *1883:19 *2474:mprj_io_dm[32] 39.5222 +*END + +*D_NET *1884 0.0459857 +*CONN +*I *2474:mprj_io_dm[33] I *D chip_io_alt +*I *2452:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[33] 0.000492565 +2 *2452:pad_gpio_dm[0] 0.000703282 +3 *1884:14 0.0120334 +4 *1884:13 0.0122441 +5 *2474:mprj_io_dm[33] *1889:18 0.000197125 +6 *1884:13 *1885:13 2.72062e-05 +7 *1884:14 *1887:14 0 +8 *1884:14 *1941:8 0.00397172 +9 *1884:14 *1968:25 0 +10 *1884:14 *2048:14 0 +11 *1884:14 *2048:20 0.00373384 +12 *1884:14 *2049:14 0 +13 *1884:14 *2104:14 0.00859136 +14 *2474:mprj_io_analog_en[11] *2474:mprj_io_dm[33] 0.000108501 +15 *2474:mprj_io_analog_pol[11] *2474:mprj_io_dm[33] 0 +16 *101:22 *1884:13 0 +17 *501:14 *1884:14 0 +18 *1833:20 *1884:13 2.89493e-05 +19 *1834:14 *1884:14 0.00067385 +20 *1881:14 *1884:14 0.00317982 +21 *1882:14 *1884:14 0 +*RES +1 *2452:pad_gpio_dm[0] *1884:13 28.1039 +2 *1884:13 *1884:14 434.11 +3 *1884:14 *2474:mprj_io_dm[33] 21.7969 +*END + +*D_NET *1885 0.0636663 +*CONN +*I *2474:mprj_io_dm[34] I *D chip_io_alt +*I *2452:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[34] 0.000647474 +2 *2452:pad_gpio_dm[1] 0.000694406 +3 *1885:20 0.00162193 +4 *1885:19 0.00124679 +5 *1885:14 0.00324957 +6 *1885:13 0.00367165 +7 *2474:mprj_io_dm[34] *1889:12 0.000197125 +8 *2474:mprj_io_dm[34] *2305:13 3.6695e-05 +9 *1885:13 *1886:7 2.89493e-05 +10 *1885:14 *2474:mprj_io_out[10] 0.00170512 +11 *1885:14 *1886:8 0.000809598 +12 *1885:14 *1995:15 0.0203174 +13 *1885:14 *2049:14 0.020006 +14 *1885:14 *2129:14 9.4939e-05 +15 *1885:14 *2158:10 0.00040864 +16 *1885:20 *1942:22 0.000826814 +17 *1885:20 *1969:13 0.000736708 +18 *101:22 *1885:13 0 +19 *502:16 *1885:20 0.000636594 +20 *502:18 *1885:20 0.000986812 +21 *1779:19 *1885:19 0.000240073 +22 *1779:20 *1885:20 0.00515915 +23 *1834:14 *1885:20 2.19276e-05 +24 *1882:14 *1885:14 0.000294807 +25 *1884:13 *1885:13 2.72062e-05 +*RES +1 *2452:pad_gpio_dm[1] *1885:13 28.0954 +2 *1885:13 *1885:14 332.373 +3 *1885:14 *1885:19 16.3786 +4 *1885:19 *1885:20 83.2214 +5 *1885:20 *2474:mprj_io_dm[34] 24.0154 +*END + +*D_NET *1886 0.0765968 +*CONN +*I *2474:mprj_io_dm[35] I *D chip_io_alt +*I *2452:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[35] 0.000460513 +2 *2452:pad_gpio_dm[2] 0.000539154 +3 *1886:8 0.00584502 +4 *1886:7 0.00592366 +5 *2474:mprj_io_dm[35] *2474:mprj_io_holdover[11] 8.36001e-05 +6 *2474:mprj_io_dm[35] *1889:18 0.000130916 +7 *1886:7 *1941:7 0.00020453 +8 *1886:8 *2474:mprj_io_out[10] 0.0131539 +9 *1886:8 *2474:mprj_io_vtrip_sel[10] 0.00276321 +10 *1886:8 *2474:mprj_io_out[11] 0.00024345 +11 *1886:8 *1888:14 0.00168596 +12 *1886:8 *1941:8 0.000415645 +13 *1886:8 *1969:17 0.00334896 +14 *1886:8 *1995:15 0.00213143 +15 *1886:8 *2048:14 0.00057784 +16 *1886:8 *2049:14 0.00348021 +17 *1886:8 *2075:8 0 +18 *1886:8 *2077:10 0.000163684 +19 *1886:8 *2103:18 0.000566432 +20 *1886:8 *2131:16 0.000387163 +21 *1886:8 *2157:18 0.000124478 +22 *1886:8 *2157:20 0.024397 +23 *1886:8 *2158:10 0.00791016 +24 *1886:8 *2158:15 1.18005e-05 +25 *2474:mprj_io_analog_sel[11] *2474:mprj_io_dm[35] 2.07556e-06 +26 *1833:20 *1886:7 0 +27 *1882:14 *1886:8 0.00120743 +28 *1885:13 *1886:7 2.89493e-05 +29 *1885:14 *1886:8 0.000809598 +*RES +1 *2452:pad_gpio_dm[2] *1886:7 1.93138 +2 *1886:7 *1886:8 63.1462 +3 *1886:8 *2474:mprj_io_dm[35] 6.76021 +*END + +*D_NET *1887 0.0410367 +*CONN +*I *2474:mprj_io_dm[36] I *D chip_io_alt +*I *2453:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[36] 0.000408852 +2 *2453:pad_gpio_dm[0] 0.000595749 +3 *1887:14 0.0115037 +4 *1887:13 0.0116906 +5 *2474:mprj_io_dm[36] *2474:mprj_io_inp_dis[12] 4.79594e-06 +6 *2474:mprj_io_dm[36] *1889:30 1.7607e-05 +7 *2474:mprj_io_dm[36] *2324:13 0.000185867 +8 *1887:13 *1888:13 4.31122e-05 +9 *1887:14 *1888:14 0.0126174 +10 *1887:14 *1969:17 0.00169149 +11 *1887:14 *2049:14 7.70877e-05 +12 *1887:14 *2104:14 0 +13 *1887:14 *2157:20 0.00194894 +14 *2474:mprj_io_analog_en[12] *2474:mprj_io_dm[36] 0 +15 *1779:14 *1887:13 0 +16 *1780:18 *1887:14 0 +17 *1807:20 *1887:14 0.00022421 +18 *1834:13 *1887:13 2.72062e-05 +19 *1884:14 *1887:14 0 +*RES +1 *2453:pad_gpio_dm[0] *1887:13 25.3308 +2 *1887:13 *1887:14 432.864 +3 *1887:14 *2474:mprj_io_dm[36] 19.6246 +*END + +*D_NET *1888 0.0533531 +*CONN +*I *2474:mprj_io_dm[37] I *D chip_io_alt +*I *2453:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[37] 0.000383231 +2 *2453:pad_gpio_dm[1] 0.000594492 +3 *1888:14 0.0056685 +4 *1888:13 0.00587976 +5 *2474:mprj_io_dm[37] *1889:30 0 +6 *1888:13 *1889:7 3.84497e-05 +7 *1888:13 *1889:8 0 +8 *1888:14 *1969:17 0.00210186 +9 *1888:14 *1995:11 1.87269e-05 +10 *1888:14 *1996:19 0.00100336 +11 *1888:14 *1996:32 0.000295225 +12 *1888:14 *2049:14 0.00723843 +13 *1888:14 *2131:16 0.00348866 +14 *1779:14 *1888:13 0 +15 *1807:20 *1888:14 0.0122959 +16 *1886:8 *1888:14 0.00168596 +17 *1887:13 *1888:13 4.31122e-05 +18 *1887:14 *1888:14 0.0126174 +*RES +1 *2453:pad_gpio_dm[1] *1888:13 25.4674 +2 *1888:13 *1888:14 418.33 +3 *1888:14 *2474:mprj_io_dm[37] 17.9147 +*END + +*D_NET *1889 0.0566894 +*CONN +*I *2474:mprj_io_dm[38] I *D chip_io_alt +*I *2453:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[38] 0.000709386 +2 *2453:pad_gpio_dm[2] 0.000144678 +3 *1889:30 0.00125736 +4 *1889:25 0.00157076 +5 *1889:18 0.00489238 +6 *1889:17 0.00395565 +7 *1889:12 0.00190044 +8 *1889:10 0.00192565 +9 *1889:8 0.000995335 +10 *1889:7 0.00102875 +11 *2474:mprj_io_dm[38] *2474:mprj_io_holdover[12] 0 +12 *2474:mprj_io_dm[38] *2474:mprj_io_inp_dis[12] 0.000138756 +13 *2474:mprj_io_dm[38] *1942:40 0.000689779 +14 *2474:mprj_io_dm[38] *2158:15 0.000142135 +15 *1889:7 *1942:11 7.68331e-05 +16 *1889:8 *2453:user_gpio_oeb 2.04806e-05 +17 *1889:8 *2453:user_gpio_out 0.000530922 +18 *1889:8 *1942:11 0.00213893 +19 *1889:8 *1942:14 0 +20 *1889:8 *2131:10 0 +21 *1889:12 *2474:mprj_io_out[11] 0.000474719 +22 *1889:12 *2474:mprj_io_slow_sel[11] 0.000197125 +23 *1889:12 *1942:14 0.00342862 +24 *1889:12 *1995:10 0.000195687 +25 *1889:12 *1996:35 0.00201497 +26 *1889:12 *2050:18 0 +27 *1889:12 *2131:10 0 +28 *1889:12 *2305:13 0.000171064 +29 *1889:12 *2323:11 6.51149e-06 +30 *1889:18 *2474:mprj_io_holdover[11] 0.000209054 +31 *1889:18 *2474:mprj_io_ib_mode_sel[11] 7.88241e-05 +32 *1889:18 *2474:mprj_io_inp_dis[11] 9.29408e-05 +33 *1889:18 *2474:mprj_io_oeb[11] 0.000156962 +34 *1889:18 *2474:mprj_io_out[11] 0.000156962 +35 *1889:18 *2474:mprj_io_vtrip_sel[11] 6.11904e-05 +36 *1889:18 *1942:34 0 +37 *1889:18 *2022:7 0.00155693 +38 *1889:18 *2050:18 0 +39 *1889:18 *2077:15 0.00126517 +40 *1889:18 *2131:22 0.0114219 +41 *1889:18 *2323:11 0.0012032 +42 *1889:25 *1942:34 0.00232445 +43 *1889:25 *1942:39 0.00036939 +44 *1889:25 *1996:14 0 +45 *1889:25 *2131:22 0 +46 *1889:30 *2050:18 0.00240759 +47 *1889:30 *2077:15 0.000129653 +48 *1889:30 *2131:22 0.000388578 +49 *1889:30 *2306:9 0.000436901 +50 *1889:30 *2324:13 0.000426723 +51 *2474:mprj_io_analog_en[11] *1889:18 5.5787e-05 +52 *2474:mprj_io_analog_pol[11] *1889:18 0.000210531 +53 *2474:mprj_io_analog_sel[11] *1889:18 0.000130916 +54 *2474:mprj_io_dm[33] *1889:18 0.000197125 +55 *2474:mprj_io_dm[34] *1889:12 0.000197125 +56 *2474:mprj_io_dm[35] *1889:18 0.000130916 +57 *2474:mprj_io_analog_sel[12] *2474:mprj_io_dm[38] 0.000317293 +58 *2474:mprj_io_dm[36] *1889:30 1.7607e-05 +59 *2474:mprj_io_dm[37] *1889:30 0 +60 *1779:14 *1889:8 0.00377537 +61 *1833:20 *1889:8 0.000324993 +62 *1888:13 *1889:7 3.84497e-05 +63 *1888:13 *1889:8 0 +*RES +1 *2453:pad_gpio_dm[2] *1889:7 3.89091 +2 *1889:7 *1889:8 62.4588 +3 *1889:8 *1889:10 2.41823 +4 *1889:10 *1889:12 88.8273 +5 *1889:12 *1889:17 11.2184 +6 *1889:17 *1889:18 204.487 +7 *1889:18 *1889:25 48.5007 +8 *1889:25 *1889:30 47.7894 +9 *1889:30 *2474:mprj_io_dm[38] 36.7387 +*END + +*D_NET *1890 0.0143033 +*CONN +*I *2474:mprj_io_dm[39] I *D chip_io_alt +*I *2454:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[39] 0.000704172 +2 *2454:pad_gpio_dm[0] 0.000764431 +3 *1890:14 0.0030276 +4 *1890:13 0.00308786 +5 *2474:mprj_io_dm[39] *2474:mprj_io_inp_dis[13] 5.04829e-06 +6 *2474:mprj_io_dm[39] *1997:13 0.000371778 +7 *2474:mprj_io_dm[39] *2325:9 0.00021358 +8 *2474:mprj_io_dm[39] *2325:10 0.000213674 +9 *1890:13 *1892:13 3.84497e-05 +10 *1890:14 *2132:14 0 +11 *2474:mprj_io_analog_en[13] *2474:mprj_io_dm[39] 0 +12 *2474:mprj_io_analog_pol[13] *2474:mprj_io_dm[39] 0 +13 *502:22 *1890:14 0 +14 *1781:14 *1890:14 0.00475978 +15 *1781:22 *2474:mprj_io_dm[39] 0.00111694 +16 *1808:13 *1890:13 0 +*RES +1 *2454:pad_gpio_dm[0] *1890:13 30.5954 +2 *1890:13 *1890:14 94.8485 +3 *1890:14 *2474:mprj_io_dm[39] 49.3551 +*END + +*D_NET *1891 0.0180475 +*CONN +*I *2474:mprj_io_dm[3] I *D chip_io_alt +*I *2445:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[3] 0.000536944 +2 *2445:pad_gpio_dm[0] 0.000783126 +3 *1891:14 0.00137379 +4 *1891:13 0.00161997 +5 *1891:13 *1902:13 4.80459e-05 +6 *1891:13 *1913:13 0.000119662 +7 *1891:14 *1902:19 0.00166541 +8 *1891:14 *2139:14 0.00650697 +9 *2474:mprj_io_analog_en[1] *2474:mprj_io_dm[3] 0 +10 *2474:mprj_io_analog_pol[1] *2474:mprj_io_dm[3] 0 +11 *467:55 *2474:mprj_io_dm[3] 0.000215112 +12 *485:53 *1891:13 0.000130139 +13 *1788:13 *1891:13 0 +14 *1788:16 *1891:14 1.2366e-05 +15 *1788:18 *1891:14 0.00480754 +16 *1842:13 *1891:13 0.000228387 +*RES +1 *2445:pad_gpio_dm[0] *1891:13 31.2265 +2 *1891:13 *1891:14 103.984 +3 *1891:14 *2474:mprj_io_dm[3] 23.2859 +*END + +*D_NET *1892 0.017962 +*CONN +*I *2474:mprj_io_dm[40] I *D chip_io_alt +*I *2454:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[40] 0.000293275 +2 *2454:pad_gpio_dm[1] 0.000369494 +3 *1892:14 0.00181121 +4 *1892:13 0.00188743 +5 *2474:mprj_io_dm[40] *1997:13 0.000215112 +6 *2474:mprj_io_dm[40] *2325:9 0 +7 *1892:13 *2454:pad_gpio_in 0.000610609 +8 *1892:14 *1893:17 0.00248509 +9 *1892:14 *1997:13 0 +10 *1892:14 *2051:14 0.00159002 +11 *1892:14 *2132:14 0.00066915 +12 *1781:22 *1892:14 0.00186719 +13 *1808:14 *1892:14 0.00612497 +14 *1890:13 *1892:13 3.84497e-05 +*RES +1 *2454:pad_gpio_dm[1] *1892:13 22.3584 +2 *1892:13 *1892:14 129.73 +3 *1892:14 *2474:mprj_io_dm[40] 17.7542 +*END + +*D_NET *1893 0.0165826 +*CONN +*I *2474:mprj_io_dm[41] I *D chip_io_alt +*I *2454:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[41] 0.000143856 +2 *2454:pad_gpio_dm[2] 2.68958e-05 +3 *1893:17 0.000609216 +4 *1893:13 0.00122488 +5 *1893:8 0.00163481 +6 *1893:7 0.000902185 +7 *2474:mprj_io_dm[41] *2474:mprj_io_holdover[13] 8.10016e-06 +8 *2474:mprj_io_dm[41] *1997:19 0.000228518 +9 *1893:8 *1943:8 0.000510588 +10 *1893:8 *2078:5 0.00240355 +11 *1893:8 *2159:8 0.000837412 +12 *1893:13 *1943:13 0.00237598 +13 *1893:17 *2051:14 0.00247791 +14 *2474:mprj_io_analog_sel[13] *2474:mprj_io_dm[41] 0 +15 *1537:32 *1893:8 0.000692673 +16 *1781:22 *1893:17 5.04829e-06 +17 *1835:13 *1893:13 1.58551e-05 +18 *1835:18 *2474:mprj_io_dm[41] 0 +19 *1892:14 *1893:17 0.00248509 +*RES +1 *2454:pad_gpio_dm[2] *1893:7 3.43197 +2 *1893:7 *1893:8 56.0224 +3 *1893:8 *1893:13 38.0082 +4 *1893:13 *1893:17 47.0618 +5 *1893:17 *2474:mprj_io_dm[41] 9.97156 +*END + +*D_NET *1894 0.0120645 +*CONN +*I *2474:mprj_io_dm[42] I *D chip_io_alt +*I *2461:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[42] 0.000367804 +2 *2461:pad_gpio_dm[0] 0.00102948 +3 *1894:14 0.00107795 +4 *1894:13 0.000710147 +5 *1894:11 0.00102948 +6 *2474:mprj_io_dm[42] *2474:mprj_io_inp_dis[14] 0.000145018 +7 *2474:mprj_io_dm[42] *2106:17 0 +8 *1894:11 *1895:7 8.42027e-05 +9 *1894:11 *1998:7 0.000612939 +10 *1894:14 *2079:8 0.00185926 +11 *2474:mprj_io_analog_en[14] *1894:11 0.000113603 +12 *2474:mprj_io_analog_pol[14] *2474:mprj_io_dm[42] 0 +13 *485:14 *1894:11 7.56549e-05 +14 *1836:13 *1894:11 0.0011317 +15 *1836:14 *1894:14 0.00382722 +*RES +1 *2461:pad_gpio_dm[0] *1894:11 46.1092 +2 *1894:11 *1894:13 4.5 +3 *1894:13 *1894:14 61.2131 +4 *1894:14 *2474:mprj_io_dm[42] 18.1934 +*END + +*D_NET *1895 0.00973378 +*CONN +*I *2474:mprj_io_dm[43] I *D chip_io_alt +*I *2461:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[43] 0.000365821 +2 *2461:pad_gpio_dm[1] 0.000192222 +3 *1895:8 0.00188911 +4 *1895:7 0.00171551 +5 *2474:mprj_io_dm[43] *2106:17 0 +6 *2474:mprj_io_dm[43] *2326:13 0 +7 *1895:7 *1896:7 0.000185342 +8 *1895:8 *1998:7 0 +9 *1895:8 *2106:8 1.2366e-05 +10 *1895:8 *2106:17 0.000905728 +11 *1895:8 *2133:8 0.00389187 +12 *1895:8 *2308:9 0.00045549 +13 *1550:40 *1895:8 3.61259e-05 +14 *1894:11 *1895:7 8.42027e-05 +*RES +1 *2461:pad_gpio_dm[1] *1895:7 4.34986 +2 *1895:7 *1895:8 77.6155 +3 *1895:8 *2474:mprj_io_dm[43] 18.8873 +*END + +*D_NET *1896 0.0156519 +*CONN +*I *2474:mprj_io_dm[44] I *D chip_io_alt +*I *2461:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[44] 0.000562168 +2 *2461:pad_gpio_dm[2] 0.000480827 +3 *1896:8 0.00318952 +4 *1896:7 0.00310818 +5 *2474:mprj_io_dm[44] *2474:mprj_io_oeb[14] 0.00019711 +6 *1896:7 *1944:7 0.000344082 +7 *1896:8 *1944:8 0.00758469 +8 *2474:mprj_io_analog_sel[14] *2474:mprj_io_dm[44] 0 +9 *1895:7 *1896:7 0.000185342 +*RES +1 *2461:pad_gpio_dm[2] *1896:7 5.42072 +2 *1896:7 *1896:8 121.217 +3 *1896:8 *2474:mprj_io_dm[44] 22.9061 +*END + +*D_NET *1897 0.0128414 +*CONN +*I *2474:mprj_io_dm[45] I *D chip_io_alt +*I *2462:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[45] 0.000769894 +2 *2462:pad_gpio_dm[0] 0.000317437 +3 *1897:8 0.00155803 +4 *1897:7 0.00110557 +5 *2474:mprj_io_dm[45] *2474:mprj_io_dm[46] 0.000340658 +6 *2474:mprj_io_dm[45] *2474:mprj_io_inp_dis[15] 0.00034475 +7 *2474:mprj_io_dm[45] *2053:14 9.71323e-06 +8 *2474:mprj_io_dm[45] *2107:14 0 +9 *2474:mprj_io_dm[45] *2309:13 0.000353381 +10 *1897:7 *1898:7 0.000265567 +11 *1897:8 *1898:8 0.00444243 +12 *1897:8 *2053:14 0.00193231 +13 *2474:mprj_io_analog_pol[15] *2474:mprj_io_dm[45] 0 +14 *471:16 *1897:8 0.00124681 +15 *489:14 *2474:mprj_io_dm[45] 0 +16 *1783:18 *2474:mprj_io_dm[45] 0 +17 *1783:18 *1897:8 0 +18 *1837:7 *1897:7 0.000154819 +*RES +1 *2462:pad_gpio_dm[0] *1897:7 4.88529 +2 *1897:7 *1897:8 72.4249 +3 *1897:8 *2474:mprj_io_dm[45] 36.6133 +*END + +*D_NET *1898 0.0131662 +*CONN +*I *2474:mprj_io_dm[46] I *D chip_io_alt +*I *2462:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[46] 0.000468645 +2 *2462:pad_gpio_dm[1] 0.000241309 +3 *1898:8 0.00113123 +4 *1898:7 0.000903894 +5 *2474:mprj_io_dm[46] *2053:14 0.000112805 +6 *2474:mprj_io_dm[46] *2309:10 8.17577e-05 +7 *2474:mprj_io_dm[46] *2309:13 0.000107729 +8 *2474:mprj_io_dm[46] *2327:11 0.00019711 +9 *1898:7 *1899:7 0.000265567 +10 *1898:8 *2107:8 0.00456132 +11 *2474:mprj_io_dm[45] *2474:mprj_io_dm[46] 0.000340658 +12 *471:16 *1898:8 4.61488e-05 +13 *1783:18 *1898:8 0 +14 *1897:7 *1898:7 0.000265567 +15 *1897:8 *1898:8 0.00444243 +*RES +1 *2462:pad_gpio_dm[1] *1898:7 4.8088 +2 *1898:7 *1898:8 73.8783 +3 *1898:8 *2474:mprj_io_dm[46] 34.8471 +*END + +*D_NET *1899 0.0193394 +*CONN +*I *2474:mprj_io_dm[47] I *D chip_io_alt +*I *2462:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[47] 0.00083048 +2 *2462:pad_gpio_dm[2] 0.000398552 +3 *1899:8 0.00171084 +4 *1899:7 0.00127891 +5 *2474:mprj_io_dm[47] *2474:mprj_io_holdover[15] 0 +6 *2474:mprj_io_dm[47] *2107:14 0.00114845 +7 *1899:7 *1945:7 0.0003554 +8 *1899:8 *1945:8 0.003426 +9 *1899:8 *2080:14 0.00313478 +10 *2474:mprj_io_analog_sel[15] *2474:mprj_io_dm[47] 0.000171064 +11 *489:8 *1899:8 1.87269e-05 +12 *517:20 *1899:8 0.00660067 +13 *1898:7 *1899:7 0.000265567 +*RES +1 *2462:pad_gpio_dm[2] *1899:7 5.34423 +2 *1899:7 *1899:8 105.853 +3 *1899:8 *2474:mprj_io_dm[47] 37.6925 +*END + +*D_NET *1900 0.00866916 +*CONN +*I *2474:mprj_io_dm[48] I *D chip_io_alt +*I *2463:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[48] 0.000397619 +2 *2463:pad_gpio_dm[0] 0.000201778 +3 *1900:8 0.00292714 +4 *1900:7 0.0027313 +5 *2474:mprj_io_dm[48] *2474:mprj_io_dm[49] 6.06421e-05 +6 *2474:mprj_io_dm[48] *1901:8 0.000180024 +7 *2474:mprj_io_dm[48] *2328:19 0.000188784 +8 *1900:7 *1901:7 0.000124321 +9 *1900:7 *1946:7 0 +10 *1900:8 *1901:8 0 +11 *1900:8 *2162:8 0 +12 *1900:8 *2310:13 0.000510264 +13 *2474:mprj_io_analog_en[16] *2474:mprj_io_dm[48] 8.1645e-05 +14 *2474:mprj_io_analog_pol[16] *2474:mprj_io_dm[48] 0.00105183 +15 *2474:mprj_io_analog_pol[16] *1900:8 1.38204e-05 +16 *1811:8 *1900:8 0 +17 *1838:7 *1900:7 0.00019495 +18 *1838:8 *1900:8 0 +19 *1838:14 *2474:mprj_io_dm[48] 5.04829e-06 +*RES +1 *2463:pad_gpio_dm[0] *1900:7 4.42635 +2 *1900:7 *1900:8 76.3698 +3 *1900:8 *2474:mprj_io_dm[48] 29.585 +*END + +*D_NET *1901 0.00938881 +*CONN +*I *2474:mprj_io_dm[49] I *D chip_io_alt +*I *2463:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[49] 0.000123716 +2 *2463:pad_gpio_dm[1] 0.000153714 +3 *1901:8 0.00197301 +4 *1901:7 0.00200301 +5 *2474:mprj_io_dm[49] *2328:19 0 +6 *1901:7 *1903:12 1.9775e-05 +7 *1901:7 *1946:7 0 +8 *1901:8 *2474:mprj_io_slow_sel[16] 0.00019711 +9 *1901:8 *2000:10 0 +10 *1901:8 *2000:11 0 +11 *1901:8 *2162:8 0 +12 *1901:8 *2310:13 0.00013391 +13 *2474:mprj_io_analog_pol[16] *1901:8 1.3813e-05 +14 *2474:mprj_io_dm[48] *2474:mprj_io_dm[49] 6.06421e-05 +15 *2474:mprj_io_dm[48] *1901:8 0.000180024 +16 *1811:8 *1901:8 0.00440576 +17 *1900:7 *1901:7 0.000124321 +18 *1900:8 *1901:8 0 +*RES +1 *2463:pad_gpio_dm[1] *1901:7 4.04389 +2 *1901:7 *1901:8 81.5604 +3 *1901:8 *2474:mprj_io_dm[49] 4.28687 +*END + +*D_NET *1902 0.0198726 +*CONN +*I *2474:mprj_io_dm[4] I *D chip_io_alt +*I *2445:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[4] 0.000550231 +2 *2445:pad_gpio_dm[1] 0.000714837 +3 *1902:20 0.00125105 +4 *1902:19 0.00121422 +5 *1902:13 0.00122824 +6 *1902:13 *1913:13 0.000158111 +7 *1902:19 *2058:14 0 +8 *1902:19 *2139:14 0.000152316 +9 *1902:20 *2139:14 0.00554626 +10 *100:95 *2474:mprj_io_dm[4] 0.000111708 +11 *100:96 *2474:mprj_io_dm[4] 0.000211282 +12 *485:53 *1902:13 5.88867e-05 +13 *499:16 *1902:20 0.00555344 +14 *1788:16 *1902:19 0.00140854 +15 *1891:13 *1902:13 4.80459e-05 +16 *1891:14 *1902:19 0.00166541 +*RES +1 *2445:pad_gpio_dm[1] *1902:13 28.1803 +2 *1902:13 *1902:19 42.5628 +3 *1902:19 *1902:20 88.6197 +4 *1902:20 *2474:mprj_io_dm[4] 24.2413 +*END + +*D_NET *1903 0.0168017 +*CONN +*I *2474:mprj_io_dm[50] I *D chip_io_alt +*I *2463:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[50] 0.000733303 +2 *2463:pad_gpio_dm[2] 0.00111028 +3 *1903:16 0.00139426 +4 *1903:15 0.00107721 +5 *1903:12 0.00152654 +6 *2474:mprj_io_dm[50] *2474:mprj_io_holdover[16] 0.00106051 +7 *2474:mprj_io_dm[50] *1946:17 8.99726e-05 +8 *2474:mprj_io_dm[50] *2054:20 0 +9 *1903:12 *1946:7 2.44479e-06 +10 *1903:12 *2000:11 0 +11 *1903:16 *1946:8 0.00287504 +12 *1903:16 *1946:17 9.71323e-06 +13 *1903:16 *2054:20 0.0011864 +14 *1903:16 *2108:16 9.66387e-05 +15 *2463:mgmt_gpio_out *1903:12 0.000468828 +16 *2474:mprj_io_analog_sel[16] *2474:mprj_io_dm[50] 6.8952e-05 +17 *518:8 *1903:16 0.00043935 +18 *1552:30 *1903:15 0.000473242 +19 *1552:33 *1903:12 0.000779081 +20 *1784:8 *1903:16 0.00339015 +21 *1838:14 *2474:mprj_io_dm[50] 0 +22 *1901:7 *1903:12 1.9775e-05 +*RES +1 *2463:pad_gpio_dm[2] *1903:12 43.8831 +2 *1903:12 *1903:15 17.4247 +3 *1903:15 *1903:16 69.1029 +4 *1903:16 *2474:mprj_io_dm[50] 36.2303 +*END + +*D_NET *1904 0.0135467 +*CONN +*I *2474:mprj_io_dm[51] I *D chip_io_alt +*I *2464:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[51] 0.000460996 +2 *2464:pad_gpio_dm[0] 0.000247203 +3 *1904:16 0.000826694 +4 *1904:13 0.000497298 +5 *1904:8 0.000906869 +6 *1904:7 0.00102247 +7 *2474:mprj_io_dm[51] *2312:9 0.000132378 +8 *1904:7 *1905:7 0.00013222 +9 *1904:8 *1974:8 0.00293058 +10 *1904:8 *2136:8 0.000185169 +11 *1904:8 *2294:13 3.04407e-05 +12 *1904:13 *2294:14 0.00060197 +13 *1904:16 *1905:8 0.00153009 +14 *1904:16 *2163:14 0.00230639 +15 *2474:mprj_io_analog_en[17] *2474:mprj_io_dm[51] 0 +16 *2474:mprj_io_analog_pol[17] *2474:mprj_io_dm[51] 0 +17 *473:8 *1904:8 0.00111559 +18 *491:25 *1904:13 0.000244445 +19 *491:28 *1904:16 0.000375885 +20 *1812:13 *1904:7 0 +*RES +1 *2464:pad_gpio_dm[0] *1904:7 4.19688 +2 *1904:7 *1904:8 53.9461 +3 *1904:8 *1904:13 15.824 +4 *1904:13 *1904:16 41.6284 +5 *1904:16 *2474:mprj_io_dm[51] 15.1557 +*END + +*D_NET *1905 0.0127988 +*CONN +*I *2474:mprj_io_dm[52] I *D chip_io_alt +*I *2464:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[52] 0.000508283 +2 *2464:pad_gpio_dm[1] 0.000526017 +3 *1905:8 0.00137849 +4 *1905:7 0.00139622 +5 *2474:mprj_io_dm[52] *1974:22 0.000208984 +6 *1905:7 *1906:7 8.81646e-05 +7 *1905:7 *1947:7 0 +8 *1905:8 *1947:8 0.00353321 +9 *1905:8 *2109:8 0.00135784 +10 *1905:8 *2163:14 1.3807e-05 +11 *106:14 *1905:8 1.5254e-05 +12 *491:28 *1905:8 0.00146064 +13 *519:21 *1905:8 0.000649613 +14 *1904:7 *1905:7 0.00013222 +15 *1904:16 *1905:8 0.00153009 +*RES +1 *2464:pad_gpio_dm[1] *1905:7 5.19125 +2 *1905:7 *1905:8 80.9375 +3 *1905:8 *2474:mprj_io_dm[52] 21.4316 +*END + +*D_NET *1906 0.0156438 +*CONN +*I *2474:mprj_io_dm[53] I *D chip_io_alt +*I *2464:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[53] 0.000366408 +2 *2464:pad_gpio_dm[2] 0.000109575 +3 *1906:22 0.00280216 +4 *1906:8 0.0033442 +5 *1906:7 0.00101802 +6 *2474:mprj_io_dm[53] *2312:9 0.00019711 +7 *1906:7 *1947:7 8.81646e-05 +8 *1906:8 *2001:13 0.000227605 +9 *1906:8 *2055:8 0.00141539 +10 *1906:8 *2136:8 0.0009813 +11 *1906:22 *1974:17 0.000146784 +12 *1906:22 *2055:14 0.000425511 +13 *1906:22 *2136:8 0.000141177 +14 *1906:22 *2163:23 6.68049e-05 +15 *2474:mprj_io_analog_pol[17] *1906:22 0.000479286 +16 *2474:mprj_io_analog_sel[17] *2474:mprj_io_dm[53] 0 +17 *473:8 *1906:22 0.000322335 +18 *491:25 *1906:8 0.0015941 +19 *1785:20 *1906:22 0.000282607 +20 *1839:36 *1906:22 0.00154711 +21 *1905:7 *1906:7 8.81646e-05 +*RES +1 *2464:pad_gpio_dm[2] *1906:7 3.89091 +2 *1906:7 *1906:8 59.1368 +3 *1906:8 *1906:22 46.0224 +4 *1906:22 *2474:mprj_io_dm[53] 14.5239 +*END + +*D_NET *1907 0.0150087 +*CONN +*I *2474:mprj_io_dm[54] I *D chip_io_alt +*I *2465:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[54] 0.000499419 +2 *2465:pad_gpio_dm[0] 0.000379381 +3 *1907:10 0.00130621 +4 *1907:9 0.00118617 +5 *2474:mprj_io_dm[54] *2295:13 0 +6 *1907:9 *1908:9 0.000274478 +7 *1907:10 *2474:mprj_io_inp_dis[18] 0.000292752 +8 *1907:10 *1908:10 0.000154814 +9 *1907:10 *1909:16 0.0019181 +10 *2474:mprj_io_analog_en[18] *2474:mprj_io_dm[54] 0 +11 *474:14 *1907:10 0.00547833 +12 *1813:10 *1907:10 0.00321974 +13 *1840:13 *1907:9 0.000299342 +*RES +1 *2465:pad_gpio_dm[0] *1907:9 5.25776 +2 *1907:9 *1907:10 88.4121 +3 *1907:10 *2474:mprj_io_dm[54] 20.7338 +*END + +*D_NET *1908 0.0135299 +*CONN +*I *2474:mprj_io_dm[55] I *D chip_io_alt +*I *2465:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[55] 0.000348309 +2 *2465:pad_gpio_dm[1] 0.000362423 +3 *1908:10 0.00110865 +4 *1908:9 0.00112276 +5 *2474:mprj_io_dm[55] *2295:13 0.00019711 +6 *1908:9 *1909:9 0.000199912 +7 *1908:10 *2474:mprj_io_inp_dis[18] 0.000183155 +8 *1908:10 *1909:16 0.00124867 +9 *1908:10 *1948:22 0.00277522 +10 *1908:10 *2110:10 0.00214383 +11 *1908:10 *2164:10 1.3807e-05 +12 *107:51 *2474:mprj_io_dm[55] 0.000127271 +13 *474:14 *1908:10 4.61488e-05 +14 *1813:10 *1908:10 0.00322332 +15 *1907:9 *1908:9 0.000274478 +16 *1907:10 *1908:10 0.000154814 +*RES +1 *2465:pad_gpio_dm[1] *1908:9 5.10478 +2 *1908:9 *1908:10 80.107 +3 *1908:10 *2474:mprj_io_dm[55] 19.0239 +*END + +*D_NET *1909 0.0143241 +*CONN +*I *2474:mprj_io_dm[56] I *D chip_io_alt +*I *2465:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[56] 0.00043808 +2 *2465:pad_gpio_dm[2] 0.00030034 +3 *1909:16 0.0011071 +4 *1909:15 0.000813269 +5 *1909:10 0.00224338 +6 *1909:9 0.00239947 +7 *2474:mprj_io_dm[56] *2474:mprj_io_holdover[18] 0 +8 *1909:9 *2465:pad_gpio_in 0 +9 *1909:10 *1948:22 0 +10 *1909:10 *2137:10 0 +11 *1909:10 *2164:10 0 +12 *1909:15 *2313:16 0.000143859 +13 *1909:16 *2474:mprj_io_inp_dis[18] 0.000264014 +14 *1909:16 *1948:22 1.72799e-05 +15 *107:57 *1909:16 0.00198608 +16 *107:58 *1909:16 0 +17 *474:14 *1909:16 0.000797637 +18 *1786:18 *1909:10 0 +19 *1813:16 *1909:15 5.04829e-06 +20 *1840:27 *1909:10 0.000441815 +21 *1907:10 *1909:16 0.0019181 +22 *1908:9 *1909:9 0.000199912 +23 *1908:10 *1909:16 0.00124867 +*RES +1 *2465:pad_gpio_dm[2] *1909:9 4.64584 +2 *1909:9 *1909:10 62.6664 +3 *1909:10 *1909:15 13.051 +4 *1909:15 *1909:16 57.4758 +5 *1909:16 *2474:mprj_io_dm[56] 20.1331 +*END + +*D_NET *1910 0.0156812 +*CONN +*I *2474:mprj_io_dm[57] I *D chip_io_alt +*I *2466:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[57] 0.00052819 +2 *2466:pad_gpio_dm[0] 0.000562682 +3 *1910:8 0.00130586 +4 *1910:7 0.00134035 +5 *1910:7 *1912:7 0 +6 *1910:8 *2057:29 0.000205067 +7 *1910:8 *2084:8 0.00559031 +8 *2474:mprj_io_analog_en[19] *2474:mprj_io_dm[57] 3.27332e-05 +9 *2474:mprj_io_analog_pol[19] *2474:mprj_io_dm[57] 0.00019711 +10 *521:14 *1910:8 0.00559807 +11 *1841:7 *1910:7 0.000320815 +*RES +1 *2466:pad_gpio_dm[0] *1910:7 5.34423 +2 *1910:7 *1910:8 90.0731 +3 *1910:8 *2474:mprj_io_dm[57] 22.3515 +*END + +*D_NET *1911 0.0100473 +*CONN +*I *2474:mprj_io_dm[58] I *D chip_io_alt +*I *2466:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[58] 7.88462e-05 +2 *2466:pad_gpio_dm[1] 0.001291 +3 *1911:18 0.00102327 +4 *1911:15 0.001799 +5 *1911:12 0.00214558 +6 *2474:mprj_io_dm[58] *2314:19 0.000138011 +7 *1911:18 *1976:8 0.00138248 +8 *1911:18 *2003:9 0.000297231 +9 *1911:18 *2003:11 0 +10 *1911:18 *2314:19 0.000856481 +11 *474:42 *1911:18 0.00038975 +12 *475:16 *2474:mprj_io_dm[58] 0 +13 *475:16 *1911:18 0 +14 *493:8 *1911:18 0.000645639 +*RES +1 *2466:pad_gpio_dm[1] *1911:12 41.8068 +2 *1911:12 *1911:15 27.4075 +3 *1911:15 *1911:18 47.7662 +4 *1911:18 *2474:mprj_io_dm[58] 2.99226 +*END + +*D_NET *1912 0.0199665 +*CONN +*I *2474:mprj_io_dm[59] I *D chip_io_alt +*I *2466:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[59] 0.000333815 +2 *2466:pad_gpio_dm[2] 0.000486296 +3 *1912:14 0.000874501 +4 *1912:13 0.000627036 +5 *1912:8 0.000721891 +6 *1912:7 0.00112184 +7 *2474:mprj_io_dm[59] *2474:mprj_io_holdover[19] 4.35659e-05 +8 *2474:mprj_io_dm[59] *2030:16 8.35282e-05 +9 *1912:7 *1949:7 0.000282418 +10 *1912:8 *1949:8 0.00385448 +11 *1912:8 *2084:8 0.000275383 +12 *1912:14 *1949:8 0.00124608 +13 *1912:14 *1949:17 1.3813e-05 +14 *1912:14 *2057:29 0.000134073 +15 *1912:14 *2111:14 0.00250819 +16 *1912:14 *2138:12 0.000640187 +17 *1912:14 *2165:28 0.00310365 +18 *474:42 *1912:14 0.000339251 +19 *1787:8 *1912:14 1.87125e-05 +20 *1814:8 *1912:8 0.00325775 +21 *1910:7 *1912:7 0 +*RES +1 *2466:pad_gpio_dm[2] *1912:7 5.11476 +2 *1912:7 *1912:8 62.4588 +3 *1912:8 *1912:13 6.94044 +4 *1912:13 *1912:14 61.0054 +5 *1912:14 *2474:mprj_io_dm[59] 17.9175 +*END + +*D_NET *1913 0.0133806 +*CONN +*I *2474:mprj_io_dm[5] I *D chip_io_alt +*I *2445:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[5] 0.000272285 +2 *2445:pad_gpio_dm[2] 0.000558818 +3 *1913:23 0.000817819 +4 *1913:19 0.000966099 +5 *1913:13 0.000979382 +6 *2474:mprj_io_dm[5] *2474:mprj_io_holdover[1] 0.000104034 +7 *2474:mprj_io_dm[5] *2474:mprj_io_out[1] 9.4338e-05 +8 *1913:13 *1950:13 3.84497e-05 +9 *1913:19 *1950:17 5.04829e-06 +10 *1913:19 *2112:14 0.00207048 +11 *1913:23 *2474:mprj_io_out[1] 7.47435e-06 +12 *1913:23 *2004:11 0.00234978 +13 *1913:23 *2112:14 0.000237709 +14 *1913:23 *2166:14 0.00185131 +15 *467:55 *2474:mprj_io_dm[5] 0.000791367 +16 *467:55 *1913:19 8.13993e-05 +17 *485:53 *1913:13 6.19102e-05 +18 *1842:16 *1913:19 0.0018151 +19 *1891:13 *1913:13 0.000119662 +20 *1902:13 *1913:13 0.000158111 +*RES +1 *2445:pad_gpio_dm[2] *1913:13 26.4316 +2 *1913:13 *1913:19 44.2238 +3 *1913:19 *1913:23 46.0947 +4 *1913:23 *2474:mprj_io_dm[5] 17.9835 +*END + +*D_NET *1914 0.0128483 +*CONN +*I *2474:mprj_io_dm[60] I *D chip_io_alt +*I *2467:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[60] 0.00049557 +2 *2467:pad_gpio_dm[0] 0.000860122 +3 *1914:16 0.00201232 +4 *1914:15 0.00237687 +5 *2474:mprj_io_dm[60] *1916:18 5.6259e-05 +6 *1914:15 *2474:mprj_io_holdover[20] 0.000192761 +7 *1914:15 *1915:7 7.42816e-06 +8 *1914:15 *2005:11 0.000610456 +9 *2467:mgmt_gpio_out *1914:15 0.000469124 +10 *2474:mprj_io_analog_en[20] *2474:mprj_io_dm[60] 0 +11 *2474:mprj_io_analog_pol[20] *2474:mprj_io_dm[60] 0 +12 *494:8 *1914:16 0 +13 *1557:33 *1914:15 1.66771e-05 +14 *1789:15 *1914:15 4.15236e-05 +15 *1816:15 *1914:15 0.000119662 +16 *1816:16 *1914:16 0.00434304 +17 *1843:15 *1914:15 0.00124649 +*RES +1 *2467:pad_gpio_dm[0] *1914:15 46.3221 +2 *1914:15 *1914:16 69.5181 +3 *1914:16 *2474:mprj_io_dm[60] 21.1058 +*END + +*D_NET *1915 0.0121647 +*CONN +*I *2474:mprj_io_dm[61] I *D chip_io_alt +*I *2467:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[61] 0.000370077 +2 *2467:pad_gpio_dm[1] 0.000627727 +3 *1915:8 0.00198545 +4 *1915:7 0.0022431 +5 *2474:mprj_io_dm[61] *2315:13 9.4338e-05 +6 *1915:7 *1916:18 3.02784e-05 +7 *1915:8 *2086:13 0.00104796 +8 *1915:8 *2140:8 0.00033782 +9 *1915:8 *2315:13 0.00110558 +10 *474:48 *1915:8 0 +11 *1789:16 *1915:8 0.00431494 +12 *1914:15 *1915:7 7.42816e-06 +*RES +1 *2467:pad_gpio_dm[1] *1915:7 4.8088 +2 *1915:7 *1915:8 80.9375 +3 *1915:8 *2474:mprj_io_dm[61] 19.0239 +*END + +*D_NET *1916 0.0187768 +*CONN +*I *2474:mprj_io_dm[62] I *D chip_io_alt +*I *2467:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[62] 0.00012308 +2 *2467:pad_gpio_dm[2] 0.00171633 +3 *1916:18 0.00183941 +4 *2474:mprj_io_dm[62] *2474:mprj_io_holdover[20] 8.1662e-05 +5 *1916:18 *2474:mprj_io_holdover[20] 0.000777418 +6 *1916:18 *2474:mprj_io_ib_mode_sel[20] 0.00645122 +7 *1916:18 *2474:mprj_io_inp_dis[20] 0.000171064 +8 *1916:18 *2474:mprj_io_vtrip_sel[20] 0.000796463 +9 *1916:18 *2113:16 0.000158917 +10 *1916:18 *2167:19 0.00633676 +11 *2474:mprj_io_analog_en[20] *1916:18 9.29258e-05 +12 *2474:mprj_io_analog_pol[20] *1916:18 0.000145018 +13 *2474:mprj_io_dm[60] *1916:18 5.6259e-05 +14 *474:48 *1916:18 0 +15 *1915:7 *1916:18 3.02784e-05 +*RES +1 *2467:pad_gpio_dm[2] *1916:18 49.482 +2 *1916:18 *2474:mprj_io_dm[62] 12.2321 +*END + +*D_NET *1917 0.0142563 +*CONN +*I *2474:mprj_io_dm[63] I *D chip_io_alt +*I *2468:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[63] 0.00035368 +2 *2468:pad_gpio_dm[0] 0.000581911 +3 *1917:14 0.000869794 +4 *1917:13 0.000719784 +5 *1917:10 0.000785581 +6 *2474:mprj_io_dm[63] *2298:21 0.00019711 +7 *2474:mprj_io_dm[63] *2316:13 9.19632e-06 +8 *1917:10 *1918:10 0.00222145 +9 *1917:10 *2006:9 0.000714438 +10 *1917:13 *1918:16 0.000629893 +11 *1917:14 *1918:16 0.0020561 +12 *1917:14 *1952:14 0.00122262 +13 *1917:14 *2087:8 0 +14 *2474:mprj_io_analog_en[21] *2474:mprj_io_dm[63] 0 +15 *494:20 *1917:14 0.000443731 +16 *1790:8 *1917:14 0.00330122 +17 *1817:8 *1917:14 7.12527e-05 +18 *1844:10 *1917:10 7.85438e-05 +*RES +1 *2468:pad_gpio_dm[0] *1917:10 43.159 +2 *1917:10 *1917:13 11.8786 +3 *1917:13 *1917:14 55.3995 +4 *1917:14 *2474:mprj_io_dm[63] 18.4693 +*END + +*D_NET *1918 0.0107753 +*CONN +*I *2474:mprj_io_dm[64] I *D chip_io_alt +*I *2468:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[64] 0.000652201 +2 *2468:pad_gpio_dm[1] 0.000867782 +3 *1918:16 0.00158096 +4 *1918:10 0.00179655 +5 *2474:mprj_io_dm[64] *2474:mprj_io_slow_sel[21] 8.86525e-05 +6 *2474:mprj_io_dm[64] *2087:8 0 +7 *2474:mprj_io_dm[64] *2298:21 0.000327004 +8 *1918:10 *1919:10 6.89476e-05 +9 *1918:10 *2006:9 0 +10 *1918:16 *2087:8 0 +11 *2468:mgmt_gpio_out *1918:10 0.000469124 +12 *1558:35 *1918:10 1.66771e-05 +13 *1917:10 *1918:10 0.00222145 +14 *1917:13 *1918:16 0.000629893 +15 *1917:14 *1918:16 0.0020561 +*RES +1 *2468:pad_gpio_dm[1] *1918:10 45.9892 +2 *1918:10 *1918:16 49.3545 +3 *1918:16 *2474:mprj_io_dm[64] 24.5677 +*END + +*D_NET *1919 0.0196635 +*CONN +*I *2474:mprj_io_dm[65] I *D chip_io_alt +*I *2468:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[65] 0.000603587 +2 *2468:pad_gpio_dm[2] 0.00108007 +3 *1919:12 0.00185259 +4 *1919:10 0.00232907 +5 *2474:mprj_io_dm[65] *2474:mprj_io_holdover[21] 0.000208984 +6 *2474:mprj_io_dm[65] *2316:14 0 +7 *1919:10 *1952:7 0.000332207 +8 *1919:10 *1979:10 0.00223982 +9 *1919:12 *1979:10 2.01595e-05 +10 *1919:12 *1979:14 0.002802 +11 *2474:mprj_io_analog_sel[21] *2474:mprj_io_dm[65] 0 +12 *474:54 *1919:12 0.000861194 +13 *476:22 *1919:10 0.000258066 +14 *477:14 *1919:12 0.000115351 +15 *1844:10 *1919:10 0.00172667 +16 *1844:12 *1919:10 2.01595e-05 +17 *1844:12 *1919:12 0.00290619 +18 *1844:18 *1919:12 0.00223842 +19 *1918:10 *1919:10 6.89476e-05 +*RES +1 *2468:pad_gpio_dm[2] *1919:10 42.1127 +2 *1919:10 *1919:12 85.5053 +3 *1919:12 *2474:mprj_io_dm[65] 24.2047 +*END + +*D_NET *1920 0.0159501 +*CONN +*I *2474:mprj_io_dm[66] I *D chip_io_alt +*I *2469:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[66] 0.000359132 +2 *2469:pad_gpio_dm[0] 0.000525502 +3 *1920:8 0.0010976 +4 *1920:7 0.00126397 +5 *2474:mprj_io_dm[66] *1922:33 3.16065e-06 +6 *2474:mprj_io_dm[66] *1953:17 5.04829e-06 +7 *2474:mprj_io_dm[66] *2299:21 0 +8 *2474:mprj_io_dm[66] *2317:12 7.22422e-05 +9 *1920:7 *1921:7 0.000222549 +10 *1920:7 *1953:7 0 +11 *1920:8 *2061:16 0.00573543 +12 *1920:8 *2169:16 0.00573543 +13 *2474:mprj_io_analog_pol[22] *2474:mprj_io_dm[66] 8.47119e-05 +14 *494:20 *1920:8 0.000831196 +15 *1791:19 *2474:mprj_io_dm[66] 0 +16 *1845:12 *1920:7 1.41809e-05 +*RES +1 *2469:pad_gpio_dm[0] *1920:7 5.03827 +2 *1920:7 *1920:8 91.7341 +3 *1920:8 *2474:mprj_io_dm[66] 18.2351 +*END + +*D_NET *1921 0.0136092 +*CONN +*I *2474:mprj_io_dm[67] I *D chip_io_alt +*I *2469:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[67] 0.000298025 +2 *2469:pad_gpio_dm[1] 0.000405137 +3 *1921:8 0.00106235 +4 *1921:7 0.00116946 +5 *2474:mprj_io_dm[67] *1922:25 0.000196126 +6 *2474:mprj_io_dm[67] *2299:21 0.00019711 +7 *1921:7 *1922:7 6.86894e-05 +8 *1921:7 *1953:7 0 +9 *1921:8 *2061:14 7.59805e-05 +10 *1921:8 *2061:16 0 +11 *1921:8 *2115:8 0.00504539 +12 *1921:8 *2169:16 0.000721742 +13 *478:10 *1921:8 0.000710132 +14 *1818:16 *1921:8 0.00343653 +15 *1920:7 *1921:7 0.000222549 +*RES +1 *2469:pad_gpio_dm[1] *1921:7 4.8088 +2 *1921:7 *1921:8 80.9375 +3 *1921:8 *2474:mprj_io_dm[67] 18.4693 +*END + +*D_NET *1922 0.015863 +*CONN +*I *2474:mprj_io_dm[68] I *D chip_io_alt +*I *2469:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[68] 0.00076281 +2 *2469:pad_gpio_dm[2] 0.000104325 +3 *1922:33 0.00114675 +4 *1922:25 0.00134441 +5 *1922:8 0.00277408 +6 *1922:7 0.00191793 +7 *2474:mprj_io_dm[68] *2474:mprj_io_holdover[22] 6.8952e-05 +8 *2474:mprj_io_dm[68] *1953:17 3.8034e-05 +9 *2474:mprj_io_dm[68] *1980:16 0.000122025 +10 *1922:7 *1953:7 6.86894e-05 +11 *1922:8 *2007:9 0.000656029 +12 *1922:8 *2142:8 0 +13 *1922:25 *2474:mprj_io_slow_sel[22] 0.00019711 +14 *1922:25 *2142:14 0.00047597 +15 *1922:25 *2299:21 0.000317812 +16 *1922:33 *2474:mprj_io_inp_dis[22] 0 +17 *1922:33 *1953:17 4.20506e-05 +18 *1922:33 *2115:8 0.000908573 +19 *1922:33 *2169:16 7.77309e-06 +20 *2469:mgmt_gpio_out *1922:8 0.000223181 +21 *2474:mprj_io_analog_pol[22] *1922:33 6.50727e-05 +22 *2474:mprj_io_analog_sel[22] *2474:mprj_io_dm[68] 0.0011915 +23 *2474:mprj_io_dm[66] *1922:33 3.16065e-06 +24 *2474:mprj_io_dm[67] *1922:25 0.000196126 +25 *476:22 *2474:mprj_io_dm[68] 0.000223271 +26 *478:10 *1922:33 0.000130189 +27 *496:17 *1922:8 0.000467083 +28 *524:16 *2474:mprj_io_dm[68] 0.00145196 +29 *1559:37 *1922:8 5.39635e-06 +30 *1791:12 *1922:8 0 +31 *1791:19 *1922:25 0 +32 *1818:16 *1922:33 0.000884026 +33 *1845:12 *1922:8 0 +34 *1921:7 *1922:7 6.86894e-05 +*RES +1 *2469:pad_gpio_dm[2] *1922:7 3.81442 +2 *1922:7 *1922:8 59.3444 +3 *1922:8 *1922:25 46.7511 +4 *1922:25 *1922:33 33.365 +5 *1922:33 *2474:mprj_io_dm[68] 46.0554 +*END + +*D_NET *1923 0.0156294 +*CONN +*I *2474:mprj_io_dm[69] I *D chip_io_alt +*I *2470:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[69] 0.000347835 +2 *2470:pad_gpio_dm[0] 0.00050832 +3 *1923:8 0.0010825 +4 *1923:7 0.00124298 +5 *2474:mprj_io_dm[69] *2300:18 0.000126443 +6 *2474:mprj_io_dm[69] *2318:9 0.000417632 +7 *1923:7 *1925:7 8.66526e-05 +8 *1923:8 *2062:8 0.00539719 +9 *2474:mprj_io_analog_en[23] *2474:mprj_io_dm[69] 0.000223427 +10 *477:26 *1923:8 0.00066067 +11 *513:14 *1923:8 0.00539719 +12 *1819:7 *1923:7 0 +13 *1846:7 *1923:7 0.000138555 +*RES +1 *2470:pad_gpio_dm[0] *1923:7 4.88529 +2 *1923:7 *1923:8 86.7511 +3 *1923:8 *2474:mprj_io_dm[69] 22.7134 +*END + +*D_NET *1924 0.0181271 +*CONN +*I *2474:mprj_io_dm[6] I *D chip_io_alt +*I *2455:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[6] 0.000562889 +2 *2455:pad_gpio_dm[0] 0.00081509 +3 *1924:14 0.00175916 +4 *1924:13 0.00201136 +5 *1924:13 *1935:13 3.84497e-05 +6 *1924:13 *1985:13 0 +7 *1924:14 *1935:14 0.0028526 +8 *1924:14 *1935:23 9.71323e-06 +9 *1924:14 *1935:24 0 +10 *1924:14 *1937:14 0.00500591 +11 *1924:14 *2012:11 0.00379191 +12 *1924:14 *2066:14 0 +13 *2474:mprj_io_analog_pol[2] *2474:mprj_io_dm[6] 0 +14 *104:40 *1924:13 0 +15 *471:40 *2474:mprj_io_dm[6] 0.00019711 +16 *485:50 *1924:14 0.000892143 +17 *1850:13 *1924:13 3.84497e-05 +18 *1850:14 *1924:14 0.000152316 +*RES +1 *2455:pad_gpio_dm[0] *1924:13 29.2131 +2 *1924:13 *1924:14 106.891 +3 *1924:14 *2474:mprj_io_dm[6] 22.9061 +*END + +*D_NET *1925 0.00899989 +*CONN +*I *2474:mprj_io_dm[70] I *D chip_io_alt +*I *2470:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[70] 0.000159542 +2 *2470:pad_gpio_dm[1] 0.000296138 +3 *1925:8 0.00258179 +4 *1925:7 0.00271838 +5 *2474:mprj_io_dm[70] *2300:18 8.6956e-05 +6 *1925:7 *1926:12 1.58718e-05 +7 *1925:7 *1954:10 1.60578e-06 +8 *1925:8 *2474:mprj_io_out[23] 0.000261286 +9 *1925:8 *2474:mprj_io_slow_sel[23] 0.00216912 +10 *1925:8 *2474:mprj_io_vtrip_sel[23] 0.000390434 +11 *1925:8 *2008:11 0 +12 *1925:8 *2062:8 0 +13 *1925:8 *2300:18 0.000232116 +14 *1846:8 *2474:mprj_io_dm[70] 0 +15 *1846:8 *1925:8 0 +16 *1923:7 *1925:7 8.66526e-05 +*RES +1 *2470:pad_gpio_dm[1] *1925:7 4.12039 +2 *1925:7 *1925:8 81.5604 +3 *1925:8 *2474:mprj_io_dm[70] 5.19065 +*END + +*D_NET *1926 0.0185865 +*CONN +*I *2474:mprj_io_dm[71] I *D chip_io_alt +*I *2470:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[71] 0.000352335 +2 *2470:pad_gpio_dm[2] 0.000873857 +3 *1926:16 0.0013783 +4 *1926:15 0.0015974 +5 *1926:12 0.00144529 +6 *2474:mprj_io_dm[71] *2474:mprj_io_holdover[23] 0.000555807 +7 *2474:mprj_io_dm[71] *2318:10 0 +8 *1926:12 *1954:10 0.00205058 +9 *1926:16 *2300:21 0.000315878 +10 *2474:mprj_io_analog_sel[23] *2474:mprj_io_dm[71] 0 +11 *494:20 *1926:16 0.000737797 +12 *495:44 *1926:12 0.000615079 +13 *513:14 *1926:16 0.000873795 +14 *1792:8 *1926:16 0.00366586 +15 *1819:8 *1926:16 0.00410865 +16 *1925:7 *1926:12 1.58718e-05 +*RES +1 *2470:pad_gpio_dm[2] *1926:12 40.9763 +2 *1926:12 *1926:15 17.4247 +3 *1926:15 *1926:16 87.7892 +4 *1926:16 *2474:mprj_io_dm[71] 20.4579 +*END + +*D_NET *1927 0.0186285 +*CONN +*I *2474:mprj_io_dm[72] I *D chip_io_alt +*I *2446:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[72] 6.87872e-05 +2 *2446:pad_gpio_dm[0] 0.000882926 +3 *1927:26 2.68684e-05 +4 *1927:15 0.00135082 +5 *1927:14 0.00128203 +6 *1927:12 0.00377319 +7 *1927:10 0.00443223 +8 *1927:7 0.0015151 +9 *1927:15 *2474:mprj_io_dm[73] 0.00019711 +10 *1927:15 *2474:mprj_io_slow_sel[24] 0.000223156 +11 *1927:15 *2009:10 0 +12 *1927:15 *2009:11 0 +13 *1927:15 *2301:10 0.00019711 +14 *1927:15 *2301:11 0.00281143 +15 *1927:15 *2319:10 0.00019711 +16 *2474:mprj_io_analog_en[24] *1927:15 8.1645e-05 +17 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[72] 4.81588e-05 +18 *2474:mprj_io_analog_pol[24] *1927:15 0.0010243 +19 *477:26 *1927:15 0.000140228 +20 *486:44 *1927:15 0.000376332 +21 *1793:14 *1927:15 0 +*RES +1 *2446:pad_gpio_dm[0] *1927:7 31.9168 +2 *1927:7 *1927:10 34.1322 +3 *1927:10 *1927:12 105.266 +4 *1927:12 *1927:14 4.5 +5 *1927:14 *1927:15 65.158 +6 *1927:15 *2474:mprj_io_dm[72] 2.4793 +7 *2446:pad_gpio_dm[0] *1927:26 0.0631875 +*END + +*D_NET *1928 0.0140516 +*CONN +*I *2474:mprj_io_dm[73] I *D chip_io_alt +*I *2446:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[73] 0.000356304 +2 *2446:pad_gpio_dm[1] 0.000441046 +3 *1928:8 0.00108068 +4 *1928:7 0.00116542 +5 *2474:mprj_io_dm[73] *2319:10 0 +6 *1928:7 *1929:7 0.000213351 +7 *1928:8 *1955:14 0.0021552 +8 *1928:8 *2090:8 0.00504306 +9 *2446:serial_load_out *1928:8 0.000555017 +10 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[73] 0 +11 *514:14 *1928:8 0.0028444 +12 *1793:14 *1928:8 0 +13 *1847:7 *1928:7 0 +14 *1927:15 *2474:mprj_io_dm[73] 0.00019711 +*RES +1 *2446:pad_gpio_dm[1] *1928:7 4.8088 +2 *1928:7 *1928:8 81.3528 +3 *1928:8 *2474:mprj_io_dm[73] 18.4693 +*END + +*D_NET *1929 0.0197507 +*CONN +*I *2474:mprj_io_dm[74] I *D chip_io_alt +*I *2446:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[74] 0.000458863 +2 *2446:pad_gpio_dm[2] 0.000731532 +3 *1929:20 0.00114864 +4 *1929:19 0.00140298 +5 *1929:8 0.00131691 +6 *1929:7 0.00133523 +7 *2474:mprj_io_dm[74] *2474:mprj_io_holdover[24] 5.6259e-05 +8 *1929:7 *1955:7 0.000133659 +9 *1929:7 *1982:7 0 +10 *1929:8 *1982:8 0.00352518 +11 *1929:19 *2474:mprj_io_slow_sel[24] 5.04829e-06 +12 *1929:20 *2063:8 0.00191662 +13 *1929:20 *2090:8 0 +14 *2446:serial_load_out *1929:20 0.000237742 +15 *474:54 *1929:19 0.000149105 +16 *476:22 *1929:8 0.000313476 +17 *494:20 *1929:20 0.000182793 +18 *514:8 *1929:20 0.00307228 +19 *1847:7 *1929:7 0 +20 *1847:10 *1929:8 0.00300408 +21 *1847:14 *1929:8 2.82741e-05 +22 *1847:14 *1929:19 4.61962e-05 +23 *1847:16 *1929:19 0.00047253 +24 *1928:7 *1929:7 0.000213351 +*RES +1 *2446:pad_gpio_dm[2] *1929:7 5.49721 +2 *1929:7 *1929:8 56.8529 +3 *1929:8 *1929:19 40.2494 +4 *1929:19 *1929:20 49.586 +5 *1929:20 *2474:mprj_io_dm[74] 20.5512 +*END + +*D_NET *1930 0.0136294 +*CONN +*I *2474:mprj_io_dm[75] I *D chip_io_alt +*I *2447:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[75] 0.000309724 +2 *2447:pad_gpio_dm[0] 0.00108372 +3 *1930:12 0.00100311 +4 *1930:10 0.0017771 +5 *1930:10 *1931:7 0.000163911 +6 *1930:10 *1931:8 0 +7 *1930:10 *1932:7 0 +8 *1930:10 *2118:8 0.000710539 +9 *1930:12 *1931:8 0 +10 *2448:serial_load *1930:10 0.000197477 +11 *2448:serial_load *1930:12 0.000555176 +12 *2474:mprj_io_analog_pol[25] *2474:mprj_io_dm[75] 0.000118972 +13 *515:8 *1930:12 0 +14 *1794:16 *1930:10 7.8756e-07 +15 *1794:16 *1930:12 1.7607e-05 +16 *1821:16 *1930:12 0.00356168 +17 *1848:16 *1930:12 0.00412961 +*RES +1 *2447:pad_gpio_dm[0] *1930:10 29.7207 +2 *1930:10 *1930:12 66.819 +3 *1930:12 *2474:mprj_io_dm[75] 17.2236 +*END + +*D_NET *1931 0.00883908 +*CONN +*I *2474:mprj_io_dm[76] I *D chip_io_alt +*I *2447:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[76] 0.000595594 +2 *2447:pad_gpio_dm[1] 0.000247746 +3 *1931:8 0.00285106 +4 *1931:7 0.00250321 +5 *2474:mprj_io_dm[76] *2474:mprj_io_slow_sel[25] 0.00019711 +6 *1931:7 *1932:7 0.000163911 +7 *1931:8 *2118:8 0 +8 *1931:8 *2172:8 0 +9 *2448:serial_load *2474:mprj_io_dm[76] 0.000190544 +10 *2448:serial_load *1931:8 0.000556537 +11 *515:8 *2474:mprj_io_dm[76] 0.00136946 +12 *515:8 *1931:8 0 +13 *515:12 *1931:8 0 +14 *1821:16 *1931:8 0 +15 *1930:10 *1931:7 0.000163911 +16 *1930:10 *1931:8 0 +17 *1930:12 *1931:8 0 +*RES +1 *2447:pad_gpio_dm[1] *1931:7 4.42635 +2 *1931:7 *1931:8 62.2512 +3 *1931:8 *2474:mprj_io_dm[76] 34.5608 +*END + +*D_NET *1932 0.0161829 +*CONN +*I *2474:mprj_io_dm[77] I *D chip_io_alt +*I *2447:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[77] 0.000457476 +2 *2447:pad_gpio_dm[2] 0.000549435 +3 *1932:8 0.00199689 +4 *1932:7 0.00208885 +5 *2474:mprj_io_dm[77] *2474:mprj_io_holdover[25] 0 +6 *2474:mprj_io_dm[77] *2474:mprj_io_out[25] 0.000351956 +7 *1932:7 *1956:11 0.000314753 +8 *1932:8 *1956:14 0.00555134 +9 *1932:8 *2145:8 0.00100072 +10 *102:44 *1932:8 0.00370754 +11 *1930:10 *1932:7 0 +12 *1931:7 *1932:7 0.000163911 +*RES +1 *2447:pad_gpio_dm[2] *1932:7 5.49721 +2 *1932:7 *1932:8 122.048 +3 *1932:8 *2474:mprj_io_dm[77] 22.6302 +*END + +*D_NET *1933 0.0127151 +*CONN +*I *2474:mprj_io_dm[78] I *D chip_io_alt +*I *2448:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[78] 0.000307373 +2 *2448:pad_gpio_dm[0] 0.00024976 +3 *1933:8 0.00146456 +4 *1933:7 0.00140695 +5 *1933:7 *1934:7 0.000206243 +6 *1933:8 *1934:8 0 +7 *1933:8 *2065:16 0.0049472 +8 *1933:8 *2146:21 0.00167049 +9 *2474:mprj_io_analog_pol[26] *2474:mprj_io_dm[78] 0.000152048 +10 *2474:mprj_io_analog_pol[26] *1933:8 0 +11 *1822:8 *1933:8 0.00174438 +12 *1849:7 *1933:7 0.000292127 +13 *1849:8 *1933:8 0.000274013 +*RES +1 *2448:pad_gpio_dm[0] *1933:7 4.88529 +2 *1933:7 *1933:8 91.3188 +3 *1933:8 *2474:mprj_io_dm[78] 18.3328 +*END + +*D_NET *1934 0.00712937 +*CONN +*I *2474:mprj_io_dm[79] I *D chip_io_alt +*I *2448:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[79] 0.000260813 +2 *2448:pad_gpio_dm[1] 0.000216748 +3 *1934:8 0.00321205 +4 *1934:7 0.00316799 +5 *1795:8 *2474:mprj_io_dm[79] 6.55232e-05 +6 *1795:8 *1934:8 0 +7 *1822:8 *1934:8 0 +8 *1933:7 *1934:7 0.000206243 +9 *1933:8 *1934:8 0 +*RES +1 *2448:pad_gpio_dm[1] *1934:7 4.42635 +2 *1934:7 *1934:8 81.3528 +3 *1934:8 *2474:mprj_io_dm[79] 16.2509 +*END + +*D_NET *1935 0.0185692 +*CONN +*I *2474:mprj_io_dm[7] I *D chip_io_alt +*I *2455:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[7] 0.000361343 +2 *2455:pad_gpio_dm[1] 0.000576536 +3 *1935:24 0.00146837 +4 *1935:23 0.00165075 +5 *1935:14 0.00107152 +6 *1935:13 0.00110434 +7 *1935:13 *2455:pad_gpio_in 1.75554e-05 +8 *1935:13 *1937:13 0.0012027 +9 *1935:13 *1958:13 5.94319e-06 +10 *1935:13 *1985:13 1.27831e-06 +11 *1935:14 *1937:14 0.000152316 +12 *1935:14 *2066:14 0 +13 *1935:23 *2474:mprj_io_vtrip_sel[2] 5.04829e-06 +14 *1935:23 *2012:11 1.2693e-05 +15 *1935:24 *1958:14 0.000918736 +16 *1935:24 *2012:11 0 +17 *1935:24 *2066:14 0.00108008 +18 *104:40 *1935:13 6.09999e-05 +19 *104:50 *1935:24 0.000691052 +20 *471:40 *2474:mprj_io_dm[7] 0.00019711 +21 *480:14 *1935:14 0.000393517 +22 *480:14 *1935:23 0.00113596 +23 *485:50 *1935:14 0.000459689 +24 *489:45 *1935:24 0 +25 *1823:19 *1935:14 0.00203363 +26 *1823:20 *1935:23 0.00106726 +27 *1924:13 *1935:13 3.84497e-05 +28 *1924:14 *1935:14 0.0028526 +29 *1924:14 *1935:23 9.71323e-06 +30 *1924:14 *1935:24 0 +*RES +1 *2455:pad_gpio_dm[1] *1935:13 27.5028 +2 *1935:13 *1935:14 51.0394 +3 *1935:14 *1935:23 35.3531 +4 *1935:23 *1935:24 54.569 +5 *1935:24 *2474:mprj_io_dm[7] 17.9147 +*END + +*D_NET *1936 0.0191221 +*CONN +*I *2474:mprj_io_dm[80] I *D chip_io_alt +*I *2448:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[80] 0.000532378 +2 *2448:pad_gpio_dm[2] 0.000461169 +3 *1936:16 0.00207946 +4 *1936:15 0.00293486 +5 *1936:12 0.00184895 +6 *2474:mprj_io_dm[80] *2474:mprj_io_holdover[26] 0 +7 *2474:mprj_io_dm[80] *2474:mprj_io_out[26] 0.000909311 +8 *2474:mprj_io_dm[80] *2474:mprj_io_vtrip_sel[26] 0.000121586 +9 *1936:12 *2146:10 0.00209916 +10 *1936:12 *2173:10 0.00210743 +11 *1936:15 *2146:15 0 +12 *1936:16 *2119:16 0.00448345 +13 *2474:mprj_io_analog_sel[26] *2474:mprj_io_dm[80] 0.00154439 +*RES +1 *2448:pad_gpio_dm[2] *1936:12 41.8068 +2 *1936:12 *1936:15 39.6088 +3 *1936:15 *1936:16 71.5944 +4 *1936:16 *2474:mprj_io_dm[80] 36.9314 +*END + +*D_NET *1937 0.0163621 +*CONN +*I *2474:mprj_io_dm[8] I *D chip_io_alt +*I *2455:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[8] 0.000557226 +2 *2455:pad_gpio_dm[2] 0.000383523 +3 *1937:14 0.00153217 +4 *1937:13 0.00135847 +5 *2474:mprj_io_dm[8] *2474:mprj_io_holdover[2] 1.67745e-05 +6 *1937:13 *1958:13 0.000858636 +7 *1937:14 *2066:14 0 +8 *104:40 *1937:13 4.2993e-05 +9 *467:48 *1937:14 0.000850726 +10 *471:40 *2474:mprj_io_dm[8] 0.00019711 +11 *1850:14 *1937:14 0.00420358 +12 *1924:14 *1937:14 0.00500591 +13 *1935:13 *1937:13 0.0012027 +14 *1935:14 *1937:14 0.000152316 +*RES +1 *2455:pad_gpio_dm[2] *1937:13 27.7022 +2 *1937:13 *1937:14 85.2977 +3 *1937:14 *2474:mprj_io_dm[8] 22.3515 +*END + +*D_NET *1938 0.0179777 +*CONN +*I *2474:mprj_io_dm[9] I *D chip_io_alt +*I *2456:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[9] 0.000512478 +2 *2456:pad_gpio_dm[0] 0.000638006 +3 *1938:18 0.00112205 +4 *1938:16 0.000990358 +5 *1938:13 0.00101879 +6 *1938:13 *1959:15 0.000521541 +7 *1938:16 *2067:22 6.34651e-06 +8 *1938:16 *2175:14 0.00277594 +9 *1938:18 *2067:22 0.00339598 +10 *1938:18 *2148:26 0 +11 *1938:18 *2175:14 2.6506e-05 +12 *2474:mprj_io_analog_en[3] *2474:mprj_io_dm[9] 0.000118972 +13 *105:32 *1938:13 0.000145708 +14 *472:32 *1938:13 0 +15 *1824:13 *1938:13 0 +16 *1824:20 *1938:18 0.00358983 +17 *1851:15 *1938:13 1.67063e-05 +18 *1859:13 *1938:13 7.11756e-05 +19 *1859:16 *1938:16 0.00273444 +20 *1859:18 *1938:16 9.71323e-06 +21 *1859:18 *1938:18 0.000266553 +22 *1860:13 *1938:13 1.65872e-05 +*RES +1 *2456:pad_gpio_dm[0] *1938:13 27.1613 +2 *1938:13 *1938:16 45.1281 +3 *1938:16 *1938:18 63.0817 +4 *1938:18 *2474:mprj_io_dm[9] 21.6604 +*END + +*D_NET *1939 0.0111597 +*CONN +*I *2474:mprj_io_holdover[0] I *D chip_io_alt +*I *2444:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[0] 0.000478212 +2 *2444:pad_gpio_holdover 0.000208113 +3 *1939:10 0.00126155 +4 *1939:7 0.000991449 +5 *2474:mprj_io_holdover[0] *2474:mprj_io_ib_mode_sel[0] 0.000215112 +6 *2474:mprj_io_holdover[0] *2474:mprj_io_oeb[0] 0.00120376 +7 *2474:mprj_io_holdover[0] *2474:mprj_io_out[0] 0.0006713 +8 *2474:mprj_io_holdover[0] *2474:mprj_io_vtrip_sel[0] 0.000202472 +9 *2474:mprj_io_holdover[0] *2074:8 0 +10 *1939:7 *1966:13 3.84497e-05 +11 *1939:7 *2047:13 0 +12 *1939:10 *2474:mprj_io_oeb[0] 6.99044e-06 +13 *1939:10 *2074:8 0.00095923 +14 *1939:10 *2101:14 0 +15 *2474:mprj_io_dm[2] *2474:mprj_io_holdover[0] 0 +16 *1880:10 *1939:7 0.000149197 +17 *1880:10 *1939:10 0.00156229 +18 *1880:12 *2474:mprj_io_holdover[0] 0.00264709 +19 *1880:12 *1939:10 0.000564466 +*RES +1 *2444:pad_gpio_holdover *1939:7 4.19688 +2 *1939:7 *1939:10 47.412 +3 *1939:10 *2474:mprj_io_holdover[0] 43.7358 +*END + +*D_NET *1940 0.0745467 +*CONN +*I *2474:mprj_io_holdover[10] I *D chip_io_alt +*I *2451:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[10] 6.57896e-05 +2 *2451:pad_gpio_holdover 0.00201333 +3 *1940:18 0.00307069 +4 *1940:10 0.00501823 +5 *2474:mprj_io_holdover[10] *2474:mprj_io_out[10] 3.5534e-06 +6 *1940:10 *1965:8 4.73471e-05 +7 *1940:10 *1967:13 0.00064178 +8 *1940:10 *2073:52 0.000216974 +9 *1940:10 *2102:10 0.00887763 +10 *1940:10 *2156:17 0.00624268 +11 *1940:10 *2181:8 0.000327914 +12 *1940:18 *2474:mprj_io_out[10] 0.0184682 +13 *1940:18 *2474:mprj_io_vtrip_sel[10] 0.00604591 +14 *1940:18 *1994:11 0.000796897 +15 *1940:18 *1994:20 0.000393461 +16 *1940:18 *2073:52 0.000220926 +17 *1940:18 *2076:14 0.000163367 +18 *1940:18 *2102:10 0.00195299 +19 *1940:18 *2103:18 0.00200001 +20 *1940:18 *2129:14 0.00210671 +21 *1940:18 *2156:17 0.0137134 +22 *2474:mprj_io_dm[32] *2474:mprj_io_holdover[10] 0 +23 *2474:mprj_io_dm[32] *1940:18 0 +24 *2474:mprj_io_dm[28] *1940:10 0.000229279 +25 *1830:14 *1940:10 0.000994168 +26 *1878:14 *1940:10 0.000892259 +27 *1883:13 *1940:10 4.31122e-05 +*RES +1 *2451:pad_gpio_holdover *1940:10 21.0084 +2 *1940:10 *1940:18 49.9866 +3 *1940:18 *2474:mprj_io_holdover[10] 2.65029 +*END + +*D_NET *1941 0.071898 +*CONN +*I *2474:mprj_io_holdover[11] I *D chip_io_alt +*I *2452:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[11] 0.00076212 +2 *2452:pad_gpio_holdover 0.000827887 +3 *1941:8 0.00684389 +4 *1941:7 0.00690965 +5 *2474:mprj_io_holdover[11] *2474:mprj_io_out[11] 9.56772e-06 +6 *1941:7 *1968:13 2.72062e-05 +7 *1941:8 *2474:mprj_io_vtrip_sel[10] 0.000638173 +8 *1941:8 *1968:29 0.0219919 +9 *1941:8 *1969:13 0.00899269 +10 *1941:8 *2048:20 0.00060398 +11 *1941:8 *2075:8 0.014545 +12 *1941:8 *2076:29 0.000273717 +13 *1941:8 *2104:14 0.00138607 +14 *1941:8 *2157:18 0.000450023 +15 *1941:8 *2157:20 0.000630129 +16 *2474:mprj_io_dm[35] *2474:mprj_io_holdover[11] 8.36001e-05 +17 *501:14 *1941:8 0.0014791 +18 *502:18 *1941:8 0.000424884 +19 *1834:14 *1941:8 0.00021747 +20 *1884:14 *1941:8 0.00397172 +21 *1886:7 *1941:7 0.00020453 +22 *1886:8 *1941:8 0.000415645 +23 *1889:18 *2474:mprj_io_holdover[11] 0.000209054 +*RES +1 *2452:pad_gpio_holdover *1941:7 2.5433 +2 *1941:7 *1941:8 63.1462 +3 *1941:8 *2474:mprj_io_holdover[11] 8.61788 +*END + +*D_NET *1942 0.0523181 +*CONN +*I *2474:mprj_io_holdover[12] I *D chip_io_alt +*I *2453:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[12] 0.000144466 +2 *2453:pad_gpio_holdover 0.000760007 +3 *1942:40 0.00124948 +4 *1942:39 0.00132589 +5 *1942:34 0.00601519 +6 *1942:33 0.00670708 +7 *1942:26 0.00163504 +8 *1942:22 0.00159643 +9 *1942:14 0.00188408 +10 *1942:13 0.00100993 +11 *1942:11 0.000760007 +12 *2474:mprj_io_holdover[12] *2474:mprj_io_out[12] 0 +13 *1942:11 *1969:13 8.24797e-05 +14 *1942:11 *2050:13 0.000304291 +15 *1942:11 *2131:10 0 +16 *1942:11 *2359:13 0.000313481 +17 *1942:14 *2474:mprj_io_out[11] 0.000220988 +18 *1942:14 *2050:18 4.3116e-06 +19 *1942:22 *1969:13 0.000163237 +20 *1942:22 *1996:38 5.04829e-06 +21 *1942:22 *2049:27 6.08467e-05 +22 *1942:22 *2131:15 6.46773e-05 +23 *1942:26 *1968:29 0.000295104 +24 *1942:34 *2022:7 0 +25 *1942:40 *2474:mprj_io_inp_dis[12] 0 +26 *1942:40 *1969:17 0.000575174 +27 *1942:40 *2050:18 0.00120212 +28 *1942:40 *2324:13 0.00163428 +29 *2453:serial_data_in *1942:11 4.89469e-06 +30 *2453:serial_load *1942:11 0.00032161 +31 *2474:mprj_io_analog_sel[11] *1942:14 0.000538784 +32 *2474:mprj_io_dm[38] *2474:mprj_io_holdover[12] 0 +33 *2474:mprj_io_dm[38] *1942:40 0.000689779 +34 *102:60 *1942:11 0.00039558 +35 *103:15 *1942:11 5.35541e-05 +36 *502:13 *1942:11 4.88112e-06 +37 *502:18 *1942:22 0.00174278 +38 *502:18 *1942:26 0.000939007 +39 *502:22 *1942:26 1.07248e-05 +40 *502:22 *1942:33 0.000835872 +41 *1779:14 *1942:14 0 +42 *1779:20 *1942:22 9.71323e-06 +43 *1779:20 *1942:26 0.000570713 +44 *1806:18 *1942:14 0.0049269 +45 *1806:26 *1942:22 0.000158451 +46 *1807:20 *1942:40 0.00114814 +47 *1834:14 *1942:26 0.00106572 +48 *1834:14 *1942:33 0.00172233 +49 *1885:20 *1942:22 0.000826814 +50 *1889:7 *1942:11 7.68331e-05 +51 *1889:8 *1942:11 0.00213893 +52 *1889:8 *1942:14 0 +53 *1889:12 *1942:14 0.00342862 +54 *1889:18 *1942:34 0 +55 *1889:25 *1942:34 0.00232445 +56 *1889:25 *1942:39 0.00036939 +*RES +1 *2453:pad_gpio_holdover *1942:11 47.0706 +2 *1942:11 *1942:13 4.5 +3 *1942:13 *1942:14 79.4842 +4 *1942:14 *1942:22 49.8953 +5 *1942:22 *1942:26 45.1892 +6 *1942:26 *1942:33 49.9958 +7 *1942:33 *1942:34 178.314 +8 *1942:34 *1942:39 15.2694 +9 *1942:39 *1942:40 69.3105 +10 *1942:40 *2474:mprj_io_holdover[12] 4.01818 +*END + +*D_NET *1943 0.018437 +*CONN +*I *2474:mprj_io_holdover[13] I *D chip_io_alt +*I *2454:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[13] 0.00050888 +2 *2454:pad_gpio_holdover 2.68958e-05 +3 *1943:13 0.000701379 +4 *1943:8 0.000749 +5 *1943:7 0.000583396 +6 *2474:mprj_io_holdover[13] *2474:mprj_io_out[13] 0.00205535 +7 *2474:mprj_io_holdover[13] *1997:19 0.00247028 +8 *1943:8 *2078:5 0.00255514 +9 *1943:8 *2105:5 0.00359925 +10 *1943:13 *2105:10 0.00227622 +11 *2474:mprj_io_dm[41] *2474:mprj_io_holdover[13] 8.10016e-06 +12 *1835:13 *1943:13 1.65872e-05 +13 *1893:8 *1943:8 0.000510588 +14 *1893:13 *1943:13 0.00237598 +*RES +1 *2454:pad_gpio_holdover *1943:7 3.43197 +2 *1943:7 *1943:8 58.0987 +3 *1943:8 *1943:13 34.126 +4 *1943:13 *2474:mprj_io_holdover[13] 43.3572 +*END + +*D_NET *1944 0.0210705 +*CONN +*I *2474:mprj_io_holdover[14] I *D chip_io_alt +*I *2461:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[14] 0.00036066 +2 *2461:pad_gpio_holdover 0.000389738 +3 *1944:8 0.00152836 +4 *1944:7 0.00155744 +5 *2474:mprj_io_holdover[14] *2474:mprj_io_oeb[14] 0.000118972 +6 *2474:mprj_io_holdover[14] *2025:10 1.92336e-05 +7 *2474:mprj_io_holdover[14] *2106:21 0 +8 *2474:mprj_io_holdover[14] *2326:14 0.000776313 +9 *1944:7 *1971:7 0.000334486 +10 *1944:8 *1971:8 0.00805653 +11 *1896:7 *1944:7 0.000344082 +12 *1896:8 *1944:8 0.00758469 +*RES +1 *2461:pad_gpio_holdover *1944:7 5.34423 +2 *1944:7 *1944:8 129.107 +3 *1944:8 *2474:mprj_io_holdover[14] 21.1058 +*END + +*D_NET *1945 0.020881 +*CONN +*I *2474:mprj_io_holdover[15] I *D chip_io_alt +*I *2462:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[15] 9.83336e-05 +2 *2462:pad_gpio_holdover 0.00055349 +3 *1945:23 0.000802393 +4 *1945:16 0.0011612 +5 *1945:13 0.000558586 +6 *1945:8 0.00058313 +7 *1945:7 0.00103517 +8 *2474:mprj_io_holdover[15] *2474:mprj_io_out[15] 0 +9 *2474:mprj_io_holdover[15] *2107:14 0.000202472 +10 *1945:7 *2053:7 0 +11 *1945:13 *2080:13 5.04829e-06 +12 *1945:16 *1972:24 0.00236312 +13 *1945:16 *2080:14 1.72818e-05 +14 *1945:16 *2161:8 0.00254589 +15 *1945:23 *1972:24 1.41761e-05 +16 *1945:23 *1972:26 0.00173412 +17 *1945:23 *2080:14 0.00178621 +18 *2474:mprj_io_dm[47] *2474:mprj_io_holdover[15] 0 +19 *489:8 *1945:8 0.00357093 +20 *517:20 *1945:8 6.80434e-05 +21 *1899:7 *1945:7 0.0003554 +22 *1899:8 *1945:8 0.003426 +*RES +1 *2462:pad_gpio_holdover *1945:7 5.42072 +2 *1945:7 *1945:8 57.2682 +3 *1945:8 *1945:13 10.8326 +4 *1945:13 *1945:16 43.1984 +5 *1945:16 *1945:23 48.322 +6 *1945:23 *2474:mprj_io_holdover[15] 3.93268 +*END + +*D_NET *1946 0.0175228 +*CONN +*I *2474:mprj_io_holdover[16] I *D chip_io_alt +*I *2463:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[16] 0.000457123 +2 *2463:pad_gpio_holdover 0.000387705 +3 *1946:17 0.00126732 +4 *1946:8 0.00173704 +5 *1946:7 0.00131455 +6 *2474:mprj_io_holdover[16] *2474:mprj_io_out[16] 0 +7 *2474:mprj_io_holdover[16] *2027:9 0.000505268 +8 *1946:7 *1973:7 0.000311332 +9 *1946:8 *2135:8 0.00467566 +10 *1946:17 *2054:20 1.2693e-05 +11 *1946:17 *2108:16 0.00147144 +12 *2474:mprj_io_dm[50] *2474:mprj_io_holdover[16] 0.00106051 +13 *2474:mprj_io_dm[50] *1946:17 8.99726e-05 +14 *518:8 *1946:8 0.000313919 +15 *1784:8 *1946:8 0.000743997 +16 *1838:8 *1946:8 0.000287034 +17 *1838:14 *2474:mprj_io_holdover[16] 0 +18 *1838:14 *1946:8 0 +19 *1838:14 *1946:17 0 +20 *1900:7 *1946:7 0 +21 *1901:7 *1946:7 0 +22 *1903:12 *1946:7 2.44479e-06 +23 *1903:16 *1946:8 0.00287504 +24 *1903:16 *1946:17 9.71323e-06 +*RES +1 *2463:pad_gpio_holdover *1946:7 5.03827 +2 *1946:7 *1946:8 85.7129 +3 *1946:8 *1946:17 40.8879 +4 *1946:17 *2474:mprj_io_holdover[16] 23.2785 +*END + +*D_NET *1947 0.0193727 +*CONN +*I *2474:mprj_io_holdover[17] I *D chip_io_alt +*I *2464:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[17] 5.39416e-05 +2 *2464:pad_gpio_holdover 0.000567772 +3 *1947:23 0.000559455 +4 *1947:17 0.00161149 +5 *1947:13 0.0012607 +6 *1947:8 0.000719481 +7 *1947:7 0.00113253 +8 *2474:mprj_io_holdover[17] *1974:24 6.11344e-05 +9 *1947:7 *1974:7 0.000128271 +10 *1947:8 *2109:8 9.97028e-05 +11 *1947:13 *2294:14 0.000104572 +12 *1947:17 *2109:18 0.000591666 +13 *1947:23 *2474:mprj_io_out[17] 0.000130106 +14 *1947:23 *2163:14 0.00039759 +15 *1947:23 *2163:23 0.00110851 +16 *1947:23 *2312:10 0.0003295 +17 *106:14 *1947:8 0.00383441 +18 *491:25 *1947:13 1.61631e-05 +19 *491:28 *1947:23 0.00192077 +20 *1812:23 *1947:17 0.00112352 +21 *1905:7 *1947:7 0 +22 *1905:8 *1947:8 0.00353321 +23 *1906:7 *1947:7 8.81646e-05 +*RES +1 *2464:pad_gpio_holdover *1947:7 5.26774 +2 *1947:7 *1947:8 61.8359 +3 *1947:8 *1947:13 12.4964 +4 *1947:13 *1947:17 46.0947 +5 *1947:17 *1947:23 48.3875 +6 *1947:23 *2474:mprj_io_holdover[17] 6.17933 +*END + +*D_NET *1948 0.0417914 +*CONN +*I *2474:mprj_io_holdover[18] I *D chip_io_alt +*I *2465:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[18] 0.000621255 +2 *2465:pad_gpio_holdover 0.00194073 +3 *1948:22 0.00135421 +4 *1948:21 0.000732959 +5 *1948:19 0.000788359 +6 *1948:18 0.00121471 +7 *1948:15 0.00236708 +8 *2474:mprj_io_holdover[18] *2295:13 0.000125378 +9 *1948:15 *1975:12 0.00181004 +10 *1948:15 *1975:13 0.000897912 +11 *1948:19 *1975:19 0.0105905 +12 *1948:22 *2474:mprj_io_inp_dis[18] 0.000925994 +13 *1948:22 *2164:10 0.000524464 +14 *1948:22 *2295:13 0 +15 *2474:mprj_io_analog_sel[18] *2474:mprj_io_holdover[18] 0.000674897 +16 *2474:mprj_io_dm[56] *2474:mprj_io_holdover[18] 0 +17 *107:27 *1948:19 0.00993659 +18 *107:57 *2474:mprj_io_holdover[18] 0 +19 *107:57 *1948:22 0.00157442 +20 *107:58 *2474:mprj_io_holdover[18] 0.000253457 +21 *1786:18 *1948:22 0.00266595 +22 *1908:10 *1948:22 0.00277522 +23 *1909:10 *1948:22 0 +24 *1909:16 *1948:22 1.72799e-05 +*RES +1 *2465:pad_gpio_holdover *1948:15 44.114 +2 *1948:15 *1948:18 16.0904 +3 *1948:18 *1948:19 111.09 +4 *1948:19 *1948:21 4.5 +5 *1948:21 *1948:22 70.7639 +6 *1948:22 *2474:mprj_io_holdover[18] 34.0263 +*END + +*D_NET *1949 0.0194929 +*CONN +*I *2474:mprj_io_holdover[19] I *D chip_io_alt +*I *2466:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[19] 0.000449568 +2 *2466:pad_gpio_holdover 0.000401991 +3 *1949:17 0.00111751 +4 *1949:8 0.00149511 +5 *1949:7 0.00122916 +6 *2474:mprj_io_holdover[19] *2474:mprj_io_out[19] 0 +7 *2474:mprj_io_holdover[19] *2030:15 0.000435402 +8 *1949:7 *1976:7 0.000149185 +9 *1949:7 *2057:13 0 +10 *1949:8 *2057:13 0.000520871 +11 *1949:8 *2084:8 4.61488e-05 +12 *1949:8 *2138:10 1.2819e-05 +13 *1949:8 *2138:12 1.3813e-05 +14 *1949:8 *2165:14 0.00340576 +15 *1949:8 *2165:27 0.00065321 +16 *1949:17 *2084:8 0.000543776 +17 *1949:17 *2111:14 0.00168129 +18 *2474:mprj_io_analog_sel[19] *2474:mprj_io_holdover[19] 0.000674897 +19 *2474:mprj_io_dm[59] *2474:mprj_io_holdover[19] 4.35659e-05 +20 *474:42 *1949:8 0.000357167 +21 *475:16 *2474:mprj_io_holdover[19] 0 +22 *1814:8 *1949:8 0.000276812 +23 *1814:8 *1949:17 0.000588095 +24 *1912:7 *1949:7 0.000282418 +25 *1912:8 *1949:8 0.00385448 +26 *1912:14 *1949:8 0.00124608 +27 *1912:14 *1949:17 1.3813e-05 +*RES +1 *2466:pad_gpio_holdover *1949:7 5.03827 +2 *1949:7 *1949:8 84.8824 +3 *1949:8 *1949:17 44.8967 +4 *1949:17 *2474:mprj_io_holdover[19] 19.8588 +*END + +*D_NET *1950 0.0135376 +*CONN +*I *2474:mprj_io_holdover[1] I *D chip_io_alt +*I *2445:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[1] 0.000329486 +2 *2445:pad_gpio_holdover 0.000319206 +3 *1950:20 0.000855119 +4 *1950:17 0.000962702 +5 *1950:13 0.000756274 +6 *1950:13 *2445:pad_gpio_in 0.000710303 +7 *1950:13 *1977:7 3.84497e-05 +8 *1950:13 *2112:13 1.92172e-05 +9 *1950:17 *2004:11 0.00258632 +10 *1950:17 *2166:14 0.00258272 +11 *1950:20 *2004:11 0.00283747 +12 *2474:mprj_io_dm[5] *2474:mprj_io_holdover[1] 0.000104034 +13 *485:53 *1950:13 3.93117e-06 +14 *1815:19 *1950:17 5.04829e-06 +15 *1815:20 *1950:20 0.00138386 +16 *1913:13 *1950:13 3.84497e-05 +17 *1913:19 *1950:17 5.04829e-06 +*RES +1 *2445:pad_gpio_holdover *1950:13 21.4514 +2 *1950:13 *1950:17 47.4742 +3 *1950:17 *1950:20 49.9335 +4 *1950:20 *2474:mprj_io_holdover[1] 16.4308 +*END + +*D_NET *1951 0.0165626 +*CONN +*I *2474:mprj_io_holdover[20] I *D chip_io_alt +*I *2467:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[20] 0.00346868 +2 *2467:pad_gpio_holdover 0.00346868 +3 *2474:mprj_io_holdover[20] *2474:mprj_io_ib_mode_sel[20] 0.000304054 +4 *2474:mprj_io_holdover[20] *2474:mprj_io_vtrip_sel[20] 0.000144219 +5 *2474:mprj_io_holdover[20] *2167:19 0.00796673 +6 *2474:mprj_io_analog_sel[20] *2474:mprj_io_holdover[20] 0.000158424 +7 *2474:mprj_io_dm[62] *2474:mprj_io_holdover[20] 8.1662e-05 +8 *109:16 *2474:mprj_io_holdover[20] 0 +9 *1914:15 *2474:mprj_io_holdover[20] 0.000192761 +10 *1916:18 *2474:mprj_io_holdover[20] 0.000777418 +*RES +1 *2467:pad_gpio_holdover *2474:mprj_io_holdover[20] 42.2408 +*END + +*D_NET *1952 0.01978 +*CONN +*I *2474:mprj_io_holdover[21] I *D chip_io_alt +*I *2468:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[21] 0.000563067 +2 *2468:pad_gpio_holdover 0.000441727 +3 *1952:14 0.00169721 +4 *1952:8 0.00179556 +5 *1952:7 0.00110315 +6 *2474:mprj_io_holdover[21] *2474:mprj_io_oeb[21] 2.01595e-05 +7 *2474:mprj_io_holdover[21] *2474:mprj_io_out[21] 0.000258162 +8 *2474:mprj_io_holdover[21] *2087:13 9.09602e-06 +9 *2474:mprj_io_holdover[21] *2298:22 0.000199733 +10 *2474:mprj_io_holdover[21] *2316:13 0.000986711 +11 *1952:7 *1979:10 0.000332207 +12 *1952:8 *1979:10 0.00243375 +13 *1952:8 *1979:14 1.23804e-05 +14 *1952:14 *2087:8 0 +15 *1952:14 *2168:8 0 +16 *2474:mprj_io_analog_sel[21] *2474:mprj_io_holdover[21] 0.000171064 +17 *2474:mprj_io_dm[65] *2474:mprj_io_holdover[21] 0.000208984 +18 *477:14 *1952:8 0.00239469 +19 *494:20 *1952:8 0.000639653 +20 *523:8 *1952:8 0.0048553 +21 *1817:8 *1952:14 0.000102508 +22 *1917:14 *1952:14 0.00122262 +23 *1919:10 *1952:7 0.000332207 +*RES +1 *2468:pad_gpio_holdover *1952:7 5.34423 +2 *1952:7 *1952:8 78.0308 +3 *1952:8 *1952:14 46.1663 +4 *1952:14 *2474:mprj_io_holdover[21] 35.5335 +*END + +*D_NET *1953 0.0204426 +*CONN +*I *2474:mprj_io_holdover[22] I *D chip_io_alt +*I *2469:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[22] 0.000203923 +2 *2469:pad_gpio_holdover 0.000684724 +3 *1953:17 0.000882346 +4 *1953:8 0.0017259 +5 *1953:7 0.0017322 +6 *2474:mprj_io_holdover[22] *2474:mprj_io_out[22] 0.000509942 +7 *1953:7 *1980:7 0.000289454 +8 *1953:8 *1980:16 0.00274197 +9 *1953:17 *2061:16 0.000311015 +10 *1953:17 *2088:12 0.000513485 +11 *1953:17 *2169:16 0.00138822 +12 *2474:mprj_io_analog_sel[22] *2474:mprj_io_holdover[22] 6.30048e-06 +13 *2474:mprj_io_analog_sel[22] *1953:17 3.6583e-05 +14 *2474:mprj_io_dm[66] *1953:17 5.04829e-06 +15 *2474:mprj_io_dm[68] *2474:mprj_io_holdover[22] 6.8952e-05 +16 *2474:mprj_io_dm[68] *1953:17 3.8034e-05 +17 *111:12 *1953:8 0.00347587 +18 *111:16 *1953:8 1.3813e-05 +19 *476:22 *1953:8 0.000946932 +20 *494:20 *1953:17 0.000158897 +21 *524:16 *1953:8 0.00120872 +22 *1845:16 *1953:8 0.00338952 +23 *1920:7 *1953:7 0 +24 *1921:7 *1953:7 0 +25 *1922:7 *1953:7 6.86894e-05 +26 *1922:33 *1953:17 4.20506e-05 +*RES +1 *2469:pad_gpio_holdover *1953:7 5.42072 +2 *1953:7 *1953:8 100.039 +3 *1953:8 *1953:17 45.8605 +4 *1953:17 *2474:mprj_io_holdover[22] 13.9775 +*END + +*D_NET *1954 0.0158747 +*CONN +*I *2474:mprj_io_holdover[23] I *D chip_io_alt +*I *2470:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[23] 0.000403006 +2 *2470:pad_gpio_holdover 0.000835788 +3 *1954:16 0.00230793 +4 *1954:15 0.002245 +5 *1954:10 0.00117587 +6 *2474:mprj_io_holdover[23] *2318:10 0 +7 *1954:10 *2474:mprj_io_ib_mode_sel[23] 1.48814e-06 +8 *1954:10 *2008:11 0.000671123 +9 *1954:15 *2143:13 0.000181266 +10 *1954:16 *2062:8 0.00362966 +11 *2470:mgmt_gpio_out *1954:10 0.000461064 +12 *2474:mprj_io_analog_sel[23] *1954:16 0 +13 *2474:mprj_io_dm[71] *2474:mprj_io_holdover[23] 0.000555807 +14 *112:14 *1954:10 0.000166051 +15 *477:26 *1954:16 0.00070011 +16 *513:14 *2474:mprj_io_holdover[23] 0 +17 *513:14 *1954:16 0.000468185 +18 *1560:35 *1954:10 2.02035e-05 +19 *1846:8 *1954:16 0 +20 *1925:7 *1954:10 1.60578e-06 +21 *1926:12 *1954:10 0.00205058 +*RES +1 *2470:pad_gpio_holdover *1954:10 45.6118 +2 *1954:10 *1954:15 18.5971 +3 *1954:15 *1954:16 80.7299 +4 *1954:16 *2474:mprj_io_holdover[23] 22.0583 +*END + +*D_NET *1955 0.016648 +*CONN +*I *2474:mprj_io_holdover[24] I *D chip_io_alt +*I *2446:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[24] 0.000614091 +2 *2446:pad_gpio_holdover 0.000183525 +3 *1955:14 0.00190319 +4 *1955:13 0.00146004 +5 *1955:8 0.00112826 +6 *1955:7 0.00114084 +7 *2474:mprj_io_holdover[24] *2474:mprj_io_inp_dis[24] 0.000245826 +8 *2474:mprj_io_holdover[24] *2474:mprj_io_out[24] 0.00201554 +9 *1955:7 *1982:7 0.000133659 +10 *1955:8 *2301:11 0.00319213 +11 *1955:14 *1982:19 0 +12 *1955:14 *2090:8 0.000556128 +13 *2446:serial_load_out *1955:14 0.000435822 +14 *2474:mprj_io_analog_pol[24] *1955:14 0 +15 *2474:mprj_io_analog_sel[24] *2474:mprj_io_holdover[24] 0.000171064 +16 *2474:mprj_io_dm[74] *2474:mprj_io_holdover[24] 5.6259e-05 +17 *477:26 *1955:8 0.000229405 +18 *486:44 *2474:mprj_io_holdover[24] 0.000321121 +19 *514:13 *1955:13 5.04829e-06 +20 *514:14 *1955:8 0 +21 *1793:14 *1955:8 0.000567179 +22 *1793:14 *1955:14 0 +23 *1928:8 *1955:14 0.0021552 +24 *1929:7 *1955:7 0.000133659 +*RES +1 *2446:pad_gpio_holdover *1955:7 4.19688 +2 *1955:7 *1955:8 51.8699 +3 *1955:8 *1955:13 13.051 +4 *1955:13 *1955:14 53.7385 +5 *1955:14 *2474:mprj_io_holdover[24] 40.5165 +*END + +*D_NET *1956 0.0194028 +*CONN +*I *2474:mprj_io_holdover[25] I *D chip_io_alt +*I *2447:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[25] 0.000517571 +2 *2447:pad_gpio_holdover 0.000795655 +3 *1956:14 0.00146289 +4 *1956:13 0.000945319 +5 *1956:11 0.000795655 +6 *2474:mprj_io_holdover[25] *2474:mprj_io_out[25] 0.00019711 +7 *1956:11 *1983:7 0.000305156 +8 *1956:11 *1983:8 0.00223599 +9 *1956:11 *2118:21 5.04829e-06 +10 *1956:11 *2145:8 0.00223241 +11 *1956:14 *1983:8 8.00558e-05 +12 *1956:14 *2118:22 0.00148018 +13 *1956:14 *2145:8 0.00242582 +14 *2474:mprj_io_dm[77] *2474:mprj_io_holdover[25] 0 +15 *102:44 *1956:14 5.78792e-05 +16 *1932:7 *1956:11 0.000314753 +17 *1932:8 *1956:14 0.00555134 +*RES +1 *2447:pad_gpio_holdover *1956:11 47.136 +2 *1956:11 *1956:13 4.5 +3 *1956:13 *1956:14 91.5265 +4 *1956:14 *2474:mprj_io_holdover[25] 22.9061 +*END + +*D_NET *1957 0.0205421 +*CONN +*I *2474:mprj_io_holdover[26] I *D chip_io_alt +*I *2448:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[26] 0.000424114 +2 *2448:pad_gpio_holdover 0.000306531 +3 *1957:16 0.00186475 +4 *1957:15 0.00261547 +5 *1957:12 0.00148137 +6 *2474:mprj_io_holdover[26] *2474:mprj_io_out[26] 0.000215165 +7 *1957:12 *2448:pad_gpio_in 0.000874995 +8 *1957:12 *1984:21 0.000883265 +9 *1957:15 *1984:21 0.000202763 +10 *1957:15 *2119:15 0 +11 *1957:16 *2119:16 0.00585373 +12 *2474:mprj_io_dm[80] *2474:mprj_io_holdover[26] 0 +13 *1849:8 *1957:16 0.00581992 +*RES +1 *2448:pad_gpio_holdover *1957:12 22.2899 +2 *1957:12 *1957:15 35.172 +3 *1957:15 *1957:16 112.289 +4 *1957:16 *2474:mprj_io_holdover[26] 22.2166 +*END + +*D_NET *1958 0.0148403 +*CONN +*I *2474:mprj_io_holdover[2] I *D chip_io_alt +*I *2455:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[2] 0.000305473 +2 *2455:pad_gpio_holdover 0.000315514 +3 *1958:14 0.00103409 +4 *1958:13 0.00104413 +5 *1958:13 *2455:pad_gpio_in 0.000124349 +6 *1958:13 *1985:13 0.000934133 +7 *1958:14 *2066:14 1.28326e-05 +8 *1958:14 *2120:14 0.00481341 +9 *1958:14 *2174:14 0.0041934 +10 *2474:mprj_io_dm[8] *2474:mprj_io_holdover[2] 1.67745e-05 +11 *104:40 *1958:13 8.35615e-06 +12 *104:50 *1958:14 0.000161551 +13 *471:40 *2474:mprj_io_holdover[2] 9.29258e-05 +14 *1935:13 *1958:13 5.94319e-06 +15 *1935:24 *1958:14 0.000918736 +16 *1937:13 *1958:13 0.000858636 +*RES +1 *2455:pad_gpio_holdover *1958:13 26.0957 +2 *1958:13 *1958:14 82.8062 +3 *1958:14 *2474:mprj_io_holdover[2] 15.6991 +*END + +*D_NET *1959 0.0147563 +*CONN +*I *2474:mprj_io_holdover[3] I *D chip_io_alt +*I *2456:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[3] 0.000497567 +2 *2456:pad_gpio_holdover 0.000497056 +3 *1959:18 0.000946242 +4 *1959:15 0.00094573 +5 *2474:mprj_io_holdover[3] *2013:12 1.31872e-05 +6 *2474:mprj_io_holdover[3] *2013:13 0.00172394 +7 *2474:mprj_io_holdover[3] *2040:19 0.000151159 +8 *1959:15 *1986:13 0.000586594 +9 *1959:15 *2067:15 0 +10 *1959:18 *2013:13 2.93592e-05 +11 *1959:18 *2121:14 8.92568e-06 +12 *2455:resetn_out *2474:mprj_io_holdover[3] 0.000240961 +13 *2455:resetn_out *1959:18 0.000391491 +14 *472:38 *2474:mprj_io_holdover[3] 0.00150762 +15 *1851:15 *1959:15 3.58044e-05 +16 *1851:16 *1959:18 0.00290903 +17 *1851:22 *2474:mprj_io_holdover[3] 0.000102664 +18 *1851:22 *1959:18 4.61962e-05 +19 *1860:13 *1959:15 0.000704799 +20 *1860:16 *1959:18 0.00286995 +21 *1860:23 *1959:18 2.6506e-05 +22 *1938:13 *1959:15 0.000521541 +*RES +1 *2456:pad_gpio_holdover *1959:15 30.6734 +2 *1959:15 *1959:18 48.8654 +3 *1959:18 *2474:mprj_io_holdover[3] 43.0663 +*END + +*D_NET *1960 0.0119896 +*CONN +*I *2474:mprj_io_holdover[4] I *D chip_io_alt +*I *2457:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[4] 4.25268e-05 +2 *2457:pad_gpio_holdover 0.000330698 +3 *1960:14 0.00109417 +4 *1960:13 0.00138234 +5 *2474:mprj_io_holdover[4] *2474:mprj_io_out[4] 0 +6 *1960:13 *1987:13 3.84497e-05 +7 *1960:13 *2014:31 0 +8 *1960:13 *2068:15 2.64207e-05 +9 *1960:14 *2474:mprj_io_out[4] 0.0006713 +10 *1960:14 *2474:mprj_io_vtrip_sel[4] 0.00045785 +11 *1960:14 *2014:30 0.00165016 +12 *1960:14 *2095:8 0.0039855 +13 *2474:mprj_io_dm[14] *2474:mprj_io_holdover[4] 0 +14 *108:47 *1960:14 0.000539504 +15 *495:64 *1960:14 0.000156062 +16 *1863:13 *1960:13 3.84497e-05 +17 *1863:14 *1960:14 0.00157622 +*RES +1 *2457:pad_gpio_holdover *1960:13 19.7848 +2 *1960:13 *1960:14 86.7511 +3 *1960:14 *2474:mprj_io_holdover[4] 1.20912 +*END + +*D_NET *1961 0.0133351 +*CONN +*I *2474:mprj_io_holdover[5] I *D chip_io_alt +*I *2458:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[5] 4.25268e-05 +2 *2458:pad_gpio_holdover 0.0003361 +3 *1961:14 0.00100016 +4 *1961:13 0.00129373 +5 *1961:13 *2458:pad_gpio_in 1.2366e-05 +6 *1961:13 *1988:7 3.84497e-05 +7 *1961:13 *2069:13 0.000124349 +8 *1961:14 *2474:mprj_io_out[5] 6.55232e-05 +9 *1961:14 *2474:mprj_io_vtrip_sel[5] 3.87462e-05 +10 *1961:14 *1988:8 0.00406869 +11 *1961:14 *2042:11 3.31736e-05 +12 *1961:14 *2096:14 0.00370478 +13 *2474:mprj_io_analog_sel[5] *1961:14 0.00137549 +14 *2474:mprj_io_dm[17] *2474:mprj_io_holdover[5] 0 +15 *108:49 *1961:14 0.000597423 +16 *495:64 *1961:14 1.2819e-05 +17 *1853:17 *1961:14 9.2346e-06 +18 *1865:13 *1961:13 5.94319e-06 +19 *1866:13 *1961:13 0.000575575 +*RES +1 *2458:pad_gpio_holdover *1961:13 22.6916 +2 *1961:13 *1961:14 84.0214 +3 *1961:14 *2474:mprj_io_holdover[5] 1.20912 +*END + +*D_NET *1962 0.014549 +*CONN +*I *2474:mprj_io_holdover[6] I *D chip_io_alt +*I *2459:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[6] 0.000195827 +2 *2459:pad_gpio_holdover 0.000460382 +3 *1962:16 0.000844236 +4 *1962:15 0.00110879 +5 *1962:15 *1989:13 0.000599247 +6 *2459:serial_clock *1962:16 0.000758727 +7 *2474:mprj_io_dm[20] *2474:mprj_io_holdover[6] 0 +8 *495:64 *1962:16 0.00471551 +9 *507:18 *2474:mprj_io_holdover[6] 0.000171064 +10 *507:18 *1962:16 5.66868e-06 +11 *1854:13 *1962:15 0.000470666 +12 *1854:14 *1962:16 0.00468588 +13 *1867:13 *1962:15 0.000472707 +14 *1870:13 *1962:15 6.02815e-05 +*RES +1 *2459:pad_gpio_holdover *1962:15 29.0096 +2 *1962:15 *1962:16 75.7469 +3 *1962:16 *2474:mprj_io_holdover[6] 14.1718 +*END + +*D_NET *1963 0.0458167 +*CONN +*I *2474:mprj_io_holdover[7] I *D chip_io_alt +*I *2460:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[7] 0.000361012 +2 *2460:pad_gpio_holdover 0.000142333 +3 *1963:20 0.000894646 +4 *1963:16 0.00145494 +5 *1963:13 0.00139495 +6 *1963:8 0.0103403 +7 *1963:7 0.010009 +8 *2474:mprj_io_holdover[7] *2153:16 0.000457865 +9 *2474:mprj_io_holdover[7] *2311:14 0 +10 *1963:7 *1990:7 9.77607e-05 +11 *1963:8 *2449:user_gpio_oeb 0 +12 *1963:8 *2449:user_gpio_out 0 +13 *1963:8 *1990:8 0.00221107 +14 *1963:8 *2017:10 0.000189832 +15 *1963:8 *2018:33 0.00028839 +16 *1963:8 *2071:8 0 +17 *1963:8 *2098:13 0.00015794 +18 *1963:8 *2125:8 5.65317e-05 +19 *1963:8 *2152:10 0.00199571 +20 *1963:8 *2153:16 0 +21 *1963:8 *2179:13 0.00139699 +22 *1963:13 *2125:19 5.481e-05 +23 *1963:16 *2099:16 0.000397418 +24 *2474:mprj_io_dm[22] *1963:20 5.04829e-06 +25 *2474:mprj_io_dm[23] *2474:mprj_io_holdover[7] 0.000228269 +26 *477:44 *1963:8 0.000965381 +27 *477:46 *1963:8 0.00468359 +28 *478:49 *1963:8 0 +29 *495:64 *1963:8 0 +30 *1801:20 *1963:16 0.00260008 +31 *1802:14 *1963:20 0.0022711 +32 *1856:19 *1963:20 0.000341996 +33 *1871:14 *1963:8 0 +34 *1873:13 *1963:7 6.23168e-05 +35 *1873:13 *1963:8 9.55228e-05 +36 *1873:19 *1963:13 0.000114639 +37 *1873:20 *1963:16 0 +38 *1874:21 *1963:20 5.04829e-06 +39 *1875:14 *1963:20 0.0022675 +40 *1876:13 *1963:8 0.000274653 +*RES +1 *2460:pad_gpio_holdover *1963:7 3.9674 +2 *1963:7 *1963:8 369.538 +3 *1963:8 *1963:13 19.7063 +4 *1963:13 *1963:16 46.1962 +5 *1963:16 *1963:20 45.8188 +6 *1963:20 *2474:mprj_io_holdover[7] 21.6908 +*END + +*D_NET *1964 0.0760231 +*CONN +*I *2474:mprj_io_holdover[8] I *D chip_io_alt +*I *2449:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[8] 0.00033709 +2 *2449:pad_gpio_holdover 7.38657e-05 +3 *1964:16 0.00345475 +4 *1964:15 0.00401672 +5 *1964:10 0.00280512 +6 *1964:9 0.00197992 +7 *2474:mprj_io_holdover[8] *2474:mprj_io_out[8] 1.19195e-05 +8 *2474:mprj_io_holdover[8] *2474:mprj_io_vtrip_sel[8] 5.3432e-06 +9 *1964:10 *1991:10 0.00209893 +10 *1964:10 *2018:37 9.87197e-06 +11 *1964:10 *2072:15 7.12702e-05 +12 *1964:10 *2099:15 0.00109997 +13 *1964:10 *2126:15 0.000939016 +14 *1964:10 *2354:12 0.000364561 +15 *1964:15 *1991:15 0.00288071 +16 *1964:15 *2018:36 0.000580103 +17 *1964:16 *2071:18 0.000467019 +18 *1964:16 *2073:43 0.000148188 +19 *1964:16 *2153:30 0.000712721 +20 *1964:16 *2179:14 0.000573166 +21 *1964:16 *2180:14 0.0256478 +22 *1964:16 *2181:8 0.000519516 +23 *1802:14 *1964:16 0.000164008 +24 *1856:19 *1964:16 0.0233698 +25 *1872:14 *1964:16 0.000173309 +26 *1875:14 *1964:16 0.00194598 +27 *1878:14 *1964:16 0.00129469 +28 *1879:25 *1964:16 0.000277757 +*RES +1 *2449:pad_gpio_holdover *1964:9 3.65147 +2 *1964:9 *1964:10 89.8655 +3 *1964:10 *1964:15 7.58157 +4 *1964:15 *1964:16 51.1443 +5 *1964:16 *2474:mprj_io_holdover[8] 5.50161 +*END + +*D_NET *1965 0.0629088 +*CONN +*I *2474:mprj_io_holdover[9] I *D chip_io_alt +*I *2450:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[9] 0.000173116 +2 *2450:pad_gpio_holdover 0.000311762 +3 *1965:8 0.0131344 +4 *1965:7 0.013273 +5 *1965:7 *1992:7 0.000142487 +6 *1965:8 *1967:13 0.00520981 +7 *1965:8 *1967:20 0 +8 *1965:8 *2018:13 0.000920114 +9 *1965:8 *2019:11 0.00135541 +10 *1965:8 *2045:7 0.000134799 +11 *1965:8 *2073:44 0.00160563 +12 *1965:8 *2126:16 0.0131984 +13 *1965:8 *2153:24 0.000437916 +14 *1965:8 *2154:14 0.000736132 +15 *1965:8 *2156:17 0.00732577 +16 *1965:8 *2180:14 1.83572e-05 +17 *1965:8 *2181:8 0 +18 *1965:8 *2320:15 0.000173198 +19 *2450:serial_load *1965:8 0 +20 *2452:serial_data_in *1965:8 0 +21 *101:10 *1965:8 0.000309229 +22 *101:22 *2474:mprj_io_holdover[9] 0.00010487 +23 *1803:14 *1965:8 0.00142959 +24 *1803:27 *1965:8 0.000237656 +25 *1874:28 *1965:8 0.000742919 +26 *1879:7 *1965:7 9.71042e-05 +27 *1879:8 *1965:8 0.000628619 +28 *1879:19 *1965:8 0.000118828 +29 *1879:32 *1965:8 0.00104233 +30 *1940:10 *1965:8 4.73471e-05 +*RES +1 *2450:pad_gpio_holdover *1965:7 1.31946 +2 *1965:7 *1965:8 63.4891 +3 *1965:8 *2474:mprj_io_holdover[9] 5.73303 +*END + +*D_NET *1966 0.0104159 +*CONN +*I *2474:mprj_io_ib_mode_sel[0] I *D chip_io_alt +*I *2444:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[0] 0.000202202 +2 *2444:pad_gpio_ib_mode_sel 0.000693847 +3 *1966:14 0.000757665 +4 *1966:13 0.00124931 +5 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_oeb[0] 0 +6 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_vtrip_sel[0] 0 +7 *2474:mprj_io_ib_mode_sel[0] *2155:23 0 +8 *1966:13 *2444:pad_gpio_in 3.84497e-05 +9 *1966:13 *2074:8 0 +10 *1966:14 *2101:14 4.55455e-05 +11 *2474:mprj_io_holdover[0] *2474:mprj_io_ib_mode_sel[0] 0.000215112 +12 *1831:19 *1966:13 0.000565216 +13 *1831:19 *1966:14 0.00106334 +14 *1831:20 *1966:14 0.00234978 +15 *1858:13 *1966:13 0.000119662 +16 *1869:13 *1966:13 0.000119662 +17 *1880:10 *1966:14 0.000497776 +18 *1880:12 *1966:14 0.00245989 +19 *1939:7 *1966:13 3.84497e-05 +*RES +1 *2444:pad_gpio_ib_mode_sel *1966:13 32.5211 +2 *1966:13 *1966:14 56.23 +3 *1966:14 *2474:mprj_io_ib_mode_sel[0] 14.9668 +*END + +*D_NET *1967 0.0478443 +*CONN +*I *2474:mprj_io_ib_mode_sel[10] I *D chip_io_alt +*I *2451:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[10] 0.00285028 +2 *2451:pad_gpio_ib_mode_sel 0.00150982 +3 *1967:20 0.010306 +4 *1967:13 0.00896553 +5 *2474:mprj_io_ib_mode_sel[10] *2130:20 0 +6 *1967:13 *2019:11 0.000569924 +7 *1967:13 *2048:13 0 +8 *1967:13 *2102:10 0 +9 *1967:13 *2154:14 0.000602245 +10 *1967:13 *2156:17 0.00422128 +11 *1967:20 *2076:14 0 +12 *1967:20 *2156:17 0 +13 *2452:serial_data_in *1967:20 0.00483617 +14 *2474:mprj_io_analog_pol[10] *2474:mprj_io_ib_mode_sel[10] 0.00131349 +15 *101:10 *1967:20 0.000331297 +16 *1779:13 *2474:mprj_io_ib_mode_sel[10] 0.000424014 +17 *1779:13 *1967:20 0.000274287 +18 *1833:20 *2474:mprj_io_ib_mode_sel[10] 0.00332417 +19 *1833:20 *1967:20 0.0024642 +20 *1940:10 *1967:13 0.00064178 +21 *1965:8 *1967:13 0.00520981 +22 *1965:8 *1967:20 0 +*RES +1 *2451:pad_gpio_ib_mode_sel *1967:13 13.6456 +2 *1967:13 *1967:20 49.8821 +3 *1967:20 *2474:mprj_io_ib_mode_sel[10] 27.9705 +*END + +*D_NET *1968 0.0742888 +*CONN +*I *2474:mprj_io_ib_mode_sel[11] I *D chip_io_alt +*I *2452:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[11] 3.4318e-05 +2 *2452:pad_gpio_ib_mode_sel 0.000298868 +3 *1968:29 0.00315062 +4 *1968:25 0.00405758 +5 *1968:14 0.00195868 +6 *1968:13 0.00131627 +7 *1968:13 *2452:pad_gpio_in 0.000639189 +8 *1968:14 *2474:mprj_io_vtrip_sel[10] 0.000199522 +9 *1968:14 *1994:11 0.00701036 +10 *1968:14 *2076:14 4.72992e-05 +11 *1968:14 *2103:18 0.000202954 +12 *1968:14 *2129:14 0.00711454 +13 *1968:14 *2156:17 0.000546645 +14 *1968:25 *2474:mprj_io_slow_sel[10] 5.04829e-06 +15 *1968:25 *2157:20 0.000111681 +16 *1968:29 *2474:mprj_io_vtrip_sel[11] 0 +17 *1968:29 *2076:29 0.023558 +18 *1968:29 *2104:14 0.000477845 +19 *101:22 *1968:13 0 +20 *487:13 *1968:13 0.000574696 +21 *1882:14 *1968:25 0.000591672 +22 *1884:14 *1968:25 0 +23 *1889:18 *2474:mprj_io_ib_mode_sel[11] 7.88241e-05 +24 *1941:7 *1968:13 2.72062e-05 +25 *1941:8 *1968:29 0.0219919 +26 *1942:26 *1968:29 0.000295104 +*RES +1 *2452:pad_gpio_ib_mode_sel *1968:13 23.3855 +2 *1968:13 *1968:14 114.781 +3 *1968:14 *1968:25 37.6406 +4 *1968:25 *1968:29 48.2607 +5 *1968:29 *2474:mprj_io_ib_mode_sel[11] 4.70004 +*END + +*D_NET *1969 0.0717128 +*CONN +*I *2474:mprj_io_ib_mode_sel[12] I *D chip_io_alt +*I *2453:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[12] 0.00016888 +2 *2453:pad_gpio_ib_mode_sel 0.00432339 +3 *1969:17 0.00705231 +4 *1969:13 0.0112068 +5 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_oeb[12] 0 +6 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_vtrip_sel[12] 0 +7 *1969:13 *2050:13 0 +8 *1969:17 *1996:19 0.000407139 +9 *1969:17 *1996:32 0.000117573 +10 *1969:17 *2049:30 0.000241346 +11 *1969:17 *2076:29 0.000146522 +12 *1969:17 *2077:15 0.000100472 +13 *1969:17 *2157:20 0.000580672 +14 *1969:17 *2158:15 0.0236601 +15 *1969:17 *2324:13 0.00026521 +16 *2474:mprj_io_analog_pol[11] *1969:17 0.000159945 +17 *501:14 *1969:13 0.000500505 +18 *502:16 *1969:13 0.000585884 +19 *502:18 *1969:13 0.000710384 +20 *1779:20 *1969:13 0.000730668 +21 *1807:20 *1969:17 0.00194344 +22 *1834:14 *1969:13 0.00111891 +23 *1885:20 *1969:13 0.000736708 +24 *1886:8 *1969:17 0.00334896 +25 *1887:14 *1969:17 0.00169149 +26 *1888:14 *1969:17 0.00210186 +27 *1941:8 *1969:13 0.00899269 +28 *1942:11 *1969:13 8.24797e-05 +29 *1942:22 *1969:13 0.000163237 +30 *1942:40 *1969:17 0.000575174 +*RES +1 *2453:pad_gpio_ib_mode_sel *1969:13 22.2992 +2 *1969:13 *1969:17 48.3338 +3 *1969:17 *2474:mprj_io_ib_mode_sel[12] 8.01595 +*END + +*D_NET *1970 0.0134335 +*CONN +*I *2474:mprj_io_ib_mode_sel[13] I *D chip_io_alt +*I *2454:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[13] 0.000555689 +2 *2454:pad_gpio_ib_mode_sel 0.00101604 +3 *1970:22 2.68684e-05 +4 *1970:10 0.000710125 +5 *1970:5 0.00114361 +6 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_oeb[13] 0 +7 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_out[13] 0.00114231 +8 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_vtrip_sel[13] 0.000171064 +9 *2474:mprj_io_ib_mode_sel[13] *1997:19 0.000356752 +10 *2474:mprj_io_ib_mode_sel[13] *2024:7 0.00191674 +11 *1970:5 *2105:5 0.000375891 +12 *1970:10 *2078:10 0.00187072 +13 *1970:10 *2159:13 0.000105636 +14 *1537:23 *1970:10 0.00212559 +15 *1835:8 *1970:5 0.00191642 +*RES +1 *2454:pad_gpio_ib_mode_sel *1970:5 46.5184 +2 *1970:5 *1970:10 31.3529 +3 *1970:10 *2474:mprj_io_ib_mode_sel[13] 39.4001 +4 *2454:pad_gpio_ib_mode_sel *1970:22 0.0631875 +*END + +*D_NET *1971 0.0227969 +*CONN +*I *2474:mprj_io_ib_mode_sel[14] I *D chip_io_alt +*I *2461:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[14] 0.000477343 +2 *2461:pad_gpio_ib_mode_sel 0.000389272 +3 *1971:8 0.00200072 +4 *1971:7 0.00191265 +5 *2474:mprj_io_ib_mode_sel[14] *2474:mprj_io_oeb[14] 5.6259e-05 +6 *1971:7 *2461:pad_gpio_in 0.000129968 +7 *2461:resetn *1971:7 9.37015e-05 +8 *516:8 *1971:8 0.00934598 +9 *1944:7 *1971:7 0.000334486 +10 *1944:8 *1971:8 0.00805653 +*RES +1 *2461:pad_gpio_ib_mode_sel *1971:7 5.26774 +2 *1971:7 *1971:8 149.869 +3 *1971:8 *2474:mprj_io_ib_mode_sel[14] 21.1058 +*END + +*D_NET *1972 0.0193371 +*CONN +*I *2474:mprj_io_ib_mode_sel[15] I *D chip_io_alt +*I *2462:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[15] 0.000481425 +2 *2462:pad_gpio_ib_mode_sel 0.00107071 +3 *1972:26 0.00160469 +4 *1972:24 0.00199432 +5 *1972:19 0.00217361 +6 *1972:15 0.00219797 +7 *1972:12 0.00196613 +8 *2474:mprj_io_ib_mode_sel[15] *2474:mprj_io_oeb[15] 0.000284651 +9 *1972:12 *2462:pad_gpio_in 0.00111299 +10 *1972:19 *1999:9 0.00179055 +11 *1972:26 *2080:14 0.000548682 +12 *517:16 *1972:26 0 +13 *1783:12 *1972:12 0 +14 *1810:12 *1972:19 0 +15 *1837:13 *1972:19 0 +16 *1945:16 *1972:24 0.00236312 +17 *1945:23 *1972:24 1.41761e-05 +18 *1945:23 *1972:26 0.00173412 +*RES +1 *2462:pad_gpio_ib_mode_sel *1972:12 42.9395 +2 *1972:12 *1972:15 28.5167 +3 *1972:15 *1972:19 46.2481 +4 *1972:19 *1972:24 43.9612 +5 *1972:24 *1972:26 47.3021 +6 *1972:26 *2474:mprj_io_ib_mode_sel[15] 23.1848 +*END + +*D_NET *1973 0.0227569 +*CONN +*I *2474:mprj_io_ib_mode_sel[16] I *D chip_io_alt +*I *2463:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[16] 0.000477837 +2 *2463:pad_gpio_ib_mode_sel 0.000554185 +3 *1973:16 0.00110441 +4 *1973:8 0.00137318 +5 *1973:7 0.00130078 +6 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_oeb[16] 0 +7 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_out[16] 0 +8 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_vtrip_sel[16] 0.00100478 +9 *2474:mprj_io_ib_mode_sel[16] *2027:9 0.000926678 +10 *1973:7 *2463:pad_gpio_in 5.36925e-05 +11 *1973:7 *2054:7 0 +12 *1973:8 *2108:8 0.00556391 +13 *1973:8 *2328:19 8.35615e-06 +14 *1973:16 *2081:20 0.0014944 +15 *1973:16 *2328:19 0.000124349 +16 *472:8 *1973:8 0.00560656 +17 *472:8 *1973:16 9.71323e-06 +18 *518:8 *1973:16 0.00284277 +19 *1946:7 *1973:7 0.000311332 +*RES +1 *2463:pad_gpio_ib_mode_sel *1973:7 5.5737 +2 *1973:7 *1973:8 89.8655 +3 *1973:8 *1973:16 49.9158 +4 *1973:16 *2474:mprj_io_ib_mode_sel[16] 34.164 +*END + +*D_NET *1974 0.020475 +*CONN +*I *2474:mprj_io_ib_mode_sel[17] I *D chip_io_alt +*I *2464:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[17] 5.36865e-05 +2 *2464:pad_gpio_ib_mode_sel 0.000179716 +3 *1974:24 0.00136915 +4 *1974:22 0.0016586 +5 *1974:17 0.00104229 +6 *1974:8 0.00135736 +7 *1974:7 0.000837924 +8 *1974:7 *2464:pad_gpio_in 6.67357e-05 +9 *1974:7 *2055:7 0 +10 *1974:7 *2109:7 0 +11 *1974:8 *2136:8 0.00353598 +12 *1974:17 *2055:13 0 +13 *1974:17 *2055:14 0.00149895 +14 *1974:17 *2294:13 2.16355e-05 +15 *1974:22 *2294:13 0.000200654 +16 *1974:22 *2312:9 0 +17 *1974:24 *2474:mprj_io_out[17] 8.1645e-05 +18 *1974:24 *2474:mprj_io_vtrip_sel[17] 8.1645e-05 +19 *1974:24 *2028:9 0.00127967 +20 *1974:24 *2312:9 0.00222963 +21 *2474:mprj_io_dm[52] *1974:22 0.000208984 +22 *2474:mprj_io_holdover[17] *1974:24 6.11344e-05 +23 *473:8 *1974:8 0 +24 *473:8 *1974:22 0 +25 *473:8 *1974:24 0 +26 *1785:20 *1974:17 0.00149895 +27 *1812:23 *1974:17 5.04829e-06 +28 *1904:8 *1974:8 0.00293058 +29 *1906:22 *1974:17 0.000146784 +30 *1947:7 *1974:7 0.000128271 +*RES +1 *2464:pad_gpio_ib_mode_sel *1974:7 4.12039 +2 *1974:7 *1974:8 57.2682 +3 *1974:8 *1974:17 48.6307 +4 *1974:17 *1974:22 16.408 +5 *1974:22 *1974:24 59.5521 +6 *1974:24 *2474:mprj_io_ib_mode_sel[17] 1.20912 +*END + +*D_NET *1975 0.0432914 +*CONN +*I *2474:mprj_io_ib_mode_sel[18] I *D chip_io_alt +*I *2465:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[18] 0.000482264 +2 *2465:pad_gpio_ib_mode_sel 0.000810667 +3 *1975:22 0.00135472 +4 *1975:21 0.000872458 +5 *1975:19 0.00298954 +6 *1975:18 0.00339952 +7 *1975:13 0.00251026 +8 *1975:12 0.00291095 +9 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_oeb[18] 0.00188275 +10 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_out[18] 0.000459083 +11 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_vtrip_sel[18] 0.000171064 +12 *1975:19 *2110:15 0.000298304 +13 *1975:22 *2474:mprj_io_inp_dis[18] 0.00027027 +14 *1975:22 *2056:10 0.00144292 +15 *1975:22 *2110:16 0.00565346 +16 *107:27 *1975:19 0.00108667 +17 *474:14 *1975:22 0.00090832 +18 *1813:16 *1975:22 0.00231656 +19 *1840:13 *1975:19 0.000173182 +20 *1948:15 *1975:12 0.00181004 +21 *1948:15 *1975:13 0.000897912 +22 *1948:19 *1975:19 0.0105905 +*RES +1 *2465:pad_gpio_ib_mode_sel *1975:12 39.674 +2 *1975:12 *1975:13 57.8476 +3 *1975:13 *1975:18 20.3828 +4 *1975:18 *1975:19 139.374 +5 *1975:19 *1975:21 4.5 +6 *1975:21 *1975:22 90.696 +7 *1975:22 *2474:mprj_io_ib_mode_sel[18] 36.3074 +*END + +*D_NET *1976 0.0172311 +*CONN +*I *2474:mprj_io_ib_mode_sel[19] I *D chip_io_alt +*I *2466:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[19] 0.000295278 +2 *2466:pad_gpio_ib_mode_sel 0.00018158 +3 *1976:21 0.00154275 +4 *1976:16 0.00234056 +5 *1976:13 0.00154713 +6 *1976:8 0.00159228 +7 *1976:7 0.00131981 +8 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_oeb[19] 0.000669816 +9 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_vtrip_sel[19] 6.8952e-05 +10 *2474:mprj_io_ib_mode_sel[19] *2030:15 5.99922e-05 +11 *1976:7 *2466:pad_gpio_in 5.36925e-05 +12 *1976:7 *2057:13 0 +13 *1976:13 *2165:27 3.24705e-06 +14 *1976:21 *2474:mprj_io_oeb[19] 4.57934e-05 +15 *474:42 *1976:8 0.000405863 +16 *475:16 *1976:8 0.00133027 +17 *493:8 *1976:8 0.000860035 +18 *493:16 *1976:13 0.000356844 +19 *493:16 *1976:16 0.00152574 +20 *493:20 *1976:16 9.71323e-06 +21 *521:8 *1976:16 0 +22 *521:8 *1976:21 0.000929799 +23 *1841:19 *1976:16 0.000560261 +24 *1911:18 *1976:8 0.00138248 +25 *1949:7 *1976:7 0.000149185 +*RES +1 *2466:pad_gpio_ib_mode_sel *1976:7 4.19688 +2 *1976:7 *1976:8 64.7427 +3 *1976:8 *1976:13 20.2609 +4 *1976:13 *1976:16 45.69 +5 *1976:16 *1976:21 46.4529 +6 *1976:21 *2474:mprj_io_ib_mode_sel[19] 17.9835 +*END + +*D_NET *1977 0.0112935 +*CONN +*I *2474:mprj_io_ib_mode_sel[1] I *D chip_io_alt +*I *2445:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[1] 0.000113227 +2 *2445:pad_gpio_ib_mode_sel 0.000169222 +3 *1977:19 0.000584964 +4 *1977:8 0.00094612 +5 *1977:7 0.000643605 +6 *2474:mprj_io_ib_mode_sel[1] *2474:mprj_io_oeb[1] 0 +7 *1977:7 *2445:pad_gpio_in 4.80459e-05 +8 *1977:8 *2474:mprj_io_oeb[1] 0 +9 *1977:8 *2085:8 0.00272074 +10 *100:89 *1977:19 0 +11 *100:90 *1977:19 0.00145704 +12 *467:55 *2474:mprj_io_ib_mode_sel[1] 0.000197391 +13 *485:53 *1977:8 0.00285572 +14 *485:60 *1977:19 5.481e-05 +15 *1842:18 *1977:19 0.00146422 +16 *1950:13 *1977:7 3.84497e-05 +*RES +1 *2445:pad_gpio_ib_mode_sel *1977:7 3.89091 +2 *1977:7 *1977:8 46.4716 +3 *1977:8 *1977:19 47.3087 +4 *1977:19 *2474:mprj_io_ib_mode_sel[1] 3.93268 +*END + +*D_NET *1978 0.0216388 +*CONN +*I *2474:mprj_io_ib_mode_sel[20] I *D chip_io_alt +*I *2467:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[20] 0.00255042 +2 *2467:pad_gpio_ib_mode_sel 0.00255042 +3 *2474:mprj_io_ib_mode_sel[20] *2467:pad_gpio_in 3.81792e-05 +4 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_out[20] 0.000107864 +5 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_vtrip_sel[20] 0.000726163 +6 *2474:mprj_io_ib_mode_sel[20] *2059:14 0 +7 *2474:mprj_io_ib_mode_sel[20] *2113:16 0.00783784 +8 *2474:mprj_io_ib_mode_sel[20] *2167:19 0.000717549 +9 *2474:mprj_io_holdover[20] *2474:mprj_io_ib_mode_sel[20] 0.000304054 +10 *474:48 *2474:mprj_io_ib_mode_sel[20] 0 +11 *522:32 *2474:mprj_io_ib_mode_sel[20] 0.000355114 +12 *1916:18 *2474:mprj_io_ib_mode_sel[20] 0.00645122 +*RES +1 *2467:pad_gpio_ib_mode_sel *2474:mprj_io_ib_mode_sel[20] 45.9906 +*END + +*D_NET *1979 0.025054 +*CONN +*I *2474:mprj_io_ib_mode_sel[21] I *D chip_io_alt +*I *2468:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[21] 0.00048416 +2 *2468:pad_gpio_ib_mode_sel 0.00103088 +3 *1979:18 0.00100667 +4 *1979:17 0.000592704 +5 *1979:14 0.000435732 +6 *1979:10 0.00139642 +7 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_oeb[21] 0.00021741 +8 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_vtrip_sel[21] 0 +9 *1979:10 *2468:pad_gpio_in 0.000129968 +10 *1979:10 *2060:7 0 +11 *476:22 *1979:10 0.000281066 +12 *476:22 *1979:14 0.000427103 +13 *477:14 *1979:14 0.00275934 +14 *477:14 *1979:18 0.00389012 +15 *494:20 *1979:18 0.000595313 +16 *523:8 *1979:10 6.80434e-05 +17 *523:8 *1979:18 0.00389371 +18 *1844:18 *1979:17 5.04829e-06 +19 *1919:10 *1979:10 0.00223982 +20 *1919:12 *1979:10 2.01595e-05 +21 *1919:12 *1979:14 0.002802 +22 *1952:7 *1979:10 0.000332207 +23 *1952:8 *1979:10 0.00243375 +24 *1952:8 *1979:14 1.23804e-05 +*RES +1 *2468:pad_gpio_ib_mode_sel *1979:10 47.8497 +2 *1979:10 *1979:14 49.3106 +3 *1979:14 *1979:17 5.778 +4 *1979:17 *1979:18 62.4588 +5 *1979:18 *2474:mprj_io_ib_mode_sel[21] 22.7751 +*END + +*D_NET *1980 0.0247503 +*CONN +*I *2474:mprj_io_ib_mode_sel[22] I *D chip_io_alt +*I *2469:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[22] 0.00037338 +2 *2469:pad_gpio_ib_mode_sel 0.000595756 +3 *1980:16 0.00124672 +4 *1980:15 0.000970938 +5 *1980:8 0.000586478 +6 *1980:7 0.00108463 +7 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_oeb[22] 0.00089474 +8 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_vtrip_sel[22] 0 +9 *1980:7 *2061:14 0 +10 *1980:8 *2088:12 0.0035904 +11 *2474:mprj_io_analog_sel[22] *1980:16 0.00122908 +12 *2474:mprj_io_dm[68] *1980:16 0.000122025 +13 *111:12 *1980:8 0.00358681 +14 *111:16 *1980:16 0.00560258 +15 *494:20 *1980:8 0.000550827 +16 *494:20 *1980:16 0.000812647 +17 *524:16 *1980:16 0.000459535 +18 *1845:16 *1980:16 1.2366e-05 +19 *1953:7 *1980:7 0.000289454 +20 *1953:8 *1980:16 0.00274197 +*RES +1 *2469:pad_gpio_ib_mode_sel *1980:7 5.26774 +2 *1980:7 *1980:8 57.6834 +3 *1980:8 *1980:15 11.122 +4 *1980:15 *1980:16 89.8655 +5 *1980:16 *2474:mprj_io_ib_mode_sel[22] 22.5369 +*END + +*D_NET *1981 0.0231131 +*CONN +*I *2474:mprj_io_ib_mode_sel[23] I *D chip_io_alt +*I *2470:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[23] 0.00158366 +2 *2470:pad_gpio_ib_mode_sel 0.00158366 +3 *2474:mprj_io_ib_mode_sel[23] *2470:pad_gpio_in 2.69096e-05 +4 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_oeb[23] 0.01029 +5 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_out[23] 0.00927152 +6 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000355832 +7 *477:26 *2474:mprj_io_ib_mode_sel[23] 0 +8 *1954:10 *2474:mprj_io_ib_mode_sel[23] 1.48814e-06 +*RES +1 *2470:pad_gpio_ib_mode_sel *2474:mprj_io_ib_mode_sel[23] 27.7432 +*END + +*D_NET *1982 0.0210177 +*CONN +*I *2474:mprj_io_ib_mode_sel[24] I *D chip_io_alt +*I *2446:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[24] 0.0010832 +2 *2446:pad_gpio_ib_mode_sel 0.000720896 +3 *1982:19 0.00216795 +4 *1982:8 0.00212593 +5 *1982:7 0.00176208 +6 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_oeb[24] 0 +7 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_out[24] 0.00136798 +8 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_vtrip_sel[24] 0 +9 *2474:mprj_io_ib_mode_sel[24] *2090:8 0 +10 *1982:7 *2446:pad_gpio_in 5.34343e-05 +11 *1982:7 *2063:7 0 +12 *1982:7 *2117:10 0 +13 *1982:8 *2117:12 0.00602476 +14 *1982:19 *2474:mprj_io_out[24] 0 +15 *1982:19 *2090:8 0 +16 *2446:serial_load_out *2474:mprj_io_ib_mode_sel[24] 0.000309803 +17 *2474:mprj_io_analog_en[24] *1982:19 5.04829e-06 +18 *2474:mprj_io_analog_pol[24] *1982:19 0 +19 *476:22 *1982:8 0.000681341 +20 *1847:14 *1982:8 9.71323e-06 +21 *1847:16 *1982:8 0.00104673 +22 *1929:7 *1982:7 0 +23 *1929:8 *1982:8 0.00352518 +24 *1955:7 *1982:7 0.000133659 +25 *1955:14 *1982:19 0 +*RES +1 *2446:pad_gpio_ib_mode_sel *1982:7 5.42072 +2 *1982:7 *1982:8 97.1324 +3 *1982:8 *1982:19 45.2381 +4 *1982:19 *2474:mprj_io_ib_mode_sel[24] 38.881 +*END + +*D_NET *1983 0.0213675 +*CONN +*I *2474:mprj_io_ib_mode_sel[25] I *D chip_io_alt +*I *2447:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[25] 0.000435463 +2 *2447:pad_gpio_ib_mode_sel 0.000483813 +3 *1983:8 0.00215603 +4 *1983:7 0.00220438 +5 *2474:mprj_io_ib_mode_sel[25] *2474:mprj_io_vtrip_sel[25] 0 +6 *1983:7 *2064:7 0 +7 *1983:8 *2064:8 0.00677253 +8 *1983:8 *2118:22 0.00541603 +9 *1983:8 *2145:8 8.67703e-05 +10 *1983:8 *2172:14 0.00109736 +11 *102:44 *1983:8 0 +12 *515:8 *2474:mprj_io_ib_mode_sel[25] 9.39647e-05 +13 *1956:11 *1983:7 0.000305156 +14 *1956:11 *1983:8 0.00223599 +15 *1956:14 *1983:8 8.00558e-05 +*RES +1 *2447:pad_gpio_ib_mode_sel *1983:7 5.19125 +2 *1983:7 *1983:8 148.624 +3 *1983:8 *2474:mprj_io_ib_mode_sel[25] 21.2301 +*END + +*D_NET *1984 0.0241744 +*CONN +*I *2474:mprj_io_ib_mode_sel[26] I *D chip_io_alt +*I *2448:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[26] 6.68716e-05 +2 *2448:pad_gpio_ib_mode_sel 0.00252529 +3 *1984:21 0.00259216 +4 *1984:21 *2448:pad_gpio_in 9.81028e-05 +5 *1984:21 *2474:mprj_io_oeb[26] 0.00896083 +6 *1984:21 *2474:mprj_io_vtrip_sel[26] 0.0061949 +7 *1984:21 *2011:7 0.000566034 +8 *1984:21 *2092:15 0.00160258 +9 *2448:mgmt_gpio_out *1984:21 0.00013217 +10 *1795:7 *1984:21 4.79986e-05 +11 *1795:8 *1984:21 0.000301444 +12 *1957:12 *1984:21 0.000883265 +13 *1957:15 *1984:21 0.000202763 +*RES +1 *2448:pad_gpio_ib_mode_sel *1984:21 49.8508 +2 *1984:21 *2474:mprj_io_ib_mode_sel[26] 1.7465 +*END + +*D_NET *1985 0.0115459 +*CONN +*I *2474:mprj_io_ib_mode_sel[2] I *D chip_io_alt +*I *2455:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[2] 0.000253573 +2 *2455:pad_gpio_ib_mode_sel 0.000498603 +3 *1985:14 0.000838562 +4 *1985:13 0.00108359 +5 *1985:13 *2455:pad_gpio_in 5.08157e-05 +6 *1985:13 *2066:13 0.000124349 +7 *1985:14 *2012:17 0.00313477 +8 *1985:14 *2093:14 0.00369853 +9 *1985:14 *2120:14 2.01595e-05 +10 *100:80 *1985:14 9.31501e-05 +11 *104:50 *1985:14 0.000193047 +12 *471:40 *2474:mprj_io_ib_mode_sel[2] 0.000111209 +13 *503:20 *1985:14 0.000510121 +14 *1924:13 *1985:13 0 +15 *1935:13 *1985:13 1.27831e-06 +16 *1958:13 *1985:13 0.000934133 +*RES +1 *2455:pad_gpio_ib_mode_sel *1985:13 27.8167 +2 *1985:13 *1985:14 63.2893 +3 *1985:14 *2474:mprj_io_ib_mode_sel[2] 14.4505 +*END + +*D_NET *1986 0.0118538 +*CONN +*I *2474:mprj_io_ib_mode_sel[3] I *D chip_io_alt +*I *2456:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[3] 0.000212619 +2 *2456:pad_gpio_ib_mode_sel 0.000478996 +3 *1986:14 0.000687396 +4 *1986:13 0.000953773 +5 *1986:13 *2456:pad_gpio_in 3.84497e-05 +6 *1986:13 *2094:8 0.000167862 +7 *1986:14 *2013:13 0.00353264 +8 *2455:resetn_out *1986:14 0.000539902 +9 *105:52 *2474:mprj_io_ib_mode_sel[3] 5.6259e-05 +10 *504:12 *1986:14 0.00352546 +11 *1824:13 *1986:13 0 +12 *1851:15 *1986:13 0.000584129 +13 *1860:13 *1986:13 0.000489728 +14 *1959:15 *1986:13 0.000586594 +*RES +1 *2456:pad_gpio_ib_mode_sel *1986:13 32.9363 +2 *1986:13 *1986:14 56.6453 +3 *1986:14 *2474:mprj_io_ib_mode_sel[3] 13.8959 +*END + +*D_NET *1987 0.0128523 +*CONN +*I *2474:mprj_io_ib_mode_sel[4] I *D chip_io_alt +*I *2457:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[4] 0.000306691 +2 *2457:pad_gpio_ib_mode_sel 0.000347824 +3 *1987:14 0.000902301 +4 *1987:13 0.000943433 +5 *2474:mprj_io_ib_mode_sel[4] *2474:mprj_io_vtrip_sel[4] 0.000194827 +6 *1987:13 *2457:pad_gpio_in 3.27908e-05 +7 *1987:13 *2014:31 0 +8 *1987:13 *2068:15 0.00094487 +9 *1987:13 *2122:13 0 +10 *1987:14 *2122:14 0.00427274 +11 *1987:14 *2176:14 0.00419099 +12 *110:98 *1987:14 3.46206e-05 +13 *493:51 *1987:14 0.000642807 +14 *1960:13 *1987:13 3.84497e-05 +*RES +1 *2457:pad_gpio_ib_mode_sel *1987:13 23.667 +2 *1987:13 *1987:14 68.6876 +3 *1987:14 *2474:mprj_io_ib_mode_sel[4] 16.3403 +*END + +*D_NET *1988 0.0111484 +*CONN +*I *2474:mprj_io_ib_mode_sel[5] I *D chip_io_alt +*I *2458:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[5] 3.05493e-05 +2 *2458:pad_gpio_ib_mode_sel 0.000252909 +3 *1988:8 0.000817235 +4 *1988:7 0.00103959 +5 *1988:7 *2458:pad_gpio_in 5.76421e-05 +6 *1988:8 *2474:mprj_io_oeb[5] 1.49792e-05 +7 *1988:8 *2042:11 0.000165997 +8 *1988:8 *2096:14 0.000197586 +9 *1988:8 *2150:8 0.00391851 +10 *108:49 *1988:8 0.000546308 +11 *1865:13 *1988:7 0 +12 *1961:13 *1988:7 3.84497e-05 +13 *1961:14 *1988:8 0.00406869 +*RES +1 *2458:pad_gpio_ib_mode_sel *1988:7 4.19688 +2 *1988:7 *1988:8 72.8401 +3 *1988:8 *2474:mprj_io_ib_mode_sel[5] 0.647305 +*END + +*D_NET *1989 0.0114757 +*CONN +*I *2474:mprj_io_ib_mode_sel[6] I *D chip_io_alt +*I *2459:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[6] 0.000141319 +2 *2459:pad_gpio_ib_mode_sel 0.000449783 +3 *1989:14 0.000609647 +4 *1989:13 0.00091811 +5 *2474:mprj_io_ib_mode_sel[6] *2043:12 9.19632e-06 +6 *1989:13 *2459:pad_gpio_in 3.84497e-05 +7 *1989:13 *2097:8 0.0001118 +8 *2459:serial_load *1989:14 0.000562156 +9 *507:18 *2474:mprj_io_ib_mode_sel[6] 0.00019711 +10 *507:18 *1989:14 0.00347336 +11 *1827:13 *1989:13 0 +12 *1854:13 *1989:13 0.000460471 +13 *1854:14 *1989:14 0.00348054 +14 *1867:13 *1989:13 7.77309e-06 +15 *1870:13 *1989:13 0.000416687 +16 *1962:15 *1989:13 0.000599247 +*RES +1 *2459:pad_gpio_ib_mode_sel *1989:13 31.4119 +2 *1989:13 *1989:14 55.8148 +3 *1989:14 *2474:mprj_io_ib_mode_sel[6] 13.4779 +*END + +*D_NET *1990 0.0590093 +*CONN +*I *2474:mprj_io_ib_mode_sel[7] I *D chip_io_alt +*I *2460:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[7] 8.74041e-05 +2 *2460:pad_gpio_ib_mode_sel 0.000302309 +3 *1990:8 0.0160139 +4 *1990:7 0.0162288 +5 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_oeb[7] 2.18561e-05 +6 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_vtrip_sel[7] 0 +7 *1990:7 *2460:pad_gpio_in 3.84497e-05 +8 *1990:7 *2071:7 0 +9 *1990:8 *2474:mprj_io_slow_sel[7] 0.000102556 +10 *1990:8 *2017:10 0.000158304 +11 *1990:8 *2017:13 0.00237421 +12 *1990:8 *2071:8 0.00243534 +13 *1990:8 *2126:16 0.0123083 +14 *1990:8 *2152:10 0.000100822 +15 *1990:8 *2153:16 0.00124035 +16 *1990:8 *2179:14 0 +17 *1990:8 *2180:14 4.52006e-05 +18 *2450:resetn *1990:8 0 +19 *2450:serial_clock *1990:8 0 +20 *2450:serial_load *1990:8 0 +21 *2474:mprj_io_analog_sel[7] *1990:8 0.000274373 +22 *110:98 *1990:8 0.000144223 +23 *477:32 *1990:8 0 +24 *477:44 *1990:8 0.00017403 +25 *477:46 *1990:8 0.00179192 +26 *478:32 *1990:8 0 +27 *478:49 *1990:8 0.000209508 +28 *1829:13 *1990:8 0 +29 *1871:14 *1990:8 0.00264862 +30 *1963:7 *1990:7 9.77607e-05 +31 *1963:8 *1990:8 0.00221107 +*RES +1 *2460:pad_gpio_ib_mode_sel *1990:7 1.31946 +2 *1990:7 *1990:8 64.8607 +3 *1990:8 *2474:mprj_io_ib_mode_sel[7] 4.55735 +*END + +*D_NET *1991 0.0744976 +*CONN +*I *2474:mprj_io_ib_mode_sel[8] I *D chip_io_alt +*I *2449:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[8] 0.000738323 +2 *2449:pad_gpio_ib_mode_sel 9.19008e-05 +3 *1991:16 0.00899538 +4 *1991:15 0.00964965 +5 *1991:10 0.00235916 +6 *1991:9 0.00105847 +7 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_oeb[8] 0 +8 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_vtrip_sel[8] 0 +9 *2474:mprj_io_ib_mode_sel[8] *2073:44 7.15466e-05 +10 *1991:9 *2449:pad_gpio_in 8.11597e-06 +11 *1991:10 *2018:37 0.00526732 +12 *1991:10 *2099:15 0.00109529 +13 *1991:16 *2018:19 0.000867468 +14 *1991:16 *2018:27 0.000346217 +15 *1991:16 *2073:37 0.00019411 +16 *1991:16 *2099:16 0.0270327 +17 *1991:16 *2152:14 0.00033102 +18 *510:14 *1991:16 0.00340367 +19 *511:14 *1991:16 0.0015744 +20 *1802:20 *1991:16 0.000825644 +21 *1828:23 *1991:16 0.000255037 +22 *1828:28 *1991:16 0.000344674 +23 *1855:14 *1991:16 0.000677528 +24 *1874:21 *1991:16 0.000169255 +25 *1875:20 *1991:16 0.000834009 +26 *1876:14 *1991:16 0.00332707 +27 *1964:10 *1991:10 0.00209893 +28 *1964:15 *1991:15 0.00288071 +*RES +1 *2449:pad_gpio_ib_mode_sel *1991:9 3.65147 +2 *1991:9 *1991:10 86.5434 +3 *1991:10 *1991:15 8.65243 +4 *1991:15 *1991:16 53.659 +5 *1991:16 *2474:mprj_io_ib_mode_sel[8] 7.14939 +*END + +*D_NET *1992 0.0746172 +*CONN +*I *2474:mprj_io_ib_mode_sel[9] I *D chip_io_alt +*I *2450:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[9] 0.000492948 +2 *2450:pad_gpio_ib_mode_sel 0.00079236 +3 *1992:8 0.00382486 +4 *1992:7 0.00412427 +5 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_oeb[9] 6.34849e-05 +6 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_vtrip_sel[9] 8.32935e-05 +7 *1992:7 *2450:pad_gpio_in 2.89493e-05 +8 *1992:7 *2127:13 0 +9 *1992:8 *2474:mprj_io_out[10] 6.55656e-05 +10 *1992:8 *2099:16 0.000328461 +11 *1992:8 *2100:8 0.0323591 +12 *1992:8 *2181:8 0.0317917 +13 *101:22 *2474:mprj_io_ib_mode_sel[9] 4.84974e-05 +14 *1856:19 *1992:8 0.000471203 +15 *1965:7 *1992:7 0.000142487 +*RES +1 *2450:pad_gpio_ib_mode_sel *1992:7 2.23734 +2 *1992:7 *1992:8 65.6609 +3 *1992:8 *2474:mprj_io_ib_mode_sel[9] 6.72741 +*END + +*D_NET *1993 0.0166796 +*CONN +*I *2444:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[0] O *D chip_io_alt +*CAP +1 *2444:pad_gpio_in 0.000119332 +2 *2474:mprj_io_in[0] 0.000435012 +3 *1993:30 0.00121172 +4 *1993:21 0.00206073 +5 *1993:19 0.00101388 +6 *1993:17 0.00170597 +7 *1993:15 0.00209545 +8 *2444:pad_gpio_in *2047:13 3.84497e-05 +9 *2444:pad_gpio_in *2074:8 0 +10 *1993:17 *2474:mprj_io_slow_sel[0] 0 +11 *1993:21 *2101:14 0.000103433 +12 *1993:21 *2155:19 1.75462e-05 +13 *1993:21 *2155:23 0.00126962 +14 *1993:30 *2047:14 0 +15 *1993:30 *2101:13 0 +16 *1993:30 *2155:19 0.00192518 +17 *2474:mprj_io_dm[0] *1993:17 0 +18 *2474:mprj_io_dm[1] *1993:17 0 +19 *1777:14 *1993:17 0 +20 *1777:14 *1993:30 0 +21 *1804:14 *1993:17 0.000375822 +22 *1804:14 *1993:21 0.00419553 +23 *1804:14 *1993:30 4.80103e-06 +24 *1831:20 *1993:17 6.86916e-05 +25 *1831:20 *1993:21 0 +26 *1966:13 *2444:pad_gpio_in 3.84497e-05 +*RES +1 *2474:mprj_io_in[0] *1993:15 13.4957 +2 *1993:15 *1993:17 51.3447 +3 *1993:17 *1993:19 1.29461 +4 *1993:19 *1993:21 66.9777 +5 *1993:21 *1993:30 49.3768 +6 *1993:30 *2444:pad_gpio_in 5.62458 +*END + +*D_NET *1994 0.0469678 +*CONN +*I *2451:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[10] O *D chip_io_alt +*CAP +1 *2451:pad_gpio_in 6.94771e-05 +2 *2474:mprj_io_in[10] 0.000176997 +3 *1994:51 0.0037086 +4 *1994:50 0.00445067 +5 *1994:44 0.00150467 +6 *1994:20 0.00130133 +7 *1994:16 0.000694081 +8 *1994:11 0.00275001 +9 *1994:10 0.00284113 +10 *1994:10 *2130:18 0.000197125 +11 *1994:11 *2474:mprj_io_vtrip_sel[10] 0.000194519 +12 *1994:11 *2076:14 0.00599219 +13 *1994:11 *2103:18 0.00011174 +14 *1994:11 *2129:14 0.00294747 +15 *1994:11 *2156:17 0.000364708 +16 *1994:16 *2474:mprj_io_out[9] 0 +17 *1994:20 *2073:52 0.001143 +18 *1994:20 *2129:14 0.00046924 +19 *1994:44 *2474:mprj_io_slow_sel[9] 0.000185867 +20 *1994:44 *2019:10 0.00017134 +21 *1994:51 *2451:user_gpio_out 0.000744765 +22 *2474:mprj_io_dm[28] *1994:20 9.43616e-05 +23 *101:10 *1994:50 0.000248812 +24 *101:16 *1994:20 0.00253423 +25 *101:21 *1994:16 0.000263135 +26 *101:22 *1994:11 0.0034193 +27 *1803:27 *1994:44 0.00129905 +28 *1879:32 *1994:50 0.000252395 +29 *1879:42 *1994:44 7.52188e-05 +30 *1879:44 *1994:44 0.000549011 +31 *1882:14 *1994:20 1.2693e-05 +32 *1940:18 *1994:11 0.000796897 +33 *1940:18 *1994:20 0.000393461 +34 *1968:14 *1994:11 0.00701036 +*RES +1 *2474:mprj_io_in[10] *1994:10 14.0325 +2 *1994:10 *1994:11 211.95 +3 *1994:11 *1994:16 11.9418 +4 *1994:16 *1994:20 48.7228 +5 *1994:20 *1994:44 46.5766 +6 *1994:44 *1994:50 33.805 +7 *1994:50 *1994:51 107.514 +8 *1994:51 *2451:pad_gpio_in 3.59493 +*END + +*D_NET *1995 0.0533493 +*CONN +*I *2452:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[11] O *D chip_io_alt +*CAP +1 *2452:pad_gpio_in 0.000344971 +2 *2474:mprj_io_in[11] 0.000307296 +3 *1995:15 0.00430927 +4 *1995:13 0.00399447 +5 *1995:11 0.000533863 +6 *1995:10 0.00081098 +7 *2452:pad_gpio_in *2049:13 3.96052e-05 +8 *1995:10 *2049:27 5.04829e-06 +9 *1995:10 *2131:15 0 +10 *1995:11 *2049:14 0.00350013 +11 *1995:11 *2130:28 1.6267e-05 +12 *1995:11 *2130:32 0.00370852 +13 *1995:11 *2131:16 0.000210414 +14 *1995:11 *2158:10 0.000597706 +15 *1995:13 *2130:30 6.67835e-06 +16 *1995:15 *2474:mprj_io_slow_sel[10] 4.46284e-06 +17 *1995:15 *2049:14 1.23804e-05 +18 *1995:15 *2129:14 0.00345841 +19 *1995:15 *2130:18 0 +20 *1995:15 *2130:20 0.00309151 +21 *1995:15 *2130:24 0.00106015 +22 *1995:15 *2130:28 0.00356722 +23 *1995:15 *2158:10 0.000392646 +24 *487:13 *2452:pad_gpio_in 7.49541e-05 +25 *1885:14 *1995:15 0.0203174 +26 *1886:8 *1995:15 0.00213143 +27 *1888:14 *1995:11 1.87269e-05 +28 *1889:12 *1995:10 0.000195687 +29 *1968:13 *2452:pad_gpio_in 0.000639189 +*RES +1 *2474:mprj_io_in[11] *1995:10 16.8055 +2 *1995:10 *1995:11 60.1749 +3 *1995:11 *1995:13 0.732798 +4 *1995:13 *1995:15 328.428 +5 *1995:15 *2452:pad_gpio_in 21.9531 +*END + +*D_NET *1996 0.0439824 +*CONN +*I *2453:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[12] O *D chip_io_alt +*CAP +1 *2453:pad_gpio_in 1.65112e-05 +2 *2474:mprj_io_in[12] 0.000801757 +3 *1996:43 1.65112e-05 +4 *1996:39 0.00389394 +5 *1996:38 0.00465942 +6 *1996:35 0.0012315 +7 *1996:32 0.00167891 +8 *1996:19 0.00204541 +9 *1996:17 0.000895249 +10 *1996:15 0.0017282 +11 *1996:14 0.00246723 +12 *1996:14 *2077:15 0.000199547 +13 *1996:14 *2131:22 0.00161958 +14 *1996:15 *2050:18 0.00831406 +15 *1996:15 *2158:15 0.00133626 +16 *1996:19 *2050:18 0.00104057 +17 *1996:32 *2474:mprj_io_out[11] 0.000599172 +18 *1996:32 *2049:30 0.000306656 +19 *1996:32 *2050:18 0.0004821 +20 *1996:32 *2131:16 0.000291334 +21 *1996:32 *2305:13 0.000910387 +22 *1996:32 *2323:11 0.000144289 +23 *1996:35 *2474:mprj_io_out[11] 0.000243056 +24 *1996:35 *2050:18 0.000677993 +25 *1996:38 *2131:15 0.000532369 +26 *2474:mprj_io_analog_pol[11] *1996:32 0.000113028 +27 *1807:20 *1996:15 0.00284633 +28 *1807:20 *1996:19 0.00104769 +29 *1888:14 *1996:19 0.00100336 +30 *1888:14 *1996:32 0.000295225 +31 *1889:12 *1996:35 0.00201497 +32 *1889:25 *1996:14 0 +33 *1942:22 *1996:38 5.04829e-06 +34 *1969:17 *1996:19 0.000407139 +35 *1969:17 *1996:32 0.000117573 +*RES +1 *2474:mprj_io_in[12] *1996:14 40.5454 +2 *1996:14 *1996:15 132.673 +3 *1996:15 *1996:17 1.29461 +4 *1996:17 *1996:19 50.734 +5 *1996:19 *1996:32 38.7536 +6 *1996:32 *1996:35 37.2683 +7 *1996:35 *1996:38 27.4075 +8 *1996:38 *1996:39 110.42 +9 *1996:39 *2453:pad_gpio_in 3.36879 +10 *2453:pad_gpio_in *1996:43 0.0631875 +*END + +*D_NET *1997 0.0183871 +*CONN +*I *2454:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[13] O *D chip_io_alt +*CAP +1 *2454:pad_gpio_in 0.000617978 +2 *2474:mprj_io_in[13] 9.78394e-05 +3 *1997:19 0.0022747 +4 *1997:18 0.00171355 +5 *1997:13 0.00132021 +6 *1997:11 0.00136122 +7 *2454:pad_gpio_in *2051:13 3.84497e-05 +8 *1997:13 *2474:mprj_io_inp_dis[13] 4.58666e-05 +9 *1997:13 *2051:14 9.35753e-06 +10 *1997:13 *2132:14 0 +11 *1997:13 *2307:9 0.000770417 +12 *1997:13 *2325:9 0.00172394 +13 *1997:19 *2474:mprj_io_out[13] 1.2693e-05 +14 *1997:19 *2024:7 0 +15 *1997:19 *2051:14 0.000726727 +16 *1997:19 *2159:19 0.000478862 +17 *2474:mprj_io_analog_sel[13] *1997:13 7.20173e-06 +18 *2474:mprj_io_dm[39] *1997:13 0.000371778 +19 *2474:mprj_io_dm[40] *1997:13 0.000215112 +20 *2474:mprj_io_dm[41] *1997:19 0.000228518 +21 *2474:mprj_io_holdover[13] *1997:19 0.00247028 +22 *2474:mprj_io_ib_mode_sel[13] *1997:19 0.000356752 +23 *1781:13 *1997:19 0 +24 *1781:22 *1997:13 0 +25 *1835:18 *1997:19 0.00293508 +26 *1892:13 *2454:pad_gpio_in 0.000610609 +27 *1892:14 *1997:13 0 +*RES +1 *2474:mprj_io_in[13] *1997:11 3.6762 +2 *1997:11 *1997:13 56.4377 +3 *1997:13 *1997:18 10.3986 +4 *1997:18 *1997:19 97.7553 +5 *1997:19 *2454:pad_gpio_in 30.4448 +*END + +*D_NET *1998 0.00666821 +*CONN +*I *2461:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[14] O *D chip_io_alt +*CAP +1 *2461:pad_gpio_in 8.62931e-05 +2 *2474:mprj_io_in[14] 2.27669e-05 +3 *1998:7 0.00232037 +4 *1998:5 0.00225684 +5 *2461:pad_gpio_in *2052:7 4.84183e-05 +6 *1998:7 *2133:8 0 +7 *1998:7 *2308:9 0.000400265 +8 *2461:resetn *2461:pad_gpio_in 0.000139329 +9 *2474:mprj_io_analog_en[14] *1998:7 0.000527776 +10 *1550:40 *1998:7 0.000123244 +11 *1894:11 *1998:7 0.000612939 +12 *1895:8 *1998:7 0 +13 *1971:7 *2461:pad_gpio_in 0.000129968 +*RES +1 *2474:mprj_io_in[14] *1998:5 0.647305 +2 *1998:5 *1998:7 71.7837 +3 *1998:7 *2461:pad_gpio_in 4.04389 +*END + +*D_NET *1999 0.0104076 +*CONN +*I *2462:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[15] O *D chip_io_alt +*CAP +1 *2462:pad_gpio_in 0.000548424 +2 *2474:mprj_io_in[15] 0.000109238 +3 *1999:14 0.00144603 +4 *1999:9 0.00156623 +5 *1999:7 0.000777855 +6 *1999:7 *2134:8 8.99711e-05 +7 *1999:7 *2327:11 1.83854e-05 +8 *1999:9 *2134:8 0.00294302 +9 *2462:mgmt_gpio_out *1999:9 0 +10 *1783:12 *2462:pad_gpio_in 4.89469e-06 +11 *1810:12 *1999:9 0 +12 *1972:12 *2462:pad_gpio_in 0.00111299 +13 *1972:19 *1999:9 0.00179055 +*RES +1 *2474:mprj_io_in[15] *1999:7 4.14031 +2 *1999:7 *1999:9 47.3021 +3 *1999:9 *1999:14 33.0167 +4 *1999:14 *2462:pad_gpio_in 23.9056 +*END + +*D_NET *2000 0.00552254 +*CONN +*I *2463:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[16] O *D chip_io_alt +*CAP +1 *2463:pad_gpio_in 4.98012e-05 +2 *2474:mprj_io_in[16] 0.000181661 +3 *2000:11 0.00231858 +4 *2000:10 0.00245044 +5 *2463:pad_gpio_in *2054:7 5.36925e-05 +6 *2463:mgmt_gpio_out *2000:11 0.000155405 +7 *1552:33 *2000:11 0.000259268 +8 *1901:8 *2000:10 0 +9 *1901:8 *2000:11 0 +10 *1903:12 *2000:11 0 +11 *1973:7 *2463:pad_gpio_in 5.36925e-05 +*RES +1 *2474:mprj_io_in[16] *2000:10 14.0084 +2 *2000:10 *2000:11 67.2342 +3 *2000:11 *2463:pad_gpio_in 3.66144 +*END + +*D_NET *2001 0.00702294 +*CONN +*I *2464:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[17] O *D chip_io_alt +*CAP +1 *2464:pad_gpio_in 0.000911634 +2 *2474:mprj_io_in[17] 0.000167046 +3 *2001:13 0.0018013 +4 *2001:10 0.00105671 +5 *2464:pad_gpio_in *2055:7 2.82227e-05 +6 *2464:pad_gpio_in *2055:8 0.000518308 +7 *2001:10 *2136:8 0 +8 *2001:13 *2055:8 0.00157164 +9 *491:25 *2001:13 0 +10 *1785:13 *2464:pad_gpio_in 0.000628637 +11 *1812:13 *2464:pad_gpio_in 4.51062e-05 +12 *1906:8 *2001:13 0.000227605 +13 *1974:7 *2464:pad_gpio_in 6.67357e-05 +*RES +1 *2474:mprj_io_in[17] *2001:10 13.5985 +2 *2001:10 *2001:13 37.2383 +3 *2001:13 *2464:pad_gpio_in 33.8171 +*END + +*D_NET *2002 0.00828838 +*CONN +*I *2465:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[18] O *D chip_io_alt +*CAP +1 *2465:pad_gpio_in 0.000198215 +2 *2474:mprj_io_in[18] 0.000105047 +3 *2002:9 0.00158469 +4 *2002:7 0.00149152 +5 *2465:pad_gpio_in *2056:9 0.000134942 +6 *2002:7 *2137:10 1.3813e-05 +7 *2002:9 *2137:10 0.00416125 +8 *2465:mgmt_gpio_out *2002:9 0 +9 *107:39 *2002:9 0.00042425 +10 *107:51 *2002:7 0.000174661 +11 *1909:9 *2465:pad_gpio_in 0 +*RES +1 *2474:mprj_io_in[18] *2002:7 4.14031 +2 *2002:7 *2002:9 67.4418 +3 *2002:9 *2465:pad_gpio_in 4.26339 +*END + +*D_NET *2003 0.00591618 +*CONN +*I *2466:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[19] O *D chip_io_alt +*CAP +1 *2466:pad_gpio_in 4.98012e-05 +2 *2474:mprj_io_in[19] 0.000219638 +3 *2003:11 0.00240914 +4 *2003:9 0.00257897 +5 *2466:pad_gpio_in *2057:13 5.36925e-05 +6 *2466:mgmt_gpio_out *2003:11 0.000155405 +7 *493:8 *2003:11 0 +8 *1556:33 *2003:11 9.86056e-05 +9 *1911:18 *2003:9 0.000297231 +10 *1911:18 *2003:11 0 +11 *1976:7 *2466:pad_gpio_in 5.36925e-05 +*RES +1 *2474:mprj_io_in[19] *2003:9 8.36611 +2 *2003:9 *2003:11 67.4418 +3 *2003:11 *2466:pad_gpio_in 3.66144 +*END + +*D_NET *2004 0.0193674 +*CONN +*I *2445:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[1] O *D chip_io_alt +*CAP +1 *2445:pad_gpio_in 0.000308812 +2 *2474:mprj_io_in[1] 0.000316005 +3 *2004:11 0.00338976 +4 *2004:10 0.00339695 +5 *2445:pad_gpio_in *2058:13 4.80459e-05 +6 *2445:pad_gpio_in *2112:13 0.000880967 +7 *2004:11 *2058:14 0.000329975 +8 *2004:11 *2058:20 0 +9 *2004:11 *2112:14 4.46284e-05 +10 *2004:11 *2166:14 2.79326e-05 +11 *100:96 *2004:10 0.000223156 +12 *485:53 *2445:pad_gpio_in 0.000134703 +13 *485:61 *2004:11 0 +14 *1788:18 *2004:11 0 +15 *1815:19 *2004:11 0.00158217 +16 *1815:20 *2004:11 0.000152386 +17 *1842:18 *2004:11 0 +18 *1913:23 *2004:11 0.00234978 +19 *1950:13 *2445:pad_gpio_in 0.000710303 +20 *1950:17 *2004:11 0.00258632 +21 *1950:20 *2004:11 0.00283747 +22 *1977:7 *2445:pad_gpio_in 4.80459e-05 +*RES +1 *2474:mprj_io_in[1] *2004:10 17.7754 +2 *2004:10 *2004:11 149.662 +3 *2004:11 *2445:pad_gpio_in 26.0957 +*END + +*D_NET *2005 0.00649594 +*CONN +*I *2467:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[20] O *D chip_io_alt +*CAP +1 *2467:pad_gpio_in 8.4932e-05 +2 *2474:mprj_io_in[20] 0.000179341 +3 *2005:11 0.00230313 +4 *2005:10 0.00239754 +5 *2467:pad_gpio_in *2059:14 3.81792e-05 +6 *2005:10 *2297:12 0 +7 *2005:11 *2167:19 0.000844182 +8 *2005:11 *2297:12 0 +9 *2474:mprj_io_ib_mode_sel[20] *2467:pad_gpio_in 3.81792e-05 +10 *1843:15 *2005:11 0 +11 *1914:15 *2005:11 0.000610456 +*RES +1 *2474:mprj_io_in[20] *2005:10 14.0084 +2 *2005:10 *2005:11 66.819 +3 *2005:11 *2467:pad_gpio_in 3.66144 +*END + +*D_NET *2006 0.00833676 +*CONN +*I *2468:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[21] O *D chip_io_alt +*CAP +1 *2468:pad_gpio_in 9.82838e-05 +2 *2474:mprj_io_in[21] 9.03492e-05 +3 *2006:9 0.0013781 +4 *2006:7 0.00137016 +5 *2468:pad_gpio_in *2060:7 0.000129968 +6 *2006:7 *2474:mprj_io_slow_sel[21] 0.000142583 +7 *2006:7 *2141:8 2.01595e-05 +8 *2006:9 *2141:8 0.00426275 +9 *1917:10 *2006:9 0.000714438 +10 *1918:10 *2006:9 0 +11 *1979:10 *2468:pad_gpio_in 0.000129968 +*RES +1 *2474:mprj_io_in[21] *2006:7 3.72506 +2 *2006:7 *2006:9 69.1029 +3 *2006:9 *2468:pad_gpio_in 4.04389 +*END + +*D_NET *2007 0.00904013 +*CONN +*I *2469:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[22] O *D chip_io_alt +*CAP +1 *2469:pad_gpio_in 0.00130527 +2 *2474:mprj_io_in[22] 0.000759102 +3 *2007:12 0.00216151 +4 *2007:9 0.00161535 +5 *2007:9 *2142:8 0 +6 *2007:9 *2142:14 0.00183172 +7 *477:26 *2007:9 0.000246961 +8 *1818:15 *2007:12 0.000464193 +9 *1922:8 *2007:9 0.000656029 +*RES +1 *2474:mprj_io_in[22] *2007:9 41.2376 +2 *2007:9 *2007:12 29.0714 +3 *2007:12 *2469:pad_gpio_in 38.4395 +*END + +*D_NET *2008 0.00640139 +*CONN +*I *2470:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[23] O *D chip_io_alt +*CAP +1 *2470:pad_gpio_in 0.000110652 +2 *2474:mprj_io_in[23] 0.000167046 +3 *2008:11 0.00226087 +4 *2008:10 0.00231727 +5 *2470:pad_gpio_in *2062:7 3.04141e-05 +6 *2008:10 *2474:mprj_io_slow_sel[23] 0 +7 *2008:11 *2474:mprj_io_oeb[23] 0.000588526 +8 *2008:11 *2474:mprj_io_slow_sel[23] 0 +9 *2470:mgmt_gpio_out *2008:11 0.000223181 +10 *2474:mprj_io_ib_mode_sel[23] *2470:pad_gpio_in 2.69096e-05 +11 *1560:35 *2008:11 5.39635e-06 +12 *1925:8 *2008:11 0 +13 *1954:10 *2008:11 0.000671123 +*RES +1 *2474:mprj_io_in[23] *2008:10 13.5985 +2 *2008:10 *2008:11 67.6495 +3 *2008:11 *2470:pad_gpio_in 3.66144 +*END + +*D_NET *2009 0.00655883 +*CONN +*I *2446:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[24] O *D chip_io_alt +*CAP +1 *2446:pad_gpio_in 9.46286e-05 +2 *2474:mprj_io_in[24] 0.000175423 +3 *2009:11 0.00243269 +4 *2009:10 0.00251348 +5 *2446:pad_gpio_in *2063:7 5.34343e-05 +6 *2009:11 *2474:mprj_io_vtrip_sel[24] 0.000377203 +7 *2009:11 *2301:11 0 +8 *486:44 *2009:11 0.000223362 +9 *1793:13 *2009:11 0.000618491 +10 *1820:13 *2009:11 1.66771e-05 +11 *1927:15 *2009:10 0 +12 *1927:15 *2009:11 0 +13 *1982:7 *2446:pad_gpio_in 5.34343e-05 +*RES +1 *2474:mprj_io_in[24] *2009:10 13.8366 +2 *2009:10 *2009:11 67.2342 +3 *2009:11 *2446:pad_gpio_in 3.73793 +*END + +*D_NET *2010 0.0200695 +*CONN +*I *2447:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[25] O *D chip_io_alt +*CAP +1 *2447:pad_gpio_in 0.00127606 +2 *2474:mprj_io_in[25] 0.000624313 +3 *2010:16 0.00331385 +4 *2010:15 0.00237474 +5 *2010:10 0.00498604 +6 *2010:9 0.0052734 +7 *2448:serial_load *2010:9 0.000481922 +8 *515:8 *2010:9 0.00173918 +*RES +1 *2474:mprj_io_in[25] *2010:9 32.9326 +2 *2010:9 *2010:10 129.946 +3 *2010:10 *2010:15 18.3065 +4 *2010:15 *2010:16 56.7384 +5 *2010:16 *2447:pad_gpio_in 42.109 +*END + +*D_NET *2011 0.0118943 +*CONN +*I *2448:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[26] O *D chip_io_alt +*CAP +1 *2448:pad_gpio_in 0.00076025 +2 *2474:mprj_io_in[26] 0.00104862 +3 *2011:12 0.00123904 +4 *2011:7 0.00152741 +5 *2448:pad_gpio_in *2065:12 0 +6 *2448:pad_gpio_in *2092:15 9.91584e-05 +7 *2448:pad_gpio_in *2146:10 0 +8 *2011:7 *2474:mprj_io_vtrip_sel[26] 0.000220432 +9 *2011:12 *2065:15 0.00212337 +10 *2011:12 *2092:15 0.000409792 +11 *1795:8 *2011:7 0.00292714 +12 *1957:12 *2448:pad_gpio_in 0.000874995 +13 *1984:21 *2448:pad_gpio_in 9.81028e-05 +14 *1984:21 *2011:7 0.000566034 +*RES +1 *2474:mprj_io_in[26] *2011:7 47.5342 +2 *2011:7 *2011:12 31.3529 +3 *2011:12 *2448:pad_gpio_in 27.3407 +*END + +*D_NET *2012 0.0219252 +*CONN +*I *2455:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[2] O *D chip_io_alt +*CAP +1 *2455:pad_gpio_in 0.000493176 +2 *2474:mprj_io_in[2] 0.000548854 +3 *2012:17 0.00118942 +4 *2012:16 0.00111715 +5 *2012:11 0.00182382 +6 *2012:10 0.00195177 +7 *2455:pad_gpio_in *2066:13 0.000318902 +8 *2012:17 *2093:14 8.67988e-05 +9 *100:80 *2012:17 0.00159639 +10 *104:40 *2455:pad_gpio_in 0.000201392 +11 *471:46 *2012:10 0.00019711 +12 *480:14 *2012:11 0.000776534 +13 *485:50 *2012:11 0.00087705 +14 *1823:20 *2012:11 0.00361469 +15 *1924:14 *2012:11 0.00379191 +16 *1935:13 *2455:pad_gpio_in 1.75554e-05 +17 *1935:23 *2012:11 1.2693e-05 +18 *1935:24 *2012:11 0 +19 *1958:13 *2455:pad_gpio_in 0.000124349 +20 *1985:13 *2455:pad_gpio_in 5.08157e-05 +21 *1985:14 *2012:17 0.00313477 +*RES +1 *2474:mprj_io_in[2] *2012:10 23.4607 +2 *2012:10 *2012:11 94.4332 +3 *2012:11 *2012:16 17.4878 +4 *2012:16 *2012:17 53.7385 +5 *2012:17 *2455:pad_gpio_in 25.4754 +*END + +*D_NET *2013 0.0230528 +*CONN +*I *2456:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[3] O *D chip_io_alt +*CAP +1 *2456:pad_gpio_in 0.000404441 +2 *2474:mprj_io_in[3] 4.30859e-05 +3 *2013:13 0.00146232 +4 *2013:12 0.00114904 +5 *2013:7 0.000867091 +6 *2013:5 0.000819013 +7 *2456:pad_gpio_in *2067:15 4.69062e-05 +8 *2456:pad_gpio_in *2094:8 5.88867e-05 +9 *2456:pad_gpio_in *2121:13 0 +10 *2013:7 *2474:mprj_io_slow_sel[3] 0.0006713 +11 *2013:7 *2040:19 0.000540347 +12 *2013:13 *2121:14 0 +13 *2455:resetn_out *2013:7 0.000482261 +14 *2455:resetn_out *2013:13 0.00080517 +15 *2474:mprj_io_analog_en[3] *2013:7 0.00160635 +16 *2474:mprj_io_analog_sel[3] *2013:7 2.2862e-06 +17 *2474:mprj_io_dm[10] *2013:7 0.00041084 +18 *2474:mprj_io_holdover[3] *2013:12 1.31872e-05 +19 *2474:mprj_io_holdover[3] *2013:13 0.00172394 +20 *105:52 *2013:13 0.00105977 +21 *472:38 *2013:13 5.07721e-05 +22 *504:8 *2013:7 0.000185169 +23 *504:12 *2013:7 0.00365762 +24 *504:12 *2013:13 0.000487144 +25 *1851:16 *2013:13 0.00290543 +26 *1860:23 *2013:12 0 +27 *1959:18 *2013:13 2.93592e-05 +28 *1986:13 *2456:pad_gpio_in 3.84497e-05 +29 *1986:14 *2013:13 0.00353264 +*RES +1 *2474:mprj_io_in[3] *2013:5 1.20912 +2 *2013:5 *2013:7 65.158 +3 *2013:7 *2013:12 10.8326 +4 *2013:12 *2013:13 90.696 +5 *2013:13 *2456:pad_gpio_in 21.4486 +*END + +*D_NET *2014 0.0208152 +*CONN +*I *2457:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[4] O *D chip_io_alt +*CAP +1 *2457:pad_gpio_in 0.000224492 +2 *2474:mprj_io_in[4] 0.000707935 +3 *2014:31 0.00147753 +4 *2014:30 0.00174545 +5 *2014:21 0.00146386 +6 *2014:18 0.00158219 +7 *2014:12 0.00131869 +8 *2457:pad_gpio_in *2068:15 4.10643e-05 +9 *2457:pad_gpio_in *2095:7 0 +10 *2014:18 *2474:mprj_io_slow_sel[4] 4.17605e-05 +11 *2014:30 *2474:mprj_io_out[4] 5.57839e-05 +12 *2014:30 *2041:8 5.481e-05 +13 *2014:31 *2068:15 0 +14 *2014:31 *2095:8 0.00335023 +15 *2014:31 *2122:13 0 +16 *2474:mprj_io_analog_en[4] *2014:18 0.000471151 +17 *2474:mprj_io_dm[13] *2014:18 0.000517809 +18 *108:47 *2014:30 0.000224324 +19 *110:98 *2014:21 0.00133189 +20 *478:32 *2014:18 0.000230029 +21 *493:51 *2014:21 0.000425124 +22 *495:56 *2014:12 0.000280067 +23 *505:14 *2014:18 0.0014693 +24 *1798:13 *2014:31 0 +25 *1798:14 *2014:18 9.34396e-06 +26 *1798:14 *2014:21 0 +27 *1825:15 *2014:31 0 +28 *1825:16 *2014:21 0.000455641 +29 *1852:13 *2014:31 0 +30 *1861:15 *2014:31 0 +31 *1861:16 *2014:21 0 +32 *1863:13 *2014:31 0 +33 *1863:14 *2014:30 0.00165376 +34 *1960:13 *2014:31 0 +35 *1960:14 *2014:30 0.00165016 +36 *1987:13 *2457:pad_gpio_in 3.27908e-05 +37 *1987:13 *2014:31 0 +*RES +1 *2474:mprj_io_in[4] *2014:12 30.1986 +2 *2014:12 *2014:18 39.2491 +3 *2014:18 *2014:21 49.103 +4 *2014:21 *2014:30 45.5761 +5 *2014:30 *2014:31 54.3614 +6 *2014:31 *2457:pad_gpio_in 4.12039 +*END + +*D_NET *2015 0.0238245 +*CONN +*I *2458:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[5] O *D chip_io_alt +*CAP +1 *2458:pad_gpio_in 0.000651625 +2 *2474:mprj_io_in[5] 0.00057844 +3 *2015:11 0.00238163 +4 *2015:10 0.00230844 +5 *2458:pad_gpio_in *2069:13 0.000741548 +6 *2458:pad_gpio_in *2150:8 0 +7 *2015:11 *2069:14 0.000537421 +8 *478:32 *2015:11 0.00147391 +9 *495:64 *2015:10 0 +10 *506:14 *2015:11 0.000812353 +11 *1799:14 *2015:11 0.0061995 +12 *1865:13 *2458:pad_gpio_in 0.000528767 +13 *1865:14 *2015:11 0.00749462 +14 *1866:13 *2458:pad_gpio_in 4.62112e-05 +15 *1961:13 *2458:pad_gpio_in 1.2366e-05 +16 *1988:7 *2458:pad_gpio_in 5.76421e-05 +*RES +1 *2474:mprj_io_in[5] *2015:10 23.876 +2 *2015:10 *2015:11 146.34 +3 *2015:11 *2458:pad_gpio_in 35.0402 +*END + +*D_NET *2016 0.0177924 +*CONN +*I *2459:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[6] O *D chip_io_alt +*CAP +1 *2459:pad_gpio_in 0.000581879 +2 *2474:mprj_io_in[6] 8.17845e-05 +3 *2016:19 0.00309238 +4 *2016:18 0.00274326 +5 *2016:13 0.00104353 +6 *2016:11 0.000892549 +7 *2459:pad_gpio_in *2070:13 3.84497e-05 +8 *2459:pad_gpio_in *2097:8 4.05974e-05 +9 *2459:pad_gpio_in *2124:13 0 +10 *2459:pad_gpio_in *2151:15 0 +11 *2016:13 *2151:22 0.00301099 +12 *2016:19 *2043:13 0.000469817 +13 *2016:19 *2151:16 0 +14 *2016:19 *2178:14 0.00225214 +15 *2459:serial_clock *2016:13 0.000462724 +16 *2474:mprj_io_analog_sel[6] *2016:18 5.04829e-06 +17 *494:26 *2016:19 0.000883061 +18 *495:64 *2016:13 0.00140631 +19 *507:12 *2016:13 0.000749438 +20 *1800:14 *2016:19 0 +21 *1989:13 *2459:pad_gpio_in 3.84497e-05 +*RES +1 *2474:mprj_io_in[6] *2016:11 3.11439 +2 *2016:11 *2016:13 58.9292 +3 *2016:13 *2016:18 14.1602 +4 *2016:18 *2016:19 96.9248 +5 *2016:19 *2459:pad_gpio_in 25.3308 +*END + +*D_NET *2017 0.0506982 +*CONN +*I *2460:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[7] O *D chip_io_alt +*CAP +1 *2460:pad_gpio_in 0.000289673 +2 *2474:mprj_io_in[7] 0.000677784 +3 *2017:13 0.0043113 +4 *2017:12 0.00402163 +5 *2017:10 0.000677784 +6 *2460:pad_gpio_in *2071:7 3.84497e-05 +7 *2017:10 *2018:33 0.00162737 +8 *2017:10 *2153:16 0.00250808 +9 *2017:13 *2072:18 0.00352908 +10 *2017:13 *2125:14 0.0186026 +11 *2017:13 *2180:14 0.000437916 +12 *110:98 *2017:13 0.00107501 +13 *495:64 *2017:13 0.00034574 +14 *1871:13 *2460:pad_gpio_in 3.99086e-06 +15 *1871:14 *2017:13 0.00852792 +16 *1872:13 *2460:pad_gpio_in 0.000671753 +17 *1872:14 *2017:13 0.000591336 +18 *1963:8 *2017:10 0.000189832 +19 *1990:7 *2460:pad_gpio_in 3.84497e-05 +20 *1990:8 *2017:10 0.000158304 +21 *1990:8 *2017:13 0.00237421 +*RES +1 *2474:mprj_io_in[7] *2017:10 48.7472 +2 *2017:10 *2017:12 4.5 +3 *2017:12 *2017:13 344.415 +4 *2017:13 *2460:pad_gpio_in 21.1727 +*END + +*D_NET *2018 0.0507291 +*CONN +*I *2449:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[8] O *D chip_io_alt +*CAP +1 *2449:pad_gpio_in 8.87904e-05 +2 *2474:mprj_io_in[8] 0.000171188 +3 *2018:37 0.00194087 +4 *2018:36 0.00278263 +5 *2018:33 0.00213695 +6 *2018:27 0.00217165 +7 *2018:24 0.00103527 +8 *2018:19 0.00108369 +9 *2018:18 0.00138088 +10 *2018:13 0.00262099 +11 *2018:11 0.00242498 +12 *2018:11 *2019:11 6.34651e-06 +13 *2018:11 *2073:31 0.000171079 +14 *2018:13 *2019:11 0.000490356 +15 *2018:13 *2126:16 0.000138272 +16 *2018:18 *2044:8 0.000450929 +17 *2018:24 *2293:10 0.000213725 +18 *2474:mprj_io_analog_pol[7] *2018:24 5.04829e-06 +19 *510:14 *2018:19 0.0053783 +20 *510:14 *2018:27 0.000326993 +21 *1570:20 *2018:27 0 +22 *1857:13 *2018:13 0 +23 *1874:15 *2018:33 4.66705e-05 +24 *1874:21 *2018:27 0.00162199 +25 *1874:28 *2018:13 0.00778558 +26 *1876:14 *2018:19 0.0026061 +27 *1877:13 *2018:13 0 +28 *1878:13 *2018:13 0 +29 *1879:8 *2018:11 2.1558e-06 +30 *1879:8 *2018:13 0.00362062 +31 *1879:19 *2018:11 0.000112116 +32 *1963:8 *2018:33 0.00028839 +33 *1964:10 *2018:37 9.87197e-06 +34 *1964:15 *2018:36 0.000580103 +35 *1965:8 *2018:13 0.000920114 +36 *1991:9 *2449:pad_gpio_in 8.11597e-06 +37 *1991:10 *2018:37 0.00526732 +38 *1991:16 *2018:19 0.000867468 +39 *1991:16 *2018:27 0.000346217 +40 *2017:10 *2018:33 0.00162737 +*RES +1 *2474:mprj_io_in[8] *2018:11 6.7051 +2 *2018:11 *2018:13 149.039 +3 *2018:13 *2018:18 20.8155 +4 *2018:18 *2018:19 86.1282 +5 *2018:19 *2018:24 11.3872 +6 *2018:24 *2018:27 45.781 +7 *2018:27 *2018:33 49.3658 +8 *2018:33 *2018:36 29.0714 +9 *2018:36 *2018:37 85.0901 +10 *2018:37 *2449:pad_gpio_in 3.65147 +*END + +*D_NET *2019 0.0566566 +*CONN +*I *2450:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[9] O *D chip_io_alt +*CAP +1 *2450:pad_gpio_in 0.000644065 +2 *2474:mprj_io_in[9] 0.000186687 +3 *2019:11 0.00456722 +4 *2019:10 0.00410985 +5 *2450:pad_gpio_in *2127:13 0.000268171 +6 *2019:11 *2072:18 0.000126603 +7 *2019:11 *2073:31 0.000531716 +8 *2019:11 *2073:44 0.0123589 +9 *2019:11 *2126:16 0.000714515 +10 *2019:11 *2153:24 0.00288812 +11 *2019:11 *2154:14 0.023282 +12 *2019:11 *2156:17 0.000338167 +13 *2019:11 *2302:15 0.000260215 +14 *2019:11 *2320:15 0.00108964 +15 *2474:mprj_io_analog_en[8] *2019:11 0 +16 *2474:mprj_io_analog_sel[8] *2019:11 0.000500922 +17 *2474:mprj_io_dm[26] *2019:11 0 +18 *101:15 *2019:10 4.35979e-05 +19 *1803:14 *2019:11 1.23804e-05 +20 *1803:27 *2019:11 0.000197391 +21 *1874:28 *2019:11 0.00104861 +22 *1879:8 *2450:pad_gpio_in 0 +23 *1879:19 *2019:11 0.000861563 +24 *1879:42 *2019:10 3.88655e-06 +25 *1965:8 *2019:11 0.00135541 +26 *1967:13 *2019:11 0.000569924 +27 *1992:7 *2450:pad_gpio_in 2.89493e-05 +28 *1994:44 *2019:10 0.00017134 +29 *2018:11 *2019:11 6.34651e-06 +30 *2018:13 *2019:11 0.000490356 +*RES +1 *2474:mprj_io_in[9] *2019:10 14.5871 +2 *2019:10 *2019:11 380.957 +3 *2019:11 *2450:pad_gpio_in 27.8139 +*END + +*D_NET *2020 0.143904 +*CONN +*I *2473:io_in_3v3[0] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[0] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[0] 0.00166688 +2 *2474:mprj_io_in_3v3[0] 3.80717e-05 +3 *2020:24 0.00338624 +4 *2020:23 0.00171936 +5 *2020:21 0.00786169 +6 *2020:20 0.00791852 +7 *2020:15 0.00272962 +8 *2020:13 0.00269857 +9 *2020:11 0.00101628 +10 *2020:10 0.00099051 +11 *2020:8 0.00212067 +12 *2020:7 0.00215875 +13 *2473:io_in_3v3[0] *2473:io_in[0] 0 +14 *2473:io_in_3v3[0] *2375:10 0 +15 *2020:7 *2474:mprj_io_oeb[0] 9.29258e-05 +16 *2020:11 *2222:12 0.000973065 +17 *2020:15 *2031:11 0.000549956 +18 *2020:15 *2222:12 0.0159439 +19 *2020:21 *2031:11 1.3813e-05 +20 *2020:21 *2031:15 0.0383253 +21 *2020:21 *2222:16 0.00293839 +22 *2020:21 *2222:30 0.0231806 +23 *2471:mgmt_gpio_in[10] *2020:15 0.00130366 +24 *2471:mgmt_gpio_in[11] *2020:15 0.00130366 +25 *2471:mgmt_gpio_in[12] *2020:21 0.00130366 +26 *2471:mgmt_gpio_in[14] *2020:21 0.00130366 +27 *2471:mgmt_gpio_in[15] *2020:21 0.00130366 +28 *2471:mgmt_gpio_in[17] *2020:21 0.00130366 +29 *2471:mgmt_gpio_in[18] *2020:21 0.00130366 +30 *2471:mgmt_gpio_in[19] *2020:21 0.00130366 +31 *2471:mgmt_gpio_in[2] *2020:11 0.00130366 +32 *2471:mgmt_gpio_in[3] *2020:11 0.00130366 +33 *2471:mgmt_gpio_in[4] *2020:15 0.00130366 +34 *2471:mgmt_gpio_in[6] *2020:15 0.00130366 +35 *2471:mgmt_gpio_in[7] *2020:15 0.00125157 +36 *2471:mgmt_gpio_in[8] *2020:15 0.00130366 +37 *2471:mgmt_gpio_in[9] *2020:15 0.00130366 +38 *470:16 *2020:21 0.000137254 +39 *477:29 *2473:io_in_3v3[0] 0 +40 *477:29 *2020:24 0.000726008 +41 *492:25 *2020:24 0.00303298 +42 *525:8 *2020:11 0.00225124 +43 *525:8 *2020:15 0.00193015 +44 *1573:7 *2020:21 0 +45 *1576:9 *2020:21 0 +*RES +1 *2474:mprj_io_in_3v3[0] *2020:7 6.03888 +2 *2020:7 *2020:8 52.3015 +3 *2020:8 *2020:10 4.5 +4 *2020:10 *2020:11 74.2935 +5 *2020:11 *2020:13 0.732798 +6 *2020:13 *2020:15 256.174 +7 *2020:15 *2020:20 10.3986 +8 *2020:20 *2020:21 663.329 +9 *2020:21 *2020:23 4.5 +10 *2020:23 *2020:24 71.1581 +11 *2020:24 *2473:io_in_3v3[0] 18.3398 +*END + +*D_NET *2021 0.0268933 +*CONN +*I *2473:io_in_3v3[10] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[10] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[10] 0.00119884 +2 *2474:mprj_io_in_3v3[10] 0.000689618 +3 *2021:11 0.00343132 +4 *2021:10 0.00223248 +5 *2021:8 0.00434925 +6 *2021:7 0.00503886 +7 *2473:io_in_3v3[10] *2473:gpio_noesd[3] 0 +8 *2473:io_in_3v3[10] *2473:io_in[10] 0.00152279 +9 *2021:7 *2103:18 0.000249362 +10 *2021:7 *2130:20 0 +11 *2021:7 *2130:24 0 +12 *2021:11 *2385:10 0.00644227 +13 *1779:14 *2021:7 2.5386e-05 +14 *1806:14 *2021:7 0.00171314 +*RES +1 *2474:mprj_io_in_3v3[10] *2021:7 34.8684 +2 *2021:7 *2021:8 117.19 +3 *2021:8 *2021:10 4.5 +4 *2021:10 *2021:11 102.946 +5 *2021:11 *2473:io_in_3v3[10] 8.92763 +*END + +*D_NET *2022 0.0269346 +*CONN +*I *2473:io_in_3v3[11] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[11] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[11] 0.00100808 +2 *2474:mprj_io_in_3v3[11] 0.000572397 +3 *2022:11 0.00306864 +4 *2022:10 0.00206056 +5 *2022:8 0.0043779 +6 *2022:7 0.0049503 +7 *2473:io_in_3v3[11] *2473:gpio_noesd[4] 0 +8 *2473:io_in_3v3[11] *2473:io_in[11] 0.00368994 +9 *2022:7 *2077:15 0.000131317 +10 *2022:11 *2305:17 0.00215484 +11 *2022:11 *2332:14 0.00284146 +12 *2022:11 *2385:10 0.000522281 +13 *1889:18 *2022:7 0.00155693 +14 *1942:34 *2022:7 0 +*RES +1 *2474:mprj_io_in_3v3[11] *2022:7 29.7083 +2 *2022:7 *2022:8 117.19 +3 *2022:8 *2022:10 4.5 +4 *2022:10 *2022:11 101.7 +5 *2022:11 *2473:io_in_3v3[11] 8.85612 +*END + +*D_NET *2023 0.0212576 +*CONN +*I *2473:io_in_3v3[12] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[12] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[12] 0.00103693 +2 *2474:mprj_io_in_3v3[12] 0.00071618 +3 *2023:11 0.00428047 +4 *2023:10 0.00324354 +5 *2023:8 0.00434923 +6 *2023:7 0.00506541 +7 *2473:io_in_3v3[12] *2473:gpio_noesd[5] 0 +8 *2473:io_in_3v3[12] *2473:io_in[12] 0.000921588 +9 *2023:11 *2359:10 0.0010787 +10 *2023:11 *2386:10 0.000565545 +*RES +1 *2474:mprj_io_in_3v3[12] *2023:7 24.7252 +2 *2023:7 *2023:8 119.963 +3 *2023:8 *2023:10 4.5 +4 *2023:10 *2023:11 101.7 +5 *2023:11 *2473:io_in_3v3[12] 8.2891 +*END + +*D_NET *2024 0.0308248 +*CONN +*I *2473:io_in_3v3[13] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[13] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[13] 0.00137912 +2 *2474:mprj_io_in_3v3[13] 0.000748514 +3 *2024:11 0.00246536 +4 *2024:10 0.00108625 +5 *2024:8 0.00408658 +6 *2024:7 0.00483509 +7 *2473:io_in_3v3[13] *2473:io_in[13] 0 +8 *2024:7 *2474:mprj_io_oeb[13] 0 +9 *2024:11 *2325:13 0.000651922 +10 *2024:11 *2333:14 0.000194974 +11 *2024:11 *2360:10 0.00553722 +12 *2024:11 *2387:10 0.00600188 +13 *2474:mprj_io_ib_mode_sel[13] *2024:7 0.00191674 +14 *1537:23 *2024:8 0.00192115 +15 *1997:19 *2024:7 0 +*RES +1 *2474:mprj_io_in_3v3[13] *2024:7 36.3523 +2 *2024:7 *2024:8 119.409 +3 *2024:8 *2024:10 4.5 +4 *2024:10 *2024:11 110.005 +5 *2024:11 *2473:io_in_3v3[13] 8.64661 +*END + +*D_NET *2025 0.0199094 +*CONN +*I *2473:io_in_3v3[14] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[14] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[14] 0.00187014 +2 *2474:mprj_io_in_3v3[14] 0.000725423 +3 *2025:10 0.00392779 +4 *2025:9 0.00278307 +5 *2473:io_in_3v3[14] *2473:gpio_noesd[7] 0 +6 *2473:io_in_3v3[14] *2473:io_in[14] 0 +7 *2025:9 *2474:mprj_io_oeb[14] 0.00156618 +8 *2025:9 *2326:13 3.31733e-05 +9 *2025:10 *2326:14 0.00898443 +10 *2474:mprj_io_holdover[14] *2025:10 1.92336e-05 +11 *485:23 *2025:9 0 +*RES +1 *2474:mprj_io_in_3v3[14] *2025:9 34.3249 +2 *2025:9 *2025:10 95.006 +3 *2025:10 *2473:io_in_3v3[14] 19.6219 +*END + +*D_NET *2026 0.0181317 +*CONN +*I *2473:io_in_3v3[15] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[15] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[15] 0.00159439 +2 *2474:mprj_io_in_3v3[15] 0.000435574 +3 *2026:10 0.0039197 +4 *2026:9 0.00276088 +5 *2473:io_in_3v3[15] *2473:io_in[15] 9.3612e-05 +6 *2026:9 *2474:mprj_io_oeb[15] 0.00045785 +7 *2026:9 *2474:mprj_io_vtrip_sel[15] 0.0006713 +8 *2026:9 *2107:14 2.37478e-05 +9 *2026:10 *2161:17 0.000231442 +10 *2026:10 *2335:19 0.00747011 +11 *489:14 *2026:9 0.000473066 +*RES +1 *2474:mprj_io_in_3v3[15] *2026:9 29.0732 +2 *2026:9 *2026:10 96.6698 +3 *2026:10 *2473:io_in_3v3[15] 15.3309 +*END + +*D_NET *2027 0.0148862 +*CONN +*I *2473:io_in_3v3[16] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[16] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[16] 0.00203445 +2 *2474:mprj_io_in_3v3[16] 0.000871721 +3 *2027:10 0.00565327 +4 *2027:9 0.00449055 +5 *2473:io_in_3v3[16] *2473:gpio_noesd[9] 0 +6 *2473:io_in_3v3[16] *2473:io_in[16] 0 +7 *2473:io_in_3v3[16] *2363:10 0 +8 *2027:9 *2474:mprj_io_oeb[16] 0.000215165 +9 *2027:9 *2474:mprj_io_out[16] 0.000189119 +10 *2027:9 *2108:16 0 +11 *2474:mprj_io_analog_sel[16] *2027:10 0 +12 *2474:mprj_io_holdover[16] *2027:9 0.000505268 +13 *2474:mprj_io_ib_mode_sel[16] *2027:9 0.000926678 +*RES +1 *2474:mprj_io_in_3v3[16] *2027:9 40.0835 +2 *2027:9 *2027:10 97.2244 +3 *2027:10 *2473:io_in_3v3[16] 24.2923 +*END + +*D_NET *2028 0.0203598 +*CONN +*I *2473:io_in_3v3[17] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[17] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[17] 0.00163685 +2 *2474:mprj_io_in_3v3[17] 0.000545431 +3 *2028:10 0.00380624 +4 *2028:9 0.00271483 +5 *2473:io_in_3v3[17] *2473:gpio_noesd[10] 0.000488429 +6 *2473:io_in_3v3[17] *2473:io_in[17] 0.000122042 +7 *2028:9 *2474:mprj_io_oeb[17] 4.76719e-05 +8 *2028:10 *2312:10 0.00913874 +9 *473:8 *2028:9 0.000579885 +10 *1974:24 *2028:9 0.00127967 +*RES +1 *2474:mprj_io_in_3v3[17] *2028:9 34.4714 +2 *2028:9 *2028:10 96.6698 +3 *2028:10 *2473:io_in_3v3[17] 18.9489 +*END + +*D_NET *2029 0.0158823 +*CONN +*I *2473:io_in_3v3[18] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[18] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[18] 0.00166839 +2 *2474:mprj_io_in_3v3[18] 0.000395047 +3 *2029:10 0.00464133 +4 *2029:9 0.00336798 +5 *2473:io_in_3v3[18] *2473:gpio_noesd[11] 0 +6 *2473:io_in_3v3[18] *2473:io_in[18] 0 +7 *2029:9 *2474:mprj_io_oeb[18] 0.00116469 +8 *2029:10 *2474:mprj_io_oeb[18] 0 +9 *2029:10 *2474:mprj_io_out[18] 4.96363e-05 +10 *2029:10 *2338:17 0.00413078 +11 *107:58 *2029:9 0.000464416 +*RES +1 *2474:mprj_io_in_3v3[18] *2029:9 28.2427 +2 *2029:9 *2029:10 97.2244 +3 *2029:10 *2473:io_in_3v3[18] 13.7547 +*END + +*D_NET *2030 0.0151471 +*CONN +*I *2473:io_in_3v3[19] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[19] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[19] 0.00162492 +2 *2474:mprj_io_in_3v3[19] 0.000626301 +3 *2030:16 0.00576754 +4 *2030:15 0.00476892 +5 *2473:io_in_3v3[19] *2473:gpio_noesd[12] 0 +6 *2473:io_in_3v3[19] *2473:io_in[19] 0 +7 *2030:15 *2474:mprj_io_oeb[19] 0.000913325 +8 *2030:15 *2474:mprj_io_out[19] 0.000189119 +9 *2474:mprj_io_dm[59] *2030:16 8.35282e-05 +10 *2474:mprj_io_holdover[19] *2030:15 0.000435402 +11 *2474:mprj_io_ib_mode_sel[19] *2030:15 5.99922e-05 +12 *475:16 *2030:15 0.000678081 +*RES +1 *2474:mprj_io_in_3v3[19] *2030:15 40.3827 +2 *2030:15 *2030:16 109.98 +3 *2030:16 *2473:io_in_3v3[19] 22.4682 +*END + +*D_NET *2031 0.118019 +*CONN +*I *2473:io_in_3v3[1] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[1] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[1] 0.00124433 +2 *2474:mprj_io_in_3v3[1] 2.02418e-05 +3 *2031:18 0.00349186 +4 *2031:17 0.00224752 +5 *2031:15 0.00570498 +6 *2031:13 0.00573357 +7 *2031:11 0.000974799 +8 *2031:10 0.000946212 +9 *2031:8 0.00223872 +10 *2031:7 0.00225897 +11 *2473:io_in_3v3[1] *2473:io_in[1] 0 +12 *2473:io_in_3v3[1] *2356:12 0 +13 *2031:7 *2474:mprj_io_oeb[1] 4.08338e-05 +14 *2031:11 *2222:12 9.71323e-06 +15 *2031:11 *2222:16 0.00297387 +16 *2031:15 *2222:24 0.0147182 +17 *2031:15 *2394:20 0.025779 +18 *2031:15 *2394:24 7.44441e-06 +19 *2031:18 *2340:17 0.00121204 +20 *2471:mgmt_gpio_in[10] *2031:11 0.000982761 +21 *2471:mgmt_gpio_in[11] *2031:11 0.00130366 +22 *470:16 *2031:15 0.000758132 +23 *525:8 *2031:11 0.00646948 +24 *525:8 *2031:15 1.3813e-05 +25 *2020:15 *2031:11 0.000549956 +26 *2020:21 *2031:11 1.3813e-05 +27 *2020:21 *2031:15 0.0383253 +*RES +1 *2474:mprj_io_in_3v3[1] *2031:7 5.20837 +2 *2031:7 *2031:8 51.7469 +3 *2031:8 *2031:10 4.5 +4 *2031:10 *2031:11 103.776 +5 *2031:11 *2031:13 0.732798 +6 *2031:13 *2031:15 651.909 +7 *2031:15 *2031:17 4.5 +8 *2031:17 *2031:18 73.9311 +9 *2031:18 *2473:io_in_3v3[1] 12.3829 +*END + +*D_NET *2032 0.0168879 +*CONN +*I *2473:io_in_3v3[20] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[20] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[20] 0.00184639 +2 *2474:mprj_io_in_3v3[20] 0.000954074 +3 *2032:14 0.00464537 +4 *2032:13 0.00375306 +5 *2473:io_in_3v3[20] *2473:gpio_noesd[13] 0 +6 *2473:io_in_3v3[20] *2473:io_in[20] 9.69513e-05 +7 *2032:13 *2474:mprj_io_oeb[20] 0.00136153 +8 *2032:14 *2341:19 0.00423054 +9 *474:48 *2032:13 0 +10 *494:8 *2032:13 0 +*RES +1 *2474:mprj_io_in_3v3[20] *2032:13 47.7795 +2 *2032:13 *2032:14 92.233 +3 *2032:14 *2473:io_in_3v3[20] 17.2064 +*END + +*D_NET *2033 0.0150202 +*CONN +*I *2473:io_in_3v3[21] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[21] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[21] 0.00149591 +2 *2474:mprj_io_in_3v3[21] 0.00071616 +3 *2033:13 0.00283076 +4 *2033:8 0.00511769 +5 *2033:7 0.004499 +6 *2473:io_in_3v3[21] *2473:io_in[21] 0.000360677 +7 *2473:io_in_3v3[21] *2396:15 0 +8 *2033:13 *2342:14 0 +9 *2033:13 *2369:10 0 +10 *2033:13 *2396:15 0 +*RES +1 *2474:mprj_io_in_3v3[21] *2033:7 24.7252 +2 *2033:7 *2033:8 101.107 +3 *2033:8 *2033:13 45.2048 +4 *2033:13 *2473:io_in_3v3[21] 5.23958 +*END + +*D_NET *2034 0.0189315 +*CONN +*I *2473:io_in_3v3[22] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[22] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[22] 0.00110427 +2 *2474:mprj_io_in_3v3[22] 0.000913974 +3 *2034:11 0.00263044 +4 *2034:8 0.00526379 +5 *2034:7 0.00465159 +6 *2473:io_in_3v3[22] *2473:io_in[22] 0.00387569 +7 *2034:11 *2473:io_in[22] 5.81406e-05 +8 *2034:11 *2370:12 0 +9 *496:17 *2034:7 0.00043359 +*RES +1 *2474:mprj_io_in_3v3[22] *2034:7 30.3494 +2 *2034:7 *2034:8 99.4428 +3 *2034:8 *2034:11 47.2343 +4 *2034:11 *2473:io_in_3v3[22] 8.85945 +*END + +*D_NET *2035 0.0175545 +*CONN +*I *2473:io_in_3v3[23] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[23] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[23] 0.00153483 +2 *2474:mprj_io_in_3v3[23] 0.0011251 +3 *2035:11 0.00326449 +4 *2035:10 0.00172966 +5 *2035:8 0.00372105 +6 *2035:7 0.00484615 +7 *2473:io_in_3v3[23] *2473:io_in[23] 0.000411455 +8 *2035:11 *2473:io_in[23] 9.69513e-05 +9 *2035:11 *2371:12 8.10016e-06 +10 *2035:11 *2398:12 0.000282459 +11 *110:87 *2473:io_in_3v3[23] 0 +12 *112:14 *2035:7 0.00053428 +*RES +1 *2474:mprj_io_in_3v3[23] *2035:7 36.3523 +2 *2035:7 *2035:8 98.3336 +3 *2035:8 *2035:10 4.5 +4 *2035:10 *2035:11 51.8699 +5 *2035:11 *2473:io_in_3v3[23] 8.65825 +*END + +*D_NET *2036 0.0431773 +*CONN +*I *2473:io_in_3v3[24] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[24] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[24] 0.0012687 +2 *2474:mprj_io_in_3v3[24] 0.000791535 +3 *2036:11 0.00414443 +4 *2036:10 0.00287573 +5 *2036:8 0.00236278 +6 *2036:7 0.00315432 +7 *2473:io_in_3v3[24] *2473:gpio_noesd[17] 0 +8 *2473:io_in_3v3[24] *2473:io_in[24] 0.00126211 +9 *2036:11 *2347:16 0.000452049 +10 *2036:11 *2374:10 0.00563266 +11 *2036:11 *2401:10 0.00609841 +12 *101:40 *2036:8 0.00976513 +13 *101:48 *2036:7 7.86825e-06 +14 *109:52 *2036:11 0.00282321 +15 *468:21 *2036:8 0.00218674 +16 *486:29 *2036:7 5.94052e-06 +17 *486:44 *2036:7 0.000345713 +*RES +1 *2474:mprj_io_in_3v3[24] *2036:7 26.3862 +2 *2036:7 *2036:8 104.434 +3 *2036:8 *2036:10 4.5 +4 *2036:10 *2036:11 171.047 +5 *2036:11 *2473:io_in_3v3[24] 8.24753 +*END + +*D_NET *2037 0.0492241 +*CONN +*I *2473:io_in_3v3[25] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[25] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[25] 0.00241549 +2 *2474:mprj_io_in_3v3[25] 0.000682458 +3 *2037:11 0.0148636 +4 *2037:10 0.0124481 +5 *2037:8 0.00330174 +6 *2037:7 0.00398419 +7 *2473:io_in_3v3[25] *2473:io_in[25] 0 +8 *2473:io_in_3v3[25] *2346:19 0.000861684 +9 *2037:7 *2401:16 0 +10 *2448:serial_load *2037:7 0.000376127 +11 *515:8 *2037:7 0.000812949 +12 *1156:7 *2037:11 0 +13 *1562:16 *2037:11 7.77309e-06 +14 *1572:13 *2037:11 0.00947008 +*RES +1 *2474:mprj_io_in_3v3[25] *2037:7 31.3693 +2 *2037:7 *2037:8 86.687 +3 *2037:8 *2037:10 4.5 +4 *2037:10 *2037:11 405.457 +5 *2037:11 *2473:io_in_3v3[25] 32.9021 +*END + +*D_NET *2038 0.0697658 +*CONN +*I *2473:io_in_3v3[26] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[26] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[26] 0.00249792 +2 *2474:mprj_io_in_3v3[26] 0.00144424 +3 *2038:14 0.0046358 +4 *2038:13 0.00213789 +5 *2038:11 0.0155378 +6 *2038:10 0.016982 +7 *2473:io_in_3v3[26] *2473:io_in[26] 0 +8 *2473:io_in_3v3[26] *2373:12 0 +9 *2038:11 *2222:36 9.99386e-06 +10 *1554:27 *2038:11 0.0015027 +11 *1561:8 *2038:11 0.0236341 +12 *1576:13 *2038:11 0.00138332 +*RES +1 *2474:mprj_io_in_3v3[26] *2038:10 48.9753 +2 *2038:10 *2038:11 607.27 +3 *2038:11 *2038:13 4.5 +4 *2038:13 *2038:14 57.293 +5 *2038:14 *2473:io_in_3v3[26] 24.3692 +*END + +*D_NET *2039 0.0559885 +*CONN +*I *2473:io_in_3v3[2] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[2] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[2] 0.00130267 +2 *2474:mprj_io_in_3v3[2] 0.00240167 +3 *2039:18 0.00418361 +4 *2039:17 0.00288094 +5 *2039:15 0.0100403 +6 *2039:13 0.0100661 +7 *2039:11 0.00310111 +8 *2039:10 0.005477 +9 *2473:io_in_3v3[2] *2473:io_in[2] 0 +10 *2473:io_in_3v3[2] *2367:12 0 +11 *2039:11 *2394:20 0.00119953 +12 *2039:11 *2394:24 0.00410849 +13 *2039:15 *2394:20 0.0111892 +14 *470:16 *2039:15 0 +15 *471:40 *2039:10 3.78286e-05 +*RES +1 *2474:mprj_io_in_3v3[2] *2039:10 14.3494 +2 *2039:10 *2039:11 124.429 +3 *2039:11 *2039:13 0.732798 +4 *2039:13 *2039:15 383.559 +5 *2039:15 *2039:17 4.5 +6 *2039:17 *2039:18 77.8133 +7 *2039:18 *2473:io_in_3v3[2] 12.6917 +*END + +*D_NET *2040 0.0583824 +*CONN +*I *2473:io_in_3v3[3] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[3] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[3] 0.00157237 +2 *2474:mprj_io_in_3v3[3] 0.000485331 +3 *2040:23 0.00441105 +4 *2040:22 0.00283868 +5 *2040:20 0.0045797 +6 *2040:19 0.00506503 +7 *2473:io_in_3v3[3] *2473:io_in[3] 0 +8 *2473:io_in_3v3[3] *2375:9 0 +9 *2040:19 *2474:mprj_io_out[3] 0.000879367 +10 *2040:23 *2348:14 0.0169694 +11 *2040:23 *2375:10 0.00022036 +12 *2040:23 *2402:10 0.017382 +13 *2040:23 *2403:10 0.000651922 +14 *2455:resetn_out *2040:19 0.000141831 +15 *2474:mprj_io_analog_en[3] *2040:20 0.000225379 +16 *2474:mprj_io_analog_sel[3] *2040:19 0.000185867 +17 *2474:mprj_io_analog_sel[3] *2040:20 0 +18 *2474:mprj_io_dm[11] *2040:19 0.00013008 +19 *2474:mprj_io_holdover[3] *2040:19 0.000151159 +20 *105:52 *2040:19 0.000444842 +21 *504:12 *2040:19 0.00150769 +22 *2013:7 *2040:19 0.000540347 +*RES +1 *2474:mprj_io_in_3v3[3] *2040:19 41.5552 +2 *2040:19 *2040:20 118.854 +3 *2040:20 *2040:22 4.5 +4 *2040:22 *2040:23 295.623 +5 *2040:23 *2473:io_in_3v3[3] 8.59506 +*END + +*D_NET *2041 0.0142977 +*CONN +*I *2473:io_in_3v3[4] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[4] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[4] 0.00156534 +2 *2474:mprj_io_in_3v3[4] 0.000278774 +3 *2041:8 0.00644461 +4 *2041:7 0.00515804 +5 *2473:io_in_3v3[4] *2376:9 0 +6 *2041:7 *2095:8 0.000796167 +7 *2014:30 *2041:8 5.481e-05 +*RES +1 *2474:mprj_io_in_3v3[4] *2041:7 17.2507 +2 *2041:7 *2041:8 122.736 +3 *2041:8 *2473:io_in_3v3[4] 16.3101 +*END + +*D_NET *2042 0.0218996 +*CONN +*I *2473:io_in_3v3[5] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[5] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[5] 0.0013887 +2 *2474:mprj_io_in_3v3[5] 0.000471709 +3 *2042:23 1.43386e-05 +4 *2042:17 0.00347668 +5 *2042:16 0.00210232 +6 *2042:14 0.00435454 +7 *2042:13 0.00435454 +8 *2042:11 0.000471709 +9 *2042:11 *2474:mprj_io_oeb[5] 0.000115378 +10 *2042:14 *2474:mprj_io_inp_dis[5] 0.000713063 +11 *2042:17 *2351:14 0 +12 *2042:17 *2405:10 0 +13 *2474:mprj_io_analog_pol[5] *2042:11 5.05252e-05 +14 *2474:mprj_io_analog_sel[5] *2042:11 0.00187288 +15 *108:49 *2042:11 0.00030076 +16 *495:64 *2042:11 0.00200821 +17 *1853:17 *2042:11 5.04829e-06 +18 *1961:14 *2042:11 3.31736e-05 +19 *1988:8 *2042:11 0.000165997 +*RES +1 *2474:mprj_io_in_3v3[5] *2042:11 46.7325 +2 *2042:11 *2042:13 4.5 +3 *2042:13 *2042:14 114.972 +4 *2042:14 *2042:16 4.5 +5 *2042:16 *2042:17 59.3444 +6 *2042:17 *2473:io_in_3v3[5] 8.42878 +7 *2473:io_in_3v3[5] *2042:23 0.0548734 +*END + +*D_NET *2043 0.0217344 +*CONN +*I *2473:io_in_3v3[6] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[6] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[6] 0.00167901 +2 *2474:mprj_io_in_3v3[6] 0.000358311 +3 *2043:16 0.00625668 +4 *2043:15 0.00457767 +5 *2043:13 0.00175833 +6 *2043:12 0.00211664 +7 *2473:io_in_3v3[6] *2473:io_in[6] 0 +8 *2473:io_in_3v3[6] *2352:14 0 +9 *2043:12 *2474:mprj_io_oeb[6] 0 +10 *2043:12 *2070:25 5.04829e-06 +11 *2043:13 *2124:14 0.0010388 +12 *2043:13 *2178:14 0.000418796 +13 *2459:resetn *2043:13 0.000988992 +14 *2474:mprj_io_ib_mode_sel[6] *2043:12 9.19632e-06 +15 *110:98 *2043:13 0.00194722 +16 *507:18 *2043:12 0.000109929 +17 *1800:14 *2043:13 0 +18 *2016:19 *2043:13 0.000469817 +*RES +1 *2474:mprj_io_in_3v3[6] *2043:12 16.9015 +2 *2043:12 *2043:13 81.5604 +3 *2043:13 *2043:15 4.5 +4 *2043:15 *2043:16 121.072 +5 *2043:16 *2473:io_in_3v3[6] 22.1532 +*END + +*D_NET *2044 0.0311313 +*CONN +*I *2473:io_in_3v3[7] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[7] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[7] 0.00115446 +2 *2474:mprj_io_in_3v3[7] 0.00072823 +3 *2044:11 0.00351175 +4 *2044:10 0.00235729 +5 *2044:8 0.00401256 +6 *2044:7 0.00474079 +7 *2473:io_in_3v3[7] *2473:io_in[7] 0.00366812 +8 *2044:7 *2126:16 0.00026714 +9 *2044:7 *2153:20 0.000126228 +10 *2044:11 *2381:10 0.00680691 +11 *1570:25 *2044:8 0.00169355 +12 *1874:28 *2044:7 0.0016133 +13 *2018:18 *2044:8 0.000450929 +*RES +1 *2474:mprj_io_in_3v3[7] *2044:7 36.3523 +2 *2044:7 *2044:8 117.19 +3 *2044:8 *2044:10 4.5 +4 *2044:10 *2044:11 108.759 +5 *2044:11 *2473:io_in_3v3[7] 8.86943 +*END + +*D_NET *2045 0.029126 +*CONN +*I *2473:io_in_3v3[8] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[8] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[8] 0.000977758 +2 *2474:mprj_io_in_3v3[8] 0.000602253 +3 *2045:11 0.00331638 +4 *2045:10 0.00233862 +5 *2045:8 0.00468406 +6 *2045:7 0.00528631 +7 *2473:io_in_3v3[8] *2473:gpio_noesd[1] 0 +8 *2473:io_in_3v3[8] *2473:io_in[8] 0.00362715 +9 *2045:7 *2073:44 0 +10 *2045:11 *2382:10 0.00668599 +11 *1879:32 *2045:7 0.00147263 +12 *1965:8 *2045:7 0.000134799 +*RES +1 *2474:mprj_io_in_3v3[8] *2045:7 30.1235 +2 *2045:7 *2045:8 122.182 +3 *2045:8 *2045:10 4.5 +4 *2045:10 *2045:11 107.098 +5 *2045:11 *2473:io_in_3v3[8] 8.45206 +*END + +*D_NET *2046 0.0287303 +*CONN +*I *2473:io_in_3v3[9] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[9] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[9] 0.00111022 +2 *2474:mprj_io_in_3v3[9] 0.000430328 +3 *2046:11 0.00193935 +4 *2046:10 0.000829126 +5 *2046:8 0.00445119 +6 *2046:7 0.00488152 +7 *2473:io_in_3v3[9] *2473:gpio_noesd[2] 0 +8 *2473:io_in_3v3[9] *2473:io_in[9] 0.00092763 +9 *2046:7 *2156:17 0.000166143 +10 *2046:11 *2357:10 0.00637973 +11 *2046:11 *2384:10 0.00637613 +12 *101:22 *2046:7 0.00123895 +*RES +1 *2474:mprj_io_in_3v3[9] *2046:7 24.31 +2 *2046:7 *2046:8 118.854 +3 *2046:8 *2046:10 4.5 +4 *2046:10 *2046:11 102.115 +5 *2046:11 *2473:io_in_3v3[9] 8.39718 +*END + +*D_NET *2047 0.0143729 +*CONN +*I *2474:mprj_io_inp_dis[0] I *D chip_io_alt +*I *2444:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[0] 0.0005038 +2 *2444:pad_gpio_inenb 0.000414389 +3 *2047:14 0.00312559 +4 *2047:13 0.00303618 +5 *2047:13 *2074:8 0 +6 *2047:13 *2101:13 0.000748829 +7 *2047:13 *2128:13 0.000215101 +8 *2047:14 *2128:14 0.000285622 +9 *2047:14 *2155:19 0 +10 *2444:pad_gpio_in *2047:13 3.84497e-05 +11 *2474:mprj_io_analog_pol[0] *2474:mprj_io_inp_dis[0] 0 +12 *2474:mprj_io_dm[0] *2474:mprj_io_inp_dis[0] 7.44489e-05 +13 *1777:14 *2047:14 0 +14 *1858:14 *2047:14 0.00551513 +15 *1869:14 *2047:14 0.000415397 +16 *1939:7 *2047:13 0 +17 *1993:30 *2047:14 0 +*RES +1 *2444:pad_gpio_inenb *2047:13 26.7952 +2 *2047:13 *2047:14 115.196 +3 *2047:14 *2474:mprj_io_inp_dis[0] 22.2122 +*END + +*D_NET *2048 0.0457622 +*CONN +*I *2474:mprj_io_inp_dis[10] I *D chip_io_alt +*I *2451:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[10] 0.000457258 +2 *2451:pad_gpio_inenb 0.000548028 +3 *2048:20 0.00104178 +4 *2048:19 0.00073756 +5 *2048:14 0.00954045 +6 *2048:13 0.00993545 +7 *2474:mprj_io_inp_dis[10] *2304:20 0.000344312 +8 *2474:mprj_io_inp_dis[10] *2322:13 0.000280625 +9 *2048:13 *2102:10 4.31122e-05 +10 *2048:14 *2474:mprj_io_out[10] 0.000563795 +11 *2048:14 *2474:mprj_io_vtrip_sel[10] 0.000284387 +12 *2048:14 *2127:14 0 +13 *2048:14 *2127:28 0 +14 *2048:14 *2181:8 0.00187368 +15 *2474:mprj_io_analog_pol[10] *2474:mprj_io_inp_dis[10] 0 +16 *486:13 *2048:13 0.00095438 +17 *501:14 *2048:20 0 +18 *1830:22 *2048:14 0 +19 *1857:14 *2048:14 0 +20 *1879:32 *2048:13 0 +21 *1881:14 *2048:14 0 +22 *1881:14 *2048:20 0.0033569 +23 *1882:14 *2048:14 0.0108848 +24 *1884:14 *2048:14 0 +25 *1884:14 *2048:20 0.00373384 +26 *1886:8 *2048:14 0.00057784 +27 *1941:8 *2048:20 0.00060398 +28 *1967:13 *2048:13 0 +*RES +1 *2451:pad_gpio_inenb *2048:13 27.8223 +2 *2048:13 *2048:14 363.101 +3 *2048:14 *2048:19 12.4964 +4 *2048:19 *2048:20 59.9673 +5 *2048:20 *2474:mprj_io_inp_dis[10] 23.7755 +*END + +*D_NET *2049 0.0615727 +*CONN +*I *2474:mprj_io_inp_dis[11] I *D chip_io_alt +*I *2452:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[11] 0.000275925 +2 *2452:pad_gpio_inenb 0.000629819 +3 *2049:30 0.000650825 +4 *2049:27 0.000635768 +5 *2049:14 0.00529508 +6 *2049:13 0.00566403 +7 *2049:13 *2103:18 2.89493e-05 +8 *2049:27 *2131:15 1.92459e-05 +9 *2049:27 *2131:16 0.000106031 +10 *2049:30 *2130:32 0.000658292 +11 *2049:30 *2131:16 0.00261158 +12 *2049:30 *2158:10 0.000106281 +13 *2049:30 *2305:13 1.3813e-05 +14 *2452:pad_gpio_in *2049:13 3.96052e-05 +15 *2452:resetn *2049:13 0.000115266 +16 *2474:mprj_io_analog_pol[11] *2049:30 0.00156107 +17 *101:22 *2049:13 0 +18 *486:66 *2049:13 0 +19 *1806:26 *2049:30 2.62399e-05 +20 *1882:14 *2049:14 0.00811381 +21 *1884:14 *2049:14 0 +22 *1885:14 *2049:14 0.020006 +23 *1886:8 *2049:14 0.00348021 +24 *1887:14 *2049:14 7.70877e-05 +25 *1888:14 *2049:14 0.00723843 +26 *1889:18 *2474:mprj_io_inp_dis[11] 9.29408e-05 +27 *1942:22 *2049:27 6.08467e-05 +28 *1969:17 *2049:30 0.000241346 +29 *1995:10 *2049:27 5.04829e-06 +30 *1995:11 *2049:14 0.00350013 +31 *1995:15 *2049:14 1.23804e-05 +32 *1996:32 *2049:30 0.000306656 +*RES +1 *2452:pad_gpio_inenb *2049:13 26.1585 +2 *2049:13 *2049:14 378.881 +3 *2049:14 *2049:27 16.4269 +4 *2049:27 *2049:30 46.6115 +5 *2049:30 *2474:mprj_io_inp_dis[11] 10.6445 +*END + +*D_NET *2050 0.0487499 +*CONN +*I *2474:mprj_io_inp_dis[12] I *D chip_io_alt +*I *2453:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[12] 0.000323905 +2 *2453:pad_gpio_inenb 0.000453763 +3 *2050:18 0.005935 +4 *2050:16 0.00563799 +5 *2050:14 0.000708222 +6 *2050:13 0.00113509 +7 *2050:13 *2077:10 0 +8 *2050:13 *2104:13 0.000315959 +9 *2050:13 *2131:10 8.88366e-05 +10 *2050:14 *2474:mprj_io_out[11] 0.000507909 +11 *2050:14 *2130:28 0.00122198 +12 *2050:14 *2130:32 0.000179564 +13 *2050:18 *2474:mprj_io_out[11] 0.000459935 +14 *2050:18 *2130:32 0.00139586 +15 *2050:18 *2131:22 0.00466604 +16 *2050:18 *2158:15 0.00254909 +17 *2050:18 *2305:13 0.00184568 +18 *2050:18 *2323:11 0.000416432 +19 *2453:resetn *2050:13 1.92172e-05 +20 *2474:mprj_io_analog_pol[11] *2050:18 0.000254307 +21 *2474:mprj_io_analog_pol[12] *2474:mprj_io_inp_dis[12] 2.09084e-05 +22 *2474:mprj_io_analog_sel[12] *2474:mprj_io_inp_dis[12] 2.01653e-05 +23 *2474:mprj_io_dm[36] *2474:mprj_io_inp_dis[12] 4.79594e-06 +24 *2474:mprj_io_dm[38] *2474:mprj_io_inp_dis[12] 0.000138756 +25 *102:60 *2050:13 0.000464113 +26 *1806:18 *2050:14 0.00309845 +27 *1806:18 *2050:18 0.00139239 +28 *1806:26 *2050:18 0.00106255 +29 *1807:20 *2050:18 0 +30 *1889:12 *2050:18 0 +31 *1889:18 *2050:18 0 +32 *1889:30 *2050:18 0.00240759 +33 *1942:11 *2050:13 0.000304291 +34 *1942:14 *2050:18 4.3116e-06 +35 *1942:40 *2474:mprj_io_inp_dis[12] 0 +36 *1942:40 *2050:18 0.00120212 +37 *1969:13 *2050:13 0 +38 *1996:15 *2050:18 0.00831406 +39 *1996:19 *2050:18 0.00104057 +40 *1996:32 *2050:18 0.0004821 +41 *1996:35 *2050:18 0.000677993 +*RES +1 *2453:pad_gpio_inenb *2050:13 29.1362 +2 *2050:13 *2050:14 50.4287 +3 *2050:14 *2050:16 0.732798 +4 *2050:16 *2050:18 363.712 +5 *2050:18 *2474:mprj_io_inp_dis[12] 11.224 +*END + +*D_NET *2051 0.0145819 +*CONN +*I *2474:mprj_io_inp_dis[13] I *D chip_io_alt +*I *2454:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[13] 0.000499435 +2 *2454:pad_gpio_inenb 0.000433232 +3 *2051:14 0.00222916 +4 *2051:13 0.00216296 +5 *2474:mprj_io_inp_dis[13] *2325:9 0.000827576 +6 *2474:mprj_io_inp_dis[13] *2325:10 2.81824e-05 +7 *2051:13 *2132:13 0 +8 *2051:14 *2132:14 0 +9 *2051:14 *2159:19 0.00219351 +10 *2454:pad_gpio_in *2051:13 3.84497e-05 +11 *2474:mprj_io_analog_pol[13] *2474:mprj_io_inp_dis[13] 0 +12 *2474:mprj_io_analog_sel[13] *2051:14 0 +13 *2474:mprj_io_dm[39] *2474:mprj_io_inp_dis[13] 5.04829e-06 +14 *1781:22 *2051:14 0.000449909 +15 *1835:18 *2051:14 0.000864566 +16 *1892:14 *2051:14 0.00159002 +17 *1893:17 *2051:14 0.00247791 +18 *1997:13 *2474:mprj_io_inp_dis[13] 4.58666e-05 +19 *1997:13 *2051:14 9.35753e-06 +20 *1997:19 *2051:14 0.000726727 +*RES +1 *2454:pad_gpio_inenb *2051:13 22.5578 +2 *2051:13 *2051:14 111.459 +3 *2051:14 *2474:mprj_io_inp_dis[13] 28.0634 +*END + +*D_NET *2052 0.0161245 +*CONN +*I *2474:mprj_io_inp_dis[14] I *D chip_io_alt +*I *2461:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[14] 0.000437666 +2 *2461:pad_gpio_inenb 0.000189171 +3 *2052:8 0.00157403 +4 *2052:7 0.00132553 +5 *2474:mprj_io_inp_dis[14] *2106:17 4.58003e-05 +6 *2474:mprj_io_inp_dis[14] *2326:13 0.000869504 +7 *2052:7 *2106:7 0.000198219 +8 *2052:8 *2079:8 0.00126019 +9 *2052:8 *2106:8 0.00468484 +10 *2052:8 *2106:17 0.000777162 +11 *2461:pad_gpio_in *2052:7 4.84183e-05 +12 *2461:resetn *2052:7 0.000732038 +13 *2474:mprj_io_analog_en[14] *2474:mprj_io_inp_dis[14] 0 +14 *2474:mprj_io_analog_pol[14] *2474:mprj_io_inp_dis[14] 0.00019711 +15 *2474:mprj_io_dm[42] *2474:mprj_io_inp_dis[14] 0.000145018 +16 *485:17 *2052:8 0.00363982 +*RES +1 *2461:pad_gpio_inenb *2052:7 4.57933 +2 *2052:7 *2052:8 101.285 +3 *2052:8 *2474:mprj_io_inp_dis[14] 27.7803 +*END + +*D_NET *2053 0.0140227 +*CONN +*I *2474:mprj_io_inp_dis[15] I *D chip_io_alt +*I *2462:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[15] 0.000441576 +2 *2462:pad_gpio_inenb 0.000230691 +3 *2053:14 0.00087631 +4 *2053:13 0.000597878 +5 *2053:8 0.00186959 +6 *2053:7 0.00193713 +7 *2474:mprj_io_inp_dis[15] *2107:14 0.000648497 +8 *2474:mprj_io_inp_dis[15] *2161:13 5.04829e-06 +9 *2053:7 *2107:7 0.000200597 +10 *2053:8 *2474:porb_h 4.88112e-06 +11 *2053:8 *2107:8 0 +12 *2053:8 *2134:8 0 +13 *2053:14 *2309:13 0.000805888 +14 *2474:mprj_io_analog_en[15] *2474:mprj_io_inp_dis[15] 0 +15 *2474:mprj_io_analog_pol[15] *2474:mprj_io_inp_dis[15] 9.4338e-05 +16 *2474:mprj_io_dm[45] *2474:mprj_io_inp_dis[15] 0.00034475 +17 *2474:mprj_io_dm[45] *2053:14 9.71323e-06 +18 *2474:mprj_io_dm[46] *2053:14 0.000112805 +19 *471:16 *2053:14 0.00300455 +20 *489:13 *2474:mprj_io_inp_dis[15] 0 +21 *1783:15 *2053:13 5.04829e-06 +22 *1810:15 *2053:13 7.65239e-05 +23 *1837:8 *2053:8 0.000824553 +24 *1897:8 *2053:14 0.00193231 +25 *1945:7 *2053:7 0 +*RES +1 *2462:pad_gpio_inenb *2053:7 4.42635 +2 *2053:7 *2053:8 56.4377 +3 *2053:8 *2053:13 13.051 +4 *2053:13 *2053:14 48.3402 +5 *2053:14 *2474:mprj_io_inp_dis[15] 27.0297 +*END + +*D_NET *2054 0.0186629 +*CONN +*I *2474:mprj_io_inp_dis[16] I *D chip_io_alt +*I *2463:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[16] 6.54432e-05 +2 *2463:pad_gpio_inenb 0.000453267 +3 *2054:20 0.00070252 +4 *2054:8 0.00142407 +5 *2054:7 0.00124026 +6 *2054:7 *2108:7 0.000381948 +7 *2054:8 *2081:8 0.00494121 +8 *2054:8 *2108:8 0.00584265 +9 *2054:8 *2328:19 0.000863062 +10 *2054:20 *2108:13 0.000251669 +11 *2054:20 *2108:16 0.00116545 +12 *2463:pad_gpio_in *2054:7 5.36925e-05 +13 *2474:mprj_io_analog_pol[16] *2474:mprj_io_inp_dis[16] 0 +14 *2474:mprj_io_dm[50] *2054:20 0 +15 *518:8 *2054:8 2.01595e-05 +16 *1838:14 *2054:20 5.84352e-05 +17 *1903:16 *2054:20 0.0011864 +18 *1946:17 *2054:20 1.2693e-05 +19 *1973:7 *2054:7 0 +*RES +1 *2463:pad_gpio_inenb *2054:7 5.42072 +2 *2054:7 *2054:8 93.8104 +3 *2054:8 *2054:20 49.354 +4 *2054:20 *2474:mprj_io_inp_dis[16] 1.77093 +*END + +*D_NET *2055 0.0139458 +*CONN +*I *2474:mprj_io_inp_dis[17] I *D chip_io_alt +*I *2464:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[17] 0.000291203 +2 *2464:pad_gpio_inenb 7.78142e-05 +3 *2055:14 0.00158715 +4 *2055:13 0.00164099 +5 *2055:8 0.00137611 +6 *2055:7 0.00110888 +7 *2474:mprj_io_inp_dis[17] *2312:9 9.29258e-05 +8 *2055:7 *2109:7 6.89476e-05 +9 *2055:8 *2136:8 0 +10 *2464:pad_gpio_in *2055:7 2.82227e-05 +11 *2464:pad_gpio_in *2055:8 0.000518308 +12 *2474:mprj_io_analog_pol[17] *2055:14 0.00133853 +13 *473:8 *2055:14 0 +14 *519:21 *2055:13 5.04829e-06 +15 *1785:13 *2055:8 0.000625055 +16 *1785:16 *2055:14 0.000202472 +17 *1785:20 *2055:14 3.10929e-05 +18 *1812:13 *2055:8 4.15236e-05 +19 *1906:8 *2055:8 0.00141539 +20 *1906:22 *2055:14 0.000425511 +21 *1974:7 *2055:7 0 +22 *1974:17 *2055:13 0 +23 *1974:17 *2055:14 0.00149895 +24 *2001:13 *2055:8 0.00157164 +*RES +1 *2464:pad_gpio_inenb *2055:7 3.73793 +2 *2055:7 *2055:8 58.5139 +3 *2055:8 *2055:13 16.3786 +4 *2055:13 *2055:14 55.3995 +5 *2055:14 *2474:mprj_io_inp_dis[17] 16.2537 +*END + +*D_NET *2056 0.0188358 +*CONN +*I *2474:mprj_io_inp_dis[18] I *D chip_io_alt +*I *2465:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[18] 0.00200051 +2 *2465:pad_gpio_inenb 0.00045886 +3 *2056:10 0.00270394 +4 *2056:9 0.00116229 +5 *2474:mprj_io_inp_dis[18] *2083:10 0.000253824 +6 *2474:mprj_io_inp_dis[18] *2110:16 0.000262462 +7 *2474:mprj_io_inp_dis[18] *2164:16 0.000253824 +8 *2474:mprj_io_inp_dis[18] *2295:13 0 +9 *2056:9 *2083:9 0 +10 *2056:9 *2110:9 0.000276188 +11 *2056:10 *2110:16 7.77309e-06 +12 *2465:pad_gpio_in *2056:9 0.000134942 +13 *2474:mprj_io_analog_pol[18] *2474:mprj_io_inp_dis[18] 0 +14 *2474:mprj_io_analog_sel[18] *2474:mprj_io_inp_dis[18] 2.98205e-05 +15 *474:14 *2474:mprj_io_inp_dis[18] 0.000287352 +16 *474:14 *2056:10 0.00438821 +17 *492:10 *2474:mprj_io_inp_dis[18] 0.000253824 +18 *520:16 *2474:mprj_io_inp_dis[18] 0.000253824 +19 *520:16 *2056:10 0.000370009 +20 *1786:18 *2474:mprj_io_inp_dis[18] 0.000247781 +21 *1813:16 *2474:mprj_io_inp_dis[18] 0.000277634 +22 *1840:13 *2056:10 0.00183361 +23 *1840:27 *2474:mprj_io_inp_dis[18] 0 +24 *1907:10 *2474:mprj_io_inp_dis[18] 0.000292752 +25 *1908:10 *2474:mprj_io_inp_dis[18] 0.000183155 +26 *1909:16 *2474:mprj_io_inp_dis[18] 0.000264014 +27 *1948:22 *2474:mprj_io_inp_dis[18] 0.000925994 +28 *1975:22 *2474:mprj_io_inp_dis[18] 0.00027027 +29 *1975:22 *2056:10 0.00144292 +*RES +1 *2465:pad_gpio_inenb *2056:9 5.41074 +2 *2056:9 *2056:10 70.7639 +3 *2056:10 *2474:mprj_io_inp_dis[18] 41.0289 +*END + +*D_NET *2057 0.0153626 +*CONN +*I *2474:mprj_io_inp_dis[19] I *D chip_io_alt +*I *2466:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[19] 3.80717e-05 +2 *2466:pad_gpio_inenb 0.000814742 +3 *2057:29 0.00194818 +4 *2057:14 0.0029701 +5 *2057:13 0.00187474 +6 *2057:13 *2084:8 0 +7 *2057:13 *2111:7 0.000284115 +8 *2057:13 *2138:10 0.000461205 +9 *2057:13 *2165:13 5.04829e-06 +10 *2057:14 *2138:10 0.000343222 +11 *2057:14 *2138:12 1.69447e-05 +12 *2057:29 *2084:8 0.000205067 +13 *2057:29 *2165:28 0.000327995 +14 *2057:29 *2296:13 0.000227569 +15 *2466:pad_gpio_in *2057:13 5.36925e-05 +16 *2474:mprj_io_analog_sel[19] *2474:mprj_io_inp_dis[19] 9.29258e-05 +17 *474:42 *2057:29 0.000803122 +18 *475:16 *2057:14 0 +19 *475:16 *2057:29 0 +20 *1787:8 *2057:14 0.00254879 +21 *1787:8 *2057:29 0.00116524 +22 *1814:8 *2057:29 0.000205067 +23 *1841:19 *2057:29 0.000116789 +24 *1910:8 *2057:29 0.000205067 +25 *1912:14 *2057:29 0.000134073 +26 *1949:7 *2057:13 0 +27 *1949:8 *2057:13 0.000520871 +28 *1976:7 *2057:13 0 +*RES +1 *2466:pad_gpio_inenb *2057:13 31.9526 +2 *2057:13 *2057:14 47.3021 +3 *2057:14 *2057:29 48.2019 +4 *2057:29 *2474:mprj_io_inp_dis[19] 6.03888 +*END + +*D_NET *2058 0.0136044 +*CONN +*I *2474:mprj_io_inp_dis[1] I *D chip_io_alt +*I *2445:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[1] 0.000488242 +2 *2445:pad_gpio_inenb 0.000535042 +3 *2058:20 0.00197259 +4 *2058:19 0.00160235 +5 *2058:14 0.00137394 +6 *2058:13 0.00179098 +7 *2058:13 *2112:13 0.000158111 +8 *2058:14 *2139:14 0 +9 *2058:14 *2166:14 0 +10 *2445:pad_gpio_in *2058:13 4.80459e-05 +11 *2474:mprj_io_analog_pol[1] *2474:mprj_io_inp_dis[1] 0.00019711 +12 *485:53 *2058:13 5.88867e-05 +13 *1788:16 *2058:14 0 +14 *1788:18 *2058:14 0 +15 *1788:18 *2058:20 0.00430323 +16 *1815:19 *2058:14 0.000740845 +17 *1815:19 *2058:19 5.04829e-06 +18 *1815:19 *2058:20 0 +19 *1815:20 *2058:20 0 +20 *1902:19 *2058:14 0 +21 *2004:11 *2058:14 0.000329975 +22 *2004:11 *2058:20 0 +*RES +1 *2445:pad_gpio_inenb *2058:13 24.8527 +2 *2058:13 *2058:14 45.8487 +3 *2058:14 *2058:19 11.9418 +4 *2058:19 *2058:20 68.6876 +5 *2058:20 *2474:mprj_io_inp_dis[1] 21.7969 +*END + +*D_NET *2059 0.0188522 +*CONN +*I *2474:mprj_io_inp_dis[20] I *D chip_io_alt +*I *2467:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[20] 0.000368368 +2 *2467:pad_gpio_inenb 0.00161753 +3 *2059:14 0.00198589 +4 *2059:14 *2113:16 0.00587008 +5 *2467:pad_gpio_in *2059:14 3.81792e-05 +6 *2474:mprj_io_analog_pol[20] *2474:mprj_io_inp_dis[20] 0 +7 *2474:mprj_io_ib_mode_sel[20] *2059:14 0 +8 *474:48 *2059:14 0 +9 *522:32 *2059:14 0.00575901 +10 *1789:16 *2059:14 0.00121359 +11 *1843:16 *2059:14 0.00182853 +12 *1916:18 *2474:mprj_io_inp_dis[20] 0.000171064 +*RES +1 *2467:pad_gpio_inenb *2059:14 46.692 +2 *2059:14 *2474:mprj_io_inp_dis[20] 19.1633 +*END + +*D_NET *2060 0.0193196 +*CONN +*I *2474:mprj_io_inp_dis[21] I *D chip_io_alt +*I *2468:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[21] 0.000444788 +2 *2468:pad_gpio_inenb 0.000415713 +3 *2060:8 0.00140912 +4 *2060:7 0.00138005 +5 *2474:mprj_io_inp_dis[21] *2298:21 0.0001048 +6 *2474:mprj_io_inp_dis[21] *2298:22 0 +7 *2060:7 *2114:7 0.00032094 +8 *2060:8 *2114:8 0.00707465 +9 *2468:pad_gpio_in *2060:7 0.000129968 +10 *2474:mprj_io_analog_pol[21] *2474:mprj_io_inp_dis[21] 0 +11 *494:20 *2060:8 0.000964879 +12 *523:8 *2060:8 0.00707465 +13 *1979:10 *2060:7 0 +*RES +1 *2468:pad_gpio_inenb *2060:7 5.19125 +2 *2060:7 *2060:8 113.742 +3 *2060:8 *2474:mprj_io_inp_dis[21] 19.7706 +*END + +*D_NET *2061 0.0184048 +*CONN +*I *2474:mprj_io_inp_dis[22] I *D chip_io_alt +*I *2469:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[22] 0.000452164 +2 *2469:pad_gpio_inenb 0.000777455 +3 *2061:16 0.00127478 +4 *2061:14 0.00160007 +5 *2474:mprj_io_inp_dis[22] *2317:12 8.1645e-05 +6 *2061:14 *2088:10 0 +7 *2061:14 *2088:12 1.3813e-05 +8 *2061:14 *2115:7 0.000226364 +9 *2061:14 *2115:8 0.000356446 +10 *2061:14 *2169:15 0.000371234 +11 *2061:16 *2088:12 0.00613893 +12 *2061:16 *2169:16 3.10643e-05 +13 *2474:mprj_io_analog_pol[22] *2474:mprj_io_inp_dis[22] 0 +14 *494:20 *2061:16 0.00092497 +15 *524:22 *2061:14 3.3461e-05 +16 *1920:8 *2061:16 0.00573543 +17 *1921:8 *2061:14 7.59805e-05 +18 *1921:8 *2061:16 0 +19 *1922:33 *2474:mprj_io_inp_dis[22] 0 +20 *1953:17 *2061:16 0.000311015 +21 *1980:7 *2061:14 0 +*RES +1 *2469:pad_gpio_inenb *2061:14 21.3732 +2 *2061:14 *2061:16 98.3781 +3 *2061:16 *2474:mprj_io_inp_dis[22] 20.2725 +*END + +*D_NET *2062 0.0164454 +*CONN +*I *2474:mprj_io_inp_dis[23] I *D chip_io_alt +*I *2470:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[23] 0.000325678 +2 *2470:pad_gpio_inenb 0.000456214 +3 *2062:8 0.00204754 +4 *2062:7 0.00217807 +5 *2474:mprj_io_inp_dis[23] *2318:9 0.000405758 +6 *2062:7 *2474:mprj_io_out[23] 6.29293e-05 +7 *2470:pad_gpio_in *2062:7 3.04141e-05 +8 *2474:mprj_io_analog_pol[23] *2474:mprj_io_inp_dis[23] 0.000365085 +9 *477:26 *2062:8 0.000879339 +10 *513:14 *2062:8 0.000667497 +11 *1846:8 *2062:8 0 +12 *1923:8 *2062:8 0.00539719 +13 *1925:8 *2062:8 0 +14 *1954:16 *2062:8 0.00362966 +*RES +1 *2470:pad_gpio_inenb *2062:7 4.8088 +2 *2062:7 *2062:8 109.175 +3 *2062:8 *2474:mprj_io_inp_dis[23] 21.9695 +*END + +*D_NET *2063 0.0178678 +*CONN +*I *2474:mprj_io_inp_dis[24] I *D chip_io_alt +*I *2446:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[24] 0.000343599 +2 *2446:pad_gpio_inenb 0.000543513 +3 *2063:8 0.00156928 +4 *2063:7 0.0017692 +5 *2474:mprj_io_inp_dis[24] *2474:mprj_io_out[24] 6.04131e-05 +6 *2063:7 *2117:10 0.000280042 +7 *2063:8 *2090:8 0.000651251 +8 *2063:8 *2144:8 0.00508979 +9 *2063:8 *2319:11 0.000337479 +10 *2446:pad_gpio_in *2063:7 5.34343e-05 +11 *2474:mprj_io_holdover[24] *2474:mprj_io_inp_dis[24] 0.000245826 +12 *494:20 *2063:8 0.000642796 +13 *514:8 *2063:8 1.87125e-05 +14 *1820:14 *2063:8 0.00434582 +15 *1929:20 *2063:8 0.00191662 +16 *1982:7 *2063:7 0 +*RES +1 *2446:pad_gpio_inenb *2063:7 5.11476 +2 *2063:7 *2063:8 113.742 +3 *2063:8 *2474:mprj_io_inp_dis[24] 19.5813 +*END + +*D_NET *2064 0.0168883 +*CONN +*I *2474:mprj_io_inp_dis[25] I *D chip_io_alt +*I *2447:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[25] 0.0003391 +2 *2447:pad_gpio_inenb 0.000469035 +3 *2064:8 0.00153736 +4 *2064:7 0.0016673 +5 *2064:7 *2118:8 0.000255442 +6 *2064:7 *2145:7 0 +7 *2064:8 *2118:8 0.000623406 +8 *2064:8 *2118:21 0.000319694 +9 *2064:8 *2145:8 9.97028e-05 +10 *2064:8 *2172:14 0.00429605 +11 *2474:mprj_io_analog_sel[25] *2474:mprj_io_inp_dis[25] 0.000241594 +12 *1794:16 *2064:8 0.000267062 +13 *1983:7 *2064:7 0 +14 *1983:8 *2064:8 0.00677253 +*RES +1 *2447:pad_gpio_inenb *2064:7 5.11476 +2 *2064:7 *2064:8 113.742 +3 *2064:8 *2474:mprj_io_inp_dis[25] 19.0267 +*END + +*D_NET *2065 0.0226964 +*CONN +*I *2474:mprj_io_inp_dis[26] I *D chip_io_alt +*I *2448:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[26] 0.000382448 +2 *2448:pad_gpio_inenb 0.000389645 +3 *2065:16 0.00115938 +4 *2065:15 0.00117646 +5 *2065:12 0.000789179 +6 *2065:12 *2119:12 0.00158808 +7 *2065:12 *2146:10 0.00160479 +8 *2065:15 *2092:15 0.000226847 +9 *2065:15 *2119:15 0.00294505 +10 *2448:pad_gpio_in *2065:12 0 +11 *2474:mprj_io_analog_pol[26] *2474:mprj_io_inp_dis[26] 0 +12 *2474:mprj_io_analog_sel[26] *2474:mprj_io_inp_dis[26] 0 +13 *1849:8 *2065:16 0.00536393 +14 *1933:8 *2065:16 0.0049472 +15 *2011:12 *2065:15 0.00212337 +*RES +1 *2448:pad_gpio_inenb *2065:12 33.917 +2 *2065:12 *2065:15 36.2812 +3 *2065:15 *2065:16 85.7129 +4 *2065:16 *2474:mprj_io_inp_dis[26] 19.3487 +*END + +*D_NET *2066 0.0129335 +*CONN +*I *2474:mprj_io_inp_dis[2] I *D chip_io_alt +*I *2455:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[2] 0.000423834 +2 *2455:pad_gpio_inenb 0.000649225 +3 *2066:14 0.00325553 +4 *2066:13 0.00348092 +5 *2066:13 *2120:13 0.000306624 +6 *2066:14 *2174:14 0.00218055 +7 *2455:pad_gpio_in *2066:13 0.000318902 +8 *100:77 *2066:13 2.42128e-05 +9 *104:40 *2066:13 5.35541e-05 +10 *467:48 *2066:14 0.000825799 +11 *471:40 *2474:mprj_io_inp_dis[2] 0.00019711 +12 *1850:14 *2066:14 0 +13 *1924:14 *2066:14 0 +14 *1935:14 *2066:14 0 +15 *1935:24 *2066:14 0.00108008 +16 *1937:14 *2066:14 0 +17 *1958:14 *2066:14 1.28326e-05 +18 *1985:13 *2066:13 0.000124349 +*RES +1 *2455:pad_gpio_inenb *2066:13 29.8357 +2 *2066:13 *2066:14 109.382 +3 *2066:14 *2474:mprj_io_inp_dis[2] 19.0239 +*END + +*D_NET *2067 0.019373 +*CONN +*I *2474:mprj_io_inp_dis[3] I *D chip_io_alt +*I *2456:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[3] 0.000585768 +2 *2456:pad_gpio_inenb 0.000471265 +3 *2067:22 0.00103232 +4 *2067:21 0.000503382 +5 *2067:16 0.000590217 +6 *2067:15 0.00100465 +7 *2067:15 *2121:13 0.00094554 +8 *2067:15 *2148:15 0.000354276 +9 *2067:16 *2148:16 0.0036651 +10 *2067:16 *2148:25 6.34651e-06 +11 *2067:16 *2175:14 0.000273439 +12 *2456:pad_gpio_in *2067:15 4.69062e-05 +13 *2474:mprj_io_analog_en[3] *2474:mprj_io_inp_dis[3] 0.000208218 +14 *2474:mprj_io_analog_pol[3] *2474:mprj_io_inp_dis[3] 0 +15 *1859:16 *2067:16 0.0028707 +16 *1859:18 *2067:22 0.00341258 +17 *1938:16 *2067:22 6.34651e-06 +18 *1938:18 *2067:22 0.00339598 +19 *1959:15 *2067:15 0 +*RES +1 *2456:pad_gpio_inenb *2067:15 29.163 +2 *2067:15 *2067:16 58.7215 +3 *2067:16 *2067:21 10.3986 +4 *2067:21 *2067:22 54.569 +5 *2067:22 *2474:mprj_io_inp_dis[3] 23.6378 +*END + +*D_NET *2068 0.0201495 +*CONN +*I *2474:mprj_io_inp_dis[4] I *D chip_io_alt +*I *2457:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[4] 0.00060275 +2 *2457:pad_gpio_inenb 0.000782677 +3 *2068:16 0.00157367 +4 *2068:15 0.00175359 +5 *2068:15 *2122:13 0.000237476 +6 *2068:16 *2122:14 0 +7 *2068:16 *2149:14 0.00679856 +8 *2457:pad_gpio_in *2068:15 4.10643e-05 +9 *2474:mprj_io_analog_pol[4] *2474:mprj_io_inp_dis[4] 7.44489e-05 +10 *478:32 *2068:16 0.00101385 +11 *1862:16 *2068:16 0.00630011 +12 *1960:13 *2068:15 2.64207e-05 +13 *1987:13 *2068:15 0.00094487 +14 *2014:31 *2068:15 0 +*RES +1 *2457:pad_gpio_inenb *2068:15 34.9765 +2 *2068:15 *2068:16 108.552 +3 *2068:16 *2474:mprj_io_inp_dis[4] 23.876 +*END + +*D_NET *2069 0.0180109 +*CONN +*I *2474:mprj_io_inp_dis[5] I *D chip_io_alt +*I *2458:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[5] 0.00056259 +2 *2458:pad_gpio_inenb 0.000710278 +3 *2069:14 0.00219215 +4 *2069:13 0.00233984 +5 *2069:13 *2123:13 0.000455137 +6 *2069:13 *2150:8 0 +7 *2069:14 *2177:14 0 +8 *2458:pad_gpio_in *2069:13 0.000741548 +9 *2474:mprj_io_analog_pol[5] *2474:mprj_io_inp_dis[5] 0.000905713 +10 *108:49 *2474:mprj_io_inp_dis[5] 0.000132081 +11 *477:32 *2069:14 0.000888379 +12 *506:14 *2069:14 0 +13 *1799:14 *2069:14 0.00479451 +14 *1826:23 *2474:mprj_io_inp_dis[5] 0.000458361 +15 *1864:14 *2069:14 0 +16 *1864:20 *2069:14 0.00245546 +17 *1866:14 *2069:14 0 +18 *1961:13 *2069:13 0.000124349 +19 *2015:11 *2069:14 0.000537421 +20 *2042:14 *2474:mprj_io_inp_dis[5] 0.000713063 +*RES +1 *2458:pad_gpio_inenb *2069:13 35.6549 +2 *2069:13 *2069:14 95.679 +3 *2069:14 *2474:mprj_io_inp_dis[5] 33.7488 +*END + +*D_NET *2070 0.0208434 +*CONN +*I *2474:mprj_io_inp_dis[6] I *D chip_io_alt +*I *2459:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[6] 0.000561668 +2 *2459:pad_gpio_inenb 0.000333707 +3 *2070:28 0.000851398 +4 *2070:25 0.000929801 +5 *2070:14 0.00125726 +6 *2070:13 0.000950893 +7 *2070:13 *2097:8 2.08076e-05 +8 *2070:13 *2124:13 7.43144e-05 +9 *2070:13 *2151:15 0.000774126 +10 *2070:13 *2178:13 0 +11 *2070:14 *2124:14 0.00437841 +12 *2070:14 *2178:14 0.00442542 +13 *2459:pad_gpio_in *2070:13 3.84497e-05 +14 *2459:resetn *2070:14 0.000640931 +15 *2474:mprj_io_analog_pol[6] *2474:mprj_io_inp_dis[6] 0 +16 *110:98 *2070:14 1.69932e-05 +17 *478:32 *2070:28 0.000345966 +18 *507:18 *2474:mprj_io_inp_dis[6] 0.00019711 +19 *1567:20 *2070:25 0 +20 *1827:20 *2070:28 0.00226954 +21 *1867:14 *2070:28 0.00227313 +22 *1868:14 *2070:25 0.000498418 +23 *2043:12 *2070:25 5.04829e-06 +*RES +1 *2459:pad_gpio_inenb *2070:13 21.9432 +2 *2070:13 *2070:14 71.1791 +3 *2070:14 *2070:25 35.272 +4 *2070:25 *2070:28 40.7979 +5 *2070:28 *2474:mprj_io_inp_dis[6] 18.4061 +*END + +*D_NET *2071 0.05348 +*CONN +*I *2474:mprj_io_inp_dis[7] I *D chip_io_alt +*I *2460:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[7] 0.000255505 +2 *2460:pad_gpio_inenb 0.000341798 +3 *2071:18 0.00103012 +4 *2071:16 0.00095277 +5 *2071:8 0.00692531 +6 *2071:7 0.00708896 +7 *2474:mprj_io_inp_dis[7] *2293:10 0 +8 *2071:7 *2098:13 0 +9 *2071:7 *2125:8 7.36223e-05 +10 *2071:7 *2152:10 0 +11 *2071:8 *2126:16 0.000490766 +12 *2071:8 *2152:10 0 +13 *2071:8 *2153:16 0.00453366 +14 *2071:16 *2072:18 0.000192324 +15 *2071:16 *2125:14 0.000137357 +16 *2071:16 *2125:19 3.24705e-06 +17 *2071:18 *2072:18 0.00180549 +18 *2460:pad_gpio_in *2071:7 3.84497e-05 +19 *2474:mprj_io_analog_sel[7] *2474:mprj_io_inp_dis[7] 9.29408e-05 +20 *110:104 *2071:8 9.41515e-05 +21 *110:118 *2071:8 0.000372007 +22 *1871:14 *2071:8 0.0223522 +23 *1875:14 *2071:16 2.2599e-05 +24 *1875:14 *2071:18 0.00377433 +25 *1963:8 *2071:8 0 +26 *1964:16 *2071:18 0.000467019 +27 *1990:7 *2071:7 0 +28 *1990:8 *2071:8 0.00243534 +*RES +1 *2460:pad_gpio_inenb *2071:7 4.34986 +2 *2071:7 *2071:8 359.157 +3 *2071:8 *2071:16 14.8508 +4 *2071:16 *2071:18 61.0054 +5 *2071:18 *2474:mprj_io_inp_dis[7] 14.5899 +*END + +*D_NET *2072 0.0563483 +*CONN +*I *2474:mprj_io_inp_dis[8] I *D chip_io_alt +*I *2449:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[8] 0.000376118 +2 *2449:pad_gpio_inenb 0.000982978 +3 *2072:24 0.00118749 +4 *2072:23 0.000964478 +5 *2072:18 0.00484266 +6 *2072:17 0.00468956 +7 *2072:15 0.000982978 +8 *2474:mprj_io_inp_dis[8] *2073:37 5.04829e-06 +9 *2474:mprj_io_inp_dis[8] *2302:15 6.89664e-05 +10 *2072:15 *2126:15 0.000841122 +11 *2072:15 *2153:15 0.000572602 +12 *2072:15 *2354:13 0.00227755 +13 *2072:18 *2125:14 0.00047356 +14 *2072:18 *2125:20 0.00545238 +15 *2072:18 *2153:16 1.86389e-05 +16 *2072:18 *2153:20 0.00262697 +17 *2072:18 *2153:24 0.000243744 +18 *2072:18 *2154:14 0.00472779 +19 *2072:18 *2180:14 0.00261262 +20 *2474:mprj_io_analog_pol[8] *2474:mprj_io_inp_dis[8] 0 +21 *1829:14 *2072:24 0.00195445 +22 *1856:19 *2072:24 0.000679262 +23 *1857:14 *2072:24 0 +24 *1871:14 *2072:18 0.0045506 +25 *1871:18 *2072:18 3.7752e-05 +26 *1874:33 *2072:23 5.04829e-06 +27 *1875:14 *2072:18 0.00235155 +28 *1877:14 *2072:24 0.00419759 +29 *1878:14 *2072:18 0.00283158 +30 *1879:25 *2474:mprj_io_inp_dis[8] 3.24705e-06 +31 *1879:26 *2072:24 6.52332e-05 +32 *1964:10 *2072:15 7.12702e-05 +33 *2017:13 *2072:18 0.00352908 +34 *2019:11 *2072:18 0.000126603 +35 *2071:16 *2072:18 0.000192324 +36 *2071:18 *2072:18 0.00180549 +*RES +1 *2449:pad_gpio_inenb *2072:15 49.0445 +2 *2072:15 *2072:17 4.5 +3 *2072:17 *2072:18 344.83 +4 *2072:18 *2072:23 11.9418 +5 *2072:23 *2072:24 67.4418 +6 *2072:24 *2474:mprj_io_inp_dis[8] 17.6388 +*END + +*D_NET *2073 0.0567217 +*CONN +*I *2474:mprj_io_inp_dis[9] I *D chip_io_alt +*I *2450:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[9] 0.000281228 +2 *2450:pad_gpio_inenb 9.89961e-05 +3 *2073:52 0.00084523 +4 *2073:49 0.000718294 +5 *2073:44 0.00220939 +6 *2073:43 0.00264458 +7 *2073:37 0.00106975 +8 *2073:31 0.00128013 +9 *2073:21 0.00177336 +10 *2073:10 0.00477962 +11 *2073:9 0.00390512 +12 *2474:mprj_io_inp_dis[9] *2303:13 8.16595e-05 +13 *2474:mprj_io_inp_dis[9] *2303:14 0 +14 *2073:31 *2474:mprj_io_slow_sel[8] 0.000197125 +15 *2073:31 *2302:15 0.000334611 +16 *2073:44 *2474:mprj_io_vtrip_sel[8] 0.000656134 +17 *2073:52 *2129:14 0.00135608 +18 *2474:mprj_io_dm[25] *2073:31 0.000341824 +19 *2474:mprj_io_ib_mode_sel[8] *2073:44 7.15466e-05 +20 *2474:mprj_io_inp_dis[8] *2073:37 5.04829e-06 +21 *2474:mprj_io_analog_pol[9] *2474:mprj_io_inp_dis[9] 0 +22 *2474:mprj_io_dm[28] *2073:52 0.00163939 +23 *101:15 *2073:49 0 +24 *101:16 *2073:52 2.01595e-05 +25 *511:14 *2073:37 0.000240993 +26 *1802:20 *2073:37 0.000502001 +27 *1803:14 *2073:44 0.0105013 +28 *1876:14 *2073:37 0.00119658 +29 *1877:14 *2073:43 0.00177769 +30 *1879:19 *2073:21 9.09602e-06 +31 *1879:19 *2073:31 0.000731333 +32 *1879:25 *2073:37 0 +33 *1879:26 *2073:43 0.000862855 +34 *1879:32 *2073:44 0 +35 *1940:10 *2073:52 0.000216974 +36 *1940:18 *2073:52 0.000220926 +37 *1964:16 *2073:43 0.000148188 +38 *1965:8 *2073:44 0.00160563 +39 *1991:16 *2073:37 0.00019411 +40 *1994:20 *2073:52 0.001143 +41 *2018:11 *2073:31 0.000171079 +42 *2019:11 *2073:31 0.000531716 +43 *2019:11 *2073:44 0.0123589 +44 *2045:7 *2073:44 0 +*RES +1 *2450:pad_gpio_inenb *2073:9 3.708 +2 *2073:9 *2073:10 107.929 +3 *2073:10 *2073:21 35.9586 +4 *2073:21 *2073:31 43.0653 +5 *2073:31 *2073:37 33.9874 +6 *2073:37 *2073:43 42.429 +7 *2073:43 *2073:44 199.907 +8 *2073:44 *2073:49 11.9418 +9 *2073:49 *2073:52 49.9335 +10 *2073:52 *2474:mprj_io_inp_dis[9] 11.3357 +*END + +*D_NET *2074 0.00727374 +*CONN +*I *2474:mprj_io_oeb[0] I *D chip_io_alt +*I *2444:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[0] 0.000484544 +2 *2444:pad_gpio_outenb 0.000169835 +3 *2074:8 0.00229233 +4 *2074:7 0.00197763 +5 *2074:7 *2101:13 3.84497e-05 +6 *2074:7 *2128:13 4.80459e-05 +7 *2074:8 *2101:13 0 +8 *2074:8 *2101:14 0 +9 *2074:8 *2128:13 0 +10 *2074:8 *2155:19 0 +11 *2444:pad_gpio_in *2074:8 0 +12 *2474:mprj_io_holdover[0] *2474:mprj_io_oeb[0] 0.00120376 +13 *2474:mprj_io_holdover[0] *2074:8 0 +14 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_oeb[0] 0 +15 *1777:13 *2074:8 0 +16 *1804:13 *2074:8 0 +17 *1831:19 *2074:8 0 +18 *1858:13 *2074:8 0 +19 *1869:13 *2074:8 0 +20 *1939:10 *2474:mprj_io_oeb[0] 6.99044e-06 +21 *1939:10 *2074:8 0.00095923 +22 *1966:13 *2074:8 0 +23 *2020:7 *2474:mprj_io_oeb[0] 9.29258e-05 +24 *2047:13 *2074:8 0 +*RES +1 *2444:pad_gpio_outenb *2074:7 3.9674 +2 *2074:7 *2074:8 59.9673 +3 *2074:8 *2474:mprj_io_oeb[0] 21.7763 +*END + +*D_NET *2075 0.0665987 +*CONN +*I *2474:mprj_io_oeb[10] I *D chip_io_alt +*I *2451:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[10] 0.00068226 +2 *2451:pad_gpio_outenb 0.00123454 +3 *2075:8 0.0121666 +4 *2075:7 0.0127189 +5 *2474:mprj_io_oeb[10] *2130:20 7.48753e-06 +6 *2075:7 *2102:10 0.000218037 +7 *2075:7 *2129:13 4.31122e-05 +8 *2075:7 *2156:17 0 +9 *2075:8 *2474:mprj_io_out[10] 0 +10 *2075:8 *2100:8 0.0064004 +11 *2075:8 *2127:23 0.000706804 +12 *501:14 *2075:8 0.00268445 +13 *511:14 *2075:8 0.000311341 +14 *1778:16 *2075:8 0.00617163 +15 *1857:20 *2075:8 0.000654168 +16 *1881:14 *2075:8 0.00394811 +17 *1883:14 *2075:8 0.00410583 +18 *1886:8 *2075:8 0 +19 *1941:8 *2075:8 0.014545 +*RES +1 *2451:pad_gpio_outenb *2075:7 2.69628 +2 *2075:7 *2075:8 64.9751 +3 *2075:8 *2474:mprj_io_oeb[10] 7.2651 +*END + +*D_NET *2076 0.0697847 +*CONN +*I *2474:mprj_io_oeb[11] I *D chip_io_alt +*I *2452:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[11] 6.10627e-05 +2 *2452:pad_gpio_outenb 0.000580836 +3 *2076:29 0.00301465 +4 *2076:25 0.00381029 +5 *2076:14 0.00261668 +6 *2076:13 0.00234081 +7 *2076:13 *2103:18 2.15596e-05 +8 *2076:14 *2452:user_gpio_oeb 0 +9 *2076:14 *2103:18 0.000307033 +10 *2076:14 *2130:18 0.001907 +11 *2076:25 *2474:mprj_io_slow_sel[10] 0.000678361 +12 *2076:29 *2157:20 0.0236384 +13 *2452:resetn *2076:13 0.000178041 +14 *101:22 *2076:13 0 +15 *101:22 *2076:14 8.70609e-05 +16 *468:54 *2076:13 0.000204874 +17 *469:12 *2076:14 0 +18 *501:13 *2076:14 0 +19 *1889:18 *2474:mprj_io_oeb[11] 0.000156962 +20 *1940:18 *2076:14 0.000163367 +21 *1941:8 *2076:29 0.000273717 +22 *1967:20 *2076:14 0 +23 *1968:14 *2076:14 4.72992e-05 +24 *1968:29 *2076:29 0.023558 +25 *1969:17 *2076:29 0.000146522 +26 *1994:11 *2076:14 0.00599219 +*RES +1 *2452:pad_gpio_outenb *2076:13 28.9203 +2 *2076:13 *2076:14 98.1705 +3 *2076:14 *2076:25 25.484 +4 *2076:25 *2076:29 49.5937 +5 *2076:29 *2474:mprj_io_oeb[11] 5.94579 +*END + +*D_NET *2077 0.0659583 +*CONN +*I *2474:mprj_io_oeb[12] I *D chip_io_alt +*I *2453:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[12] 6.22868e-05 +2 *2453:pad_gpio_outenb 0.00145245 +3 *2077:15 0.0076404 +4 *2077:10 0.00903057 +5 *2077:10 *2474:mprj_io_out[11] 0.00778354 +6 *2077:10 *2104:13 4.31122e-05 +7 *2077:10 *2130:28 0.000422048 +8 *2077:10 *2131:8 3.84497e-05 +9 *2077:10 *2158:10 0.00761133 +10 *2077:15 *2474:mprj_io_out[11] 0.00413264 +11 *2077:15 *2131:22 0.00169189 +12 *2077:15 *2158:10 0.000124783 +13 *2077:15 *2158:15 0.0236749 +14 *2474:mprj_io_analog_sel[12] *2077:15 0.000132415 +15 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_oeb[12] 0 +16 *1806:26 *2077:10 0.000127672 +17 *1807:19 *2077:15 0 +18 *1886:8 *2077:10 0.000163684 +19 *1889:18 *2077:15 0.00126517 +20 *1889:30 *2077:15 0.000129653 +21 *1969:17 *2077:15 0.000100472 +22 *1996:14 *2077:15 0.000199547 +23 *2022:7 *2077:15 0.000131317 +24 *2050:13 *2077:10 0 +*RES +1 *2453:pad_gpio_outenb *2077:10 17.6549 +2 *2077:10 *2077:15 49.6281 +3 *2077:15 *2474:mprj_io_oeb[12] 5.13971 +*END + +*D_NET *2078 0.0136553 +*CONN +*I *2474:mprj_io_oeb[13] I *D chip_io_alt +*I *2454:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[13] 0.00125126 +2 *2454:pad_gpio_outenb 2.68684e-05 +3 *2078:24 2.68684e-05 +4 *2078:10 0.00141863 +5 *2078:5 0.000760147 +6 *2078:4 0.000592776 +7 *2078:5 *2105:5 0.000299733 +8 *2078:5 *2159:8 0.000316853 +9 *2078:10 *2159:13 0.00206379 +10 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_oeb[13] 0 +11 *1537:23 *2078:10 6.89789e-05 +12 *1893:8 *2078:5 0.00240355 +13 *1943:8 *2078:5 0.00255514 +14 *1970:10 *2078:10 0.00187072 +15 *2024:7 *2474:mprj_io_oeb[13] 0 +*RES +1 *2454:pad_gpio_outenb *2078:4 3.36879 +2 *2078:4 *2078:5 53.5309 +3 *2078:5 *2078:10 30.7983 +4 *2078:10 *2474:mprj_io_oeb[13] 43.2204 +5 *2454:pad_gpio_outenb *2078:24 0.0631875 +*END + +*D_NET *2079 0.0188152 +*CONN +*I *2474:mprj_io_oeb[14] I *D chip_io_alt +*I *2461:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[14] 0.000892952 +2 *2461:pad_gpio_outenb 0.000300631 +3 *2079:13 0.00112634 +4 *2079:8 0.00235653 +5 *2079:7 0.00242378 +6 *2474:mprj_io_oeb[14] *2474:mprj_io_out[14] 0.000171064 +7 *2474:mprj_io_oeb[14] *2474:mprj_io_vtrip_sel[14] 0.000171064 +8 *2474:mprj_io_oeb[14] *2326:13 0.000754914 +9 *2079:7 *2106:7 0.000198219 +10 *2079:7 *2133:7 0.000188622 +11 *2079:7 *2160:7 0 +12 *2079:8 *2106:8 4.61488e-05 +13 *2079:8 *2133:8 0 +14 *2079:8 *2160:8 0 +15 *2461:resetn *2079:7 0 +16 *2474:mprj_io_analog_sel[14] *2474:mprj_io_oeb[14] 0.000171064 +17 *2474:mprj_io_dm[44] *2474:mprj_io_oeb[14] 0.00019711 +18 *2474:mprj_io_holdover[14] *2474:mprj_io_oeb[14] 0.000118972 +19 *2474:mprj_io_ib_mode_sel[14] *2474:mprj_io_oeb[14] 5.6259e-05 +20 *485:17 *2079:8 0.00454127 +21 *1836:14 *2079:8 0.000414631 +22 *1894:14 *2079:8 0.00185926 +23 *2025:9 *2474:mprj_io_oeb[14] 0.00156618 +24 *2052:8 *2079:8 0.00126019 +*RES +1 *2461:pad_gpio_outenb *2079:7 4.73231 +2 *2079:7 *2079:8 120.802 +3 *2079:8 *2079:13 14.1602 +4 *2079:13 *2474:mprj_io_oeb[14] 45.8732 +*END + +*D_NET *2080 0.0223597 +*CONN +*I *2474:mprj_io_oeb[15] I *D chip_io_alt +*I *2462:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[15] 0.000513324 +2 *2462:pad_gpio_outenb 0.000378317 +3 *2080:14 0.00151652 +4 *2080:13 0.00110281 +5 *2080:8 0.00091286 +6 *2080:7 0.00119156 +7 *2080:7 *2107:7 0.000261617 +8 *2080:7 *2134:7 0.000145223 +9 *2080:7 *2161:7 0 +10 *2080:14 *2161:8 9.66387e-05 +11 *2474:mprj_io_ib_mode_sel[15] *2474:mprj_io_oeb[15] 0.000284651 +12 *471:16 *2080:8 0.00180177 +13 *489:8 *2080:14 0.00228543 +14 *517:16 *2080:14 0.000598039 +15 *517:20 *2080:8 0.00439156 +16 *517:20 *2080:14 0.000266226 +17 *1810:16 *2080:8 0.000658277 +18 *1837:13 *2080:13 5.04829e-06 +19 *1899:8 *2080:14 0.00313478 +20 *1945:13 *2080:13 5.04829e-06 +21 *1945:16 *2080:14 1.72818e-05 +22 *1945:23 *2080:14 0.00178621 +23 *1972:26 *2080:14 0.000548682 +24 *2026:9 *2474:mprj_io_oeb[15] 0.00045785 +*RES +1 *2462:pad_gpio_outenb *2080:7 5.19125 +2 *2080:7 *2080:8 70.5562 +3 *2080:8 *2080:13 10.8326 +4 *2080:13 *2080:14 87.7892 +5 *2080:14 *2474:mprj_io_oeb[15] 27.2441 +*END + +*D_NET *2081 0.0241644 +*CONN +*I *2474:mprj_io_oeb[16] I *D chip_io_alt +*I *2463:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[16] 0.000534438 +2 *2463:pad_gpio_outenb 0.000332109 +3 *2081:20 0.0013356 +4 *2081:19 0.00114876 +5 *2081:8 0.00111242 +6 *2081:7 0.00109693 +7 *2081:7 *2108:7 0.000372352 +8 *2081:7 *2135:7 0.000307382 +9 *2081:8 *2108:8 6.80434e-05 +10 *2081:19 *2108:13 2.41274e-06 +11 *2081:19 *2162:16 0.00101426 +12 *2081:19 *2162:19 0.000111722 +13 *2081:19 *2328:20 8.79845e-05 +14 *2081:20 *2162:20 0.0029713 +15 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_oeb[16] 0 +16 *472:8 *2081:8 0 +17 *472:8 *2081:19 0.00101426 +18 *472:8 *2081:20 0.00044857 +19 *518:8 *2081:8 0.00523405 +20 *518:8 *2081:20 0.000321049 +21 *1973:16 *2081:20 0.0014944 +22 *2027:9 *2474:mprj_io_oeb[16] 0.000215165 +23 *2054:8 *2081:8 0.00494121 +*RES +1 *2463:pad_gpio_outenb *2081:7 5.34423 +2 *2081:7 *2081:8 84.2596 +3 *2081:8 *2081:19 39.1402 +4 *2081:19 *2081:20 62.4588 +5 *2081:20 *2474:mprj_io_oeb[16] 24.9896 +*END + +*D_NET *2082 0.0236427 +*CONN +*I *2474:mprj_io_oeb[17] I *D chip_io_alt +*I *2464:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[17] 0.000510759 +2 *2464:pad_gpio_outenb 0.000655446 +3 *2082:12 0.00212581 +4 *2082:10 0.0022705 +5 *2082:10 *2109:7 0.000305698 +6 *2082:10 *2109:8 0.000579049 +7 *2082:10 *2136:7 0.000129968 +8 *2082:10 *2163:7 0 +9 *2082:10 *2163:8 4.61488e-05 +10 *2082:12 *2109:8 0 +11 *2082:12 *2109:16 0.000462932 +12 *2082:12 *2109:18 1.23804e-05 +13 *2464:resetn *2082:10 0 +14 *106:13 *2082:10 0.000228329 +15 *106:14 *2082:12 0.00942927 +16 *519:10 *2082:12 0.00564597 +17 *1812:16 *2082:12 0.00119282 +18 *2028:9 *2474:mprj_io_oeb[17] 4.76719e-05 +*RES +1 *2464:pad_gpio_outenb *2082:10 19.331 +2 *2082:10 *2082:12 151.946 +3 *2082:12 *2474:mprj_io_oeb[17] 22.0756 +*END + +*D_NET *2083 0.0248083 +*CONN +*I *2474:mprj_io_oeb[18] I *D chip_io_alt +*I *2465:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[18] 0.000665339 +2 *2465:pad_gpio_outenb 0.000559895 +3 *2083:10 0.00202871 +4 *2083:9 0.00192326 +5 *2474:mprj_io_oeb[18] *2474:mprj_io_out[18] 1.00846e-05 +6 *2083:9 *2110:9 0.000276188 +7 *2083:9 *2137:9 0.000150197 +8 *2083:9 *2164:9 0 +9 *2083:10 *2164:16 0.00509839 +10 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_oeb[18] 0.00188275 +11 *2474:mprj_io_inp_dis[18] *2083:10 0.000253824 +12 *107:58 *2474:mprj_io_oeb[18] 0 +13 *492:10 *2083:10 0.008934 +14 *520:16 *2083:10 0.00186098 +15 *2029:9 *2474:mprj_io_oeb[18] 0.00116469 +16 *2029:10 *2474:mprj_io_oeb[18] 0 +17 *2056:9 *2083:9 0 +*RES +1 *2465:pad_gpio_outenb *2083:9 5.79319 +2 *2083:9 *2083:10 142.81 +3 *2083:10 *2474:mprj_io_oeb[18] 42.8871 +*END + +*D_NET *2084 0.0232074 +*CONN +*I *2474:mprj_io_oeb[19] I *D chip_io_alt +*I *2466:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[19] 0.000564748 +2 *2466:pad_gpio_outenb 0.00036613 +3 *2084:8 0.00246549 +4 *2084:7 0.00226687 +5 *2474:mprj_io_oeb[19] *2474:mprj_io_vtrip_sel[19] 0 +6 *2084:7 *2111:7 0.000345135 +7 *2084:7 *2138:10 0.000259251 +8 *2084:7 *2165:13 0 +9 *2084:8 *2111:14 0.00040138 +10 *2084:8 *2138:10 0 +11 *2084:8 *2165:28 0 +12 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_oeb[19] 0.000669816 +13 *493:20 *2084:8 8.35615e-06 +14 *493:22 *2084:8 0.00255743 +15 *521:14 *2084:8 0.000593035 +16 *1814:8 *2084:8 0.00509 +17 *1910:8 *2084:8 0.00559031 +18 *1912:8 *2084:8 0.000275383 +19 *1949:8 *2084:8 4.61488e-05 +20 *1949:17 *2084:8 0.000543776 +21 *1976:21 *2474:mprj_io_oeb[19] 4.57934e-05 +22 *2030:15 *2474:mprj_io_oeb[19] 0.000913325 +23 *2057:13 *2084:8 0 +24 *2057:29 *2084:8 0.000205067 +*RES +1 *2466:pad_gpio_outenb *2084:7 5.26774 +2 *2084:7 *2084:8 151.53 +3 *2084:8 *2474:mprj_io_oeb[19] 34.5376 +*END + +*D_NET *2085 0.00944164 +*CONN +*I *2474:mprj_io_oeb[1] I *D chip_io_alt +*I *2445:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[1] 0.000640108 +2 *2445:pad_gpio_outenb 0.000187187 +3 *2085:8 0.00140082 +4 *2085:7 0.0009479 +5 *2085:7 *2112:13 3.84497e-05 +6 *2085:7 *2139:13 3.84497e-05 +7 *2445:resetn *2085:7 0 +8 *2474:mprj_io_ib_mode_sel[1] *2474:mprj_io_oeb[1] 0 +9 *100:84 *2085:8 0.00157391 +10 *467:55 *2474:mprj_io_oeb[1] 0.00156332 +11 *467:55 *2085:8 0 +12 *485:50 *2085:7 0 +13 *485:53 *2474:mprj_io_oeb[1] 0 +14 *485:53 *2085:8 0.000289922 +15 *1977:8 *2474:mprj_io_oeb[1] 0 +16 *1977:8 *2085:8 0.00272074 +17 *2031:7 *2474:mprj_io_oeb[1] 4.08338e-05 +*RES +1 *2445:pad_gpio_outenb *2085:7 3.9674 +2 *2085:7 *2085:8 54.1538 +3 *2085:8 *2474:mprj_io_oeb[1] 28.1761 +*END + +*D_NET *2086 0.0215687 +*CONN +*I *2474:mprj_io_oeb[20] I *D chip_io_alt +*I *2467:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[20] 0.000871385 +2 *2467:pad_gpio_outenb 0.00378136 +3 *2086:13 0.00465275 +4 *2474:mprj_io_oeb[20] *2113:16 0.000484305 +5 *2086:13 *2113:16 0.000101205 +6 *2086:13 *2140:7 0.000192185 +7 *2086:13 *2140:8 0.000731869 +8 *2086:13 *2315:13 0.000129446 +9 *474:42 *2086:13 0.00518522 +10 *474:48 *2474:mprj_io_oeb[20] 0 +11 *522:32 *2474:mprj_io_oeb[20] 0.000182532 +12 *522:32 *2086:13 0.00202352 +13 *1789:16 *2086:13 0.000670231 +14 *1843:16 *2086:13 0.000153237 +15 *1915:8 *2086:13 0.00104796 +16 *2032:13 *2474:mprj_io_oeb[20] 0.00136153 +*RES +1 *2467:pad_gpio_outenb *2086:13 24.5225 +2 *2086:13 *2474:mprj_io_oeb[20] 44.0012 +*END + +*D_NET *2087 0.0141696 +*CONN +*I *2474:mprj_io_oeb[21] I *D chip_io_alt +*I *2468:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[21] 0.00112652 +2 *2468:pad_gpio_outenb 0.000216421 +3 *2087:13 0.00120265 +4 *2087:8 0.00463505 +5 *2087:7 0.00477534 +6 *2474:mprj_io_oeb[21] *2474:mprj_io_out[21] 0.000462932 +7 *2474:mprj_io_oeb[21] *2474:mprj_io_vtrip_sel[21] 0.000332702 +8 *2474:mprj_io_oeb[21] *2316:13 0.000856496 +9 *2087:7 *2114:7 0.000185083 +10 *2087:7 *2141:7 0.00012971 +11 *2087:7 *2168:7 0 +12 *2087:8 *2474:mprj_io_slow_sel[21] 0 +13 *2087:8 *2141:8 0 +14 *2087:8 *2168:8 0 +15 *2087:8 *2298:21 0 +16 *2087:8 *2316:13 0 +17 *2474:mprj_io_dm[64] *2087:8 0 +18 *2474:mprj_io_holdover[21] *2474:mprj_io_oeb[21] 2.01595e-05 +19 *2474:mprj_io_holdover[21] *2087:13 9.09602e-06 +20 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_oeb[21] 0.00021741 +21 *477:8 *2087:8 0 +22 *1790:8 *2087:8 0 +23 *1917:14 *2087:8 0 +24 *1918:16 *2087:8 0 +25 *1952:14 *2087:8 0 +*RES +1 *2468:pad_gpio_outenb *2087:7 4.42635 +2 *2087:7 *2087:8 124.954 +3 *2087:8 *2087:13 10.8326 +4 *2087:13 *2474:mprj_io_oeb[21] 42.8443 +*END + +*D_NET *2088 0.0255416 +*CONN +*I *2474:mprj_io_oeb[22] I *D chip_io_alt +*I *2469:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[22] 0.000497623 +2 *2469:pad_gpio_outenb 0.00077045 +3 *2088:12 0.00204682 +4 *2088:10 0.00231964 +5 *2088:10 *2115:7 0.000226364 +6 *2088:10 *2142:7 0.000195024 +7 *2088:10 *2169:15 0 +8 *2088:12 *2169:16 0.000249644 +9 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_oeb[22] 0.00089474 +10 *111:10 *2088:10 0 +11 *111:12 *2088:10 1.07248e-05 +12 *111:12 *2088:12 3.29976e-05 +13 *111:16 *2088:12 0.00561561 +14 *494:20 *2088:12 0.00157095 +15 *524:22 *2088:10 0.000272107 +16 *524:22 *2088:12 0.000582247 +17 *1953:17 *2088:12 0.000513485 +18 *1980:8 *2088:12 0.0035904 +19 *2061:14 *2088:10 0 +20 *2061:14 *2088:12 1.3813e-05 +21 *2061:16 *2088:12 0.00613893 +*RES +1 *2469:pad_gpio_outenb *2088:10 15.2338 +2 *2088:10 *2088:12 149.112 +3 *2088:12 *2474:mprj_io_oeb[22] 27.7614 +*END + +*D_NET *2089 0.0261491 +*CONN +*I *2474:mprj_io_oeb[23] I *D chip_io_alt +*I *2470:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[23] 0.00189404 +2 *2470:pad_gpio_outenb 0.00189404 +3 *2474:mprj_io_oeb[23] *2474:mprj_io_out[23] 0.000334336 +4 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_oeb[23] 0.01029 +5 *112:14 *2474:mprj_io_oeb[23] 0.0111481 +6 *477:26 *2474:mprj_io_oeb[23] 0 +7 *2008:11 *2474:mprj_io_oeb[23] 0.000588526 +*RES +1 *2470:pad_gpio_outenb *2474:mprj_io_oeb[23] 28.9411 +*END + +*D_NET *2090 0.0208591 +*CONN +*I *2474:mprj_io_oeb[24] I *D chip_io_alt +*I *2446:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[24] 0.000380676 +2 *2446:pad_gpio_outenb 0.000325718 +3 *2090:8 0.00343596 +4 *2090:7 0.003381 +5 *2090:7 *2117:10 0.00024557 +6 *2090:7 *2144:7 0.00024557 +7 *2090:8 *2144:8 0 +8 *2090:8 *2319:11 0.00506077 +9 *2446:serial_load_out *2090:8 0.0010458 +10 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_oeb[24] 0 +11 *2474:mprj_io_ib_mode_sel[24] *2090:8 0 +12 *514:8 *2090:8 0 +13 *514:14 *2090:8 0.000487628 +14 *1928:8 *2090:8 0.00504306 +15 *1929:20 *2090:8 0 +16 *1955:14 *2090:8 0.000556128 +17 *1982:19 *2090:8 0 +18 *2063:8 *2090:8 0.000651251 +*RES +1 *2446:pad_gpio_outenb *2090:7 4.88529 +2 *2090:7 *2090:8 162.742 +3 *2090:8 *2474:mprj_io_oeb[24] 19.2165 +*END + +*D_NET *2091 0.0129516 +*CONN +*I *2474:mprj_io_oeb[25] I *D chip_io_alt +*I *2447:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[25] 0.00644286 +2 *2447:pad_gpio_outenb 0.00644286 +3 *2474:mprj_io_oeb[25] *2118:8 4.79986e-05 +4 *2474:mprj_io_oeb[25] *2145:7 1.78739e-05 +5 *2448:serial_load *2474:mprj_io_oeb[25] 0 +6 *515:8 *2474:mprj_io_oeb[25] 0 +*RES +1 *2447:pad_gpio_outenb *2474:mprj_io_oeb[25] 28.8281 +*END + +*D_NET *2092 0.0215227 +*CONN +*I *2474:mprj_io_oeb[26] I *D chip_io_alt +*I *2448:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[26] 0.00301117 +2 *2448:pad_gpio_outenb 0.00108957 +3 *2092:15 0.00410073 +4 *2092:15 *2119:12 0.00171627 +5 *2092:15 *2119:15 0.000246747 +6 *2092:15 *2146:10 5.35541e-05 +7 *2448:mgmt_gpio_out *2092:15 5.48652e-06 +8 *2448:pad_gpio_in *2092:15 9.91584e-05 +9 *1984:21 *2474:mprj_io_oeb[26] 0.00896083 +10 *1984:21 *2092:15 0.00160258 +11 *2011:12 *2092:15 0.000409792 +12 *2065:15 *2092:15 0.000226847 +*RES +1 *2448:pad_gpio_outenb *2092:15 40.2114 +2 *2092:15 *2474:mprj_io_oeb[26] 23.9471 +*END + +*D_NET *2093 0.0118525 +*CONN +*I *2474:mprj_io_oeb[2] I *D chip_io_alt +*I *2455:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[2] 0.000209846 +2 *2455:pad_gpio_outenb 0.000400353 +3 *2093:14 0.0011321 +4 *2093:13 0.0013226 +5 *2093:13 *2120:13 3.84497e-05 +6 *2093:13 *2147:7 3.84497e-05 +7 *2093:13 *2174:13 0.000105936 +8 *2093:14 *2120:14 0.00419398 +9 *2093:14 *2174:14 0.000178513 +10 *100:80 *2093:14 0 +11 *104:40 *2093:13 0 +12 *104:49 *2474:mprj_io_oeb[2] 0.000344954 +13 *471:40 *2474:mprj_io_oeb[2] 0.000102001 +14 *471:40 *2093:14 0 +15 *1985:14 *2093:14 0.00369853 +16 *2012:17 *2093:14 8.67988e-05 +*RES +1 *2455:pad_gpio_outenb *2093:13 21.7273 +2 *2093:13 *2093:14 76.1621 +3 *2093:14 *2474:mprj_io_oeb[2] 14.8158 +*END + +*D_NET *2094 0.00920627 +*CONN +*I *2474:mprj_io_oeb[3] I *D chip_io_alt +*I *2456:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[3] 0.000477464 +2 *2456:pad_gpio_outenb 0.000110452 +3 *2094:8 0.00153196 +4 *2094:7 0.00116494 +5 *2094:7 *2121:13 3.27908e-05 +6 *2094:7 *2148:15 4.10643e-05 +7 *2094:8 *2121:13 0.000125219 +8 *2094:8 *2148:15 8.35615e-06 +9 *2094:8 *2175:13 5.39868e-05 +10 *2455:resetn_out *2474:mprj_io_oeb[3] 0.000113186 +11 *2455:resetn_out *2094:8 0.000273081 +12 *2456:pad_gpio_in *2094:8 5.88867e-05 +13 *2456:resetn *2094:7 0 +14 *105:32 *2094:8 0.00249431 +15 *105:43 *2474:mprj_io_oeb[3] 0.00102781 +16 *105:43 *2094:8 0.000574919 +17 *105:52 *2474:mprj_io_oeb[3] 0 +18 *1797:13 *2094:8 0.000396678 +19 *1824:13 *2094:8 5.88867e-05 +20 *1860:13 *2094:8 0.000494416 +21 *1986:13 *2094:8 0.000167862 +*RES +1 *2456:pad_gpio_outenb *2094:7 3.73793 +2 *2094:7 *2094:8 59.9673 +3 *2094:8 *2474:mprj_io_oeb[3] 28.7965 +*END + +*D_NET *2095 0.0114695 +*CONN +*I *2474:mprj_io_oeb[4] I *D chip_io_alt +*I *2457:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[4] 2.27669e-05 +2 *2457:pad_gpio_outenb 0.00025717 +3 *2095:8 0.00109175 +4 *2095:7 0.00132615 +5 *2095:7 *2122:13 3.84497e-05 +6 *2095:7 *2149:13 3.84497e-05 +7 *2095:8 *2122:13 0 +8 *2095:8 *2149:13 0 +9 *2457:pad_gpio_in *2095:7 0 +10 *108:47 *2095:8 0.000562858 +11 *495:64 *2095:8 0 +12 *1960:14 *2095:8 0.0039855 +13 *2014:31 *2095:8 0.00335023 +14 *2041:7 *2095:8 0.000796167 +*RES +1 *2457:pad_gpio_outenb *2095:7 4.19688 +2 *2095:7 *2095:8 78.6536 +3 *2095:8 *2474:mprj_io_oeb[4] 0.647305 +*END + +*D_NET *2096 0.0112729 +*CONN +*I *2474:mprj_io_oeb[5] I *D chip_io_alt +*I *2458:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[5] 0.000169344 +2 *2458:pad_gpio_outenb 0.000259075 +3 *2096:14 0.00105064 +4 *2096:13 0.00114038 +5 *2474:mprj_io_oeb[5] *2150:13 0 +6 *2096:13 *2123:13 3.84497e-05 +7 *2096:13 *2150:7 3.84497e-05 +8 *2096:13 *2150:8 0 +9 *2096:13 *2177:13 0.000131328 +10 *2096:14 *2150:8 0.000197571 +11 *2474:mprj_io_analog_sel[5] *2474:mprj_io_oeb[5] 4.80635e-06 +12 *108:49 *2096:14 0.000567608 +13 *495:64 *2096:14 0.000988862 +14 *1853:17 *2096:14 0.00265365 +15 *1961:14 *2096:14 0.00370478 +16 *1988:8 *2474:mprj_io_oeb[5] 1.49792e-05 +17 *1988:8 *2096:14 0.000197586 +18 *2042:11 *2474:mprj_io_oeb[5] 0.000115378 +*RES +1 *2458:pad_gpio_outenb *2096:13 19.0936 +2 *2096:13 *2096:14 76.1621 +3 *2096:14 *2474:mprj_io_oeb[5] 13.5048 +*END + +*D_NET *2097 0.0067807 +*CONN +*I *2474:mprj_io_oeb[6] I *D chip_io_alt +*I *2459:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[6] 0.000212005 +2 *2459:pad_gpio_outenb 0.000138453 +3 *2097:8 0.00254698 +4 *2097:7 0.00247343 +5 *2097:7 *2124:13 3.27908e-05 +6 *2097:7 *2151:15 4.10643e-05 +7 *2097:8 *2124:13 6.21773e-05 +8 *2097:8 *2151:15 0 +9 *2097:8 *2178:13 3.61259e-05 +10 *2459:pad_gpio_in *2097:8 4.05974e-05 +11 *2459:resetn *2097:7 0 +12 *2459:serial_load *2097:8 0.000382785 +13 *507:18 *2474:mprj_io_oeb[6] 0 +14 *507:18 *2097:8 0 +15 *1800:13 *2097:8 0.000268051 +16 *1827:13 *2097:8 4.05974e-05 +17 *1854:13 *2097:8 1.48603e-05 +18 *1867:13 *2097:8 0.000145299 +19 *1868:13 *2097:8 0.000124349 +20 *1870:13 *2097:8 8.85241e-05 +21 *1989:13 *2097:8 0.0001118 +22 *2043:12 *2474:mprj_io_oeb[6] 0 +23 *2070:13 *2097:8 2.08076e-05 +*RES +1 *2459:pad_gpio_outenb *2097:7 3.81442 +2 *2097:7 *2097:8 73.0477 +3 *2097:8 *2474:mprj_io_oeb[6] 14.8122 +*END + +*D_NET *2098 0.0606152 +*CONN +*I *2474:mprj_io_oeb[7] I *D chip_io_alt +*I *2460:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[7] 0.000583557 +2 *2460:pad_gpio_outenb 0.000876583 +3 *2098:14 0.0056144 +4 *2098:13 0.00590742 +5 *2474:mprj_io_oeb[7] *2153:20 0 +6 *2098:13 *2125:8 0.00180224 +7 *2098:13 *2152:10 7.28972e-05 +8 *2098:13 *2179:13 0.00229407 +9 *2098:14 *2099:16 0.00576239 +10 *2098:14 *2179:14 0.029532 +11 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_oeb[7] 2.18561e-05 +12 *477:35 *2098:13 2.93105e-05 +13 *477:44 *2098:13 3.52699e-05 +14 *478:32 *2098:14 0.00566643 +15 *1855:14 *2098:14 0.00196678 +16 *1856:19 *2098:14 0.000292074 +17 *1963:8 *2098:13 0.00015794 +18 *2071:7 *2098:13 0 +*RES +1 *2460:pad_gpio_outenb *2098:13 37.4329 +2 *2098:13 *2098:14 59.9457 +3 *2098:14 *2474:mprj_io_oeb[7] 6.31215 +*END + +*D_NET *2099 0.0754638 +*CONN +*I *2474:mprj_io_oeb[8] I *D chip_io_alt +*I *2449:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[8] 0.00063975 +2 *2449:pad_gpio_outenb 0.00195053 +3 *2099:16 0.00618331 +4 *2099:15 0.0074941 +5 *2099:15 *2126:15 0 +6 *2099:15 *2354:12 0 +7 *2099:16 *2100:8 0.0137166 +8 *2099:16 *2127:14 0.00187663 +9 *2099:16 *2152:14 0.000473354 +10 *2449:serial_clock_out *2099:15 0.000163509 +11 *2449:serial_data_in *2099:15 1.29018e-05 +12 *2449:serial_load *2099:15 1.61139e-05 +13 *2449:serial_load_out *2099:15 0 +14 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_oeb[8] 0 +15 *478:32 *2099:16 0.000477461 +16 *478:35 *2099:15 0 +17 *510:13 *2099:15 9.93625e-05 +18 *510:14 *2099:16 0.00090114 +19 *1801:20 *2099:16 0.000522055 +20 *1828:14 *2099:16 0.000518598 +21 *1855:14 *2099:16 0.000490766 +22 *1856:19 *2099:16 0.000473012 +23 *1857:14 *2099:16 0.00202293 +24 *1873:14 *2099:16 0.000490766 +25 *1874:22 *2099:16 0.000706122 +26 *1876:14 *2099:16 0.000518598 +27 *1963:16 *2099:16 0.000397418 +28 *1964:10 *2099:15 0.00109997 +29 *1991:10 *2099:15 0.00109529 +30 *1991:16 *2099:16 0.0270327 +31 *1992:8 *2099:16 0.000328461 +32 *2098:14 *2099:16 0.00576239 +*RES +1 *2449:pad_gpio_outenb *2099:15 29.686 +2 *2099:15 *2099:16 62.4604 +3 *2099:16 *2474:mprj_io_oeb[8] 5.78119 +*END + +*D_NET *2100 0.0707687 +*CONN +*I *2474:mprj_io_oeb[9] I *D chip_io_alt +*I *2450:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[9] 0.000532153 +2 *2450:pad_gpio_outenb 0.000921506 +3 *2100:8 0.00571626 +4 *2100:7 0.00610561 +5 *2100:7 *2127:13 3.60838e-05 +6 *2100:7 *2154:13 2.72062e-05 +7 *2100:7 *2181:7 0 +8 *2100:8 *2474:mprj_io_out[10] 2.59783e-05 +9 *2100:8 *2127:14 0.00167697 +10 *2100:8 *2127:28 0.000288926 +11 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_oeb[9] 6.34849e-05 +12 *101:22 *2474:mprj_io_oeb[9] 0.00010487 +13 *1778:16 *2100:8 0.00010448 +14 *1830:22 *2100:8 0.000453415 +15 *1857:14 *2100:8 0.00172017 +16 *1877:22 *2100:8 0.000353882 +17 *1881:14 *2100:8 0.000161557 +18 *1992:8 *2100:8 0.0323591 +19 *2075:8 *2100:8 0.0064004 +20 *2099:16 *2100:8 0.0137166 +*RES +1 *2450:pad_gpio_outenb *2100:7 2.39032 +2 *2100:7 *2100:8 64.8607 +3 *2100:8 *2474:mprj_io_oeb[9] 6.8039 +*END + +*D_NET *2101 0.0138606 +*CONN +*I *2474:mprj_io_out[0] I *D chip_io_alt +*I *2444:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[0] 0.000413095 +2 *2444:pad_gpio_out 0.000346549 +3 *2101:14 0.00138077 +4 *2101:13 0.00131423 +5 *2474:mprj_io_out[0] *2155:23 0 +6 *2101:13 *2128:13 0.000124349 +7 *2101:14 *2155:19 0.00214202 +8 *2101:14 *2155:23 0.00260666 +9 *2474:mprj_io_holdover[0] *2474:mprj_io_out[0] 0.0006713 +10 *1831:19 *2101:14 0.00108938 +11 *1831:20 *2101:14 0.00283599 +12 *1880:10 *2101:14 0 +13 *1939:10 *2101:14 0 +14 *1966:14 *2101:14 4.55455e-05 +15 *1993:21 *2101:14 0.000103433 +16 *1993:30 *2101:13 0 +17 *2047:13 *2101:13 0.000748829 +18 *2074:7 *2101:13 3.84497e-05 +19 *2074:8 *2101:13 0 +20 *2074:8 *2101:14 0 +*RES +1 *2444:pad_gpio_out *2101:13 24.0795 +2 *2101:13 *2101:14 81.1452 +3 *2101:14 *2474:mprj_io_out[0] 23.349 +*END + +*D_NET *2102 0.0730562 +*CONN +*I *2474:mprj_io_out[10] I *D chip_io_alt +*I *2451:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[10] 0.00330977 +2 *2451:pad_gpio_out 0.00216886 +3 *2102:10 0.00547864 +4 *2474:mprj_io_out[10] *2474:mprj_io_vtrip_sel[10] 1.83572e-05 +5 *2474:mprj_io_out[10] *2130:20 8.22832e-05 +6 *2474:mprj_io_out[10] *2181:8 4.8144e-05 +7 *2102:10 *2181:8 0.0130286 +8 *2474:mprj_io_holdover[10] *2474:mprj_io_out[10] 3.5534e-06 +9 *101:16 *2102:10 0.000582729 +10 *1877:14 *2102:10 0.000940813 +11 *1882:14 *2474:mprj_io_out[10] 0.000617419 +12 *1882:14 *2102:10 0.00170271 +13 *1885:14 *2474:mprj_io_out[10] 0.00170512 +14 *1886:8 *2474:mprj_io_out[10] 0.0131539 +15 *1940:10 *2102:10 0.00887763 +16 *1940:18 *2474:mprj_io_out[10] 0.0184682 +17 *1940:18 *2102:10 0.00195299 +18 *1967:13 *2102:10 0 +19 *1992:8 *2474:mprj_io_out[10] 6.55656e-05 +20 *2048:13 *2102:10 4.31122e-05 +21 *2048:14 *2474:mprj_io_out[10] 0.000563795 +22 *2075:7 *2102:10 0.000218037 +23 *2075:8 *2474:mprj_io_out[10] 0 +24 *2100:8 *2474:mprj_io_out[10] 2.59783e-05 +*RES +1 *2451:pad_gpio_out *2102:10 28.0095 +2 *2102:10 *2474:mprj_io_out[10] 45.3622 +*END + +*D_NET *2103 0.0643364 +*CONN +*I *2474:mprj_io_out[11] I *D chip_io_alt +*I *2452:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[11] 0.00299553 +2 *2452:pad_gpio_out 0.00557996 +3 *2103:18 0.0085755 +4 *2474:mprj_io_out[11] *2323:11 0.000128423 +5 *2103:18 *2474:mprj_io_vtrip_sel[10] 0.00642419 +6 *2103:18 *2130:18 0.000266098 +7 *2103:18 *2130:20 0.000463618 +8 *2103:18 *2130:24 0.000479242 +9 *2103:18 *2156:17 0.00358265 +10 *2103:18 *2322:13 0.000123379 +11 *2474:mprj_io_analog_sel[11] *2474:mprj_io_out[11] 0.00787088 +12 *2474:mprj_io_holdover[11] *2474:mprj_io_out[11] 9.56772e-06 +13 *101:22 *2103:18 0.000106514 +14 *1806:14 *2103:18 0.000523547 +15 *1806:18 *2474:mprj_io_out[11] 0.00091007 +16 *1807:19 *2474:mprj_io_out[11] 0 +17 *1833:20 *2474:mprj_io_out[11] 0.000393304 +18 *1833:20 *2103:18 0.00759354 +19 *1886:8 *2474:mprj_io_out[11] 0.00024345 +20 *1886:8 *2103:18 0.000566432 +21 *1889:12 *2474:mprj_io_out[11] 0.000474719 +22 *1889:18 *2474:mprj_io_out[11] 0.000156962 +23 *1940:18 *2103:18 0.00200001 +24 *1942:14 *2474:mprj_io_out[11] 0.000220988 +25 *1968:14 *2103:18 0.000202954 +26 *1994:11 *2103:18 0.00011174 +27 *1996:32 *2474:mprj_io_out[11] 0.000599172 +28 *1996:35 *2474:mprj_io_out[11] 0.000243056 +29 *2021:7 *2103:18 0.000249362 +30 *2049:13 *2103:18 2.89493e-05 +31 *2050:14 *2474:mprj_io_out[11] 0.000507909 +32 *2050:18 *2474:mprj_io_out[11] 0.000459935 +33 *2076:13 *2103:18 2.15596e-05 +34 *2076:14 *2103:18 0.000307033 +35 *2077:10 *2474:mprj_io_out[11] 0.00778354 +36 *2077:15 *2474:mprj_io_out[11] 0.00413264 +*RES +1 *2452:pad_gpio_out *2103:18 38.7876 +2 *2103:18 *2474:mprj_io_out[11] 32.4635 +*END + +*D_NET *2104 0.0634798 +*CONN +*I *2474:mprj_io_out[12] I *D chip_io_alt +*I *2453:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[12] 0.000489484 +2 *2453:pad_gpio_out 0.000799497 +3 *2104:14 0.00591542 +4 *2104:13 0.00622543 +5 *2104:14 *2324:13 0 +6 *2474:mprj_io_holdover[12] *2474:mprj_io_out[12] 0 +7 *102:60 *2104:13 0 +8 *502:22 *2104:14 0 +9 *1780:18 *2104:14 0.0127501 +10 *1807:20 *2104:14 0 +11 *1834:14 *2104:14 0.0264855 +12 *1884:14 *2104:14 0.00859136 +13 *1887:14 *2104:14 0 +14 *1941:8 *2104:14 0.00138607 +15 *1968:29 *2104:14 0.000477845 +16 *2050:13 *2104:13 0.000315959 +17 *2077:10 *2104:13 4.31122e-05 +*RES +1 *2453:pad_gpio_out *2104:13 31.15 +2 *2104:13 *2104:14 447.398 +3 *2104:14 *2474:mprj_io_out[12] 22.1289 +*END + +*D_NET *2105 0.0183945 +*CONN +*I *2474:mprj_io_out[13] I *D chip_io_alt +*I *2454:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[13] 0.000570536 +2 *2454:pad_gpio_out 2.68684e-05 +3 *2105:22 2.68684e-05 +4 *2105:10 0.000744737 +5 *2105:5 0.000930435 +6 *2105:4 0.000756235 +7 *2474:mprj_io_out[13] *2474:mprj_io_vtrip_sel[13] 0.00041084 +8 *2474:mprj_io_holdover[13] *2474:mprj_io_out[13] 0.00205535 +9 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_out[13] 0.00114231 +10 *1835:8 *2105:5 0.00294341 +11 *1835:13 *2105:10 0.00222312 +12 *1943:8 *2105:5 0.00359925 +13 *1943:13 *2105:10 0.00227622 +14 *1970:5 *2105:5 0.000375891 +15 *1997:19 *2474:mprj_io_out[13] 1.2693e-05 +16 *2078:5 *2105:5 0.000299733 +*RES +1 *2454:pad_gpio_out *2105:4 3.36879 +2 *2105:4 *2105:5 67.6495 +3 *2105:5 *2105:10 33.0167 +4 *2105:10 *2474:mprj_io_out[13] 38.4475 +5 *2454:pad_gpio_out *2105:22 0.0631875 +*END + +*D_NET *2106 0.0189466 +*CONN +*I *2474:mprj_io_out[14] I *D chip_io_alt +*I *2461:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[14] 6.48164e-05 +2 *2461:pad_gpio_out 0.000224383 +3 *2106:21 0.0012153 +4 *2106:17 0.001696 +5 *2106:8 0.00127312 +6 *2106:7 0.000951993 +7 *2106:8 *2133:8 0.0047907 +8 *2106:17 *2326:13 0 +9 *2106:21 *2160:8 0 +10 *2106:21 *2326:13 0 +11 *2474:mprj_io_dm[42] *2106:17 0 +12 *2474:mprj_io_dm[43] *2106:17 0 +13 *2474:mprj_io_holdover[14] *2106:21 0 +14 *2474:mprj_io_inp_dis[14] *2106:17 4.58003e-05 +15 *2474:mprj_io_oeb[14] *2474:mprj_io_out[14] 0.000171064 +16 *485:17 *2106:17 1.14755e-05 +17 *485:17 *2106:21 0.00113432 +18 *485:23 *2106:21 0.000544925 +19 *1836:14 *2106:21 0 +20 *1895:8 *2106:8 1.2366e-05 +21 *1895:8 *2106:17 0.000905728 +22 *2052:7 *2106:7 0.000198219 +23 *2052:8 *2106:8 0.00468484 +24 *2052:8 *2106:17 0.000777162 +25 *2079:7 *2106:7 0.000198219 +26 *2079:8 *2106:8 4.61488e-05 +*RES +1 *2461:pad_gpio_out *2106:7 4.50284 +2 *2106:7 *2106:8 78.2384 +3 *2106:8 *2106:17 37.5503 +4 *2106:17 *2106:21 46.2341 +5 *2106:21 *2474:mprj_io_out[14] 7.28463 +*END + +*D_NET *2107 0.0161343 +*CONN +*I *2474:mprj_io_out[15] I *D chip_io_alt +*I *2462:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[15] 4.25268e-05 +2 *2462:pad_gpio_out 0.000226668 +3 *2107:14 0.00137612 +4 *2107:13 0.00152421 +5 *2107:8 0.00239727 +6 *2107:7 0.00243333 +7 *2107:8 *2134:8 0 +8 *2107:14 *2327:11 0.000925194 +9 *2474:mprj_io_analog_en[15] *2107:14 0.000162254 +10 *2474:mprj_io_dm[45] *2107:14 0 +11 *2474:mprj_io_dm[47] *2107:14 0.00114845 +12 *2474:mprj_io_holdover[15] *2474:mprj_io_out[15] 0 +13 *2474:mprj_io_holdover[15] *2107:14 0.000202472 +14 *2474:mprj_io_inp_dis[15] *2107:14 0.000648497 +15 *471:16 *2107:8 0 +16 *489:14 *2107:14 0 +17 *1783:18 *2107:8 0 +18 *1783:18 *2107:14 0 +19 *1837:8 *2107:8 0 +20 *1898:8 *2107:8 0.00456132 +21 *2026:9 *2107:14 2.37478e-05 +22 *2053:7 *2107:7 0.000200597 +23 *2053:8 *2107:8 0 +24 *2080:7 *2107:7 0.000261617 +*RES +1 *2462:pad_gpio_out *2107:7 4.73231 +2 *2107:7 *2107:8 88.4121 +3 *2107:8 *2107:13 13.6056 +4 *2107:13 *2107:14 55.6072 +5 *2107:14 *2474:mprj_io_out[15] 1.20912 +*END + +*D_NET *2108 0.0211841 +*CONN +*I *2474:mprj_io_out[16] I *D chip_io_alt +*I *2463:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[16] 0.000371909 +2 *2463:pad_gpio_out 0.000364258 +3 *2108:16 0.00138715 +4 *2108:13 0.00117921 +5 *2108:8 0.00102377 +6 *2108:7 0.00122406 +7 *2108:8 *2328:19 7.77309e-06 +8 *2474:mprj_io_holdover[16] *2474:mprj_io_out[16] 0 +9 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_out[16] 0 +10 *472:8 *2108:8 0.00022036 +11 *518:8 *2108:16 0 +12 *1838:14 *2108:16 0 +13 *1903:16 *2108:16 9.66387e-05 +14 *1946:17 *2108:16 0.00147144 +15 *1973:8 *2108:8 0.00556391 +16 *2027:9 *2474:mprj_io_out[16] 0.000189119 +17 *2027:9 *2108:16 0 +18 *2054:7 *2108:7 0.000381948 +19 *2054:8 *2108:8 0.00584265 +20 *2054:20 *2108:13 0.000251669 +21 *2054:20 *2108:16 0.00116545 +22 *2081:7 *2108:7 0.000372352 +23 *2081:8 *2108:8 6.80434e-05 +24 *2081:19 *2108:13 2.41274e-06 +*RES +1 *2463:pad_gpio_out *2108:7 5.49721 +2 *2108:7 *2108:8 96.7171 +3 *2108:8 *2108:13 13.051 +4 *2108:13 *2108:16 49.5182 +5 *2108:16 *2474:mprj_io_out[16] 16.1921 +*END + +*D_NET *2109 0.0188511 +*CONN +*I *2474:mprj_io_out[17] I *D chip_io_alt +*I *2464:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[17] 0.00054924 +2 *2464:pad_gpio_out 0.000379058 +3 *2109:18 0.00167381 +4 *2109:16 0.00140891 +5 *2109:8 0.00119699 +6 *2109:7 0.0012917 +7 *2474:mprj_io_out[17] *2312:10 0 +8 *2109:8 *2163:8 0.00137969 +9 *2109:16 *2163:13 5.04829e-06 +10 *519:10 *2109:18 0.00442689 +11 *519:21 *2109:8 0.000159821 +12 *1812:16 *2109:16 0.00041783 +13 *1812:23 *2109:16 2.01595e-05 +14 *1812:23 *2109:18 0.00123416 +15 *1839:36 *2109:8 0.00101784 +16 *1905:8 *2109:8 0.00135784 +17 *1947:8 *2109:8 9.97028e-05 +18 *1947:17 *2109:18 0.000591666 +19 *1947:23 *2474:mprj_io_out[17] 0.000130106 +20 *1974:7 *2109:7 0 +21 *1974:24 *2474:mprj_io_out[17] 8.1645e-05 +22 *2055:7 *2109:7 6.89476e-05 +23 *2082:10 *2109:7 0.000305698 +24 *2082:10 *2109:8 0.000579049 +25 *2082:12 *2109:8 0 +26 *2082:12 *2109:16 0.000462932 +27 *2082:12 *2109:18 1.23804e-05 +*RES +1 *2464:pad_gpio_out *2109:7 5.03827 +2 *2109:7 *2109:8 62.6664 +3 *2109:8 *2109:16 20.6671 +4 *2109:16 *2109:18 71.802 +5 *2109:18 *2474:mprj_io_out[17] 23.6001 +*END + +*D_NET *2110 0.0228229 +*CONN +*I *2474:mprj_io_out[18] I *D chip_io_alt +*I *2465:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[18] 0.000320828 +2 *2465:pad_gpio_out 0.000279965 +3 *2110:16 0.00109535 +4 *2110:15 0.000867727 +5 *2110:10 0.000757388 +6 *2110:9 0.000944149 +7 *2110:10 *2164:10 0.00306955 +8 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_out[18] 0.000459083 +9 *2474:mprj_io_inp_dis[18] *2110:16 0.000262462 +10 *2474:mprj_io_oeb[18] *2474:mprj_io_out[18] 1.00846e-05 +11 *474:14 *2110:10 0 +12 *474:14 *2110:16 1.32509e-05 +13 *520:16 *2110:16 0.00573938 +14 *1840:13 *2110:15 0.000298318 +15 *1908:10 *2110:10 0.00214383 +16 *1975:19 *2110:15 0.000298304 +17 *1975:22 *2110:16 0.00565346 +18 *2029:10 *2474:mprj_io_out[18] 4.96363e-05 +19 *2056:9 *2110:9 0.000276188 +20 *2056:10 *2110:16 7.77309e-06 +21 *2083:9 *2110:9 0.000276188 +*RES +1 *2465:pad_gpio_out *2110:9 5.02829 +2 *2110:9 *2110:10 49.7936 +3 *2110:10 *2110:15 13.051 +4 *2110:15 *2110:16 91.9417 +5 *2110:16 *2474:mprj_io_out[18] 20.6905 +*END + +*D_NET *2111 0.0222428 +*CONN +*I *2474:mprj_io_out[19] I *D chip_io_alt +*I *2466:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[19] 0.000418993 +2 *2466:pad_gpio_out 0.000447507 +3 *2111:14 0.000941728 +4 *2111:13 0.000719796 +5 *2111:8 0.00101514 +6 *2111:7 0.00126559 +7 *2111:7 *2165:13 0 +8 *2111:8 *2165:13 0.00121359 +9 *2111:14 *2165:28 0.000172797 +10 *2474:mprj_io_holdover[19] *2474:mprj_io_out[19] 0 +11 *521:14 *2111:8 0.00593399 +12 *1841:8 *2111:8 0.00470439 +13 *1912:14 *2111:14 0.00250819 +14 *1949:17 *2111:14 0.00168129 +15 *2030:15 *2474:mprj_io_out[19] 0.000189119 +16 *2057:13 *2111:7 0.000284115 +17 *2084:7 *2111:7 0.000345135 +18 *2084:8 *2111:14 0.00040138 +*RES +1 *2466:pad_gpio_out *2111:7 5.49721 +2 *2111:7 *2111:8 95.4714 +3 *2111:8 *2111:13 12.4964 +4 *2111:13 *2111:14 46.264 +5 *2111:14 *2474:mprj_io_out[19] 21.2467 +*END + +*D_NET *2112 0.0140162 +*CONN +*I *2474:mprj_io_out[1] I *D chip_io_alt +*I *2445:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[1] 0.000298651 +2 *2445:pad_gpio_out 0.000520125 +3 *2112:14 0.00120183 +4 *2112:13 0.00142331 +5 *2112:14 *2166:14 0.00451942 +6 *2445:pad_gpio_in *2112:13 0.000880967 +7 *2474:mprj_io_dm[5] *2474:mprj_io_out[1] 9.4338e-05 +8 *467:55 *2112:14 0 +9 *485:53 *2112:13 6.19102e-05 +10 *1842:16 *2112:14 1.2366e-05 +11 *1842:18 *2112:14 0.0024272 +12 *1913:19 *2112:14 0.00207048 +13 *1913:23 *2474:mprj_io_out[1] 7.47435e-06 +14 *1913:23 *2112:14 0.000237709 +15 *1950:13 *2112:13 1.92172e-05 +16 *2004:11 *2112:14 4.46284e-05 +17 *2058:13 *2112:13 0.000158111 +18 *2085:7 *2112:13 3.84497e-05 +*RES +1 *2445:pad_gpio_out *2112:13 29.4778 +2 *2112:13 *2112:14 82.8062 +3 *2112:14 *2474:mprj_io_out[1] 16.2509 +*END + +*D_NET *2113 0.0216025 +*CONN +*I *2474:mprj_io_out[20] I *D chip_io_alt +*I *2467:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[20] 0.000297584 +2 *2467:pad_gpio_out 0.00232479 +3 *2113:16 0.00262237 +4 *2113:16 *2167:19 0.000297056 +5 *2113:16 *2297:12 0.000169016 +6 *2113:16 *2315:13 0.000137648 +7 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_out[20] 0.000107864 +8 *2474:mprj_io_ib_mode_sel[20] *2113:16 0.00783784 +9 *2474:mprj_io_oeb[20] *2113:16 0.000484305 +10 *474:48 *2113:16 0 +11 *522:32 *2113:16 0.00119378 +12 *1843:16 *2113:16 0 +13 *1916:18 *2113:16 0.000158917 +14 *2059:14 *2113:16 0.00587008 +15 *2086:13 *2113:16 0.000101205 +*RES +1 *2467:pad_gpio_out *2113:16 48.6317 +2 *2113:16 *2474:mprj_io_out[20] 11.9919 +*END + +*D_NET *2114 0.0228729 +*CONN +*I *2474:mprj_io_out[21] I *D chip_io_alt +*I *2468:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[21] 0.000356904 +2 *2468:pad_gpio_out 0.000355109 +3 *2114:8 0.00163769 +4 *2114:7 0.00163589 +5 *2474:mprj_io_out[21] *2316:14 0.000296309 +6 *2114:7 *2168:7 0 +7 *2114:8 *2168:8 0.00850005 +8 *2474:mprj_io_holdover[21] *2474:mprj_io_out[21] 0.000258162 +9 *2474:mprj_io_oeb[21] *2474:mprj_io_out[21] 0.000462932 +10 *494:20 *2114:8 0.00111099 +11 *523:8 *2114:8 0.000678167 +12 *2060:7 *2114:7 0.00032094 +13 *2060:8 *2114:8 0.00707465 +14 *2087:7 *2114:7 0.000185083 +*RES +1 *2468:pad_gpio_out *2114:7 5.11476 +2 *2114:7 *2114:8 136.997 +3 *2114:8 *2474:mprj_io_out[21] 25.0257 +*END + +*D_NET *2115 0.0170668 +*CONN +*I *2474:mprj_io_out[22] I *D chip_io_alt +*I *2469:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[22] 0.000884466 +2 *2469:pad_gpio_out 0.000290813 +3 *2115:8 0.003795 +4 *2115:7 0.00320134 +5 *2474:mprj_io_out[22] *2474:mprj_io_vtrip_sel[22] 1.1573e-05 +6 *2474:mprj_io_out[22] *2169:16 0 +7 *2474:mprj_io_out[22] *2299:21 7.61489e-05 +8 *2474:mprj_io_out[22] *2317:12 0.000140955 +9 *2474:mprj_io_out[22] *2317:14 0 +10 *2115:8 *2142:8 0 +11 *2115:8 *2142:14 0 +12 *2115:8 *2169:15 0 +13 *2115:8 *2169:16 0.000233149 +14 *2115:8 *2299:21 0 +15 *2115:8 *2317:12 0 +16 *2474:mprj_io_analog_sel[22] *2474:mprj_io_out[22] 0 +17 *2474:mprj_io_holdover[22] *2474:mprj_io_out[22] 0.000509942 +18 *477:26 *2474:mprj_io_out[22] 0.00015305 +19 *478:10 *2115:8 0.000989926 +20 *1791:19 *2115:8 0 +21 *1818:16 *2115:8 1.72799e-05 +22 *1921:8 *2115:8 0.00504539 +23 *1922:33 *2115:8 0.000908573 +24 *2061:14 *2115:7 0.000226364 +25 *2061:14 *2115:8 0.000356446 +26 *2088:10 *2115:7 0.000226364 +*RES +1 *2469:pad_gpio_out *2115:7 4.73231 +2 *2115:7 *2115:8 122.463 +3 *2115:8 *2474:mprj_io_out[22] 38.5524 +*END + +*D_NET *2116 0.0206276 +*CONN +*I *2474:mprj_io_out[23] I *D chip_io_alt +*I *2470:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[23] 0.00217253 +2 *2470:pad_gpio_out 0.00217253 +3 *2474:mprj_io_out[23] *2474:mprj_io_vtrip_sel[23] 0.00598936 +4 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_out[23] 0.00927152 +5 *2474:mprj_io_oeb[23] *2474:mprj_io_out[23] 0.000334336 +6 *110:87 *2474:mprj_io_out[23] 6.88661e-06 +7 *477:26 *2474:mprj_io_out[23] 0.000356237 +8 *1925:8 *2474:mprj_io_out[23] 0.000261286 +9 *2062:7 *2474:mprj_io_out[23] 6.29293e-05 +*RES +1 *2470:pad_gpio_out *2474:mprj_io_out[23] 26.8675 +*END + +*D_NET *2117 0.0216268 +*CONN +*I *2474:mprj_io_out[24] I *D chip_io_alt +*I *2446:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[24] 0.000770923 +2 *2446:pad_gpio_out 0.000564153 +3 *2117:12 0.00212284 +4 *2117:10 0.00191607 +5 *2117:10 *2144:7 0 +6 *2117:10 *2144:8 0.000106672 +7 *2117:12 *2144:8 0.00174776 +8 *2474:mprj_io_holdover[24] *2474:mprj_io_out[24] 0.00201554 +9 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_out[24] 0.00136798 +10 *2474:mprj_io_inp_dis[24] *2474:mprj_io_out[24] 6.04131e-05 +11 *486:44 *2474:mprj_io_out[24] 0.000184273 +12 *494:20 *2117:12 0.000649082 +13 *514:8 *2117:12 0.00336386 +14 *1847:16 *2117:12 0.000206886 +15 *1982:7 *2117:10 0 +16 *1982:8 *2117:12 0.00602476 +17 *1982:19 *2474:mprj_io_out[24] 0 +18 *2063:7 *2117:10 0.000280042 +19 *2090:7 *2117:10 0.00024557 +*RES +1 *2446:pad_gpio_out *2117:10 7.85696 +2 *2117:10 *2117:12 113.303 +3 *2117:12 *2474:mprj_io_out[24] 48.6978 +*END + +*D_NET *2118 0.0193089 +*CONN +*I *2474:mprj_io_out[25] I *D chip_io_alt +*I *2447:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[25] 0.000492911 +2 *2447:pad_gpio_out 0.00130721 +3 *2118:22 0.00135406 +4 *2118:21 0.00106942 +5 *2118:8 0.00151548 +6 *2118:8 *2145:7 0 +7 *2118:8 *2145:8 0 +8 *2118:8 *2172:8 0 +9 *2118:21 *2172:13 5.04829e-06 +10 *2118:22 *2145:8 0.00237373 +11 *2448:serial_load *2118:8 0.000168181 +12 *2474:mprj_io_dm[77] *2474:mprj_io_out[25] 0.000351956 +13 *2474:mprj_io_holdover[25] *2474:mprj_io_out[25] 0.00019711 +14 *2474:mprj_io_oeb[25] *2118:8 4.79986e-05 +15 *515:8 *2474:mprj_io_out[25] 0.000661137 +16 *1572:16 *2118:21 0.000111722 +17 *1794:16 *2118:8 0.000514271 +18 *1794:16 *2118:21 0.000323277 +19 *1848:15 *2118:21 5.04829e-06 +20 *1930:10 *2118:8 0.000710539 +21 *1931:8 *2118:8 0 +22 *1956:11 *2118:21 5.04829e-06 +23 *1956:14 *2118:22 0.00148018 +24 *1983:8 *2118:22 0.00541603 +25 *2064:7 *2118:8 0.000255442 +26 *2064:8 *2118:8 0.000623406 +27 *2064:8 *2118:21 0.000319694 +*RES +1 *2447:pad_gpio_out *2118:8 46.3739 +2 *2118:8 *2118:21 26.8433 +3 *2118:21 *2118:22 86.5434 +4 *2118:22 *2474:mprj_io_out[25] 29.4497 +*END + +*D_NET *2119 0.0244648 +*CONN +*I *2474:mprj_io_out[26] I *D chip_io_alt +*I *2448:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[26] 0.00087901 +2 *2448:pad_gpio_out 0.00039797 +3 *2119:16 0.00193216 +4 *2119:15 0.00184713 +5 *2119:12 0.00119195 +6 *2474:mprj_io_out[26] *2474:mprj_io_vtrip_sel[26] 0.000182583 +7 *2119:12 *2146:10 7.61581e-05 +8 *2474:mprj_io_dm[80] *2474:mprj_io_out[26] 0.000909311 +9 *2474:mprj_io_holdover[26] *2474:mprj_io_out[26] 0.000215165 +10 *1936:16 *2119:16 0.00448345 +11 *1957:15 *2119:15 0 +12 *1957:16 *2119:16 0.00585373 +13 *2065:12 *2119:12 0.00158808 +14 *2065:15 *2119:15 0.00294505 +15 *2092:15 *2119:12 0.00171627 +16 *2092:15 *2119:15 0.000246747 +*RES +1 *2448:pad_gpio_out *2119:12 35.9933 +2 *2119:12 *2119:15 37.3904 +3 *2119:15 *2119:16 93.6027 +4 *2119:16 *2474:mprj_io_out[26] 39.9198 +*END + +*D_NET *2120 0.0146108 +*CONN +*I *2474:mprj_io_out[2] I *D chip_io_alt +*I *2455:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[2] 0.000338159 +2 *2455:pad_gpio_out 0.000616867 +3 *2120:14 0.00113042 +4 *2120:13 0.00140913 +5 *2120:14 *2174:14 0.000221531 +6 *100:77 *2120:13 0.000266832 +7 *104:40 *2120:13 0 +8 *104:50 *2120:14 0.0010439 +9 *471:40 *2474:mprj_io_out[2] 0.000211282 +10 *1958:14 *2120:14 0.00481341 +11 *1985:14 *2120:14 2.01595e-05 +12 *2066:13 *2120:13 0.000306624 +13 *2093:13 *2120:13 3.84497e-05 +14 *2093:14 *2120:14 0.00419398 +*RES +1 *2455:pad_gpio_out *2120:13 28.0954 +2 *2120:13 *2120:14 85.2977 +3 *2120:14 *2474:mprj_io_out[2] 17.0315 +*END + +*D_NET *2121 0.0124262 +*CONN +*I *2474:mprj_io_out[3] I *D chip_io_alt +*I *2456:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[3] 0.00048502 +2 *2456:pad_gpio_out 0.000402759 +3 *2121:14 0.00189146 +4 *2121:13 0.0018092 +5 *2474:mprj_io_out[3] *2474:mprj_io_vtrip_sel[3] 0.00058327 +6 *2121:13 *2148:15 0.000171753 +7 *2121:14 *2175:14 0 +8 *2455:resetn_out *2474:mprj_io_out[3] 0.000107678 +9 *2456:pad_gpio_in *2121:13 0 +10 *105:52 *2474:mprj_io_out[3] 5.481e-05 +11 *504:12 *2121:14 0 +12 *1797:19 *2121:14 0.00175222 +13 *1797:22 *2121:14 0.000471395 +14 *1824:17 *2121:14 0.00123731 +15 *1860:16 *2121:14 0.000535662 +16 *1860:23 *2121:14 0.000931774 +17 *1959:18 *2121:14 8.92568e-06 +18 *2013:13 *2121:14 0 +19 *2040:19 *2474:mprj_io_out[3] 0.000879367 +20 *2067:15 *2121:13 0.00094554 +21 *2094:7 *2121:13 3.27908e-05 +22 *2094:8 *2121:13 0.000125219 +*RES +1 *2456:pad_gpio_out *2121:13 26.7131 +2 *2121:13 *2121:14 76.9926 +3 *2121:14 *2474:mprj_io_out[3] 28.8895 +*END + +*D_NET *2122 0.0127039 +*CONN +*I *2474:mprj_io_out[4] I *D chip_io_alt +*I *2457:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[4] 0.000509136 +2 *2457:pad_gpio_out 0.000685017 +3 *2122:14 0.00166959 +4 *2122:13 0.00184547 +5 *2122:14 *2176:14 7.26852e-05 +6 *2474:mprj_io_holdover[4] *2474:mprj_io_out[4] 0 +7 *110:98 *2122:14 0.000139567 +8 *493:51 *2122:14 0.000691809 +9 *1825:16 *2122:14 0.00181483 +10 *1861:16 *2122:14 0 +11 *1862:16 *2122:14 0 +12 *1960:14 *2474:mprj_io_out[4] 0.0006713 +13 *1987:13 *2122:13 0 +14 *1987:14 *2122:14 0.00427274 +15 *2014:30 *2474:mprj_io_out[4] 5.57839e-05 +16 *2014:31 *2122:13 0 +17 *2068:15 *2122:13 0.000237476 +18 *2068:16 *2122:14 0 +19 *2095:7 *2122:13 3.84497e-05 +20 *2095:8 *2122:13 0 +*RES +1 *2457:pad_gpio_out *2122:13 29.2047 +2 *2122:13 *2122:14 77.8232 +3 *2122:14 *2474:mprj_io_out[4] 25.5674 +*END + +*D_NET *2123 0.0149833 +*CONN +*I *2474:mprj_io_out[5] I *D chip_io_alt +*I *2458:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[5] 0.000295294 +2 *2458:pad_gpio_out 0.00066032 +3 *2123:14 0.00101771 +4 *2123:13 0.00138273 +5 *2123:13 *2150:8 0 +6 *108:49 *2123:14 0.000789249 +7 *110:98 *2123:14 0.00514303 +8 *495:64 *2123:14 0.00513585 +9 *1961:14 *2474:mprj_io_out[5] 6.55232e-05 +10 *2069:13 *2123:13 0.000455137 +11 *2096:13 *2123:13 3.84497e-05 +*RES +1 *2458:pad_gpio_out *2123:13 29.4778 +2 *2123:13 *2123:14 82.8062 +3 *2123:14 *2474:mprj_io_out[5] 16.2509 +*END + +*D_NET *2124 0.016051 +*CONN +*I *2474:mprj_io_out[6] I *D chip_io_alt +*I *2459:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[6] 0.000342223 +2 *2459:pad_gpio_out 0.000372087 +3 *2124:14 0.00110114 +4 *2124:13 0.001131 +5 *2124:13 *2151:15 0.000985982 +6 *2124:14 *2178:14 1.87125e-05 +7 *2459:pad_gpio_in *2124:13 0 +8 *2459:resetn *2124:14 0.000836744 +9 *110:98 *2124:14 0.0054535 +10 *507:18 *2474:mprj_io_out[6] 0.000223156 +11 *2043:13 *2124:14 0.0010388 +12 *2070:13 *2124:13 7.43144e-05 +13 *2070:14 *2124:14 0.00437841 +14 *2097:7 *2124:13 3.27908e-05 +15 *2097:8 *2124:13 6.21773e-05 +*RES +1 *2459:pad_gpio_out *2124:13 25.1887 +2 *2124:13 *2124:14 87.7892 +3 *2124:14 *2474:mprj_io_out[6] 17.2208 +*END + +*D_NET *2125 0.0613471 +*CONN +*I *2474:mprj_io_out[7] I *D chip_io_alt +*I *2460:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[7] 0.000181331 +2 *2460:pad_gpio_out 0.000538118 +3 *2125:20 0.00103074 +4 *2125:19 0.00093109 +5 *2125:14 0.00351017 +6 *2125:13 0.00374475 +7 *2125:8 0.000854386 +8 *2474:mprj_io_out[7] *2153:16 2.2746e-05 +9 *2474:mprj_io_out[7] *2153:20 0 +10 *2125:8 *2460:user_gpio_out 0.000262806 +11 *2125:8 *2353:10 1.75925e-05 +12 *2125:14 *2179:14 0.00198756 +13 *2125:14 *2180:14 0.000437916 +14 *2125:20 *2126:16 0.000712721 +15 *2125:20 *2153:16 0.000373541 +16 *2125:20 *2311:13 0.0015418 +17 *477:44 *2125:8 0.000681442 +18 *495:70 *2125:8 0.000674071 +19 *495:85 *2125:8 0.00105467 +20 *495:87 *2125:8 0.000431804 +21 *1871:18 *2125:20 0.00312553 +22 *1872:14 *2125:14 0.00680143 +23 *1875:14 *2125:14 0.00577452 +24 *1963:8 *2125:8 5.65317e-05 +25 *1963:13 *2125:19 5.481e-05 +26 *2017:13 *2125:14 0.0186026 +27 *2071:7 *2125:8 7.36223e-05 +28 *2071:16 *2125:14 0.000137357 +29 *2071:16 *2125:19 3.24705e-06 +30 *2072:18 *2125:14 0.00047356 +31 *2072:18 *2125:20 0.00545238 +32 *2098:13 *2125:8 0.00180224 +*RES +1 *2460:pad_gpio_out *2125:8 46.4723 +2 *2125:8 *2125:13 15.824 +3 *2125:13 *2125:14 316.178 +4 *2125:14 *2125:19 10.8326 +5 *2125:19 *2125:20 88.2045 +6 *2125:20 *2474:mprj_io_out[7] 13.4807 +*END + +*D_NET *2126 0.0773209 +*CONN +*I *2474:mprj_io_out[8] I *D chip_io_alt +*I *2449:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[8] 0.000143353 +2 *2449:pad_gpio_out 0.00111943 +3 *2126:16 0.00488508 +4 *2126:15 0.00586116 +5 *2474:mprj_io_out[8] *2474:mprj_io_vtrip_sel[8] 0.00015927 +6 *2126:15 *2180:13 0.000258632 +7 *2126:15 *2354:12 6.75696e-05 +8 *2126:16 *2153:20 0.00052917 +9 *2126:16 *2154:14 0.00168841 +10 *2126:16 *2180:14 0.0303189 +11 *2126:16 *2311:13 0.000165067 +12 *2449:serial_clock *2126:15 2.25102e-05 +13 *2449:serial_clock_out *2126:15 0.00107825 +14 *2449:serial_data_in *2126:15 1.29018e-05 +15 *2450:serial_load *2126:16 0 +16 *2474:mprj_io_holdover[8] *2474:mprj_io_out[8] 1.19195e-05 +17 *1871:14 *2126:16 0.000518598 +18 *1871:18 *2126:16 0.000394625 +19 *1874:28 *2126:16 0.000475757 +20 *1964:10 *2126:15 0.000939016 +21 *1965:8 *2126:16 0.0131984 +22 *1990:8 *2126:16 0.0123083 +23 *2018:13 *2126:16 0.000138272 +24 *2019:11 *2126:16 0.000714515 +25 *2044:7 *2126:16 0.00026714 +26 *2071:8 *2126:16 0.000490766 +27 *2072:15 *2126:15 0.000841122 +28 *2099:15 *2126:15 0 +29 *2125:20 *2126:16 0.000712721 +*RES +1 *2449:pad_gpio_out *2126:15 25.9707 +2 *2126:15 *2126:16 60.5172 +3 *2126:16 *2474:mprj_io_out[8] 5.19565 +*END + +*D_NET *2127 0.0666775 +*CONN +*I *2474:mprj_io_out[9] I *D chip_io_alt +*I *2450:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[9] 0.000512751 +2 *2450:pad_gpio_out 0.000783855 +3 *2127:28 0.00120996 +4 *2127:23 0.00170398 +5 *2127:19 0.00117074 +6 *2127:14 0.00456777 +7 *2127:13 0.00518766 +8 *2127:13 *2154:13 0.000730106 +9 *2450:pad_gpio_in *2127:13 0.000268171 +10 *2450:serial_clock_out *2127:13 0.000272655 +11 *2474:mprj_io_dm[27] *2127:23 5.04829e-06 +12 *101:21 *2474:mprj_io_out[9] 0 +13 *101:22 *2474:mprj_io_out[9] 0.000171079 +14 *511:14 *2127:14 0 +15 *1778:16 *2127:14 0 +16 *1778:16 *2127:23 0.00242582 +17 *1830:22 *2127:14 0.000267587 +18 *1830:22 *2127:28 0.000137372 +19 *1857:14 *2127:14 0.0229467 +20 *1876:14 *2127:14 0.0101619 +21 *1877:19 *2127:19 5.04829e-06 +22 *1879:8 *2127:13 0 +23 *1881:14 *2127:14 0.00754048 +24 *1881:14 *2127:28 0.00202348 +25 *1992:7 *2127:13 0 +26 *1994:16 *2474:mprj_io_out[9] 0 +27 *2048:14 *2127:14 0 +28 *2048:14 *2127:28 0 +29 *2075:8 *2127:23 0.000706804 +30 *2099:16 *2127:14 0.00187663 +31 *2100:7 *2127:13 3.60838e-05 +32 *2100:8 *2127:14 0.00167697 +33 *2100:8 *2127:28 0.000288926 +*RES +1 *2450:pad_gpio_out *2127:13 34.8244 +2 *2127:13 *2127:14 373.898 +3 *2127:14 *2127:19 11.9418 +4 *2127:19 *2127:23 46.2313 +5 *2127:23 *2127:28 41.5607 +6 *2127:28 *2474:mprj_io_out[9] 17.4363 +*END + +*D_NET *2128 0.0177128 +*CONN +*I *2474:mprj_io_slow_sel[0] I *D chip_io_alt +*I *2444:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[0] 0.000832564 +2 *2444:pad_gpio_slow_sel 0.000726487 +3 *2128:14 0.00408043 +4 *2128:13 0.00397436 +5 *2128:13 *2155:13 0.000306624 +6 *2474:mprj_io_dm[1] *2474:mprj_io_slow_sel[0] 8.10016e-06 +7 *1555:20 *2128:14 0 +8 *1869:14 *2128:14 0.00711111 +9 *1993:17 *2474:mprj_io_slow_sel[0] 0 +10 *2047:13 *2128:13 0.000215101 +11 *2047:14 *2128:14 0.000285622 +12 *2074:7 *2128:13 4.80459e-05 +13 *2074:8 *2128:13 0 +14 *2101:13 *2128:13 0.000124349 +*RES +1 *2444:pad_gpio_slow_sel *2128:13 34.2726 +2 *2128:13 *2128:14 139.28 +3 *2128:14 *2474:mprj_io_slow_sel[0] 32.4714 +*END + +*D_NET *2129 0.0470263 +*CONN +*I *2474:mprj_io_slow_sel[10] I *D chip_io_alt +*I *2451:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[10] 0.000319325 +2 *2451:pad_gpio_slow_sel 0.000478738 +3 *2129:14 0.00623105 +4 *2129:13 0.00639046 +5 *2474:mprj_io_slow_sel[10] *2130:18 3.35026e-05 +6 *2474:mprj_io_slow_sel[10] *2130:20 0.000320784 +7 *2474:mprj_io_slow_sel[10] *2304:19 0 +8 *2129:13 *2156:17 3.17944e-05 +9 *2129:13 *2330:11 1.92172e-05 +10 *2129:14 *2130:18 0 +11 *2129:14 *2154:14 0.00628425 +12 *2129:14 *2156:17 0.000968228 +13 *2451:serial_clock *2129:13 0.000519205 +14 *2451:serial_data_in *2129:13 0.000557425 +15 *2474:mprj_io_dm[28] *2129:14 5.43416e-05 +16 *101:10 *2129:13 2.79829e-05 +17 *101:33 *2129:13 0.000778619 +18 *1878:14 *2129:14 0.00251945 +19 *1879:42 *2129:14 1.3813e-05 +20 *1879:44 *2129:14 0.00319977 +21 *1882:14 *2129:14 0 +22 *1885:14 *2129:14 9.4939e-05 +23 *1940:18 *2129:14 0.00210671 +24 *1968:14 *2129:14 0.00711454 +25 *1968:25 *2474:mprj_io_slow_sel[10] 5.04829e-06 +26 *1994:11 *2129:14 0.00294747 +27 *1994:20 *2129:14 0.00046924 +28 *1995:15 *2474:mprj_io_slow_sel[10] 4.46284e-06 +29 *1995:15 *2129:14 0.00345841 +30 *2073:52 *2129:14 0.00135608 +31 *2075:7 *2129:13 4.31122e-05 +32 *2076:25 *2474:mprj_io_slow_sel[10] 0.000678361 +*RES +1 *2451:pad_gpio_slow_sel *2129:13 33.4909 +2 *2129:13 *2129:14 368.292 +3 *2129:14 *2474:mprj_io_slow_sel[10] 24.7642 +*END + +*D_NET *2130 0.0461286 +*CONN +*I *2474:mprj_io_slow_sel[11] I *D chip_io_alt +*I *2452:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[11] 0.000280327 +2 *2452:pad_gpio_slow_sel 8.87297e-05 +3 *2130:32 0.00105962 +4 *2130:30 0.000810647 +5 *2130:28 0.000868557 +6 *2130:26 0.000884157 +7 *2130:24 0.00141481 +8 *2130:22 0.00139363 +9 *2130:20 0.00204938 +10 *2130:18 0.00280815 +11 *2130:15 0.00162332 +12 *2130:10 0.00228441 +13 *2130:9 0.00153437 +14 *2130:10 *2157:10 0.00452251 +15 *2130:10 *2331:12 0.000848949 +16 *2130:15 *2157:18 0.000579288 +17 *2130:20 *2474:mprj_io_vtrip_sel[10] 0.000273425 +18 *2130:20 *2304:19 0.000150242 +19 *2130:32 *2131:16 1.87125e-05 +20 *2130:32 *2158:10 0.000556072 +21 *2474:mprj_io_dm[32] *2130:20 0.00112596 +22 *2474:mprj_io_ib_mode_sel[10] *2130:20 0 +23 *2474:mprj_io_oeb[10] *2130:20 7.48753e-06 +24 *2474:mprj_io_out[10] *2130:20 8.22832e-05 +25 *2474:mprj_io_slow_sel[10] *2130:18 3.35026e-05 +26 *2474:mprj_io_slow_sel[10] *2130:20 0.000320784 +27 *487:12 *2130:10 0.000318589 +28 *1806:14 *2130:24 0 +29 *1806:18 *2130:24 9.89388e-06 +30 *1806:18 *2130:28 0.000338349 +31 *1806:26 *2130:32 0.00100412 +32 *1889:12 *2474:mprj_io_slow_sel[11] 0.000197125 +33 *1994:10 *2130:18 0.000197125 +34 *1995:11 *2130:28 1.6267e-05 +35 *1995:11 *2130:32 0.00370852 +36 *1995:13 *2130:30 6.67835e-06 +37 *1995:15 *2130:18 0 +38 *1995:15 *2130:20 0.00309151 +39 *1995:15 *2130:24 0.00106015 +40 *1995:15 *2130:28 0.00356722 +41 *2021:7 *2130:20 0 +42 *2021:7 *2130:24 0 +43 *2049:30 *2130:32 0.000658292 +44 *2050:14 *2130:28 0.00122198 +45 *2050:14 *2130:32 0.000179564 +46 *2050:18 *2130:32 0.00139586 +47 *2076:14 *2130:18 0.001907 +48 *2077:10 *2130:28 0.000422048 +49 *2103:18 *2130:18 0.000266098 +50 *2103:18 *2130:20 0.000463618 +51 *2103:18 *2130:24 0.000479242 +52 *2129:14 *2130:18 0 +*RES +1 *2452:pad_gpio_slow_sel *2130:9 3.59493 +2 *2130:9 *2130:10 75.5393 +3 *2130:10 *2130:15 31.9075 +4 *2130:15 *2130:18 34.356 +5 *2130:18 *2130:20 103.325 +6 *2130:20 *2130:22 0.732798 +7 *2130:22 *2130:24 50.734 +8 *2130:24 *2130:26 1.29461 +9 *2130:26 *2130:28 59.0635 +10 *2130:28 *2130:30 0.732798 +11 *2130:30 *2130:32 70.5562 +12 *2130:32 *2474:mprj_io_slow_sel[11] 16.2509 +*END + +*D_NET *2131 0.0453437 +*CONN +*I *2474:mprj_io_slow_sel[12] I *D chip_io_alt +*I *2453:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[12] 5.83195e-05 +2 *2453:pad_gpio_slow_sel 7.68969e-05 +3 *2131:22 0.00348547 +4 *2131:21 0.00358981 +5 *2131:16 0.00070479 +6 *2131:15 0.000849594 +7 *2131:10 0.00377385 +8 *2131:8 0.00354328 +9 *2474:mprj_io_slow_sel[12] *2306:9 0 +10 *2131:8 *2158:10 4.31122e-05 +11 *2131:10 *2453:user_gpio_oeb 8.01847e-05 +12 *2131:10 *2453:user_gpio_out 0 +13 *2131:10 *2332:10 5.46727e-05 +14 *2131:21 *2323:12 5.22351e-05 +15 *2131:22 *2306:9 0.000202487 +16 *2453:resetn *2131:10 6.75696e-05 +17 *2453:serial_data_in *2131:10 1.66771e-05 +18 *2453:serial_load *2131:10 1.66771e-05 +19 *102:60 *2131:10 4.3116e-06 +20 *103:15 *2131:10 0.000416687 +21 *470:11 *2131:10 4.15201e-05 +22 *488:11 *2131:10 1.2366e-05 +23 *502:13 *2131:10 1.66626e-05 +24 *1807:19 *2131:10 0.000460632 +25 *1833:20 *2131:10 0.000130395 +26 *1886:8 *2131:16 0.000387163 +27 *1888:14 *2131:16 0.00348866 +28 *1889:8 *2131:10 0 +29 *1889:12 *2131:10 0 +30 *1889:18 *2131:22 0.0114219 +31 *1889:25 *2131:22 0 +32 *1889:30 *2131:22 0.000388578 +33 *1942:11 *2131:10 0 +34 *1942:22 *2131:15 6.46773e-05 +35 *1995:10 *2131:15 0 +36 *1995:11 *2131:16 0.000210414 +37 *1996:14 *2131:22 0.00161958 +38 *1996:32 *2131:16 0.000291334 +39 *1996:38 *2131:15 0.000532369 +40 *2049:27 *2131:15 1.92459e-05 +41 *2049:27 *2131:16 0.000106031 +42 *2049:30 *2131:16 0.00261158 +43 *2050:13 *2131:10 8.88366e-05 +44 *2050:18 *2131:22 0.00466604 +45 *2077:10 *2131:8 3.84497e-05 +46 *2077:15 *2131:22 0.00169189 +47 *2130:32 *2131:16 1.87125e-05 +*RES +1 *2453:pad_gpio_slow_sel *2131:8 4.31775 +2 *2131:8 *2131:10 100.87 +3 *2131:10 *2131:15 18.5971 +4 *2131:15 *2131:16 56.23 +5 *2131:16 *2131:21 13.051 +6 *2131:21 *2131:22 236.657 +7 *2131:22 *2474:mprj_io_slow_sel[12] 2.55258 +*END + +*D_NET *2132 0.0144929 +*CONN +*I *2474:mprj_io_slow_sel[13] I *D chip_io_alt +*I *2454:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[13] 0.000364615 +2 *2454:pad_gpio_slow_sel 0.000633112 +3 *2132:14 0.00468234 +4 *2132:13 0.00495083 +5 *2474:mprj_io_slow_sel[13] *2307:9 0.000216644 +6 *2454:resetn *2132:13 0 +7 *502:22 *2132:14 0 +8 *1808:14 *2132:14 0.00297624 +9 *1890:14 *2132:14 0 +10 *1892:14 *2132:14 0.00066915 +11 *1997:13 *2132:14 0 +12 *2051:13 *2132:13 0 +13 *2051:14 *2132:14 0 +*RES +1 *2454:pad_gpio_slow_sel *2132:13 27.8223 +2 *2132:13 *2132:14 157.552 +3 *2132:14 *2474:mprj_io_slow_sel[13] 19.4353 +*END + +*D_NET *2133 0.0131347 +*CONN +*I *2474:mprj_io_slow_sel[14] I *D chip_io_alt +*I *2461:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[14] 0.000397283 +2 *2461:pad_gpio_slow_sel 0.000199311 +3 *2133:8 0.00150879 +4 *2133:7 0.00131082 +5 *2474:mprj_io_slow_sel[14] *2308:9 0.00065866 +6 *2133:7 *2160:7 0.000188622 +7 *2133:8 *2160:8 0 +8 *2461:resetn *2133:8 0 +9 *1895:8 *2133:8 0.00389187 +10 *1998:7 *2133:8 0 +11 *2079:7 *2133:7 0.000188622 +12 *2079:8 *2133:8 0 +13 *2106:8 *2133:8 0.0047907 +*RES +1 *2461:pad_gpio_slow_sel *2133:7 4.42635 +2 *2133:7 *2133:8 82.5985 +3 *2133:8 *2474:mprj_io_slow_sel[14] 23.1475 +*END + +*D_NET *2134 0.0112425 +*CONN +*I *2474:mprj_io_slow_sel[15] I *D chip_io_alt +*I *2462:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[15] 0.00014576 +2 *2462:pad_gpio_slow_sel 0.00010798 +3 *2134:8 0.00195329 +4 *2134:7 0.00191551 +5 *2474:mprj_io_slow_sel[15] *2327:11 0.00019711 +6 *2134:7 *2161:7 0.000145223 +7 *2134:8 *2474:porb_h 2.37478e-05 +8 *2134:8 *2327:11 0.000524464 +9 *1783:18 *2134:8 0 +10 *1810:12 *2134:8 0 +11 *1837:8 *2134:8 0.00305119 +12 *1999:7 *2134:8 8.99711e-05 +13 *1999:9 *2134:8 0.00294302 +14 *2053:8 *2134:8 0 +15 *2080:7 *2134:7 0.000145223 +16 *2107:8 *2134:8 0 +*RES +1 *2462:pad_gpio_slow_sel *2134:7 4.12039 +2 *2134:7 *2134:8 89.6578 +3 *2134:8 *2474:mprj_io_slow_sel[15] 13.4779 +*END + +*D_NET *2135 0.013738 +*CONN +*I *2474:mprj_io_slow_sel[16] I *D chip_io_alt +*I *2463:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[16] 0.000409151 +2 *2463:pad_gpio_slow_sel 0.000238875 +3 *2135:8 0.00151705 +4 *2135:7 0.00134678 +5 *2135:7 *2162:7 0.000282519 +6 *2135:8 *2162:8 0.000712893 +7 *490:7 *2135:7 0 +8 *518:8 *2135:8 0 +9 *1838:8 *2135:8 0.00405056 +10 *1901:8 *2474:mprj_io_slow_sel[16] 0.00019711 +11 *1946:8 *2135:8 0.00467566 +12 *2081:7 *2135:7 0.000307382 +*RES +1 *2463:pad_gpio_slow_sel *2135:7 4.96178 +2 *2135:7 *2135:8 90.0731 +3 *2135:8 *2474:mprj_io_slow_sel[16] 19.5785 +*END + +*D_NET *2136 0.0113237 +*CONN +*I *2474:mprj_io_slow_sel[17] I *D chip_io_alt +*I *2464:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[17] 3.65242e-05 +2 *2464:pad_gpio_slow_sel 9.82838e-05 +3 *2136:8 0.00165915 +4 *2136:7 0.00172091 +5 *2136:7 *2163:7 0.000129968 +6 *2136:8 *2294:13 0.00107879 +7 *473:8 *2136:8 0 +8 *491:25 *2136:8 0.0016265 +9 *1904:8 *2136:8 0.000185169 +10 *1906:8 *2136:8 0.0009813 +11 *1906:22 *2136:8 0.000141177 +12 *1974:8 *2136:8 0.00353598 +13 *2001:10 *2136:8 0 +14 *2055:8 *2136:8 0 +15 *2082:10 *2136:7 0.000129968 +*RES +1 *2464:pad_gpio_slow_sel *2136:7 4.04389 +2 *2136:7 *2136:8 93.1875 +3 *2136:8 *2474:mprj_io_slow_sel[17] 1.23354 +*END + +*D_NET *2137 0.0110528 +*CONN +*I *2474:mprj_io_slow_sel[18] I *D chip_io_alt +*I *2465:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[18] 0.00014576 +2 *2465:pad_gpio_slow_sel 0.000167697 +3 *2137:10 0.0020306 +4 *2137:9 0.00205253 +5 *2137:9 *2164:9 0.000112677 +6 *2137:10 *2164:10 0 +7 *2137:10 *2313:15 0 +8 *107:51 *2474:mprj_io_slow_sel[18] 0.00019711 +9 *107:51 *2137:10 0.000684338 +10 *1786:18 *2137:10 0 +11 *1840:27 *2137:10 0.00133683 +12 *1909:10 *2137:10 0 +13 *2002:7 *2137:10 1.3813e-05 +14 *2002:9 *2137:10 0.00416125 +15 *2083:9 *2137:9 0.000150197 +*RES +1 *2465:pad_gpio_slow_sel *2137:9 4.33988 +2 *2137:9 *2137:10 88.8273 +3 *2137:10 *2474:mprj_io_slow_sel[18] 13.4779 +*END + +*D_NET *2138 0.0136189 +*CONN +*I *2474:mprj_io_slow_sel[19] I *D chip_io_alt +*I *2466:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[19] 0.000388188 +2 *2466:pad_gpio_slow_sel 0.00111717 +3 *2138:12 0.000918305 +4 *2138:10 0.00164729 +5 *2474:mprj_io_slow_sel[19] *2165:27 5.04829e-06 +6 *2474:mprj_io_slow_sel[19] *2296:13 0 +7 *2474:mprj_io_slow_sel[19] *2314:19 0.00019711 +8 *2474:mprj_io_slow_sel[19] *2314:20 0 +9 *2138:10 *2165:13 0.000259251 +10 *2138:10 *2165:14 0.00012974 +11 *2138:12 *2165:14 0.00312894 +12 *474:42 *2138:12 0.000347446 +13 *475:16 *2138:10 0 +14 *521:14 *2138:10 0 +15 *1787:8 *2138:12 0.00373293 +16 *1912:14 *2138:12 0.000640187 +17 *1949:8 *2138:10 1.2819e-05 +18 *1949:8 *2138:12 1.3813e-05 +19 *2057:13 *2138:10 0.000461205 +20 *2057:14 *2138:10 0.000343222 +21 *2057:14 *2138:12 1.69447e-05 +22 *2084:7 *2138:10 0.000259251 +23 *2084:8 *2138:10 0 +*RES +1 *2466:pad_gpio_slow_sel *2138:10 34.7802 +2 *2138:10 *2138:12 61.0054 +3 *2138:12 *2474:mprj_io_slow_sel[19] 19.0239 +*END + +*D_NET *2139 0.0210706 +*CONN +*I *2474:mprj_io_slow_sel[1] I *D chip_io_alt +*I *2445:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[1] 0.000544965 +2 *2445:pad_gpio_slow_sel 0.000791876 +3 *2139:14 0.00246599 +4 *2139:13 0.0027129 +5 *2139:13 *2166:13 3.84497e-05 +6 *100:96 *2474:mprj_io_slow_sel[1] 0.000223156 +7 *485:53 *2139:13 8.67462e-05 +8 *499:16 *2139:14 0.00183558 +9 *1788:18 *2139:14 0.00012693 +10 *1891:14 *2139:14 0.00650697 +11 *1902:19 *2139:14 0.000152316 +12 *1902:20 *2139:14 0.00554626 +13 *2058:14 *2139:14 0 +14 *2085:7 *2139:13 3.84497e-05 +*RES +1 *2445:pad_gpio_slow_sel *2139:13 32.2592 +2 *2139:13 *2139:14 148.831 +3 *2139:14 *2474:mprj_io_slow_sel[1] 23.876 +*END + +*D_NET *2140 0.0130243 +*CONN +*I *2474:mprj_io_slow_sel[20] I *D chip_io_alt +*I *2467:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[20] 0.000445372 +2 *2467:pad_gpio_slow_sel 0.000433569 +3 *2140:8 0.00200319 +4 *2140:7 0.00199139 +5 *2474:mprj_io_slow_sel[20] *2297:12 9.4338e-05 +6 *2140:7 *2167:19 4.79986e-05 +7 *494:7 *2140:7 0 +8 *494:8 *2140:8 0 +9 *1789:16 *2140:8 0.00362454 +10 *1816:16 *2140:8 0.000289658 +11 *1843:16 *2140:8 0.00283238 +12 *1915:8 *2140:8 0.00033782 +13 *2086:13 *2140:7 0.000192185 +14 *2086:13 *2140:8 0.000731869 +*RES +1 *2467:pad_gpio_slow_sel *2140:7 4.96178 +2 *2140:7 *2140:8 89.6578 +3 *2140:8 *2474:mprj_io_slow_sel[20] 20.1331 +*END + +*D_NET *2141 0.00994145 +*CONN +*I *2474:mprj_io_slow_sel[21] I *D chip_io_alt +*I *2468:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[21] 0.000459854 +2 *2468:pad_gpio_slow_sel 0.000143111 +3 *2141:8 0.00244083 +4 *2141:7 0.00212409 +5 *2141:7 *2168:7 0.00012971 +6 *2474:mprj_io_dm[64] *2474:mprj_io_slow_sel[21] 8.86525e-05 +7 *477:8 *2141:8 0 +8 *2006:7 *2474:mprj_io_slow_sel[21] 0.000142583 +9 *2006:7 *2141:8 2.01595e-05 +10 *2006:9 *2141:8 0.00426275 +11 *2087:7 *2141:7 0.00012971 +12 *2087:8 *2474:mprj_io_slow_sel[21] 0 +13 *2087:8 *2141:8 0 +*RES +1 *2468:pad_gpio_slow_sel *2141:7 4.12039 +2 *2141:7 *2141:8 79.8994 +3 *2141:8 *2474:mprj_io_slow_sel[21] 15.0101 +*END + +*D_NET *2142 0.00989595 +*CONN +*I *2474:mprj_io_slow_sel[22] I *D chip_io_alt +*I *2469:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[22] 0.00014576 +2 *2469:pad_gpio_slow_sel 0.000180604 +3 *2142:14 0.0010865 +4 *2142:8 0.00286961 +5 *2142:7 0.00210948 +6 *2142:7 *2169:15 0.000195024 +7 *2142:8 *2169:15 0 +8 *477:26 *2142:8 0.000295704 +9 *477:26 *2142:14 0.000313439 +10 *1791:19 *2142:14 0 +11 *1922:8 *2142:8 0 +12 *1922:25 *2474:mprj_io_slow_sel[22] 0.00019711 +13 *1922:25 *2142:14 0.00047597 +14 *2007:9 *2142:8 0 +15 *2007:9 *2142:14 0.00183172 +16 *2088:10 *2142:7 0.000195024 +17 *2115:8 *2142:8 0 +18 *2115:8 *2142:14 0 +*RES +1 *2469:pad_gpio_slow_sel *2142:7 4.42635 +2 *2142:7 *2142:8 52.2851 +3 *2142:8 *2142:14 48.9309 +4 *2142:14 *2474:mprj_io_slow_sel[22] 13.4779 +*END + +*D_NET *2143 0.0160013 +*CONN +*I *2474:mprj_io_slow_sel[23] I *D chip_io_alt +*I *2470:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[23] 0.000800188 +2 *2470:pad_gpio_slow_sel 8.56898e-05 +3 *2143:13 0.0027615 +4 *2143:12 0.00196131 +5 *2143:10 0.00130003 +6 *2143:9 0.00138572 +7 *2474:mprj_io_slow_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000444177 +8 *2143:9 *2170:9 2.56241e-05 +9 *2143:13 *2474:mprj_io_vtrip_sel[23] 0.00111699 +10 *497:10 *2143:10 0.00376968 +11 *1925:8 *2474:mprj_io_slow_sel[23] 0.00216912 +12 *1954:15 *2143:13 0.000181266 +13 *2008:10 *2474:mprj_io_slow_sel[23] 0 +14 *2008:11 *2474:mprj_io_slow_sel[23] 0 +*RES +1 *2470:pad_gpio_slow_sel *2143:9 3.59493 +2 *2143:9 *2143:10 60.1749 +3 *2143:10 *2143:12 4.5 +4 *2143:12 *2143:13 55.6292 +5 *2143:13 *2474:mprj_io_slow_sel[23] 40.9933 +*END + +*D_NET *2144 0.0138915 +*CONN +*I *2474:mprj_io_slow_sel[24] I *D chip_io_alt +*I *2446:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[24] 0.000523429 +2 *2446:pad_gpio_slow_sel 0.000496709 +3 *2144:8 0.00172247 +4 *2144:7 0.00169575 +5 *2144:7 *2474:mprj_io_vtrip_sel[24] 5.04815e-05 +6 *2446:resetn *2144:7 0 +7 *494:20 *2144:8 0.000496457 +8 *514:8 *2144:8 0.00148817 +9 *514:14 *2144:8 0 +10 *1927:15 *2474:mprj_io_slow_sel[24] 0.000223156 +11 *1929:19 *2474:mprj_io_slow_sel[24] 5.04829e-06 +12 *2063:8 *2144:8 0.00508979 +13 *2090:7 *2144:7 0.00024557 +14 *2090:8 *2144:8 0 +15 *2117:10 *2144:7 0 +16 *2117:10 *2144:8 0.000106672 +17 *2117:12 *2144:8 0.00174776 +*RES +1 *2446:pad_gpio_slow_sel *2144:7 5.19125 +2 *2144:7 *2144:8 89.6578 +3 *2144:8 *2474:mprj_io_slow_sel[24] 21.6576 +*END + +*D_NET *2145 0.0133439 +*CONN +*I *2474:mprj_io_slow_sel[25] I *D chip_io_alt +*I *2447:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[25] 0.000566759 +2 *2447:pad_gpio_slow_sel 0.000548893 +3 *2145:8 0.00183619 +4 *2145:7 0.00181832 +5 *2145:7 *2172:7 0.000139564 +6 *2447:resetn_out *2145:7 0 +7 *2474:mprj_io_dm[76] *2474:mprj_io_slow_sel[25] 0.00019711 +8 *2474:mprj_io_oeb[25] *2145:7 1.78739e-05 +9 *102:44 *2145:8 0 +10 *1932:8 *2145:8 0.00100072 +11 *1956:11 *2145:8 0.00223241 +12 *1956:14 *2145:8 0.00242582 +13 *1983:8 *2145:8 8.67703e-05 +14 *2064:7 *2145:7 0 +15 *2064:8 *2145:8 9.97028e-05 +16 *2118:8 *2145:7 0 +17 *2118:8 *2145:8 0 +18 *2118:22 *2145:8 0.00237373 +*RES +1 *2447:pad_gpio_slow_sel *2145:7 5.34423 +2 *2145:7 *2145:8 89.6578 +3 *2145:8 *2474:mprj_io_slow_sel[25] 22.3515 +*END + +*D_NET *2146 0.0142779 +*CONN +*I *2474:mprj_io_slow_sel[26] I *D chip_io_alt +*I *2448:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[26] 0.000258802 +2 *2448:pad_gpio_slow_sel 0.000132912 +3 *2146:21 0.000730108 +4 *2146:15 0.00164803 +5 *2146:10 0.00203563 +6 *2146:9 0.000991821 +7 *2146:10 *2173:10 0.000634651 +8 *2448:pad_gpio_in *2146:10 0 +9 *1795:8 *2474:mprj_io_slow_sel[26] 0.0006713 +10 *1822:8 *2146:21 0.00167049 +11 *1933:8 *2146:21 0.00167049 +12 *1936:12 *2146:10 0.00209916 +13 *1936:15 *2146:15 0 +14 *2065:12 *2146:10 0.00160479 +15 *2092:15 *2146:10 5.35541e-05 +16 *2119:12 *2146:10 7.61581e-05 +*RES +1 *2448:pad_gpio_slow_sel *2146:9 3.708 +2 *2146:9 *2146:10 54.3614 +3 *2146:10 *2146:15 40.2266 +4 *2146:15 *2146:21 41.462 +5 *2146:21 *2474:mprj_io_slow_sel[26] 11.4072 +*END + +*D_NET *2147 0.0230161 +*CONN +*I *2474:mprj_io_slow_sel[2] I *D chip_io_alt +*I *2455:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[2] 0.000122622 +2 *2455:pad_gpio_slow_sel 0.000211328 +3 *2147:14 0.000861984 +4 *2147:13 0.000802351 +5 *2147:8 0.00078033 +6 *2147:7 0.000928669 +7 *2147:7 *2174:13 3.84497e-05 +8 *2455:resetn_out *2147:7 0 +9 *100:80 *2474:mprj_io_slow_sel[2] 9.71323e-06 +10 *100:80 *2147:14 0.00481274 +11 *104:40 *2147:8 2.57465e-06 +12 *104:49 *2147:13 0 +13 *471:40 *2147:8 1.55462e-05 +14 *471:40 *2147:14 0.00477008 +15 *471:46 *2474:mprj_io_slow_sel[2] 0.000148615 +16 *489:35 *2147:8 0.00466969 +17 *503:26 *2147:8 0.00464232 +18 *1796:13 *2147:13 0.000160617 +19 *2093:13 *2147:7 3.84497e-05 +*RES +1 *2455:pad_gpio_slow_sel *2147:7 4.04389 +2 *2147:7 *2147:8 75.9545 +3 *2147:8 *2147:13 10.8326 +4 *2147:13 *2147:14 78.0308 +5 *2147:14 *2474:mprj_io_slow_sel[2] 4.28687 +*END + +*D_NET *2148 0.0187644 +*CONN +*I *2474:mprj_io_slow_sel[3] I *D chip_io_alt +*I *2456:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[3] 0.000503621 +2 *2456:pad_gpio_slow_sel 0.000800661 +3 *2148:26 0.00175974 +4 *2148:25 0.00196443 +5 *2148:16 0.00201486 +6 *2148:15 0.00210721 +7 *2148:15 *2175:13 0.000237476 +8 *2148:16 *2175:14 0 +9 *2148:25 *2474:mprj_io_vtrip_sel[3] 0.000377259 +10 *2455:resetn_out *2474:mprj_io_slow_sel[3] 0.000102556 +11 *472:38 *2148:26 0.000772464 +12 *1565:20 *2148:16 0 +13 *1565:20 *2148:25 0 +14 *1797:22 *2148:26 0.000754971 +15 *1824:20 *2148:26 0 +16 *1851:22 *2148:26 8.88511e-05 +17 *1859:16 *2148:25 1.67037e-05 +18 *1859:18 *2148:25 0.00119223 +19 *1860:23 *2148:26 0.00115315 +20 *1938:18 *2148:26 0 +21 *2013:7 *2474:mprj_io_slow_sel[3] 0.0006713 +22 *2067:15 *2148:15 0.000354276 +23 *2067:16 *2148:16 0.0036651 +24 *2067:16 *2148:25 6.34651e-06 +25 *2094:7 *2148:15 4.10643e-05 +26 *2094:8 *2148:15 8.35615e-06 +27 *2121:13 *2148:15 0.000171753 +*RES +1 *2456:pad_gpio_slow_sel *2148:15 35.9464 +2 *2148:15 *2148:16 59.7597 +3 *2148:16 *2148:25 36.4523 +4 *2148:25 *2148:26 58.7215 +5 *2148:26 *2474:mprj_io_slow_sel[3] 25.5674 +*END + +*D_NET *2149 0.0245934 +*CONN +*I *2474:mprj_io_slow_sel[4] I *D chip_io_alt +*I *2457:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[4] 0.00081171 +2 *2457:pad_gpio_slow_sel 0.000846944 +3 *2149:14 0.00202446 +4 *2149:13 0.00205969 +5 *2149:13 *2176:13 3.84497e-05 +6 *2474:mprj_io_dm[12] *2474:mprj_io_slow_sel[4] 7.08723e-06 +7 *2474:mprj_io_dm[13] *2474:mprj_io_slow_sel[4] 0.00146502 +8 *478:32 *2149:14 0.0013108 +9 *495:56 *2474:mprj_io_slow_sel[4] 0.000658312 +10 *505:14 *2149:14 0.00815295 +11 *1862:16 *2149:14 0.000339253 +12 *2014:18 *2474:mprj_io_slow_sel[4] 4.17605e-05 +13 *2068:16 *2149:14 0.00679856 +14 *2095:7 *2149:13 3.84497e-05 +15 *2095:8 *2149:13 0 +*RES +1 *2457:pad_gpio_slow_sel *2149:13 32.8138 +2 *2149:13 *2149:14 130.145 +3 *2149:14 *2474:mprj_io_slow_sel[4] 45.0683 +*END + +*D_NET *2150 0.0184094 +*CONN +*I *2474:mprj_io_slow_sel[5] I *D chip_io_alt +*I *2458:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[5] 0.000354467 +2 *2458:pad_gpio_slow_sel 0.000214636 +3 *2150:14 0.00184951 +4 *2150:13 0.00178213 +5 *2150:8 0.00211211 +6 *2150:7 0.00203966 +7 *2150:7 *2177:13 3.84497e-05 +8 *2150:8 *2177:13 0 +9 *2150:14 *2177:14 0.000710369 +10 *2458:pad_gpio_in *2150:8 0 +11 *2458:resetn_out *2150:7 0 +12 *2474:mprj_io_dm[17] *2150:14 0.00180431 +13 *2474:mprj_io_oeb[5] *2150:13 0 +14 *108:49 *2150:8 0.000299508 +15 *110:98 *2150:14 0.00198036 +16 *493:51 *2150:14 0.000911944 +17 *495:64 *2474:mprj_io_slow_sel[5] 0 +18 *495:64 *2150:8 0 +19 *1566:29 *2150:8 0 +20 *1799:13 *2150:8 0 +21 *1799:14 *2150:14 0 +22 *1826:13 *2150:8 0 +23 *1826:23 *2150:14 0.000157419 +24 *1853:13 *2150:8 0 +25 *1864:13 *2150:8 0 +26 *1864:20 *2150:14 0 +27 *1865:13 *2150:8 0 +28 *1866:13 *2150:8 0 +29 *1988:8 *2150:8 0.00391851 +30 *2069:13 *2150:8 0 +31 *2096:13 *2150:7 3.84497e-05 +32 *2096:13 *2150:8 0 +33 *2096:14 *2150:8 0.000197571 +34 *2123:13 *2150:8 0 +*RES +1 *2458:pad_gpio_slow_sel *2150:7 4.12039 +2 *2150:7 *2150:8 75.9545 +3 *2150:8 *2150:13 14.1602 +4 *2150:13 *2150:14 77.4079 +5 *2150:14 *2474:mprj_io_slow_sel[5] 17.7754 +*END + +*D_NET *2151 0.025099 +*CONN +*I *2474:mprj_io_slow_sel[6] I *D chip_io_alt +*I *2459:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[6] 6.22015e-05 +2 *2459:pad_gpio_slow_sel 0.000655344 +3 *2151:22 0.000723209 +4 *2151:21 0.00108764 +5 *2151:16 0.00174583 +6 *2151:15 0.00197454 +7 *2151:15 *2178:13 0.000237476 +8 *2151:16 *2178:14 0 +9 *2151:21 *2474:mprj_io_vtrip_sel[6] 6.58333e-05 +10 *2459:pad_gpio_in *2151:15 0 +11 *2459:serial_load *2151:22 0.000708538 +12 *477:32 *2151:16 0.000875832 +13 *495:64 *2151:22 1.28326e-05 +14 *507:18 *2151:22 0.0043545 +15 *1800:14 *2151:16 0.0026848 +16 *1827:14 *2151:16 0.00351317 +17 *1854:14 *2151:22 0.0013897 +18 *1867:14 *2151:16 0.00013615 +19 *1868:14 *2151:16 5.92227e-05 +20 *2016:13 *2151:22 0.00301099 +21 *2016:19 *2151:16 0 +22 *2070:13 *2151:15 0.000774126 +23 *2097:7 *2151:15 4.10643e-05 +24 *2097:8 *2151:15 0 +25 *2124:13 *2151:15 0.000985982 +*RES +1 *2459:pad_gpio_slow_sel *2151:15 35.1131 +2 *2151:15 *2151:16 76.9926 +3 *2151:16 *2151:21 18.0424 +4 *2151:21 *2151:22 71.802 +5 *2151:22 *2474:mprj_io_slow_sel[6] 2.21061 +*END + +*D_NET *2152 0.0514434 +*CONN +*I *2474:mprj_io_slow_sel[7] I *D chip_io_alt +*I *2460:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[7] 0.000825863 +2 *2460:pad_gpio_slow_sel 0.000634001 +3 *2152:14 0.00705504 +4 *2152:13 0.00677893 +5 *2152:10 0.00118375 +6 *2474:mprj_io_slow_sel[7] *2153:16 0.000671315 +7 *2474:mprj_io_slow_sel[7] *2293:9 0 +8 *2152:10 *2179:13 8.71681e-05 +9 *110:104 *2152:10 0.000275937 +10 *110:118 *2152:10 0.00114904 +11 *110:118 *2152:13 1.03403e-05 +12 *111:48 *2152:14 0 +13 *478:32 *2152:14 0.00254347 +14 *496:44 *2152:14 0 +15 *510:14 *2152:14 0.00563371 +16 *1828:23 *2474:mprj_io_slow_sel[7] 5.04829e-06 +17 *1855:14 *2152:14 0.0215134 +18 *1963:8 *2152:10 0.00199571 +19 *1990:8 *2474:mprj_io_slow_sel[7] 0.000102556 +20 *1990:8 *2152:10 0.000100822 +21 *1991:16 *2152:14 0.00033102 +22 *2071:7 *2152:10 0 +23 *2071:8 *2152:10 0 +24 *2098:13 *2152:10 7.28972e-05 +25 *2099:16 *2152:14 0.000473354 +*RES +1 *2460:pad_gpio_slow_sel *2152:10 41.3122 +2 *2152:10 *2152:13 16.8701 +3 *2152:13 *2152:14 344 +4 *2152:14 *2474:mprj_io_slow_sel[7] 31.6681 +*END + +*D_NET *2153 0.0529495 +*CONN +*I *2474:mprj_io_slow_sel[8] I *D chip_io_alt +*I *2449:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[8] 0.000283636 +2 *2449:pad_gpio_slow_sel 0.000506908 +3 *2153:30 0.000845798 +4 *2153:29 0.000746522 +5 *2153:24 0.000819215 +6 *2153:22 0.000661751 +7 *2153:20 0.00168271 +8 *2153:18 0.00168741 +9 *2153:16 0.00248874 +10 *2153:15 0.00296406 +11 *2153:15 *2180:13 3.64213e-05 +12 *2153:16 *2293:9 0.0013295 +13 *2153:16 *2311:13 0.00153821 +14 *2153:20 *2474:mprj_io_vtrip_sel[7] 0 +15 *2449:resetn_out *2153:15 0 +16 *2474:mprj_io_analog_pol[7] *2153:16 2.22735e-05 +17 *2474:mprj_io_analog_sel[7] *2153:16 0.00115167 +18 *2474:mprj_io_holdover[7] *2153:16 0.000457865 +19 *2474:mprj_io_oeb[7] *2153:20 0 +20 *2474:mprj_io_out[7] *2153:16 2.2746e-05 +21 *2474:mprj_io_out[7] *2153:20 0 +22 *2474:mprj_io_slow_sel[7] *2153:16 0.000671315 +23 *110:123 *2153:15 0.000436015 +24 *1871:14 *2153:16 1.23804e-05 +25 *1871:18 *2153:16 0.00321668 +26 *1874:28 *2153:20 0.00175222 +27 *1874:28 *2153:24 0.00363083 +28 *1875:19 *2153:29 5.04829e-06 +29 *1877:14 *2153:30 0.00443346 +30 *1878:14 *2153:30 0.00442628 +31 *1879:19 *2474:mprj_io_slow_sel[8] 0.000110949 +32 *1963:8 *2153:16 0 +33 *1964:16 *2153:30 0.000712721 +34 *1965:8 *2153:24 0.000437916 +35 *1990:8 *2153:16 0.00124035 +36 *2017:10 *2153:16 0.00250808 +37 *2019:11 *2153:24 0.00288812 +38 *2044:7 *2153:20 0.000126228 +39 *2071:8 *2153:16 0.00453366 +40 *2072:15 *2153:15 0.000572602 +41 *2072:18 *2153:16 1.86389e-05 +42 *2072:18 *2153:20 0.00262697 +43 *2072:18 *2153:24 0.000243744 +44 *2073:31 *2474:mprj_io_slow_sel[8] 0.000197125 +45 *2125:20 *2153:16 0.000373541 +46 *2126:16 *2153:20 0.00052917 +*RES +1 *2449:pad_gpio_slow_sel *2153:15 27.1893 +2 *2153:15 *2153:16 164.818 +3 *2153:16 *2153:18 0.732798 +4 *2153:18 *2153:20 87.9358 +5 *2153:20 *2153:22 0.732798 +6 *2153:22 *2153:24 59.1979 +7 *2153:24 *2153:29 12.4964 +8 *2153:29 *2153:30 70.7639 +9 *2153:30 *2474:mprj_io_slow_sel[8] 16.8055 +*END + +*D_NET *2154 0.056253 +*CONN +*I *2474:mprj_io_slow_sel[9] I *D chip_io_alt +*I *2450:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[9] 0.00021742 +2 *2450:pad_gpio_slow_sel 0.000285317 +3 *2154:14 0.00445218 +4 *2154:13 0.00452008 +5 *2154:13 *2181:7 2.72062e-05 +6 *2154:14 *2156:17 0.00049364 +7 *2450:serial_clock_out *2154:13 0.000559658 +8 *1803:27 *2474:mprj_io_slow_sel[9] 8.0872e-06 +9 *1803:27 *2154:14 1.2693e-05 +10 *1874:34 *2154:14 0.00365062 +11 *1878:14 *2154:14 0.00310705 +12 *1879:42 *2154:14 0.000654967 +13 *1965:8 *2154:14 0.000736132 +14 *1967:13 *2154:14 0.000602245 +15 *1994:44 *2474:mprj_io_slow_sel[9] 0.000185867 +16 *2019:11 *2154:14 0.023282 +17 *2072:18 *2154:14 0.00472779 +18 *2100:7 *2154:13 2.72062e-05 +19 *2126:16 *2154:14 0.00168841 +20 *2127:13 *2154:13 0.000730106 +21 *2129:14 *2154:14 0.00628425 +*RES +1 *2450:pad_gpio_slow_sel *2154:13 22.9703 +2 *2154:13 *2154:14 387.601 +3 *2154:14 *2474:mprj_io_slow_sel[9] 14.7764 +*END + +*D_NET *2155 0.0123772 +*CONN +*I *2474:mprj_io_vtrip_sel[0] I *D chip_io_alt +*I *2444:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[0] 9.83336e-05 +2 *2444:pad_gpio_vtrip_sel 0.000584605 +3 *2155:23 0.000769422 +4 *2155:19 0.0012459 +5 *2155:13 0.00115942 +6 *2444:resetn *2155:13 9.52305e-06 +7 *2444:resetn_out *2155:13 0 +8 *2474:mprj_io_holdover[0] *2474:mprj_io_vtrip_sel[0] 0.000202472 +9 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_vtrip_sel[0] 0 +10 *2474:mprj_io_ib_mode_sel[0] *2155:23 0 +11 *2474:mprj_io_out[0] *2155:23 0 +12 *1831:19 *2155:19 3.98241e-05 +13 *1993:21 *2155:19 1.75462e-05 +14 *1993:21 *2155:23 0.00126962 +15 *1993:30 *2155:19 0.00192518 +16 *2047:14 *2155:19 0 +17 *2074:8 *2155:19 0 +18 *2101:14 *2155:19 0.00214202 +19 *2101:14 *2155:23 0.00260666 +20 *2128:13 *2155:13 0.000306624 +*RES +1 *2444:pad_gpio_vtrip_sel *2155:13 27.5408 +2 *2155:13 *2155:19 49.6033 +3 *2155:19 *2155:23 49.6926 +4 *2155:23 *2474:mprj_io_vtrip_sel[0] 8.43268 +*END + +*D_NET *2156 0.0717159 +*CONN +*I *2474:mprj_io_vtrip_sel[10] I *D chip_io_alt +*I *2451:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[10] 0.00209632 +2 *2451:pad_gpio_vtrip_sel 0.00529476 +3 *2156:17 0.00739109 +4 *2474:mprj_io_vtrip_sel[10] *2157:18 0.00065167 +5 *2156:17 *2321:13 0.000231536 +6 *2451:resetn *2156:17 4.46752e-05 +7 *2474:mprj_io_dm[32] *2474:mprj_io_vtrip_sel[10] 0.000243061 +8 *2474:mprj_io_out[10] *2474:mprj_io_vtrip_sel[10] 1.83572e-05 +9 *101:22 *2156:17 0.000481816 +10 *1833:20 *2156:17 0.00012875 +11 *1879:44 *2156:17 0.000315398 +12 *1886:8 *2474:mprj_io_vtrip_sel[10] 0.00276321 +13 *1940:10 *2156:17 0.00624268 +14 *1940:18 *2474:mprj_io_vtrip_sel[10] 0.00604591 +15 *1940:18 *2156:17 0.0137134 +16 *1941:8 *2474:mprj_io_vtrip_sel[10] 0.000638173 +17 *1965:8 *2156:17 0.00732577 +18 *1967:13 *2156:17 0.00422128 +19 *1967:20 *2156:17 0 +20 *1968:14 *2474:mprj_io_vtrip_sel[10] 0.000199522 +21 *1968:14 *2156:17 0.000546645 +22 *1994:11 *2474:mprj_io_vtrip_sel[10] 0.000194519 +23 *1994:11 *2156:17 0.000364708 +24 *2019:11 *2156:17 0.000338167 +25 *2046:7 *2156:17 0.000166143 +26 *2048:14 *2474:mprj_io_vtrip_sel[10] 0.000284387 +27 *2075:7 *2156:17 0 +28 *2103:18 *2474:mprj_io_vtrip_sel[10] 0.00642419 +29 *2103:18 *2156:17 0.00358265 +30 *2129:13 *2156:17 3.17944e-05 +31 *2129:14 *2156:17 0.000968228 +32 *2130:20 *2474:mprj_io_vtrip_sel[10] 0.000273425 +33 *2154:14 *2156:17 0.00049364 +*RES +1 *2451:pad_gpio_vtrip_sel *2156:17 47.2391 +2 *2156:17 *2474:mprj_io_vtrip_sel[10] 34.3619 +*END + +*D_NET *2157 0.0713645 +*CONN +*I *2474:mprj_io_vtrip_sel[11] I *D chip_io_alt +*I *2452:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[11] 0.000543588 +2 *2452:pad_gpio_vtrip_sel 7.65711e-05 +3 *2157:20 0.00336023 +4 *2157:18 0.00464073 +5 *2157:10 0.00339746 +6 *2157:9 0.00164994 +7 *2474:mprj_io_vtrip_sel[10] *2157:18 0.00065167 +8 *1886:8 *2157:18 0.000124478 +9 *1886:8 *2157:20 0.024397 +10 *1887:14 *2157:20 0.00194894 +11 *1889:18 *2474:mprj_io_vtrip_sel[11] 6.11904e-05 +12 *1941:8 *2157:18 0.000450023 +13 *1941:8 *2157:20 0.000630129 +14 *1968:25 *2157:20 0.000111681 +15 *1968:29 *2474:mprj_io_vtrip_sel[11] 0 +16 *1969:17 *2157:20 0.000580672 +17 *2076:29 *2157:20 0.0236384 +18 *2130:10 *2157:10 0.00452251 +19 *2130:15 *2157:18 0.000579288 +*RES +1 *2452:pad_gpio_vtrip_sel *2157:9 3.59493 +2 *2157:9 *2157:10 72.4249 +3 *2157:10 *2157:18 10.1249 +4 *2157:18 *2157:20 51.03 +5 *2157:20 *2474:mprj_io_vtrip_sel[11] 6.98968 +*END + +*D_NET *2158 0.0781792 +*CONN +*I *2474:mprj_io_vtrip_sel[12] I *D chip_io_alt +*I *2453:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[12] 0.000146757 +2 *2453:pad_gpio_vtrip_sel 0.00156446 +3 *2158:15 0.00279669 +4 *2158:10 0.00421439 +5 *2158:15 *2305:13 0.000294474 +6 *2453:resetn *2158:10 3.74656e-05 +7 *2453:serial_clock *2158:10 0 +8 *2474:mprj_io_dm[38] *2158:15 0.000142135 +9 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_vtrip_sel[12] 0 +10 *488:11 *2158:10 0 +11 *1885:14 *2158:10 0.00040864 +12 *1886:8 *2158:10 0.00791016 +13 *1886:8 *2158:15 1.18005e-05 +14 *1969:17 *2158:15 0.0236601 +15 *1995:11 *2158:10 0.000597706 +16 *1995:15 *2158:10 0.000392646 +17 *1996:15 *2158:15 0.00133626 +18 *2049:30 *2158:10 0.000106281 +19 *2050:18 *2158:15 0.00254909 +20 *2077:10 *2158:10 0.00761133 +21 *2077:15 *2158:10 0.000124783 +22 *2077:15 *2158:15 0.0236749 +23 *2130:32 *2158:10 0.000556072 +24 *2131:8 *2158:10 4.31122e-05 +*RES +1 *2453:pad_gpio_vtrip_sel *2158:10 17.6935 +2 *2158:10 *2158:15 47.8379 +3 *2158:15 *2474:mprj_io_vtrip_sel[12] 7.38696 +*END + +*D_NET *2159 0.0144309 +*CONN +*I *2474:mprj_io_vtrip_sel[13] I *D chip_io_alt +*I *2454:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[13] 0.000131707 +2 *2454:pad_gpio_vtrip_sel 2.68958e-05 +3 *2159:19 0.000638359 +4 *2159:13 0.00124973 +5 *2159:8 0.00227461 +6 *2159:7 0.00155843 +7 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_vtrip_sel[13] 0.000171064 +8 *2474:mprj_io_out[13] *2474:mprj_io_vtrip_sel[13] 0.00041084 +9 *1537:23 *2159:13 8.03397e-05 +10 *1537:32 *2159:8 0.000692673 +11 *1835:18 *2159:19 0.00120018 +12 *1893:8 *2159:8 0.000837412 +13 *1970:10 *2159:13 0.000105636 +14 *1997:19 *2159:19 0.000478862 +15 *2051:14 *2159:19 0.00219351 +16 *2078:5 *2159:8 0.000316853 +17 *2078:10 *2159:13 0.00206379 +*RES +1 *2454:pad_gpio_vtrip_sel *2159:7 3.43197 +2 *2159:7 *2159:8 58.9292 +3 *2159:8 *2159:13 38.0082 +4 *2159:13 *2159:19 46.994 +5 *2159:19 *2474:mprj_io_vtrip_sel[13] 7.2547 +*END + +*D_NET *2160 0.0206442 +*CONN +*I *2474:mprj_io_vtrip_sel[14] I *D chip_io_alt +*I *2461:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[14] 0.000397039 +2 *2461:pad_gpio_vtrip_sel 0.000418725 +3 *2160:8 0.00295089 +4 *2160:7 0.00297257 +5 *2461:resetn *2160:7 5.02212e-05 +6 *2461:serial_clock *2160:7 0 +7 *2474:mprj_io_oeb[14] *2474:mprj_io_vtrip_sel[14] 0.000171064 +8 *485:23 *2160:8 0 +9 *516:8 *2160:8 0.0021262 +10 *1809:14 *2160:8 0.00554117 +11 *1836:14 *2160:8 0.00582768 +12 *2079:7 *2160:7 0 +13 *2079:8 *2160:8 0 +14 *2106:21 *2160:8 0 +15 *2133:7 *2160:7 0.000188622 +16 *2133:8 *2160:8 0 +*RES +1 *2461:pad_gpio_vtrip_sel *2160:7 5.03827 +2 *2160:7 *2160:8 160.251 +3 *2160:8 *2474:mprj_io_vtrip_sel[14] 19.7179 +*END + +*D_NET *2161 0.021974 +*CONN +*I *2474:mprj_io_vtrip_sel[15] I *D chip_io_alt +*I *2462:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[15] 0.000281932 +2 *2462:pad_gpio_vtrip_sel 0.000494538 +3 *2161:17 0.00103988 +4 *2161:13 0.00100418 +5 *2161:8 0.00222752 +6 *2161:7 0.00247583 +7 *2161:17 *2309:13 0.00122114 +8 *2462:resetn *2161:7 0.000271938 +9 *2474:mprj_io_inp_dis[15] *2161:13 5.04829e-06 +10 *471:16 *2161:17 0.0022651 +11 *489:7 *2161:7 0 +12 *489:8 *2161:8 0.00699644 +13 *489:13 *2161:13 0 +14 *489:14 *2161:17 0 +15 *1945:16 *2161:8 0.00254589 +16 *2026:9 *2474:mprj_io_vtrip_sel[15] 0.0006713 +17 *2026:10 *2161:17 0.000231442 +18 *2080:7 *2161:7 0 +19 *2080:14 *2161:8 9.66387e-05 +20 *2134:7 *2161:7 0.000145223 +*RES +1 *2462:pad_gpio_vtrip_sel *2161:7 5.5737 +2 *2161:7 *2161:8 115.403 +3 *2161:8 *2161:13 13.6056 +4 *2161:13 *2161:17 47.4826 +5 *2161:17 *2474:mprj_io_vtrip_sel[15] 16.469 +*END + +*D_NET *2162 0.0222694 +*CONN +*I *2474:mprj_io_vtrip_sel[16] I *D chip_io_alt +*I *2463:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[16] 0.000592292 +2 *2463:pad_gpio_vtrip_sel 0.000219817 +3 *2162:20 0.000976486 +4 *2162:19 0.000454047 +5 *2162:16 0.000764381 +6 *2162:8 0.00260757 +7 *2162:7 0.00213286 +8 *2162:16 *2310:14 0 +9 *2463:resetn *2162:7 0.000210918 +10 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_vtrip_sel[16] 0.00100478 +11 *105:8 *2162:16 0.00176959 +12 *472:8 *2162:16 0.000358272 +13 *472:8 *2162:20 0.0029677 +14 *490:7 *2162:7 0 +15 *518:8 *2162:8 0 +16 *1838:8 *2162:8 0.00311799 +17 *1900:8 *2162:8 0 +18 *1901:8 *2162:8 0 +19 *2081:19 *2162:16 0.00101426 +20 *2081:19 *2162:19 0.000111722 +21 *2081:20 *2162:20 0.0029713 +22 *2135:7 *2162:7 0.000282519 +23 *2135:8 *2162:8 0.000712893 +*RES +1 *2463:pad_gpio_vtrip_sel *2162:7 4.8088 +2 *2162:7 *2162:8 77.6155 +3 *2162:8 *2162:16 49.2868 +4 *2162:16 *2162:19 6.3326 +5 *2162:19 *2162:20 47.5097 +6 *2162:20 *2474:mprj_io_vtrip_sel[16] 31.0269 +*END + +*D_NET *2163 0.0207814 +*CONN +*I *2474:mprj_io_vtrip_sel[17] I *D chip_io_alt +*I *2464:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[17] 7.53077e-05 +2 *2464:pad_gpio_vtrip_sel 0.000295701 +3 *2163:23 0.00108764 +4 *2163:14 0.00157191 +5 *2163:13 0.000629765 +6 *2163:8 0.0011196 +7 *2163:7 0.00134511 +8 *2464:resetn *2163:7 0.000286481 +9 *106:13 *2163:8 0 +10 *473:8 *2163:23 0 +11 *491:28 *2163:14 1.2819e-05 +12 *491:28 *2163:23 0.000383673 +13 *519:21 *2163:14 0.000449909 +14 *519:22 *2163:8 0.00125734 +15 *1785:16 *2163:8 0.00176033 +16 *1839:36 *2163:8 0.00106635 +17 *1839:36 *2163:14 0.00365753 +18 *1839:36 *2163:23 0.000246295 +19 *1904:16 *2163:14 0.00230639 +20 *1905:8 *2163:14 1.3807e-05 +21 *1906:22 *2163:23 6.68049e-05 +22 *1947:23 *2163:14 0.00039759 +23 *1947:23 *2163:23 0.00110851 +24 *1974:24 *2474:mprj_io_vtrip_sel[17] 8.1645e-05 +25 *2082:10 *2163:7 0 +26 *2082:10 *2163:8 4.61488e-05 +27 *2109:8 *2163:8 0.00137969 +28 *2109:16 *2163:13 5.04829e-06 +29 *2136:7 *2163:7 0.000129968 +*RES +1 *2464:pad_gpio_vtrip_sel *2163:7 4.88529 +2 *2163:7 *2163:8 70.9715 +3 *2163:8 *2163:13 10.278 +4 *2163:13 *2163:14 58.8559 +5 *2163:14 *2163:23 48.9859 +6 *2163:23 *2474:mprj_io_vtrip_sel[17] 2.78463 +*END + +*D_NET *2164 0.0227915 +*CONN +*I *2474:mprj_io_vtrip_sel[18] I *D chip_io_alt +*I *2465:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[18] 0.000550106 +2 *2465:pad_gpio_vtrip_sel 0.000295288 +3 *2164:16 0.00135199 +4 *2164:15 0.00112214 +5 *2164:10 0.00199537 +6 *2164:9 0.00197041 +7 *2465:resetn *2164:9 0.000132991 +8 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_vtrip_sel[18] 0.000171064 +9 *2474:mprj_io_inp_dis[18] *2164:16 0.000253824 +10 *474:14 *2164:10 0 +11 *492:10 *2164:16 0.000332901 +12 *520:16 *2164:16 0.00579147 +13 *1786:15 *2164:15 5.04829e-06 +14 *1908:10 *2164:10 1.3807e-05 +15 *1909:10 *2164:10 0 +16 *1948:22 *2164:10 0.000524464 +17 *2083:9 *2164:9 0 +18 *2083:10 *2164:16 0.00509839 +19 *2110:10 *2164:10 0.00306955 +20 *2137:9 *2164:9 0.000112677 +21 *2137:10 *2164:10 0 +*RES +1 *2465:pad_gpio_vtrip_sel *2164:9 4.83873 +2 *2164:9 *2164:10 67.2342 +3 *2164:10 *2164:15 14.7148 +4 *2164:15 *2164:16 92.7722 +5 *2164:16 *2474:mprj_io_vtrip_sel[18] 23.0455 +*END + +*D_NET *2165 0.0230535 +*CONN +*I *2474:mprj_io_vtrip_sel[19] I *D chip_io_alt +*I *2466:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[19] 0.000389494 +2 *2466:pad_gpio_vtrip_sel 0.00131224 +3 *2165:28 0.00172106 +4 *2165:27 0.00165585 +5 *2165:14 0.000827182 +6 *2165:13 0.00181513 +7 *2466:resetn *2165:13 0.000406155 +8 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_vtrip_sel[19] 6.8952e-05 +9 *2474:mprj_io_oeb[19] *2474:mprj_io_vtrip_sel[19] 0 +10 *2474:mprj_io_slow_sel[19] *2165:27 5.04829e-06 +11 *474:42 *2165:14 0.000357167 +12 *475:16 *2165:28 0 +13 *493:22 *2165:28 0 +14 *521:14 *2165:13 0.00022036 +15 *1787:8 *2165:28 0.00121846 +16 *1814:8 *2165:27 0.00065321 +17 *1912:14 *2165:28 0.00310365 +18 *1949:8 *2165:14 0.00340576 +19 *1949:8 *2165:27 0.00065321 +20 *1976:13 *2165:27 3.24705e-06 +21 *2057:13 *2165:13 5.04829e-06 +22 *2057:29 *2165:28 0.000327995 +23 *2084:7 *2165:13 0 +24 *2084:8 *2165:28 0 +25 *2111:7 *2165:13 0 +26 *2111:8 *2165:13 0.00121359 +27 *2111:14 *2165:28 0.000172797 +28 *2138:10 *2165:13 0.000259251 +29 *2138:10 *2165:14 0.00012974 +30 *2138:12 *2165:14 0.00312894 +*RES +1 *2466:pad_gpio_vtrip_sel *2165:13 46.1341 +2 *2165:13 *2165:14 54.9843 +3 *2165:14 *2165:27 33.0615 +4 *2165:27 *2165:28 67.4418 +5 *2165:28 *2474:mprj_io_vtrip_sel[19] 18.748 +*END + +*D_NET *2166 0.0129704 +*CONN +*I *2474:mprj_io_vtrip_sel[1] I *D chip_io_alt +*I *2445:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[1] 0.000293915 +2 *2445:pad_gpio_vtrip_sel 0.000401877 +3 *2166:14 0.0015279 +4 *2166:13 0.00163586 +5 *2445:resetn *2166:13 3.84497e-05 +6 *467:55 *2474:mprj_io_vtrip_sel[1] 3.87462e-05 +7 *467:55 *2166:14 0 +8 *485:53 *2166:13 1.38204e-05 +9 *485:60 *2474:mprj_io_vtrip_sel[1] 0 +10 *1913:23 *2166:14 0.00185131 +11 *1950:17 *2166:14 0.00258272 +12 *2004:11 *2166:14 2.79326e-05 +13 *2058:14 *2166:14 0 +14 *2112:14 *2166:14 0.00451942 +15 *2139:13 *2166:13 3.84497e-05 +*RES +1 *2445:pad_gpio_vtrip_sel *2166:13 21.3121 +2 *2166:13 *2166:14 89.035 +3 *2166:14 *2474:mprj_io_vtrip_sel[1] 15.5597 +*END + +*D_NET *2167 0.0241319 +*CONN +*I *2474:mprj_io_vtrip_sel[20] I *D chip_io_alt +*I *2467:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[20] 0.000624495 +2 *2467:pad_gpio_vtrip_sel 0.00203763 +3 *2167:19 0.00266213 +4 *2474:mprj_io_holdover[20] *2474:mprj_io_vtrip_sel[20] 0.000144219 +5 *2474:mprj_io_holdover[20] *2167:19 0.00796673 +6 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_vtrip_sel[20] 0.000726163 +7 *2474:mprj_io_ib_mode_sel[20] *2167:19 0.000717549 +8 *474:48 *2474:mprj_io_vtrip_sel[20] 0.000642796 +9 *494:7 *2167:19 0 +10 *522:32 *2474:mprj_io_vtrip_sel[20] 0.00028775 +11 *522:32 *2167:19 0 +12 *1916:18 *2474:mprj_io_vtrip_sel[20] 0.000796463 +13 *1916:18 *2167:19 0.00633676 +14 *2005:11 *2167:19 0.000844182 +15 *2113:16 *2167:19 0.000297056 +16 *2140:7 *2167:19 4.79986e-05 +*RES +1 *2467:pad_gpio_vtrip_sel *2167:19 23.0291 +2 *2167:19 *2474:mprj_io_vtrip_sel[20] 44.3093 +*END + +*D_NET *2168 0.0232382 +*CONN +*I *2474:mprj_io_vtrip_sel[21] I *D chip_io_alt +*I *2468:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[21] 0.0004324 +2 *2468:pad_gpio_vtrip_sel 0.0003477 +3 *2168:8 0.00259527 +4 *2168:7 0.00251057 +5 *2468:resetn *2168:7 0.000307124 +6 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_vtrip_sel[21] 0 +7 *2474:mprj_io_oeb[21] *2474:mprj_io_vtrip_sel[21] 0.000332702 +8 *477:8 *2168:8 0.000590812 +9 *494:20 *2168:8 0.00143652 +10 *523:8 *2168:8 0.000434278 +11 *1817:8 *2168:8 0.00562108 +12 *1952:14 *2168:8 0 +13 *2087:7 *2168:7 0 +14 *2087:8 *2168:8 0 +15 *2114:7 *2168:7 0 +16 *2114:8 *2168:8 0.00850005 +17 *2141:7 *2168:7 0.00012971 +*RES +1 *2468:pad_gpio_vtrip_sel *2168:7 5.03827 +2 *2168:7 *2168:8 157.759 +3 *2168:8 *2474:mprj_io_vtrip_sel[21] 22.3948 +*END + +*D_NET *2169 0.0223411 +*CONN +*I *2474:mprj_io_vtrip_sel[22] I *D chip_io_alt +*I *2469:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[22] 0.000679715 +2 *2469:pad_gpio_vtrip_sel 0.000984571 +3 *2169:16 0.00228206 +4 *2169:15 0.00258692 +5 *2169:16 *2299:21 0 +6 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_vtrip_sel[22] 0 +7 *2474:mprj_io_out[22] *2474:mprj_io_vtrip_sel[22] 1.1573e-05 +8 *2474:mprj_io_out[22] *2169:16 0 +9 *494:20 *2169:16 0.00109157 +10 *524:22 *2169:15 0.00140225 +11 *1818:16 *2169:16 0.00436911 +12 *1920:8 *2169:16 0.00573543 +13 *1921:8 *2169:16 0.000721742 +14 *1922:33 *2169:16 7.77309e-06 +15 *1953:17 *2169:16 0.00138822 +16 *2061:14 *2169:15 0.000371234 +17 *2061:16 *2169:16 3.10643e-05 +18 *2088:10 *2169:15 0 +19 *2088:12 *2169:16 0.000249644 +20 *2115:8 *2169:15 0 +21 *2115:8 *2169:16 0.000233149 +22 *2142:7 *2169:15 0.000195024 +23 *2142:8 *2169:15 0 +*RES +1 *2469:pad_gpio_vtrip_sel *2169:15 39.3724 +2 *2169:15 *2169:16 127.653 +3 *2169:16 *2474:mprj_io_vtrip_sel[22] 28.5891 +*END + +*D_NET *2170 0.0257906 +*CONN +*I *2474:mprj_io_vtrip_sel[23] I *D chip_io_alt +*I *2470:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[23] 0.00415117 +2 *2470:pad_gpio_vtrip_sel 0.000116636 +3 *2170:10 0.00548574 +4 *2170:9 0.0014512 +5 *2474:mprj_io_vtrip_sel[23] *2318:9 0.000308715 +6 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000355832 +7 *2474:mprj_io_out[23] *2474:mprj_io_vtrip_sel[23] 0.00598936 +8 *2474:mprj_io_slow_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000444177 +9 *477:26 *2474:mprj_io_vtrip_sel[23] 0.00209855 +10 *497:10 *2170:10 0.00385617 +11 *1925:8 *2474:mprj_io_vtrip_sel[23] 0.000390434 +12 *2143:9 *2170:9 2.56241e-05 +13 *2143:13 *2474:mprj_io_vtrip_sel[23] 0.00111699 +*RES +1 *2470:pad_gpio_vtrip_sel *2170:9 3.708 +2 *2170:9 *2170:10 61.6283 +3 *2170:10 *2474:mprj_io_vtrip_sel[23] 31.8089 +*END + +*D_NET *2171 0.0212245 +*CONN +*I *2474:mprj_io_vtrip_sel[24] I *D chip_io_alt +*I *2446:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[24] 0.00387041 +2 *2446:pad_gpio_vtrip_sel 0.00387041 +3 *2446:resetn *2474:mprj_io_vtrip_sel[24] 5.04815e-05 +4 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_vtrip_sel[24] 0 +5 *101:63 *2474:mprj_io_vtrip_sel[24] 0.00653006 +6 *477:26 *2474:mprj_io_vtrip_sel[24] 0 +7 *486:44 *2474:mprj_io_vtrip_sel[24] 0.00647548 +8 *2009:11 *2474:mprj_io_vtrip_sel[24] 0.000377203 +9 *2144:7 *2474:mprj_io_vtrip_sel[24] 5.04815e-05 +*RES +1 *2446:pad_gpio_vtrip_sel *2474:mprj_io_vtrip_sel[24] 28.7945 +*END + +*D_NET *2172 0.0206091 +*CONN +*I *2474:mprj_io_vtrip_sel[25] I *D chip_io_alt +*I *2447:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[25] 0.000398518 +2 *2447:pad_gpio_vtrip_sel 0.000149094 +3 *2172:14 0.0019584 +4 *2172:13 0.00187498 +5 *2172:8 0.00162706 +6 *2172:7 0.00146105 +7 *2447:resetn *2172:7 6.59948e-05 +8 *2447:resetn_out *2172:7 0 +9 *2448:serial_load *2172:8 0.000271272 +10 *2474:mprj_io_ib_mode_sel[25] *2474:mprj_io_vtrip_sel[25] 0 +11 *515:8 *2474:mprj_io_vtrip_sel[25] 4.76719e-05 +12 *515:12 *2172:8 0.0033645 +13 *1794:16 *2172:14 0.00351467 +14 *1848:16 *2172:14 0.000337806 +15 *1931:8 *2172:8 0 +16 *1983:8 *2172:14 0.00109736 +17 *2064:8 *2172:14 0.00429605 +18 *2118:8 *2172:8 0 +19 *2118:21 *2172:13 5.04829e-06 +20 *2145:7 *2172:7 0.000139564 +*RES +1 *2447:pad_gpio_vtrip_sel *2172:7 4.12039 +2 *2172:7 *2172:8 55.1919 +3 *2172:8 *2172:13 15.824 +4 *2172:13 *2172:14 105.23 +5 *2172:14 *2474:mprj_io_vtrip_sel[25] 19.8572 +*END + +*D_NET *2173 0.020419 +*CONN +*I *2474:mprj_io_vtrip_sel[26] I *D chip_io_alt +*I *2448:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[26] 0.00332384 +2 *2448:pad_gpio_vtrip_sel 9.90234e-05 +3 *2173:10 0.0051222 +4 *2173:9 0.00189738 +5 *2474:mprj_io_analog_sel[26] *2474:mprj_io_vtrip_sel[26] 0.000242864 +6 *2474:mprj_io_dm[80] *2474:mprj_io_vtrip_sel[26] 0.000121586 +7 *2474:mprj_io_out[26] *2474:mprj_io_vtrip_sel[26] 0.000182583 +8 *1795:8 *2474:mprj_io_vtrip_sel[26] 0.000272159 +9 *1936:12 *2173:10 0.00210743 +10 *1984:21 *2474:mprj_io_vtrip_sel[26] 0.0061949 +11 *2011:7 *2474:mprj_io_vtrip_sel[26] 0.000220432 +12 *2146:10 *2173:10 0.000634651 +*RES +1 *2448:pad_gpio_vtrip_sel *2173:9 3.708 +2 *2173:9 *2173:10 69.9334 +3 *2173:10 *2474:mprj_io_vtrip_sel[26] 26.0523 +*END + +*D_NET *2174 0.0115672 +*CONN +*I *2474:mprj_io_vtrip_sel[2] I *D chip_io_alt +*I *2455:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[2] 0.000371569 +2 *2455:pad_gpio_vtrip_sel 0.00058926 +3 *2174:14 0.00164761 +4 *2174:13 0.0018653 +5 *2455:resetn *2174:13 3.84497e-05 +6 *104:40 *2174:13 0 +7 *471:40 *2474:mprj_io_vtrip_sel[2] 0.000131612 +8 *471:40 *2174:14 0 +9 *1935:23 *2474:mprj_io_vtrip_sel[2] 5.04829e-06 +10 *1958:14 *2174:14 0.0041934 +11 *2066:14 *2174:14 0.00218055 +12 *2093:13 *2174:13 0.000105936 +13 *2093:14 *2174:14 0.000178513 +14 *2120:14 *2174:14 0.000221531 +15 *2147:7 *2174:13 3.84497e-05 +*RES +1 *2455:pad_gpio_vtrip_sel *2174:13 26.7131 +2 *2174:13 *2174:14 85.2977 +3 *2174:14 *2474:mprj_io_vtrip_sel[2] 16.8705 +*END + +*D_NET *2175 0.011738 +*CONN +*I *2474:mprj_io_vtrip_sel[3] I *D chip_io_alt +*I *2456:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[3] 0.000372389 +2 *2456:pad_gpio_vtrip_sel 0.000743522 +3 *2175:14 0.0023216 +4 *2175:13 0.00269274 +5 *2456:resetn *2175:13 3.84497e-05 +6 *2474:mprj_io_out[3] *2474:mprj_io_vtrip_sel[3] 0.00058327 +7 *105:32 *2175:13 0.000107108 +8 *1565:20 *2175:14 0 +9 *1797:22 *2175:14 0 +10 *1824:17 *2175:14 0 +11 *1824:20 *2175:14 0.00104897 +12 *1859:16 *2175:14 8.53782e-05 +13 *1938:16 *2175:14 0.00277594 +14 *1938:18 *2175:14 2.6506e-05 +15 *2067:16 *2175:14 0.000273439 +16 *2094:8 *2175:13 5.39868e-05 +17 *2121:14 *2175:14 0 +18 *2148:15 *2175:13 0.000237476 +19 *2148:16 *2175:14 0 +20 *2148:25 *2474:mprj_io_vtrip_sel[3] 0.000377259 +*RES +1 *2456:pad_gpio_vtrip_sel *2175:13 33.0869 +2 *2175:13 *2175:14 82.3909 +3 *2175:14 *2474:mprj_io_vtrip_sel[3] 20.9664 +*END + +*D_NET *2176 0.0146443 +*CONN +*I *2474:mprj_io_vtrip_sel[4] I *D chip_io_alt +*I *2457:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[4] 0.000364599 +2 *2457:pad_gpio_vtrip_sel 0.000365075 +3 *2176:14 0.00130054 +4 *2176:13 0.00130101 +5 *2457:resetn *2176:13 0.000155558 +6 *2474:mprj_io_ib_mode_sel[4] *2474:mprj_io_vtrip_sel[4] 0.000194827 +7 *108:47 *2176:14 0.000988756 +8 *110:98 *2176:14 0.005214 +9 *1960:14 *2474:mprj_io_vtrip_sel[4] 0.00045785 +10 *1987:14 *2176:14 0.00419099 +11 *2122:14 *2176:14 7.26852e-05 +12 *2149:13 *2176:13 3.84497e-05 +*RES +1 *2457:pad_gpio_vtrip_sel *2176:13 21.4514 +2 *2176:13 *2176:14 84.0519 +3 *2176:14 *2474:mprj_io_vtrip_sel[4] 21.1362 +*END + +*D_NET *2177 0.014287 +*CONN +*I *2474:mprj_io_vtrip_sel[5] I *D chip_io_alt +*I *2458:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[5] 0.000325173 +2 *2458:pad_gpio_vtrip_sel 0.000529092 +3 *2177:14 0.00130329 +4 *2177:13 0.00150721 +5 *2458:resetn *2177:13 3.84497e-05 +6 *2474:mprj_io_dm[17] *2177:14 2.6506e-05 +7 *110:98 *2177:14 0.00529365 +8 *493:51 *2177:14 0.000880813 +9 *1866:14 *2177:14 0.00346392 +10 *1961:14 *2474:mprj_io_vtrip_sel[5] 3.87462e-05 +11 *2069:14 *2177:14 0 +12 *2096:13 *2177:13 0.000131328 +13 *2150:7 *2177:13 3.84497e-05 +14 *2150:8 *2177:13 0 +15 *2150:14 *2177:14 0.000710369 +*RES +1 *2458:pad_gpio_vtrip_sel *2177:13 25.6039 +2 *2177:13 *2177:14 85.2977 +3 *2177:14 *2474:mprj_io_vtrip_sel[5] 16.1144 +*END + +*D_NET *2178 0.0125562 +*CONN +*I *2474:mprj_io_vtrip_sel[6] I *D chip_io_alt +*I *2459:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[6] 0.000346458 +2 *2459:pad_gpio_vtrip_sel 0.000664389 +3 *2178:14 0.0014535 +4 *2178:13 0.00177143 +5 *2459:resetn *2178:13 3.84497e-05 +6 *2459:resetn *2178:14 0.000617283 +7 *110:98 *2178:14 7.70741e-05 +8 *507:18 *2474:mprj_io_vtrip_sel[6] 0.000133144 +9 *507:18 *2178:13 0 +10 *2016:19 *2178:14 0.00225214 +11 *2043:13 *2178:14 0.000418796 +12 *2070:13 *2178:13 0 +13 *2070:14 *2178:14 0.00442542 +14 *2097:8 *2178:13 3.61259e-05 +15 *2124:14 *2178:14 1.87125e-05 +16 *2151:15 *2178:13 0.000237476 +17 *2151:16 *2178:14 0 +18 *2151:21 *2474:mprj_io_vtrip_sel[6] 6.58333e-05 +*RES +1 *2459:pad_gpio_vtrip_sel *2178:13 29.2047 +2 *2178:13 *2178:14 82.3909 +3 *2178:14 *2474:mprj_io_vtrip_sel[6] 16.8949 +*END + +*D_NET *2179 0.0678254 +*CONN +*I *2474:mprj_io_vtrip_sel[7] I *D chip_io_alt +*I *2460:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[7] 0.000602722 +2 *2460:pad_gpio_vtrip_sel 0.000659131 +3 *2179:14 0.00780575 +4 *2179:13 0.00786216 +5 *2179:14 *2180:14 0.00141636 +6 *2460:resetn_out *2179:13 0 +7 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_vtrip_sel[7] 0 +8 *477:35 *2179:13 0.000184544 +9 *478:32 *2179:14 5.58573e-06 +10 *1801:14 *2179:14 0.00301963 +11 *1802:14 *2179:14 0.000751736 +12 *1856:19 *2179:14 0.0062272 +13 *1872:14 *2179:14 0.00250173 +14 *1873:20 *2179:14 0.000800538 +15 *1875:14 *2179:14 0.000117376 +16 *1963:8 *2179:13 0.00139699 +17 *1964:16 *2179:14 0.000573166 +18 *1990:8 *2179:14 0 +19 *2098:13 *2179:13 0.00229407 +20 *2098:14 *2179:14 0.029532 +21 *2125:14 *2179:14 0.00198756 +22 *2152:10 *2179:13 8.71681e-05 +23 *2153:20 *2474:mprj_io_vtrip_sel[7] 0 +*RES +1 *2460:pad_gpio_vtrip_sel *2179:13 31.4664 +2 *2179:13 *2179:14 58.8026 +3 *2179:14 *2474:mprj_io_vtrip_sel[7] 7.40493 +*END + +*D_NET *2180 0.0745831 +*CONN +*I *2474:mprj_io_vtrip_sel[8] I *D chip_io_alt +*I *2449:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[8] 0.000462753 +2 *2449:pad_gpio_vtrip_sel 0.000561918 +3 *2180:14 0.00456144 +4 *2180:13 0.00466061 +5 *2180:14 *2181:8 9.11676e-05 +6 *2449:resetn *2180:13 3.18067e-05 +7 *2449:resetn_out *2180:13 0.000120971 +8 *2449:serial_clock_out *2180:13 1.7455e-05 +9 *2474:mprj_io_holdover[8] *2474:mprj_io_vtrip_sel[8] 5.3432e-06 +10 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_vtrip_sel[8] 0 +11 *2474:mprj_io_out[8] *2474:mprj_io_vtrip_sel[8] 0.00015927 +12 *110:123 *2180:13 0.000369539 +13 *477:46 *2180:13 0.000122412 +14 *495:87 *2180:13 0.000158426 +15 *1874:34 *2180:14 0.000559692 +16 *1875:14 *2180:14 0.000490766 +17 *1878:14 *2180:14 0.000323246 +18 *1964:16 *2180:14 0.0256478 +19 *1965:8 *2180:14 1.83572e-05 +20 *1990:8 *2180:14 4.52006e-05 +21 *2017:13 *2180:14 0.000437916 +22 *2072:18 *2180:14 0.00261262 +23 *2073:44 *2474:mprj_io_vtrip_sel[8] 0.000656134 +24 *2125:14 *2180:14 0.000437916 +25 *2126:15 *2180:13 0.000258632 +26 *2126:16 *2180:14 0.0303189 +27 *2153:15 *2180:13 3.64213e-05 +28 *2179:14 *2180:14 0.00141636 +*RES +1 *2449:pad_gpio_vtrip_sel *2180:13 15.6432 +2 *2180:13 *2180:14 60.8601 +3 *2180:14 *2474:mprj_io_vtrip_sel[8] 15.4156 +*END + +*D_NET *2181 0.0743254 +*CONN +*I *2474:mprj_io_vtrip_sel[9] I *D chip_io_alt +*I *2450:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[9] 0.000430673 +2 *2450:pad_gpio_vtrip_sel 0.000896649 +3 *2181:8 0.00505334 +4 *2181:7 0.00551932 +5 *2450:resetn_out *2181:7 6.19346e-06 +6 *2474:mprj_io_out[10] *2181:8 4.8144e-05 +7 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_vtrip_sel[9] 8.32935e-05 +8 *101:22 *2474:mprj_io_vtrip_sel[9] 7.88241e-05 +9 *1829:14 *2181:8 0.00142495 +10 *1830:14 *2181:8 0.000445769 +11 *1856:19 *2181:8 0.0107257 +12 *1877:14 *2181:8 0.000851878 +13 *1878:14 *2181:8 0.000550239 +14 *1879:26 *2181:8 0.000421174 +15 *1882:14 *2181:8 0.00012951 +16 *1940:10 *2181:8 0.000327914 +17 *1964:16 *2181:8 0.000519516 +18 *1965:8 *2181:8 0 +19 *1992:8 *2181:8 0.0317917 +20 *2048:14 *2181:8 0.00187368 +21 *2100:7 *2181:7 0 +22 *2102:10 *2181:8 0.0130286 +23 *2154:13 *2181:7 2.72062e-05 +24 *2180:14 *2181:8 9.11676e-05 +*RES +1 *2450:pad_gpio_vtrip_sel *2181:7 2.08436 +2 *2181:7 *2181:8 63.1462 +3 *2181:8 *2474:mprj_io_vtrip_sel[9] 6.08268 +*END + +*D_NET *2182 0.104848 +*CONN +*I *2473:wb_rst_i I *D user_analog_project_wrapper +*I *2472:user_reset O *D mgmt_protect +*CAP +1 *2473:wb_rst_i 0.00203468 +2 *2472:user_reset 0.00127131 +3 *2182:10 0.00203468 +4 *2182:8 0.0142005 +5 *2182:7 0.0154718 +6 *2182:8 *2196:8 0.015635 +7 *2472:mprj_ack_i_user *2182:7 0 +8 *989:5 *2182:7 0 +9 *1613:8 *2182:8 0.0312624 +10 *1626:8 *2182:8 0.000309013 +11 *1645:9 *2182:7 0 +12 *1648:7 *2182:7 0 +13 *1758:8 *2182:8 0.0226282 +*RES +1 *2472:user_reset *2182:7 33.8608 +2 *2182:7 *2182:8 757.757 +3 *2182:8 *2182:10 4.5 +4 *2182:10 *2473:wb_rst_i 54.6912 +*END + +*D_NET *2183 0.348162 +*CONN +*I *2471:wb_sel_i[0] I *D housekeeping +*I *2472:mprj_sel_o_core[0] I *D mgmt_protect +*I *2478:mprj_sel_o[0] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_sel_i[0] 0.00123357 +2 *2472:mprj_sel_o_core[0] 0.00127096 +3 *2478:mprj_sel_o[0] 1.28869e-05 +4 *2183:39 0.0066029 +5 *2183:37 0.00643685 +6 *2183:19 0.00830034 +7 *2183:18 0.00702939 +8 *2183:16 0.00274092 +9 *2183:14 0.00312286 +10 *2183:5 0.00146236 +11 *2471:wb_sel_i[0] *2184:28 0 +12 *2183:19 *2185:10 0.0715005 +13 *2183:39 *2185:27 0.0802503 +14 *2183:39 *2195:27 0.0811001 +15 *2472:mprj_adr_o_core[1] *2472:mprj_sel_o_core[0] 0 +16 *2472:mprj_dat_o_core[0] *2472:mprj_sel_o_core[0] 0 +17 *100:77 *2183:37 0.00027253 +18 *480:17 *2183:37 0.000664751 +19 *609:13 *2472:mprj_sel_o_core[0] 0.000138841 +20 *1257:16 *2183:19 0.000419943 +21 *1271:16 *2183:19 0.00203988 +22 *1582:16 *2183:19 0 +23 *1592:7 *2183:14 0 +24 *1592:7 *2183:16 0 +25 *1592:23 *2183:16 0 +26 *1713:7 *2183:14 0 +27 *1713:7 *2183:16 0 +28 *1713:10 *2183:19 0.0727757 +29 *1713:24 *2183:14 0 +30 *1724:27 *2183:37 0.000683502 +31 *1735:24 *2183:19 0.000102747 +32 *1737:28 *2471:wb_sel_i[0] 0 +*RES +1 *2478:mprj_sel_o[0] *2183:5 0.366399 +2 *2183:5 *2183:14 7.71881 +3 *2183:14 *2183:16 59.1368 +4 *2183:16 *2183:18 3.36879 +5 *2183:18 *2183:19 93.5209 +6 *2183:19 *2472:mprj_sel_o_core[0] 30.8609 +7 *2183:5 *2183:37 42.2538 +8 *2183:37 *2183:39 851.485 +9 *2183:39 *2471:wb_sel_i[0] 38.4652 +*END + +*D_NET *2184 0.35398 +*CONN +*I *2471:wb_sel_i[1] I *D housekeeping +*I *2472:mprj_sel_o_core[1] I *D mgmt_protect +*I *2478:mprj_sel_o[1] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_sel_i[1] 1.28869e-05 +2 *2472:mprj_sel_o_core[1] 0.00229757 +3 *2478:mprj_sel_o[1] 0.000903294 +4 *2184:28 0.00257507 +5 *2184:27 0.00256218 +6 *2184:25 0.0325296 +7 *2184:18 0.00229757 +8 *2184:16 0.00762203 +9 *2184:15 0.00886013 +10 *2184:9 0.034671 +11 *2184:25 *2186:10 0.0893261 +12 *2184:28 *2471:wb_sel_i[2] 0 +13 *2184:28 *2186:13 0 +14 *2471:wb_sel_i[0] *2184:28 0 +15 *2472:mprj_adr_o_core[2] *2472:mprj_sel_o_core[1] 0 +16 *2472:mprj_dat_o_core[1] *2472:mprj_sel_o_core[1] 0 +17 *607:13 *2472:mprj_sel_o_core[1] 0.000699164 +18 *985:12 *2184:16 0.000281841 +19 *986:12 *2184:16 1.9628e-06 +20 *1593:10 *2184:25 0.0034499 +21 *1593:30 *2184:16 0.00248873 +22 *1594:10 *2184:25 0.00634336 +23 *1656:8 *2184:25 0.000709571 +24 *1659:8 *2184:16 0.000101365 +25 *1660:17 *2184:15 0.000682521 +26 *1718:10 *2184:15 0 +27 *1718:10 *2184:25 0 +28 *1720:10 *2184:25 0.000551302 +29 *1722:10 *2184:25 0.000557532 +30 *1724:9 *2184:15 0 +31 *1724:10 *2184:16 0.0734052 +32 *1731:10 *2184:25 0.00330201 +33 *1735:10 *2184:25 0.00132602 +34 *1738:10 *2184:16 0.0718148 +35 *1743:10 *2184:25 0.00460762 +*RES +1 *2478:mprj_sel_o[1] *2184:9 25.4993 +2 *2184:9 *2184:15 22.4538 +3 *2184:15 *2184:16 93.7504 +4 *2184:16 *2184:18 3.36879 +5 *2184:18 *2472:mprj_sel_o_core[1] 51.5768 +6 *2184:9 *2184:25 119.681 +7 *2184:25 *2184:27 3.36879 +8 *2184:27 *2184:28 65.7808 +9 *2184:28 *2471:wb_sel_i[1] 0.366399 +*END + +*D_NET *2185 0.341218 +*CONN +*I *2471:wb_sel_i[2] I *D housekeeping +*I *2472:mprj_sel_o_core[2] I *D mgmt_protect +*I *2478:mprj_sel_o[2] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_sel_i[2] 0.00124816 +2 *2472:mprj_sel_o_core[2] 0.00128658 +3 *2478:mprj_sel_o[2] 0.00063488 +4 *2185:27 0.00818045 +5 *2185:25 0.00747183 +6 *2185:10 0.00840717 +7 *2185:9 0.00712059 +8 *2185:7 0.00279278 +9 *2185:5 0.00288812 +10 *2471:wb_sel_i[2] *2186:13 0 +11 *2185:27 *2195:27 0.000250542 +12 *2472:mprj_adr_o_core[3] *2472:mprj_sel_o_core[2] 0 +13 *2472:mprj_dat_o_core[2] *2472:mprj_sel_o_core[2] 0 +14 *1269:16 *2185:10 0.00152795 +15 *1273:16 *2185:10 0.00200575 +16 *1582:16 *2185:10 0 +17 *1606:9 *2185:25 0 +18 *1673:8 *2185:10 0.000224106 +19 *1713:33 *2185:27 0.0698415 +20 *1716:27 *2185:27 0 +21 *1724:33 *2185:27 0.000137345 +22 *1735:7 *2185:7 0 +23 *1735:23 *2185:7 0 +24 *1735:24 *2185:10 0.0752063 +25 *1738:33 *2185:27 0.000122714 +26 *1740:31 *2185:27 8.8758e-05 +27 *1742:7 *2185:27 3.18408e-05 +28 *1744:33 *2185:27 0 +29 *2183:19 *2185:10 0.0715005 +30 *2183:39 *2185:27 0.0802503 +31 *2184:28 *2471:wb_sel_i[2] 0 +*RES +1 *2478:mprj_sel_o[2] *2185:5 2.05183 +2 *2185:5 *2185:7 60.7978 +3 *2185:7 *2185:9 3.36879 +4 *2185:9 *2185:10 96.504 +5 *2185:10 *2472:mprj_sel_o_core[2] 30.0304 +6 *2478:mprj_sel_o[2] *2185:25 15.8884 +7 *2185:25 *2185:27 848.712 +8 *2185:27 *2471:wb_sel_i[2] 38.8804 +*END + +*D_NET *2186 0.392943 +*CONN +*I *2472:mprj_sel_o_core[3] I *D mgmt_protect +*I *2471:wb_sel_i[3] I *D housekeeping +*I *2478:mprj_sel_o[3] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_sel_o_core[3] 0.00268802 +2 *2471:wb_sel_i[3] 1.28869e-05 +3 *2478:mprj_sel_o[3] 0.000821321 +4 *2186:26 0.00268802 +5 *2186:24 0.0100937 +6 *2186:23 0.0106662 +7 *2186:13 0.00260117 +8 *2186:12 0.00258829 +9 *2186:10 0.0111215 +10 *2186:9 0.0111215 +11 *2186:7 0.00139384 +12 *2186:13 *2471:wb_we_i 0 +13 *2471:wb_sel_i[2] *2186:13 0 +14 *2472:mprj_adr_o_core[4] *2472:mprj_sel_o_core[3] 0 +15 *2472:mprj_dat_o_core[3] *2472:mprj_sel_o_core[3] 0 +16 *862:10 *2186:24 0.000209972 +17 *986:12 *2186:24 0.000753182 +18 *987:12 *2186:24 0.000177027 +19 *1121:10 *2186:24 0.000724555 +20 *1596:10 *2186:24 0.00275653 +21 *1606:24 *2186:23 0 +22 *1607:9 *2186:7 0.000591946 +23 *1607:9 *2186:23 8.3205e-05 +24 *1663:14 *2186:24 0.00253422 +25 *1674:5 *2472:mprj_sel_o_core[3] 0 +26 *1714:27 *2186:10 0.00434774 +27 *1715:10 *2186:10 0.0039392 +28 *1719:10 *2186:10 0.000156019 +29 *1735:10 *2186:10 0.079923 +30 *1738:10 *2186:24 0.000563713 +31 *1740:12 *2186:24 0.0759981 +32 *1741:10 *2186:10 0.000499585 +33 *1742:30 *2186:24 0.0745623 +34 *2184:25 *2186:10 0.0893261 +35 *2184:28 *2186:13 0 +*RES +1 *2478:mprj_sel_o[3] *2186:7 24.6464 +2 *2186:7 *2186:9 3.36879 +3 *2186:9 *2186:10 114.479 +4 *2186:10 *2186:12 3.36879 +5 *2186:12 *2186:13 66.6113 +6 *2186:13 *2471:wb_sel_i[3] 0.366399 +7 *2186:7 *2186:23 14.3363 +8 *2186:23 *2186:24 98.7222 +9 *2186:24 *2186:26 3.36879 +10 *2186:26 *2472:mprj_sel_o_core[3] 54.0683 +*END + +*D_NET *2187 0.190804 +*CONN +*I *2473:wbs_sel_i[0] I *D user_analog_project_wrapper +*I *2472:mprj_sel_o_user[0] O *D mgmt_protect +*CAP +1 *2473:wbs_sel_i[0] 0.00204751 +2 *2472:mprj_sel_o_user[0] 0.00130332 +3 *2187:10 0.00204751 +4 *2187:8 0.00558447 +5 *2187:7 0.00688778 +6 *2187:8 *2196:8 0.0777299 +7 *2472:mprj_dat_i_user[0] *2187:7 0 +8 *1613:8 *2187:8 0.00869571 +9 *1624:7 *2187:7 0 +10 *1624:8 *2187:8 0.078061 +11 *1639:13 *2187:7 0 +12 *1681:8 *2187:8 0.00799443 +13 *1745:7 *2187:7 0 +14 *1750:8 *2187:8 0.000452673 +*RES +1 *2472:mprj_sel_o_user[0] *2187:7 33.3524 +2 *2187:7 *2187:8 99.7166 +3 *2187:8 *2187:10 3.36879 +4 *2187:10 *2473:wbs_sel_i[0] 54.0683 +*END + +*D_NET *2188 0.181461 +*CONN +*I *2473:wbs_sel_i[1] I *D user_analog_project_wrapper +*I *2472:mprj_sel_o_user[1] O *D mgmt_protect +*CAP +1 *2473:wbs_sel_i[1] 0.00140219 +2 *2472:mprj_sel_o_user[1] 0.00224647 +3 *2188:8 0.010881 +4 *2188:7 0.00947886 +5 *2188:5 0.00224647 +6 *2188:8 *2192:8 0.0739916 +7 *2473:la_data_in[2] *2188:5 0.000157739 +8 *1633:13 *2188:5 0 +9 *1635:5 *2188:5 0 +10 *1635:8 *2188:8 0.000322757 +11 *1639:14 *2188:8 0.000199658 +12 *1692:8 *2188:8 0.00066078 +13 *1708:8 *2188:8 0.00236014 +14 *1710:8 *2188:8 0.00190188 +15 *1712:8 *2188:8 0.000627723 +16 *1756:7 *2188:5 0 +17 *1767:10 *2188:8 0.0749833 +*RES +1 *2472:mprj_sel_o_user[1] *2188:5 47.4242 +2 *2188:5 *2188:7 3.36879 +3 *2188:7 *2188:8 97.1924 +4 *2188:8 *2473:wbs_sel_i[1] 39.9965 +*END + +*D_NET *2189 0.174592 +*CONN +*I *2473:wbs_sel_i[2] I *D user_analog_project_wrapper +*I *2472:mprj_sel_o_user[2] O *D mgmt_protect +*CAP +1 *2473:wbs_sel_i[2] 0.00195102 +2 *2472:mprj_sel_o_user[2] 0.00146278 +3 *2189:10 0.00195102 +4 *2189:8 0.00640834 +5 *2189:7 0.00787112 +6 *2473:la_oenb[2] *2189:7 0 +7 *1614:8 *2189:8 0.00558952 +8 *1638:7 *2189:7 0 +9 *1703:8 *2189:8 0.074329 +10 *1746:8 *2189:8 0.00477669 +11 *1750:8 *2189:8 0 +12 *1767:7 *2189:7 0 +13 *1771:8 *2189:8 0.0702528 +*RES +1 *2472:mprj_sel_o_user[2] *2189:7 36.6745 +2 *2189:7 *2189:8 94.8212 +3 *2189:8 *2189:10 3.36879 +4 *2189:10 *2473:wbs_sel_i[2] 50.7463 +*END + +*D_NET *2190 0.170573 +*CONN +*I *2473:wbs_sel_i[3] I *D user_analog_project_wrapper +*I *2472:mprj_sel_o_user[3] O *D mgmt_protect +*CAP +1 *2473:wbs_sel_i[3] 0.00131457 +2 *2472:mprj_sel_o_user[3] 0.00237185 +3 *2190:8 0.0101578 +4 *2190:7 0.00884319 +5 *2190:5 0.00237185 +6 *2472:mprj_dat_i_user[4] *2190:5 0 +7 *2473:la_data_in[3] *2190:5 0.000143931 +8 *1619:8 *2190:8 0.00147798 +9 *1639:10 *2190:5 0 +10 *1641:8 *2190:8 0.000121359 +11 *1688:8 *2190:8 0.00117777 +12 *1692:8 *2190:8 0.069545 +13 *1712:8 *2190:8 0 +14 *1751:8 *2190:8 0.00010238 +15 *1767:10 *2190:8 0.000595257 +16 *1770:5 *2190:5 0 +17 *1770:8 *2190:8 0.0723506 +*RES +1 *2472:mprj_sel_o_user[3] *2190:5 49.9158 +2 *2190:5 *2190:7 3.36879 +3 *2190:7 *2190:8 92.297 +4 *2190:8 *2473:wbs_sel_i[3] 37.505 +*END + +*D_NET *2191 0.140597 +*CONN +*I *2472:mprj_stb_o_core I *D mgmt_protect +*I *2478:mprj_stb_o O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_stb_o_core 0.000915139 +2 *2478:mprj_stb_o 0.00187889 +3 *2191:16 0.00603103 +4 *2191:15 0.00622532 +5 *2191:12 0.00189453 +6 *2191:9 0.00266398 +7 *2472:mprj_stb_o_core *2472:mprj_we_o_core 0 +8 *2472:mprj_cyc_o_core *2472:mprj_stb_o_core 0 +9 *606:8 *2191:16 0.00033964 +10 *608:8 *2191:16 0.000159331 +11 *635:11 *2191:15 0.00155927 +12 *730:8 *2191:16 0.000802118 +13 *863:15 *2472:mprj_stb_o_core 1.44467e-05 +14 *1147:7 *2191:15 0 +15 *1590:18 *2191:16 0 +16 *1647:9 *2191:9 0 +17 *1647:25 *2191:9 5.22654e-06 +18 *1649:8 *2191:16 0.00033203 +19 *1659:8 *2191:12 0.000422861 +20 *1660:8 *2191:16 0.055114 +21 *1662:14 *2191:16 0.000290632 +22 *1671:8 *2191:16 0.0574594 +23 *1717:24 *2191:16 0.00448941 +*RES +1 *2478:mprj_stb_o *2191:9 44.7184 +2 *2191:9 *2191:12 29.626 +3 *2191:12 *2191:15 34.5691 +4 *2191:15 *2191:16 631.862 +5 *2191:16 *2472:mprj_stb_o_core 23.8947 +*END + +*D_NET *2192 0.189208 +*CONN +*I *2473:wbs_stb_i I *D user_analog_project_wrapper +*I *2472:mprj_stb_o_user O *D mgmt_protect +*CAP +1 *2473:wbs_stb_i 0.00142238 +2 *2472:mprj_stb_o_user 0.00230516 +3 *2192:8 0.0120616 +4 *2192:7 0.0129444 +5 *2192:7 *2196:7 0 +6 *2473:la_data_in[1] *2192:7 0 +7 *1639:14 *2192:8 0.00253186 +8 *1648:7 *2192:7 0 +9 *1648:8 *2192:8 0.0806772 +10 *1692:8 *2192:8 0.000198316 +11 *1706:8 *2192:8 0.00295362 +12 *1712:8 *2192:8 0.000121359 +13 *2188:8 *2192:8 0.0739916 +*RES +1 *2472:mprj_stb_o_user *2192:7 49.9625 +2 *2192:7 *2192:8 102.776 +3 *2192:8 *2473:wbs_stb_i 40.827 +*END + +*D_NET *2193 0.262072 +*CONN +*I *2471:usr1_vcc_pwrgood I *D housekeeping +*I *2472:user1_vcc_powergood O *D mgmt_protect +*CAP +1 *2471:usr1_vcc_pwrgood 0.00159892 +2 *2472:user1_vcc_powergood 0.00138374 +3 *2193:11 0.0187183 +4 *2193:10 0.0171194 +5 *2193:8 0.00352767 +6 *2193:7 0.00491141 +7 *2193:8 *2194:8 0.00521643 +8 *2193:11 *2194:11 0.127205 +9 *2471:usr2_vcc_pwrgood *2471:usr1_vcc_pwrgood 0 +10 *2471:wb_cyc_i *2471:usr1_vcc_pwrgood 0 +11 *485:44 *2193:11 0.0158453 +12 *1127:9 *2193:8 0.000501462 +13 *1573:10 *2193:11 0 +14 *1577:16 *2193:8 0.000292789 +15 *1611:25 *2193:11 0.002931 +16 *1713:25 *2193:11 0.00625285 +17 *1720:24 *2193:11 0.0552514 +18 *1726:25 *2193:11 0.000597677 +19 *1728:31 *2193:11 0.000128915 +20 *1730:25 *2193:11 8.8758e-05 +21 *1734:25 *2193:11 6.36816e-05 +22 *1736:23 *2193:11 0 +23 *1744:31 *2193:11 0.000436811 +*RES +1 *2472:user1_vcc_powergood *2193:7 8.48033 +2 *2193:7 *2193:8 101.285 +3 *2193:8 *2193:10 4.5 +4 *2193:10 *2193:11 1333.44 +5 *2193:11 *2471:usr1_vcc_pwrgood 46.7702 +*END + +*D_NET *2194 0.305947 +*CONN +*I *2471:usr1_vdd_pwrgood I *D housekeeping +*I *2472:user1_vdd_powergood O *D mgmt_protect +*CAP +1 *2471:usr1_vdd_pwrgood 0.0015651 +2 *2472:user1_vdd_powergood 0.00135118 +3 *2194:11 0.00965808 +4 *2194:10 0.00809298 +5 *2194:8 0.00383651 +6 *2194:7 0.00518769 +7 *2471:usr2_vcc_pwrgood *2471:usr1_vdd_pwrgood 0 +8 *2471:usr2_vdd_pwrgood *2471:usr1_vdd_pwrgood 0 +9 *498:17 *2194:11 0.0146638 +10 *870:7 *2194:8 0 +11 *1573:10 *2194:11 0 +12 *1577:8 *2194:8 0.00158929 +13 *1577:16 *2194:8 6.1578e-06 +14 *1577:17 *2194:11 0.127414 +15 *1578:12 *2194:8 0 +16 *1578:15 *2194:11 0.000161493 +17 *2193:8 *2194:8 0.00521643 +18 *2193:11 *2194:11 0.127205 +*RES +1 *2472:user1_vdd_powergood *2194:7 8.40384 +2 *2194:7 *2194:8 120.802 +3 *2194:8 *2194:10 4.5 +4 *2194:10 *2194:11 1339.54 +5 *2194:11 *2471:usr1_vdd_pwrgood 46.355 +*END + +*D_NET *2195 0.353445 +*CONN +*I *2471:wb_we_i I *D housekeeping +*I *2472:mprj_we_o_core I *D mgmt_protect +*I *2478:mprj_we_o O *D mgmt_core_wrapper +*CAP +1 *2471:wb_we_i 0.00121214 +2 *2472:mprj_we_o_core 0.00239898 +3 *2478:mprj_we_o 0.00180199 +4 *2195:27 0.00769897 +5 *2195:25 0.00708402 +6 *2195:12 0.00239898 +7 *2195:10 0.0303593 +8 *2195:9 0.0315641 +9 *2471:wb_cyc_i *2471:wb_we_i 0 +10 *2472:mprj_adr_o_core[0] *2472:mprj_we_o_core 0 +11 *2472:mprj_stb_o_core *2472:mprj_we_o_core 0 +12 *728:11 *2472:mprj_we_o_core 0.00201616 +13 *863:15 *2472:mprj_we_o_core 0 +14 *1240:9 *2472:mprj_we_o_core 0 +15 *1246:12 *2195:10 0.00932531 +16 *1248:10 *2195:10 0.0137119 +17 *1581:7 *2195:9 0 +18 *1581:7 *2195:25 0 +19 *1581:21 *2195:9 0 +20 *1607:16 *2195:10 0.000369269 +21 *1609:19 *2195:10 0.000419621 +22 *1611:10 *2195:10 0.0018508 +23 *1647:27 *2195:27 0.0870754 +24 *1649:7 *2472:mprj_we_o_core 0 +25 *1650:8 *2195:10 0.00244147 +26 *1652:12 *2195:10 0.00191027 +27 *1654:10 *2195:10 0.00262915 +28 *1676:8 *2195:10 0.000643073 +29 *1678:8 *2195:10 0.00160888 +30 *1680:8 *2195:10 0.00215045 +31 *1714:16 *2195:10 0.000151238 +32 *1716:10 *2195:10 0.000576645 +33 *1718:10 *2195:10 0.0606962 +34 *2183:39 *2195:27 0.0811001 +35 *2185:27 *2195:27 0.000250542 +36 *2186:13 *2471:wb_we_i 0 +*RES +1 *2478:mprj_we_o *2195:9 32.1433 +2 *2195:9 *2195:10 91.9146 +3 *2195:10 *2195:12 3.36879 +4 *2195:12 *2472:mprj_we_o_core 59.0513 +5 *2478:mprj_we_o *2195:25 17.8319 +6 *2195:25 *2195:27 914.156 +7 *2195:27 *2471:wb_we_i 38.0499 +*END + +*D_NET *2196 0.164975 +*CONN +*I *2473:wbs_we_i I *D user_analog_project_wrapper +*I *2472:mprj_we_o_user O *D mgmt_protect +*CAP +1 *2473:wbs_we_i 0.00206991 +2 *2472:mprj_we_o_user 0.00125049 +3 *2196:10 0.00206991 +4 *2196:8 0.0107686 +5 *2196:7 0.0120191 +6 *1613:7 *2196:7 0 +7 *1750:8 *2196:8 0.043432 +8 *2182:8 *2196:8 0.015635 +9 *2187:8 *2196:8 0.0777299 +10 *2192:7 *2196:7 0 +*RES +1 *2472:mprj_we_o_user *2196:7 32.5219 +2 *2196:7 *2196:8 102.164 +3 *2196:8 *2196:10 3.36879 +4 *2196:10 *2473:wbs_we_i 54.8988 +*END + +*D_NET *2197 0.000177278 +*CONN +*I *2455:mgmt_gpio_oeb I *D gpio_control_block +*I *2455:one O *D gpio_control_block +*CAP +1 *2455:mgmt_gpio_oeb 8.86388e-05 +2 *2455:one 8.86388e-05 +*RES +1 *2455:one *2455:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2198 0.000177278 +*CONN +*I *2453:mgmt_gpio_oeb I *D gpio_control_block +*I *2453:one O *D gpio_control_block +*CAP +1 *2453:mgmt_gpio_oeb 8.86388e-05 +2 *2453:one 8.86388e-05 +*RES +1 *2453:one *2453:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2199 0.000177278 +*CONN +*I *2454:mgmt_gpio_oeb I *D gpio_control_block +*I *2454:one O *D gpio_control_block +*CAP +1 *2454:mgmt_gpio_oeb 8.86388e-05 +2 *2454:one 8.86388e-05 +*RES +1 *2454:one *2454:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2200 0.000177278 +*CONN +*I *2456:mgmt_gpio_oeb I *D gpio_control_block +*I *2456:one O *D gpio_control_block +*CAP +1 *2456:mgmt_gpio_oeb 8.86388e-05 +2 *2456:one 8.86388e-05 +*RES +1 *2456:one *2456:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2201 0.000177278 +*CONN +*I *2457:mgmt_gpio_oeb I *D gpio_control_block +*I *2457:one O *D gpio_control_block +*CAP +1 *2457:mgmt_gpio_oeb 8.86388e-05 +2 *2457:one 8.86388e-05 +*RES +1 *2457:one *2457:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2202 0.000177278 +*CONN +*I *2458:mgmt_gpio_oeb I *D gpio_control_block +*I *2458:one O *D gpio_control_block +*CAP +1 *2458:mgmt_gpio_oeb 8.86388e-05 +2 *2458:one 8.86388e-05 +*RES +1 *2458:one *2458:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2203 0.000177278 +*CONN +*I *2459:mgmt_gpio_oeb I *D gpio_control_block +*I *2459:one O *D gpio_control_block +*CAP +1 *2459:mgmt_gpio_oeb 8.86388e-05 +2 *2459:one 8.86388e-05 +*RES +1 *2459:one *2459:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2204 0.000177278 +*CONN +*I *2460:mgmt_gpio_oeb I *D gpio_control_block +*I *2460:one O *D gpio_control_block +*CAP +1 *2460:mgmt_gpio_oeb 8.86388e-05 +2 *2460:one 8.86388e-05 +*RES +1 *2460:one *2460:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2205 0.000177278 +*CONN +*I *2449:mgmt_gpio_oeb I *D gpio_control_block +*I *2449:one O *D gpio_control_block +*CAP +1 *2449:mgmt_gpio_oeb 8.86388e-05 +2 *2449:one 8.86388e-05 +*RES +1 *2449:one *2449:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2206 0.000177278 +*CONN +*I *2450:mgmt_gpio_oeb I *D gpio_control_block +*I *2450:one O *D gpio_control_block +*CAP +1 *2450:mgmt_gpio_oeb 8.86388e-05 +2 *2450:one 8.86388e-05 +*RES +1 *2450:one *2450:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2207 0.000295041 +*CONN +*I *2451:mgmt_gpio_oeb I *D gpio_control_block +*I *2451:one O *D gpio_control_block +*CAP +1 *2451:mgmt_gpio_oeb 0.00014752 +2 *2451:one 0.00014752 +*RES +1 *2451:one *2451:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2208 0.000177278 +*CONN +*I *2452:mgmt_gpio_oeb I *D gpio_control_block +*I *2452:one O *D gpio_control_block +*CAP +1 *2452:mgmt_gpio_oeb 8.86388e-05 +2 *2452:one 8.86388e-05 +*RES +1 *2452:one *2452:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2209 0.000177278 +*CONN +*I *2461:mgmt_gpio_oeb I *D gpio_control_block +*I *2461:one O *D gpio_control_block +*CAP +1 *2461:mgmt_gpio_oeb 8.86388e-05 +2 *2461:one 8.86388e-05 +*RES +1 *2461:one *2461:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2210 0.000177278 +*CONN +*I *2462:mgmt_gpio_oeb I *D gpio_control_block +*I *2462:one O *D gpio_control_block +*CAP +1 *2462:mgmt_gpio_oeb 8.86388e-05 +2 *2462:one 8.86388e-05 +*RES +1 *2462:one *2462:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2211 0.000177278 +*CONN +*I *2463:mgmt_gpio_oeb I *D gpio_control_block +*I *2463:one O *D gpio_control_block +*CAP +1 *2463:mgmt_gpio_oeb 8.86388e-05 +2 *2463:one 8.86388e-05 +*RES +1 *2463:one *2463:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2212 0.000177278 +*CONN +*I *2464:mgmt_gpio_oeb I *D gpio_control_block +*I *2464:one O *D gpio_control_block +*CAP +1 *2464:mgmt_gpio_oeb 8.86388e-05 +2 *2464:one 8.86388e-05 +*RES +1 *2464:one *2464:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2213 0.000280564 +*CONN +*I *2465:mgmt_gpio_oeb I *D gpio_control_block +*I *2465:one O *D gpio_control_block +*CAP +1 *2465:mgmt_gpio_oeb 0.000140282 +2 *2465:one 0.000140282 +*RES +1 *2465:one *2465:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2214 0.000280564 +*CONN +*I *2466:mgmt_gpio_oeb I *D gpio_control_block +*I *2466:one O *D gpio_control_block +*CAP +1 *2466:mgmt_gpio_oeb 0.000140282 +2 *2466:one 0.000140282 +*RES +1 *2466:one *2466:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2215 0.000177278 +*CONN +*I *2467:mgmt_gpio_oeb I *D gpio_control_block +*I *2467:one O *D gpio_control_block +*CAP +1 *2467:mgmt_gpio_oeb 8.86388e-05 +2 *2467:one 8.86388e-05 +*RES +1 *2467:one *2467:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2216 0.000177278 +*CONN +*I *2468:mgmt_gpio_oeb I *D gpio_control_block +*I *2468:one O *D gpio_control_block +*CAP +1 *2468:mgmt_gpio_oeb 8.86388e-05 +2 *2468:one 8.86388e-05 +*RES +1 *2468:one *2468:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2217 0.000280564 +*CONN +*I *2469:mgmt_gpio_oeb I *D gpio_control_block +*I *2469:one O *D gpio_control_block +*CAP +1 *2469:mgmt_gpio_oeb 0.000140282 +2 *2469:one 0.000140282 +*RES +1 *2469:one *2469:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2218 0.000177278 +*CONN +*I *2470:mgmt_gpio_oeb I *D gpio_control_block +*I *2470:one O *D gpio_control_block +*CAP +1 *2470:mgmt_gpio_oeb 8.86388e-05 +2 *2470:one 8.86388e-05 +*RES +1 *2470:one *2470:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2219 0.404578 +*CONN +*I *2416:pll_clk I *D caravel_clocking +*I *2475:clockp[1] O *D digital_pll +*CAP +1 *2416:pll_clk 0.00117109 +2 *2475:clockp[1] 0.00131123 +3 *2219:19 0.00156774 +4 *2219:14 0.00482306 +5 *2219:13 0.0044264 +6 *2219:11 0.0497636 +7 *2219:10 0.0497636 +8 *2219:8 0.00609354 +9 *2219:7 0.00740476 +10 *2219:7 *2475:div[0] 0 +11 *2219:7 *2220:7 0 +12 *2219:8 *2220:8 0.00711214 +13 *2219:8 *2232:13 0 +14 *2219:11 *2220:11 0.22847 +15 *2474:flash_io1_oeb_core *2219:11 0 +16 *78:16 *2219:11 0.0407366 +17 *84:22 *2219:11 0.0014102 +18 *484:10 *2219:11 0.000524076 +*RES +1 *2475:clockp[1] *2219:7 8.32734 +2 *2219:7 *2219:8 239.149 +3 *2219:8 *2219:10 4.5 +4 *2219:10 *2219:11 2404.38 +5 *2219:11 *2219:13 4.5 +6 *2219:13 *2219:14 125.577 +7 *2219:14 *2219:19 19.7063 +8 *2219:19 *2416:pll_clk 33.1591 +*END + +*D_NET *2220 0.538562 +*CONN +*I *2416:pll_clk90 I *D caravel_clocking +*I *2475:clockp[0] O *D digital_pll +*CAP +1 *2416:pll_clk90 0.00127332 +2 *2475:clockp[0] 0.00126607 +3 *2220:19 0.00165021 +4 *2220:14 0.00472248 +5 *2220:13 0.0043456 +6 *2220:11 0.0138787 +7 *2220:10 0.0138787 +8 *2220:8 0.00589444 +9 *2220:7 0.00716051 +10 *2220:11 *2232:16 2.01874e-05 +11 *2220:11 *2233:16 0.22532 +12 *2220:11 *2241:16 1.4091e-06 +13 *2220:11 *2242:10 3.31745e-05 +14 *78:16 *2220:11 0.02277 +15 *84:22 *2220:11 0.000765267 +16 *2219:7 *2220:7 0 +17 *2219:8 *2220:8 0.00711214 +18 *2219:11 *2220:11 0.22847 +*RES +1 *2475:clockp[0] *2220:7 8.17437 +2 *2220:7 *2220:8 233.75 +3 *2220:8 *2220:10 4.5 +4 *2220:10 *2220:11 2388.85 +5 *2220:11 *2220:13 4.5 +6 *2220:13 *2220:14 123.086 +7 *2220:14 *2220:19 19.1517 +8 *2220:19 *2416:pll_clk90 36.0659 +*END + +*D_NET *2221 0.210367 +*CONN +*I *2474:por I *D chip_io_alt +*I *2476:por_l I *D simple_por +*CAP +1 *2474:por 0.000530546 +2 *2476:por_l 0.000843475 +3 *2221:13 0.101064 +4 *2221:12 0.101377 +5 *2221:13 *2232:16 0 +6 *2221:13 *2241:16 0 +7 *2474:flash_csb_oeb_core *2221:13 0.000156624 +8 *76:21 *2221:13 0.000292937 +9 *91:28 *2221:13 0.00610192 +*RES +1 *2476:por_l *2221:12 29.3135 +2 *2221:12 *2221:13 2813.67 +3 *2221:13 *2474:por 19.2537 +*END + +*D_NET *2222 1.23477 +*CONN +*I *2474:porb_h I *D chip_io_alt +*I *2476:porb_h I *D simple_por +*CAP +1 *2474:porb_h 0.00141757 +2 *2476:porb_h 0.00113663 +3 *2222:36 0.0667575 +4 *2222:35 0.0653399 +5 *2222:33 0.0248595 +6 *2222:32 0.0248595 +7 *2222:30 0.00332641 +8 *2222:29 0.00339675 +9 *2222:24 0.00201983 +10 *2222:23 0.00204709 +11 *2222:16 0.000553747 +12 *2222:12 0.0224268 +13 *2222:11 0.0231073 +14 *2222:11 *2223:7 0 +15 *2222:24 *2394:24 0.000602507 +16 *2471:mgmt_gpio_in[2] *2222:12 0.00130366 +17 *2471:mgmt_gpio_in[3] *2222:12 0.00130366 +18 *100:77 *2222:29 0.000154145 +19 *105:27 *2222:33 0.353529 +20 *470:16 *2222:30 0.0221395 +21 *472:23 *2222:33 0.353525 +22 *475:34 *2222:33 0.00133075 +23 *525:8 *2222:12 0 +24 *525:8 *2222:24 0.0134757 +25 *1551:29 *2222:36 0.185368 +26 *1554:27 *2222:36 1.2693e-05 +27 *1573:7 *2222:30 0 +28 *2020:11 *2222:12 0.000973065 +29 *2020:15 *2222:12 0.0159439 +30 *2020:21 *2222:16 0.00293839 +31 *2020:21 *2222:30 0.0231806 +32 *2031:11 *2222:12 9.71323e-06 +33 *2031:11 *2222:16 0.00297387 +34 *2031:15 *2222:24 0.0147182 +35 *2038:11 *2222:36 9.99386e-06 +36 *2053:8 *2474:porb_h 4.88112e-06 +37 *2134:8 *2474:porb_h 2.37478e-05 +*RES +1 *2476:porb_h *2222:11 7.74037 +2 *2222:11 *2222:12 742.642 +3 *2222:12 *2222:16 49.012 +4 *2222:16 *2222:23 11.122 +5 *2222:23 *2222:24 235.204 +6 *2222:24 *2222:29 10.8326 +7 *2222:29 *2222:30 371.822 +8 *2222:30 *2222:32 4.5 +9 *2222:32 *2222:33 3727.66 +10 *2222:33 *2222:35 4.5 +11 *2222:35 *2222:36 2992.48 +12 *2222:36 *2474:porb_h 45.4706 +*END + +*D_NET *2223 0.0524904 +*CONN +*I *2471:porb I *D housekeeping +*I *2476:porb_l I *D simple_por +*CAP +1 *2471:porb 0.000618272 +2 *2476:porb_l 0.000835986 +3 *2223:15 0.00387092 +4 *2223:13 0.00339019 +5 *2223:11 0.00655926 +6 *2223:10 0.00642171 +7 *2223:8 0.0102854 +8 *2223:7 0.0111214 +9 *2223:11 *2475:ext_trim[20] 0 +10 *2223:11 *2257:15 0.000170642 +11 *2223:11 *2261:12 0 +12 *2223:15 *2475:ext_trim[10] 7.0656e-05 +13 *2223:15 *2475:ext_trim[11] 6.77202e-05 +14 *2223:15 *2233:10 0.000202245 +15 *2223:15 *2239:12 2.18041e-06 +16 *2223:15 *2240:12 0.00360771 +17 *2471:mask_rev_in[3] *2223:11 0.000785004 +18 *73:9 *2471:porb 0.00163502 +19 *1503:17 *2223:11 0.000181643 +20 *1504:16 *2223:11 0.000306662 +21 *1506:16 *2223:11 0 +22 *1507:17 *2223:11 0 +23 *1516:16 *2223:11 0.000470585 +24 *1527:13 *2223:11 0 +25 *1528:13 *2223:11 0.00163712 +26 *1528:19 *2223:11 0.000250096 +27 *1530:10 *2223:11 0 +28 *1531:16 *2223:11 0 +29 *2222:11 *2223:7 0 +*RES +1 *2476:porb_l *2223:7 6.56807 +2 *2223:7 *2223:8 291.886 +3 *2223:8 *2223:10 4.5 +4 *2223:10 *2223:11 200.103 +5 *2223:11 *2223:13 3.85811 +6 *2223:13 *2223:15 104.157 +7 *2223:15 *2471:porb 32.1143 +*END + +*D_NET *2224 0.0325299 +*CONN +*I *2471:qspi_enabled I *D housekeeping +*I *2478:qspi_enabled O *D mgmt_core_wrapper +*CAP +1 *2471:qspi_enabled 0.00162046 +2 *2478:qspi_enabled 0.000948465 +3 *2224:11 0.00416914 +4 *2224:10 0.00254868 +5 *2224:8 0.00104417 +6 *2224:7 0.00199264 +7 *2471:qspi_enabled *2471:uart_enabled 0.000177702 +8 *2471:qspi_enabled *2227:10 4.15236e-05 +9 *2224:8 *2227:14 0.00035377 +10 *2224:8 *2228:8 0.00429253 +11 *2224:8 *2271:14 0.00431709 +12 *2224:11 *2227:11 0.0109536 +13 *538:14 *2224:8 0 +14 *554:14 *2224:8 0 +15 *555:14 *2224:8 7.01502e-05 +*RES +1 *2478:qspi_enabled *2224:7 6.95052 +2 *2224:7 *2224:8 86.3358 +3 *2224:8 *2224:10 4.5 +4 *2224:10 *2224:11 117.19 +5 *2224:11 *2471:qspi_enabled 20.3089 +*END + +*D_NET *2225 0.00320091 +*CONN +*I *2477:A I *D xres_buf +*I *2474:resetb_core_h O *D chip_io_alt +*CAP +1 *2477:A 0.00160046 +2 *2474:resetb_core_h 0.00160046 +*RES +1 *2474:resetb_core_h *2477:A 45.2747 +*END + +*D_NET *2226 0.29742 +*CONN +*I *2475:resetb I *D digital_pll +*I *2416:resetb I *D caravel_clocking +*I *2477:X O *D xres_buf +*CAP +1 *2475:resetb 1.28869e-05 +2 *2416:resetb 3.26469e-05 +3 *2477:X 0.000467889 +4 *2226:30 0.00646409 +5 *2226:29 0.00645121 +6 *2226:27 0.0789541 +7 *2226:19 0.0072874 +8 *2226:18 0.00725475 +9 *2226:16 0.0800087 +10 *2226:15 0.00138378 +11 *2226:10 0.01181 +12 *2226:9 0.0119487 +13 *64:16 *2226:16 0.00484053 +14 *64:16 *2226:27 0.0039377 +15 *64:34 *2226:27 0.0765658 +*RES +1 *2477:X *2226:9 16.8965 +2 *2226:9 *2226:10 321.284 +3 *2226:10 *2226:15 17.8913 +4 *2226:15 *2226:16 50.6377 +5 *2226:16 *2226:18 4.5 +6 *2226:18 *2226:19 205.098 +7 *2226:19 *2416:resetb 0.928211 +8 *2226:16 *2226:27 2561.33 +9 *2226:27 *2226:29 4.5 +10 *2226:29 *2226:30 183.09 +11 *2226:30 *2475:resetb 0.366399 +*END + +*D_NET *2227 0.042264 +*CONN +*I *2478:ser_rx I *D mgmt_core_wrapper +*I *2471:ser_rx O *D housekeeping +*CAP +1 *2478:ser_rx 0.0010565 +2 *2471:ser_rx 0.00147563 +3 *2227:14 0.00272564 +4 *2227:13 0.00166914 +5 *2227:11 0.000733461 +6 *2227:10 0.00220909 +7 *2227:10 *2471:ser_tx 0 +8 *2227:10 *2471:uart_enabled 2.01653e-05 +9 *2227:11 *2275:11 0.0108007 +10 *2227:14 *2270:8 0.00550651 +11 *2227:14 *2271:14 0.000508484 +12 *2227:14 *2275:8 0.00285427 +13 *2471:qspi_enabled *2227:10 4.15236e-05 +14 *68:14 *2227:14 0.00135552 +15 *70:8 *2227:14 0 +16 *555:14 *2227:14 0 +17 *2224:8 *2227:14 0.00035377 +18 *2224:11 *2227:11 0.0109536 +*RES +1 *2471:ser_rx *2227:10 14.1566 +2 *2227:10 *2227:11 114.972 +3 *2227:11 *2227:13 4.5 +4 *2227:13 *2227:14 123.293 +5 *2227:14 *2478:ser_rx 7.17999 +*END + +*D_NET *2228 0.0315445 +*CONN +*I *2471:ser_tx I *D housekeeping +*I *2478:ser_tx O *D mgmt_core_wrapper +*CAP +1 *2471:ser_tx 0.0018226 +2 *2478:ser_tx 0.000915934 +3 *2228:11 0.00436345 +4 *2228:10 0.00254086 +5 *2228:8 0.00227554 +6 *2228:7 0.00319148 +7 *2471:ser_tx *2271:10 0.000332717 +8 *2228:8 *2271:14 0.000813395 +9 *2228:11 *2271:11 0.010996 +10 *538:14 *2228:8 0 +11 *2224:8 *2228:8 0.00429253 +12 *2227:10 *2471:ser_tx 0 +*RES +1 *2478:ser_tx *2228:7 6.87403 +2 *2228:7 *2228:8 96.7171 +3 *2228:8 *2228:10 4.5 +4 *2228:10 *2228:11 116.636 +5 *2228:11 *2471:ser_tx 25.0297 +*END + +*D_NET *2229 0.0327793 +*CONN +*I *2471:spi_csb I *D housekeeping +*I *2478:spi_csb O *D mgmt_core_wrapper +*CAP +1 *2471:spi_csb 0.00191358 +2 *2478:spi_csb 0.0015218 +3 *2229:11 0.00410645 +4 *2229:10 0.00219287 +5 *2229:8 0.00257533 +6 *2229:7 0.00409713 +7 *2471:spi_csb *2471:spi_sck 0.000203088 +8 *2471:spi_csb *2471:spi_sdo 0.000176441 +9 *2471:spi_csb *2271:10 0 +10 *2229:8 *2230:8 0.000820887 +11 *2229:11 *2272:11 0.0101555 +12 *549:14 *2229:8 0.000399583 +13 *602:14 *2229:8 0.00461661 +*RES +1 *2478:spi_csb *2229:7 8.32734 +2 *2229:7 *2229:8 108.759 +3 *2229:8 *2229:10 4.5 +4 *2229:10 *2229:11 106.098 +5 *2229:11 *2471:spi_csb 28.767 +*END + +*D_NET *2230 0.035289 +*CONN +*I *2471:spi_enabled I *D housekeeping +*I *2478:spi_enabled O *D mgmt_core_wrapper +*CAP +1 *2471:spi_enabled 0.00147116 +2 *2478:spi_enabled 0.00145461 +3 *2230:11 0.00372756 +4 *2230:10 0.0022564 +5 *2230:8 0.00198281 +6 *2230:7 0.00343742 +7 *2471:spi_enabled *2471:uart_enabled 0 +8 *2230:8 *2272:8 0.000332825 +9 *2230:8 *2273:8 0.00338167 +10 *2471:wb_stb_i *2471:spi_enabled 0.000119662 +11 *526:10 *2471:spi_enabled 0.000124349 +12 *526:11 *2230:11 0.0102446 +13 *549:14 *2230:8 0.00352242 +14 *557:18 *2230:8 8.70315e-05 +15 *559:8 *2230:8 0 +16 *559:11 *2230:11 2.41483e-05 +17 *602:14 *2230:8 0.00230153 +18 *2229:8 *2230:8 0.000820887 +*RES +1 *2478:spi_enabled *2230:7 8.17437 +2 *2230:7 *2230:8 122.048 +3 *2230:8 *2230:10 4.5 +4 *2230:10 *2230:11 107.762 +5 *2230:11 *2471:spi_enabled 15.4024 +*END + +*D_NET *2231 0.574623 +*CONN +*I *2416:sel2[0] I *D caravel_clocking +*I *2471:pll90_sel[0] O *D housekeeping +*CAP +1 *2416:sel2[0] 0.00117348 +2 *2471:pll90_sel[0] 0.00115631 +3 *2231:19 0.00316959 +4 *2231:18 0.00199611 +5 *2231:16 0.0131087 +6 *2231:15 0.0131087 +7 *2231:13 0.00258631 +8 *2231:12 0.00374262 +9 *2231:12 *2232:12 0.000326398 +10 *2231:12 *2233:10 3.31745e-05 +11 *2231:12 *2239:12 0.000470557 +12 *2231:12 *2240:12 7.92757e-06 +13 *2231:12 *2243:7 0 +14 *2231:13 *2233:13 0.0199096 +15 *2231:13 *2242:7 0.0199132 +16 *2231:16 *2232:16 0.225203 +17 *2231:16 *2233:16 2.41483e-05 +18 *2231:16 *2242:10 0.225163 +19 *2231:19 *2232:19 0.000291612 +20 *2231:19 *2233:19 0.0107285 +21 *2231:19 *2242:13 0.00018896 +22 *73:19 *2231:19 0.0102051 +23 *78:16 *2231:16 0.00767111 +24 *84:22 *2231:16 0.0144457 +*RES +1 *2471:pll90_sel[0] *2231:12 43.9973 +2 *2231:12 *2231:13 318.669 +3 *2231:13 *2231:15 4.5 +4 *2231:15 *2231:16 2353.35 +5 *2231:16 *2231:18 4.5 +6 *2231:18 *2231:19 190.564 +7 *2231:19 *2416:sel2[0] 7.71542 +*END + +*D_NET *2232 0.560752 +*CONN +*I *2416:sel2[1] I *D caravel_clocking +*I *2471:pll90_sel[1] O *D housekeeping +*CAP +1 *2416:sel2[1] 0.00114392 +2 *2471:pll90_sel[1] 0.00112396 +3 *2232:19 0.00264998 +4 *2232:18 0.00150606 +5 *2232:16 0.0134597 +6 *2232:15 0.0134597 +7 *2232:13 0.00699723 +8 *2232:12 0.00812119 +9 *2232:12 *2233:10 0.000685261 +10 *2232:12 *2239:12 0.000161493 +11 *2232:12 *2240:13 1.87469e-05 +12 *2232:13 *2233:13 0.000399219 +13 *2232:13 *2235:13 0 +14 *2232:13 *2243:7 0.019074 +15 *2232:16 *2233:16 1.00846e-05 +16 *2232:16 *2241:16 0.223627 +17 *2232:16 *2242:10 4.0752e-05 +18 *2232:19 *2233:19 0.0107321 +19 *2232:19 *2241:19 2.5386e-05 +20 *2232:19 *2242:13 0.0113198 +21 *73:19 *2232:19 5.66868e-06 +22 *78:16 *2232:16 0.00706908 +23 *84:22 *2232:16 0.0132807 +24 *2219:8 *2232:13 0 +25 *2220:11 *2232:16 2.01874e-05 +26 *2221:13 *2232:16 0 +27 *2231:12 *2232:12 0.000326398 +28 *2231:16 *2232:16 0.225203 +29 *2231:19 *2232:19 0.000291612 +*RES +1 *2471:pll90_sel[1] *2232:12 45.8005 +2 *2232:12 *2232:13 318.669 +3 *2232:13 *2232:15 4.5 +4 *2232:15 *2232:16 2356.12 +5 *2232:16 *2232:18 4.5 +6 *2232:18 *2232:19 181.844 +7 *2232:19 *2416:sel2[1] 7.56244 +*END + +*D_NET *2233 0.575 +*CONN +*I *2416:sel2[2] I *D caravel_clocking +*I *2471:pll90_sel[2] O *D housekeeping +*CAP +1 *2416:sel2[2] 0.00116606 +2 *2471:pll90_sel[2] 0.00119149 +3 *2233:19 0.00253111 +4 *2233:18 0.00136505 +5 *2233:16 0.0131218 +6 *2233:15 0.0131218 +7 *2233:13 0.0026917 +8 *2233:12 0.0026917 +9 *2233:10 0.00119149 +10 *2233:10 *2239:12 9.8904e-05 +11 *2233:10 *2240:12 0.000685247 +12 *2233:10 *2244:7 0 +13 *2233:13 *2242:7 3.31591e-05 +14 *2233:13 *2243:7 0.0190965 +15 *2233:16 *2242:10 0.225207 +16 *78:16 *2233:16 0.0227635 +17 *2220:11 *2233:16 0.22532 +18 *2223:15 *2233:10 0.000202245 +19 *2231:12 *2233:10 3.31745e-05 +20 *2231:13 *2233:13 0.0199096 +21 *2231:16 *2233:16 2.41483e-05 +22 *2231:19 *2233:19 0.0107285 +23 *2232:12 *2233:10 0.000685261 +24 *2232:13 *2233:13 0.000399219 +25 *2232:16 *2233:16 1.00846e-05 +26 *2232:19 *2233:19 0.0107321 +*RES +1 *2471:pll90_sel[2] *2233:10 45.8767 +2 *2233:10 *2233:12 4.5 +3 *2233:12 *2233:13 320.33 +4 *2233:13 *2233:15 4.5 +5 *2233:15 *2233:16 2354.46 +6 *2233:16 *2233:18 4.5 +7 *2233:18 *2233:19 171.463 +8 *2233:19 *2416:sel2[2] 7.63893 +*END + +*D_NET *2234 0.0133558 +*CONN +*I *2475:dco I *D digital_pll +*I *2471:pll_dco_ena O *D housekeeping +*CAP +1 *2475:dco 0.00151073 +2 *2471:pll_dco_ena 0.00119932 +3 *2234:12 0.00248869 +4 *2234:9 0.00217727 +5 *2475:dco *2475:enable 0 +6 *2475:dco *2475:ext_trim[0] 0 +7 *2475:dco *2236:13 0.00202569 +8 *2475:dco *2237:13 0.00225543 +9 *2475:dco *2239:13 2.04806e-05 +10 *2475:dco *2240:13 0 +11 *2234:9 *2235:9 0 +12 *2234:9 *2240:9 0 +13 *2234:12 *2235:12 0 +14 *2234:12 *2237:12 0.00112789 +15 *2234:12 *2238:12 0.000550309 +*RES +1 *2471:pll_dco_ena *2234:9 38.0744 +2 *2234:9 *2234:12 43.491 +3 *2234:12 *2475:dco 44.4832 +*END + +*D_NET *2235 0.0133879 +*CONN +*I *2475:div[0] I *D digital_pll +*I *2471:pll_div[0] O *D housekeeping +*CAP +1 *2475:div[0] 0.0013512 +2 *2471:pll_div[0] 0.00109468 +3 *2235:13 0.00401363 +4 *2235:12 0.00337363 +5 *2235:9 0.00180589 +6 *2475:div[0] *2475:div[1] 0 +7 *2235:9 *2236:9 0 +8 *2235:12 *2238:12 0.000267435 +9 *2235:12 *2239:12 0.00120114 +10 *2235:12 *2240:12 2.82142e-05 +11 *2235:12 *2241:12 0.000252046 +12 *2235:13 *2240:13 0 +13 *2219:7 *2475:div[0] 0 +14 *2232:13 *2235:13 0 +15 *2234:9 *2235:9 0 +16 *2234:12 *2235:12 0 +*RES +1 *2471:pll_div[0] *2235:9 35.1676 +2 *2235:9 *2235:12 32.9536 +3 *2235:12 *2235:13 74.7088 +4 *2235:13 *2475:div[0] 8.48033 +*END + +*D_NET *2236 0.0132061 +*CONN +*I *2475:div[1] I *D digital_pll +*I *2471:pll_div[1] O *D housekeeping +*CAP +1 *2475:div[1] 0.00112098 +2 *2471:pll_div[1] 0.00128653 +3 *2236:13 0.00262283 +4 *2236:12 0.0027021 +5 *2236:9 0.00248678 +6 *2475:div[1] *2475:div[2] 0 +7 *2236:9 *2237:9 0 +8 *2236:9 *2241:13 0.000258511 +9 *2236:12 *2237:12 0 +10 *2236:13 *2237:13 0.000702694 +11 *2236:13 *2240:13 0 +12 *2475:dco *2236:13 0.00202569 +13 *2475:div[0] *2475:div[1] 0 +14 *2235:9 *2236:9 0 +*RES +1 *2471:pll_div[1] *2236:9 42.6421 +2 *2236:9 *2236:12 35.172 +3 *2236:12 *2236:13 61.4207 +4 *2236:13 *2475:div[1] 7.63893 +*END + +*D_NET *2237 0.0147391 +*CONN +*I *2475:div[2] I *D digital_pll +*I *2471:pll_div[2] O *D housekeeping +*CAP +1 *2475:div[2] 0.00108101 +2 *2471:pll_div[2] 0.00124556 +3 *2237:13 0.00175148 +4 *2237:12 0.0014235 +5 *2237:9 0.00199859 +6 *2475:div[2] *2475:div[3] 0 +7 *2237:9 *2238:9 0 +8 *2237:9 *2241:13 0 +9 *2237:12 *2238:12 1.4091e-06 +10 *2237:13 *2238:13 0.000177702 +11 *2237:13 *2239:13 0.00297387 +12 *2475:dco *2237:13 0.00225543 +13 *2475:div[1] *2475:div[2] 0 +14 *2234:12 *2237:12 0.00112789 +15 *2236:9 *2237:9 0 +16 *2236:12 *2237:12 0 +17 *2236:13 *2237:13 0.000702694 +*RES +1 *2471:pll_div[2] *2237:9 38.9049 +2 *2237:9 *2237:12 32.399 +3 *2237:12 *2237:13 59.3444 +4 *2237:13 *2475:div[2] 7.48595 +*END + +*D_NET *2238 0.0125365 +*CONN +*I *2475:div[3] I *D digital_pll +*I *2471:pll_div[3] O *D housekeeping +*CAP +1 *2475:div[3] 0.00104104 +2 *2471:pll_div[3] 0.00116672 +3 *2238:13 0.00199308 +4 *2238:12 0.00163417 +5 *2238:9 0.00184884 +6 *2475:div[3] *2475:div[4] 0 +7 *2238:9 *2239:9 0 +8 *2238:12 *2239:12 4.63473e-05 +9 *2238:13 *2239:13 0.00309942 +10 *2238:13 *2244:7 0.000710009 +11 *2475:div[2] *2475:div[3] 0 +12 *2234:12 *2238:12 0.000550309 +13 *2235:12 *2238:12 0.000267435 +14 *2237:9 *2238:9 0 +15 *2237:12 *2238:12 1.4091e-06 +16 *2237:13 *2238:13 0.000177702 +*RES +1 *2471:pll_div[3] *2238:9 36.8286 +2 *2238:9 *2238:12 29.626 +3 *2238:12 *2238:13 55.6072 +4 *2238:13 *2475:div[3] 7.33297 +*END + +*D_NET *2239 0.0152957 +*CONN +*I *2475:div[4] I *D digital_pll +*I *2471:pll_div[4] O *D housekeeping +*CAP +1 *2475:div[4] 0.00107877 +2 *2471:pll_div[4] 0.00071264 +3 *2239:13 0.00160175 +4 *2239:12 0.000899571 +5 *2239:9 0.00108923 +6 *2475:div[4] *2475:enable 0 +7 *2239:9 *2241:12 0.00170298 +8 *2239:12 *2240:12 0.000109827 +9 *2239:12 *2241:12 2.65831e-05 +10 *2239:13 *2240:13 0 +11 *2239:13 *2244:7 0 +12 *2475:dco *2239:13 2.04806e-05 +13 *2475:div[3] *2475:div[4] 0 +14 *2223:15 *2239:12 2.18041e-06 +15 *2231:12 *2239:12 0.000470557 +16 *2232:12 *2239:12 0.000161493 +17 *2233:10 *2239:12 9.8904e-05 +18 *2235:12 *2239:12 0.00120114 +19 *2237:13 *2239:13 0.00297387 +20 *2238:9 *2239:9 0 +21 *2238:12 *2239:12 4.63473e-05 +22 *2238:13 *2239:13 0.00309942 +*RES +1 *2471:pll_div[4] *2239:9 34.7523 +2 *2239:9 *2239:12 25.1891 +3 *2239:12 *2239:13 51.8699 +4 *2239:13 *2475:div[4] 7.40946 +*END + +*D_NET *2240 0.0142408 +*CONN +*I *2475:enable I *D digital_pll +*I *2471:pll_ena O *D housekeeping +*CAP +1 *2475:enable 0.0013163 +2 *2471:pll_ena 0.00101724 +3 *2240:13 0.00304772 +4 *2240:12 0.00232911 +5 *2240:9 0.00161494 +6 *2240:12 *2241:12 0.000457819 +7 *2475:dco *2475:enable 0 +8 *2475:dco *2240:13 0 +9 *2475:div[4] *2475:enable 0 +10 *2223:15 *2240:12 0.00360771 +11 *2231:12 *2240:12 7.92757e-06 +12 *2232:12 *2240:13 1.87469e-05 +13 *2233:10 *2240:12 0.000685247 +14 *2234:9 *2240:9 0 +15 *2235:12 *2240:12 2.82142e-05 +16 *2235:13 *2240:13 0 +17 *2236:13 *2240:13 0 +18 *2239:12 *2240:12 0.000109827 +19 *2239:13 *2240:13 0 +*RES +1 *2471:pll_ena *2240:9 33.0913 +2 *2240:9 *2240:12 42.9364 +3 *2240:12 *2240:13 47.7174 +4 *2240:13 *2475:enable 8.17437 +*END + +*D_NET *2241 0.399408 +*CONN +*I *2416:sel[0] I *D caravel_clocking +*I *2471:pll_sel[0] O *D housekeeping +*CAP +1 *2416:sel[0] 0.00106623 +2 *2471:pll_sel[0] 0.000884902 +3 *2241:19 0.00322466 +4 *2241:18 0.00215843 +5 *2241:16 0.0482996 +6 *2241:15 0.0482996 +7 *2241:13 0.0111819 +8 *2241:12 0.0120668 +9 *2241:16 *2242:10 7.92757e-06 +10 *2241:19 *2242:13 0.0130269 +11 *2241:19 *2243:13 0.012532 +12 *2474:flash_csb_oeb_core *2241:16 5.76883e-05 +13 *73:19 *2241:19 0 +14 *76:21 *2241:16 0 +15 *78:16 *2241:16 0.00652931 +16 *82:22 *2241:16 0.013721 +17 *2220:11 *2241:16 1.4091e-06 +18 *2221:13 *2241:16 0 +19 *2232:16 *2241:16 0.223627 +20 *2232:19 *2241:19 2.5386e-05 +21 *2235:12 *2241:12 0.000252046 +22 *2236:9 *2241:13 0.000258511 +23 *2237:9 *2241:13 0 +24 *2239:9 *2241:12 0.00170298 +25 *2239:12 *2241:12 2.65831e-05 +26 *2240:12 *2241:12 0.000457819 +*RES +1 *2471:pll_sel[0] *2241:12 49.6827 +2 *2241:12 *2241:13 318.254 +3 *2241:13 *2241:15 4.5 +4 *2241:15 *2241:16 2337.82 +5 *2241:16 *2241:18 4.5 +6 *2241:18 *2241:19 218.801 +7 *2241:19 *2416:sel[0] 7.40946 +*END + +*D_NET *2242 0.564295 +*CONN +*I *2416:sel[1] I *D caravel_clocking +*I *2471:pll_sel[1] O *D housekeeping +*CAP +1 *2416:sel[1] 0.00108838 +2 *2471:pll_sel[1] 1.28869e-05 +3 *2242:13 0.00306618 +4 *2242:12 0.0019778 +5 *2242:10 0.0131471 +6 *2242:9 0.0131471 +7 *2242:7 0.00770439 +8 *2242:5 0.00771728 +9 *2242:7 *2243:7 0.000566491 +10 *78:16 *2242:10 0.0209337 +11 *2220:11 *2242:10 3.31745e-05 +12 *2231:13 *2242:7 0.0199132 +13 *2231:16 *2242:10 0.225163 +14 *2231:19 *2242:13 0.00018896 +15 *2232:16 *2242:10 4.0752e-05 +16 *2232:19 *2242:13 0.0113198 +17 *2233:13 *2242:7 3.31591e-05 +18 *2233:16 *2242:10 0.225207 +19 *2241:16 *2242:10 7.92757e-06 +20 *2241:19 *2242:13 0.0130269 +*RES +1 *2471:pll_sel[1] *2242:5 0.366399 +2 *2242:5 *2242:7 346.699 +3 *2242:7 *2242:9 4.5 +4 *2242:9 *2242:10 2354.46 +5 *2242:10 *2242:12 4.5 +6 *2242:12 *2242:13 208.42 +7 *2242:13 *2416:sel[1] 7.48595 +*END + +*D_NET *2243 0.503036 +*CONN +*I *2416:sel[2] I *D caravel_clocking +*I *2471:pll_sel[2] O *D housekeeping +*CAP +1 *2416:sel[2] 0.00104624 +2 *2471:pll_sel[2] 7.21667e-05 +3 *2243:13 0.00589525 +4 *2243:12 0.00484901 +5 *2243:10 0.0156432 +6 *2243:9 0.0156432 +7 *2243:7 0.00318159 +8 *2243:5 0.00325376 +9 *67:29 *2243:10 0.00070936 +10 *72:16 *2243:10 0.223986 +11 *73:16 *2243:10 0.0359745 +12 *73:19 *2243:13 0 +13 *465:22 *2243:10 0.141513 +14 *2231:12 *2243:7 0 +15 *2232:13 *2243:7 0.019074 +16 *2233:13 *2243:7 0.0190965 +17 *2241:19 *2243:13 0.012532 +18 *2242:7 *2243:7 0.000566491 +*RES +1 *2471:pll_sel[2] *2243:5 2.05183 +2 *2243:5 *2243:7 332.165 +3 *2243:7 *2243:9 4.5 +4 *2243:9 *2243:10 2357.23 +5 *2243:10 *2243:12 4.5 +6 *2243:12 *2243:13 213.818 +7 *2243:13 *2416:sel[2] 7.33297 +*END + +*D_NET *2244 0.00681891 +*CONN +*I *2475:ext_trim[0] I *D digital_pll +*I *2471:pll_trim[0] O *D housekeeping +*CAP +1 *2475:ext_trim[0] 0.000981075 +2 *2471:pll_trim[0] 0.000111687 +3 *2244:7 0.00289946 +4 *2244:5 0.00203007 +5 *2475:ext_trim[0] *2475:ext_trim[1] 0 +6 *2244:7 *2475:ext_trim[1] 8.66112e-05 +7 *2244:7 *2255:7 0 +8 *2475:dco *2475:ext_trim[0] 0 +9 *2233:10 *2244:7 0 +10 *2238:13 *2244:7 0.000710009 +11 *2239:13 *2244:7 0 +*RES +1 *2471:pll_trim[0] *2244:5 3.17546 +2 *2244:5 *2244:7 63.2893 +3 *2244:7 *2475:ext_trim[0] 7.1035 +*END + +*D_NET *2245 0.00252339 +*CONN +*I *2475:ext_trim[10] I *D digital_pll +*I *2471:pll_trim[10] O *D housekeeping +*CAP +1 *2475:ext_trim[10] 0.00118167 +2 *2471:pll_trim[10] 0.00118167 +3 *2475:ext_trim[10] *2475:ext_trim[11] 8.82488e-05 +4 *2475:ext_trim[10] *2475:ext_trim[12] 1.14973e-06 +5 *2475:ext_trim[10] *2475:ext_trim[9] 0 +6 *2223:15 *2475:ext_trim[10] 7.0656e-05 +*RES +1 *2471:pll_trim[10] *2475:ext_trim[10] 43.0461 +*END + +*D_NET *2246 0.00288471 +*CONN +*I *2475:ext_trim[11] I *D digital_pll +*I *2471:pll_trim[11] O *D housekeeping +*CAP +1 *2475:ext_trim[11] 0.00129071 +2 *2471:pll_trim[11] 0.00129071 +3 *2475:ext_trim[11] *2475:ext_trim[12] 0.000147308 +4 *2475:ext_trim[10] *2475:ext_trim[11] 8.82488e-05 +5 *2223:15 *2475:ext_trim[11] 6.77202e-05 +*RES +1 *2471:pll_trim[11] *2475:ext_trim[11] 46.5699 +*END + +*D_NET *2247 0.00295386 +*CONN +*I *2475:ext_trim[12] I *D digital_pll +*I *2471:pll_trim[12] O *D housekeeping +*CAP +1 *2475:ext_trim[12] 0.0014027 +2 *2471:pll_trim[12] 0.0014027 +3 *2475:ext_trim[12] *2475:ext_trim[13] 0 +4 *2475:ext_trim[12] *2248:12 0 +5 *2475:ext_trim[12] *2249:12 0 +6 *2475:ext_trim[10] *2475:ext_trim[12] 1.14973e-06 +7 *2475:ext_trim[11] *2475:ext_trim[12] 0.000147308 +*RES +1 *2471:pll_trim[12] *2475:ext_trim[12] 49.1467 +*END + +*D_NET *2248 0.00383686 +*CONN +*I *2475:ext_trim[13] I *D digital_pll +*I *2471:pll_trim[13] O *D housekeeping +*CAP +1 *2475:ext_trim[13] 0.00025048 +2 *2471:pll_trim[13] 0.00120066 +3 *2248:12 0.00145114 +4 *2475:ext_trim[13] *2251:9 8.99995e-05 +5 *2475:ext_trim[13] *2252:9 0 +6 *2248:12 *2249:12 0.000844584 +7 *2475:ext_trim[12] *2475:ext_trim[13] 0 +8 *2475:ext_trim[12] *2248:12 0 +*RES +1 *2471:pll_trim[13] *2248:12 45.6723 +2 *2248:12 *2475:ext_trim[13] 6.99822 +*END + +*D_NET *2249 0.00481883 +*CONN +*I *2475:ext_trim[14] I *D digital_pll +*I *2471:pll_trim[14] O *D housekeeping +*CAP +1 *2475:ext_trim[14] 0.000277005 +2 *2471:pll_trim[14] 0.00112575 +3 *2249:12 0.00140276 +4 *2249:12 *2475:ext_trim[18] 6.50586e-05 +5 *2249:12 *2250:10 0.00110368 +6 *2475:ext_trim[12] *2249:12 0 +7 *2248:12 *2249:12 0.000844584 +*RES +1 *2471:pll_trim[14] *2249:12 48.306 +2 *2249:12 *2475:ext_trim[14] 6.58297 +*END + +*D_NET *2250 0.00556456 +*CONN +*I *2475:ext_trim[15] I *D digital_pll +*I *2471:pll_trim[15] O *D housekeeping +*CAP +1 *2475:ext_trim[15] 0.000189893 +2 *2471:pll_trim[15] 0.00114825 +3 *2250:10 0.00133814 +4 *2475:ext_trim[15] *2256:9 0.000244386 +5 *2475:ext_trim[15] *2257:10 0 +6 *2250:10 *2475:ext_trim[16] 0 +7 *2250:10 *2475:ext_trim[17] 0.001057 +8 *2250:10 *2475:ext_trim[18] 0.000159075 +9 *2250:10 *2475:ext_trim[19] 0.000324137 +10 *2250:10 *2251:9 0 +11 *2249:12 *2250:10 0.00110368 +*RES +1 *2471:pll_trim[15] *2250:10 47.5489 +2 *2250:10 *2475:ext_trim[15] 10.6677 +*END + +*D_NET *2251 0.00396948 +*CONN +*I *2475:ext_trim[16] I *D digital_pll +*I *2471:pll_trim[16] O *D housekeeping +*CAP +1 *2475:ext_trim[16] 0.000897275 +2 *2471:pll_trim[16] 0.000926816 +3 *2251:9 0.00182409 +4 *2475:ext_trim[16] *2475:ext_trim[17] 0.000116221 +5 *2475:ext_trim[16] *2475:ext_trim[20] 5.07452e-05 +6 *2475:ext_trim[16] *2257:10 0 +7 *2475:ext_trim[16] *2258:9 5.00247e-05 +8 *2475:ext_trim[16] *2258:12 1.43041e-05 +9 *2475:ext_trim[16] *2259:9 0 +10 *2251:9 *2252:9 0 +11 *2475:ext_trim[13] *2251:9 8.99995e-05 +12 *2250:10 *2475:ext_trim[16] 0 +13 *2250:10 *2251:9 0 +*RES +1 *2471:pll_trim[16] *2251:9 31.0151 +2 *2251:9 *2475:ext_trim[16] 29.7783 +*END + +*D_NET *2252 0.00526663 +*CONN +*I *2475:ext_trim[17] I *D digital_pll +*I *2471:pll_trim[17] O *D housekeeping +*CAP +1 *2475:ext_trim[17] 0.000800243 +2 *2471:pll_trim[17] 0.000879026 +3 *2252:9 0.00167927 +4 *2475:ext_trim[17] *2475:ext_trim[19] 0.000573529 +5 *2475:ext_trim[17] *2258:12 0 +6 *2475:ext_trim[17] *2259:9 7.07361e-05 +7 *2475:ext_trim[17] *2260:9 9.06048e-05 +8 *2252:9 *2253:9 0 +9 *2475:ext_trim[13] *2252:9 0 +10 *2475:ext_trim[16] *2475:ext_trim[17] 0.000116221 +11 *2250:10 *2475:ext_trim[17] 0.001057 +12 *2251:9 *2252:9 0 +*RES +1 *2471:pll_trim[17] *2252:9 28.9388 +2 *2252:9 *2475:ext_trim[17] 35.3784 +*END + +*D_NET *2253 0.00628987 +*CONN +*I *2475:ext_trim[18] I *D digital_pll +*I *2471:pll_trim[18] O *D housekeeping +*CAP +1 *2475:ext_trim[18] 0.000962972 +2 *2471:pll_trim[18] 0.000798383 +3 *2253:9 0.00176135 +4 *2475:ext_trim[18] *2475:ext_trim[19] 0.00225269 +5 *2475:ext_trim[18] *2261:9 0.000290332 +6 *2253:9 *2254:9 0 +7 *72:12 *2475:ext_trim[18] 0 +8 *2249:12 *2475:ext_trim[18] 6.50586e-05 +9 *2250:10 *2475:ext_trim[18] 0.000159075 +10 *2252:9 *2253:9 0 +*RES +1 *2471:pll_trim[18] *2253:9 27.1312 +2 *2253:9 *2475:ext_trim[18] 39.9518 +*END + +*D_NET *2254 0.00685926 +*CONN +*I *2475:ext_trim[19] I *D digital_pll +*I *2471:pll_trim[19] O *D housekeeping +*CAP +1 *2475:ext_trim[19] 0.000835791 +2 *2471:pll_trim[19] 0.00084198 +3 *2254:9 0.00167777 +4 *2475:ext_trim[19] *2258:12 0 +5 *2475:ext_trim[19] *2260:15 0 +6 *2254:9 *2256:9 0 +7 *2471:wb_clk_i *2475:ext_trim[19] 0.000269383 +8 *2475:ext_trim[17] *2475:ext_trim[19] 0.000573529 +9 *2475:ext_trim[18] *2475:ext_trim[19] 0.00225269 +10 *66:17 *2475:ext_trim[19] 8.39733e-05 +11 *2250:10 *2475:ext_trim[19] 0.000324137 +12 *2253:9 *2254:9 0 +*RES +1 *2471:pll_trim[19] *2254:9 28.1083 +2 *2254:9 *2475:ext_trim[19] 42.2951 +*END + +*D_NET *2255 0.00651777 +*CONN +*I *2475:ext_trim[1] I *D digital_pll +*I *2471:pll_trim[1] O *D housekeeping +*CAP +1 *2475:ext_trim[1] 0.00121172 +2 *2471:pll_trim[1] 7.21667e-05 +3 *2255:7 0.00314341 +4 *2255:5 0.00200385 +5 *2475:ext_trim[1] *2475:ext_trim[2] 0 +6 *2475:ext_trim[0] *2475:ext_trim[1] 0 +7 *2244:7 *2475:ext_trim[1] 8.66112e-05 +8 *2244:7 *2255:7 0 +*RES +1 *2471:pll_trim[1] *2255:5 2.05183 +2 *2255:5 *2255:7 54.8133 +3 *2255:7 *2475:ext_trim[1] 13.8877 +*END + +*D_NET *2256 0.00626002 +*CONN +*I *2475:ext_trim[20] I *D digital_pll +*I *2471:pll_trim[20] O *D housekeeping +*CAP +1 *2475:ext_trim[20] 0.00149322 +2 *2471:pll_trim[20] 0.000949418 +3 *2256:9 0.00244264 +4 *2475:ext_trim[20] *2257:15 0.000343399 +5 *2475:ext_trim[20] *2258:12 0.000193186 +6 *2475:ext_trim[20] *2259:14 0.000543025 +7 *2256:9 *2257:10 0 +8 *2475:ext_trim[15] *2256:9 0.000244386 +9 *2475:ext_trim[16] *2475:ext_trim[20] 5.07452e-05 +10 *2223:11 *2475:ext_trim[20] 0 +11 *2254:9 *2256:9 0 +*RES +1 *2471:pll_trim[20] *2256:9 31.9677 +2 *2256:9 *2475:ext_trim[20] 29.2656 +*END + +*D_NET *2257 0.00754898 +*CONN +*I *2475:ext_trim[21] I *D digital_pll +*I *2471:pll_trim[21] O *D housekeeping +*CAP +1 *2475:ext_trim[21] 0.000263427 +2 *2471:pll_trim[21] 0.00109759 +3 *2257:15 0.00104626 +4 *2257:10 0.00188042 +5 *2257:10 *2258:9 0 +6 *2257:15 *2259:14 3.73029e-05 +7 *2257:15 *2261:12 0.000113033 +8 *2471:wb_clk_i *2257:15 0.000266632 +9 *2475:ext_trim[15] *2257:10 0 +10 *2475:ext_trim[16] *2257:10 0 +11 *2475:ext_trim[20] *2257:15 0.000343399 +12 *66:17 *2257:15 0.00108295 +13 *72:12 *2257:15 0.000169038 +14 *72:13 *2257:15 0.00107828 +15 *2223:11 *2257:15 0.000170642 +16 *2256:9 *2257:10 0 +*RES +1 *2471:pll_trim[21] *2257:10 34.5006 +2 *2257:10 *2257:15 48.6937 +3 *2257:15 *2475:ext_trim[21] 3.93415 +*END + +*D_NET *2258 0.0153262 +*CONN +*I *2475:ext_trim[22] I *D digital_pll +*I *2471:pll_trim[22] O *D housekeeping +*CAP +1 *2475:ext_trim[22] 0.00152799 +2 *2471:pll_trim[22] 0.000971517 +3 *2258:12 0.00198111 +4 *2258:9 0.00142464 +5 *2475:ext_trim[22] *2260:15 1.55462e-05 +6 *2475:ext_trim[22] *2261:13 0.00228719 +7 *2258:9 *2259:9 0 +8 *2258:12 *2259:14 0.00356749 +9 *2258:12 *2260:15 0.00329323 +10 *2475:ext_trim[16] *2258:9 5.00247e-05 +11 *2475:ext_trim[16] *2258:12 1.43041e-05 +12 *2475:ext_trim[17] *2258:12 0 +13 *2475:ext_trim[19] *2258:12 0 +14 *2475:ext_trim[20] *2258:12 0.000193186 +15 *2257:10 *2258:9 0 +*RES +1 *2471:pll_trim[22] *2258:9 31.699 +2 *2258:9 *2258:12 46.8187 +3 *2258:12 *2475:ext_trim[22] 43.5545 +*END + +*D_NET *2259 0.018597 +*CONN +*I *2475:ext_trim[23] I *D digital_pll +*I *2471:pll_trim[23] O *D housekeeping +*CAP +1 *2475:ext_trim[23] 0.000701175 +2 *2471:pll_trim[23] 0.000996848 +3 *2259:15 0.00113785 +4 *2259:14 0.000858132 +5 *2259:9 0.00141831 +6 *2259:9 *2260:9 0 +7 *2259:14 *2260:15 4.0752e-05 +8 *2259:14 *2261:12 0.00287268 +9 *2259:15 *2260:17 0.00317635 +10 *2259:15 *2261:13 0.00317635 +11 *2475:ext_trim[16] *2259:9 0 +12 *2475:ext_trim[17] *2259:9 7.07361e-05 +13 *2475:ext_trim[20] *2259:14 0.000543025 +14 *2257:15 *2259:14 3.73029e-05 +15 *2258:9 *2259:9 0 +16 *2258:12 *2259:14 0.00356749 +*RES +1 *2471:pll_trim[23] *2259:9 32.6761 +2 *2259:9 *2259:14 45.7095 +3 *2259:14 *2259:15 51.0394 +4 *2259:15 *2475:ext_trim[23] 5.95615 +*END + +*D_NET *2260 0.0155025 +*CONN +*I *2475:ext_trim[24] I *D digital_pll +*I *2471:pll_trim[24] O *D housekeeping +*CAP +1 *2475:ext_trim[24] 0.000708619 +2 *2471:pll_trim[24] 0.000911277 +3 *2260:17 0.00224764 +4 *2260:15 0.00254154 +5 *2260:9 0.00191379 +6 *2260:9 *2261:9 0 +7 *2260:15 *2261:13 7.22422e-05 +8 *2260:17 *2261:13 0.000457741 +9 *2471:mask_rev_in[4] *2260:15 3.31733e-05 +10 *2471:mask_rev_in[5] *2260:15 0 +11 *2471:mask_rev_in[5] *2260:17 0 +12 *2475:ext_trim[17] *2260:9 9.06048e-05 +13 *2475:ext_trim[19] *2260:15 0 +14 *2475:ext_trim[22] *2260:15 1.55462e-05 +15 *1531:11 *2260:17 0 +16 *2258:12 *2260:15 0.00329323 +17 *2259:9 *2260:9 0 +18 *2259:14 *2260:15 4.0752e-05 +19 *2259:15 *2260:17 0.00317635 +*RES +1 *2471:pll_trim[24] *2260:9 30.722 +2 *2260:9 *2260:15 43.9057 +3 *2260:15 *2260:17 66.6113 +4 *2260:17 *2475:ext_trim[24] 6.03264 +*END + +*D_NET *2261 0.0175663 +*CONN +*I *2475:ext_trim[25] I *D digital_pll +*I *2471:pll_trim[25] O *D housekeeping +*CAP +1 *2475:ext_trim[25] 0.000666486 +2 *2471:pll_trim[25] 0.000962254 +3 *2261:13 0.00226509 +4 *2261:12 0.00217091 +5 *2261:9 0.00153457 +6 *2471:wb_clk_i *2261:12 1.65872e-05 +7 *2475:ext_trim[18] *2261:9 0.000290332 +8 *2475:ext_trim[22] *2261:13 0.00228719 +9 *72:12 *2261:9 0 +10 *72:12 *2261:12 0.000680863 +11 *2223:11 *2261:12 0 +12 *2257:15 *2261:12 0.000113033 +13 *2259:14 *2261:12 0.00287268 +14 *2259:15 *2261:13 0.00317635 +15 *2260:9 *2261:9 0 +16 *2260:15 *2261:13 7.22422e-05 +17 *2260:17 *2261:13 0.000457741 +*RES +1 *2471:pll_trim[25] *2261:9 32.5295 +2 *2261:9 *2261:12 35.172 +3 *2261:12 *2261:13 82.5985 +4 *2261:13 *2475:ext_trim[25] 5.87966 +*END + +*D_NET *2262 0.00484567 +*CONN +*I *2475:ext_trim[2] I *D digital_pll +*I *2471:pll_trim[2] O *D housekeeping +*CAP +1 *2475:ext_trim[2] 0.000521369 +2 *2471:pll_trim[2] 7.21667e-05 +3 *2262:7 0.00235067 +4 *2262:5 0.00190146 +5 *2475:ext_trim[2] *2475:ext_trim[3] 0 +6 *2262:7 *2263:7 0 +7 *2475:ext_trim[1] *2475:ext_trim[2] 0 +*RES +1 *2471:pll_trim[2] *2262:5 2.05183 +2 *2262:5 *2262:7 51.6623 +3 *2262:7 *2475:ext_trim[2] 5.34423 +*END + +*D_NET *2263 0.00415193 +*CONN +*I *2475:ext_trim[3] I *D digital_pll +*I *2471:pll_trim[3] O *D housekeeping +*CAP +1 *2475:ext_trim[3] 0.000401446 +2 *2471:pll_trim[3] 0.00167452 +3 *2263:7 0.00207596 +4 *2475:ext_trim[3] *2475:ext_trim[4] 0 +5 *2263:7 *2475:ext_trim[4] 0 +6 *2475:ext_trim[2] *2475:ext_trim[3] 0 +7 *2262:7 *2263:7 0 +*RES +1 *2471:pll_trim[3] *2263:7 47.3387 +2 *2263:7 *2475:ext_trim[3] 4.88529 +*END + +*D_NET *2264 0.00342273 +*CONN +*I *2475:ext_trim[4] I *D digital_pll +*I *2471:pll_trim[4] O *D housekeeping +*CAP +1 *2475:ext_trim[4] 0.00171137 +2 *2471:pll_trim[4] 0.00171137 +3 *2475:ext_trim[4] *2475:ext_trim[5] 0 +4 *2475:ext_trim[3] *2475:ext_trim[4] 0 +5 *2263:7 *2475:ext_trim[4] 0 +*RES +1 *2471:pll_trim[4] *2475:ext_trim[4] 46.2839 +*END + +*D_NET *2265 0.00273397 +*CONN +*I *2475:ext_trim[5] I *D digital_pll +*I *2471:pll_trim[5] O *D housekeeping +*CAP +1 *2475:ext_trim[5] 0.00136698 +2 *2471:pll_trim[5] 0.00136698 +3 *2475:ext_trim[5] *2475:ext_trim[6] 0 +4 *2475:ext_trim[5] *2475:ext_trim[7] 0 +5 *2475:ext_trim[4] *2475:ext_trim[5] 0 +*RES +1 *2471:pll_trim[5] *2475:ext_trim[5] 39.9349 +*END + +*D_NET *2266 0.00211614 +*CONN +*I *2475:ext_trim[6] I *D digital_pll +*I *2471:pll_trim[6] O *D housekeeping +*CAP +1 *2475:ext_trim[6] 0.00105807 +2 *2471:pll_trim[6] 0.00105807 +3 *2475:ext_trim[6] *2475:ext_trim[7] 0 +4 *2475:ext_trim[5] *2475:ext_trim[6] 0 +*RES +1 *2471:pll_trim[6] *2475:ext_trim[6] 29.3867 +*END + +*D_NET *2267 0.00193193 +*CONN +*I *2475:ext_trim[7] I *D digital_pll +*I *2471:pll_trim[7] O *D housekeeping +*CAP +1 *2475:ext_trim[7] 0.000965966 +2 *2471:pll_trim[7] 0.000965966 +3 *2475:ext_trim[7] *2475:ext_trim[8] 0 +4 *2475:ext_trim[5] *2475:ext_trim[7] 0 +5 *2475:ext_trim[6] *2475:ext_trim[7] 0 +*RES +1 *2471:pll_trim[7] *2475:ext_trim[7] 27.2112 +*END + +*D_NET *2268 0.00198073 +*CONN +*I *2475:ext_trim[8] I *D digital_pll +*I *2471:pll_trim[8] O *D housekeeping +*CAP +1 *2475:ext_trim[8] 0.000990365 +2 *2471:pll_trim[8] 0.000990365 +3 *2475:ext_trim[8] *2475:ext_trim[9] 0 +4 *2475:ext_trim[7] *2475:ext_trim[8] 0 +*RES +1 *2471:pll_trim[8] *2475:ext_trim[8] 27.773 +*END + +*D_NET *2269 0.00226197 +*CONN +*I *2475:ext_trim[9] I *D digital_pll +*I *2471:pll_trim[9] O *D housekeeping +*CAP +1 *2475:ext_trim[9] 0.00113099 +2 *2471:pll_trim[9] 0.00113099 +3 *2475:ext_trim[10] *2475:ext_trim[9] 0 +4 *2475:ext_trim[8] *2475:ext_trim[9] 0 +*RES +1 *2471:pll_trim[9] *2475:ext_trim[9] 31.1439 +*END + +*D_NET *2270 0.0368092 +*CONN +*I *2471:spi_sck I *D housekeeping +*I *2478:spi_sck O *D mgmt_core_wrapper +*CAP +1 *2471:spi_sck 0.00169469 +2 *2478:spi_sck 0.00107459 +3 *2270:11 0.00429551 +4 *2270:10 0.00260082 +5 *2270:8 0.0014011 +6 *2270:7 0.00247569 +7 *2471:spi_sck *2471:spi_sdo 0.000197799 +8 *2270:8 *2271:14 0.00606759 +9 *2270:11 *2272:11 0.0104548 +10 *2471:spi_csb *2471:spi_sck 0.000203088 +11 *68:14 *2270:8 0.00083707 +12 *587:8 *2270:8 0 +13 *588:8 *2270:8 0 +14 *590:8 *2270:8 0 +15 *2227:14 *2270:8 0.00550651 +*RES +1 *2478:spi_sck *2270:7 7.1035 +2 *2270:7 *2270:8 117.064 +3 *2270:8 *2270:10 4.5 +4 *2270:10 *2270:11 116.081 +5 *2270:11 *2471:spi_sck 23.631 +*END + +*D_NET *2271 0.0360415 +*CONN +*I *2478:spi_sdi I *D mgmt_core_wrapper +*I *2471:spi_sdi O *D housekeeping +*CAP +1 *2478:spi_sdi 0.000980996 +2 *2471:spi_sdi 0.00156362 +3 *2271:14 0.00242989 +4 *2271:13 0.00144889 +5 *2271:11 0.0025096 +6 *2271:10 0.00407322 +7 *2471:ser_tx *2271:10 0.000332717 +8 *2471:spi_csb *2271:10 0 +9 *590:8 *2271:14 0 +10 *2224:8 *2271:14 0.00431709 +11 *2227:14 *2271:14 0.000508484 +12 *2228:8 *2271:14 0.000813395 +13 *2228:11 *2271:11 0.010996 +14 *2270:8 *2271:14 0.00606759 +*RES +1 *2471:spi_sdi *2271:10 18.7244 +2 *2271:10 *2271:11 116.081 +3 *2271:11 *2271:13 4.5 +4 *2271:13 *2271:14 115.403 +5 *2271:14 *2478:spi_sdi 7.02701 +*END + +*D_NET *2272 0.0443079 +*CONN +*I *2471:spi_sdo I *D housekeeping +*I *2478:spi_sdo O *D mgmt_core_wrapper +*CAP +1 *2471:spi_sdo 0.00150039 +2 *2478:spi_sdo 0.00139681 +3 *2272:11 0.00219037 +4 *2272:10 0.000689986 +5 *2272:8 0.00143166 +6 *2272:7 0.00282847 +7 *2471:spi_sdo *2471:spi_sdoenb 0 +8 *2272:8 *2273:8 0.0010134 +9 *2471:spi_csb *2471:spi_sdo 0.000176441 +10 *2471:spi_sck *2471:spi_sdo 0.000197799 +11 *69:8 *2272:8 0.00470526 +12 *71:8 *2272:8 0.00472983 +13 *526:14 *2272:8 0.000266226 +14 *559:8 *2272:8 0.00207973 +15 *604:14 *2272:8 0.000158505 +16 *2229:11 *2272:11 0.0101555 +17 *2230:8 *2272:8 0.000332825 +18 *2270:11 *2272:11 0.0104548 +*RES +1 *2478:spi_sdo *2272:7 7.94489 +2 *2272:7 *2272:8 126.615 +3 *2272:8 *2272:10 4.5 +4 *2272:10 *2272:11 109.426 +5 *2272:11 *2471:spi_sdo 16.6482 +*END + +*D_NET *2273 0.0365138 +*CONN +*I *2471:spi_sdoenb I *D housekeeping +*I *2478:spi_sdoenb O *D mgmt_core_wrapper +*CAP +1 *2471:spi_sdoenb 0.00185938 +2 *2478:spi_sdoenb 0.00155164 +3 *2273:11 0.00416297 +4 *2273:10 0.00230359 +5 *2273:8 0.00147312 +6 *2273:7 0.00302476 +7 *2471:spi_sdo *2471:spi_sdoenb 0 +8 *71:8 *2273:8 0.00527619 +9 *602:14 *2273:8 0.00190982 +10 *603:10 *2471:spi_sdoenb 6.75696e-05 +11 *603:11 *2273:11 1.92172e-05 +12 *604:10 *2471:spi_sdoenb 0.000172802 +13 *604:11 *2273:11 0.0102977 +14 *2230:8 *2273:8 0.00338167 +15 *2272:8 *2273:8 0.0010134 +*RES +1 *2478:spi_sdoenb *2273:7 8.09787 +2 *2273:7 *2273:8 119.556 +3 *2273:8 *2273:10 4.5 +4 *2273:10 *2273:11 108.871 +5 *2273:11 *2471:spi_sdoenb 26.953 +*END + +*D_NET *2274 0.040427 +*CONN +*I *2471:trap I *D housekeeping +*I *2478:trap O *D mgmt_core_wrapper +*CAP +1 *2471:trap 0.00160255 +2 *2478:trap 0.00132946 +3 *2274:11 0.00407771 +4 *2274:10 0.00247515 +5 *2274:8 0.00139742 +6 *2274:7 0.00272688 +7 *2471:debug_out *2471:trap 0 +8 *70:8 *2274:8 0.000562744 +9 *594:8 *2274:8 0.000266226 +10 *602:10 *2471:trap 0.000384809 +11 *602:11 *2274:11 0.0101979 +12 *603:14 *2274:8 0.00739229 +13 *604:14 *2274:8 0.0080138 +*RES +1 *2478:trap *2274:7 7.63893 +2 *2274:7 *2274:8 139.073 +3 *2274:8 *2274:10 4.5 +4 *2274:10 *2274:11 111.644 +5 *2274:11 *2471:trap 19.9702 +*END + +*D_NET *2275 0.0318787 +*CONN +*I *2471:uart_enabled I *D housekeeping +*I *2478:uart_enabled O *D mgmt_core_wrapper +*CAP +1 *2471:uart_enabled 0.00187217 +2 *2478:uart_enabled 0.00108609 +3 *2275:11 0.00428246 +4 *2275:10 0.00241029 +5 *2275:8 0.00138373 +6 *2275:7 0.00246982 +7 *2471:qspi_enabled *2471:uart_enabled 0.000177702 +8 *2471:spi_enabled *2471:uart_enabled 0 +9 *70:8 *2275:8 0.000336704 +10 *527:14 *2275:8 0.00363921 +11 *555:14 *2275:8 0 +12 *603:14 *2275:8 0.00054535 +13 *2227:10 *2471:uart_enabled 2.01653e-05 +14 *2227:11 *2275:11 0.0108007 +15 *2227:14 *2275:8 0.00285427 +*RES +1 *2478:uart_enabled *2275:7 7.33297 +2 *2275:7 *2275:8 96.3019 +3 *2275:8 *2275:10 4.5 +4 *2275:10 *2275:11 113.308 +5 *2275:11 *2471:uart_enabled 26.2754 +*END + +*D_NET *2276 0.0248643 +*CONN +*I *2473:io_analog[0] I *D user_analog_project_wrapper +*I *2474:mprj_analog[0] I *D chip_io_alt +*CAP +1 *2473:io_analog[0] 0.000136454 +2 *2474:mprj_analog[0] 4.99679e-05 +3 *2276:25 2.68684e-05 +4 *2276:11 0.00909556 +5 *2276:10 0.0089591 +6 *2276:8 0.00271007 +7 *2276:7 0.00273316 +8 *2276:11 *2280:13 0.00115315 +*RES +1 *2474:mprj_analog[0] *2276:7 8.2474 +2 *2276:7 *2276:8 75.5949 +3 *2276:8 *2276:10 4.5 +4 *2276:10 *2276:11 261.572 +5 *2276:11 *2473:io_analog[0] 4.4408 +6 *2474:mprj_analog[0] *2276:25 0.0631875 +*END + +*D_NET *2277 0.0155439 +*CONN +*I *2473:io_analog[10] I *D user_analog_project_wrapper +*I *2474:mprj_analog[10] I *D chip_io_alt +*CAP +1 *2473:io_analog[10] 0.0020929 +2 *2474:mprj_analog[10] 0.00118944 +3 *2277:13 0.00658251 +4 *2277:12 0.00567905 +*RES +1 *2474:mprj_analog[10] *2277:12 37.4652 +2 *2277:12 *2277:13 125.509 +3 *2277:13 *2473:io_analog[10] 45.2351 +*END + +*D_NET *2278 0.0539401 +*CONN +*I *2473:io_analog[1] I *D user_analog_project_wrapper +*I *2474:mprj_analog[1] I *D chip_io_alt +*CAP +1 *2473:io_analog[1] 0.00020678 +2 *2474:mprj_analog[1] 6.99824e-05 +3 *2278:30 0.00328283 +4 *2278:29 0.00307605 +5 *2278:27 0.0136642 +6 *2278:26 0.0145707 +7 *2278:23 0.00211389 +8 *2278:20 0.00243196 +9 *2278:11 0.00783919 +10 *2278:10 0.00668455 +*RES +1 *2474:mprj_analog[1] *2278:10 8.38708 +2 *2278:10 *2278:11 185.406 +3 *2278:11 *2278:20 43.1667 +4 *2278:20 *2278:23 37.945 +5 *2278:23 *2278:26 30.0014 +6 *2278:26 *2278:27 382.845 +7 *2278:27 *2278:29 4.5 +8 *2278:29 *2278:30 87.1663 +9 *2278:30 *2473:io_analog[1] 4.12039 +*END + +*D_NET *2279 0.0305584 +*CONN +*I *2473:io_analog[2] I *D user_analog_project_wrapper +*I *2474:mprj_analog[2] I *D chip_io_alt +*CAP +1 *2473:io_analog[2] 0.00162637 +2 *2474:mprj_analog[2] 4.99679e-05 +3 *2279:33 2.68684e-05 +4 *2279:19 0.00285096 +5 *2279:14 0.00391488 +6 *2279:13 0.00269029 +7 *2279:11 0.00179747 +8 *2279:10 0.00179747 +9 *2279:8 0.00789052 +10 *2279:7 0.00791362 +*RES +1 *2474:mprj_analog[2] *2279:7 8.2474 +2 *2279:7 *2279:8 220.901 +3 *2279:8 *2279:10 4.5 +4 *2279:10 *2279:11 50.8318 +5 *2279:11 *2279:13 4.5 +6 *2279:13 *2279:14 75.0403 +7 *2279:14 *2279:19 39.2468 +8 *2279:19 *2473:io_analog[2] 47.0652 +9 *2474:mprj_analog[2] *2279:33 0.0631875 +*END + +*D_NET *2280 0.0978136 +*CONN +*I *2473:io_analog[3] I *D user_analog_project_wrapper +*I *2474:mprj_analog[3] I *D chip_io_alt +*CAP +1 *2473:io_analog[3] 0.000524768 +2 *2474:mprj_analog[3] 2.68684e-05 +3 *2280:35 2.68684e-05 +4 *2280:22 0.00289808 +5 *2280:20 0.00239395 +6 *2280:18 0.0124784 +7 *2280:16 0.0124784 +8 *2280:14 0.0203986 +9 *2280:13 0.0207814 +10 *2280:8 0.00859047 +11 *2280:7 0.00818711 +12 *2280:5 0.00393776 +13 *2280:4 0.00393776 +14 *2276:11 *2280:13 0.00115315 +*RES +1 *2474:mprj_analog[3] *2280:4 3.36879 +2 *2280:4 *2280:5 111.666 +3 *2280:5 *2280:7 4.5 +4 *2280:7 *2280:8 229.22 +5 *2280:8 *2280:13 27.4421 +6 *2280:13 *2280:14 571.133 +7 *2280:14 *2280:16 0.578717 +8 *2280:16 *2280:18 349.569 +9 *2280:18 *2280:20 0.578717 +10 *2280:20 *2280:22 66.4439 +11 *2280:22 *2473:io_analog[3] 10.4851 +12 *2474:mprj_analog[3] *2280:35 0.0631875 +*END + +*D_NET *2281 0.00652429 +*CONN +*I *2473:io_analog[4] I *D user_analog_project_wrapper +*I *2474:mprj_analog[4] I *D chip_io_alt +*CAP +1 *2473:io_analog[4] 0.000166806 +2 *2474:mprj_analog[4] 9.89961e-05 +3 *2281:10 0.00316315 +4 *2281:9 0.00309534 +*RES +1 *2474:mprj_analog[4] *2281:9 3.708 +2 *2281:9 *2281:10 84.8824 +3 *2281:10 *2473:io_analog[4] 3.9674 +*END + +*D_NET *2282 0.00628664 +*CONN +*I *2473:io_analog[5] I *D user_analog_project_wrapper +*I *2474:mprj_analog[5] I *D chip_io_alt +*CAP +1 *2473:io_analog[5] 9.41481e-05 +2 *2474:mprj_analog[5] 9.90234e-05 +3 *2282:10 0.00303888 +4 *2282:9 0.00304376 +5 *2473:io_analog[5] *2288:10 1.08288e-05 +6 *2282:10 *2288:10 0 +*RES +1 *2474:mprj_analog[5] *2282:9 3.708 +2 *2282:9 *2282:10 83.2214 +3 *2282:10 *2473:io_analog[5] 3.708 +*END + +*D_NET *2283 0.00627194 +*CONN +*I *2473:io_analog[6] I *D user_analog_project_wrapper +*I *2474:mprj_analog[6] I *D chip_io_alt +*CAP +1 *2473:io_analog[6] 9.90234e-05 +2 *2474:mprj_analog[6] 9.90234e-05 +3 *2283:10 0.00303695 +4 *2283:9 0.00303695 +5 *2473:io_analog[6] *2289:9 0 +*RES +1 *2474:mprj_analog[6] *2283:9 3.708 +2 *2283:9 *2283:10 83.2214 +3 *2283:10 *2473:io_analog[6] 3.708 +*END + +*D_NET *2284 0.0108302 +*CONN +*I *2473:io_analog[7] I *D user_analog_project_wrapper +*I *2474:mprj_analog[7] I *D chip_io_alt +*CAP +1 *2473:io_analog[7] 0.00110065 +2 *2474:mprj_analog[7] 0.000946279 +3 *2284:8 0.0044688 +4 *2284:7 0.00431443 +*RES +1 *2474:mprj_analog[7] *2284:7 6.95052 +2 *2284:7 *2284:8 95.4714 +3 *2284:8 *2473:io_analog[7] 38.472 +*END + +*D_NET *2285 0.00789897 +*CONN +*I *2473:io_analog[8] I *D user_analog_project_wrapper +*I *2474:mprj_analog[8] I *D chip_io_alt +*CAP +1 *2473:io_analog[8] 0.000286702 +2 *2474:mprj_analog[8] 0.000286702 +3 *2285:8 0.00366278 +4 *2285:7 0.00366278 +*RES +1 *2474:mprj_analog[8] *2285:7 4.42635 +2 *2285:7 *2285:8 95.679 +3 *2285:8 *2473:io_analog[8] 4.42635 +*END + +*D_NET *2286 0.00845861 +*CONN +*I *2473:io_analog[9] I *D user_analog_project_wrapper +*I *2474:mprj_analog[9] I *D chip_io_alt +*CAP +1 *2473:io_analog[9] 0.000366651 +2 *2474:mprj_analog[9] 0.000486574 +3 *2286:8 0.00374273 +4 *2286:7 0.00386265 +*RES +1 *2474:mprj_analog[9] *2286:7 5.19125 +2 *2286:7 *2286:8 95.679 +3 *2286:8 *2473:io_analog[9] 4.73231 +*END + +*D_NET *2287 0.0070236 +*CONN +*I *2474:mprj_clamp_high[0] I *D chip_io_alt +*I *2473:io_clamp_high[0] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_high[0] 0.00075463 +2 *2473:io_clamp_high[0] 4.73466e-05 +3 *2287:8 0.00242287 +4 *2287:7 0.00171558 +5 *2474:mprj_clamp_high[0] *2290:10 0.000721797 +6 *2287:7 *2290:9 6.67357e-05 +7 *2287:8 *2290:10 0.00129464 +*RES +1 *2473:io_clamp_high[0] *2287:7 3.58495 +2 *2287:7 *2287:8 62.2512 +3 *2287:8 *2474:mprj_clamp_high[0] 36.3196 +*END + +*D_NET *2288 0.00881392 +*CONN +*I *2474:mprj_clamp_high[1] I *D chip_io_alt +*I *2473:io_clamp_high[1] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_high[1] 0.00152632 +2 *2473:io_clamp_high[1] 0.00163666 +3 *2288:15 0.00276489 +4 *2288:10 0.00287523 +5 *2473:io_analog[5] *2288:10 1.08288e-05 +6 *2282:10 *2288:10 0 +*RES +1 *2473:io_clamp_high[1] *2288:10 47.2728 +2 *2288:10 *2288:15 42.9996 +3 *2288:15 *2474:mprj_clamp_high[1] 43.2595 +*END + +*D_NET *2289 0.00639682 +*CONN +*I *2474:mprj_clamp_high[2] I *D chip_io_alt +*I *2473:io_clamp_high[2] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_high[2] 0.000957928 +2 *2473:io_clamp_high[2] 9.90234e-05 +3 *2289:10 0.00309938 +4 *2289:9 0.00224048 +5 *2473:io_analog[6] *2289:9 0 +*RES +1 *2473:io_clamp_high[2] *2289:9 3.708 +2 *2289:9 *2289:10 60.7978 +3 *2289:10 *2474:mprj_clamp_high[2] 27.3212 +*END + +*D_NET *2290 0.0102604 +*CONN +*I *2474:mprj_clamp_low[0] I *D chip_io_alt +*I *2473:io_clamp_low[0] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_low[0] 0.00113819 +2 *2473:io_clamp_low[0] 0.00075162 +3 *2290:10 0.00333701 +4 *2290:9 0.00295044 +5 *2474:mprj_clamp_high[0] *2290:10 0.000721797 +6 *2287:7 *2290:9 6.67357e-05 +7 *2287:8 *2290:10 0.00129464 +*RES +1 *2473:io_clamp_low[0] *2290:9 6.23218 +2 *2290:9 *2290:10 84.2596 +3 *2290:10 *2474:mprj_clamp_low[0] 40.3804 +*END + +*D_NET *2291 0.00781273 +*CONN +*I *2474:mprj_clamp_low[1] I *D chip_io_alt +*I *2473:io_clamp_low[1] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_low[1] 0.00298789 +2 *2473:io_clamp_low[1] 0.000918471 +3 *2291:9 0.00390636 +*RES +1 *2473:io_clamp_low[1] *2291:9 6.8441 +2 *2291:9 *2474:mprj_clamp_low[1] 84.7969 +*END + +*D_NET *2292 0.0081854 +*CONN +*I *2474:mprj_clamp_low[2] I *D chip_io_alt +*I *2473:io_clamp_low[2] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_low[2] 0.000872874 +2 *2473:io_clamp_low[2] 0.00107837 +3 *2292:10 0.00301433 +4 *2292:9 0.00321983 +*RES +1 *2473:io_clamp_low[2] *2292:9 7.45602 +2 *2292:9 *2292:10 60.7978 +3 *2292:10 *2474:mprj_clamp_low[2] 24.9029 +*END + +*D_NET *2293 0.0192116 +*CONN +*I *2473:gpio_analog[0] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[0] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[0] 0.000258316 +2 *2474:mprj_gpio_analog[0] 0.000419499 +3 *2293:16 0.00200321 +4 *2293:10 0.00657838 +5 *2293:9 0.00525299 +6 *2293:9 *2311:13 0.000152576 +7 *2293:16 *2473:gpio_noesd[0] 0.000334189 +8 *2293:16 *2311:17 0.00209413 +9 *2474:mprj_io_analog_en[7] *2293:9 1.15509e-05 +10 *2474:mprj_io_analog_pol[7] *2293:9 7.39195e-05 +11 *2474:mprj_io_analog_pol[7] *2293:10 0.000199558 +12 *2474:mprj_io_dm[21] *2293:9 9.29054e-05 +13 *2474:mprj_io_dm[22] *2293:9 0.000197125 +14 *2474:mprj_io_inp_dis[7] *2293:10 0 +15 *2474:mprj_io_slow_sel[7] *2293:9 0 +16 *2018:24 *2293:10 0.000213725 +17 *2153:16 *2293:9 0.0013295 +*RES +1 *2474:mprj_gpio_analog[0] *2293:9 26.9297 +2 *2293:9 *2293:10 127.728 +3 *2293:10 *2293:16 49.5655 +4 *2293:16 *2473:gpio_analog[0] 0.483884 +*END + +*D_NET *2294 0.0190737 +*CONN +*I *2473:gpio_analog[10] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[10] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[10] 0.00117175 +2 *2474:mprj_gpio_analog[10] 0.000554535 +3 *2294:17 0.00325465 +4 *2294:16 0.0020829 +5 *2294:14 0.00401308 +6 *2294:13 0.00456761 +7 *473:8 *2294:13 0 +8 *491:25 *2294:14 2.57111e-05 +9 *519:21 *2294:14 0.000251655 +10 *1553:33 *2294:17 0.0011138 +11 *1904:8 *2294:13 3.04407e-05 +12 *1904:13 *2294:14 0.00060197 +13 *1947:13 *2294:14 0.000104572 +14 *1974:17 *2294:13 2.16355e-05 +15 *1974:22 *2294:13 0.000200654 +16 *2136:8 *2294:13 0.00107879 +*RES +1 *2474:mprj_gpio_analog[10] *2294:13 36.0047 +2 *2294:13 *2294:14 110.535 +3 *2294:14 *2294:16 4.5 +4 *2294:16 *2294:17 58.9292 +5 *2294:17 *2473:gpio_analog[10] 7.20826 +*END + +*D_NET *2295 0.014458 +*CONN +*I *2473:gpio_analog[11] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[11] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[11] 0.00183248 +2 *2474:mprj_gpio_analog[11] 0.00123685 +3 *2295:16 0.00534914 +4 *2295:15 0.00351665 +5 *2295:13 0.00123685 +6 *2473:gpio_analog[11] *2473:gpio_noesd[11] 0 +7 *2473:gpio_analog[11] *2313:19 0 +8 *2295:13 *2313:15 0.000666233 +9 *2474:mprj_io_analog_en[18] *2295:13 5.23318e-05 +10 *2474:mprj_io_analog_sel[18] *2295:13 0 +11 *2474:mprj_io_dm[54] *2295:13 0 +12 *2474:mprj_io_dm[55] *2295:13 0.00019711 +13 *2474:mprj_io_holdover[18] *2295:13 0.000125378 +14 *2474:mprj_io_inp_dis[18] *2295:13 0 +15 *107:57 *2295:13 0 +16 *107:57 *2295:16 0.000142393 +17 *1786:18 *2295:13 0 +18 *1840:27 *2295:13 0.000102556 +19 *1948:22 *2295:13 0 +*RES +1 *2474:mprj_gpio_analog[11] *2295:13 49.2657 +2 *2295:13 *2295:15 4.5 +3 *2295:15 *2295:16 93.3422 +4 *2295:16 *2473:gpio_analog[11] 16.5089 +*END + +*D_NET *2296 0.0186777 +*CONN +*I *2473:gpio_analog[12] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[12] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[12] 0.00173875 +2 *2474:mprj_gpio_analog[12] 0.000852727 +3 *2296:17 0.00398912 +4 *2296:16 0.00225037 +5 *2296:14 0.00358386 +6 *2296:13 0.00443658 +7 *2473:gpio_analog[12] *2473:gpio_noesd[12] 0 +8 *2296:13 *2314:19 0.000208984 +9 *2296:17 *2366:10 0 +10 *2474:mprj_io_slow_sel[19] *2296:13 0 +11 *475:16 *2296:13 0 +12 *1787:8 *2296:13 0.0013897 +13 *2057:29 *2296:13 0.000227569 +*RES +1 *2474:mprj_gpio_analog[12] *2296:13 45.1986 +2 *2296:13 *2296:14 93.3422 +3 *2296:14 *2296:16 4.5 +4 *2296:16 *2296:17 63.4969 +5 *2296:17 *2473:gpio_analog[12] 9.03737 +*END + +*D_NET *2297 0.0236553 +*CONN +*I *2473:gpio_analog[13] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[13] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[13] 0.0015225 +2 *2474:mprj_gpio_analog[13] 0.000716966 +3 *2297:17 0.00295067 +4 *2297:16 0.00142817 +5 *2297:14 0.00247728 +6 *2297:12 0.00319425 +7 *2473:gpio_analog[13] *2473:gpio_noesd[13] 0 +8 *2297:12 *2315:13 0.000148615 +9 *2297:14 *2315:16 0.00921915 +10 *2297:17 *2315:19 0.00173435 +11 *2474:mprj_io_slow_sel[20] *2297:12 9.4338e-05 +12 *474:48 *2297:12 0 +13 *2005:10 *2297:12 0 +14 *2005:11 *2297:12 0 +15 *2113:16 *2297:12 0.000169016 +*RES +1 *2474:mprj_gpio_analog[13] *2297:12 26.2077 +2 *2297:12 *2297:14 103.88 +3 *2297:14 *2297:16 4.5 +4 *2297:16 *2297:17 56.8529 +5 *2297:17 *2473:gpio_analog[13] 8.19764 +*END + +*D_NET *2298 0.0150494 +*CONN +*I *2473:gpio_analog[14] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[14] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[14] 0.0021892 +2 *2474:mprj_gpio_analog[14] 0.000735177 +3 *2298:22 0.00583194 +4 *2298:21 0.00437792 +5 *2473:gpio_analog[14] *2473:gpio_noesd[14] 0 +6 *2298:21 *2316:13 0.00101381 +7 *2474:mprj_io_analog_en[21] *2298:21 1.64263e-05 +8 *2474:mprj_io_analog_pol[21] *2298:21 5.6259e-05 +9 *2474:mprj_io_dm[63] *2298:21 0.00019711 +10 *2474:mprj_io_dm[64] *2298:21 0.000327004 +11 *2474:mprj_io_holdover[21] *2298:22 0.000199733 +12 *2474:mprj_io_inp_dis[21] *2298:21 0.0001048 +13 *2474:mprj_io_inp_dis[21] *2298:22 0 +14 *2087:8 *2298:21 0 +*RES +1 *2474:mprj_gpio_analog[14] *2298:21 43.8835 +2 *2298:21 *2298:22 96.1152 +3 *2298:22 *2473:gpio_analog[14] 23.3276 +*END + +*D_NET *2299 0.0152277 +*CONN +*I *2473:gpio_analog[15] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[15] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[15] 0.00191058 +2 *2474:mprj_gpio_analog[15] 0.00130152 +3 *2299:24 0.00474718 +4 *2299:23 0.00283661 +5 *2299:21 0.00130152 +6 *2473:gpio_analog[15] *2473:gpio_noesd[15] 7.93703e-05 +7 *2299:21 *2317:12 3.0329e-05 +8 *2299:24 *2317:14 0.00221995 +9 *2474:mprj_io_analog_sel[22] *2299:24 0 +10 *2474:mprj_io_dm[66] *2299:21 0 +11 *2474:mprj_io_dm[67] *2299:21 0.00019711 +12 *2474:mprj_io_out[22] *2299:21 7.61489e-05 +13 *477:26 *2299:21 0.000169016 +14 *1791:19 *2299:21 4.05482e-05 +15 *1922:25 *2299:21 0.000317812 +16 *2115:8 *2299:21 0 +17 *2169:16 *2299:21 0 +*RES +1 *2474:mprj_gpio_analog[15] *2299:21 49.1549 +2 *2299:21 *2299:23 4.5 +3 *2299:23 *2299:24 93.3422 +4 *2299:24 *2473:gpio_analog[15] 16.6619 +*END + +*D_NET *2300 0.014926 +*CONN +*I *2473:gpio_analog[16] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[16] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[16] 0.00204491 +2 *2474:mprj_gpio_analog[16] 0.00074767 +3 *2300:22 0.00545025 +4 *2300:21 0.00388474 +5 *2300:18 0.00122706 +6 *2473:gpio_analog[16] *2473:gpio_noesd[16] 4.88112e-06 +7 *2474:mprj_io_analog_en[23] *2300:18 0 +8 *2474:mprj_io_dm[69] *2300:18 0.000126443 +9 *2474:mprj_io_dm[70] *2300:18 8.6956e-05 +10 *494:20 *2300:21 0.000202475 +11 *1819:8 *2300:21 0.000602602 +12 *1846:8 *2300:18 0 +13 *1925:8 *2300:18 0.000232116 +14 *1926:16 *2300:21 0.000315878 +*RES +1 *2474:mprj_gpio_analog[16] *2300:18 38.7735 +2 *2300:18 *2300:21 24.6031 +3 *2300:21 *2300:22 88.9054 +4 *2300:22 *2473:gpio_analog[16] 21.9982 +*END + +*D_NET *2301 0.0218031 +*CONN +*I *2473:gpio_analog[17] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[17] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[17] 0.00179814 +2 *2474:mprj_gpio_analog[17] 0.00014576 +3 *2301:14 0.00554523 +4 *2301:13 0.00374709 +5 *2301:11 0.00186849 +6 *2301:10 0.00201425 +7 *2473:gpio_analog[17] *2473:io_in[24] 4.62112e-05 +8 *477:26 *2301:11 0.000437268 +9 *514:14 *2301:11 0 +10 *1793:14 *2301:11 0 +11 *1927:15 *2301:10 0.00019711 +12 *1927:15 *2301:11 0.00281143 +13 *1955:8 *2301:11 0.00319213 +14 *2009:11 *2301:11 0 +*RES +1 *2474:mprj_gpio_analog[17] *2301:10 13.4779 +2 *2301:10 *2301:11 87.3739 +3 *2301:11 *2301:13 4.5 +4 *2301:13 *2301:14 97.779 +5 *2301:14 *2473:gpio_analog[17] 14.0103 +*END + +*D_NET *2302 0.0294676 +*CONN +*I *2473:gpio_analog[1] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[1] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[1] 0.00156681 +2 *2474:mprj_gpio_analog[1] 0.00066452 +3 *2302:19 0.00239282 +4 *2302:18 0.000826006 +5 *2302:16 0.00286311 +6 *2302:15 0.00352763 +7 *2473:gpio_analog[1] *2473:gpio_noesd[1] 0 +8 *2302:15 *2320:15 0.00126387 +9 *2302:16 *2320:16 0.0104591 +10 *2302:19 *2381:10 0.000153257 +11 *2302:19 *2382:10 0.00329586 +12 *2302:19 *2408:10 0.00134023 +13 *2302:19 *2409:10 0 +14 *2474:mprj_io_analog_pol[8] *2302:15 5.62734e-05 +15 *2474:mprj_io_dm[24] *2302:15 0.000197125 +16 *2474:mprj_io_dm[25] *2302:15 0.000197125 +17 *2474:mprj_io_inp_dis[8] *2302:15 6.89664e-05 +18 *2019:11 *2302:15 0.000260215 +19 *2073:31 *2302:15 0.000334611 +*RES +1 *2474:mprj_gpio_analog[1] *2302:15 46.8241 +2 *2302:15 *2302:16 118.854 +3 *2302:16 *2302:18 4.5 +4 *2302:18 *2302:19 53.1156 +5 *2302:19 *2473:gpio_analog[1] 8.64827 +*END + +*D_NET *2303 0.0188574 +*CONN +*I *2473:gpio_analog[2] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[2] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[2] 0.00178557 +2 *2474:mprj_gpio_analog[2] 0.000544981 +3 *2303:17 0.00333419 +4 *2303:16 0.00154863 +5 *2303:14 0.00429281 +6 *2303:13 0.00483779 +7 *2473:gpio_analog[2] *2473:gpio_noesd[2] 0 +8 *2303:13 *2321:13 0.000941127 +9 *2303:17 *2355:16 0.000316067 +10 *2303:17 *2384:10 0 +11 *2303:17 *2409:10 0 +12 *2474:mprj_io_analog_en[9] *2303:13 4.40471e-05 +13 *2474:mprj_io_analog_pol[9] *2303:13 9.43525e-05 +14 *2474:mprj_io_dm[27] *2303:13 6.35532e-05 +15 *2474:mprj_io_dm[28] *2303:13 0.000210531 +16 *2474:mprj_io_inp_dis[9] *2303:13 8.16595e-05 +17 *2474:mprj_io_inp_dis[9] *2303:14 0 +18 *1803:27 *2303:13 0.000747954 +19 *1879:44 *2303:13 1.41761e-05 +*RES +1 *2474:mprj_gpio_analog[2] *2303:13 33.4211 +2 *2303:13 *2303:14 113.308 +3 *2303:14 *2303:16 4.5 +4 *2303:16 *2303:17 47.3021 +5 *2303:17 *2473:gpio_analog[2] 9.27848 +*END + +*D_NET *2304 0.0178974 +*CONN +*I *2473:gpio_analog[3] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[3] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[3] 0.00197995 +2 *2474:mprj_gpio_analog[3] 0.000516141 +3 *2304:20 0.00668625 +4 *2304:19 0.00522244 +5 *2473:gpio_analog[3] *2473:gpio_noesd[3] 0 +6 *2473:gpio_analog[3] *2322:17 0.00159927 +7 *2304:19 *2322:13 0.000503531 +8 *2474:mprj_io_analog_en[10] *2304:19 0.000159409 +9 *2474:mprj_io_dm[30] *2304:19 9.43525e-05 +10 *2474:mprj_io_dm[31] *2304:19 9.43525e-05 +11 *2474:mprj_io_dm[32] *2304:19 0.000315402 +12 *2474:mprj_io_inp_dis[10] *2304:20 0.000344312 +13 *2474:mprj_io_slow_sel[10] *2304:19 0 +14 *103:31 *2473:gpio_analog[3] 0.000231696 +15 *2130:20 *2304:19 0.000150242 +*RES +1 *2474:mprj_gpio_analog[3] *2304:19 28.6823 +2 *2304:19 *2304:20 127.728 +3 *2304:20 *2473:gpio_analog[3] 46.1442 +*END + +*D_NET *2305 0.0289585 +*CONN +*I *2473:gpio_analog[4] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[4] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[4] 0.00147153 +2 *2474:mprj_gpio_analog[4] 0.000510906 +3 *2305:27 0 +4 *2305:17 0.00264074 +5 *2305:16 0.00116921 +6 *2305:14 0.0024729 +7 *2305:13 0.0029838 +8 *2305:14 *2323:12 0.0107491 +9 *2305:17 *2385:10 0.000499606 +10 *2474:mprj_io_analog_pol[11] *2305:13 0.00103372 +11 *2474:mprj_io_dm[34] *2305:13 3.6695e-05 +12 *1889:12 *2305:13 0.000171064 +13 *1996:32 *2305:13 0.000910387 +14 *2022:11 *2305:17 0.00215484 +15 *2049:30 *2305:13 1.3813e-05 +16 *2050:18 *2305:13 0.00184568 +17 *2158:15 *2305:13 0.000294474 +*RES +1 *2474:mprj_gpio_analog[4] *2305:13 49.8502 +2 *2305:13 *2305:14 113.308 +3 *2305:14 *2305:16 4.5 +4 *2305:16 *2305:17 51.0394 +5 *2305:17 *2473:gpio_analog[4] 8.71811 +6 *2473:gpio_analog[4] *2305:27 0.0498849 +*END + +*D_NET *2306 0.0134966 +*CONN +*I *2473:gpio_analog[5] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[5] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[5] 0.00169641 +2 *2474:mprj_gpio_analog[5] 0.000173258 +3 *2306:10 0.00625536 +4 *2306:9 0.00473221 +5 *2473:gpio_analog[5] *2473:gpio_noesd[5] 0 +6 *2473:gpio_analog[5] *2359:10 0 +7 *2474:mprj_io_slow_sel[12] *2306:9 0 +8 *1889:30 *2306:9 0.000436901 +9 *2131:22 *2306:9 0.000202487 +*RES +1 *2474:mprj_gpio_analog[5] *2306:9 13.2936 +2 *2306:9 *2306:10 121.627 +3 *2306:10 *2473:gpio_analog[5] 25.0205 +*END + +*D_NET *2307 0.0133395 +*CONN +*I *2473:gpio_analog[6] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[6] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[6] 0.00185845 +2 *2474:mprj_gpio_analog[6] 0.000293167 +3 *2307:10 0.00588304 +4 *2307:9 0.00431775 +5 *2473:gpio_analog[6] *2473:gpio_noesd[6] 0 +6 *2474:mprj_io_slow_sel[13] *2307:9 0.000216644 +7 *1997:13 *2307:9 0.000770417 +*RES +1 *2474:mprj_gpio_analog[6] *2307:9 18.6919 +2 *2307:9 *2307:10 110.535 +3 *2307:10 *2473:gpio_analog[6] 21.6981 +*END + +*D_NET *2308 0.0170935 +*CONN +*I *2473:gpio_analog[7] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[7] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[7] 0.0016178 +2 *2474:mprj_gpio_analog[7] 0.000387751 +3 *2308:13 0.00368609 +4 *2308:12 0.00206829 +5 *2308:10 0.00362532 +6 *2308:9 0.00401307 +7 *2474:mprj_io_analog_en[14] *2308:9 0.000180791 +8 *2474:mprj_io_slow_sel[14] *2308:9 0.00065866 +9 *1895:8 *2308:9 0.00045549 +10 *1998:7 *2308:9 0.000400265 +*RES +1 *2474:mprj_gpio_analog[7] *2308:9 27.2656 +2 *2308:9 *2308:10 96.1152 +3 *2308:10 *2308:12 4.5 +4 *2308:12 *2308:13 58.5139 +5 *2308:13 *2473:gpio_analog[7] 9.26186 +*END + +*D_NET *2309 0.0148987 +*CONN +*I *2473:gpio_analog[8] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[8] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[8] 0.00168757 +2 *2474:mprj_gpio_analog[8] 0.000345609 +3 *2309:14 0.005105 +4 *2309:13 0.00403631 +5 *2309:10 0.000964495 +6 *2473:gpio_analog[8] *2473:gpio_noesd[8] 0 +7 *2473:gpio_analog[8] *2327:15 0 +8 *2309:10 *2327:11 0.000171079 +9 *2474:mprj_io_analog_sel[15] *2309:14 0 +10 *2474:mprj_io_dm[45] *2309:13 0.000353381 +11 *2474:mprj_io_dm[46] *2309:10 8.17577e-05 +12 *2474:mprj_io_dm[46] *2309:13 0.000107729 +13 *471:16 *2309:13 1.87125e-05 +14 *489:14 *2309:13 0 +15 *2053:14 *2309:13 0.000805888 +16 *2161:17 *2309:13 0.00122114 +*RES +1 *2474:mprj_gpio_analog[8] *2309:10 18.6087 +2 *2309:10 *2309:13 37.8912 +3 *2309:13 *2309:14 91.6784 +4 *2309:14 *2473:gpio_analog[8] 15.2116 +*END + +*D_NET *2310 0.0223825 +*CONN +*I *2473:gpio_analog[9] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[9] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[9] 0.00144202 +2 *2474:mprj_gpio_analog[9] 0.000477486 +3 *2310:17 0.00200953 +4 *2310:16 0.000567503 +5 *2310:14 0.00389243 +6 *2310:13 0.00436992 +7 *2473:gpio_analog[9] *2473:gpio_noesd[9] 0 +8 *2310:17 *2328:23 0.00296335 +9 *2310:17 *2336:16 0.00400051 +10 *2310:17 *2363:10 0.000502815 +11 *1811:8 *2310:13 0.00151273 +12 *1900:8 *2310:13 0.000510264 +13 *1901:8 *2310:13 0.00013391 +14 *2162:16 *2310:14 0 +*RES +1 *2474:mprj_gpio_analog[9] *2310:13 41.7805 +2 *2310:13 *2310:14 102.216 +3 *2310:14 *2310:16 4.5 +4 *2310:16 *2310:17 63.9122 +5 *2310:17 *2473:gpio_analog[9] 8.30074 +*END + +*D_NET *2311 0.0208103 +*CONN +*I *2473:gpio_noesd[0] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[0] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[0] 0.000832844 +2 *2474:mprj_gpio_noesd[0] 0.000408903 +3 *2311:17 0.00221759 +4 *2311:16 0.00138475 +5 *2311:14 0.00483592 +6 *2311:13 0.00524482 +7 *2474:mprj_io_analog_en[7] *2311:13 4.65615e-06 +8 *2474:mprj_io_dm[21] *2311:13 5.481e-05 +9 *2474:mprj_io_dm[23] *2311:14 0 +10 *2474:mprj_io_holdover[7] *2311:14 0 +11 *2125:20 *2311:13 0.0015418 +12 *2126:16 *2311:13 0.000165067 +13 *2153:16 *2311:13 0.00153821 +14 *2293:9 *2311:13 0.000152576 +15 *2293:16 *2473:gpio_noesd[0] 0.000334189 +16 *2293:16 *2311:17 0.00209413 +*RES +1 *2474:mprj_gpio_noesd[0] *2311:13 42.9896 +2 *2311:13 *2311:14 126.064 +3 *2311:14 *2311:16 4.5 +4 *2311:16 *2311:17 51.0394 +5 *2311:17 *2473:gpio_noesd[0] 6.97546 +*END + +*D_NET *2312 0.0264325 +*CONN +*I *2473:gpio_noesd[10] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[10] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[10] 0.00136892 +2 *2474:mprj_gpio_noesd[10] 0.00064879 +3 *2312:10 0.00234875 +4 *2312:9 0.00162862 +5 *2473:gpio_noesd[10] *2473:io_in[17] 1.66626e-05 +6 *2312:10 *2337:17 0.00735098 +7 *2473:io_in_3v3[17] *2473:gpio_noesd[10] 0.000488429 +8 *2474:mprj_io_analog_en[17] *2312:9 9.29258e-05 +9 *2474:mprj_io_analog_pol[17] *2312:9 0.00019711 +10 *2474:mprj_io_analog_sel[17] *2312:9 0.000171064 +11 *2474:mprj_io_dm[51] *2312:9 0.000132378 +12 *2474:mprj_io_dm[53] *2312:9 0.00019711 +13 *2474:mprj_io_inp_dis[17] *2312:9 9.29258e-05 +14 *2474:mprj_io_out[17] *2312:10 0 +15 *1947:23 *2312:10 0.0003295 +16 *1974:22 *2312:9 0 +17 *1974:24 *2312:9 0.00222963 +18 *2028:10 *2312:10 0.00913874 +*RES +1 *2474:mprj_gpio_noesd[10] *2312:9 41.2376 +2 *2312:9 *2312:10 98.3336 +3 *2312:10 *2473:gpio_noesd[10] 13.8873 +*END + +*D_NET *2313 0.0177812 +*CONN +*I *2473:gpio_noesd[11] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[11] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[11] 0.00168394 +2 *2474:mprj_gpio_noesd[11] 0.000907095 +3 *2313:19 0.00380748 +4 *2313:18 0.00212354 +5 *2313:16 0.00363236 +6 *2313:15 0.00453946 +7 *2473:gpio_analog[11] *2473:gpio_noesd[11] 0 +8 *2473:gpio_analog[11] *2313:19 0 +9 *2473:io_in_3v3[18] *2473:gpio_noesd[11] 0 +10 *2474:mprj_io_analog_en[18] *2313:15 0 +11 *107:51 *2313:15 0.000152131 +12 *1786:18 *2313:15 0 +13 *1813:16 *2313:16 1.19726e-05 +14 *1840:27 *2313:15 0.000113119 +15 *1909:15 *2313:16 0.000143859 +16 *2137:10 *2313:15 0 +17 *2295:13 *2313:15 0.000666233 +*RES +1 *2474:mprj_gpio_noesd[11] *2313:15 43.3916 +2 *2313:15 *2313:16 95.5606 +3 *2313:16 *2313:18 4.5 +4 *2313:18 *2313:19 59.7597 +5 *2313:19 *2473:gpio_noesd[11] 9.02407 +*END + +*D_NET *2314 0.021646 +*CONN +*I *2473:gpio_noesd[12] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[12] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[12] 0.00160035 +2 *2474:mprj_gpio_noesd[12] 0.000546084 +3 *2314:23 0.00205466 +4 *2314:22 0.000454307 +5 *2314:20 0.00402073 +6 *2314:19 0.00456682 +7 *2314:23 *2339:16 0.0034407 +8 *2314:23 *2366:10 0.00344537 +9 *2473:gpio_analog[12] *2473:gpio_noesd[12] 0 +10 *2473:io_in_3v3[19] *2473:gpio_noesd[12] 0 +11 *2474:mprj_io_dm[58] *2314:19 0.000138011 +12 *2474:mprj_io_slow_sel[19] *2314:19 0.00019711 +13 *2474:mprj_io_slow_sel[19] *2314:20 0 +14 *474:42 *2314:19 0.000116362 +15 *475:16 *2314:19 0 +16 *1911:18 *2314:19 0.000856481 +17 *2296:13 *2314:19 0.000208984 +*RES +1 *2474:mprj_gpio_noesd[12] *2314:19 37.2917 +2 *2314:19 *2314:20 103.325 +3 *2314:20 *2314:22 4.5 +4 *2314:22 *2314:23 55.1919 +5 *2314:23 *2473:gpio_noesd[12] 8.31404 +*END + +*D_NET *2315 0.0257481 +*CONN +*I *2473:gpio_noesd[13] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[13] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[13] 0.00158807 +2 *2474:mprj_gpio_noesd[13] 0.00108371 +3 *2315:19 0.00327408 +4 *2315:18 0.00168601 +5 *2315:16 0.00223168 +6 *2315:15 0.00223168 +7 *2315:13 0.00108371 +8 *2473:gpio_analog[13] *2473:gpio_noesd[13] 0 +9 *2473:io_in_3v3[20] *2473:gpio_noesd[13] 0 +10 *2474:mprj_io_dm[61] *2315:13 9.4338e-05 +11 *474:48 *2315:13 0 +12 *1915:8 *2315:13 0.00110558 +13 *2086:13 *2315:13 0.000129446 +14 *2113:16 *2315:13 0.000137648 +15 *2297:12 *2315:13 0.000148615 +16 *2297:14 *2315:16 0.00921915 +17 *2297:17 *2315:19 0.00173435 +*RES +1 *2474:mprj_gpio_noesd[13] *2315:13 46.501 +2 *2315:13 *2315:15 4.5 +3 *2315:15 *2315:16 97.779 +4 *2315:16 *2315:18 4.5 +5 *2315:18 *2315:19 63.9122 +6 *2315:19 *2473:gpio_noesd[13] 8.42878 +*END + +*D_NET *2316 0.0153674 +*CONN +*I *2473:gpio_noesd[14] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[14] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[14] 0.00186349 +2 *2474:mprj_gpio_noesd[14] 0.000640894 +3 *2316:14 0.00541284 +4 *2316:13 0.00419024 +5 *2473:gpio_analog[14] *2473:gpio_noesd[14] 0 +6 *2474:mprj_io_analog_en[21] *2316:13 9.7442e-05 +7 *2474:mprj_io_dm[63] *2316:13 9.19632e-06 +8 *2474:mprj_io_dm[65] *2316:14 0 +9 *2474:mprj_io_holdover[21] *2316:13 0.000986711 +10 *2474:mprj_io_oeb[21] *2316:13 0.000856496 +11 *2474:mprj_io_out[21] *2316:14 0.000296309 +12 *2087:8 *2316:13 0 +13 *2298:21 *2316:13 0.00101381 +*RES +1 *2474:mprj_gpio_noesd[14] *2316:13 48.047 +2 *2316:13 *2316:14 95.5606 +3 *2316:14 *2473:gpio_noesd[14] 14.1571 +*END + +*D_NET *2317 0.0146454 +*CONN +*I *2473:gpio_noesd[15] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[15] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[15] 0.00216223 +2 *2474:mprj_gpio_noesd[15] 0.000725288 +3 *2317:14 0.00514019 +4 *2317:12 0.00370325 +5 *2473:gpio_analog[15] *2473:gpio_noesd[15] 7.93703e-05 +6 *2474:mprj_io_analog_en[22] *2317:12 4.35659e-05 +7 *2474:mprj_io_analog_pol[22] *2317:12 0.000107031 +8 *2474:mprj_io_dm[66] *2317:12 7.22422e-05 +9 *2474:mprj_io_inp_dis[22] *2317:12 8.1645e-05 +10 *2474:mprj_io_out[22] *2317:12 0.000140955 +11 *2474:mprj_io_out[22] *2317:14 0 +12 *477:26 *2317:12 0.000139306 +13 *1791:19 *2317:12 0 +14 *2115:8 *2317:12 0 +15 *2299:21 *2317:12 3.0329e-05 +16 *2299:24 *2317:14 0.00221995 +*RES +1 *2474:mprj_gpio_noesd[15] *2317:12 28.1924 +2 *2317:12 *2317:14 96.6698 +3 *2317:14 *2473:gpio_noesd[15] 24.4769 +*END + +*D_NET *2318 0.0148748 +*CONN +*I *2473:gpio_noesd[16] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[16] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[16] 0.00188738 +2 *2474:mprj_gpio_noesd[16] 0.000757683 +3 *2318:10 0.00557646 +4 *2318:9 0.00444677 +5 *2473:gpio_analog[16] *2473:gpio_noesd[16] 4.88112e-06 +6 *2474:mprj_io_analog_sel[23] *2318:9 0.000528062 +7 *2474:mprj_io_dm[69] *2318:9 0.000417632 +8 *2474:mprj_io_dm[71] *2318:10 0 +9 *2474:mprj_io_holdover[23] *2318:10 0 +10 *2474:mprj_io_inp_dis[23] *2318:9 0.000405758 +11 *2474:mprj_io_vtrip_sel[23] *2318:9 0.000308715 +12 *110:87 *2473:gpio_noesd[16] 1.7794e-05 +13 *495:49 *2473:gpio_noesd[16] 0.000523689 +14 *1846:8 *2318:9 0 +*RES +1 *2474:mprj_gpio_noesd[16] *2318:9 33.4944 +2 *2318:9 *2318:10 95.5606 +3 *2318:10 *2473:gpio_noesd[16] 19.21 +*END + +*D_NET *2319 0.0244674 +*CONN +*I *2473:gpio_noesd[17] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[17] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[17] 0.00209377 +2 *2474:mprj_gpio_noesd[17] 0.000407322 +3 *2319:14 0.00558372 +4 *2319:13 0.00348994 +5 *2319:11 0.000755917 +6 *2319:10 0.00116324 +7 *2473:gpio_noesd[17] *2473:io_in[24] 0.000536397 +8 *2473:gpio_noesd[17] *2399:10 0 +9 *2473:io_in_3v3[24] *2473:gpio_noesd[17] 0 +10 *2474:mprj_io_analog_en[24] *2319:10 0 +11 *2474:mprj_io_dm[73] *2319:10 0 +12 *494:20 *2319:11 0.000495892 +13 *1820:14 *2319:11 0.00434582 +14 *1927:15 *2319:10 0.00019711 +15 *2063:8 *2319:11 0.000337479 +16 *2090:8 *2319:11 0.00506077 +*RES +1 *2474:mprj_gpio_noesd[17] *2319:10 19.5785 +2 *2319:10 *2319:11 81.5604 +3 *2319:11 *2319:13 4.5 +4 *2319:13 *2319:14 90.5692 +5 *2319:14 *2473:gpio_noesd[17] 22.3141 +*END + +*D_NET *2320 0.0260047 +*CONN +*I *2473:gpio_noesd[1] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[1] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[1] 0.0019278 +2 *2474:mprj_gpio_noesd[1] 0.000318884 +3 *2320:21 0.00356234 +4 *2320:18 0.00163455 +5 *2320:16 0.00254042 +6 *2320:15 0.00285931 +7 *2473:gpio_noesd[1] *2473:io_in[8] 0 +8 *2320:21 *2355:16 0 +9 *2473:gpio_analog[1] *2473:gpio_noesd[1] 0 +10 *2473:io_in_3v3[8] *2473:gpio_noesd[1] 0 +11 *2474:mprj_io_analog_en[8] *2320:15 6.38108e-05 +12 *2474:mprj_io_dm[24] *2320:15 0.000111722 +13 *1965:8 *2320:15 0.000173198 +14 *2019:11 *2320:15 0.00108964 +15 *2302:15 *2320:15 0.00126387 +16 *2302:16 *2320:16 0.0104591 +*RES +1 *2474:mprj_gpio_noesd[1] *2320:15 36.5728 +2 *2320:15 *2320:16 111.09 +3 *2320:16 *2320:18 4.5 +4 *2320:18 *2320:21 49.4251 +5 *2320:21 *2473:gpio_noesd[1] 6.30878 +*END + +*D_NET *2321 0.0206045 +*CONN +*I *2473:gpio_noesd[2] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[2] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[2] 0.00128072 +2 *2474:mprj_gpio_noesd[2] 0.000622644 +3 *2321:17 0.00331205 +4 *2321:16 0.00203133 +5 *2321:14 0.00471184 +6 *2321:13 0.00533448 +7 *2473:gpio_analog[2] *2473:gpio_noesd[2] 0 +8 *2473:io_in_3v3[9] *2473:gpio_noesd[2] 0 +9 *2474:mprj_io_analog_en[9] *2321:13 0.000110297 +10 *2474:mprj_io_analog_sel[9] *2321:13 5.66111e-05 +11 *2474:mprj_io_dm[27] *2321:13 0 +12 *101:21 *2321:14 3.6695e-05 +13 *1879:44 *2321:13 0.00193517 +14 *2156:17 *2321:13 0.000231536 +15 *2303:13 *2321:13 0.000941127 +*RES +1 *2474:mprj_gpio_noesd[2] *2321:13 48.1864 +2 *2321:13 *2321:14 124.955 +3 *2321:14 *2321:16 4.5 +4 *2321:16 *2321:17 56.4377 +5 *2321:17 *2473:gpio_noesd[2] 7.63062 +*END + +*D_NET *2322 0.0195168 +*CONN +*I *2473:gpio_noesd[3] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[3] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[3] 0.00115813 +2 *2474:mprj_gpio_noesd[3] 0.000531812 +3 *2322:17 0.00238809 +4 *2322:14 0.00597398 +5 *2322:13 0.00527584 +6 *2473:gpio_analog[3] *2473:gpio_noesd[3] 0 +7 *2473:gpio_analog[3] *2322:17 0.00159927 +8 *2473:io_in_3v3[10] *2473:gpio_noesd[3] 0 +9 *2474:mprj_io_analog_en[10] *2322:13 0.000101437 +10 *2474:mprj_io_analog_pol[10] *2322:13 0 +11 *2474:mprj_io_dm[32] *2322:13 0.00158069 +12 *2474:mprj_io_inp_dis[10] *2322:13 0.000280625 +13 *2103:18 *2322:13 0.000123379 +14 *2304:19 *2322:13 0.000503531 +*RES +1 *2474:mprj_gpio_noesd[3] *2322:13 42.3728 +2 *2322:13 *2322:14 126.618 +3 *2322:14 *2322:17 48.0648 +4 *2322:17 *2473:gpio_noesd[3] 7.43939 +*END + +*D_NET *2323 0.0249001 +*CONN +*I *2473:gpio_noesd[4] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[4] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[4] 0.00128478 +2 *2474:mprj_gpio_noesd[4] 0.000445118 +3 *2323:17 0.00243367 +4 *2323:12 0.00394287 +5 *2323:11 0.0032391 +6 *2323:17 *2359:10 0.00085443 +7 *2473:io_in_3v3[11] *2473:gpio_noesd[4] 0 +8 *2474:mprj_io_out[11] *2323:11 0.000128423 +9 *1889:12 *2323:11 6.51149e-06 +10 *1889:18 *2323:11 0.0012032 +11 *1996:32 *2323:11 0.000144289 +12 *2050:18 *2323:11 0.000416432 +13 *2131:21 *2323:12 5.22351e-05 +14 *2305:14 *2323:12 0.0107491 +*RES +1 *2474:mprj_gpio_noesd[4] *2323:11 27.6625 +2 *2323:11 *2323:12 121.072 +3 *2323:12 *2323:17 49.3574 +4 *2323:17 *2473:gpio_noesd[4] 4.87708 +*END + +*D_NET *2324 0.0197967 +*CONN +*I *2473:gpio_noesd[5] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[5] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[5] 0.00163005 +2 *2474:mprj_gpio_noesd[5] 0.000843104 +3 *2324:19 0.00362476 +4 *2324:18 0.0019947 +5 *2324:16 0.00398566 +6 *2324:15 0.00398566 +7 *2324:13 0.000843104 +8 *2473:gpio_noesd[5] *2473:io_in[12] 0 +9 *2324:19 *2332:14 0 +10 *2324:19 *2386:10 0 +11 *2473:gpio_analog[5] *2473:gpio_noesd[5] 0 +12 *2473:io_in_3v3[12] *2473:gpio_noesd[5] 0 +13 *2474:mprj_io_analog_en[12] *2324:13 0.000162075 +14 *2474:mprj_io_analog_pol[12] *2324:13 0 +15 *2474:mprj_io_dm[36] *2324:13 0.000185867 +16 *1807:20 *2324:13 0.000215495 +17 *1889:30 *2324:13 0.000426723 +18 *1942:40 *2324:13 0.00163428 +19 *1969:17 *2324:13 0.00026521 +20 *2104:14 *2324:13 0 +*RES +1 *2474:mprj_gpio_noesd[5] *2324:13 47.0673 +2 *2324:13 *2324:15 4.5 +3 *2324:15 *2324:16 109.426 +4 *2324:16 *2324:18 4.5 +5 *2324:18 *2324:19 56.0224 +6 *2324:19 *2473:gpio_noesd[5] 9.43479 +*END + +*D_NET *2325 0.0198741 +*CONN +*I *2473:gpio_noesd[6] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[6] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[6] 0.00135607 +2 *2474:mprj_gpio_noesd[6] 0.00037263 +3 *2325:13 0.00267954 +4 *2325:12 0.00132348 +5 *2325:10 0.0042183 +6 *2325:9 0.00459093 +7 *2325:13 *2360:10 0.00129532 +8 *2473:gpio_analog[6] *2473:gpio_noesd[6] 0 +9 *2474:mprj_io_analog_en[13] *2325:9 0.000202472 +10 *2474:mprj_io_analog_pol[13] *2325:9 0.000176426 +11 *2474:mprj_io_dm[39] *2325:9 0.00021358 +12 *2474:mprj_io_dm[39] *2325:10 0.000213674 +13 *2474:mprj_io_dm[40] *2325:9 0 +14 *2474:mprj_io_inp_dis[13] *2325:9 0.000827576 +15 *2474:mprj_io_inp_dis[13] *2325:10 2.81824e-05 +16 *1997:13 *2325:9 0.00172394 +17 *2024:11 *2325:13 0.000651922 +*RES +1 *2474:mprj_gpio_noesd[6] *2325:9 34.0562 +2 *2325:9 *2325:10 116.636 +3 *2325:10 *2325:12 4.5 +4 *2325:12 *2325:13 51.4546 +5 *2325:13 *2473:gpio_noesd[6] 8.53852 +*END + +*D_NET *2326 0.0203616 +*CONN +*I *2473:gpio_noesd[7] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[7] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[7] 0.00148588 +2 *2474:mprj_gpio_noesd[7] 0.000820715 +3 *2326:14 0.00349026 +4 *2326:13 0.0028251 +5 *2473:io_in_3v3[14] *2473:gpio_noesd[7] 0 +6 *2474:mprj_io_dm[43] *2326:13 0 +7 *2474:mprj_io_holdover[14] *2326:14 0.000776313 +8 *2474:mprj_io_inp_dis[14] *2326:13 0.000869504 +9 *2474:mprj_io_oeb[14] *2326:13 0.000754914 +10 *485:23 *2326:13 0.00032133 +11 *2025:9 *2326:13 3.31733e-05 +12 *2025:10 *2326:14 0.00898443 +13 *2106:17 *2326:13 0 +14 *2106:21 *2326:13 0 +*RES +1 *2474:mprj_gpio_noesd[7] *2326:13 42.2879 +2 *2326:13 *2326:14 97.2244 +3 *2326:14 *2473:gpio_noesd[7] 13.5369 +*END + +*D_NET *2327 0.0180866 +*CONN +*I *2473:gpio_noesd[8] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[8] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[8] 0.00141863 +2 *2474:mprj_gpio_noesd[8] 0.0005499 +3 *2327:15 0.00355678 +4 *2327:14 0.00213814 +5 *2327:12 0.00391997 +6 *2327:11 0.00446987 +7 *2473:gpio_analog[8] *2473:gpio_noesd[8] 0 +8 *2473:gpio_analog[8] *2327:15 0 +9 *2474:mprj_io_analog_en[15] *2327:11 0 +10 *2474:mprj_io_dm[46] *2327:11 0.00019711 +11 *2474:mprj_io_slow_sel[15] *2327:11 0.00019711 +12 *1783:18 *2327:11 0 +13 *1999:7 *2327:11 1.83854e-05 +14 *2107:14 *2327:11 0.000925194 +15 *2134:8 *2327:11 0.000524464 +16 *2309:10 *2327:11 0.000171079 +*RES +1 *2474:mprj_gpio_noesd[8] *2327:11 32.6883 +2 *2327:11 *2327:12 102.77 +3 *2327:12 *2327:14 4.5 +4 *2327:14 *2327:15 60.1749 +5 *2327:15 *2473:gpio_noesd[8] 8.36393 +*END + +*D_NET *2328 0.0211616 +*CONN +*I *2473:gpio_noesd[9] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[9] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[9] 0.00148243 +2 *2474:mprj_gpio_noesd[9] 0.000756271 +3 *2328:23 0.00193417 +4 *2328:22 0.000451735 +5 *2328:20 0.00346001 +6 *2328:19 0.00421629 +7 *2328:23 *2336:16 0.0001982 +8 *2328:23 *2363:10 0.00338008 +9 *2473:gpio_analog[9] *2473:gpio_noesd[9] 0 +10 *2473:io_in_3v3[16] *2473:gpio_noesd[9] 0 +11 *2474:mprj_io_dm[48] *2328:19 0.000188784 +12 *2474:mprj_io_dm[49] *2328:19 0 +13 *518:8 *2328:19 0.0010388 +14 *1973:8 *2328:19 8.35615e-06 +15 *1973:16 *2328:19 0.000124349 +16 *2054:8 *2328:19 0.000863062 +17 *2081:19 *2328:20 8.79845e-05 +18 *2108:8 *2328:19 7.77309e-06 +19 *2310:17 *2328:23 0.00296335 +*RES +1 *2474:mprj_gpio_noesd[9] *2328:19 45.391 +2 *2328:19 *2328:20 93.3422 +3 *2328:20 *2328:22 4.5 +4 *2328:22 *2328:23 53.9461 +5 *2328:23 *2473:gpio_noesd[9] 8.45538 +*END + +*D_NET *2329 0.131996 +*CONN +*I *2473:io_in[0] I *D user_analog_project_wrapper +*I *2444:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[0] 0.00139688 +2 *2444:user_gpio_in 0.00110785 +3 *2329:19 0.00509935 +4 *2329:18 0.00370247 +5 *2329:16 0.0111611 +6 *2329:15 0.0111611 +7 *2329:13 0.00110785 +8 *2473:io_in[0] *2383:12 0 +9 *2329:13 *2444:user_gpio_oeb 1.53776e-05 +10 *2329:13 *2444:user_gpio_out 4.97448e-06 +11 *2329:13 *2356:22 1.6594e-05 +12 *2329:13 *2383:22 0.00154198 +13 *2329:16 *2367:16 0.0393728 +14 *2329:16 *2383:16 0.0561562 +15 *2444:serial_load_out *2329:13 9.52305e-06 +16 *2471:mgmt_gpio_in[13] *2329:16 0 +17 *2471:mgmt_gpio_in[5] *2329:16 0 +18 *2473:io_in_3v3[0] *2473:io_in[0] 0 +19 *499:15 *2329:13 0 +20 *1565:19 *2329:13 0.000141764 +*RES +1 *2444:user_gpio_in *2329:13 46.4919 +2 *2329:13 *2329:15 4.5 +3 *2329:15 *2329:16 900.853 +4 *2329:16 *2329:18 4.5 +5 *2329:18 *2329:19 96.6698 +6 *2329:19 *2473:io_in[0] 15.2015 +*END + +*D_NET *2330 0.0557653 +*CONN +*I *2473:io_in[10] I *D user_analog_project_wrapper +*I *2451:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[10] 0.000930771 +2 *2451:user_gpio_in 0.000288289 +3 *2330:14 0.00986972 +4 *2330:13 0.00893895 +5 *2330:11 0.00282494 +6 *2330:10 0.00311322 +7 *2473:io_in[10] *2384:9 0 +8 *2330:10 *2451:user_gpio_oeb 0.00010456 +9 *2330:11 *2357:13 0.00385655 +10 *2330:14 *2331:16 0.0073461 +11 *2330:14 *2357:10 0.00462595 +12 *2330:14 *2382:10 0.00738148 +13 *2451:serial_data_in *2330:10 1.5714e-05 +14 *2451:serial_data_in *2330:11 0.00142299 +15 *2473:io_in_3v3[10] *2473:io_in[10] 0.00152279 +16 *101:10 *2330:10 0.000196723 +17 *468:13 *2330:10 7.92492e-05 +18 *479:52 *2330:10 0.000184601 +19 *479:52 *2330:11 0.00304351 +20 *2129:13 *2330:11 1.92172e-05 +*RES +1 *2451:user_gpio_in *2330:10 18.4296 +2 *2330:10 *2330:11 124.4 +3 *2330:11 *2330:13 4.5 +4 *2330:13 *2330:14 387.394 +5 *2330:14 *2473:io_in[10] 8.27746 +*END + +*D_NET *2331 0.067331 +*CONN +*I *2473:io_in[11] I *D user_analog_project_wrapper +*I *2452:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[11] 0.000862234 +2 *2452:user_gpio_in 0.000453678 +3 *2331:16 0.00684479 +4 *2331:15 0.00598255 +5 *2331:13 0.00412936 +6 *2331:12 0.00458304 +7 *2473:io_in[11] *2385:9 0 +8 *2331:16 *2357:10 0.00816823 +9 *2331:16 *2358:10 0.00476355 +10 *2331:16 *2359:10 0.00630307 +11 *2331:16 *2386:10 0.00625456 +12 *2473:io_in_3v3[11] *2473:io_in[11] 0.00368994 +13 *102:13 *2331:13 0.0032252 +14 *102:17 *2331:13 0.000695917 +15 *468:54 *2331:13 0.00317984 +16 *2130:10 *2331:12 0.000848949 +17 *2330:14 *2331:16 0.0073461 +*RES +1 *2452:user_gpio_in *2331:12 21.8747 +2 *2331:12 *2331:13 141.593 +3 *2331:13 *2331:15 4.5 +4 *2331:15 *2331:16 387.394 +5 *2331:16 *2473:io_in[11] 8.53852 +*END + +*D_NET *2332 0.053424 +*CONN +*I *2473:io_in[12] I *D user_analog_project_wrapper +*I *2453:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[12] 0.00113616 +2 *2453:user_gpio_in 0.000122782 +3 *2332:14 0.0076494 +4 *2332:13 0.00651324 +5 *2332:11 0.00232706 +6 *2332:10 0.00244984 +7 *2473:io_in[12] *2386:9 0 +8 *2332:10 *2453:user_gpio_oeb 0.000219418 +9 *2332:11 *2359:13 5.99529e-06 +10 *2332:11 *2386:13 0.00508193 +11 *2332:14 *2358:10 0.00728864 +12 *2332:14 *2385:10 0.00666772 +13 *2332:14 *2386:10 0.00774209 +14 *2453:serial_load *2332:11 0 +15 *2473:gpio_noesd[5] *2473:io_in[12] 0 +16 *2473:io_in_3v3[12] *2473:io_in[12] 0.000921588 +17 *470:11 *2332:10 7.79528e-05 +18 *470:11 *2332:11 0.00126666 +19 *470:15 *2332:11 0.00105742 +20 *2022:11 *2332:14 0.00284146 +21 *2131:10 *2332:10 5.46727e-05 +22 *2324:19 *2332:14 0 +*RES +1 *2453:user_gpio_in *2332:10 11.0316 +2 *2332:10 *2332:11 121.627 +3 *2332:11 *2332:13 4.5 +4 *2332:13 *2332:14 370.784 +5 *2332:14 *2473:io_in[12] 8.72642 +*END + +*D_NET *2333 0.0351167 +*CONN +*I *2473:io_in[13] I *D user_analog_project_wrapper +*I *2454:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[13] 0.00135346 +2 *2454:user_gpio_in 0.000254443 +3 *2333:14 0.00508083 +4 *2333:13 0.00372737 +5 *2333:11 0.00261705 +6 *2333:10 0.00287149 +7 *2473:io_in[13] *2387:9 0 +8 *2333:10 *2454:user_gpio_oeb 0.000728047 +9 *2333:11 *2360:13 0.00388033 +10 *2333:14 *2387:10 0.0102959 +11 *2454:serial_data_in *2333:11 0.000654439 +12 *2454:serial_load *2333:10 0 +13 *2473:io_in_3v3[13] *2473:io_in[13] 0 +14 *470:40 *2333:10 0.000272862 +15 *470:40 *2333:11 0.00318552 +16 *2024:11 *2333:14 0.000194974 +*RES +1 *2454:user_gpio_in *2333:10 19.2601 +2 *2333:10 *2333:11 124.4 +3 *2333:11 *2333:13 4.5 +4 *2333:13 *2333:14 171.047 +5 *2333:14 *2473:io_in[13] 8.52855 +*END + +*D_NET *2334 0.0319651 +*CONN +*I *2473:io_in[14] I *D user_analog_project_wrapper +*I *2461:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[14] 0.00139274 +2 *2461:user_gpio_in 0.0027243 +3 *2334:14 0.00731548 +4 *2334:13 0.00864703 +5 *2473:io_in[14] *2388:12 0 +6 *2334:13 *2461:user_gpio_oeb 5.36925e-05 +7 *2461:serial_clock *2334:13 0.000103658 +8 *2461:serial_data_in *2334:13 0.000139498 +9 *2461:serial_load *2334:13 0.0115385 +10 *2473:io_in_3v3[14] *2473:io_in[14] 0 +11 *467:12 *2334:13 5.02212e-05 +*RES +1 *2461:user_gpio_in *2334:13 39.2716 +2 *2334:13 *2334:14 166.272 +3 *2334:14 *2473:io_in[14] 8.42878 +*END + +*D_NET *2335 0.0288368 +*CONN +*I *2473:io_in[15] I *D user_analog_project_wrapper +*I *2462:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[15] 0.00180939 +2 *2462:user_gpio_in 0.000898188 +3 *2335:19 0.00350519 +4 *2335:18 0.00169579 +5 *2335:16 0.00613911 +6 *2335:15 0.0070373 +7 *2335:15 *2462:user_gpio_oeb 1.29018e-05 +8 *2335:15 *2362:19 0 +9 *2473:io_in_3v3[15] *2473:io_in[15] 9.3612e-05 +10 *100:70 *2335:15 1.1573e-05 +11 *100:71 *2335:15 0.000150769 +12 *471:15 *2335:15 1.29018e-05 +13 *2026:10 *2335:19 0.00747011 +*RES +1 *2462:user_gpio_in *2335:15 36.9903 +2 *2335:15 *2335:16 172.916 +3 *2335:16 *2335:18 4.5 +4 *2335:18 *2335:19 78.9225 +5 *2335:19 *2473:io_in[15] 20.1265 +*END + +*D_NET *2336 0.0389306 +*CONN +*I *2473:io_in[16] I *D user_analog_project_wrapper +*I *2463:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[16] 0.00141684 +2 *2463:user_gpio_in 0.00252785 +3 *2336:16 0.00390696 +4 *2336:15 0.00501796 +5 *2473:io_in[16] *2390:9 0 +6 *2336:15 *2463:user_gpio_oeb 0.010236 +7 *2336:15 *2463:user_gpio_out 4.42985e-05 +8 *2336:16 *2463:user_gpio_oeb 4.28941e-05 +9 *2336:16 *2363:10 0.00211857 +10 *2336:16 *2390:10 0.00519571 +11 *2473:io_in_3v3[16] *2473:io_in[16] 0 +12 *471:16 *2336:15 0 +13 *471:23 *2336:15 0.00105852 +14 *472:7 *2336:15 0.00160176 +15 *472:8 *2336:15 0.000150805 +16 *489:26 *2336:15 0.00141018 +17 *517:15 *2336:15 0 +18 *518:8 *2336:15 3.5534e-06 +19 *2310:17 *2336:16 0.00400051 +20 *2328:23 *2336:16 0.0001982 +*RES +1 *2463:user_gpio_in *2336:15 33.8871 +2 *2336:15 *2336:16 171.255 +3 *2336:16 *2473:io_in[16] 8.23256 +*END + +*D_NET *2337 0.0347999 +*CONN +*I *2473:io_in[17] I *D user_analog_project_wrapper +*I *2464:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[17] 0.00201629 +2 *2464:user_gpio_in 0.000995052 +3 *2337:17 0.00370901 +4 *2337:16 0.00169273 +5 *2337:14 0.00370721 +6 *2337:13 0.00470226 +7 *2473:io_in[17] *2391:13 0 +8 *2337:13 *2464:user_gpio_oeb 5.36925e-05 +9 *2337:13 *2364:19 0 +10 *2473:gpio_noesd[10] *2473:io_in[17] 1.66626e-05 +11 *2473:io_in_3v3[17] *2473:io_in[17] 0.000122042 +12 *471:24 *2337:14 9.64545e-05 +13 *472:20 *2337:14 0.0102791 +14 *473:7 *2337:13 5.83673e-05 +15 *2312:10 *2337:17 0.00735098 +*RES +1 *2464:user_gpio_in *2337:13 37.0568 +2 *2337:13 *2337:14 169.179 +3 *2337:14 *2337:16 4.5 +4 *2337:16 *2337:17 77.8133 +5 *2337:17 *2473:io_in[17] 25.405 +*END + +*D_NET *2338 0.0267982 +*CONN +*I *2473:io_in[18] I *D user_analog_project_wrapper +*I *2465:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[18] 0.00198862 +2 *2465:user_gpio_in 0.000111704 +3 *2338:17 0.00292449 +4 *2338:14 0.00712755 +5 *2338:13 0.00619168 +6 *2338:11 0.00207854 +7 *2338:10 0.00219025 +8 *2338:10 *2465:user_gpio_oeb 4.31243e-06 +9 *2338:10 *2465:user_gpio_out 0 +10 *2465:serial_clock *2338:11 0 +11 *2465:serial_load *2338:10 7.86825e-06 +12 *2473:io_in_3v3[18] *2473:io_in[18] 0 +13 *106:31 *2338:11 0 +14 *474:13 *2338:10 4.2387e-05 +15 *2029:10 *2338:17 0.00413078 +*RES +1 *2465:user_gpio_in *2338:10 8.54006 +2 *2338:10 *2338:11 55.6292 +3 *2338:11 *2338:13 4.5 +4 *2338:13 *2338:14 174.992 +5 *2338:14 *2338:17 47.9279 +6 *2338:17 *2473:io_in[18] 19.6502 +*END + +*D_NET *2339 0.0351293 +*CONN +*I *2473:io_in[19] I *D user_analog_project_wrapper +*I *2466:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[19] 0.00150747 +2 *2466:user_gpio_in 0.00307474 +3 *2339:16 0.00558704 +4 *2339:15 0.00715431 +5 *2473:io_in[19] *2393:15 0 +6 *2339:15 *2466:user_gpio_oeb 0.0108367 +7 *2339:16 *2366:10 0.00349059 +8 *2466:resetn *2339:15 0 +9 *2466:serial_data_in *2339:15 0 +10 *2473:io_in_3v3[19] *2473:io_in[19] 0 +11 *475:15 *2339:15 3.77653e-05 +12 *475:16 *2339:15 0 +13 *492:21 *2339:15 0 +14 *520:15 *2339:15 0 +15 *2314:23 *2339:16 0.0034407 +*RES +1 *2466:user_gpio_in *2339:15 35.5481 +2 *2339:15 *2339:16 169.594 +3 *2339:16 *2473:io_in[19] 8.28079 +*END + +*D_NET *2340 0.0846448 +*CONN +*I *2473:io_in[1] I *D user_analog_project_wrapper +*I *2445:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[1] 0.00163012 +2 *2445:user_gpio_in 0.00120383 +3 *2340:17 0.00461687 +4 *2340:16 0.00298675 +5 *2340:14 0.0144891 +6 *2340:13 0.0144891 +7 *2340:11 0.00120383 +8 *2473:io_in[1] *2375:10 0.000130145 +9 *2340:11 *2445:user_gpio_oeb 0.00025922 +10 *2340:11 *2367:21 0.00131953 +11 *2340:14 *2367:16 0.0410658 +12 *2445:serial_load_out *2340:11 3.84497e-05 +13 *2471:mgmt_gpio_in[13] *2340:14 0 +14 *2471:mgmt_gpio_in[16] *2340:14 0 +15 *2473:io_in_3v3[1] *2473:io_in[1] 0 +16 *503:13 *2340:11 0 +17 *2031:18 *2340:17 0.00121204 +*RES +1 *2445:user_gpio_in *2340:11 46.3398 +2 *2340:11 *2340:13 4.5 +3 *2340:13 *2340:14 658.761 +4 *2340:14 *2340:16 4.5 +5 *2340:16 *2340:17 91.1238 +6 *2340:17 *2473:io_in[1] 17.3385 +*END + +*D_NET *2341 0.0274535 +*CONN +*I *2473:io_in[20] I *D user_analog_project_wrapper +*I *2467:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[20] 0.00212065 +2 *2467:user_gpio_in 8.8148e-05 +3 *2341:19 0.00308922 +4 *2341:16 0.0069558 +5 *2341:15 0.00598723 +6 *2341:13 0.00168743 +7 *2341:12 0.00177558 +8 *2473:io_in[20] *2395:15 0 +9 *2341:12 *2467:user_gpio_oeb 0 +10 *2467:serial_clock *2341:12 1.1573e-05 +11 *2473:io_in_3v3[20] *2473:io_in[20] 9.69513e-05 +12 *108:36 *2341:13 0.00138917 +13 *476:7 *2341:12 2.12195e-05 +14 *2032:14 *2341:19 0.00423054 +*RES +1 *2467:user_gpio_in *2341:12 8.47354 +2 *2341:12 *2341:13 57.293 +3 *2341:13 *2341:15 4.5 +4 *2341:15 *2341:16 169.179 +5 *2341:16 *2341:19 49.0371 +6 *2341:19 *2473:io_in[20] 24.3838 +*END + +*D_NET *2342 0.0343236 +*CONN +*I *2473:io_in[21] I *D user_analog_project_wrapper +*I *2468:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[21] 0.00112302 +2 *2468:user_gpio_in 0.00279899 +3 *2342:14 0.00546322 +4 *2342:13 0.0071392 +5 *2473:io_in[21] *2396:15 0.000363771 +6 *2342:13 *2468:user_gpio_oeb 0.0115434 +7 *2342:14 *2369:10 0.00517523 +8 *2468:serial_data_in *2342:13 0.000274219 +9 *2473:io_in_3v3[21] *2473:io_in[21] 0.000360677 +10 *477:7 *2342:13 8.18368e-05 +11 *2033:13 *2342:14 0 +*RES +1 *2468:user_gpio_in *2342:13 37.6106 +2 *2342:13 *2342:14 171.255 +3 *2342:14 *2473:io_in[21] 7.89335 +*END + +*D_NET *2343 0.0268648 +*CONN +*I *2473:io_in[22] I *D user_analog_project_wrapper +*I *2469:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[22] 0.00145537 +2 *2469:user_gpio_in 8.14238e-05 +3 *2343:19 0.0031436 +4 *2343:18 0.00168823 +5 *2343:16 0.00603199 +6 *2343:15 0.00603199 +7 *2343:13 0.00219785 +8 *2343:12 0.00227927 +9 *2473:io_in[22] *2397:15 0 +10 *2343:12 *2469:user_gpio_oeb 0 +11 *2473:io_in_3v3[22] *2473:io_in[22] 0.00387569 +12 *478:10 *2343:12 2.12195e-05 +13 *2034:11 *2473:io_in[22] 5.81406e-05 +*RES +1 *2469:user_gpio_in *2343:12 8.47354 +2 *2343:12 *2343:13 57.293 +3 *2343:13 *2343:15 4.5 +4 *2343:15 *2343:16 170.84 +5 *2343:16 *2343:18 4.5 +6 *2343:18 *2343:19 45.6463 +7 *2343:19 *2473:io_in[22] 22.1778 +*END + +*D_NET *2344 0.0416388 +*CONN +*I *2473:io_in[23] I *D user_analog_project_wrapper +*I *2470:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[23] 0.00169059 +2 *2470:user_gpio_in 0.00126782 +3 *2344:19 0.00332931 +4 *2344:18 0.00163872 +5 *2344:16 0.00142616 +6 *2344:15 0.00269398 +7 *2473:io_in[23] *2398:12 0 +8 *2344:15 *2470:user_gpio_oeb 1.29018e-05 +9 *2344:16 *2371:16 0.0110148 +10 *2344:16 *2398:16 0.0110112 +11 *2344:19 *2398:13 0.00666057 +12 *2473:io_in_3v3[23] *2473:io_in[23] 0.000411455 +13 *111:19 *2344:15 4.15559e-05 +14 *111:39 *2344:15 0.000313296 +15 *479:15 *2344:15 1.29018e-05 +16 *496:20 *2344:15 1.66771e-05 +17 *2035:11 *2473:io_in[23] 9.69513e-05 +*RES +1 *2470:user_gpio_in *2344:15 43.204 +2 *2344:15 *2344:16 176.238 +3 *2344:16 *2344:18 4.5 +4 *2344:18 *2344:19 72.8219 +5 *2344:19 *2473:io_in[23] 16.7579 +*END + +*D_NET *2345 0.0201264 +*CONN +*I *2473:io_in[24] I *D user_analog_project_wrapper +*I *2446:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[24] 0.00257898 +2 *2446:user_gpio_in 0.00431605 +3 *2345:13 0.00689503 +4 *2473:io_in[24] *2399:9 0 +5 *2473:io_in[24] *2399:10 0 +6 *2345:13 *2446:user_gpio_oeb 5.73349e-05 +7 *2446:serial_data_in *2345:13 1.31158e-05 +8 *2446:serial_load_out *2345:13 0.000185151 +9 *2473:gpio_analog[17] *2473:io_in[24] 4.62112e-05 +10 *2473:gpio_noesd[17] *2473:io_in[24] 0.000536397 +11 *2473:io_in_3v3[24] *2473:io_in[24] 0.00126211 +12 *112:26 *2345:13 0.00269229 +13 *497:28 *2345:13 0.00154377 +14 *513:13 *2345:13 0 +15 *514:14 *2345:13 0 +*RES +1 *2446:user_gpio_in *2345:13 38.5832 +2 *2345:13 *2473:io_in[24] 43.9332 +*END + +*D_NET *2346 0.0378077 +*CONN +*I *2473:io_in[25] I *D user_analog_project_wrapper +*I *2447:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[25] 0.00185436 +2 *2447:user_gpio_in 0.00120496 +3 *2346:19 0.0045105 +4 *2346:18 0.00265613 +5 *2346:16 0.00153079 +6 *2346:15 0.00273574 +7 *2346:15 *2447:user_gpio_oeb 8.62976e-06 +8 *2346:15 *2373:19 0 +9 *2473:io_in_3v3[25] *2473:io_in[25] 0 +10 *2473:io_in_3v3[25] *2346:19 0.000861684 +11 *109:52 *2473:io_in[25] 0 +12 *1559:31 *2346:16 0.0112243 +13 *1560:29 *2346:16 0.0112207 +*RES +1 *2447:user_gpio_in *2346:15 40.9856 +2 *2346:15 *2346:16 180.39 +3 *2346:16 *2346:18 4.5 +4 *2346:18 *2346:19 75.0403 +5 *2346:19 *2473:io_in[25] 14.0286 +*END + +*D_NET *2347 0.0633336 +*CONN +*I *2473:io_in[26] I *D user_analog_project_wrapper +*I *2448:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[26] 0.00172715 +2 *2448:user_gpio_in 0.000409613 +3 *2347:16 0.0103373 +4 *2347:15 0.00861018 +5 *2347:13 0.00233161 +6 *2347:12 0.00274123 +7 *2473:io_in[26] *2401:9 0 +8 *2347:12 *2448:user_gpio_oeb 0.000752472 +9 *2347:13 *2374:13 0.0102015 +10 *2347:16 *2374:10 0.0238834 +11 *2448:serial_load_out *2347:12 7.93861e-05 +12 *2473:io_in_3v3[26] *2473:io_in[26] 0 +13 *900:7 *2347:16 0 +14 *1167:9 *2347:16 0.00180761 +15 *2036:11 *2347:16 0.000452049 +*RES +1 *2448:user_gpio_in *2347:12 22.5921 +2 *2347:12 *2347:13 107.762 +3 *2347:13 *2347:15 4.5 +4 *2347:15 *2347:16 400.266 +5 *2347:16 *2473:io_in[26] 8.37557 +*END + +*D_NET *2348 0.0620714 +*CONN +*I *2473:io_in[2] I *D user_analog_project_wrapper +*I *2455:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[2] 0.00162472 +2 *2455:user_gpio_in 0.00028064 +3 *2348:14 0.00969258 +4 *2348:13 0.00806786 +5 *2348:11 0.00320635 +6 *2348:10 0.00348699 +7 *2473:io_in[2] *2402:9 0 +8 *2348:10 *2455:user_gpio_oeb 0.000608337 +9 *2348:11 *2375:13 2.18041e-06 +10 *2348:11 *2402:13 0.00505428 +11 *2348:14 *2402:10 0.00453221 +12 *2348:14 *2403:10 0.00850736 +13 *2455:serial_load_out *2348:10 3.84497e-05 +14 *2473:io_in_3v3[2] *2473:io_in[2] 0 +15 *2040:23 *2348:14 0.0169694 +*RES +1 *2455:user_gpio_in *2348:10 17.5991 +2 *2348:10 *2348:11 122.736 +3 *2348:11 *2348:13 4.5 +4 *2348:13 *2348:14 423.105 +5 *2348:14 *2473:io_in[2] 8.66324 +*END + +*D_NET *2349 0.031052 +*CONN +*I *2473:io_in[3] I *D user_analog_project_wrapper +*I *2456:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[3] 0.00156962 +2 *2456:user_gpio_in 8.75145e-05 +3 *2349:14 0.00441324 +4 *2349:13 0.00284362 +5 *2349:11 0.00210508 +6 *2349:10 0.00219259 +7 *2473:io_in[3] *2403:9 0 +8 *2349:10 *2456:user_gpio_oeb 2.12195e-05 +9 *2349:10 *2456:user_gpio_out 4.59232e-05 +10 *2349:11 *2376:13 0.00499931 +11 *2349:11 *2403:13 0.00290471 +12 *2349:14 *2376:10 0.00491499 +13 *2349:14 *2403:10 0.00494038 +14 *2456:serial_load *2349:10 0 +15 *2473:io_in_3v3[3] *2473:io_in[3] 0 +16 *105:30 *2349:10 1.38068e-05 +*RES +1 *2456:user_gpio_in *2349:10 8.87882 +2 *2349:10 *2349:11 121.072 +3 *2349:11 *2349:13 4.5 +4 *2349:13 *2349:14 167.31 +5 *2349:14 *2473:io_in[3] 8.75303 +*END + +*D_NET *2350 0.0220997 +*CONN +*I *2473:io_in[4] I *D user_analog_project_wrapper +*I *2457:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[4] 0.00141724 +2 *2457:user_gpio_in 0.000132683 +3 *2350:16 0.00310836 +4 *2350:15 0.00169112 +5 *2350:13 0.00310789 +6 *2350:12 0.00324057 +7 *2473:io_in[4] *2404:9 0 +8 *2350:12 *2457:user_gpio_oeb 0.000231826 +9 *2350:12 *2457:user_gpio_out 0.000216082 +10 *2350:13 *2377:13 2.20702e-05 +11 *2350:13 *2404:13 0.00516663 +12 *2350:16 *2377:10 0.00330086 +13 *2350:16 *2404:10 0.000162183 +14 *2457:serial_load *2350:12 0 +15 *2457:serial_load_out *2350:12 1.53622e-05 +16 *2457:serial_load_out *2350:13 0.000286826 +*RES +1 *2457:user_gpio_in *2350:12 11.7956 +2 *2350:12 *2350:13 125.509 +3 *2350:13 *2350:15 4.5 +4 *2350:15 *2350:16 69.7257 +5 *2350:16 *2473:io_in[4] 8.28744 +*END + +*D_NET *2351 0.0360622 +*CONN +*I *2473:io_in[5] I *D user_analog_project_wrapper +*I *2458:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[5] 0.00152318 +2 *2458:user_gpio_in 0.000288296 +3 *2351:14 0.00546187 +4 *2351:13 0.0039387 +5 *2351:11 0.00159709 +6 *2351:10 0.00188539 +7 *2473:io_in[5] *2405:9 0 +8 *2351:10 *2458:user_gpio_oeb 0.000556245 +9 *2351:10 *2458:user_gpio_out 2.04806e-05 +10 *2351:11 *2378:13 0.0051676 +11 *2351:11 *2405:13 0.0051219 +12 *2351:14 *2378:10 0.0101423 +13 *2351:14 *2405:10 0.000296518 +14 *2458:serial_data_in *2351:11 2.42138e-05 +15 *2458:serial_load_out *2351:10 3.84497e-05 +16 *2042:17 *2351:14 0 +*RES +1 *2458:user_gpio_in *2351:10 17.5991 +2 *2351:10 *2351:11 124.4 +3 *2351:11 *2351:13 4.5 +4 *2351:13 *2351:14 176.861 +5 *2351:14 *2473:io_in[5] 8.45206 +*END + +*D_NET *2352 0.0403611 +*CONN +*I *2473:io_in[6] I *D user_analog_project_wrapper +*I *2459:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[6] 0.0015383 +2 *2459:user_gpio_in 0.00013638 +3 *2352:14 0.00571205 +4 *2352:13 0.00417375 +5 *2352:11 0.00278249 +6 *2352:10 0.00291887 +7 *2473:io_in[6] *2406:9 0 +8 *2352:10 *2459:user_gpio_oeb 2.12195e-05 +9 *2352:11 *2406:13 0.0116003 +10 *2352:14 *2406:10 0.0113898 +11 *2459:serial_load_out *2352:10 4.70618e-05 +12 *2473:io_in_3v3[6] *2473:io_in[6] 0 +13 *2473:io_in_3v3[6] *2352:14 0 +14 *476:31 *2352:10 2.5204e-05 +15 *476:34 *2352:10 1.5714e-05 +*RES +1 *2459:user_gpio_in *2352:10 8.61655 +2 *2352:10 *2352:11 123.291 +3 *2352:11 *2352:13 4.5 +4 *2352:13 *2352:14 188.488 +5 *2352:14 *2473:io_in[6] 8.52356 +*END + +*D_NET *2353 0.0708763 +*CONN +*I *2473:io_in[7] I *D user_analog_project_wrapper +*I *2460:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[7] 0.00102467 +2 *2460:user_gpio_in 0.000101274 +3 *2353:14 0.00387584 +4 *2353:13 0.00285117 +5 *2353:11 0.00163127 +6 *2353:10 0.00173255 +7 *2473:io_in[7] *2407:9 0 +8 *2353:10 *2460:user_gpio_oeb 2.71319e-05 +9 *2353:10 *2460:user_gpio_out 5.30145e-05 +10 *2353:11 *2380:13 0.00513068 +11 *2353:11 *2407:13 0.00513309 +12 *2353:14 *2380:10 0.0227234 +13 *2353:14 *2407:10 0.0227755 +14 *2460:serial_load_out *2353:10 3.74656e-05 +15 *2473:io_in_3v3[7] *2473:io_in[7] 0.00366812 +16 *495:85 *2353:10 9.3612e-05 +17 *2125:8 *2353:10 1.75925e-05 +*RES +1 *2460:user_gpio_in *2353:10 9.70932 +2 *2353:10 *2353:11 123.845 +3 *2353:11 *2353:13 4.5 +4 *2353:13 *2353:14 363.309 +5 *2353:14 *2473:io_in[7] 8.52522 +*END + +*D_NET *2354 0.0724693 +*CONN +*I *2473:io_in[8] I *D user_analog_project_wrapper +*I *2449:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[8] 0.00108569 +2 *2449:user_gpio_in 0.000488625 +3 *2354:16 0.00440925 +4 *2354:15 0.00332356 +5 *2354:13 0.00503361 +6 *2354:12 0.00552223 +7 *2473:io_in[8] *2408:9 0 +8 *2354:16 *2380:10 0.000507721 +9 *2354:16 *2381:10 0.0228567 +10 *2354:16 *2408:10 0.0229052 +11 *2473:gpio_noesd[1] *2473:io_in[8] 0 +12 *2473:io_in_3v3[8] *2473:io_in[8] 0.00362715 +13 *1964:10 *2354:12 0.000364561 +14 *2072:15 *2354:13 0.00227755 +15 *2099:15 *2354:12 0 +16 *2126:15 *2354:12 6.75696e-05 +*RES +1 *2449:user_gpio_in *2354:12 21.8181 +2 *2354:12 *2354:13 139.929 +3 *2354:13 *2354:15 4.5 +4 *2354:15 *2354:16 382.411 +5 *2354:16 *2473:io_in[8] 8.71312 +*END + +*D_NET *2355 0.0452548 +*CONN +*I *2473:io_in[9] I *D user_analog_project_wrapper +*I *2450:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[9] 0.00143363 +2 *2450:user_gpio_in 9.04494e-05 +3 *2355:16 0.0106134 +4 *2355:15 0.00917972 +5 *2355:13 0.00313039 +6 *2355:12 0.00322084 +7 *2473:io_in[9] *2409:9 0 +8 *2355:12 *2450:user_gpio_oeb 2.29355e-05 +9 *2355:12 *2450:user_gpio_out 2.01653e-05 +10 *2355:13 *2382:13 1.00937e-05 +11 *2355:13 *2409:13 0.00501388 +12 *2355:16 *2409:10 0.0112627 +13 *2450:serial_load_out *2355:12 1.29141e-05 +14 *2473:io_in_3v3[9] *2473:io_in[9] 0.00092763 +15 *2303:17 *2355:16 0.000316067 +16 *2320:21 *2355:16 0 +*RES +1 *2450:user_gpio_in *2355:12 8.47354 +2 *2355:12 *2355:13 121.072 +3 *2355:13 *2355:15 4.5 +4 *2355:15 *2355:16 369.953 +5 *2355:16 *2473:io_in[9] 9.07728 +*END + +*D_NET *2356 0.112326 +*CONN +*I *2444:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[0] O *D user_analog_project_wrapper +*CAP +1 *2444:user_gpio_oeb 5.54405e-05 +2 *2473:io_oeb[0] 0.00121698 +3 *2356:22 0.00106536 +4 *2356:16 0.0208783 +5 *2356:15 0.0198684 +6 *2356:13 0.00362018 +7 *2356:12 0.00483716 +8 *2444:user_gpio_oeb *2444:user_gpio_out 9.87699e-05 +9 *2356:16 *2367:16 0.000209616 +10 *2356:16 *2383:16 0.0566448 +11 *2356:22 *2383:22 0.00155912 +12 *2444:serial_load_out *2444:user_gpio_oeb 0 +13 *2444:serial_load_out *2356:22 0.000117185 +14 *2473:io_in_3v3[1] *2356:12 0 +15 *100:96 *2356:22 0 +16 *101:37 *2356:16 0 +17 *112:36 *2356:16 5.1493e-06 +18 *479:37 *2356:16 2.55661e-06 +19 *487:18 *2356:16 0 +20 *497:37 *2356:16 0.00189096 +21 *1565:19 *2356:22 0.000223678 +22 *2329:13 *2444:user_gpio_oeb 1.53776e-05 +23 *2329:13 *2356:22 1.6594e-05 +*RES +1 *2473:io_oeb[0] *2356:12 14.5667 +2 *2356:12 *2356:13 95.006 +3 *2356:13 *2356:15 4.5 +4 *2356:15 *2356:16 919.539 +5 *2356:16 *2356:22 47.8123 +6 *2356:22 *2444:user_gpio_oeb 3.58495 +*END + +*D_NET *2357 0.0691812 +*CONN +*I *2451:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[10] O *D user_analog_project_wrapper +*CAP +1 *2451:user_gpio_oeb 0.000392097 +2 *2473:io_oeb[10] 0.001482 +3 *2357:13 0.00176887 +4 *2357:12 0.00137677 +5 *2357:10 0.00439667 +6 *2357:9 0.00587867 +7 *2357:9 *2384:9 0 +8 *2357:10 *2358:10 0.00713505 +9 *2357:10 *2382:10 0.00733297 +10 *2357:10 *2384:10 0.00556489 +11 *2357:10 *2409:10 0 +12 *2357:13 *2384:13 0.00355278 +13 *101:10 *2451:user_gpio_oeb 0 +14 *468:13 *2451:user_gpio_oeb 0.000289124 +15 *468:13 *2357:13 0.00308242 +16 *468:17 *2357:13 0.000695917 +17 *479:52 *2451:user_gpio_oeb 7.77309e-06 +18 *479:52 *2357:13 0.00309016 +19 *2046:11 *2357:10 0.00637973 +20 *2330:10 *2451:user_gpio_oeb 0.00010456 +21 *2330:11 *2357:13 0.00385655 +22 *2330:14 *2357:10 0.00462595 +23 *2331:16 *2357:10 0.00816823 +*RES +1 *2473:io_oeb[10] *2357:9 8.58675 +2 *2357:9 *2357:10 399.851 +3 *2357:10 *2357:12 4.5 +4 *2357:12 *2357:13 124.955 +5 *2357:13 *2451:user_gpio_oeb 18.5527 +*END + +*D_NET *2358 0.0610437 +*CONN +*I *2452:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[11] O *D user_analog_project_wrapper +*CAP +1 *2452:user_gpio_oeb 0.00016365 +2 *2473:io_oeb[11] 0.001332 +3 *2358:13 0.00323581 +4 *2358:12 0.00307216 +5 *2358:10 0.00425904 +6 *2358:9 0.00559104 +7 *2452:user_gpio_oeb *2452:user_gpio_out 5.00858e-05 +8 *2358:10 *2384:10 0.0066704 +9 *2358:10 *2385:10 0.00501251 +10 *2358:10 *2386:10 0.00733715 +11 *2358:13 *2385:13 0.00513262 +12 *469:12 *2452:user_gpio_oeb 0 +13 *2076:14 *2452:user_gpio_oeb 0 +14 *2331:16 *2358:10 0.00476355 +15 *2332:14 *2358:10 0.00728864 +16 *2357:10 *2358:10 0.00713505 +*RES +1 *2473:io_oeb[11] *2358:9 8.28245 +2 *2358:9 *2358:10 388.224 +3 *2358:10 *2358:12 4.5 +4 *2358:12 *2358:13 122.736 +5 *2358:13 *2452:user_gpio_oeb 9.29407 +*END + +*D_NET *2359 0.0502125 +*CONN +*I *2453:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[12] O *D user_analog_project_wrapper +*CAP +1 *2453:user_gpio_oeb 0.000193164 +2 *2473:io_oeb[12] 0.00131216 +3 *2359:13 0.00288771 +4 *2359:12 0.00269454 +5 *2359:10 0.00902898 +6 *2359:9 0.0103411 +7 *2453:user_gpio_oeb *2453:user_gpio_out 0.000437959 +8 *2359:9 *2386:9 0 +9 *2359:10 *2386:10 0.00818139 +10 *2359:13 *2386:13 0.00512684 +11 *2453:serial_load *2359:13 0.00113281 +12 *2473:gpio_analog[5] *2359:10 0 +13 *1889:8 *2453:user_gpio_oeb 2.04806e-05 +14 *1942:11 *2359:13 0.000313481 +15 *2023:11 *2359:10 0.0010787 +16 *2131:10 *2453:user_gpio_oeb 8.01847e-05 +17 *2323:17 *2359:10 0.00085443 +18 *2331:16 *2359:10 0.00630307 +19 *2332:10 *2453:user_gpio_oeb 0.000219418 +20 *2332:11 *2359:13 5.99529e-06 +*RES +1 *2473:io_oeb[12] *2359:9 8.35063 +2 *2359:9 *2359:10 387.394 +3 *2359:10 *2359:12 4.5 +4 *2359:12 *2359:13 123.291 +5 *2359:13 *2453:user_gpio_oeb 15.2606 +*END + +*D_NET *2360 0.0325813 +*CONN +*I *2454:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[13] O *D user_analog_project_wrapper +*CAP +1 *2454:user_gpio_oeb 0.00045419 +2 *2473:io_oeb[13] 0.00143462 +3 *2360:13 0.0031812 +4 *2360:12 0.00272701 +5 *2360:10 0.00331876 +6 *2360:9 0.00475338 +7 *2454:user_gpio_oeb *2454:user_gpio_out 4.31122e-05 +8 *2360:9 *2387:9 0 +9 *2360:10 *2387:10 0.00206896 +10 *2454:serial_clock *2360:13 0 +11 *2454:serial_data_in *2454:user_gpio_oeb 1.66771e-05 +12 *470:40 *2454:user_gpio_oeb 7.77309e-06 +13 *470:40 *2360:13 0.00313465 +14 *2024:11 *2360:10 0.00553722 +15 *2325:13 *2360:10 0.00129532 +16 *2333:10 *2454:user_gpio_oeb 0.000728047 +17 *2333:11 *2360:13 0.00388033 +*RES +1 *2473:io_oeb[13] *2360:9 8.71478 +2 *2360:9 *2360:10 156.098 +3 *2360:10 *2360:12 4.5 +4 *2360:12 *2360:13 122.182 +5 *2360:13 *2454:user_gpio_oeb 22.6586 +*END + +*D_NET *2361 0.0277387 +*CONN +*I *2461:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[14] O *D user_analog_project_wrapper +*CAP +1 *2461:user_gpio_oeb 4.98012e-05 +2 *2473:io_oeb[14] 0.0018967 +3 *2361:22 0.00149774 +4 *2361:16 0.00811386 +5 *2361:15 0.00666593 +6 *2361:13 0.00152538 +7 *2361:12 0.00342208 +8 *2461:user_gpio_oeb *2461:user_gpio_out 5.36925e-05 +9 *2361:12 *2388:12 0.00015038 +10 *2361:13 *2388:13 0.00430945 +11 *2361:22 *2388:19 0 +12 *2334:13 *2461:user_gpio_oeb 5.36925e-05 +*RES +1 *2473:io_oeb[14] *2361:12 23.892 +2 *2361:12 *2361:13 61.1752 +3 *2361:13 *2361:15 4.5 +4 *2361:15 *2361:16 187.45 +5 *2361:16 *2361:22 48.9243 +6 *2361:22 *2461:user_gpio_oeb 3.66144 +*END + +*D_NET *2362 0.0266564 +*CONN +*I *2462:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[15] O *D user_analog_project_wrapper +*CAP +1 *2462:user_gpio_oeb 8.83668e-05 +2 *2473:io_oeb[15] 0.00147502 +3 *2362:19 0.0021726 +4 *2362:18 0.00208423 +5 *2362:16 0.00681874 +6 *2362:15 0.00807488 +7 *2362:12 0.00273116 +8 *2462:user_gpio_oeb *2462:user_gpio_out 0 +9 *2362:12 *2389:15 0.000736232 +10 *2362:15 *2389:15 0.00245069 +11 *100:70 *2462:user_gpio_oeb 1.1573e-05 +12 *2335:15 *2462:user_gpio_oeb 1.29018e-05 +13 *2335:15 *2362:19 0 +*RES +1 *2473:io_oeb[15] *2362:12 17.3394 +2 *2362:12 *2362:15 49.5917 +3 *2362:15 *2362:16 192.433 +4 *2362:16 *2362:18 4.5 +5 *2362:18 *2362:19 57.293 +6 *2362:19 *2462:user_gpio_oeb 8.47354 +*END + +*D_NET *2363 0.0423135 +*CONN +*I *2463:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[16] O *D user_analog_project_wrapper +*CAP +1 *2463:user_gpio_oeb 0.00164765 +2 *2473:io_oeb[16] 0.0013921 +3 *2363:10 0.00644008 +4 *2363:9 0.00618453 +5 *2463:user_gpio_oeb *2463:user_gpio_out 0.0103395 +6 *2363:10 *2390:10 0 +7 *2473:io_in_3v3[16] *2363:10 0 +8 *472:7 *2463:user_gpio_oeb 2.9322e-05 +9 *2310:17 *2363:10 0.000502815 +10 *2328:23 *2363:10 0.00338008 +11 *2336:15 *2463:user_gpio_oeb 0.010236 +12 *2336:16 *2463:user_gpio_oeb 4.28941e-05 +13 *2336:16 *2363:10 0.00211857 +*RES +1 *2473:io_oeb[16] *2363:9 8.16605 +2 *2363:9 *2363:10 186.326 +3 *2363:10 *2463:user_gpio_oeb 23.2004 +*END + +*D_NET *2364 0.0269139 +*CONN +*I *2464:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[17] O *D user_analog_project_wrapper +*CAP +1 *2464:user_gpio_oeb 8.86403e-05 +2 *2473:io_oeb[17] 0.00202698 +3 *2364:19 0.00217088 +4 *2364:18 0.00208224 +5 *2364:16 0.00667489 +6 *2364:15 0.00789761 +7 *2364:12 0.0032497 +8 *2464:user_gpio_oeb *2464:user_gpio_out 6.19346e-06 +9 *2364:12 *2391:13 0 +10 *2364:15 *2391:13 0.00266312 +11 *2364:19 *2391:19 0 +12 *2337:13 *2464:user_gpio_oeb 5.36925e-05 +13 *2337:13 *2364:19 0 +*RES +1 *2473:io_oeb[17] *2364:12 23.1097 +2 *2364:12 *2364:15 49.5917 +3 *2364:15 *2364:16 188.28 +4 *2364:16 *2364:18 4.5 +5 *2364:18 *2364:19 55.6292 +6 *2364:19 *2464:user_gpio_oeb 8.54006 +*END + +*D_NET *2365 0.0377689 +*CONN +*I *2465:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[18] O *D user_analog_project_wrapper +*CAP +1 *2465:user_gpio_oeb 0.000192211 +2 *2473:io_oeb[18] 0.00242286 +3 *2365:19 0.00186655 +4 *2365:18 0.00167434 +5 *2365:16 0.00438465 +6 *2365:15 0.00680751 +7 *2465:user_gpio_oeb *2465:user_gpio_out 2.269e-05 +8 *2365:15 *2392:15 0.000753084 +9 *2365:19 *2392:19 0.00690676 +10 *2465:serial_clock *2365:19 0 +11 *2465:serial_load *2465:user_gpio_oeb 0.000130155 +12 *1552:27 *2365:16 0.0126038 +13 *2338:10 *2465:user_gpio_oeb 4.31243e-06 +*RES +1 *2473:io_oeb[18] *2365:15 43.961 +2 *2365:15 *2365:16 201.568 +3 *2365:16 *2365:18 4.5 +4 *2365:18 *2365:19 74.4857 +5 *2365:19 *2465:user_gpio_oeb 10.6063 +*END + +*D_NET *2366 0.0369646 +*CONN +*I *2466:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[19] O *D user_analog_project_wrapper +*CAP +1 *2466:user_gpio_oeb 0.00290859 +2 *2473:io_oeb[19] 0.00147528 +3 *2366:10 0.00762304 +4 *2366:9 0.00618972 +5 *2466:user_gpio_oeb *2466:user_gpio_out 6.5075e-07 +6 *2366:10 *2393:15 0 +7 *2466:serial_data_in *2466:user_gpio_oeb 0.000799259 +8 *520:15 *2466:user_gpio_oeb 0.000195457 +9 *2296:17 *2366:10 0 +10 *2314:23 *2366:10 0.00344537 +11 *2339:15 *2466:user_gpio_oeb 0.0108367 +12 *2339:16 *2366:10 0.00349059 +*RES +1 *2473:io_oeb[19] *2366:9 8.13778 +2 *2366:9 *2366:10 187.034 +3 *2366:10 *2466:user_gpio_oeb 37.0561 +*END + +*D_NET *2367 0.109181 +*CONN +*I *2445:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[1] O *D user_analog_project_wrapper +*CAP +1 *2445:user_gpio_oeb 0.000186348 +2 *2473:io_oeb[1] 0.00134113 +3 *2367:21 0.000824565 +4 *2367:16 0.00682467 +5 *2367:15 0.00618645 +6 *2367:13 0.00315785 +7 *2367:12 0.00449898 +8 *2445:user_gpio_oeb *2445:user_gpio_out 0.000477761 +9 *2367:12 *2394:16 0.000388476 +10 *2367:13 *2394:17 0.00153414 +11 *2367:16 *2383:16 0.000210876 +12 *2367:21 *2394:27 0.00131953 +13 *2471:mgmt_gpio_in[13] *2367:16 0 +14 *2473:io_in_3v3[2] *2367:12 0 +15 *497:37 *2367:16 0 +16 *503:13 *2367:21 3.62662e-06 +17 *2329:16 *2367:16 0.0393728 +18 *2340:11 *2445:user_gpio_oeb 0.00025922 +19 *2340:11 *2367:21 0.00131953 +20 *2340:14 *2367:16 0.0410658 +21 *2356:16 *2367:16 0.000209616 +*RES +1 *2473:io_oeb[1] *2367:12 17.7096 +2 *2367:12 *2367:13 97.2244 +3 *2367:13 *2367:15 4.5 +4 *2367:15 *2367:16 672.464 +5 *2367:16 *2367:21 43.5542 +6 *2367:21 *2445:user_gpio_oeb 10.6841 +*END + +*D_NET *2368 0.0349685 +*CONN +*I *2467:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[20] O *D user_analog_project_wrapper +*CAP +1 *2467:user_gpio_oeb 0.000158181 +2 *2473:io_oeb[20] 0.00183817 +3 *2368:19 0.00202161 +4 *2368:18 0.00186342 +5 *2368:16 0.00420637 +6 *2368:15 0.00513109 +7 *2368:12 0.00276288 +8 *2467:user_gpio_oeb *2467:user_gpio_out 1.75765e-05 +9 *2368:12 *2395:15 0 +10 *2368:15 *2395:15 0.00165828 +11 *2368:19 *2395:19 0.00316567 +12 *108:22 *2467:user_gpio_oeb 6.23451e-05 +13 *1556:27 *2368:16 0.0120829 +14 *2341:12 *2467:user_gpio_oeb 0 +*RES +1 *2473:io_oeb[20] *2368:12 20.2636 +2 *2368:12 *2368:15 37.3904 +3 *2368:15 *2368:16 193.263 +4 *2368:16 *2368:18 4.5 +5 *2368:18 *2368:19 75.0403 +6 *2368:19 *2467:user_gpio_oeb 10.2476 +*END + +*D_NET *2369 0.0354936 +*CONN +*I *2468:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[21] O *D user_analog_project_wrapper +*CAP +1 *2468:user_gpio_oeb 0.00308743 +2 *2473:io_oeb[21] 0.00155805 +3 *2369:10 0.00778536 +4 *2369:9 0.00625599 +5 *2468:user_gpio_oeb *2468:user_gpio_out 5.02212e-05 +6 *2369:9 *2396:15 0 +7 *2468:resetn *2468:user_gpio_oeb 0 +8 *2468:serial_data_in *2468:user_gpio_oeb 3.45837e-05 +9 *494:11 *2468:user_gpio_oeb 0 +10 *495:14 *2468:user_gpio_oeb 3.27616e-06 +11 *2033:13 *2369:10 0 +12 *2342:13 *2468:user_gpio_oeb 0.0115434 +13 *2342:14 *2369:10 0.00517523 +*RES +1 *2473:io_oeb[21] *2369:9 8.29409 +2 *2369:9 *2369:10 181.221 +3 *2369:10 *2468:user_gpio_oeb 41.0856 +*END + +*D_NET *2370 0.0380131 +*CONN +*I *2469:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[22] O *D user_analog_project_wrapper +*CAP +1 *2469:user_gpio_oeb 0.000176248 +2 *2473:io_oeb[22] 0.00177687 +3 *2370:19 0.00190595 +4 *2370:18 0.0017297 +5 *2370:16 0.00422066 +6 *2370:15 0.00527497 +7 *2370:12 0.00283117 +8 *2469:user_gpio_oeb *2469:user_gpio_out 5.72783e-05 +9 *2370:12 *2397:15 0 +10 *2370:15 *2397:15 0.000918989 +11 *2370:19 *2397:19 0.00691892 +12 *1556:27 *2370:16 0.0122023 +13 *2034:11 *2370:12 0 +14 *2343:12 *2469:user_gpio_oeb 0 +*RES +1 *2473:io_oeb[22] *2370:12 18.6259 +2 *2370:12 *2370:15 37.3904 +3 *2370:15 *2370:16 194.924 +4 *2370:16 *2370:18 4.5 +5 *2370:18 *2370:19 75.0403 +6 *2370:19 *2469:user_gpio_oeb 10.2476 +*END + +*D_NET *2371 0.0388498 +*CONN +*I *2470:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[23] O *D user_analog_project_wrapper +*CAP +1 *2470:user_gpio_oeb 0.00124433 +2 *2473:io_oeb[23] 0.0018212 +3 *2371:16 0.00296075 +4 *2371:15 0.00171642 +5 *2371:13 0.00271127 +6 *2371:12 0.00453247 +7 *2470:user_gpio_oeb *2470:user_gpio_out 1.29018e-05 +8 *2371:12 *2398:12 0 +9 *2371:16 *2398:16 7.90402e-05 +10 *109:40 *2371:16 9.33066e-05 +11 *111:19 *2470:user_gpio_oeb 3.77925e-05 +12 *111:39 *2470:user_gpio_oeb 0.000307782 +13 *479:16 *2371:16 0.0119264 +14 *496:20 *2470:user_gpio_oeb 1.66771e-05 +15 *497:16 *2371:16 0.000353784 +16 *2035:11 *2371:12 8.10016e-06 +17 *2344:15 *2470:user_gpio_oeb 1.29018e-05 +18 *2344:16 *2371:16 0.0110148 +*RES +1 *2473:io_oeb[23] *2371:12 13.8944 +2 *2371:12 *2371:13 73.3765 +3 *2371:13 *2371:15 4.5 +4 *2371:15 *2371:16 195.755 +5 *2371:16 *2470:user_gpio_oeb 42.6494 +*END + +*D_NET *2372 0.0223693 +*CONN +*I *2446:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[24] O *D user_analog_project_wrapper +*CAP +1 *2446:user_gpio_oeb 0.000216204 +2 *2473:io_oeb[24] 0.00276885 +3 *2372:29 0 +4 *2372:16 0.00191853 +5 *2372:15 0.00170232 +6 *2372:13 0.00186766 +7 *2372:12 0.00463651 +8 *2446:user_gpio_oeb *2446:user_gpio_out 6.68838e-05 +9 *2372:16 *2399:13 0.00689088 +10 *2446:mgmt_gpio_out *2372:12 0 +11 *513:13 *2446:user_gpio_oeb 0.000119658 +12 *1556:27 *2372:13 0.0021245 +13 *2345:13 *2446:user_gpio_oeb 5.73349e-05 +*RES +1 *2473:io_oeb[24] *2372:12 45.2282 +2 *2372:12 *2372:13 71.1791 +3 *2372:13 *2372:15 4.5 +4 *2372:15 *2372:16 73.3765 +5 *2372:16 *2446:user_gpio_oeb 11.5233 +6 *2473:io_oeb[24] *2372:29 0.0498849 +*END + +*D_NET *2373 0.0300291 +*CONN +*I *2447:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[25] O *D user_analog_project_wrapper +*CAP +1 *2447:user_gpio_oeb 0.000115206 +2 *2473:io_oeb[25] 0.00192617 +3 *2373:19 0.00160212 +4 *2373:18 0.00148691 +5 *2373:16 0.00360881 +6 *2373:15 0.00527726 +7 *2373:12 0.00359463 +8 *2447:user_gpio_oeb *2447:user_gpio_out 4.45577e-05 +9 *2373:12 *2400:15 0 +10 *2373:19 *2400:19 0.00235394 +11 *2473:io_in_3v3[26] *2373:12 0 +12 *109:52 *2373:12 0 +13 *1571:13 *2373:16 0.0100108 +14 *2346:15 *2447:user_gpio_oeb 8.62976e-06 +15 *2346:15 *2373:19 0 +*RES +1 *2473:io_oeb[25] *2373:12 14.3246 +2 *2373:12 *2373:15 49.5917 +3 *2373:15 *2373:16 161.289 +4 *2373:16 *2373:18 4.5 +5 *2373:18 *2373:19 57.8476 +6 *2373:19 *2447:user_gpio_oeb 8.58662 +*END + +*D_NET *2374 0.0671194 +*CONN +*I *2448:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[26] O *D user_analog_project_wrapper +*CAP +1 *2448:user_gpio_oeb 0.000199916 +2 *2473:io_oeb[26] 0.00173283 +3 *2374:13 0.00253153 +4 *2374:12 0.00233161 +5 *2374:10 0.00475168 +6 *2374:9 0.00648452 +7 *2448:user_gpio_oeb *2448:user_gpio_out 1.57435e-05 +8 *2374:9 *2401:9 0 +9 *2374:10 *2401:10 0.00784862 +10 *2448:serial_load_out *2448:user_gpio_oeb 0.000752866 +11 *2478:la_input[2] *2374:10 0 +12 *477:29 *2374:9 0 +13 *2036:11 *2374:10 0.00563266 +14 *2347:12 *2448:user_gpio_oeb 0.000752472 +15 *2347:13 *2374:13 0.0102015 +16 *2347:16 *2374:10 0.0238834 +*RES +1 *2473:io_oeb[26] *2374:9 8.36892 +2 *2374:9 *2374:10 384.902 +3 *2374:10 *2374:12 4.5 +4 *2374:12 *2374:13 107.762 +5 *2374:13 *2448:user_gpio_oeb 20.5159 +*END + +*D_NET *2375 0.062363 +*CONN +*I *2455:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[2] O *D user_analog_project_wrapper +*CAP +1 *2455:user_gpio_oeb 0.000297616 +2 *2473:io_oeb[2] 0.00154968 +3 *2375:13 0.00405443 +4 *2375:12 0.00375681 +5 *2375:10 0.00977165 +6 *2375:9 0.0113213 +7 *2455:user_gpio_oeb *2455:user_gpio_out 0.000820315 +8 *2375:9 *2402:9 0 +9 *2375:10 *2383:12 0.000104759 +10 *2375:10 *2402:10 0.0267591 +11 *2375:13 *2402:13 0.00293393 +12 *2473:io_in[1] *2375:10 0.000130145 +13 *2473:io_in_3v3[0] *2375:10 0 +14 *2473:io_in_3v3[3] *2375:9 0 +15 *105:60 *2455:user_gpio_oeb 3.24019e-05 +16 *2040:23 *2375:10 0.00022036 +17 *2348:10 *2455:user_gpio_oeb 0.000608337 +18 *2348:11 *2375:13 2.18041e-06 +*RES +1 *2473:io_oeb[2] *2375:9 8.477 +2 *2375:9 *2375:10 439.3 +3 *2375:10 *2375:12 4.5 +4 *2375:12 *2375:13 123.845 +5 *2375:13 *2455:user_gpio_oeb 22.2434 +*END + +*D_NET *2376 0.0296969 +*CONN +*I *2456:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[3] O *D user_analog_project_wrapper +*CAP +1 *2456:user_gpio_oeb 7.96671e-05 +2 *2473:io_oeb[3] 0.00169764 +3 *2376:13 0.00322088 +4 *2376:12 0.00314121 +5 *2376:10 0.00494456 +6 *2376:9 0.0066422 +7 *2456:user_gpio_oeb *2456:user_gpio_out 3.30793e-05 +8 *2376:9 *2403:9 0 +9 *2376:10 *2403:10 0 +10 *2376:13 *2403:13 2.18041e-06 +11 *2473:io_in_3v3[4] *2376:9 0 +12 *2349:10 *2456:user_gpio_oeb 2.12195e-05 +13 *2349:11 *2376:13 0.00499931 +14 *2349:14 *2376:10 0.00491499 +*RES +1 *2473:io_oeb[3] *2376:9 9.06232 +2 *2376:9 *2376:10 179.768 +3 *2376:10 *2376:12 4.5 +4 *2376:12 *2376:13 121.072 +5 *2376:13 *2456:user_gpio_oeb 8.47354 +*END + +*D_NET *2377 0.0292021 +*CONN +*I *2457:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[4] O *D user_analog_project_wrapper +*CAP +1 *2457:user_gpio_oeb 0.000311979 +2 *2473:io_oeb[4] 0.00156748 +3 *2377:13 0.00275352 +4 *2377:12 0.00244155 +5 *2377:10 0.000604512 +6 *2377:9 0.00217199 +7 *2457:user_gpio_oeb *2457:user_gpio_out 9.98425e-05 +8 *2377:9 *2404:9 0 +9 *2377:10 *2404:10 0.00160253 +10 *2377:13 *2404:13 0.0115994 +11 *2457:serial_load *2377:13 0.00247411 +12 *2457:serial_load_out *2457:user_gpio_oeb 2.04189e-05 +13 *2350:12 *2457:user_gpio_oeb 0.000231826 +14 *2350:13 *2377:13 2.20702e-05 +15 *2350:16 *2377:10 0.00330086 +*RES +1 *2473:io_oeb[4] *2377:9 8.54684 +2 *2377:9 *2377:10 52.7004 +3 *2377:10 *2377:12 4.5 +4 *2377:12 *2377:13 124.4 +5 *2377:13 *2457:user_gpio_oeb 15.6093 +*END + +*D_NET *2378 0.039214 +*CONN +*I *2458:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[5] O *D user_analog_project_wrapper +*CAP +1 *2458:user_gpio_oeb 0.000220174 +2 *2473:io_oeb[5] 0.00160065 +3 *2378:13 0.00333277 +4 *2378:12 0.0031126 +5 *2378:10 0.00130856 +6 *2378:9 0.00290922 +7 *2458:user_gpio_oeb *2458:user_gpio_out 0.000727776 +8 *2378:10 *2405:10 0.0100938 +9 *2458:serial_load *2378:13 0 +10 *109:70 *2378:10 4.23937e-05 +11 *2351:10 *2458:user_gpio_oeb 0.000556245 +12 *2351:11 *2378:13 0.0051676 +13 *2351:14 *2378:10 0.0101423 +*RES +1 *2473:io_oeb[5] *2378:9 8.59839 +2 *2378:9 *2378:10 162.742 +3 *2378:10 *2378:12 4.5 +4 *2378:12 *2378:13 123.291 +5 *2378:13 *2458:user_gpio_oeb 19.3366 +*END + +*D_NET *2379 0.0350201 +*CONN +*I *2459:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[6] O *D user_analog_project_wrapper +*CAP +1 *2459:user_gpio_oeb 0.000112858 +2 *2473:io_oeb[6] 0.00160094 +3 *2379:13 0.00290811 +4 *2379:12 0.00279526 +5 *2379:10 0.00440698 +6 *2379:9 0.00600792 +7 *2459:user_gpio_oeb *2459:user_gpio_out 7.3828e-05 +8 *2379:9 *2406:9 0 +9 *2379:10 *2406:10 0.00532936 +10 *2379:13 *2406:13 0.0116913 +11 *494:36 *2459:user_gpio_oeb 7.22572e-05 +12 *2352:10 *2459:user_gpio_oeb 2.12195e-05 +*RES +1 *2473:io_oeb[6] *2379:9 8.7098 +2 *2379:9 *2379:10 175.2 +3 *2379:10 *2379:12 4.5 +4 *2379:12 *2379:13 123.845 +5 *2379:13 *2459:user_gpio_oeb 9.30405 +*END + +*D_NET *2380 0.0583138 +*CONN +*I *2460:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[7] O *D user_analog_project_wrapper +*CAP +1 *2460:user_gpio_oeb 7.38807e-05 +2 *2473:io_oeb[7] 0.00159306 +3 *2380:13 0.00326046 +4 *2380:12 0.00318658 +5 *2380:10 0.006585 +6 *2380:9 0.00817806 +7 *2460:user_gpio_oeb *2460:user_gpio_out 5.29561e-05 +8 *2380:9 *2407:9 0 +9 *2380:10 *2407:10 0.000194974 +10 *2380:10 *2408:10 0.00678325 +11 *110:118 *2380:13 0 +12 *495:85 *2460:user_gpio_oeb 1.66771e-05 +13 *2353:10 *2460:user_gpio_oeb 2.71319e-05 +14 *2353:11 *2380:13 0.00513068 +15 *2353:14 *2380:10 0.0227234 +16 *2354:16 *2380:10 0.000507721 +*RES +1 *2473:io_oeb[7] *2380:9 8.64495 +2 *2380:9 *2380:10 376.597 +3 *2380:10 *2380:12 4.5 +4 *2380:12 *2380:13 123.291 +5 *2380:13 *2460:user_gpio_oeb 8.46357 +*END + +*D_NET *2381 0.062255 +*CONN +*I *2449:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[8] O *D user_analog_project_wrapper +*CAP +1 *2449:user_gpio_oeb 9.02497e-05 +2 *2473:io_oeb[8] 0.00164111 +3 *2381:13 0.00324182 +4 *2381:12 0.00315157 +5 *2381:10 0.00527577 +6 *2381:9 0.00691688 +7 *2449:user_gpio_oeb *2449:user_gpio_out 2.80929e-05 +8 *2381:9 *2408:9 0 +9 *2381:10 *2408:10 0.000194974 +10 *2381:10 *2409:10 0.00683534 +11 *2381:13 *2408:13 0.00505554 +12 *2449:serial_load_out *2449:user_gpio_oeb 6.78021e-06 +13 *1963:8 *2449:user_gpio_oeb 0 +14 *2044:11 *2381:10 0.00680691 +15 *2302:19 *2381:10 0.000153257 +16 *2354:16 *2381:10 0.0228567 +*RES +1 *2473:io_oeb[8] *2381:9 8.83285 +2 *2381:9 *2381:10 379.089 +3 *2381:10 *2381:12 4.5 +4 *2381:12 *2381:13 121.627 +5 *2381:13 *2449:user_gpio_oeb 8.46357 +*END + +*D_NET *2382 0.0578773 +*CONN +*I *2450:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[9] O *D user_analog_project_wrapper +*CAP +1 *2450:user_gpio_oeb 0.000218772 +2 *2473:io_oeb[9] 0.00144444 +3 *2382:13 0.00305173 +4 *2382:12 0.00283296 +5 *2382:10 0.00749399 +6 *2382:9 0.00893843 +7 *2450:user_gpio_oeb *2450:user_gpio_out 0.000104742 +8 *2382:9 *2409:9 0 +9 *2382:10 *2408:10 0.00246211 +10 *2382:10 *2409:10 0 +11 *2382:13 *2409:13 0.00506711 +12 *2450:serial_load_out *2382:13 0.00132642 +13 *479:40 *2382:13 0.000207277 +14 *1879:8 *2450:user_gpio_oeb 0 +15 *2045:11 *2382:10 0.00668599 +16 *2302:19 *2382:10 0.00329586 +17 *2330:14 *2382:10 0.00738148 +18 *2355:12 *2450:user_gpio_oeb 2.29355e-05 +19 *2355:13 *2382:13 1.00937e-05 +20 *2357:10 *2382:10 0.00733297 +*RES +1 *2473:io_oeb[9] *2382:9 8.35894 +2 *2382:9 *2382:10 387.394 +3 *2382:10 *2382:12 4.5 +4 *2382:12 *2382:13 125.509 +5 *2382:13 *2450:user_gpio_oeb 12.6261 +*END + +*D_NET *2383 0.143089 +*CONN +*I *2444:user_gpio_out I *D gpio_control_block +*I *2473:io_out[0] O *D user_analog_project_wrapper +*CAP +1 *2444:user_gpio_out 0.000104713 +2 *2473:io_out[0] 0.00160403 +3 *2383:22 0.000697865 +4 *2383:16 0.0081799 +5 *2383:15 0.00758675 +6 *2383:13 0.00343394 +7 *2383:12 0.00503797 +8 *2444:user_gpio_oeb *2444:user_gpio_out 9.87699e-05 +9 *2473:io_in[0] *2383:12 0 +10 *100:96 *2383:22 0 +11 *1565:19 *2383:22 0.000121985 +12 *2329:13 *2444:user_gpio_out 4.97448e-06 +13 *2329:13 *2383:22 0.00154198 +14 *2329:16 *2383:16 0.0561562 +15 *2356:16 *2383:16 0.0566448 +16 *2356:22 *2383:22 0.00155912 +17 *2367:16 *2383:16 0.000210876 +18 *2375:10 *2383:12 0.000104759 +*RES +1 *2473:io_out[0] *2383:12 16.4997 +2 *2383:12 *2383:13 89.46 +3 *2383:13 *2383:15 4.5 +4 *2383:15 *2383:16 908.743 +5 *2383:16 *2383:22 47.8123 +6 *2383:22 *2444:user_gpio_out 3.78449 +*END + +*D_NET *2384 0.0610079 +*CONN +*I *2451:user_gpio_out I *D gpio_control_block +*I *2473:io_out[10] O *D user_analog_project_wrapper +*CAP +1 *2451:user_gpio_out 0.000401074 +2 *2473:io_out[10] 0.00156859 +3 *2384:13 0.00403932 +4 *2384:12 0.00363825 +5 *2384:10 0.00659607 +6 *2384:9 0.00816466 +7 *2384:10 *2385:10 0.00662189 +8 *2384:10 *2409:10 0.00328717 +9 *2473:io_in[10] *2384:9 0 +10 *468:13 *2384:13 0.00309016 +11 *468:17 *2384:13 0.000691706 +12 *1994:51 *2451:user_gpio_out 0.000744765 +13 *2046:11 *2384:10 0.00637613 +14 *2303:17 *2384:10 0 +15 *2357:9 *2384:9 0 +16 *2357:10 *2384:10 0.00556489 +17 *2357:13 *2384:13 0.00355278 +18 *2358:10 *2384:10 0.0066704 +*RES +1 *2473:io_out[10] *2384:9 8.77465 +2 *2384:9 *2384:10 391.546 +3 *2384:10 *2384:12 4.5 +4 *2384:12 *2384:13 139.929 +5 *2384:13 *2451:user_gpio_out 20.2137 +*END + +*D_NET *2385 0.0545586 +*CONN +*I *2452:user_gpio_out I *D gpio_control_block +*I *2473:io_out[11] O *D user_analog_project_wrapper +*CAP +1 *2452:user_gpio_out 0.000149792 +2 *2473:io_out[11] 0.00150118 +3 *2385:13 0.00321884 +4 *2385:12 0.00306905 +5 *2385:10 0.00708477 +6 *2385:9 0.00858595 +7 *2452:user_gpio_oeb *2452:user_gpio_out 5.00858e-05 +8 *2473:io_in[11] *2385:9 0 +9 *469:12 *2452:user_gpio_out 0 +10 *2021:11 *2385:10 0.00644227 +11 *2022:11 *2385:10 0.000522281 +12 *2305:17 *2385:10 0.000499606 +13 *2332:14 *2385:10 0.00666772 +14 *2358:10 *2385:10 0.00501251 +15 *2358:13 *2385:13 0.00513262 +16 *2384:10 *2385:10 0.00662189 +*RES +1 *2473:io_out[11] *2385:9 8.80957 +2 *2385:9 *2385:10 377.428 +3 *2385:10 *2385:12 4.5 +4 *2385:12 *2385:13 122.736 +5 *2385:13 *2452:user_gpio_out 9.41712 +*END + +*D_NET *2386 0.0575144 +*CONN +*I *2453:user_gpio_out I *D gpio_control_block +*I *2473:io_out[12] O *D user_analog_project_wrapper +*CAP +1 *2453:user_gpio_out 0.000261577 +2 *2473:io_out[12] 0.00141595 +3 *2386:13 0.00174193 +4 *2386:12 0.00148035 +5 *2386:10 0.00497013 +6 *2386:9 0.00638607 +7 *2453:user_gpio_oeb *2453:user_gpio_out 0.000437959 +8 *2473:io_in[12] *2386:9 0 +9 *1889:8 *2453:user_gpio_out 0.000530922 +10 *2023:11 *2386:10 0.000565545 +11 *2131:10 *2453:user_gpio_out 0 +12 *2324:19 *2386:10 0 +13 *2331:16 *2386:10 0.00625456 +14 *2332:11 *2386:13 0.00508193 +15 *2332:14 *2386:10 0.00774209 +16 *2358:10 *2386:10 0.00733715 +17 *2359:9 *2386:9 0 +18 *2359:10 *2386:10 0.00818139 +19 *2359:13 *2386:13 0.00512684 +*RES +1 *2473:io_out[12] *2386:9 8.6516 +2 *2386:9 *2386:10 378.258 +3 *2386:10 *2386:12 4.5 +4 *2386:12 *2386:13 121.627 +5 *2386:13 *2453:user_gpio_out 16.9981 +*END + +*D_NET *2387 0.0343695 +*CONN +*I *2454:user_gpio_out I *D gpio_control_block +*I *2473:io_out[13] O *D user_analog_project_wrapper +*CAP +1 *2454:user_gpio_out 8.52904e-05 +2 *2473:io_out[13] 0.00137079 +3 *2387:13 0.00451558 +4 *2387:12 0.00443029 +5 *2387:10 0.00209344 +6 *2387:9 0.00346423 +7 *2454:user_gpio_oeb *2454:user_gpio_out 4.31122e-05 +8 *2473:io_in[13] *2387:9 0 +9 *2024:11 *2387:10 0.00600188 +10 *2333:14 *2387:10 0.0102959 +11 *2360:9 *2387:9 0 +12 *2360:10 *2387:10 0.00206896 +*RES +1 *2473:io_out[13] *2387:9 8.52689 +2 *2387:9 *2387:10 179.768 +3 *2387:10 *2387:12 4.5 +4 *2387:12 *2387:13 123.845 +5 *2387:13 *2454:user_gpio_out 8.87882 +*END + +*D_NET *2388 0.0273915 +*CONN +*I *2461:user_gpio_out I *D gpio_control_block +*I *2473:io_out[14] O *D user_analog_project_wrapper +*CAP +1 *2461:user_gpio_out 9.14287e-05 +2 *2473:io_out[14] 0.00159879 +3 *2388:19 0.00209342 +4 *2388:18 0.00200199 +5 *2388:16 0.00677499 +6 *2388:15 0.00677499 +7 *2388:13 0.000971769 +8 *2388:12 0.00257056 +9 *2461:user_gpio_oeb *2461:user_gpio_out 5.36925e-05 +10 *2473:io_in[14] *2388:12 0 +11 *2361:12 *2388:12 0.00015038 +12 *2361:13 *2388:13 0.00430945 +13 *2361:22 *2388:19 0 +*RES +1 *2473:io_out[14] *2388:12 15.9607 +2 *2388:12 *2388:13 45.6463 +3 *2388:13 *2388:15 4.5 +4 *2388:15 *2388:16 190.356 +5 *2388:16 *2388:18 4.5 +6 *2388:18 *2388:19 55.6292 +7 *2388:19 *2461:user_gpio_out 8.54006 +*END + +*D_NET *2389 0.0266088 +*CONN +*I *2462:user_gpio_out I *D gpio_control_block +*I *2473:io_out[15] O *D user_analog_project_wrapper +*CAP +1 *2462:user_gpio_out 0.000119648 +2 *2473:io_out[15] 0.00188758 +3 *2389:19 0.00279331 +4 *2389:18 0.00267367 +5 *2389:16 0.00703004 +6 *2389:15 0.00891762 +7 *2462:user_gpio_oeb *2462:user_gpio_out 0 +8 *2362:12 *2389:15 0.000736232 +9 *2362:15 *2389:15 0.00245069 +*RES +1 *2473:io_out[15] *2389:15 44.0733 +2 *2389:15 *2389:16 198.246 +3 *2389:16 *2389:18 4.5 +4 *2389:18 *2389:19 73.9311 +5 *2389:19 *2462:user_gpio_out 8.58662 +*END + +*D_NET *2390 0.0340805 +*CONN +*I *2463:user_gpio_out I *D gpio_control_block +*I *2473:io_out[16] O *D user_analog_project_wrapper +*CAP +1 *2463:user_gpio_out 0.00323386 +2 *2473:io_out[16] 0.00135002 +3 *2390:10 0.00790049 +4 *2390:9 0.00601665 +5 *2463:user_gpio_oeb *2463:user_gpio_out 0.0103395 +6 *2473:io_in[16] *2390:9 0 +7 *472:8 *2463:user_gpio_out 0 +8 *2336:15 *2463:user_gpio_out 4.42985e-05 +9 *2336:16 *2390:10 0.00519571 +10 *2363:10 *2390:10 0 +*RES +1 *2473:io_out[16] *2390:9 8.04466 +2 *2390:9 *2390:10 180.39 +3 *2390:10 *2463:user_gpio_out 37.3621 +*END + +*D_NET *2391 0.0335623 +*CONN +*I *2464:user_gpio_out I *D gpio_control_block +*I *2473:io_out[17] O *D user_analog_project_wrapper +*CAP +1 *2464:user_gpio_out 0.000114142 +2 *2473:io_out[17] 0.00233569 +3 *2391:19 0.00288387 +4 *2391:18 0.00276973 +5 *2391:16 0.00419986 +6 *2391:15 0.00419986 +7 *2391:13 0.00233569 +8 *2464:user_gpio_oeb *2464:user_gpio_out 6.19346e-06 +9 *2473:io_in[17] *2391:13 0 +10 *1552:27 *2391:16 0.0120542 +11 *2364:12 *2391:13 0 +12 *2364:15 *2391:13 0.00266312 +13 *2364:19 *2391:19 0 +*RES +1 *2473:io_out[17] *2391:13 46.0225 +2 *2391:13 *2391:15 4.5 +3 *2391:15 *2391:16 192.848 +4 *2391:16 *2391:18 4.5 +5 *2391:18 *2391:19 74.4857 +6 *2391:19 *2464:user_gpio_out 8.58662 +*END + +*D_NET *2392 0.0298566 +*CONN +*I *2465:user_gpio_out I *D gpio_control_block +*I *2473:io_out[18] O *D user_analog_project_wrapper +*CAP +1 *2465:user_gpio_out 0.0001439 +2 *2473:io_out[18] 0.00175519 +3 *2392:19 0.0023296 +4 *2392:18 0.0021857 +5 *2392:16 0.00699398 +6 *2392:15 0.00874917 +7 *2465:serial_clock *2392:19 0 +8 *2465:serial_load *2465:user_gpio_out 1.64979e-05 +9 *2465:user_gpio_oeb *2465:user_gpio_out 2.269e-05 +10 *106:31 *2392:19 0 +11 *2338:10 *2465:user_gpio_out 0 +12 *2365:15 *2392:15 0.000753084 +13 *2365:19 *2392:19 0.00690676 +*RES +1 *2473:io_out[18] *2392:15 27.8887 +2 *2392:15 *2392:16 197.416 +3 *2392:16 *2392:18 4.5 +4 *2392:18 *2392:19 88.9054 +5 *2392:19 *2465:user_gpio_out 8.95531 +*END + +*D_NET *2393 0.0251221 +*CONN +*I *2466:user_gpio_out I *D gpio_control_block +*I *2473:io_out[19] O *D user_analog_project_wrapper +*CAP +1 *2466:user_gpio_out 0.000106291 +2 *2473:io_out[19] 0.00244758 +3 *2393:19 0.0032571 +4 *2393:18 0.00315081 +5 *2393:16 0.00658263 +6 *2393:15 0.00903021 +7 *2466:serial_load *2466:user_gpio_out 0 +8 *2466:serial_load *2393:19 0.000243133 +9 *2466:user_gpio_oeb *2466:user_gpio_out 6.5075e-07 +10 *2473:io_in[19] *2393:15 0 +11 *474:17 *2393:19 0.000215716 +12 *520:15 *2466:user_gpio_out 8.79706e-05 +13 *2366:10 *2393:15 0 +*RES +1 *2473:io_out[19] *2393:15 39.1902 +2 *2393:15 *2393:16 185.789 +3 *2393:16 *2393:18 4.5 +4 *2393:18 *2393:19 88.9054 +5 *2393:19 *2466:user_gpio_out 8.54006 +*END + +*D_NET *2394 0.0917369 +*CONN +*I *2445:user_gpio_out I *D gpio_control_block +*I *2473:io_out[1] O *D user_analog_project_wrapper +*CAP +1 *2445:user_gpio_out 0.000400122 +2 *2473:io_out[1] 0.00162528 +3 *2394:27 0.0021278 +4 *2394:26 0.00172767 +5 *2394:24 0.00447329 +6 *2394:22 0.00452533 +7 *2394:20 0.00566321 +8 *2394:19 0.00561116 +9 *2394:17 0.00165402 +10 *2394:16 0.00327929 +11 *2445:serial_clock_out *2394:27 0 +12 *2445:user_gpio_oeb *2445:user_gpio_out 0.000477761 +13 *470:16 *2394:20 0 +14 *471:46 *2445:user_gpio_out 0 +15 *503:13 *2394:27 9.91731e-05 +16 *503:14 *2445:user_gpio_out 0 +17 *525:8 *2394:24 0.0139445 +18 *2031:15 *2394:20 0.025779 +19 *2031:15 *2394:24 7.44441e-06 +20 *2039:11 *2394:20 0.00119953 +21 *2039:11 *2394:24 0.00410849 +22 *2039:15 *2394:20 0.0111892 +23 *2222:24 *2394:24 0.000602507 +24 *2367:12 *2394:16 0.000388476 +25 *2367:13 *2394:17 0.00153414 +26 *2367:21 *2394:27 0.00131953 +*RES +1 *2473:io_out[1] *2394:16 14.4115 +2 *2394:16 *2394:17 60.6206 +3 *2394:17 *2394:19 4.5 +4 *2394:19 *2394:20 430.995 +5 *2394:20 *2394:22 1.29461 +6 *2394:22 *2394:24 243.716 +7 *2394:24 *2394:26 4.5 +8 *2394:26 *2394:27 53.4107 +9 *2394:27 *2445:user_gpio_out 18.5826 +*END + +*D_NET *2395 0.0276262 +*CONN +*I *2467:user_gpio_out I *D gpio_control_block +*I *2473:io_out[20] O *D user_analog_project_wrapper +*CAP +1 *2467:user_gpio_out 0.000140082 +2 *2473:io_out[20] 0.00195629 +3 *2395:19 0.00256088 +4 *2395:18 0.00242079 +5 *2395:16 0.00686939 +6 *2395:15 0.00882567 +7 *2467:user_gpio_oeb *2467:user_gpio_out 1.75765e-05 +8 *2473:io_in[20] *2395:15 0 +9 *108:22 *2467:user_gpio_out 1.1573e-05 +10 *2368:12 *2395:15 0 +11 *2368:15 *2395:15 0.00165828 +12 *2368:19 *2395:19 0.00316567 +*RES +1 *2473:io_out[20] *2395:15 41.7943 +2 *2395:15 *2395:16 193.679 +3 *2395:16 *2395:18 4.5 +4 *2395:18 *2395:19 90.5692 +5 *2395:19 *2467:user_gpio_out 8.58662 +*END + +*D_NET *2396 0.0250043 +*CONN +*I *2468:user_gpio_out I *D gpio_control_block +*I *2473:io_out[21] O *D user_analog_project_wrapper +*CAP +1 *2468:user_gpio_out 0.000107087 +2 *2473:io_out[21] 0.00212163 +3 *2396:19 0.00342246 +4 *2396:18 0.00331538 +5 *2396:16 0.00675108 +6 *2396:15 0.00887271 +7 *2468:user_gpio_oeb *2468:user_gpio_out 5.02212e-05 +8 *2473:io_in[21] *2396:15 0.000363771 +9 *2473:io_in_3v3[21] *2396:15 0 +10 *2033:13 *2396:15 0 +11 *2369:9 *2396:15 0 +*RES +1 *2473:io_out[21] *2396:15 30.4781 +2 *2396:15 *2396:16 190.772 +3 *2396:16 *2396:18 4.5 +4 *2396:18 *2396:19 88.9054 +5 *2396:19 *2468:user_gpio_out 8.54006 +*END + +*D_NET *2397 0.0306212 +*CONN +*I *2469:user_gpio_out I *D gpio_control_block +*I *2473:io_out[22] O *D user_analog_project_wrapper +*CAP +1 *2469:user_gpio_out 0.000132019 +2 *2473:io_out[22] 0.00214256 +3 *2397:19 0.00236376 +4 *2397:18 0.00223175 +5 *2397:16 0.00685669 +6 *2397:15 0.00899925 +7 *2469:user_gpio_oeb *2469:user_gpio_out 5.72783e-05 +8 *2473:io_in[22] *2397:15 0 +9 *478:10 *2469:user_gpio_out 0 +10 *2370:12 *2397:15 0 +11 *2370:15 *2397:15 0.000918989 +12 *2370:19 *2397:19 0.00691892 +*RES +1 *2473:io_out[22] *2397:15 28.2043 +2 *2397:15 *2397:16 194.094 +3 *2397:16 *2397:18 4.5 +4 *2397:18 *2397:19 88.9054 +5 *2397:19 *2469:user_gpio_out 8.95531 +*END + +*D_NET *2398 0.038996 +*CONN +*I *2470:user_gpio_out I *D gpio_control_block +*I *2473:io_out[23] O *D user_analog_project_wrapper +*CAP +1 *2470:user_gpio_out 0.00141198 +2 *2473:io_out[23] 0.00215546 +3 *2398:16 0.00436329 +4 *2398:15 0.00295132 +5 *2398:13 0.00151317 +6 *2398:12 0.00366862 +7 *2470:user_gpio_oeb *2470:user_gpio_out 1.29018e-05 +8 *2473:io_in[23] *2398:12 0 +9 *109:40 *2398:16 0 +10 *111:39 *2470:user_gpio_out 0 +11 *496:20 *2470:user_gpio_out 1.66771e-05 +12 *497:16 *2398:16 0.00486939 +13 *2035:11 *2398:12 0.000282459 +14 *2344:16 *2398:16 0.0110112 +15 *2344:19 *2398:13 0.00666057 +16 *2371:12 *2398:12 0 +17 *2371:16 *2398:16 7.90402e-05 +*RES +1 *2473:io_out[23] *2398:12 23.3708 +2 *2398:12 *2398:13 70.0488 +3 *2398:13 *2398:15 4.5 +4 *2398:15 *2398:16 181.636 +5 *2398:16 *2470:user_gpio_out 43.7586 +*END + +*D_NET *2399 0.021034 +*CONN +*I *2446:user_gpio_out I *D gpio_control_block +*I *2473:io_out[24] O *D user_analog_project_wrapper +*CAP +1 *2446:user_gpio_out 9.52439e-05 +2 *2473:io_out[24] 0.00179802 +3 *2399:13 0.00235391 +4 *2399:12 0.00225867 +5 *2399:10 0.00217104 +6 *2399:9 0.00396906 +7 *2446:mgmt_gpio_out *2399:9 0.000594812 +8 *2446:user_gpio_oeb *2446:user_gpio_out 6.68838e-05 +9 *2473:gpio_noesd[17] *2399:10 0 +10 *2473:io_in[24] *2399:9 0 +11 *2473:io_in[24] *2399:10 0 +12 *479:28 *2399:13 0.000437454 +13 *513:13 *2399:13 0.00039806 +14 *2372:16 *2399:13 0.00689088 +*RES +1 *2473:io_out[24] *2399:9 9.53123 +2 *2399:9 *2399:10 60.1749 +3 *2399:10 *2399:12 4.5 +4 *2399:12 *2399:13 97.2244 +5 *2399:13 *2446:user_gpio_out 8.54006 +*END + +*D_NET *2400 0.0305423 +*CONN +*I *2447:user_gpio_out I *D gpio_control_block +*I *2473:io_out[25] O *D user_analog_project_wrapper +*CAP +1 *2447:user_gpio_out 0.000130092 +2 *2473:io_out[25] 0.00303741 +3 *2400:19 0.0022009 +4 *2400:18 0.00207081 +5 *2400:16 0.00369796 +6 *2400:15 0.00673536 +7 *2447:user_gpio_oeb *2447:user_gpio_out 4.45577e-05 +8 *1556:27 *2400:16 0.0102713 +9 *2373:12 *2400:15 0 +10 *2373:19 *2400:19 0.00235394 +*RES +1 *2473:io_out[25] *2400:15 46.3115 +2 *2400:15 *2400:16 165.441 +3 *2400:16 *2400:18 4.5 +4 *2400:18 *2400:19 73.3765 +5 *2400:19 *2447:user_gpio_out 8.54006 +*END + +*D_NET *2401 0.0500119 +*CONN +*I *2448:user_gpio_out I *D gpio_control_block +*I *2473:io_out[26] O *D user_analog_project_wrapper +*CAP +1 *2448:user_gpio_out 0.000140164 +2 *2473:io_out[26] 0.00165859 +3 *2401:16 0.0013619 +4 *2401:13 0.00481882 +5 *2401:12 0.00359709 +6 *2401:10 0.00890679 +7 *2401:9 0.0105654 +8 *2448:serial_load_out *2448:user_gpio_out 0 +9 *2448:serial_load_out *2401:16 0.00163095 +10 *2448:user_gpio_oeb *2448:user_gpio_out 1.57435e-05 +11 *2473:io_in[26] *2401:9 0 +12 *2478:la_input[2] *2401:10 0 +13 *515:8 *2401:16 0 +14 *605:14 *2401:13 0.00336945 +15 *2036:11 *2401:10 0.00609841 +16 *2037:7 *2401:16 0 +17 *2374:9 *2401:9 0 +18 *2374:10 *2401:10 0.00784862 +*RES +1 *2473:io_out[26] *2401:9 8.18102 +2 *2401:9 *2401:10 358.741 +3 *2401:10 *2401:12 4.5 +4 *2401:12 *2401:13 107.762 +5 *2401:13 *2401:16 48.0648 +6 *2401:16 *2448:user_gpio_out 3.74791 +*END + +*D_NET *2402 0.0750698 +*CONN +*I *2455:user_gpio_out I *D gpio_control_block +*I *2473:io_out[2] O *D user_analog_project_wrapper +*CAP +1 *2455:user_gpio_out 0.000322793 +2 *2473:io_out[2] 0.00160471 +3 *2402:13 0.00248171 +4 *2402:12 0.00215891 +5 *2402:10 0.00424615 +6 *2402:9 0.00585085 +7 *2455:user_gpio_oeb *2455:user_gpio_out 0.000820315 +8 *2473:io_in[2] *2402:9 0 +9 *105:60 *2455:user_gpio_out 0.000922886 +10 *2040:23 *2402:10 0.017382 +11 *2348:11 *2402:13 0.00505428 +12 *2348:14 *2402:10 0.00453221 +13 *2375:9 *2402:9 0 +14 *2375:10 *2402:10 0.0267591 +15 *2375:13 *2402:13 0.00293393 +*RES +1 *2473:io_out[2] *2402:9 8.58841 +2 *2402:9 *2402:10 430.58 +3 *2402:10 *2402:12 4.5 +4 *2402:12 *2402:13 122.736 +5 *2402:13 *2455:user_gpio_out 23.5656 +*END + +*D_NET *2403 0.0336001 +*CONN +*I *2456:user_gpio_out I *D gpio_control_block +*I *2473:io_out[3] O *D user_analog_project_wrapper +*CAP +1 *2456:user_gpio_out 0.000271227 +2 *2473:io_out[3] 0.00163497 +3 *2403:13 0.00397563 +4 *2403:12 0.0037044 +5 *2403:10 0.00264669 +6 *2403:9 0.00428166 +7 *2456:user_gpio_oeb *2456:user_gpio_out 3.30793e-05 +8 *2473:io_in[3] *2403:9 0 +9 *105:30 *2456:user_gpio_out 0 +10 *2040:23 *2403:10 0.000651922 +11 *2348:14 *2403:10 0.00850736 +12 *2349:10 *2456:user_gpio_out 4.59232e-05 +13 *2349:11 *2403:13 0.00290471 +14 *2349:14 *2403:10 0.00494038 +15 *2376:9 *2403:9 0 +16 *2376:10 *2403:10 0 +17 *2376:13 *2403:13 2.18041e-06 +*RES +1 *2473:io_out[3] *2403:9 8.79128 +2 *2403:9 *2403:10 174.369 +3 *2403:10 *2403:12 4.5 +4 *2403:12 *2403:13 122.736 +5 *2403:13 *2456:user_gpio_out 13.1178 +*END + +*D_NET *2404 0.0284378 +*CONN +*I *2457:user_gpio_out I *D gpio_control_block +*I *2473:io_out[4] O *D user_analog_project_wrapper +*CAP +1 *2457:user_gpio_out 0.000354976 +2 *2473:io_out[4] 0.00159017 +3 *2404:13 0.00164929 +4 *2404:12 0.00129431 +5 *2404:10 0.00154651 +6 *2404:9 0.00313668 +7 *2457:serial_load *2404:13 1.92172e-05 +8 *2457:user_gpio_oeb *2457:user_gpio_out 9.98425e-05 +9 *2473:io_in[4] *2404:9 0 +10 *2350:12 *2457:user_gpio_out 0.000216082 +11 *2350:13 *2404:13 0.00516663 +12 *2350:16 *2404:10 0.000162183 +13 *2377:9 *2404:9 0 +14 *2377:10 *2404:10 0.00160253 +15 *2377:13 *2404:13 0.0115994 +*RES +1 *2473:io_out[4] *2404:9 8.6649 +2 *2404:9 *2404:10 60.5902 +3 *2404:10 *2404:12 4.5 +4 *2404:12 *2404:13 124.4 +5 *2404:13 *2457:user_gpio_out 17.6091 +*END + +*D_NET *2405 0.036149 +*CONN +*I *2458:user_gpio_out I *D gpio_control_block +*I *2473:io_out[5] O *D user_analog_project_wrapper +*CAP +1 *2458:user_gpio_out 0.000346911 +2 *2473:io_out[5] 0.00137467 +3 *2405:13 0.0032042 +4 *2405:12 0.00285729 +5 *2405:10 0.00330646 +6 *2405:9 0.00468113 +7 *2458:serial_data_in *2458:user_gpio_out 8.11463e-06 +8 *2458:serial_data_in *2405:13 0.00140694 +9 *2458:user_gpio_oeb *2458:user_gpio_out 0.000727776 +10 *2473:io_in[5] *2405:9 0 +11 *109:70 *2405:10 0.00193095 +12 *495:64 *2458:user_gpio_out 0 +13 *507:10 *2458:user_gpio_out 0.000771902 +14 *2042:17 *2405:10 0 +15 *2351:10 *2458:user_gpio_out 2.04806e-05 +16 *2351:11 *2405:13 0.0051219 +17 *2351:14 *2405:10 0.000296518 +18 *2378:10 *2405:10 0.0100938 +*RES +1 *2473:io_out[5] *2405:9 8.30074 +2 *2405:9 *2405:10 171.047 +3 *2405:10 *2405:12 4.5 +4 *2405:12 *2405:13 122.182 +5 *2405:13 *2458:user_gpio_out 23.5656 +*END + +*D_NET *2406 0.0499839 +*CONN +*I *2459:user_gpio_out I *D gpio_control_block +*I *2473:io_out[6] O *D user_analog_project_wrapper +*CAP +1 *2459:user_gpio_out 0.000220649 +2 *2473:io_out[6] 0.00152893 +3 *2406:13 0.00121301 +4 *2406:12 0.000992366 +5 *2406:10 0.00216664 +6 *2406:9 0.00369557 +7 *2459:user_gpio_oeb *2459:user_gpio_out 7.3828e-05 +8 *2473:io_in[6] *2406:9 0 +9 *494:36 *2459:user_gpio_out 8.21013e-05 +10 *2352:11 *2406:13 0.0116003 +11 *2352:14 *2406:10 0.0113898 +12 *2379:9 *2406:9 0 +13 *2379:10 *2406:10 0.00532936 +14 *2379:13 *2406:13 0.0116913 +*RES +1 *2473:io_out[6] *2406:9 8.5219 +2 *2406:9 *2406:10 182.259 +3 *2406:10 *2406:12 4.5 +4 *2406:12 *2406:13 125.509 +5 *2406:13 *2459:user_gpio_out 12.2108 +*END + +*D_NET *2407 0.0545501 +*CONN +*I *2460:user_gpio_out I *D gpio_control_block +*I *2473:io_out[7] O *D user_analog_project_wrapper +*CAP +1 *2460:user_gpio_out 0.000199406 +2 *2473:io_out[7] 0.00156846 +3 *2407:13 0.00340149 +4 *2407:12 0.00320208 +5 *2407:10 0.00802662 +6 *2407:9 0.00959508 +7 *2460:serial_load_out *2460:user_gpio_out 0 +8 *2460:user_gpio_oeb *2460:user_gpio_out 5.29561e-05 +9 *2473:io_in[7] *2407:9 0 +10 *477:44 *2460:user_gpio_out 9.51799e-07 +11 *495:85 *2460:user_gpio_out 8.36854e-05 +12 *2125:8 *2460:user_gpio_out 0.000262806 +13 *2353:10 *2460:user_gpio_out 5.30145e-05 +14 *2353:11 *2407:13 0.00513309 +15 *2353:14 *2407:10 0.0227755 +16 *2380:9 *2407:9 0 +17 *2380:10 *2407:10 0.000194974 +*RES +1 *2473:io_out[7] *2407:9 8.52689 +2 *2407:9 *2407:10 370.784 +3 *2407:10 *2407:12 4.5 +4 *2407:12 *2407:13 123.845 +5 *2407:13 *2460:user_gpio_out 12.6926 +*END + +*D_NET *2408 0.05981 +*CONN +*I *2449:user_gpio_out I *D gpio_control_block +*I *2473:io_out[8] O *D user_analog_project_wrapper +*CAP +1 *2449:user_gpio_out 0.000169707 +2 *2473:io_out[8] 0.00161653 +3 *2408:13 0.00341933 +4 *2408:12 0.00324962 +5 *2408:10 0.00548446 +6 *2408:9 0.00710099 +7 *2449:serial_load_out *2449:user_gpio_out 0 +8 *2449:user_gpio_oeb *2449:user_gpio_out 2.80929e-05 +9 *2473:io_in[8] *2408:9 0 +10 *1963:8 *2449:user_gpio_out 0 +11 *2302:19 *2408:10 0.00134023 +12 *2354:16 *2408:10 0.0229052 +13 *2380:10 *2408:10 0.00678325 +14 *2381:9 *2408:9 0 +15 *2381:10 *2408:10 0.000194974 +16 *2381:13 *2408:13 0.00505554 +17 *2382:10 *2408:10 0.00246211 +*RES +1 *2473:io_out[8] *2408:9 8.71478 +2 *2408:9 *2408:10 372.445 +3 *2408:10 *2408:12 4.5 +4 *2408:12 *2408:13 123.845 +5 *2408:13 *2449:user_gpio_out 10.1911 +*END + +*D_NET *2409 0.0527558 +*CONN +*I *2450:user_gpio_out I *D gpio_control_block +*I *2473:io_out[9] O *D user_analog_project_wrapper +*CAP +1 *2450:user_gpio_out 0.000286333 +2 *2473:io_out[9] 0.00168182 +3 *2409:13 0.00187885 +4 *2409:12 0.00159252 +5 *2409:10 0.00702167 +6 *2409:9 0.00870349 +7 *2450:user_gpio_oeb *2450:user_gpio_out 0.000104742 +8 *2473:io_in[9] *2409:9 0 +9 *1879:8 *2450:user_gpio_out 0 +10 *2302:19 *2409:10 0 +11 *2303:17 *2409:10 0 +12 *2355:12 *2450:user_gpio_out 2.01653e-05 +13 *2355:13 *2409:13 0.00501388 +14 *2355:16 *2409:10 0.0112627 +15 *2357:10 *2409:10 0 +16 *2381:10 *2409:10 0.00683534 +17 *2382:9 *2409:9 0 +18 *2382:10 *2409:10 0 +19 *2382:13 *2409:13 0.00506711 +20 *2384:10 *2409:10 0.00328717 +*RES +1 *2473:io_out[9] *2409:9 8.88938 +2 *2409:9 *2409:10 378.258 +3 *2409:10 *2409:12 4.5 +4 *2409:12 *2409:13 121.627 +5 *2409:13 *2450:user_gpio_out 14.3636 +*END + +*D_NET *2410 0.0948361 +*CONN +*I *2478:irq[0] I *D mgmt_core_wrapper +*I *2472:user_irq[0] O *D mgmt_protect +*CAP +1 *2478:irq[0] 0.002087 +2 *2472:user_irq[0] 0.00113591 +3 *2410:14 0.00592535 +4 *2410:13 0.00383834 +5 *2410:11 0.0317874 +6 *2410:10 0.0329233 +7 *2478:irq[0] *2478:irq[1] 0.000698004 +8 *2478:irq[0] *2478:irq[2] 0.00425297 +9 *2410:14 *2411:14 0.00328339 +10 *1612:10 *2478:irq[0] 0.000324592 +11 *1670:11 *2410:14 0.00230193 +12 *1721:10 *2478:irq[0] 0.000346541 +13 *1734:7 *2410:14 0 +14 *1741:10 *2478:irq[0] 0.00593133 +*RES +1 *2472:user_irq[0] *2410:10 16.0581 +2 *2410:10 *2410:11 890.862 +3 *2410:11 *2410:13 4.5 +4 *2410:13 *2410:14 147.793 +5 *2410:14 *2478:irq[0] 41.4214 +*END + +*D_NET *2411 0.0958947 +*CONN +*I *2478:irq[1] I *D mgmt_core_wrapper +*I *2472:user_irq[1] O *D mgmt_protect +*CAP +1 *2478:irq[1] 0.00421854 +2 *2472:user_irq[1] 0.00120893 +3 *2411:14 0.00859695 +4 *2411:13 0.00437841 +5 *2411:11 0.0317364 +6 *2411:10 0.0329454 +7 *2478:irq[1] *2478:irq[2] 0.00473142 +8 *2478:irq[0] *2478:irq[1] 0.000698004 +9 *1587:10 *2478:irq[1] 0.000388665 +10 *1588:10 *2478:irq[1] 0.000329156 +11 *1589:31 *2478:irq[1] 0.000206867 +12 *1591:14 *2478:irq[1] 0.000184687 +13 *1602:23 *2411:14 0 +14 *1670:11 *2411:14 0.00222106 +15 *1727:10 *2478:irq[1] 0.00036442 +16 *1729:25 *2478:irq[1] 0.000193655 +17 *1737:25 *2478:irq[1] 0.000183925 +18 *1741:10 *2478:irq[1] 2.48636e-05 +19 *2410:14 *2411:14 0.00328339 +*RES +1 *2472:user_irq[1] *2411:10 18.1344 +2 *2411:10 *2411:11 889.753 +3 *2411:11 *2411:13 4.5 +4 *2411:13 *2411:14 163.157 +5 *2411:14 *2478:irq[1] 43.3062 +*END + +*D_NET *2412 0.0954512 +*CONN +*I *2478:irq[2] I *D mgmt_core_wrapper +*I *2472:user_irq[2] O *D mgmt_protect +*CAP +1 *2478:irq[2] 0.00212382 +2 *2472:user_irq[2] 0.00131117 +3 *2412:14 0.00871324 +4 *2412:13 0.00658941 +5 *2412:11 0.0323296 +6 *2412:10 0.0336408 +7 *2478:irq[0] *2478:irq[2] 0.00425297 +8 *2478:irq[1] *2478:irq[2] 0.00473142 +9 *2478:mprj_dat_i[30] *2412:14 3.92579e-05 +10 *1723:25 *2478:irq[2] 0.00036298 +11 *1725:10 *2478:irq[2] 0.000342696 +12 *1736:9 *2412:14 0 +13 *1741:10 *2478:irq[2] 0.0010138 +*RES +1 *2472:user_irq[2] *2412:10 21.0412 +2 *2412:10 *2412:11 906.391 +3 *2412:11 *2412:13 4.5 +4 *2412:13 *2412:14 181.013 +5 *2412:14 *2478:irq[2] 40.8694 +*END + +*D_NET *2413 0.311965 +*CONN +*I *2472:user_irq_core[0] I *D mgmt_protect +*I *2473:user_irq[0] O *D user_analog_project_wrapper +*CAP +1 *2472:user_irq_core[0] 0.00159412 +2 *2473:user_irq[0] 0.00205067 +3 *2413:8 0.0268085 +4 *2413:7 0.0252143 +5 *2413:5 0.00205067 +6 *2472:user_irq_core[0] *2472:user_irq_core[1] 0 +7 *2413:8 *2415:10 0.0312377 +8 *1103:8 *2413:8 0.0613514 +9 *1400:8 *2413:8 0.146097 +10 *1402:8 *2413:8 0.0155613 +11 *1646:7 *2472:user_irq_core[0] 0 +*RES +1 *2473:user_irq[0] *2413:5 54.8988 +2 *2413:5 *2413:7 3.36879 +3 *2413:7 *2413:8 195.635 +4 *2413:8 *2472:user_irq_core[0] 32.5219 +*END + +*D_NET *2414 0.28882 +*CONN +*I *2472:user_irq_core[1] I *D mgmt_protect +*I *2473:user_irq[1] O *D user_analog_project_wrapper +*CAP +1 *2472:user_irq_core[1] 0.00265048 +2 *2473:user_irq[1] 0.00144366 +3 *2414:14 0.00276005 +4 *2414:8 0.0140155 +5 *2414:7 0.0153496 +6 *2472:user_irq_core[1] *2472:user_irq_core[2] 0 +7 *2472:user_irq_core[0] *2472:user_irq_core[1] 0 +8 *1007:8 *2414:8 0.0602489 +9 *1019:8 *2414:8 0.000639908 +10 *1075:5 *2472:user_irq_core[1] 0 +11 *1100:8 *2414:8 0.0459994 +12 *1100:8 *2414:14 1.67988e-05 +13 *1401:10 *2414:8 1.67988e-05 +14 *1401:10 *2414:14 0.0011774 +15 *1401:12 *2414:8 0.128107 +16 *1403:8 *2414:8 0.0152772 +17 *1459:8 *2414:14 0.00111656 +18 *1646:7 *2472:user_irq_core[1] 0 +*RES +1 *2473:user_irq[1] *2414:7 42.5811 +2 *2414:7 *2414:8 1410.53 +3 *2414:8 *2414:14 17.4488 +4 *2414:14 *2472:user_irq_core[1] 46.3861 +*END + +*D_NET *2415 0.255192 +*CONN +*I *2472:user_irq_core[2] I *D mgmt_protect +*I *2473:user_irq[2] O *D user_analog_project_wrapper +*CAP +1 *2472:user_irq_core[2] 0.00159047 +2 *2473:user_irq[2] 5.43965e-05 +3 *2415:10 0.0272258 +4 *2415:9 0.0256353 +5 *2415:7 0.00131631 +6 *2415:5 0.00137071 +7 *2472:user_irq_core[1] *2472:user_irq_core[2] 0 +8 *1075:5 *2472:user_irq_core[2] 4.59193e-05 +9 *1092:8 *2415:10 0.00061538 +10 *1402:8 *2415:10 0.131446 +11 *1475:8 *2415:10 0.0314182 +12 *1551:23 *2415:7 0.0032363 +13 *2413:8 *2415:10 0.0312377 +*RES +1 *2473:user_irq[2] *2415:5 0.647305 +2 *2415:5 *2415:7 54.7766 +3 *2415:7 *2415:9 4.5 +4 *2415:9 *2415:10 1427.72 +5 *2415:10 *2472:user_irq_core[2] 33.8608 +*END diff --git a/spef/caravel.sdc b/spef/caravel.sdc new file mode 100644 index 00000000..99b149c6 --- /dev/null +++ b/spef/caravel.sdc @@ -0,0 +1,82 @@ +set ::env(IO_PCT) "0.2" +set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_1" +set ::env(SYNTH_DRIVING_CELL_PIN) "Y" +set ::env(SYNTH_MAX_FANOUT) "5" +set ::env(SYNTH_CAP_LOAD) "33.442" +set ::env(SYNTH_TIMING_DERATE) 0.05 +set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 +set ::env(SYNTH_CLOCK_TRANSITION) 0.15 + +## MASTER CLOCKS +create_clock [get_ports {"clock"} ] -name "clock" -period 25 +create_clock -name __VIRTUAL_CLK__ -period 25 + +## INPUT/OUTPUT DELAYS +set input_delay_value 1 +set output_delay_value [expr 25 * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" + +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] + + +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}] + +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] + +# TODO set this as parameter +set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +set_load $cap_load [all_outputs] + +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] + +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" +set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}] + +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}] diff --git a/spef/caravel.spef b/spef/caravel.spef new file mode 100644 index 00000000..9b62a9cf --- /dev/null +++ b/spef/caravel.spef @@ -0,0 +1,80597 @@ +*SPEF "ieee 1481-1999" +*DESIGN "caravel" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 clock +*2 flash_clk +*3 flash_csb +*4 flash_io0 +*5 flash_io1 +*6 gpio +*7 mprj_io[0] +*8 mprj_io[10] +*9 mprj_io[11] +*10 mprj_io[12] +*11 mprj_io[13] +*12 mprj_io[14] +*13 mprj_io[15] +*14 mprj_io[16] +*15 mprj_io[17] +*16 mprj_io[18] +*17 mprj_io[19] +*18 mprj_io[1] +*19 mprj_io[20] +*20 mprj_io[21] +*21 mprj_io[22] +*22 mprj_io[23] +*23 mprj_io[24] +*24 mprj_io[25] +*25 mprj_io[26] +*26 mprj_io[27] +*27 mprj_io[28] +*28 mprj_io[29] +*29 mprj_io[2] +*30 mprj_io[30] +*31 mprj_io[31] +*32 mprj_io[32] +*33 mprj_io[33] +*34 mprj_io[34] +*35 mprj_io[35] +*36 mprj_io[36] +*37 mprj_io[37] +*38 mprj_io[3] +*39 mprj_io[4] +*40 mprj_io[5] +*41 mprj_io[6] +*42 mprj_io[7] +*43 mprj_io[8] +*44 mprj_io[9] +*45 resetb +*46 vccd +*47 vccd1 +*48 vccd2 +*49 vdda +*50 vdda1 +*51 vdda1_2 +*52 vdda2 +*53 vddio +*54 vddio_2 +*55 vssa +*56 vssa1 +*57 vssa1_2 +*58 vssa2 +*59 vssd +*60 vssd1 +*61 vssd2 +*62 vssio +*63 vssio_2 +*64 caravel_clk +*65 caravel_clk2 +*66 caravel_rstn +*67 clock_core +*68 debug_in +*69 debug_mode +*70 debug_oeb +*71 debug_out +*72 ext_clk_sel +*73 ext_reset +*74 flash_clk_core +*75 flash_clk_frame +*76 flash_clk_oeb +*77 flash_csb_core +*78 flash_csb_frame +*79 flash_csb_oeb +*80 flash_io0_di +*81 flash_io0_di_core +*82 flash_io0_do +*83 flash_io0_do_core +*84 flash_io0_ieb +*85 flash_io0_oeb +*86 flash_io0_oeb_core +*87 flash_io1_di +*88 flash_io1_di_core +*89 flash_io1_do +*90 flash_io1_do_core +*91 flash_io1_ieb +*92 flash_io1_oeb +*93 flash_io1_oeb_core +*94 flash_io2_di_core +*95 flash_io2_do_core +*96 flash_io2_oeb_core +*97 flash_io3_di_core +*98 flash_io3_do_core +*99 flash_io3_oeb_core +*100 gpio_clock_1\[0\] +*101 gpio_clock_1\[10\] +*102 gpio_clock_1\[11\] +*103 gpio_clock_1\[12\] +*104 gpio_clock_1\[13\] +*105 gpio_clock_1\[14\] +*106 gpio_clock_1\[15\] +*107 gpio_clock_1\[16\] +*108 gpio_clock_1\[17\] +*109 gpio_clock_1\[18\] +*110 gpio_clock_1\[1\] +*111 gpio_clock_1\[2\] +*112 gpio_clock_1\[3\] +*113 gpio_clock_1\[4\] +*114 gpio_clock_1\[5\] +*115 gpio_clock_1\[6\] +*116 gpio_clock_1\[7\] +*117 gpio_clock_1\[8\] +*118 gpio_clock_1\[9\] +*119 gpio_clock_1_shifted\[0\] +*120 gpio_defaults\[0\] +*121 gpio_defaults\[100\] +*122 gpio_defaults\[101\] +*123 gpio_defaults\[102\] +*124 gpio_defaults\[103\] +*125 gpio_defaults\[104\] +*126 gpio_defaults\[105\] +*127 gpio_defaults\[106\] +*128 gpio_defaults\[107\] +*129 gpio_defaults\[108\] +*130 gpio_defaults\[109\] +*131 gpio_defaults\[10\] +*132 gpio_defaults\[110\] +*133 gpio_defaults\[111\] +*134 gpio_defaults\[112\] +*135 gpio_defaults\[113\] +*136 gpio_defaults\[114\] +*137 gpio_defaults\[115\] +*138 gpio_defaults\[116\] +*139 gpio_defaults\[117\] +*140 gpio_defaults\[118\] +*141 gpio_defaults\[119\] +*142 gpio_defaults\[11\] +*143 gpio_defaults\[120\] +*144 gpio_defaults\[121\] +*145 gpio_defaults\[122\] +*146 gpio_defaults\[123\] +*147 gpio_defaults\[124\] +*148 gpio_defaults\[125\] +*149 gpio_defaults\[126\] +*150 gpio_defaults\[127\] +*151 gpio_defaults\[128\] +*152 gpio_defaults\[129\] +*153 gpio_defaults\[12\] +*154 gpio_defaults\[130\] +*155 gpio_defaults\[131\] +*156 gpio_defaults\[132\] +*157 gpio_defaults\[133\] +*158 gpio_defaults\[134\] +*159 gpio_defaults\[135\] +*160 gpio_defaults\[136\] +*161 gpio_defaults\[137\] +*162 gpio_defaults\[138\] +*163 gpio_defaults\[139\] +*164 gpio_defaults\[13\] +*165 gpio_defaults\[140\] +*166 gpio_defaults\[141\] +*167 gpio_defaults\[142\] +*168 gpio_defaults\[143\] +*169 gpio_defaults\[144\] +*170 gpio_defaults\[145\] +*171 gpio_defaults\[146\] +*172 gpio_defaults\[147\] +*173 gpio_defaults\[148\] +*174 gpio_defaults\[149\] +*175 gpio_defaults\[14\] +*176 gpio_defaults\[150\] +*177 gpio_defaults\[151\] +*178 gpio_defaults\[152\] +*179 gpio_defaults\[153\] +*180 gpio_defaults\[154\] +*181 gpio_defaults\[155\] +*182 gpio_defaults\[156\] +*183 gpio_defaults\[157\] +*184 gpio_defaults\[158\] +*185 gpio_defaults\[159\] +*186 gpio_defaults\[15\] +*187 gpio_defaults\[160\] +*188 gpio_defaults\[161\] +*189 gpio_defaults\[162\] +*190 gpio_defaults\[163\] +*191 gpio_defaults\[164\] +*192 gpio_defaults\[165\] +*193 gpio_defaults\[166\] +*194 gpio_defaults\[167\] +*195 gpio_defaults\[168\] +*196 gpio_defaults\[169\] +*197 gpio_defaults\[16\] +*198 gpio_defaults\[170\] +*199 gpio_defaults\[171\] +*200 gpio_defaults\[172\] +*201 gpio_defaults\[173\] +*202 gpio_defaults\[174\] +*203 gpio_defaults\[175\] +*204 gpio_defaults\[176\] +*205 gpio_defaults\[177\] +*206 gpio_defaults\[178\] +*207 gpio_defaults\[179\] +*208 gpio_defaults\[17\] +*209 gpio_defaults\[180\] +*210 gpio_defaults\[181\] +*211 gpio_defaults\[182\] +*212 gpio_defaults\[183\] +*213 gpio_defaults\[184\] +*214 gpio_defaults\[185\] +*215 gpio_defaults\[186\] +*216 gpio_defaults\[187\] +*217 gpio_defaults\[188\] +*218 gpio_defaults\[189\] +*219 gpio_defaults\[18\] +*220 gpio_defaults\[190\] +*221 gpio_defaults\[191\] +*222 gpio_defaults\[192\] +*223 gpio_defaults\[193\] +*224 gpio_defaults\[194\] +*225 gpio_defaults\[195\] +*226 gpio_defaults\[196\] +*227 gpio_defaults\[197\] +*228 gpio_defaults\[198\] +*229 gpio_defaults\[199\] +*230 gpio_defaults\[19\] +*231 gpio_defaults\[1\] +*232 gpio_defaults\[200\] +*233 gpio_defaults\[201\] +*234 gpio_defaults\[202\] +*235 gpio_defaults\[203\] +*236 gpio_defaults\[204\] +*237 gpio_defaults\[205\] +*238 gpio_defaults\[206\] +*239 gpio_defaults\[207\] +*240 gpio_defaults\[208\] +*241 gpio_defaults\[209\] +*242 gpio_defaults\[20\] +*243 gpio_defaults\[210\] +*244 gpio_defaults\[211\] +*245 gpio_defaults\[212\] +*246 gpio_defaults\[213\] +*247 gpio_defaults\[214\] +*248 gpio_defaults\[215\] +*249 gpio_defaults\[216\] +*250 gpio_defaults\[217\] +*251 gpio_defaults\[218\] +*252 gpio_defaults\[219\] +*253 gpio_defaults\[21\] +*254 gpio_defaults\[220\] +*255 gpio_defaults\[221\] +*256 gpio_defaults\[222\] +*257 gpio_defaults\[223\] +*258 gpio_defaults\[224\] +*259 gpio_defaults\[225\] +*260 gpio_defaults\[226\] +*261 gpio_defaults\[227\] +*262 gpio_defaults\[228\] +*263 gpio_defaults\[229\] +*264 gpio_defaults\[22\] +*265 gpio_defaults\[230\] +*266 gpio_defaults\[231\] +*267 gpio_defaults\[232\] +*268 gpio_defaults\[233\] +*269 gpio_defaults\[234\] +*270 gpio_defaults\[235\] +*271 gpio_defaults\[236\] +*272 gpio_defaults\[237\] +*273 gpio_defaults\[238\] +*274 gpio_defaults\[239\] +*275 gpio_defaults\[23\] +*276 gpio_defaults\[240\] +*277 gpio_defaults\[241\] +*278 gpio_defaults\[242\] +*279 gpio_defaults\[243\] +*280 gpio_defaults\[244\] +*281 gpio_defaults\[245\] +*282 gpio_defaults\[246\] +*283 gpio_defaults\[247\] +*284 gpio_defaults\[248\] +*285 gpio_defaults\[249\] +*286 gpio_defaults\[24\] +*287 gpio_defaults\[250\] +*288 gpio_defaults\[251\] +*289 gpio_defaults\[252\] +*290 gpio_defaults\[253\] +*291 gpio_defaults\[254\] +*292 gpio_defaults\[255\] +*293 gpio_defaults\[256\] +*294 gpio_defaults\[257\] +*295 gpio_defaults\[258\] +*296 gpio_defaults\[259\] +*297 gpio_defaults\[25\] +*298 gpio_defaults\[260\] +*299 gpio_defaults\[261\] +*300 gpio_defaults\[262\] +*301 gpio_defaults\[263\] +*302 gpio_defaults\[264\] +*303 gpio_defaults\[265\] +*304 gpio_defaults\[266\] +*305 gpio_defaults\[267\] +*306 gpio_defaults\[268\] +*307 gpio_defaults\[269\] +*308 gpio_defaults\[26\] +*309 gpio_defaults\[270\] +*310 gpio_defaults\[271\] +*311 gpio_defaults\[272\] +*312 gpio_defaults\[273\] +*313 gpio_defaults\[274\] +*314 gpio_defaults\[275\] +*315 gpio_defaults\[276\] +*316 gpio_defaults\[277\] +*317 gpio_defaults\[278\] +*318 gpio_defaults\[279\] +*319 gpio_defaults\[27\] +*320 gpio_defaults\[280\] +*321 gpio_defaults\[281\] +*322 gpio_defaults\[282\] +*323 gpio_defaults\[283\] +*324 gpio_defaults\[284\] +*325 gpio_defaults\[285\] +*326 gpio_defaults\[286\] +*327 gpio_defaults\[287\] +*328 gpio_defaults\[288\] +*329 gpio_defaults\[289\] +*330 gpio_defaults\[28\] +*331 gpio_defaults\[290\] +*332 gpio_defaults\[291\] +*333 gpio_defaults\[292\] +*334 gpio_defaults\[293\] +*335 gpio_defaults\[294\] +*336 gpio_defaults\[295\] +*337 gpio_defaults\[296\] +*338 gpio_defaults\[297\] +*339 gpio_defaults\[298\] +*340 gpio_defaults\[299\] +*341 gpio_defaults\[29\] +*342 gpio_defaults\[2\] +*343 gpio_defaults\[300\] +*344 gpio_defaults\[301\] +*345 gpio_defaults\[302\] +*346 gpio_defaults\[303\] +*347 gpio_defaults\[304\] +*348 gpio_defaults\[305\] +*349 gpio_defaults\[306\] +*350 gpio_defaults\[307\] +*351 gpio_defaults\[308\] +*352 gpio_defaults\[309\] +*353 gpio_defaults\[30\] +*354 gpio_defaults\[310\] +*355 gpio_defaults\[311\] +*356 gpio_defaults\[312\] +*357 gpio_defaults\[313\] +*358 gpio_defaults\[314\] +*359 gpio_defaults\[315\] +*360 gpio_defaults\[316\] +*361 gpio_defaults\[317\] +*362 gpio_defaults\[318\] +*363 gpio_defaults\[319\] +*364 gpio_defaults\[31\] +*365 gpio_defaults\[320\] +*366 gpio_defaults\[321\] +*367 gpio_defaults\[322\] +*368 gpio_defaults\[323\] +*369 gpio_defaults\[324\] +*370 gpio_defaults\[325\] +*371 gpio_defaults\[326\] +*372 gpio_defaults\[327\] +*373 gpio_defaults\[328\] +*374 gpio_defaults\[329\] +*375 gpio_defaults\[32\] +*376 gpio_defaults\[330\] +*377 gpio_defaults\[331\] +*378 gpio_defaults\[332\] +*379 gpio_defaults\[333\] +*380 gpio_defaults\[334\] +*381 gpio_defaults\[335\] +*382 gpio_defaults\[336\] +*383 gpio_defaults\[337\] +*384 gpio_defaults\[338\] +*385 gpio_defaults\[339\] +*386 gpio_defaults\[33\] +*387 gpio_defaults\[340\] +*388 gpio_defaults\[341\] +*389 gpio_defaults\[342\] +*390 gpio_defaults\[343\] +*391 gpio_defaults\[344\] +*392 gpio_defaults\[345\] +*393 gpio_defaults\[346\] +*394 gpio_defaults\[347\] +*395 gpio_defaults\[348\] +*396 gpio_defaults\[349\] +*397 gpio_defaults\[34\] +*398 gpio_defaults\[350\] +*399 gpio_defaults\[351\] +*400 gpio_defaults\[352\] +*401 gpio_defaults\[353\] +*402 gpio_defaults\[354\] +*403 gpio_defaults\[355\] +*404 gpio_defaults\[356\] +*405 gpio_defaults\[357\] +*406 gpio_defaults\[358\] +*407 gpio_defaults\[359\] +*408 gpio_defaults\[35\] +*409 gpio_defaults\[360\] +*410 gpio_defaults\[361\] +*411 gpio_defaults\[362\] +*412 gpio_defaults\[363\] +*413 gpio_defaults\[364\] +*414 gpio_defaults\[365\] +*415 gpio_defaults\[366\] +*416 gpio_defaults\[367\] +*417 gpio_defaults\[368\] +*418 gpio_defaults\[369\] +*419 gpio_defaults\[36\] +*420 gpio_defaults\[370\] +*421 gpio_defaults\[371\] +*422 gpio_defaults\[372\] +*423 gpio_defaults\[373\] +*424 gpio_defaults\[374\] +*425 gpio_defaults\[375\] +*426 gpio_defaults\[376\] +*427 gpio_defaults\[377\] +*428 gpio_defaults\[378\] +*429 gpio_defaults\[379\] +*430 gpio_defaults\[37\] +*431 gpio_defaults\[380\] +*432 gpio_defaults\[381\] +*433 gpio_defaults\[382\] +*434 gpio_defaults\[383\] +*435 gpio_defaults\[384\] +*436 gpio_defaults\[385\] +*437 gpio_defaults\[386\] +*438 gpio_defaults\[387\] +*439 gpio_defaults\[388\] +*440 gpio_defaults\[389\] +*441 gpio_defaults\[38\] +*442 gpio_defaults\[390\] +*443 gpio_defaults\[391\] +*444 gpio_defaults\[392\] +*445 gpio_defaults\[393\] +*446 gpio_defaults\[394\] +*447 gpio_defaults\[395\] +*448 gpio_defaults\[396\] +*449 gpio_defaults\[397\] +*450 gpio_defaults\[398\] +*451 gpio_defaults\[399\] +*452 gpio_defaults\[39\] +*453 gpio_defaults\[3\] +*454 gpio_defaults\[400\] +*455 gpio_defaults\[401\] +*456 gpio_defaults\[402\] +*457 gpio_defaults\[403\] +*458 gpio_defaults\[404\] +*459 gpio_defaults\[405\] +*460 gpio_defaults\[406\] +*461 gpio_defaults\[407\] +*462 gpio_defaults\[408\] +*463 gpio_defaults\[409\] +*464 gpio_defaults\[40\] +*465 gpio_defaults\[410\] +*466 gpio_defaults\[411\] +*467 gpio_defaults\[412\] +*468 gpio_defaults\[413\] +*469 gpio_defaults\[414\] +*470 gpio_defaults\[415\] +*471 gpio_defaults\[416\] +*472 gpio_defaults\[417\] +*473 gpio_defaults\[418\] +*474 gpio_defaults\[419\] +*475 gpio_defaults\[41\] +*476 gpio_defaults\[420\] +*477 gpio_defaults\[421\] +*478 gpio_defaults\[422\] +*479 gpio_defaults\[423\] +*480 gpio_defaults\[424\] +*481 gpio_defaults\[425\] +*482 gpio_defaults\[426\] +*483 gpio_defaults\[427\] +*484 gpio_defaults\[428\] +*485 gpio_defaults\[429\] +*486 gpio_defaults\[42\] +*487 gpio_defaults\[430\] +*488 gpio_defaults\[431\] +*489 gpio_defaults\[432\] +*490 gpio_defaults\[433\] +*491 gpio_defaults\[434\] +*492 gpio_defaults\[435\] +*493 gpio_defaults\[436\] +*494 gpio_defaults\[437\] +*495 gpio_defaults\[438\] +*496 gpio_defaults\[439\] +*497 gpio_defaults\[43\] +*498 gpio_defaults\[440\] +*499 gpio_defaults\[441\] +*500 gpio_defaults\[442\] +*501 gpio_defaults\[443\] +*502 gpio_defaults\[444\] +*503 gpio_defaults\[445\] +*504 gpio_defaults\[446\] +*505 gpio_defaults\[447\] +*506 gpio_defaults\[448\] +*507 gpio_defaults\[449\] +*508 gpio_defaults\[44\] +*509 gpio_defaults\[450\] +*510 gpio_defaults\[451\] +*511 gpio_defaults\[452\] +*512 gpio_defaults\[453\] +*513 gpio_defaults\[454\] +*514 gpio_defaults\[455\] +*515 gpio_defaults\[456\] +*516 gpio_defaults\[457\] +*517 gpio_defaults\[458\] +*518 gpio_defaults\[459\] +*519 gpio_defaults\[45\] +*520 gpio_defaults\[460\] +*521 gpio_defaults\[461\] +*522 gpio_defaults\[462\] +*523 gpio_defaults\[463\] +*524 gpio_defaults\[464\] +*525 gpio_defaults\[465\] +*526 gpio_defaults\[466\] +*527 gpio_defaults\[467\] +*528 gpio_defaults\[468\] +*529 gpio_defaults\[469\] +*530 gpio_defaults\[46\] +*531 gpio_defaults\[470\] +*532 gpio_defaults\[471\] +*533 gpio_defaults\[472\] +*534 gpio_defaults\[473\] +*535 gpio_defaults\[474\] +*536 gpio_defaults\[475\] +*537 gpio_defaults\[476\] +*538 gpio_defaults\[477\] +*539 gpio_defaults\[478\] +*540 gpio_defaults\[479\] +*541 gpio_defaults\[47\] +*542 gpio_defaults\[480\] +*543 gpio_defaults\[481\] +*544 gpio_defaults\[482\] +*545 gpio_defaults\[483\] +*546 gpio_defaults\[484\] +*547 gpio_defaults\[485\] +*548 gpio_defaults\[486\] +*549 gpio_defaults\[487\] +*550 gpio_defaults\[488\] +*551 gpio_defaults\[489\] +*552 gpio_defaults\[48\] +*553 gpio_defaults\[490\] +*554 gpio_defaults\[491\] +*555 gpio_defaults\[492\] +*556 gpio_defaults\[493\] +*557 gpio_defaults\[49\] +*558 gpio_defaults\[4\] +*559 gpio_defaults\[50\] +*560 gpio_defaults\[51\] +*561 gpio_defaults\[52\] +*562 gpio_defaults\[53\] +*563 gpio_defaults\[54\] +*564 gpio_defaults\[55\] +*565 gpio_defaults\[56\] +*566 gpio_defaults\[57\] +*567 gpio_defaults\[58\] +*568 gpio_defaults\[59\] +*569 gpio_defaults\[5\] +*570 gpio_defaults\[60\] +*571 gpio_defaults\[61\] +*572 gpio_defaults\[62\] +*573 gpio_defaults\[63\] +*574 gpio_defaults\[64\] +*575 gpio_defaults\[65\] +*576 gpio_defaults\[66\] +*577 gpio_defaults\[67\] +*578 gpio_defaults\[68\] +*579 gpio_defaults\[69\] +*580 gpio_defaults\[6\] +*581 gpio_defaults\[70\] +*582 gpio_defaults\[71\] +*583 gpio_defaults\[72\] +*584 gpio_defaults\[73\] +*585 gpio_defaults\[74\] +*586 gpio_defaults\[75\] +*587 gpio_defaults\[76\] +*588 gpio_defaults\[77\] +*589 gpio_defaults\[78\] +*590 gpio_defaults\[79\] +*591 gpio_defaults\[7\] +*592 gpio_defaults\[80\] +*593 gpio_defaults\[81\] +*594 gpio_defaults\[82\] +*595 gpio_defaults\[83\] +*596 gpio_defaults\[84\] +*597 gpio_defaults\[85\] +*598 gpio_defaults\[86\] +*599 gpio_defaults\[87\] +*600 gpio_defaults\[88\] +*601 gpio_defaults\[89\] +*602 gpio_defaults\[8\] +*603 gpio_defaults\[90\] +*604 gpio_defaults\[91\] +*605 gpio_defaults\[92\] +*606 gpio_defaults\[93\] +*607 gpio_defaults\[94\] +*608 gpio_defaults\[95\] +*609 gpio_defaults\[96\] +*610 gpio_defaults\[97\] +*611 gpio_defaults\[98\] +*612 gpio_defaults\[99\] +*613 gpio_defaults\[9\] +*614 gpio_in_core +*615 gpio_inenb_core +*616 gpio_load_1\[0\] +*617 gpio_load_1\[10\] +*618 gpio_load_1\[11\] +*619 gpio_load_1\[12\] +*620 gpio_load_1\[13\] +*621 gpio_load_1\[14\] +*622 gpio_load_1\[15\] +*623 gpio_load_1\[16\] +*624 gpio_load_1\[17\] +*625 gpio_load_1\[18\] +*626 gpio_load_1\[1\] +*627 gpio_load_1\[2\] +*628 gpio_load_1\[3\] +*629 gpio_load_1\[4\] +*630 gpio_load_1\[5\] +*631 gpio_load_1\[6\] +*632 gpio_load_1\[7\] +*633 gpio_load_1\[8\] +*634 gpio_load_1\[9\] +*635 gpio_load_1_shifted\[0\] +*636 gpio_mode0_core +*637 gpio_mode1_core +*638 gpio_out_core +*639 gpio_outenb_core +*640 gpio_resetn_1\[0\] +*641 gpio_resetn_1\[10\] +*642 gpio_resetn_1\[11\] +*643 gpio_resetn_1\[12\] +*644 gpio_resetn_1\[13\] +*645 gpio_resetn_1\[14\] +*646 gpio_resetn_1\[15\] +*647 gpio_resetn_1\[16\] +*648 gpio_resetn_1\[17\] +*649 gpio_resetn_1\[18\] +*650 gpio_resetn_1\[1\] +*651 gpio_resetn_1\[2\] +*652 gpio_resetn_1\[3\] +*653 gpio_resetn_1\[4\] +*654 gpio_resetn_1\[5\] +*655 gpio_resetn_1\[6\] +*656 gpio_resetn_1\[7\] +*657 gpio_resetn_1\[8\] +*658 gpio_resetn_1\[9\] +*659 gpio_resetn_1_shifted\[0\] +*660 gpio_serial_link_1\[0\] +*661 gpio_serial_link_1\[10\] +*662 gpio_serial_link_1\[11\] +*663 gpio_serial_link_1\[12\] +*664 gpio_serial_link_1\[13\] +*665 gpio_serial_link_1\[14\] +*666 gpio_serial_link_1\[15\] +*667 gpio_serial_link_1\[16\] +*668 gpio_serial_link_1\[17\] +*669 gpio_serial_link_1\[1\] +*670 gpio_serial_link_1\[2\] +*671 gpio_serial_link_1\[3\] +*672 gpio_serial_link_1\[4\] +*673 gpio_serial_link_1\[5\] +*674 gpio_serial_link_1\[6\] +*675 gpio_serial_link_1\[7\] +*676 gpio_serial_link_1\[8\] +*677 gpio_serial_link_1\[9\] +*678 gpio_serial_link_1_shifted\[0\] +*679 gpio_serial_link_2\[10\] +*680 gpio_serial_link_2\[11\] +*681 gpio_serial_link_2\[12\] +*682 gpio_serial_link_2\[13\] +*683 gpio_serial_link_2\[14\] +*684 gpio_serial_link_2\[15\] +*685 gpio_serial_link_2\[16\] +*686 gpio_serial_link_2\[17\] +*687 gpio_serial_link_2\[18\] +*688 gpio_serial_link_2\[1\] +*689 gpio_serial_link_2\[2\] +*690 gpio_serial_link_2\[3\] +*691 gpio_serial_link_2\[4\] +*692 gpio_serial_link_2\[5\] +*693 gpio_serial_link_2\[6\] +*694 gpio_serial_link_2\[7\] +*695 gpio_serial_link_2\[8\] +*696 gpio_serial_link_2\[9\] +*697 gpio_serial_link_2_shifted\[18\] +*698 hk_ack_i +*699 hk_dat_i\[0\] +*700 hk_dat_i\[10\] +*701 hk_dat_i\[11\] +*702 hk_dat_i\[12\] +*703 hk_dat_i\[13\] +*704 hk_dat_i\[14\] +*705 hk_dat_i\[15\] +*706 hk_dat_i\[16\] +*707 hk_dat_i\[17\] +*708 hk_dat_i\[18\] +*709 hk_dat_i\[19\] +*710 hk_dat_i\[1\] +*711 hk_dat_i\[20\] +*712 hk_dat_i\[21\] +*713 hk_dat_i\[22\] +*714 hk_dat_i\[23\] +*715 hk_dat_i\[24\] +*716 hk_dat_i\[25\] +*717 hk_dat_i\[26\] +*718 hk_dat_i\[27\] +*719 hk_dat_i\[28\] +*720 hk_dat_i\[29\] +*721 hk_dat_i\[2\] +*722 hk_dat_i\[30\] +*723 hk_dat_i\[31\] +*724 hk_dat_i\[3\] +*725 hk_dat_i\[4\] +*726 hk_dat_i\[5\] +*727 hk_dat_i\[6\] +*728 hk_dat_i\[7\] +*729 hk_dat_i\[8\] +*730 hk_dat_i\[9\] +*731 hk_stb_o +*732 hkspi_sram_addr\[0\] +*733 hkspi_sram_addr\[1\] +*734 hkspi_sram_addr\[2\] +*735 hkspi_sram_addr\[3\] +*736 hkspi_sram_addr\[4\] +*737 hkspi_sram_addr\[5\] +*738 hkspi_sram_addr\[6\] +*739 hkspi_sram_addr\[7\] +*740 hkspi_sram_clk +*741 hkspi_sram_csb +*742 hkspi_sram_data\[0\] +*743 hkspi_sram_data\[10\] +*744 hkspi_sram_data\[11\] +*745 hkspi_sram_data\[12\] +*746 hkspi_sram_data\[13\] +*747 hkspi_sram_data\[14\] +*748 hkspi_sram_data\[15\] +*749 hkspi_sram_data\[16\] +*750 hkspi_sram_data\[17\] +*751 hkspi_sram_data\[18\] +*752 hkspi_sram_data\[19\] +*753 hkspi_sram_data\[1\] +*754 hkspi_sram_data\[20\] +*755 hkspi_sram_data\[21\] +*756 hkspi_sram_data\[22\] +*757 hkspi_sram_data\[23\] +*758 hkspi_sram_data\[24\] +*759 hkspi_sram_data\[25\] +*760 hkspi_sram_data\[26\] +*761 hkspi_sram_data\[27\] +*762 hkspi_sram_data\[28\] +*763 hkspi_sram_data\[29\] +*764 hkspi_sram_data\[2\] +*765 hkspi_sram_data\[30\] +*766 hkspi_sram_data\[31\] +*767 hkspi_sram_data\[3\] +*768 hkspi_sram_data\[4\] +*769 hkspi_sram_data\[5\] +*770 hkspi_sram_data\[6\] +*771 hkspi_sram_data\[7\] +*772 hkspi_sram_data\[8\] +*773 hkspi_sram_data\[9\] +*774 irq_spi\[0\] +*775 irq_spi\[1\] +*776 irq_spi\[2\] +*777 la_data_in_mprj\[0\] +*778 la_data_in_mprj\[100\] +*779 la_data_in_mprj\[101\] +*780 la_data_in_mprj\[102\] +*781 la_data_in_mprj\[103\] +*782 la_data_in_mprj\[104\] +*783 la_data_in_mprj\[105\] +*784 la_data_in_mprj\[106\] +*785 la_data_in_mprj\[107\] +*786 la_data_in_mprj\[108\] +*787 la_data_in_mprj\[109\] +*788 la_data_in_mprj\[10\] +*789 la_data_in_mprj\[110\] +*790 la_data_in_mprj\[111\] +*791 la_data_in_mprj\[112\] +*792 la_data_in_mprj\[113\] +*793 la_data_in_mprj\[114\] +*794 la_data_in_mprj\[115\] +*795 la_data_in_mprj\[116\] +*796 la_data_in_mprj\[117\] +*797 la_data_in_mprj\[118\] +*798 la_data_in_mprj\[119\] +*799 la_data_in_mprj\[11\] +*800 la_data_in_mprj\[120\] +*801 la_data_in_mprj\[121\] +*802 la_data_in_mprj\[122\] +*803 la_data_in_mprj\[123\] +*804 la_data_in_mprj\[124\] +*805 la_data_in_mprj\[125\] +*806 la_data_in_mprj\[126\] +*807 la_data_in_mprj\[127\] +*808 la_data_in_mprj\[12\] +*809 la_data_in_mprj\[13\] +*810 la_data_in_mprj\[14\] +*811 la_data_in_mprj\[15\] +*812 la_data_in_mprj\[16\] +*813 la_data_in_mprj\[17\] +*814 la_data_in_mprj\[18\] +*815 la_data_in_mprj\[19\] +*816 la_data_in_mprj\[1\] +*817 la_data_in_mprj\[20\] +*818 la_data_in_mprj\[21\] +*819 la_data_in_mprj\[22\] +*820 la_data_in_mprj\[23\] +*821 la_data_in_mprj\[24\] +*822 la_data_in_mprj\[25\] +*823 la_data_in_mprj\[26\] +*824 la_data_in_mprj\[27\] +*825 la_data_in_mprj\[28\] +*826 la_data_in_mprj\[29\] +*827 la_data_in_mprj\[2\] +*828 la_data_in_mprj\[30\] +*829 la_data_in_mprj\[31\] +*830 la_data_in_mprj\[32\] +*831 la_data_in_mprj\[33\] +*832 la_data_in_mprj\[34\] +*833 la_data_in_mprj\[35\] +*834 la_data_in_mprj\[36\] +*835 la_data_in_mprj\[37\] +*836 la_data_in_mprj\[38\] +*837 la_data_in_mprj\[39\] +*838 la_data_in_mprj\[3\] +*839 la_data_in_mprj\[40\] +*840 la_data_in_mprj\[41\] +*841 la_data_in_mprj\[42\] +*842 la_data_in_mprj\[43\] +*843 la_data_in_mprj\[44\] +*844 la_data_in_mprj\[45\] +*845 la_data_in_mprj\[46\] +*846 la_data_in_mprj\[47\] +*847 la_data_in_mprj\[48\] +*848 la_data_in_mprj\[49\] +*849 la_data_in_mprj\[4\] +*850 la_data_in_mprj\[50\] +*851 la_data_in_mprj\[51\] +*852 la_data_in_mprj\[52\] +*853 la_data_in_mprj\[53\] +*854 la_data_in_mprj\[54\] +*855 la_data_in_mprj\[55\] +*856 la_data_in_mprj\[56\] +*857 la_data_in_mprj\[57\] +*858 la_data_in_mprj\[58\] +*859 la_data_in_mprj\[59\] +*860 la_data_in_mprj\[5\] +*861 la_data_in_mprj\[60\] +*862 la_data_in_mprj\[61\] +*863 la_data_in_mprj\[62\] +*864 la_data_in_mprj\[63\] +*865 la_data_in_mprj\[64\] +*866 la_data_in_mprj\[65\] +*867 la_data_in_mprj\[66\] +*868 la_data_in_mprj\[67\] +*869 la_data_in_mprj\[68\] +*870 la_data_in_mprj\[69\] +*871 la_data_in_mprj\[6\] +*872 la_data_in_mprj\[70\] +*873 la_data_in_mprj\[71\] +*874 la_data_in_mprj\[72\] +*875 la_data_in_mprj\[73\] +*876 la_data_in_mprj\[74\] +*877 la_data_in_mprj\[75\] +*878 la_data_in_mprj\[76\] +*879 la_data_in_mprj\[77\] +*880 la_data_in_mprj\[78\] +*881 la_data_in_mprj\[79\] +*882 la_data_in_mprj\[7\] +*883 la_data_in_mprj\[80\] +*884 la_data_in_mprj\[81\] +*885 la_data_in_mprj\[82\] +*886 la_data_in_mprj\[83\] +*887 la_data_in_mprj\[84\] +*888 la_data_in_mprj\[85\] +*889 la_data_in_mprj\[86\] +*890 la_data_in_mprj\[87\] +*891 la_data_in_mprj\[88\] +*892 la_data_in_mprj\[89\] +*893 la_data_in_mprj\[8\] +*894 la_data_in_mprj\[90\] +*895 la_data_in_mprj\[91\] +*896 la_data_in_mprj\[92\] +*897 la_data_in_mprj\[93\] +*898 la_data_in_mprj\[94\] +*899 la_data_in_mprj\[95\] +*900 la_data_in_mprj\[96\] +*901 la_data_in_mprj\[97\] +*902 la_data_in_mprj\[98\] +*903 la_data_in_mprj\[99\] +*904 la_data_in_mprj\[9\] +*905 la_data_in_user\[0\] +*906 la_data_in_user\[100\] +*907 la_data_in_user\[101\] +*908 la_data_in_user\[102\] +*909 la_data_in_user\[103\] +*910 la_data_in_user\[104\] +*911 la_data_in_user\[105\] +*912 la_data_in_user\[106\] +*913 la_data_in_user\[107\] +*914 la_data_in_user\[108\] +*915 la_data_in_user\[109\] +*916 la_data_in_user\[10\] +*917 la_data_in_user\[110\] +*918 la_data_in_user\[111\] +*919 la_data_in_user\[112\] +*920 la_data_in_user\[113\] +*921 la_data_in_user\[114\] +*922 la_data_in_user\[115\] +*923 la_data_in_user\[116\] +*924 la_data_in_user\[117\] +*925 la_data_in_user\[118\] +*926 la_data_in_user\[119\] +*927 la_data_in_user\[11\] +*928 la_data_in_user\[120\] +*929 la_data_in_user\[121\] +*930 la_data_in_user\[122\] +*931 la_data_in_user\[123\] +*932 la_data_in_user\[124\] +*933 la_data_in_user\[125\] +*934 la_data_in_user\[126\] +*935 la_data_in_user\[127\] +*936 la_data_in_user\[12\] +*937 la_data_in_user\[13\] +*938 la_data_in_user\[14\] +*939 la_data_in_user\[15\] +*940 la_data_in_user\[16\] +*941 la_data_in_user\[17\] +*942 la_data_in_user\[18\] +*943 la_data_in_user\[19\] +*944 la_data_in_user\[1\] +*945 la_data_in_user\[20\] +*946 la_data_in_user\[21\] +*947 la_data_in_user\[22\] +*948 la_data_in_user\[23\] +*949 la_data_in_user\[24\] +*950 la_data_in_user\[25\] +*951 la_data_in_user\[26\] +*952 la_data_in_user\[27\] +*953 la_data_in_user\[28\] +*954 la_data_in_user\[29\] +*955 la_data_in_user\[2\] +*956 la_data_in_user\[30\] +*957 la_data_in_user\[31\] +*958 la_data_in_user\[32\] +*959 la_data_in_user\[33\] +*960 la_data_in_user\[34\] +*961 la_data_in_user\[35\] +*962 la_data_in_user\[36\] +*963 la_data_in_user\[37\] +*964 la_data_in_user\[38\] +*965 la_data_in_user\[39\] +*966 la_data_in_user\[3\] +*967 la_data_in_user\[40\] +*968 la_data_in_user\[41\] +*969 la_data_in_user\[42\] +*970 la_data_in_user\[43\] +*971 la_data_in_user\[44\] +*972 la_data_in_user\[45\] +*973 la_data_in_user\[46\] +*974 la_data_in_user\[47\] +*975 la_data_in_user\[48\] +*976 la_data_in_user\[49\] +*977 la_data_in_user\[4\] +*978 la_data_in_user\[50\] +*979 la_data_in_user\[51\] +*980 la_data_in_user\[52\] +*981 la_data_in_user\[53\] +*982 la_data_in_user\[54\] +*983 la_data_in_user\[55\] +*984 la_data_in_user\[56\] +*985 la_data_in_user\[57\] +*986 la_data_in_user\[58\] +*987 la_data_in_user\[59\] +*988 la_data_in_user\[5\] +*989 la_data_in_user\[60\] +*990 la_data_in_user\[61\] +*991 la_data_in_user\[62\] +*992 la_data_in_user\[63\] +*993 la_data_in_user\[64\] +*994 la_data_in_user\[65\] +*995 la_data_in_user\[66\] +*996 la_data_in_user\[67\] +*997 la_data_in_user\[68\] +*998 la_data_in_user\[69\] +*999 la_data_in_user\[6\] +*1000 la_data_in_user\[70\] +*1001 la_data_in_user\[71\] +*1002 la_data_in_user\[72\] +*1003 la_data_in_user\[73\] +*1004 la_data_in_user\[74\] +*1005 la_data_in_user\[75\] +*1006 la_data_in_user\[76\] +*1007 la_data_in_user\[77\] +*1008 la_data_in_user\[78\] +*1009 la_data_in_user\[79\] +*1010 la_data_in_user\[7\] +*1011 la_data_in_user\[80\] +*1012 la_data_in_user\[81\] +*1013 la_data_in_user\[82\] +*1014 la_data_in_user\[83\] +*1015 la_data_in_user\[84\] +*1016 la_data_in_user\[85\] +*1017 la_data_in_user\[86\] +*1018 la_data_in_user\[87\] +*1019 la_data_in_user\[88\] +*1020 la_data_in_user\[89\] +*1021 la_data_in_user\[8\] +*1022 la_data_in_user\[90\] +*1023 la_data_in_user\[91\] +*1024 la_data_in_user\[92\] +*1025 la_data_in_user\[93\] +*1026 la_data_in_user\[94\] +*1027 la_data_in_user\[95\] +*1028 la_data_in_user\[96\] +*1029 la_data_in_user\[97\] +*1030 la_data_in_user\[98\] +*1031 la_data_in_user\[99\] +*1032 la_data_in_user\[9\] +*1033 la_data_out_mprj\[0\] +*1034 la_data_out_mprj\[100\] +*1035 la_data_out_mprj\[101\] +*1036 la_data_out_mprj\[102\] +*1037 la_data_out_mprj\[103\] +*1038 la_data_out_mprj\[104\] +*1039 la_data_out_mprj\[105\] +*1040 la_data_out_mprj\[106\] +*1041 la_data_out_mprj\[107\] +*1042 la_data_out_mprj\[108\] +*1043 la_data_out_mprj\[109\] +*1044 la_data_out_mprj\[10\] +*1045 la_data_out_mprj\[110\] +*1046 la_data_out_mprj\[111\] +*1047 la_data_out_mprj\[112\] +*1048 la_data_out_mprj\[113\] +*1049 la_data_out_mprj\[114\] +*1050 la_data_out_mprj\[115\] +*1051 la_data_out_mprj\[116\] +*1052 la_data_out_mprj\[117\] +*1053 la_data_out_mprj\[118\] +*1054 la_data_out_mprj\[119\] +*1055 la_data_out_mprj\[11\] +*1056 la_data_out_mprj\[120\] +*1057 la_data_out_mprj\[121\] +*1058 la_data_out_mprj\[122\] +*1059 la_data_out_mprj\[123\] +*1060 la_data_out_mprj\[124\] +*1061 la_data_out_mprj\[125\] +*1062 la_data_out_mprj\[126\] +*1063 la_data_out_mprj\[127\] +*1064 la_data_out_mprj\[12\] +*1065 la_data_out_mprj\[13\] +*1066 la_data_out_mprj\[14\] +*1067 la_data_out_mprj\[15\] +*1068 la_data_out_mprj\[16\] +*1069 la_data_out_mprj\[17\] +*1070 la_data_out_mprj\[18\] +*1071 la_data_out_mprj\[19\] +*1072 la_data_out_mprj\[1\] +*1073 la_data_out_mprj\[20\] +*1074 la_data_out_mprj\[21\] +*1075 la_data_out_mprj\[22\] +*1076 la_data_out_mprj\[23\] +*1077 la_data_out_mprj\[24\] +*1078 la_data_out_mprj\[25\] +*1079 la_data_out_mprj\[26\] +*1080 la_data_out_mprj\[27\] +*1081 la_data_out_mprj\[28\] +*1082 la_data_out_mprj\[29\] +*1083 la_data_out_mprj\[2\] +*1084 la_data_out_mprj\[30\] +*1085 la_data_out_mprj\[31\] +*1086 la_data_out_mprj\[32\] +*1087 la_data_out_mprj\[33\] +*1088 la_data_out_mprj\[34\] +*1089 la_data_out_mprj\[35\] +*1090 la_data_out_mprj\[36\] +*1091 la_data_out_mprj\[37\] +*1092 la_data_out_mprj\[38\] +*1093 la_data_out_mprj\[39\] +*1094 la_data_out_mprj\[3\] +*1095 la_data_out_mprj\[40\] +*1096 la_data_out_mprj\[41\] +*1097 la_data_out_mprj\[42\] +*1098 la_data_out_mprj\[43\] +*1099 la_data_out_mprj\[44\] +*1100 la_data_out_mprj\[45\] +*1101 la_data_out_mprj\[46\] +*1102 la_data_out_mprj\[47\] +*1103 la_data_out_mprj\[48\] +*1104 la_data_out_mprj\[49\] +*1105 la_data_out_mprj\[4\] +*1106 la_data_out_mprj\[50\] +*1107 la_data_out_mprj\[51\] +*1108 la_data_out_mprj\[52\] +*1109 la_data_out_mprj\[53\] +*1110 la_data_out_mprj\[54\] +*1111 la_data_out_mprj\[55\] +*1112 la_data_out_mprj\[56\] +*1113 la_data_out_mprj\[57\] +*1114 la_data_out_mprj\[58\] +*1115 la_data_out_mprj\[59\] +*1116 la_data_out_mprj\[5\] +*1117 la_data_out_mprj\[60\] +*1118 la_data_out_mprj\[61\] +*1119 la_data_out_mprj\[62\] +*1120 la_data_out_mprj\[63\] +*1121 la_data_out_mprj\[64\] +*1122 la_data_out_mprj\[65\] +*1123 la_data_out_mprj\[66\] +*1124 la_data_out_mprj\[67\] +*1125 la_data_out_mprj\[68\] +*1126 la_data_out_mprj\[69\] +*1127 la_data_out_mprj\[6\] +*1128 la_data_out_mprj\[70\] +*1129 la_data_out_mprj\[71\] +*1130 la_data_out_mprj\[72\] +*1131 la_data_out_mprj\[73\] +*1132 la_data_out_mprj\[74\] +*1133 la_data_out_mprj\[75\] +*1134 la_data_out_mprj\[76\] +*1135 la_data_out_mprj\[77\] +*1136 la_data_out_mprj\[78\] +*1137 la_data_out_mprj\[79\] +*1138 la_data_out_mprj\[7\] +*1139 la_data_out_mprj\[80\] +*1140 la_data_out_mprj\[81\] +*1141 la_data_out_mprj\[82\] +*1142 la_data_out_mprj\[83\] +*1143 la_data_out_mprj\[84\] +*1144 la_data_out_mprj\[85\] +*1145 la_data_out_mprj\[86\] +*1146 la_data_out_mprj\[87\] +*1147 la_data_out_mprj\[88\] +*1148 la_data_out_mprj\[89\] +*1149 la_data_out_mprj\[8\] +*1150 la_data_out_mprj\[90\] +*1151 la_data_out_mprj\[91\] +*1152 la_data_out_mprj\[92\] +*1153 la_data_out_mprj\[93\] +*1154 la_data_out_mprj\[94\] +*1155 la_data_out_mprj\[95\] +*1156 la_data_out_mprj\[96\] +*1157 la_data_out_mprj\[97\] +*1158 la_data_out_mprj\[98\] +*1159 la_data_out_mprj\[99\] +*1160 la_data_out_mprj\[9\] +*1161 la_data_out_user\[0\] +*1162 la_data_out_user\[100\] +*1163 la_data_out_user\[101\] +*1164 la_data_out_user\[102\] +*1165 la_data_out_user\[103\] +*1166 la_data_out_user\[104\] +*1167 la_data_out_user\[105\] +*1168 la_data_out_user\[106\] +*1169 la_data_out_user\[107\] +*1170 la_data_out_user\[108\] +*1171 la_data_out_user\[109\] +*1172 la_data_out_user\[10\] +*1173 la_data_out_user\[110\] +*1174 la_data_out_user\[111\] +*1175 la_data_out_user\[112\] +*1176 la_data_out_user\[113\] +*1177 la_data_out_user\[114\] +*1178 la_data_out_user\[115\] +*1179 la_data_out_user\[116\] +*1180 la_data_out_user\[117\] +*1181 la_data_out_user\[118\] +*1182 la_data_out_user\[119\] +*1183 la_data_out_user\[11\] +*1184 la_data_out_user\[120\] +*1185 la_data_out_user\[121\] +*1186 la_data_out_user\[122\] +*1187 la_data_out_user\[123\] +*1188 la_data_out_user\[124\] +*1189 la_data_out_user\[125\] +*1190 la_data_out_user\[126\] +*1191 la_data_out_user\[127\] +*1192 la_data_out_user\[12\] +*1193 la_data_out_user\[13\] +*1194 la_data_out_user\[14\] +*1195 la_data_out_user\[15\] +*1196 la_data_out_user\[16\] +*1197 la_data_out_user\[17\] +*1198 la_data_out_user\[18\] +*1199 la_data_out_user\[19\] +*1200 la_data_out_user\[1\] +*1201 la_data_out_user\[20\] +*1202 la_data_out_user\[21\] +*1203 la_data_out_user\[22\] +*1204 la_data_out_user\[23\] +*1205 la_data_out_user\[24\] +*1206 la_data_out_user\[25\] +*1207 la_data_out_user\[26\] +*1208 la_data_out_user\[27\] +*1209 la_data_out_user\[28\] +*1210 la_data_out_user\[29\] +*1211 la_data_out_user\[2\] +*1212 la_data_out_user\[30\] +*1213 la_data_out_user\[31\] +*1214 la_data_out_user\[32\] +*1215 la_data_out_user\[33\] +*1216 la_data_out_user\[34\] +*1217 la_data_out_user\[35\] +*1218 la_data_out_user\[36\] +*1219 la_data_out_user\[37\] +*1220 la_data_out_user\[38\] +*1221 la_data_out_user\[39\] +*1222 la_data_out_user\[3\] +*1223 la_data_out_user\[40\] +*1224 la_data_out_user\[41\] +*1225 la_data_out_user\[42\] +*1226 la_data_out_user\[43\] +*1227 la_data_out_user\[44\] +*1228 la_data_out_user\[45\] +*1229 la_data_out_user\[46\] +*1230 la_data_out_user\[47\] +*1231 la_data_out_user\[48\] +*1232 la_data_out_user\[49\] +*1233 la_data_out_user\[4\] +*1234 la_data_out_user\[50\] +*1235 la_data_out_user\[51\] +*1236 la_data_out_user\[52\] +*1237 la_data_out_user\[53\] +*1238 la_data_out_user\[54\] +*1239 la_data_out_user\[55\] +*1240 la_data_out_user\[56\] +*1241 la_data_out_user\[57\] +*1242 la_data_out_user\[58\] +*1243 la_data_out_user\[59\] +*1244 la_data_out_user\[5\] +*1245 la_data_out_user\[60\] +*1246 la_data_out_user\[61\] +*1247 la_data_out_user\[62\] +*1248 la_data_out_user\[63\] +*1249 la_data_out_user\[64\] +*1250 la_data_out_user\[65\] +*1251 la_data_out_user\[66\] +*1252 la_data_out_user\[67\] +*1253 la_data_out_user\[68\] +*1254 la_data_out_user\[69\] +*1255 la_data_out_user\[6\] +*1256 la_data_out_user\[70\] +*1257 la_data_out_user\[71\] +*1258 la_data_out_user\[72\] +*1259 la_data_out_user\[73\] +*1260 la_data_out_user\[74\] +*1261 la_data_out_user\[75\] +*1262 la_data_out_user\[76\] +*1263 la_data_out_user\[77\] +*1264 la_data_out_user\[78\] +*1265 la_data_out_user\[79\] +*1266 la_data_out_user\[7\] +*1267 la_data_out_user\[80\] +*1268 la_data_out_user\[81\] +*1269 la_data_out_user\[82\] +*1270 la_data_out_user\[83\] +*1271 la_data_out_user\[84\] +*1272 la_data_out_user\[85\] +*1273 la_data_out_user\[86\] +*1274 la_data_out_user\[87\] +*1275 la_data_out_user\[88\] +*1276 la_data_out_user\[89\] +*1277 la_data_out_user\[8\] +*1278 la_data_out_user\[90\] +*1279 la_data_out_user\[91\] +*1280 la_data_out_user\[92\] +*1281 la_data_out_user\[93\] +*1282 la_data_out_user\[94\] +*1283 la_data_out_user\[95\] +*1284 la_data_out_user\[96\] +*1285 la_data_out_user\[97\] +*1286 la_data_out_user\[98\] +*1287 la_data_out_user\[99\] +*1288 la_data_out_user\[9\] +*1289 la_iena_mprj\[0\] +*1290 la_iena_mprj\[100\] +*1291 la_iena_mprj\[101\] +*1292 la_iena_mprj\[102\] +*1293 la_iena_mprj\[103\] +*1294 la_iena_mprj\[104\] +*1295 la_iena_mprj\[105\] +*1296 la_iena_mprj\[106\] +*1297 la_iena_mprj\[107\] +*1298 la_iena_mprj\[108\] +*1299 la_iena_mprj\[109\] +*1300 la_iena_mprj\[10\] +*1301 la_iena_mprj\[110\] +*1302 la_iena_mprj\[111\] +*1303 la_iena_mprj\[112\] +*1304 la_iena_mprj\[113\] +*1305 la_iena_mprj\[114\] +*1306 la_iena_mprj\[115\] +*1307 la_iena_mprj\[116\] +*1308 la_iena_mprj\[117\] +*1309 la_iena_mprj\[118\] +*1310 la_iena_mprj\[119\] +*1311 la_iena_mprj\[11\] +*1312 la_iena_mprj\[120\] +*1313 la_iena_mprj\[121\] +*1314 la_iena_mprj\[122\] +*1315 la_iena_mprj\[123\] +*1316 la_iena_mprj\[124\] +*1317 la_iena_mprj\[125\] +*1318 la_iena_mprj\[126\] +*1319 la_iena_mprj\[127\] +*1320 la_iena_mprj\[12\] +*1321 la_iena_mprj\[13\] +*1322 la_iena_mprj\[14\] +*1323 la_iena_mprj\[15\] +*1324 la_iena_mprj\[16\] +*1325 la_iena_mprj\[17\] +*1326 la_iena_mprj\[18\] +*1327 la_iena_mprj\[19\] +*1328 la_iena_mprj\[1\] +*1329 la_iena_mprj\[20\] +*1330 la_iena_mprj\[21\] +*1331 la_iena_mprj\[22\] +*1332 la_iena_mprj\[23\] +*1333 la_iena_mprj\[24\] +*1334 la_iena_mprj\[25\] +*1335 la_iena_mprj\[26\] +*1336 la_iena_mprj\[27\] +*1337 la_iena_mprj\[28\] +*1338 la_iena_mprj\[29\] +*1339 la_iena_mprj\[2\] +*1340 la_iena_mprj\[30\] +*1341 la_iena_mprj\[31\] +*1342 la_iena_mprj\[32\] +*1343 la_iena_mprj\[33\] +*1344 la_iena_mprj\[34\] +*1345 la_iena_mprj\[35\] +*1346 la_iena_mprj\[36\] +*1347 la_iena_mprj\[37\] +*1348 la_iena_mprj\[38\] +*1349 la_iena_mprj\[39\] +*1350 la_iena_mprj\[3\] +*1351 la_iena_mprj\[40\] +*1352 la_iena_mprj\[41\] +*1353 la_iena_mprj\[42\] +*1354 la_iena_mprj\[43\] +*1355 la_iena_mprj\[44\] +*1356 la_iena_mprj\[45\] +*1357 la_iena_mprj\[46\] +*1358 la_iena_mprj\[47\] +*1359 la_iena_mprj\[48\] +*1360 la_iena_mprj\[49\] +*1361 la_iena_mprj\[4\] +*1362 la_iena_mprj\[50\] +*1363 la_iena_mprj\[51\] +*1364 la_iena_mprj\[52\] +*1365 la_iena_mprj\[53\] +*1366 la_iena_mprj\[54\] +*1367 la_iena_mprj\[55\] +*1368 la_iena_mprj\[56\] +*1369 la_iena_mprj\[57\] +*1370 la_iena_mprj\[58\] +*1371 la_iena_mprj\[59\] +*1372 la_iena_mprj\[5\] +*1373 la_iena_mprj\[60\] +*1374 la_iena_mprj\[61\] +*1375 la_iena_mprj\[62\] +*1376 la_iena_mprj\[63\] +*1377 la_iena_mprj\[64\] +*1378 la_iena_mprj\[65\] +*1379 la_iena_mprj\[66\] +*1380 la_iena_mprj\[67\] +*1381 la_iena_mprj\[68\] +*1382 la_iena_mprj\[69\] +*1383 la_iena_mprj\[6\] +*1384 la_iena_mprj\[70\] +*1385 la_iena_mprj\[71\] +*1386 la_iena_mprj\[72\] +*1387 la_iena_mprj\[73\] +*1388 la_iena_mprj\[74\] +*1389 la_iena_mprj\[75\] +*1390 la_iena_mprj\[76\] +*1391 la_iena_mprj\[77\] +*1392 la_iena_mprj\[78\] +*1393 la_iena_mprj\[79\] +*1394 la_iena_mprj\[7\] +*1395 la_iena_mprj\[80\] +*1396 la_iena_mprj\[81\] +*1397 la_iena_mprj\[82\] +*1398 la_iena_mprj\[83\] +*1399 la_iena_mprj\[84\] +*1400 la_iena_mprj\[85\] +*1401 la_iena_mprj\[86\] +*1402 la_iena_mprj\[87\] +*1403 la_iena_mprj\[88\] +*1404 la_iena_mprj\[89\] +*1405 la_iena_mprj\[8\] +*1406 la_iena_mprj\[90\] +*1407 la_iena_mprj\[91\] +*1408 la_iena_mprj\[92\] +*1409 la_iena_mprj\[93\] +*1410 la_iena_mprj\[94\] +*1411 la_iena_mprj\[95\] +*1412 la_iena_mprj\[96\] +*1413 la_iena_mprj\[97\] +*1414 la_iena_mprj\[98\] +*1415 la_iena_mprj\[99\] +*1416 la_iena_mprj\[9\] +*1417 la_oenb_mprj\[0\] +*1418 la_oenb_mprj\[100\] +*1419 la_oenb_mprj\[101\] +*1420 la_oenb_mprj\[102\] +*1421 la_oenb_mprj\[103\] +*1422 la_oenb_mprj\[104\] +*1423 la_oenb_mprj\[105\] +*1424 la_oenb_mprj\[106\] +*1425 la_oenb_mprj\[107\] +*1426 la_oenb_mprj\[108\] +*1427 la_oenb_mprj\[109\] +*1428 la_oenb_mprj\[10\] +*1429 la_oenb_mprj\[110\] +*1430 la_oenb_mprj\[111\] +*1431 la_oenb_mprj\[112\] +*1432 la_oenb_mprj\[113\] +*1433 la_oenb_mprj\[114\] +*1434 la_oenb_mprj\[115\] +*1435 la_oenb_mprj\[116\] +*1436 la_oenb_mprj\[117\] +*1437 la_oenb_mprj\[118\] +*1438 la_oenb_mprj\[119\] +*1439 la_oenb_mprj\[11\] +*1440 la_oenb_mprj\[120\] +*1441 la_oenb_mprj\[121\] +*1442 la_oenb_mprj\[122\] +*1443 la_oenb_mprj\[123\] +*1444 la_oenb_mprj\[124\] +*1445 la_oenb_mprj\[125\] +*1446 la_oenb_mprj\[126\] +*1447 la_oenb_mprj\[127\] +*1448 la_oenb_mprj\[12\] +*1449 la_oenb_mprj\[13\] +*1450 la_oenb_mprj\[14\] +*1451 la_oenb_mprj\[15\] +*1452 la_oenb_mprj\[16\] +*1453 la_oenb_mprj\[17\] +*1454 la_oenb_mprj\[18\] +*1455 la_oenb_mprj\[19\] +*1456 la_oenb_mprj\[1\] +*1457 la_oenb_mprj\[20\] +*1458 la_oenb_mprj\[21\] +*1459 la_oenb_mprj\[22\] +*1460 la_oenb_mprj\[23\] +*1461 la_oenb_mprj\[24\] +*1462 la_oenb_mprj\[25\] +*1463 la_oenb_mprj\[26\] +*1464 la_oenb_mprj\[27\] +*1465 la_oenb_mprj\[28\] +*1466 la_oenb_mprj\[29\] +*1467 la_oenb_mprj\[2\] +*1468 la_oenb_mprj\[30\] +*1469 la_oenb_mprj\[31\] +*1470 la_oenb_mprj\[32\] +*1471 la_oenb_mprj\[33\] +*1472 la_oenb_mprj\[34\] +*1473 la_oenb_mprj\[35\] +*1474 la_oenb_mprj\[36\] +*1475 la_oenb_mprj\[37\] +*1476 la_oenb_mprj\[38\] +*1477 la_oenb_mprj\[39\] +*1478 la_oenb_mprj\[3\] +*1479 la_oenb_mprj\[40\] +*1480 la_oenb_mprj\[41\] +*1481 la_oenb_mprj\[42\] +*1482 la_oenb_mprj\[43\] +*1483 la_oenb_mprj\[44\] +*1484 la_oenb_mprj\[45\] +*1485 la_oenb_mprj\[46\] +*1486 la_oenb_mprj\[47\] +*1487 la_oenb_mprj\[48\] +*1488 la_oenb_mprj\[49\] +*1489 la_oenb_mprj\[4\] +*1490 la_oenb_mprj\[50\] +*1491 la_oenb_mprj\[51\] +*1492 la_oenb_mprj\[52\] +*1493 la_oenb_mprj\[53\] +*1494 la_oenb_mprj\[54\] +*1495 la_oenb_mprj\[55\] +*1496 la_oenb_mprj\[56\] +*1497 la_oenb_mprj\[57\] +*1498 la_oenb_mprj\[58\] +*1499 la_oenb_mprj\[59\] +*1500 la_oenb_mprj\[5\] +*1501 la_oenb_mprj\[60\] +*1502 la_oenb_mprj\[61\] +*1503 la_oenb_mprj\[62\] +*1504 la_oenb_mprj\[63\] +*1505 la_oenb_mprj\[64\] +*1506 la_oenb_mprj\[65\] +*1507 la_oenb_mprj\[66\] +*1508 la_oenb_mprj\[67\] +*1509 la_oenb_mprj\[68\] +*1510 la_oenb_mprj\[69\] +*1511 la_oenb_mprj\[6\] +*1512 la_oenb_mprj\[70\] +*1513 la_oenb_mprj\[71\] +*1514 la_oenb_mprj\[72\] +*1515 la_oenb_mprj\[73\] +*1516 la_oenb_mprj\[74\] +*1517 la_oenb_mprj\[75\] +*1518 la_oenb_mprj\[76\] +*1519 la_oenb_mprj\[77\] +*1520 la_oenb_mprj\[78\] +*1521 la_oenb_mprj\[79\] +*1522 la_oenb_mprj\[7\] +*1523 la_oenb_mprj\[80\] +*1524 la_oenb_mprj\[81\] +*1525 la_oenb_mprj\[82\] +*1526 la_oenb_mprj\[83\] +*1527 la_oenb_mprj\[84\] +*1528 la_oenb_mprj\[85\] +*1529 la_oenb_mprj\[86\] +*1530 la_oenb_mprj\[87\] +*1531 la_oenb_mprj\[88\] +*1532 la_oenb_mprj\[89\] +*1533 la_oenb_mprj\[8\] +*1534 la_oenb_mprj\[90\] +*1535 la_oenb_mprj\[91\] +*1536 la_oenb_mprj\[92\] +*1537 la_oenb_mprj\[93\] +*1538 la_oenb_mprj\[94\] +*1539 la_oenb_mprj\[95\] +*1540 la_oenb_mprj\[96\] +*1541 la_oenb_mprj\[97\] +*1542 la_oenb_mprj\[98\] +*1543 la_oenb_mprj\[99\] +*1544 la_oenb_mprj\[9\] +*1545 la_oenb_user\[0\] +*1546 la_oenb_user\[100\] +*1547 la_oenb_user\[101\] +*1548 la_oenb_user\[102\] +*1549 la_oenb_user\[103\] +*1550 la_oenb_user\[104\] +*1551 la_oenb_user\[105\] +*1552 la_oenb_user\[106\] +*1553 la_oenb_user\[107\] +*1554 la_oenb_user\[108\] +*1555 la_oenb_user\[109\] +*1556 la_oenb_user\[10\] +*1557 la_oenb_user\[110\] +*1558 la_oenb_user\[111\] +*1559 la_oenb_user\[112\] +*1560 la_oenb_user\[113\] +*1561 la_oenb_user\[114\] +*1562 la_oenb_user\[115\] +*1563 la_oenb_user\[116\] +*1564 la_oenb_user\[117\] +*1565 la_oenb_user\[118\] +*1566 la_oenb_user\[119\] +*1567 la_oenb_user\[11\] +*1568 la_oenb_user\[120\] +*1569 la_oenb_user\[121\] +*1570 la_oenb_user\[122\] +*1571 la_oenb_user\[123\] +*1572 la_oenb_user\[124\] +*1573 la_oenb_user\[125\] +*1574 la_oenb_user\[126\] +*1575 la_oenb_user\[127\] +*1576 la_oenb_user\[12\] +*1577 la_oenb_user\[13\] +*1578 la_oenb_user\[14\] +*1579 la_oenb_user\[15\] +*1580 la_oenb_user\[16\] +*1581 la_oenb_user\[17\] +*1582 la_oenb_user\[18\] +*1583 la_oenb_user\[19\] +*1584 la_oenb_user\[1\] +*1585 la_oenb_user\[20\] +*1586 la_oenb_user\[21\] +*1587 la_oenb_user\[22\] +*1588 la_oenb_user\[23\] +*1589 la_oenb_user\[24\] +*1590 la_oenb_user\[25\] +*1591 la_oenb_user\[26\] +*1592 la_oenb_user\[27\] +*1593 la_oenb_user\[28\] +*1594 la_oenb_user\[29\] +*1595 la_oenb_user\[2\] +*1596 la_oenb_user\[30\] +*1597 la_oenb_user\[31\] +*1598 la_oenb_user\[32\] +*1599 la_oenb_user\[33\] +*1600 la_oenb_user\[34\] +*1601 la_oenb_user\[35\] +*1602 la_oenb_user\[36\] +*1603 la_oenb_user\[37\] +*1604 la_oenb_user\[38\] +*1605 la_oenb_user\[39\] +*1606 la_oenb_user\[3\] +*1607 la_oenb_user\[40\] +*1608 la_oenb_user\[41\] +*1609 la_oenb_user\[42\] +*1610 la_oenb_user\[43\] +*1611 la_oenb_user\[44\] +*1612 la_oenb_user\[45\] +*1613 la_oenb_user\[46\] +*1614 la_oenb_user\[47\] +*1615 la_oenb_user\[48\] +*1616 la_oenb_user\[49\] +*1617 la_oenb_user\[4\] +*1618 la_oenb_user\[50\] +*1619 la_oenb_user\[51\] +*1620 la_oenb_user\[52\] +*1621 la_oenb_user\[53\] +*1622 la_oenb_user\[54\] +*1623 la_oenb_user\[55\] +*1624 la_oenb_user\[56\] +*1625 la_oenb_user\[57\] +*1626 la_oenb_user\[58\] +*1627 la_oenb_user\[59\] +*1628 la_oenb_user\[5\] +*1629 la_oenb_user\[60\] +*1630 la_oenb_user\[61\] +*1631 la_oenb_user\[62\] +*1632 la_oenb_user\[63\] +*1633 la_oenb_user\[64\] +*1634 la_oenb_user\[65\] +*1635 la_oenb_user\[66\] +*1636 la_oenb_user\[67\] +*1637 la_oenb_user\[68\] +*1638 la_oenb_user\[69\] +*1639 la_oenb_user\[6\] +*1640 la_oenb_user\[70\] +*1641 la_oenb_user\[71\] +*1642 la_oenb_user\[72\] +*1643 la_oenb_user\[73\] +*1644 la_oenb_user\[74\] +*1645 la_oenb_user\[75\] +*1646 la_oenb_user\[76\] +*1647 la_oenb_user\[77\] +*1648 la_oenb_user\[78\] +*1649 la_oenb_user\[79\] +*1650 la_oenb_user\[7\] +*1651 la_oenb_user\[80\] +*1652 la_oenb_user\[81\] +*1653 la_oenb_user\[82\] +*1654 la_oenb_user\[83\] +*1655 la_oenb_user\[84\] +*1656 la_oenb_user\[85\] +*1657 la_oenb_user\[86\] +*1658 la_oenb_user\[87\] +*1659 la_oenb_user\[88\] +*1660 la_oenb_user\[89\] +*1661 la_oenb_user\[8\] +*1662 la_oenb_user\[90\] +*1663 la_oenb_user\[91\] +*1664 la_oenb_user\[92\] +*1665 la_oenb_user\[93\] +*1666 la_oenb_user\[94\] +*1667 la_oenb_user\[95\] +*1668 la_oenb_user\[96\] +*1669 la_oenb_user\[97\] +*1670 la_oenb_user\[98\] +*1671 la_oenb_user\[99\] +*1672 la_oenb_user\[9\] +*1673 mask_rev\[0\] +*1674 mask_rev\[10\] +*1675 mask_rev\[11\] +*1676 mask_rev\[12\] +*1677 mask_rev\[13\] +*1678 mask_rev\[14\] +*1679 mask_rev\[15\] +*1680 mask_rev\[16\] +*1681 mask_rev\[17\] +*1682 mask_rev\[18\] +*1683 mask_rev\[19\] +*1684 mask_rev\[1\] +*1685 mask_rev\[20\] +*1686 mask_rev\[21\] +*1687 mask_rev\[22\] +*1688 mask_rev\[23\] +*1689 mask_rev\[24\] +*1690 mask_rev\[25\] +*1691 mask_rev\[26\] +*1692 mask_rev\[27\] +*1693 mask_rev\[28\] +*1694 mask_rev\[29\] +*1695 mask_rev\[2\] +*1696 mask_rev\[30\] +*1697 mask_rev\[31\] +*1698 mask_rev\[3\] +*1699 mask_rev\[4\] +*1700 mask_rev\[5\] +*1701 mask_rev\[6\] +*1702 mask_rev\[7\] +*1703 mask_rev\[8\] +*1704 mask_rev\[9\] +*1705 mgmt_io_in\[0\] +*1706 mgmt_io_in\[10\] +*1707 mgmt_io_in\[11\] +*1708 mgmt_io_in\[12\] +*1709 mgmt_io_in\[13\] +*1710 mgmt_io_in\[14\] +*1711 mgmt_io_in\[15\] +*1712 mgmt_io_in\[16\] +*1713 mgmt_io_in\[17\] +*1714 mgmt_io_in\[18\] +*1715 mgmt_io_in\[19\] +*1716 mgmt_io_in\[1\] +*1717 mgmt_io_in\[20\] +*1718 mgmt_io_in\[21\] +*1719 mgmt_io_in\[22\] +*1720 mgmt_io_in\[23\] +*1721 mgmt_io_in\[24\] +*1722 mgmt_io_in\[25\] +*1723 mgmt_io_in\[26\] +*1724 mgmt_io_in\[27\] +*1725 mgmt_io_in\[28\] +*1726 mgmt_io_in\[29\] +*1727 mgmt_io_in\[2\] +*1728 mgmt_io_in\[30\] +*1729 mgmt_io_in\[31\] +*1730 mgmt_io_in\[32\] +*1731 mgmt_io_in\[33\] +*1732 mgmt_io_in\[34\] +*1733 mgmt_io_in\[35\] +*1734 mgmt_io_in\[36\] +*1735 mgmt_io_in\[37\] +*1736 mgmt_io_in\[3\] +*1737 mgmt_io_in\[4\] +*1738 mgmt_io_in\[5\] +*1739 mgmt_io_in\[6\] +*1740 mgmt_io_in\[7\] +*1741 mgmt_io_in\[8\] +*1742 mgmt_io_in\[9\] +*1743 mgmt_io_oeb\[0\] +*1744 mgmt_io_oeb\[1\] +*1745 mgmt_io_oeb\[2\] +*1746 mgmt_io_oeb\[3\] +*1747 mgmt_io_oeb\[4\] +*1748 mgmt_io_out\[0\] +*1749 mgmt_io_out\[1\] +*1750 mgmt_io_out\[2\] +*1751 mgmt_io_out\[3\] +*1752 mgmt_io_out\[4\] +*1753 mprj2_vcc_pwrgood +*1754 mprj2_vdd_pwrgood +*1755 mprj_ack_i_core +*1756 mprj_ack_i_user +*1757 mprj_adr_o_core\[0\] +*1758 mprj_adr_o_core\[10\] +*1759 mprj_adr_o_core\[11\] +*1760 mprj_adr_o_core\[12\] +*1761 mprj_adr_o_core\[13\] +*1762 mprj_adr_o_core\[14\] +*1763 mprj_adr_o_core\[15\] +*1764 mprj_adr_o_core\[16\] +*1765 mprj_adr_o_core\[17\] +*1766 mprj_adr_o_core\[18\] +*1767 mprj_adr_o_core\[19\] +*1768 mprj_adr_o_core\[1\] +*1769 mprj_adr_o_core\[20\] +*1770 mprj_adr_o_core\[21\] +*1771 mprj_adr_o_core\[22\] +*1772 mprj_adr_o_core\[23\] +*1773 mprj_adr_o_core\[24\] +*1774 mprj_adr_o_core\[25\] +*1775 mprj_adr_o_core\[26\] +*1776 mprj_adr_o_core\[27\] +*1777 mprj_adr_o_core\[28\] +*1778 mprj_adr_o_core\[29\] +*1779 mprj_adr_o_core\[2\] +*1780 mprj_adr_o_core\[30\] +*1781 mprj_adr_o_core\[31\] +*1782 mprj_adr_o_core\[3\] +*1783 mprj_adr_o_core\[4\] +*1784 mprj_adr_o_core\[5\] +*1785 mprj_adr_o_core\[6\] +*1786 mprj_adr_o_core\[7\] +*1787 mprj_adr_o_core\[8\] +*1788 mprj_adr_o_core\[9\] +*1789 mprj_adr_o_user\[0\] +*1790 mprj_adr_o_user\[10\] +*1791 mprj_adr_o_user\[11\] +*1792 mprj_adr_o_user\[12\] +*1793 mprj_adr_o_user\[13\] +*1794 mprj_adr_o_user\[14\] +*1795 mprj_adr_o_user\[15\] +*1796 mprj_adr_o_user\[16\] +*1797 mprj_adr_o_user\[17\] +*1798 mprj_adr_o_user\[18\] +*1799 mprj_adr_o_user\[19\] +*1800 mprj_adr_o_user\[1\] +*1801 mprj_adr_o_user\[20\] +*1802 mprj_adr_o_user\[21\] +*1803 mprj_adr_o_user\[22\] +*1804 mprj_adr_o_user\[23\] +*1805 mprj_adr_o_user\[24\] +*1806 mprj_adr_o_user\[25\] +*1807 mprj_adr_o_user\[26\] +*1808 mprj_adr_o_user\[27\] +*1809 mprj_adr_o_user\[28\] +*1810 mprj_adr_o_user\[29\] +*1811 mprj_adr_o_user\[2\] +*1812 mprj_adr_o_user\[30\] +*1813 mprj_adr_o_user\[31\] +*1814 mprj_adr_o_user\[3\] +*1815 mprj_adr_o_user\[4\] +*1816 mprj_adr_o_user\[5\] +*1817 mprj_adr_o_user\[6\] +*1818 mprj_adr_o_user\[7\] +*1819 mprj_adr_o_user\[8\] +*1820 mprj_adr_o_user\[9\] +*1821 mprj_clock +*1822 mprj_clock2 +*1823 mprj_cyc_o_core +*1824 mprj_cyc_o_user +*1825 mprj_dat_i_core\[0\] +*1826 mprj_dat_i_core\[10\] +*1827 mprj_dat_i_core\[11\] +*1828 mprj_dat_i_core\[12\] +*1829 mprj_dat_i_core\[13\] +*1830 mprj_dat_i_core\[14\] +*1831 mprj_dat_i_core\[15\] +*1832 mprj_dat_i_core\[16\] +*1833 mprj_dat_i_core\[17\] +*1834 mprj_dat_i_core\[18\] +*1835 mprj_dat_i_core\[19\] +*1836 mprj_dat_i_core\[1\] +*1837 mprj_dat_i_core\[20\] +*1838 mprj_dat_i_core\[21\] +*1839 mprj_dat_i_core\[22\] +*1840 mprj_dat_i_core\[23\] +*1841 mprj_dat_i_core\[24\] +*1842 mprj_dat_i_core\[25\] +*1843 mprj_dat_i_core\[26\] +*1844 mprj_dat_i_core\[27\] +*1845 mprj_dat_i_core\[28\] +*1846 mprj_dat_i_core\[29\] +*1847 mprj_dat_i_core\[2\] +*1848 mprj_dat_i_core\[30\] +*1849 mprj_dat_i_core\[31\] +*1850 mprj_dat_i_core\[3\] +*1851 mprj_dat_i_core\[4\] +*1852 mprj_dat_i_core\[5\] +*1853 mprj_dat_i_core\[6\] +*1854 mprj_dat_i_core\[7\] +*1855 mprj_dat_i_core\[8\] +*1856 mprj_dat_i_core\[9\] +*1857 mprj_dat_i_user\[0\] +*1858 mprj_dat_i_user\[10\] +*1859 mprj_dat_i_user\[11\] +*1860 mprj_dat_i_user\[12\] +*1861 mprj_dat_i_user\[13\] +*1862 mprj_dat_i_user\[14\] +*1863 mprj_dat_i_user\[15\] +*1864 mprj_dat_i_user\[16\] +*1865 mprj_dat_i_user\[17\] +*1866 mprj_dat_i_user\[18\] +*1867 mprj_dat_i_user\[19\] +*1868 mprj_dat_i_user\[1\] +*1869 mprj_dat_i_user\[20\] +*1870 mprj_dat_i_user\[21\] +*1871 mprj_dat_i_user\[22\] +*1872 mprj_dat_i_user\[23\] +*1873 mprj_dat_i_user\[24\] +*1874 mprj_dat_i_user\[25\] +*1875 mprj_dat_i_user\[26\] +*1876 mprj_dat_i_user\[27\] +*1877 mprj_dat_i_user\[28\] +*1878 mprj_dat_i_user\[29\] +*1879 mprj_dat_i_user\[2\] +*1880 mprj_dat_i_user\[30\] +*1881 mprj_dat_i_user\[31\] +*1882 mprj_dat_i_user\[3\] +*1883 mprj_dat_i_user\[4\] +*1884 mprj_dat_i_user\[5\] +*1885 mprj_dat_i_user\[6\] +*1886 mprj_dat_i_user\[7\] +*1887 mprj_dat_i_user\[8\] +*1888 mprj_dat_i_user\[9\] +*1889 mprj_dat_o_core\[0\] +*1890 mprj_dat_o_core\[10\] +*1891 mprj_dat_o_core\[11\] +*1892 mprj_dat_o_core\[12\] +*1893 mprj_dat_o_core\[13\] +*1894 mprj_dat_o_core\[14\] +*1895 mprj_dat_o_core\[15\] +*1896 mprj_dat_o_core\[16\] +*1897 mprj_dat_o_core\[17\] +*1898 mprj_dat_o_core\[18\] +*1899 mprj_dat_o_core\[19\] +*1900 mprj_dat_o_core\[1\] +*1901 mprj_dat_o_core\[20\] +*1902 mprj_dat_o_core\[21\] +*1903 mprj_dat_o_core\[22\] +*1904 mprj_dat_o_core\[23\] +*1905 mprj_dat_o_core\[24\] +*1906 mprj_dat_o_core\[25\] +*1907 mprj_dat_o_core\[26\] +*1908 mprj_dat_o_core\[27\] +*1909 mprj_dat_o_core\[28\] +*1910 mprj_dat_o_core\[29\] +*1911 mprj_dat_o_core\[2\] +*1912 mprj_dat_o_core\[30\] +*1913 mprj_dat_o_core\[31\] +*1914 mprj_dat_o_core\[3\] +*1915 mprj_dat_o_core\[4\] +*1916 mprj_dat_o_core\[5\] +*1917 mprj_dat_o_core\[6\] +*1918 mprj_dat_o_core\[7\] +*1919 mprj_dat_o_core\[8\] +*1920 mprj_dat_o_core\[9\] +*1921 mprj_dat_o_user\[0\] +*1922 mprj_dat_o_user\[10\] +*1923 mprj_dat_o_user\[11\] +*1924 mprj_dat_o_user\[12\] +*1925 mprj_dat_o_user\[13\] +*1926 mprj_dat_o_user\[14\] +*1927 mprj_dat_o_user\[15\] +*1928 mprj_dat_o_user\[16\] +*1929 mprj_dat_o_user\[17\] +*1930 mprj_dat_o_user\[18\] +*1931 mprj_dat_o_user\[19\] +*1932 mprj_dat_o_user\[1\] +*1933 mprj_dat_o_user\[20\] +*1934 mprj_dat_o_user\[21\] +*1935 mprj_dat_o_user\[22\] +*1936 mprj_dat_o_user\[23\] +*1937 mprj_dat_o_user\[24\] +*1938 mprj_dat_o_user\[25\] +*1939 mprj_dat_o_user\[26\] +*1940 mprj_dat_o_user\[27\] +*1941 mprj_dat_o_user\[28\] +*1942 mprj_dat_o_user\[29\] +*1943 mprj_dat_o_user\[2\] +*1944 mprj_dat_o_user\[30\] +*1945 mprj_dat_o_user\[31\] +*1946 mprj_dat_o_user\[3\] +*1947 mprj_dat_o_user\[4\] +*1948 mprj_dat_o_user\[5\] +*1949 mprj_dat_o_user\[6\] +*1950 mprj_dat_o_user\[7\] +*1951 mprj_dat_o_user\[8\] +*1952 mprj_dat_o_user\[9\] +*1953 mprj_iena_wb +*1954 mprj_io_analog_en\[0\] +*1955 mprj_io_analog_en\[10\] +*1956 mprj_io_analog_en\[11\] +*1957 mprj_io_analog_en\[12\] +*1958 mprj_io_analog_en\[13\] +*1959 mprj_io_analog_en\[14\] +*1960 mprj_io_analog_en\[15\] +*1961 mprj_io_analog_en\[16\] +*1962 mprj_io_analog_en\[17\] +*1963 mprj_io_analog_en\[18\] +*1964 mprj_io_analog_en\[19\] +*1965 mprj_io_analog_en\[1\] +*1966 mprj_io_analog_en\[20\] +*1967 mprj_io_analog_en\[21\] +*1968 mprj_io_analog_en\[22\] +*1969 mprj_io_analog_en\[23\] +*1970 mprj_io_analog_en\[24\] +*1971 mprj_io_analog_en\[25\] +*1972 mprj_io_analog_en\[26\] +*1973 mprj_io_analog_en\[27\] +*1974 mprj_io_analog_en\[28\] +*1975 mprj_io_analog_en\[29\] +*1976 mprj_io_analog_en\[2\] +*1977 mprj_io_analog_en\[30\] +*1978 mprj_io_analog_en\[31\] +*1979 mprj_io_analog_en\[32\] +*1980 mprj_io_analog_en\[33\] +*1981 mprj_io_analog_en\[34\] +*1982 mprj_io_analog_en\[35\] +*1983 mprj_io_analog_en\[36\] +*1984 mprj_io_analog_en\[37\] +*1985 mprj_io_analog_en\[3\] +*1986 mprj_io_analog_en\[4\] +*1987 mprj_io_analog_en\[5\] +*1988 mprj_io_analog_en\[6\] +*1989 mprj_io_analog_en\[7\] +*1990 mprj_io_analog_en\[8\] +*1991 mprj_io_analog_en\[9\] +*1992 mprj_io_analog_pol\[0\] +*1993 mprj_io_analog_pol\[10\] +*1994 mprj_io_analog_pol\[11\] +*1995 mprj_io_analog_pol\[12\] +*1996 mprj_io_analog_pol\[13\] +*1997 mprj_io_analog_pol\[14\] +*1998 mprj_io_analog_pol\[15\] +*1999 mprj_io_analog_pol\[16\] +*2000 mprj_io_analog_pol\[17\] +*2001 mprj_io_analog_pol\[18\] +*2002 mprj_io_analog_pol\[19\] +*2003 mprj_io_analog_pol\[1\] +*2004 mprj_io_analog_pol\[20\] +*2005 mprj_io_analog_pol\[21\] +*2006 mprj_io_analog_pol\[22\] +*2007 mprj_io_analog_pol\[23\] +*2008 mprj_io_analog_pol\[24\] +*2009 mprj_io_analog_pol\[25\] +*2010 mprj_io_analog_pol\[26\] +*2011 mprj_io_analog_pol\[27\] +*2012 mprj_io_analog_pol\[28\] +*2013 mprj_io_analog_pol\[29\] +*2014 mprj_io_analog_pol\[2\] +*2015 mprj_io_analog_pol\[30\] +*2016 mprj_io_analog_pol\[31\] +*2017 mprj_io_analog_pol\[32\] +*2018 mprj_io_analog_pol\[33\] +*2019 mprj_io_analog_pol\[34\] +*2020 mprj_io_analog_pol\[35\] +*2021 mprj_io_analog_pol\[36\] +*2022 mprj_io_analog_pol\[37\] +*2023 mprj_io_analog_pol\[3\] +*2024 mprj_io_analog_pol\[4\] +*2025 mprj_io_analog_pol\[5\] +*2026 mprj_io_analog_pol\[6\] +*2027 mprj_io_analog_pol\[7\] +*2028 mprj_io_analog_pol\[8\] +*2029 mprj_io_analog_pol\[9\] +*2030 mprj_io_analog_sel\[0\] +*2031 mprj_io_analog_sel\[10\] +*2032 mprj_io_analog_sel\[11\] +*2033 mprj_io_analog_sel\[12\] +*2034 mprj_io_analog_sel\[13\] +*2035 mprj_io_analog_sel\[14\] +*2036 mprj_io_analog_sel\[15\] +*2037 mprj_io_analog_sel\[16\] +*2038 mprj_io_analog_sel\[17\] +*2039 mprj_io_analog_sel\[18\] +*2040 mprj_io_analog_sel\[19\] +*2041 mprj_io_analog_sel\[1\] +*2042 mprj_io_analog_sel\[20\] +*2043 mprj_io_analog_sel\[21\] +*2044 mprj_io_analog_sel\[22\] +*2045 mprj_io_analog_sel\[23\] +*2046 mprj_io_analog_sel\[24\] +*2047 mprj_io_analog_sel\[25\] +*2048 mprj_io_analog_sel\[26\] +*2049 mprj_io_analog_sel\[27\] +*2050 mprj_io_analog_sel\[28\] +*2051 mprj_io_analog_sel\[29\] +*2052 mprj_io_analog_sel\[2\] +*2053 mprj_io_analog_sel\[30\] +*2054 mprj_io_analog_sel\[31\] +*2055 mprj_io_analog_sel\[32\] +*2056 mprj_io_analog_sel\[33\] +*2057 mprj_io_analog_sel\[34\] +*2058 mprj_io_analog_sel\[35\] +*2059 mprj_io_analog_sel\[36\] +*2060 mprj_io_analog_sel\[37\] +*2061 mprj_io_analog_sel\[3\] +*2062 mprj_io_analog_sel\[4\] +*2063 mprj_io_analog_sel\[5\] +*2064 mprj_io_analog_sel\[6\] +*2065 mprj_io_analog_sel\[7\] +*2066 mprj_io_analog_sel\[8\] +*2067 mprj_io_analog_sel\[9\] +*2068 mprj_io_dm\[0\] +*2069 mprj_io_dm\[100\] +*2070 mprj_io_dm\[101\] +*2071 mprj_io_dm\[102\] +*2072 mprj_io_dm\[103\] +*2073 mprj_io_dm\[104\] +*2074 mprj_io_dm\[105\] +*2075 mprj_io_dm\[106\] +*2076 mprj_io_dm\[107\] +*2077 mprj_io_dm\[108\] +*2078 mprj_io_dm\[109\] +*2079 mprj_io_dm\[10\] +*2080 mprj_io_dm\[110\] +*2081 mprj_io_dm\[111\] +*2082 mprj_io_dm\[112\] +*2083 mprj_io_dm\[113\] +*2084 mprj_io_dm\[11\] +*2085 mprj_io_dm\[12\] +*2086 mprj_io_dm\[13\] +*2087 mprj_io_dm\[14\] +*2088 mprj_io_dm\[15\] +*2089 mprj_io_dm\[16\] +*2090 mprj_io_dm\[17\] +*2091 mprj_io_dm\[18\] +*2092 mprj_io_dm\[19\] +*2093 mprj_io_dm\[1\] +*2094 mprj_io_dm\[20\] +*2095 mprj_io_dm\[21\] +*2096 mprj_io_dm\[22\] +*2097 mprj_io_dm\[23\] +*2098 mprj_io_dm\[24\] +*2099 mprj_io_dm\[25\] +*2100 mprj_io_dm\[26\] +*2101 mprj_io_dm\[27\] +*2102 mprj_io_dm\[28\] +*2103 mprj_io_dm\[29\] +*2104 mprj_io_dm\[2\] +*2105 mprj_io_dm\[30\] +*2106 mprj_io_dm\[31\] +*2107 mprj_io_dm\[32\] +*2108 mprj_io_dm\[33\] +*2109 mprj_io_dm\[34\] +*2110 mprj_io_dm\[35\] +*2111 mprj_io_dm\[36\] +*2112 mprj_io_dm\[37\] +*2113 mprj_io_dm\[38\] +*2114 mprj_io_dm\[39\] +*2115 mprj_io_dm\[3\] +*2116 mprj_io_dm\[40\] +*2117 mprj_io_dm\[41\] +*2118 mprj_io_dm\[42\] +*2119 mprj_io_dm\[43\] +*2120 mprj_io_dm\[44\] +*2121 mprj_io_dm\[45\] +*2122 mprj_io_dm\[46\] +*2123 mprj_io_dm\[47\] +*2124 mprj_io_dm\[48\] +*2125 mprj_io_dm\[49\] +*2126 mprj_io_dm\[4\] +*2127 mprj_io_dm\[50\] +*2128 mprj_io_dm\[51\] +*2129 mprj_io_dm\[52\] +*2130 mprj_io_dm\[53\] +*2131 mprj_io_dm\[54\] +*2132 mprj_io_dm\[55\] +*2133 mprj_io_dm\[56\] +*2134 mprj_io_dm\[57\] +*2135 mprj_io_dm\[58\] +*2136 mprj_io_dm\[59\] +*2137 mprj_io_dm\[5\] +*2138 mprj_io_dm\[60\] +*2139 mprj_io_dm\[61\] +*2140 mprj_io_dm\[62\] +*2141 mprj_io_dm\[63\] +*2142 mprj_io_dm\[64\] +*2143 mprj_io_dm\[65\] +*2144 mprj_io_dm\[66\] +*2145 mprj_io_dm\[67\] +*2146 mprj_io_dm\[68\] +*2147 mprj_io_dm\[69\] +*2148 mprj_io_dm\[6\] +*2149 mprj_io_dm\[70\] +*2150 mprj_io_dm\[71\] +*2151 mprj_io_dm\[72\] +*2152 mprj_io_dm\[73\] +*2153 mprj_io_dm\[74\] +*2154 mprj_io_dm\[75\] +*2155 mprj_io_dm\[76\] +*2156 mprj_io_dm\[77\] +*2157 mprj_io_dm\[78\] +*2158 mprj_io_dm\[79\] +*2159 mprj_io_dm\[7\] +*2160 mprj_io_dm\[80\] +*2161 mprj_io_dm\[81\] +*2162 mprj_io_dm\[82\] +*2163 mprj_io_dm\[83\] +*2164 mprj_io_dm\[84\] +*2165 mprj_io_dm\[85\] +*2166 mprj_io_dm\[86\] +*2167 mprj_io_dm\[87\] +*2168 mprj_io_dm\[88\] +*2169 mprj_io_dm\[89\] +*2170 mprj_io_dm\[8\] +*2171 mprj_io_dm\[90\] +*2172 mprj_io_dm\[91\] +*2173 mprj_io_dm\[92\] +*2174 mprj_io_dm\[93\] +*2175 mprj_io_dm\[94\] +*2176 mprj_io_dm\[95\] +*2177 mprj_io_dm\[96\] +*2178 mprj_io_dm\[97\] +*2179 mprj_io_dm\[98\] +*2180 mprj_io_dm\[99\] +*2181 mprj_io_dm\[9\] +*2182 mprj_io_holdover\[0\] +*2183 mprj_io_holdover\[10\] +*2184 mprj_io_holdover\[11\] +*2185 mprj_io_holdover\[12\] +*2186 mprj_io_holdover\[13\] +*2187 mprj_io_holdover\[14\] +*2188 mprj_io_holdover\[15\] +*2189 mprj_io_holdover\[16\] +*2190 mprj_io_holdover\[17\] +*2191 mprj_io_holdover\[18\] +*2192 mprj_io_holdover\[19\] +*2193 mprj_io_holdover\[1\] +*2194 mprj_io_holdover\[20\] +*2195 mprj_io_holdover\[21\] +*2196 mprj_io_holdover\[22\] +*2197 mprj_io_holdover\[23\] +*2198 mprj_io_holdover\[24\] +*2199 mprj_io_holdover\[25\] +*2200 mprj_io_holdover\[26\] +*2201 mprj_io_holdover\[27\] +*2202 mprj_io_holdover\[28\] +*2203 mprj_io_holdover\[29\] +*2204 mprj_io_holdover\[2\] +*2205 mprj_io_holdover\[30\] +*2206 mprj_io_holdover\[31\] +*2207 mprj_io_holdover\[32\] +*2208 mprj_io_holdover\[33\] +*2209 mprj_io_holdover\[34\] +*2210 mprj_io_holdover\[35\] +*2211 mprj_io_holdover\[36\] +*2212 mprj_io_holdover\[37\] +*2213 mprj_io_holdover\[3\] +*2214 mprj_io_holdover\[4\] +*2215 mprj_io_holdover\[5\] +*2216 mprj_io_holdover\[6\] +*2217 mprj_io_holdover\[7\] +*2218 mprj_io_holdover\[8\] +*2219 mprj_io_holdover\[9\] +*2220 mprj_io_ib_mode_sel\[0\] +*2221 mprj_io_ib_mode_sel\[10\] +*2222 mprj_io_ib_mode_sel\[11\] +*2223 mprj_io_ib_mode_sel\[12\] +*2224 mprj_io_ib_mode_sel\[13\] +*2225 mprj_io_ib_mode_sel\[14\] +*2226 mprj_io_ib_mode_sel\[15\] +*2227 mprj_io_ib_mode_sel\[16\] +*2228 mprj_io_ib_mode_sel\[17\] +*2229 mprj_io_ib_mode_sel\[18\] +*2230 mprj_io_ib_mode_sel\[19\] +*2231 mprj_io_ib_mode_sel\[1\] +*2232 mprj_io_ib_mode_sel\[20\] +*2233 mprj_io_ib_mode_sel\[21\] +*2234 mprj_io_ib_mode_sel\[22\] +*2235 mprj_io_ib_mode_sel\[23\] +*2236 mprj_io_ib_mode_sel\[24\] +*2237 mprj_io_ib_mode_sel\[25\] +*2238 mprj_io_ib_mode_sel\[26\] +*2239 mprj_io_ib_mode_sel\[27\] +*2240 mprj_io_ib_mode_sel\[28\] +*2241 mprj_io_ib_mode_sel\[29\] +*2242 mprj_io_ib_mode_sel\[2\] +*2243 mprj_io_ib_mode_sel\[30\] +*2244 mprj_io_ib_mode_sel\[31\] +*2245 mprj_io_ib_mode_sel\[32\] +*2246 mprj_io_ib_mode_sel\[33\] +*2247 mprj_io_ib_mode_sel\[34\] +*2248 mprj_io_ib_mode_sel\[35\] +*2249 mprj_io_ib_mode_sel\[36\] +*2250 mprj_io_ib_mode_sel\[37\] +*2251 mprj_io_ib_mode_sel\[3\] +*2252 mprj_io_ib_mode_sel\[4\] +*2253 mprj_io_ib_mode_sel\[5\] +*2254 mprj_io_ib_mode_sel\[6\] +*2255 mprj_io_ib_mode_sel\[7\] +*2256 mprj_io_ib_mode_sel\[8\] +*2257 mprj_io_ib_mode_sel\[9\] +*2258 mprj_io_in\[0\] +*2259 mprj_io_in\[10\] +*2260 mprj_io_in\[11\] +*2261 mprj_io_in\[12\] +*2262 mprj_io_in\[13\] +*2263 mprj_io_in\[14\] +*2264 mprj_io_in\[15\] +*2265 mprj_io_in\[16\] +*2266 mprj_io_in\[17\] +*2267 mprj_io_in\[18\] +*2268 mprj_io_in\[19\] +*2269 mprj_io_in\[1\] +*2270 mprj_io_in\[20\] +*2271 mprj_io_in\[21\] +*2272 mprj_io_in\[22\] +*2273 mprj_io_in\[23\] +*2274 mprj_io_in\[24\] +*2275 mprj_io_in\[25\] +*2276 mprj_io_in\[26\] +*2277 mprj_io_in\[27\] +*2278 mprj_io_in\[28\] +*2279 mprj_io_in\[29\] +*2280 mprj_io_in\[2\] +*2281 mprj_io_in\[30\] +*2282 mprj_io_in\[31\] +*2283 mprj_io_in\[32\] +*2284 mprj_io_in\[33\] +*2285 mprj_io_in\[34\] +*2286 mprj_io_in\[35\] +*2287 mprj_io_in\[36\] +*2288 mprj_io_in\[37\] +*2289 mprj_io_in\[3\] +*2290 mprj_io_in\[4\] +*2291 mprj_io_in\[5\] +*2292 mprj_io_in\[6\] +*2293 mprj_io_in\[7\] +*2294 mprj_io_in\[8\] +*2295 mprj_io_in\[9\] +*2296 mprj_io_inp_dis\[0\] +*2297 mprj_io_inp_dis\[10\] +*2298 mprj_io_inp_dis\[11\] +*2299 mprj_io_inp_dis\[12\] +*2300 mprj_io_inp_dis\[13\] +*2301 mprj_io_inp_dis\[14\] +*2302 mprj_io_inp_dis\[15\] +*2303 mprj_io_inp_dis\[16\] +*2304 mprj_io_inp_dis\[17\] +*2305 mprj_io_inp_dis\[18\] +*2306 mprj_io_inp_dis\[19\] +*2307 mprj_io_inp_dis\[1\] +*2308 mprj_io_inp_dis\[20\] +*2309 mprj_io_inp_dis\[21\] +*2310 mprj_io_inp_dis\[22\] +*2311 mprj_io_inp_dis\[23\] +*2312 mprj_io_inp_dis\[24\] +*2313 mprj_io_inp_dis\[25\] +*2314 mprj_io_inp_dis\[26\] +*2315 mprj_io_inp_dis\[27\] +*2316 mprj_io_inp_dis\[28\] +*2317 mprj_io_inp_dis\[29\] +*2318 mprj_io_inp_dis\[2\] +*2319 mprj_io_inp_dis\[30\] +*2320 mprj_io_inp_dis\[31\] +*2321 mprj_io_inp_dis\[32\] +*2322 mprj_io_inp_dis\[33\] +*2323 mprj_io_inp_dis\[34\] +*2324 mprj_io_inp_dis\[35\] +*2325 mprj_io_inp_dis\[36\] +*2326 mprj_io_inp_dis\[37\] +*2327 mprj_io_inp_dis\[3\] +*2328 mprj_io_inp_dis\[4\] +*2329 mprj_io_inp_dis\[5\] +*2330 mprj_io_inp_dis\[6\] +*2331 mprj_io_inp_dis\[7\] +*2332 mprj_io_inp_dis\[8\] +*2333 mprj_io_inp_dis\[9\] +*2334 mprj_io_oeb\[0\] +*2335 mprj_io_oeb\[10\] +*2336 mprj_io_oeb\[11\] +*2337 mprj_io_oeb\[12\] +*2338 mprj_io_oeb\[13\] +*2339 mprj_io_oeb\[14\] +*2340 mprj_io_oeb\[15\] +*2341 mprj_io_oeb\[16\] +*2342 mprj_io_oeb\[17\] +*2343 mprj_io_oeb\[18\] +*2344 mprj_io_oeb\[19\] +*2345 mprj_io_oeb\[1\] +*2346 mprj_io_oeb\[20\] +*2347 mprj_io_oeb\[21\] +*2348 mprj_io_oeb\[22\] +*2349 mprj_io_oeb\[23\] +*2350 mprj_io_oeb\[24\] +*2351 mprj_io_oeb\[25\] +*2352 mprj_io_oeb\[26\] +*2353 mprj_io_oeb\[27\] +*2354 mprj_io_oeb\[28\] +*2355 mprj_io_oeb\[29\] +*2356 mprj_io_oeb\[2\] +*2357 mprj_io_oeb\[30\] +*2358 mprj_io_oeb\[31\] +*2359 mprj_io_oeb\[32\] +*2360 mprj_io_oeb\[33\] +*2361 mprj_io_oeb\[34\] +*2362 mprj_io_oeb\[35\] +*2363 mprj_io_oeb\[36\] +*2364 mprj_io_oeb\[37\] +*2365 mprj_io_oeb\[3\] +*2366 mprj_io_oeb\[4\] +*2367 mprj_io_oeb\[5\] +*2368 mprj_io_oeb\[6\] +*2369 mprj_io_oeb\[7\] +*2370 mprj_io_oeb\[8\] +*2371 mprj_io_oeb\[9\] +*2372 mprj_io_out\[0\] +*2373 mprj_io_out\[10\] +*2374 mprj_io_out\[11\] +*2375 mprj_io_out\[12\] +*2376 mprj_io_out\[13\] +*2377 mprj_io_out\[14\] +*2378 mprj_io_out\[15\] +*2379 mprj_io_out\[16\] +*2380 mprj_io_out\[17\] +*2381 mprj_io_out\[18\] +*2382 mprj_io_out\[19\] +*2383 mprj_io_out\[1\] +*2384 mprj_io_out\[20\] +*2385 mprj_io_out\[21\] +*2386 mprj_io_out\[22\] +*2387 mprj_io_out\[23\] +*2388 mprj_io_out\[24\] +*2389 mprj_io_out\[25\] +*2390 mprj_io_out\[26\] +*2391 mprj_io_out\[27\] +*2392 mprj_io_out\[28\] +*2393 mprj_io_out\[29\] +*2394 mprj_io_out\[2\] +*2395 mprj_io_out\[30\] +*2396 mprj_io_out\[31\] +*2397 mprj_io_out\[32\] +*2398 mprj_io_out\[33\] +*2399 mprj_io_out\[34\] +*2400 mprj_io_out\[35\] +*2401 mprj_io_out\[36\] +*2402 mprj_io_out\[37\] +*2403 mprj_io_out\[3\] +*2404 mprj_io_out\[4\] +*2405 mprj_io_out\[5\] +*2406 mprj_io_out\[6\] +*2407 mprj_io_out\[7\] +*2408 mprj_io_out\[8\] +*2409 mprj_io_out\[9\] +*2410 mprj_io_slow_sel\[0\] +*2411 mprj_io_slow_sel\[10\] +*2412 mprj_io_slow_sel\[11\] +*2413 mprj_io_slow_sel\[12\] +*2414 mprj_io_slow_sel\[13\] +*2415 mprj_io_slow_sel\[14\] +*2416 mprj_io_slow_sel\[15\] +*2417 mprj_io_slow_sel\[16\] +*2418 mprj_io_slow_sel\[17\] +*2419 mprj_io_slow_sel\[18\] +*2420 mprj_io_slow_sel\[19\] +*2421 mprj_io_slow_sel\[1\] +*2422 mprj_io_slow_sel\[20\] +*2423 mprj_io_slow_sel\[21\] +*2424 mprj_io_slow_sel\[22\] +*2425 mprj_io_slow_sel\[23\] +*2426 mprj_io_slow_sel\[24\] +*2427 mprj_io_slow_sel\[25\] +*2428 mprj_io_slow_sel\[26\] +*2429 mprj_io_slow_sel\[27\] +*2430 mprj_io_slow_sel\[28\] +*2431 mprj_io_slow_sel\[29\] +*2432 mprj_io_slow_sel\[2\] +*2433 mprj_io_slow_sel\[30\] +*2434 mprj_io_slow_sel\[31\] +*2435 mprj_io_slow_sel\[32\] +*2436 mprj_io_slow_sel\[33\] +*2437 mprj_io_slow_sel\[34\] +*2438 mprj_io_slow_sel\[35\] +*2439 mprj_io_slow_sel\[36\] +*2440 mprj_io_slow_sel\[37\] +*2441 mprj_io_slow_sel\[3\] +*2442 mprj_io_slow_sel\[4\] +*2443 mprj_io_slow_sel\[5\] +*2444 mprj_io_slow_sel\[6\] +*2445 mprj_io_slow_sel\[7\] +*2446 mprj_io_slow_sel\[8\] +*2447 mprj_io_slow_sel\[9\] +*2448 mprj_io_vtrip_sel\[0\] +*2449 mprj_io_vtrip_sel\[10\] +*2450 mprj_io_vtrip_sel\[11\] +*2451 mprj_io_vtrip_sel\[12\] +*2452 mprj_io_vtrip_sel\[13\] +*2453 mprj_io_vtrip_sel\[14\] +*2454 mprj_io_vtrip_sel\[15\] +*2455 mprj_io_vtrip_sel\[16\] +*2456 mprj_io_vtrip_sel\[17\] +*2457 mprj_io_vtrip_sel\[18\] +*2458 mprj_io_vtrip_sel\[19\] +*2459 mprj_io_vtrip_sel\[1\] +*2460 mprj_io_vtrip_sel\[20\] +*2461 mprj_io_vtrip_sel\[21\] +*2462 mprj_io_vtrip_sel\[22\] +*2463 mprj_io_vtrip_sel\[23\] +*2464 mprj_io_vtrip_sel\[24\] +*2465 mprj_io_vtrip_sel\[25\] +*2466 mprj_io_vtrip_sel\[26\] +*2467 mprj_io_vtrip_sel\[27\] +*2468 mprj_io_vtrip_sel\[28\] +*2469 mprj_io_vtrip_sel\[29\] +*2470 mprj_io_vtrip_sel\[2\] +*2471 mprj_io_vtrip_sel\[30\] +*2472 mprj_io_vtrip_sel\[31\] +*2473 mprj_io_vtrip_sel\[32\] +*2474 mprj_io_vtrip_sel\[33\] +*2475 mprj_io_vtrip_sel\[34\] +*2476 mprj_io_vtrip_sel\[35\] +*2477 mprj_io_vtrip_sel\[36\] +*2478 mprj_io_vtrip_sel\[37\] +*2479 mprj_io_vtrip_sel\[3\] +*2480 mprj_io_vtrip_sel\[4\] +*2481 mprj_io_vtrip_sel\[5\] +*2482 mprj_io_vtrip_sel\[6\] +*2483 mprj_io_vtrip_sel\[7\] +*2484 mprj_io_vtrip_sel\[8\] +*2485 mprj_io_vtrip_sel\[9\] +*2486 mprj_reset +*2487 mprj_sel_o_core\[0\] +*2488 mprj_sel_o_core\[1\] +*2489 mprj_sel_o_core\[2\] +*2490 mprj_sel_o_core\[3\] +*2491 mprj_sel_o_user\[0\] +*2492 mprj_sel_o_user\[1\] +*2493 mprj_sel_o_user\[2\] +*2494 mprj_sel_o_user\[3\] +*2495 mprj_stb_o_core +*2496 mprj_stb_o_user +*2497 mprj_vcc_pwrgood +*2498 mprj_vdd_pwrgood +*2499 mprj_we_o_core +*2500 mprj_we_o_user +*2501 one_loop1\[10\] +*2502 one_loop1\[11\] +*2503 one_loop1\[12\] +*2504 one_loop1\[13\] +*2505 one_loop1\[14\] +*2506 one_loop1\[15\] +*2507 one_loop1\[16\] +*2508 one_loop1\[17\] +*2509 one_loop1\[18\] +*2510 one_loop1\[2\] +*2511 one_loop1\[3\] +*2512 one_loop1\[4\] +*2513 one_loop1\[5\] +*2514 one_loop1\[6\] +*2515 one_loop1\[7\] +*2516 one_loop1\[8\] +*2517 one_loop1\[9\] +*2518 one_loop2\[0\] +*2519 one_loop2\[10\] +*2520 one_loop2\[11\] +*2521 one_loop2\[12\] +*2522 one_loop2\[13\] +*2523 one_loop2\[14\] +*2524 one_loop2\[15\] +*2525 one_loop2\[1\] +*2526 one_loop2\[2\] +*2527 one_loop2\[3\] +*2528 one_loop2\[4\] +*2529 one_loop2\[5\] +*2530 one_loop2\[6\] +*2531 one_loop2\[7\] +*2532 one_loop2\[8\] +*2533 one_loop2\[9\] +*2534 pll_clk +*2535 pll_clk90 +*2536 por_l +*2537 porb_h +*2538 porb_l +*2539 qspi_enabled +*2540 rstb_h +*2541 ser_rx +*2542 ser_tx +*2543 spi_csb +*2544 spi_enabled +*2545 spi_pll90_sel\[0\] +*2546 spi_pll90_sel\[1\] +*2547 spi_pll90_sel\[2\] +*2548 spi_pll_dco_ena +*2549 spi_pll_div\[0\] +*2550 spi_pll_div\[1\] +*2551 spi_pll_div\[2\] +*2552 spi_pll_div\[3\] +*2553 spi_pll_div\[4\] +*2554 spi_pll_ena +*2555 spi_pll_sel\[0\] +*2556 spi_pll_sel\[1\] +*2557 spi_pll_sel\[2\] +*2558 spi_pll_trim\[0\] +*2559 spi_pll_trim\[10\] +*2560 spi_pll_trim\[11\] +*2561 spi_pll_trim\[12\] +*2562 spi_pll_trim\[13\] +*2563 spi_pll_trim\[14\] +*2564 spi_pll_trim\[15\] +*2565 spi_pll_trim\[16\] +*2566 spi_pll_trim\[17\] +*2567 spi_pll_trim\[18\] +*2568 spi_pll_trim\[19\] +*2569 spi_pll_trim\[1\] +*2570 spi_pll_trim\[20\] +*2571 spi_pll_trim\[21\] +*2572 spi_pll_trim\[22\] +*2573 spi_pll_trim\[23\] +*2574 spi_pll_trim\[24\] +*2575 spi_pll_trim\[25\] +*2576 spi_pll_trim\[2\] +*2577 spi_pll_trim\[3\] +*2578 spi_pll_trim\[4\] +*2579 spi_pll_trim\[5\] +*2580 spi_pll_trim\[6\] +*2581 spi_pll_trim\[7\] +*2582 spi_pll_trim\[8\] +*2583 spi_pll_trim\[9\] +*2584 spi_sck +*2585 spi_sdi +*2586 spi_sdo +*2587 spi_sdoenb +*2588 trap +*2589 uart_enabled +*2590 user_analog_io\[0\] +*2591 user_analog_io\[10\] +*2592 user_analog_io\[11\] +*2593 user_analog_io\[12\] +*2594 user_analog_io\[13\] +*2595 user_analog_io\[14\] +*2596 user_analog_io\[15\] +*2597 user_analog_io\[16\] +*2598 user_analog_io\[17\] +*2599 user_analog_io\[18\] +*2600 user_analog_io\[19\] +*2601 user_analog_io\[1\] +*2602 user_analog_io\[20\] +*2603 user_analog_io\[21\] +*2604 user_analog_io\[22\] +*2605 user_analog_io\[23\] +*2606 user_analog_io\[24\] +*2607 user_analog_io\[25\] +*2608 user_analog_io\[26\] +*2609 user_analog_io\[27\] +*2610 user_analog_io\[28\] +*2611 user_analog_io\[2\] +*2612 user_analog_io\[3\] +*2613 user_analog_io\[4\] +*2614 user_analog_io\[5\] +*2615 user_analog_io\[6\] +*2616 user_analog_io\[7\] +*2617 user_analog_io\[8\] +*2618 user_analog_io\[9\] +*2619 user_io_in\[0\] +*2620 user_io_in\[10\] +*2621 user_io_in\[11\] +*2622 user_io_in\[12\] +*2623 user_io_in\[13\] +*2624 user_io_in\[14\] +*2625 user_io_in\[15\] +*2626 user_io_in\[16\] +*2627 user_io_in\[17\] +*2628 user_io_in\[18\] +*2629 user_io_in\[19\] +*2630 user_io_in\[1\] +*2631 user_io_in\[20\] +*2632 user_io_in\[21\] +*2633 user_io_in\[22\] +*2634 user_io_in\[23\] +*2635 user_io_in\[24\] +*2636 user_io_in\[25\] +*2637 user_io_in\[26\] +*2638 user_io_in\[27\] +*2639 user_io_in\[28\] +*2640 user_io_in\[29\] +*2641 user_io_in\[2\] +*2642 user_io_in\[30\] +*2643 user_io_in\[31\] +*2644 user_io_in\[32\] +*2645 user_io_in\[33\] +*2646 user_io_in\[34\] +*2647 user_io_in\[35\] +*2648 user_io_in\[36\] +*2649 user_io_in\[37\] +*2650 user_io_in\[3\] +*2651 user_io_in\[4\] +*2652 user_io_in\[5\] +*2653 user_io_in\[6\] +*2654 user_io_in\[7\] +*2655 user_io_in\[8\] +*2656 user_io_in\[9\] +*2657 user_io_oeb\[0\] +*2658 user_io_oeb\[10\] +*2659 user_io_oeb\[11\] +*2660 user_io_oeb\[12\] +*2661 user_io_oeb\[13\] +*2662 user_io_oeb\[14\] +*2663 user_io_oeb\[15\] +*2664 user_io_oeb\[16\] +*2665 user_io_oeb\[17\] +*2666 user_io_oeb\[18\] +*2667 user_io_oeb\[19\] +*2668 user_io_oeb\[1\] +*2669 user_io_oeb\[20\] +*2670 user_io_oeb\[21\] +*2671 user_io_oeb\[22\] +*2672 user_io_oeb\[23\] +*2673 user_io_oeb\[24\] +*2674 user_io_oeb\[25\] +*2675 user_io_oeb\[26\] +*2676 user_io_oeb\[27\] +*2677 user_io_oeb\[28\] +*2678 user_io_oeb\[29\] +*2679 user_io_oeb\[2\] +*2680 user_io_oeb\[30\] +*2681 user_io_oeb\[31\] +*2682 user_io_oeb\[32\] +*2683 user_io_oeb\[33\] +*2684 user_io_oeb\[34\] +*2685 user_io_oeb\[35\] +*2686 user_io_oeb\[36\] +*2687 user_io_oeb\[37\] +*2688 user_io_oeb\[3\] +*2689 user_io_oeb\[4\] +*2690 user_io_oeb\[5\] +*2691 user_io_oeb\[6\] +*2692 user_io_oeb\[7\] +*2693 user_io_oeb\[8\] +*2694 user_io_oeb\[9\] +*2695 user_io_out\[0\] +*2696 user_io_out\[10\] +*2697 user_io_out\[11\] +*2698 user_io_out\[12\] +*2699 user_io_out\[13\] +*2700 user_io_out\[14\] +*2701 user_io_out\[15\] +*2702 user_io_out\[16\] +*2703 user_io_out\[17\] +*2704 user_io_out\[18\] +*2705 user_io_out\[19\] +*2706 user_io_out\[1\] +*2707 user_io_out\[20\] +*2708 user_io_out\[21\] +*2709 user_io_out\[22\] +*2710 user_io_out\[23\] +*2711 user_io_out\[24\] +*2712 user_io_out\[25\] +*2713 user_io_out\[26\] +*2714 user_io_out\[27\] +*2715 user_io_out\[28\] +*2716 user_io_out\[29\] +*2717 user_io_out\[2\] +*2718 user_io_out\[30\] +*2719 user_io_out\[31\] +*2720 user_io_out\[32\] +*2721 user_io_out\[33\] +*2722 user_io_out\[34\] +*2723 user_io_out\[35\] +*2724 user_io_out\[36\] +*2725 user_io_out\[37\] +*2726 user_io_out\[3\] +*2727 user_io_out\[4\] +*2728 user_io_out\[5\] +*2729 user_io_out\[6\] +*2730 user_io_out\[7\] +*2731 user_io_out\[8\] +*2732 user_io_out\[9\] +*2733 user_irq\[0\] +*2734 user_irq\[1\] +*2735 user_irq\[2\] +*2736 user_irq_core\[0\] +*2737 user_irq_core\[1\] +*2738 user_irq_core\[2\] +*2739 user_irq_ena\[0\] +*2740 user_irq_ena\[1\] +*2741 user_irq_ena\[2\] +*2742 clocking +*2743 gpio_01_defaults\[0\] +*2744 gpio_01_defaults\[1\] +*2745 gpio_10_defaults +*2746 gpio_11_defaults +*2747 gpio_12_defaults +*2748 gpio_13_defaults +*2749 gpio_14_defaults +*2750 gpio_15_defaults +*2751 gpio_16_defaults +*2752 gpio_17_defaults +*2753 gpio_18_defaults +*2754 gpio_19_defaults +*2755 gpio_20_defaults +*2756 gpio_21_defaults +*2757 gpio_22_defaults +*2758 gpio_234_defaults\[0\] +*2759 gpio_234_defaults\[1\] +*2760 gpio_234_defaults\[2\] +*2761 gpio_23_defaults +*2762 gpio_24_defaults +*2763 gpio_25_defaults +*2764 gpio_26_defaults +*2765 gpio_27_defaults +*2766 gpio_28_defaults +*2767 gpio_29_defaults +*2768 gpio_30_defaults +*2769 gpio_31_defaults +*2770 gpio_32_defaults +*2771 gpio_33_defaults +*2772 gpio_34_defaults +*2773 gpio_35_defaults +*2774 gpio_36_defaults +*2775 gpio_37_defaults +*2776 gpio_5_defaults +*2777 gpio_6_defaults +*2778 gpio_7_defaults +*2779 gpio_8_defaults +*2780 gpio_9_defaults +*2781 gpio_control_bidir_1\[0\] +*2782 gpio_control_bidir_1\[1\] +*2783 gpio_control_bidir_2\[0\] +*2784 gpio_control_bidir_2\[1\] +*2785 gpio_control_bidir_2\[2\] +*2786 gpio_control_in_1\[0\] +*2787 gpio_control_in_1\[10\] +*2788 gpio_control_in_1\[1\] +*2789 gpio_control_in_1\[2\] +*2790 gpio_control_in_1\[3\] +*2791 gpio_control_in_1\[4\] +*2792 gpio_control_in_1\[5\] +*2793 gpio_control_in_1\[6\] +*2794 gpio_control_in_1\[7\] +*2795 gpio_control_in_1\[8\] +*2796 gpio_control_in_1\[9\] +*2797 gpio_control_in_1a\[0\] +*2798 gpio_control_in_1a\[1\] +*2799 gpio_control_in_1a\[2\] +*2800 gpio_control_in_1a\[3\] +*2801 gpio_control_in_1a\[4\] +*2802 gpio_control_in_1a\[5\] +*2803 gpio_control_in_2\[0\] +*2804 gpio_control_in_2\[10\] +*2805 gpio_control_in_2\[11\] +*2806 gpio_control_in_2\[12\] +*2807 gpio_control_in_2\[13\] +*2808 gpio_control_in_2\[14\] +*2809 gpio_control_in_2\[15\] +*2810 gpio_control_in_2\[1\] +*2811 gpio_control_in_2\[2\] +*2812 gpio_control_in_2\[3\] +*2813 gpio_control_in_2\[4\] +*2814 gpio_control_in_2\[5\] +*2815 gpio_control_in_2\[6\] +*2816 gpio_control_in_2\[7\] +*2817 gpio_control_in_2\[8\] +*2818 gpio_control_in_2\[9\] +*2819 housekeeping +*2820 mgmt_buffers +*2821 mprj +*2822 padframe +*2823 pll +*2824 por +*2825 rstb_level +*2826 soc +*2827 user_id_value + +*PORTS +clock I +flash_clk O +flash_csb O +flash_io0 O +flash_io1 O +gpio I +mprj_io[0] I +mprj_io[10] I +mprj_io[11] I +mprj_io[12] I +mprj_io[13] I +mprj_io[14] I +mprj_io[15] I +mprj_io[16] I +mprj_io[17] I +mprj_io[18] I +mprj_io[19] I +mprj_io[1] I +mprj_io[20] I +mprj_io[21] I +mprj_io[22] I +mprj_io[23] I +mprj_io[24] I +mprj_io[25] I +mprj_io[26] I +mprj_io[27] I +mprj_io[28] I +mprj_io[29] I +mprj_io[2] I +mprj_io[30] I +mprj_io[31] I +mprj_io[32] I +mprj_io[33] I +mprj_io[34] I +mprj_io[35] I +mprj_io[36] I +mprj_io[37] I +mprj_io[3] I +mprj_io[4] I +mprj_io[5] I +mprj_io[6] I +mprj_io[7] I +mprj_io[8] I +mprj_io[9] I +resetb I +vccd I +vccd1 I +vccd2 I +vdda I +vdda1 I +vdda1_2 I +vdda2 I +vddio I +vddio_2 I +vssa I +vssa1 I +vssa1_2 I +vssa2 I +vssd I +vssd1 I +vssd2 I +vssio I +vssio_2 I + +*D_NET *64 0.482551 +*CONN +*I *2819:wb_clk_i I *D housekeeping +*I *2826:core_clk I *D mgmt_core_wrapper +*I *2820:caravel_clk I *D mgmt_protect +*I *2742:core_clk O *D caravel_clocking +*CAP +1 *2819:wb_clk_i 0.00111123 +2 *2826:core_clk 0.000491966 +3 *2820:caravel_clk 0.00152904 +4 *2742:core_clk 0.00165085 +5 *64:51 0.00679804 +6 *64:50 0.00568681 +7 *64:48 0.0639707 +8 *64:47 0.0640219 +9 *64:34 0.028997 +10 *64:22 0.0301821 +11 *64:21 0.0286531 +12 *64:19 0.0246011 +13 *64:18 0.0246011 +14 *64:16 0.0348273 +15 *64:15 0.0633835 +16 *64:13 0.0056941 +17 *64:12 0.00585348 +18 *64:7 0.00181023 +19 *2819:wb_clk_i *2823:ext_trim[19] 0.000269383 +20 *2819:wb_clk_i *66:17 0 +21 *2819:wb_clk_i *72:12 0.000315556 +22 *2819:wb_clk_i *2571:15 0.000266632 +23 *2819:wb_clk_i *2575:12 1.65872e-05 +24 *64:19 *65:13 0.0712891 +25 *64:48 *89:40 0 +26 *64:51 *2819:mask_rev_in[0] 0 +27 *64:51 *72:13 0.0165299 +28 *64:51 *1698:11 0 +*RES +1 *2742:core_clk *64:7 46.8624 +2 *64:7 *64:12 13.051 +3 *64:12 *64:13 160.458 +4 *64:13 *64:15 4.5 +5 *64:15 *64:16 975.162 +6 *64:16 *64:18 4.5 +7 *64:18 *64:19 1138.38 +8 *64:19 *64:21 4.5 +9 *64:21 *64:22 801.016 +10 *64:22 *2820:caravel_clk 13.9709 +11 *64:15 *64:34 799.907 +12 *64:34 *2826:core_clk 16.8965 +13 *2826:core_clk *64:47 6.90602 +14 *64:47 *64:48 1784.88 +15 *64:48 *64:50 4.5 +16 *64:50 *64:51 263.856 +17 *64:51 *2819:wb_clk_i 42.4729 +*END + +*D_NET *65 0.312957 +*CONN +*I *2820:caravel_clk2 I *D mgmt_protect +*I *2742:user_clk O *D caravel_clocking +*CAP +1 *2820:caravel_clk2 0.00202606 +2 *2742:user_clk 9.19267e-05 +3 *65:16 0.0306479 +4 *65:15 0.0286218 +5 *65:13 0.011523 +6 *65:12 0.011523 +7 *65:10 0.0351239 +8 *65:9 0.0351239 +9 *65:7 0.00547488 +10 *65:5 0.00556681 +11 *65:10 *2540:29 0 +12 *65:13 *66:39 0.0759447 +13 *64:19 *65:13 0.0712891 +*RES +1 *2742:user_clk *65:5 2.61365 +2 *65:5 *65:7 155.268 +3 *65:7 *65:9 4.5 +4 *65:9 *65:10 983.481 +5 *65:10 *65:12 4.5 +6 *65:12 *65:13 1238.45 +7 *65:13 *65:15 4.5 +8 *65:15 *65:16 800.462 +9 *65:16 *2820:caravel_clk2 28.0894 +*END + +*D_NET *66 0.492265 +*CONN +*I *2826:core_rstn I *D mgmt_core_wrapper +*I *2820:caravel_rstn I *D mgmt_protect +*I *2819:wb_rstn_i I *D housekeeping +*I *2742:resetb_sync O *D caravel_clocking +*CAP +1 *2826:core_rstn 0.000958397 +2 *2820:caravel_rstn 0.00192382 +3 *2819:wb_rstn_i 1.28869e-05 +4 *2742:resetb_sync 9.19267e-05 +5 *66:42 0.0305374 +6 *66:41 0.0286136 +7 *66:39 0.0286096 +8 *66:38 0.0286096 +9 *66:36 0.0208729 +10 *66:35 0.0214045 +11 *66:28 0.0159261 +12 *66:27 0.0147799 +13 *66:17 0.00837873 +14 *66:16 0.00836584 +15 *66:14 0.0915932 +16 *66:13 0.0915932 +17 *66:11 0.00333841 +18 *66:9 0.0030204 +19 *66:7 0.00338883 +20 *66:5 0.00345498 +21 *66:17 *2819:mask_rev_in[0] 0 +22 *66:17 *2823:ext_trim[19] 8.39733e-05 +23 *66:17 *72:13 0.00855593 +24 *66:17 *2571:15 0.00108295 +25 *66:28 *615:10 0.00112301 +26 *66:39 *1289:7 0 +27 *2819:wb_clk_i *66:17 0 +28 *65:13 *66:39 0.0759447 +*RES +1 *2742:resetb_sync *66:5 2.61365 +2 *66:5 *66:7 95.7034 +3 *66:7 *66:9 0.732798 +4 *66:9 *66:11 84.2351 +5 *66:11 *66:13 4.5 +6 *66:13 *66:14 2559.66 +7 *66:14 *66:16 4.5 +8 *66:16 *66:17 324.691 +9 *66:17 *2819:wb_rstn_i 0.366399 +10 *66:11 *66:27 13.8065 +11 *66:27 *66:28 408.912 +12 *66:28 *66:35 23.3302 +13 *66:35 *66:36 584.721 +14 *66:36 *66:38 4.5 +15 *66:38 *66:39 1280.39 +16 *66:39 *66:41 4.5 +17 *66:41 *66:42 799.907 +18 *66:42 *2820:caravel_rstn 25.1827 +19 *66:28 *2826:core_rstn 31.5769 +*END + +*D_NET *67 0.39113 +*CONN +*I *2823:osc I *D digital_pll +*I *2742:ext_clk I *D caravel_clocking +*I *2822:clock_core O *D chip_io +*CAP +1 *2823:osc 1.28869e-05 +2 *2742:ext_clk 5.24068e-05 +3 *2822:clock_core 0.00416778 +4 *67:32 0.00766076 +5 *67:31 0.00764787 +6 *67:29 0.0535197 +7 *67:28 0.0548302 +8 *67:15 0.00517568 +9 *67:14 0.00512327 +10 *67:12 0.00547826 +11 *67:12 *2822:por 0.00157356 +12 *67:12 *2536:13 0.0018772 +13 *67:12 *2540:12 0.00251539 +14 *67:28 *2536:13 0.00178738 +15 *67:29 *72:16 0.234296 +16 *67:29 *614:22 0.00541073 +*RES +1 *2822:clock_core *67:12 24.1758 +2 *67:12 *67:14 3.36879 +3 *67:14 *67:15 145.509 +4 *67:15 *2742:ext_clk 1.49002 +5 *67:12 *67:28 36.2611 +6 *67:28 *67:29 2573.53 +7 *67:29 *67:31 4.5 +8 *67:31 *67:32 216.725 +9 *67:32 *2823:osc 0.366399 +*END + +*D_NET *68 0.0469299 +*CONN +*I *2826:debug_in I *D mgmt_core_wrapper +*I *2819:debug_in O *D housekeeping +*CAP +1 *2826:debug_in 0.00133687 +2 *2819:debug_in 0.00187269 +3 *68:14 0.00305275 +4 *68:13 0.00171588 +5 *68:11 0.000726185 +6 *68:10 0.00259887 +7 *68:10 *2819:debug_mode 0 +8 *68:10 *2819:sram_ro_data[30] 7.77309e-06 +9 *68:10 *2819:sram_ro_data[31] 0.000476542 +10 *68:11 *765:11 0.0105245 +11 *68:11 *766:11 0.0105753 +12 *68:14 *747:8 0.00061804 +13 *68:14 *766:8 0.00167161 +14 *68:14 *772:8 0.000222466 +15 *68:14 *775:14 0.00531892 +16 *68:14 *776:14 0.00594041 +17 *68:14 *2588:8 0.000271132 +*RES +1 *2819:debug_in *68:10 28.1987 +2 *68:10 *68:11 111.644 +3 *68:11 *68:13 4.5 +4 *68:13 *68:14 142.395 +5 *68:14 *2826:debug_in 7.71542 +*END + +*D_NET *69 0.0413101 +*CONN +*I *2819:debug_mode I *D housekeeping +*I *2826:debug_mode O *D mgmt_core_wrapper +*CAP +1 *2819:debug_mode 0.0015371 +2 *2826:debug_mode 0.0011771 +3 *69:11 0.00400971 +4 *69:10 0.0024726 +5 *69:8 0.00175184 +6 *69:7 0.00292895 +7 *2819:debug_mode *2819:debug_oeb 0.000197799 +8 *2819:debug_mode *2819:debug_out 0 +9 *69:8 *756:8 0.00510871 +10 *69:8 *759:8 0 +11 *69:8 *761:8 1.2693e-05 +12 *69:8 *762:8 0.00716285 +13 *69:8 *765:8 0.000340696 +14 *69:8 *766:8 0 +15 *69:8 *775:14 0 +16 *69:8 *2541:14 0.00243661 +17 *69:8 *2543:8 0.000557824 +18 *69:8 *2589:8 0.000734568 +19 *69:11 *70:11 0.0108811 +20 *68:10 *2819:debug_mode 0 +*RES +1 *2826:debug_mode *69:7 7.25648 +2 *69:7 *69:8 150.7 +3 *69:8 *69:10 4.5 +4 *69:10 *69:11 114.417 +5 *69:11 *2819:debug_mode 16.6482 +*END + +*D_NET *70 0.0478413 +*CONN +*I *2819:debug_oeb I *D housekeeping +*I *2826:debug_oeb O *D mgmt_core_wrapper +*CAP +1 *2819:debug_oeb 0.00176348 +2 *2826:debug_oeb 0.000756037 +3 *70:11 0.00275559 +4 *70:10 0.000992112 +5 *70:8 0.00185835 +6 *70:7 0.00261438 +7 *2819:debug_oeb *2819:debug_out 0 +8 *70:8 *71:8 0.00813732 +9 *70:8 *763:8 0.00660921 +10 *70:8 *2539:8 0 +11 *70:8 *2542:8 0 +12 *70:11 *71:11 0.0112759 +13 *2819:debug_mode *2819:debug_oeb 0.000197799 +14 *69:11 *70:11 0.0108811 +*RES +1 *2826:debug_oeb *70:7 6.26211 +2 *70:7 *70:8 141.149 +3 *70:8 *70:10 4.5 +4 *70:10 *70:11 122.182 +5 *70:11 *2819:debug_oeb 23.631 +*END + +*D_NET *71 0.0365292 +*CONN +*I *2819:debug_out I *D housekeeping +*I *2826:debug_out O *D mgmt_core_wrapper +*CAP +1 *2819:debug_out 0.00220485 +2 *2826:debug_out 0.000746434 +3 *71:11 0.0048422 +4 *71:10 0.00263734 +5 *71:8 0.00296937 +6 *71:7 0.0037158 +7 *2819:debug_out *2819:trap 0 +8 *2819:debug_mode *2819:debug_out 0 +9 *2819:debug_oeb *2819:debug_out 0 +10 *70:8 *71:8 0.00813732 +11 *70:11 *71:11 0.0112759 +*RES +1 *2826:debug_out *71:7 6.18562 +2 *71:7 *71:8 131.598 +3 *71:8 *71:10 4.5 +4 *71:10 *71:11 119.409 +5 *71:11 *2819:debug_out 30.3187 +*END + +*D_NET *72 0.505087 +*CONN +*I *2742:ext_clk_sel I *D caravel_clocking +*I *2819:pll_bypass O *D housekeeping +*CAP +1 *2742:ext_clk_sel 0.00172964 +2 *2819:pll_bypass 0.00114928 +3 *72:19 0.0102274 +4 *72:18 0.00849775 +5 *72:16 0.0188664 +6 *72:15 0.0188664 +7 *72:13 0.00409011 +8 *72:12 0.00523939 +9 *72:12 *2823:ext_trim[18] 0 +10 *72:12 *2571:15 0.000169038 +11 *72:12 *2575:9 0 +12 *72:12 *2575:12 0.000680863 +13 *72:13 *2571:15 0.00107828 +14 *72:16 *79:14 0.034528 +15 *72:16 *87:10 0.000286628 +16 *72:16 *614:22 0.13998 +17 *2819:wb_clk_i *72:12 0.000315556 +18 *64:51 *72:13 0.0165299 +19 *66:17 *72:13 0.00855593 +20 *67:29 *72:16 0.234296 +*RES +1 *2819:pll_bypass *72:12 45.3852 +2 *72:12 *72:13 305.797 +3 *72:13 *72:15 4.5 +4 *72:15 *72:16 2469.82 +5 *72:16 *72:18 4.5 +6 *72:18 *72:19 238.941 +7 *72:19 *2742:ext_clk_sel 40.4387 +*END + +*D_NET *73 0.442554 +*CONN +*I *2742:ext_reset I *D caravel_clocking +*I *2819:reset O *D housekeeping +*CAP +1 *2742:ext_reset 0.00123118 +2 *2819:reset 0.0010976 +3 *73:19 0.00489953 +4 *73:18 0.00366835 +5 *73:16 0.0290504 +6 *73:15 0.0290504 +7 *73:13 0.00997063 +8 *73:12 0.0103871 +9 *73:9 0.00151403 +10 *73:9 *2819:porb 0.00163502 +11 *73:13 *92:15 0.00228651 +12 *73:16 *2822:flash_csb_oeb_core 0.000160605 +13 *73:16 *76:21 0.000211073 +14 *73:16 *80:8 0.116493 +15 *73:16 *636:22 0.000116613 +16 *73:16 *2555:16 0.220445 +17 *73:19 *2547:19 0.0103383 +18 *73:19 *2555:19 0 +*RES +1 *2819:reset *73:9 45.5489 +2 *73:9 *73:12 15.7609 +3 *73:12 *73:13 304.136 +4 *73:13 *73:15 4.5 +5 *73:15 *73:16 2303.44 +6 *73:16 *73:18 4.5 +7 *73:18 *73:19 166.479 +8 *73:19 *2742:ext_reset 7.79191 +*END + +*D_NET *74 0.0206749 +*CONN +*I *2819:spimemio_flash_clk I *D housekeeping +*I *2826:flash_clk O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_clk 0.00181018 +2 *2826:flash_clk 0.00144648 +3 *74:11 0.00394601 +4 *74:10 0.00358231 +5 *2819:spimemio_flash_clk *2819:spimemio_flash_csb 0 +6 *2819:spimemio_flash_clk *723:10 0 +7 *74:11 *77:11 0.00988993 +*RES +1 *2826:flash_clk *74:10 16.528 +2 *74:10 *74:11 103.325 +3 *74:11 *2819:spimemio_flash_clk 20.4291 +*END + +*D_NET *75 0.276892 +*CONN +*I *2822:flash_clk_core I *D chip_io +*I *2819:pad_flash_clk O *D housekeeping +*CAP +1 *2822:flash_clk_core 0.00275425 +2 *2819:pad_flash_clk 0.00111943 +3 *75:34 0.0122732 +4 *75:33 0.00951893 +5 *75:31 0.00219827 +6 *75:30 0.00219827 +7 *75:28 0.00364033 +8 *75:27 0.00364033 +9 *75:25 0.00279134 +10 *75:24 0.00279134 +11 *75:22 0.00177308 +12 *75:21 0.00177308 +13 *75:19 0.00329443 +14 *75:18 0.00329443 +15 *75:16 0.00455653 +16 *75:15 0.00585646 +17 *75:10 0.00241936 +18 *2822:flash_clk_core *78:22 0.00525294 +19 *75:10 *84:10 0.00112722 +20 *75:10 *85:10 0.00112301 +21 *75:19 *734:14 9.22013e-06 +22 *75:19 *735:14 0.00696917 +23 *75:19 *773:14 0.0006605 +24 *75:22 *2826:sram_ro_addr[7] 0.000490525 +25 *75:22 *739:22 0.000431115 +26 *75:25 *85:25 0.000114237 +27 *75:25 *92:35 0.00208066 +28 *75:28 *92:36 0.0168406 +29 *75:34 *2822:flash_io0_do_core 0.000622737 +30 *75:34 *2822:flash_io1_do_core 0.000593771 +31 *75:34 *78:22 0.109175 +32 *75:34 *84:28 0.0152952 +33 *75:34 *91:16 0.0502129 +*RES +1 *2819:pad_flash_clk *75:10 45.7373 +2 *75:10 *75:15 45.2979 +3 *75:15 *75:16 123.845 +4 *75:16 *75:18 4.5 +5 *75:18 *75:19 143.018 +6 *75:19 *75:21 4.5 +7 *75:21 *75:22 50.0831 +8 *75:22 *75:24 4.5 +9 *75:24 *75:25 92.7722 +10 *75:25 *75:27 4.5 +11 *75:27 *75:28 175.978 +12 *75:28 *75:30 4.5 +13 *75:30 *75:31 57.6834 +14 *75:31 *75:33 3.36879 +15 *75:33 *75:34 137.77 +16 *75:34 *2822:flash_clk_core 20.9948 +*END + +*D_NET *76 0.293575 +*CONN +*I *2822:flash_clk_oeb_core I *D chip_io +*I *2819:pad_flash_clk_oeb O *D housekeeping +*CAP +1 *2822:flash_clk_oeb_core 6.22868e-05 +2 *2819:pad_flash_clk_oeb 1.28869e-05 +3 *76:21 0.00132898 +4 *76:10 0.0178472 +5 *76:9 0.0165805 +6 *76:7 0.00731256 +7 *76:5 0.00732545 +8 *76:7 *78:9 0.000580031 +9 *76:7 *80:11 0.0190321 +10 *76:10 *79:14 0.138645 +11 *76:10 *87:10 0.0846367 +12 *73:16 *76:21 0.000211073 +*RES +1 *2819:pad_flash_clk_oeb *76:5 0.366399 +2 *76:5 *76:7 331.335 +3 *76:7 *76:9 4.5 +4 *76:9 *76:10 1448.79 +5 *76:10 *76:21 48.4521 +6 *76:21 *2822:flash_clk_oeb_core 1.77093 +*END + +*D_NET *77 0.0203546 +*CONN +*I *2819:spimemio_flash_csb I *D housekeeping +*I *2826:flash_csb O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_csb 0.00146141 +2 *2826:flash_csb 0.00116512 +3 *77:11 0.00406721 +4 *77:10 0.00377092 +5 *2819:spimemio_flash_csb *81:10 0 +6 *2819:spimemio_flash_clk *2819:spimemio_flash_csb 0 +7 *74:11 *77:11 0.00988993 +*RES +1 *2826:flash_csb *77:10 16.8887 +2 *77:10 *77:11 116.081 +3 *77:11 *2819:spimemio_flash_csb 13.7414 +*END + +*D_NET *78 0.372263 +*CONN +*I *2822:flash_csb_core I *D chip_io +*I *2819:pad_flash_csb O *D housekeeping +*CAP +1 *2822:flash_csb_core 0.00270143 +2 *2819:pad_flash_csb 0.000870224 +3 *78:22 0.0154665 +4 *78:21 0.0127651 +5 *78:19 0.00527332 +6 *78:18 0.00527332 +7 *78:16 0.0026086 +8 *78:15 0.0026086 +9 *78:13 0.00381879 +10 *78:12 0.00381879 +11 *78:10 0.00369721 +12 *78:9 0.00456743 +13 *2822:flash_csb_core *2536:13 0.00258574 +14 *78:9 *79:7 0 +15 *78:9 *80:11 5.68404e-05 +16 *78:10 *84:10 0.00104235 +17 *78:13 *85:19 0.00425018 +18 *78:16 *767:10 0.000425309 +19 *78:19 *82:33 0.000599454 +20 *78:19 *89:35 0.00774669 +21 *78:22 *91:16 0.00883624 +22 *78:22 *2536:13 0.168242 +23 *2822:flash_clk_core *78:22 0.00525294 +24 *75:34 *78:22 0.109175 +25 *76:7 *78:9 0.000580031 +*RES +1 *2819:pad_flash_csb *78:9 35.9981 +2 *78:9 *78:10 111.09 +3 *78:10 *78:12 4.5 +4 *78:12 *78:13 132.636 +5 *78:13 *78:15 4.5 +6 *78:15 *78:16 67.2758 +7 *78:16 *78:18 4.5 +8 *78:18 *78:19 195.547 +9 *78:19 *78:21 3.36879 +10 *78:21 *78:22 212.272 +11 *78:22 *2822:flash_csb_core 18.7116 +*END + +*D_NET *79 0.33724 +*CONN +*I *2822:flash_csb_oeb_core I *D chip_io +*I *2819:pad_flash_csb_oeb O *D housekeeping +*CAP +1 *2822:flash_csb_oeb_core 0.00123906 +2 *2819:pad_flash_csb_oeb 0.000111687 +3 *79:14 0.0178171 +4 *79:13 0.016578 +5 *79:11 0.00599682 +6 *79:9 0.0061214 +7 *79:7 0.00207333 +8 *79:5 0.00206044 +9 *79:7 *2819:pad_flash_io0_di 0 +10 *79:7 *80:11 0 +11 *79:7 *91:13 0 +12 *79:11 *80:11 0.017347 +13 *79:11 *91:13 0 +14 *79:14 *87:10 3.58044e-05 +15 *79:14 *614:22 0.0945261 +16 *72:16 *79:14 0.034528 +17 *73:16 *2822:flash_csb_oeb_core 0.000160605 +18 *76:10 *79:14 0.138645 +19 *78:9 *79:7 0 +*RES +1 *2819:pad_flash_csb_oeb *79:5 3.17546 +2 *79:5 *79:7 54.8133 +3 *79:7 *79:9 3.54186 +4 *79:9 *79:11 277.108 +5 *79:11 *79:13 4.5 +6 *79:13 *79:14 1782.66 +7 *79:14 *2822:flash_csb_oeb_core 47.3157 +*END + +*D_NET *80 0.276352 +*CONN +*I *2819:pad_flash_io0_di I *D housekeeping +*I *2822:flash_io0_di_core O *D chip_io +*CAP +1 *2819:pad_flash_io0_di 0.0011207 +2 *2822:flash_io0_di_core 0.000477782 +3 *80:11 0.00431671 +4 *80:10 0.00319601 +5 *80:8 0.0109806 +6 *80:7 0.0114583 +7 *2819:pad_flash_io0_di *84:10 0.000848795 +8 *2819:pad_flash_io0_di *85:10 0.000844584 +9 *80:8 *85:28 0.0900579 +10 *80:8 *636:22 0.000122276 +11 *73:16 *80:8 0.116493 +12 *76:7 *80:11 0.0190321 +13 *78:9 *80:11 5.68404e-05 +14 *79:7 *2819:pad_flash_io0_di 0 +15 *79:7 *80:11 0 +16 *79:11 *80:11 0.017347 +*RES +1 *2822:flash_io0_di_core *80:7 16.0049 +2 *80:7 *80:8 1217.52 +3 *80:8 *80:10 4.5 +4 *80:10 *80:11 315.347 +5 *80:11 *2819:pad_flash_io0_di 47.4643 +*END + +*D_NET *81 0.013867 +*CONN +*I *2826:flash_io0_di I *D mgmt_core_wrapper +*I *2819:spimemio_flash_io0_di O *D housekeeping +*CAP +1 *2826:flash_io0_di 0.00157362 +2 *2819:spimemio_flash_io0_di 0.00154255 +3 *81:11 0.00539096 +4 *81:10 0.00535989 +5 *81:10 *2819:spimemio_flash_io0_do 0 +6 *2819:spimemio_flash_csb *81:10 0 +*RES +1 *2819:spimemio_flash_io0_di *81:10 15.8176 +2 *81:10 *81:11 106.653 +3 *81:11 *2826:flash_io0_di 18.189 +*END + +*D_NET *82 0.153694 +*CONN +*I *2822:flash_io0_do_core I *D chip_io +*I *2819:pad_flash_io0_do O *D housekeeping +*CAP +1 *2822:flash_io0_do_core 0.000931859 +2 *2819:pad_flash_io0_do 9.19267e-05 +3 *82:73 0.00166101 +4 *82:68 0.00820445 +5 *82:67 0.0083157 +6 *82:62 0.0146536 +7 *82:60 0.0146681 +8 *82:46 0.00579856 +9 *82:45 0.00668776 +10 *82:42 0.00293259 +11 *82:38 0.00217299 +12 *82:33 0.00448618 +13 *82:32 0.00381007 +14 *82:26 0.0022591 +15 *82:25 0.00284266 +16 *82:20 0.00389346 +17 *82:19 0.00451623 +18 *82:15 0.00217717 +19 *82:10 0.00186537 +20 *82:9 0.00120292 +21 *82:7 0.00155666 +22 *82:5 0.00164859 +23 *82:7 *84:9 0.00172901 +24 *82:7 *87:13 0.00272311 +25 *82:10 *89:16 0.00470206 +26 *82:15 *85:13 0 +27 *82:15 *89:25 0 +28 *82:15 *735:8 0 +29 *82:19 *85:13 0 +30 *82:19 *89:25 0 +31 *82:33 *89:35 0.00810877 +32 *82:46 *89:50 0.0200759 +33 *82:46 *91:16 0.00127522 +34 *82:60 *89:59 9.88649e-05 +35 *82:60 *89:60 0.00127644 +36 *82:60 *639:16 0 +37 *82:62 *2822:flash_io1_do_core 0 +38 *82:62 *84:28 0.00739634 +39 *82:62 *89:60 0.00870869 +40 *75:34 *2822:flash_io0_do_core 0.000622737 +41 *78:19 *82:33 0.000599454 +*RES +1 *2819:pad_flash_io0_do *82:5 2.61365 +2 *82:5 *82:7 70.9715 +3 *82:7 *82:9 4.5 +4 *82:9 *82:10 52.3015 +5 *82:10 *82:15 22.6368 +6 *82:15 *82:19 47.2343 +7 *82:19 *82:20 82.8047 +8 *82:20 *82:25 34.0861 +9 *82:25 *82:26 50.0831 +10 *82:26 *82:32 13.012 +11 *82:32 *82:33 151.323 +12 *82:33 *82:38 31.0366 +13 *82:38 *82:42 37.6677 +14 *82:42 *82:45 49.9335 +15 *82:45 *82:46 226.447 +16 *82:46 *82:60 43.4503 +17 *82:60 *82:62 423.886 +18 *82:62 *82:67 31.5946 +19 *82:67 *82:68 209.254 +20 *82:68 *82:73 28.6878 +21 *82:73 *2822:flash_io0_do_core 30.0973 +*END + +*D_NET *83 0.0139756 +*CONN +*I *2819:spimemio_flash_io0_do I *D housekeeping +*I *2826:flash_io0_do O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_io0_do 0.00163018 +2 *2826:flash_io0_do 0.00128325 +3 *83:11 0.00570457 +4 *83:10 0.00535763 +5 *2819:spimemio_flash_io0_do *2819:spimemio_flash_io0_oeb 0 +6 *81:10 *2819:spimemio_flash_io0_do 0 +*RES +1 *2826:flash_io0_do *83:10 18.0251 +2 *83:10 *83:11 113.863 +3 *83:11 *2819:spimemio_flash_io0_do 18.3092 +*END + +*D_NET *84 0.157956 +*CONN +*I *2822:flash_io0_ieb_core I *D chip_io +*I *2819:pad_flash_io0_ieb O *D housekeeping +*CAP +1 *2822:flash_io0_ieb_core 2.27669e-05 +2 *2819:pad_flash_io0_ieb 0.000648435 +3 *84:28 0.0147414 +4 *84:27 0.0162438 +5 *84:22 0.01436 +6 *84:21 0.0128348 +7 *84:19 0.00254816 +8 *84:18 0.00254816 +9 *84:16 0.00241662 +10 *84:15 0.00241662 +11 *84:13 0.00773507 +12 *84:12 0.00773507 +13 *84:10 0.00188886 +14 *84:9 0.0025373 +15 *84:9 *85:9 0 +16 *84:10 *2819:pad_flash_io1_di 0.000107496 +17 *84:10 *85:10 0.00109991 +18 *84:28 *89:60 0.00106667 +19 *84:28 *91:16 0.0374647 +20 *84:28 *615:16 0.00210142 +21 *2819:pad_flash_io0_di *84:10 0.000848795 +22 *75:10 *84:10 0.00112722 +23 *75:34 *84:28 0.0152952 +24 *78:10 *84:10 0.00104235 +25 *82:7 *84:9 0.00172901 +26 *82:62 *84:28 0.00739634 +*RES +1 *2819:pad_flash_io0_ieb *84:9 33.2135 +2 *84:9 *84:10 78.3679 +3 *84:10 *84:12 4.5 +4 *84:12 *84:13 216.933 +5 *84:13 *84:15 4.5 +6 *84:15 *84:16 66.7212 +7 *84:16 *84:18 4.5 +8 *84:18 *84:19 71.5944 +9 *84:19 *84:21 4.5 +10 *84:21 *84:22 357.333 +11 *84:22 *84:27 46.8659 +12 *84:27 *84:28 92.909 +13 *84:28 *2822:flash_io0_ieb_core 4.01609 +*END + +*D_NET *85 0.207543 +*CONN +*I *2822:flash_io0_oeb_core I *D chip_io +*I *2819:pad_flash_io0_oeb O *D housekeeping +*CAP +1 *2822:flash_io0_oeb_core 0.000353166 +2 *2819:pad_flash_io0_oeb 0.00102502 +3 *85:28 0.0131843 +4 *85:27 0.0128312 +5 *85:25 0.00240806 +6 *85:24 0.00240806 +7 *85:22 0.00295882 +8 *85:21 0.00295882 +9 *85:19 0.00508944 +10 *85:18 0.00508944 +11 *85:16 0.00196665 +12 *85:15 0.00196665 +13 *85:13 0.00238996 +14 *85:12 0.00238996 +15 *85:10 0.00118939 +16 *85:9 0.0022144 +17 *85:9 *2819:pad_flash_io1_di 0 +18 *85:10 *2819:pad_flash_io1_di 0.000370801 +19 *85:16 *89:26 0 +20 *85:16 *734:11 6.89789e-05 +21 *85:16 *735:11 1.80122e-05 +22 *85:16 *739:11 0.00641439 +23 *85:22 *2826:sram_ro_addr[1] 0.000247473 +24 *85:22 *92:30 0 +25 *85:25 *92:35 0.00210311 +26 *85:28 *89:44 0.0012657 +27 *85:28 *92:42 0.0385759 +28 *85:28 *636:22 0.000130618 +29 *85:28 *639:15 0.000434669 +30 *2819:pad_flash_io0_di *85:10 0.000844584 +31 *75:10 *85:10 0.00112301 +32 *75:25 *85:25 0.000114237 +33 *78:13 *85:19 0.00425018 +34 *80:8 *85:28 0.0900579 +35 *82:15 *85:13 0 +36 *82:19 *85:13 0 +37 *84:9 *85:9 0 +38 *84:10 *85:10 0.00109991 +*RES +1 *2819:pad_flash_io0_oeb *85:9 33.5066 +2 *85:9 *85:10 50.6377 +3 *85:10 *85:12 4.5 +4 *85:12 *85:13 66.6113 +5 *85:13 *85:15 4.5 +6 *85:15 *85:16 80.5863 +7 *85:16 *85:18 4.5 +8 *85:18 *85:19 168.763 +9 *85:19 *85:21 4.5 +10 *85:21 *85:22 80.5863 +11 *85:22 *85:24 4.5 +12 *85:24 *85:25 80.3147 +13 *85:25 *85:27 4.5 +14 *85:27 *85:28 941.331 +15 *85:28 *2822:flash_io0_oeb_core 12.2677 +*END + +*D_NET *86 0.0212236 +*CONN +*I *2819:spimemio_flash_io0_oeb I *D housekeeping +*I *2826:flash_io0_oeb O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_io0_oeb 0.00172165 +2 *2826:flash_io0_oeb 0.00128442 +3 *86:11 0.00417 +4 *86:10 0.00373277 +5 *2819:spimemio_flash_io0_oeb *88:10 0 +6 *86:10 *2826:flash_io1_di 0 +7 *86:11 *88:11 0.0103148 +8 *2819:spimemio_flash_io0_do *2819:spimemio_flash_io0_oeb 0 +*RES +1 *2826:flash_io0_oeb *86:10 18.0251 +2 *86:10 *86:11 113.863 +3 *86:11 *2819:spimemio_flash_io0_oeb 20.8007 +*END + +*D_NET *87 0.150986 +*CONN +*I *2819:pad_flash_io1_di I *D housekeeping +*I *2822:flash_io1_di_core O *D chip_io +*CAP +1 *2819:pad_flash_io1_di 0.00127446 +2 *2822:flash_io1_di_core 0.00102734 +3 *87:13 0.0114181 +4 *87:12 0.0101436 +5 *87:10 0.018367 +6 *87:9 0.0193943 +7 *2819:pad_flash_io1_di *89:9 0 +8 *87:10 *637:16 0.00120024 +9 *87:13 *89:15 0 +10 *72:16 *87:10 0.000286628 +11 *76:10 *87:10 0.0846367 +12 *79:14 *87:10 3.58044e-05 +13 *82:7 *87:13 0.00272311 +14 *84:10 *2819:pad_flash_io1_di 0.000107496 +15 *85:9 *2819:pad_flash_io1_di 0 +16 *85:10 *2819:pad_flash_io1_di 0.000370801 +*RES +1 *2822:flash_io1_di_core *87:9 29.7693 +2 *87:9 *87:10 901.954 +3 *87:10 *87:12 4.5 +4 *87:12 *87:13 303.72 +5 *87:13 *2819:pad_flash_io1_di 46.3551 +*END + +*D_NET *88 0.021572 +*CONN +*I *2826:flash_io1_di I *D mgmt_core_wrapper +*I *2819:spimemio_flash_io1_di O *D housekeeping +*CAP +1 *2826:flash_io1_di 0.00153926 +2 *2819:spimemio_flash_io1_di 0.00177939 +3 *88:11 0.00384919 +4 *88:10 0.00408932 +5 *88:10 *2819:spimemio_flash_io1_do 0 +6 *2819:spimemio_flash_io0_oeb *88:10 0 +7 *86:10 *2826:flash_io1_di 0 +8 *86:11 *88:11 0.0103148 +*RES +1 *2819:spimemio_flash_io1_di *88:10 15.4133 +2 *88:10 *88:11 109.98 +3 *88:11 *2826:flash_io1_di 27.27 +*END + +*D_NET *89 0.153024 +*CONN +*I *2822:flash_io1_do_core I *D chip_io +*I *2819:pad_flash_io1_do O *D housekeeping +*CAP +1 *2822:flash_io1_do_core 0.000946694 +2 *2819:pad_flash_io1_do 0.00123189 +3 *89:77 0.00142652 +4 *89:72 0.0042365 +5 *89:71 0.00443813 +6 *89:65 0.00146648 +7 *89:60 0.00327685 +8 *89:59 0.00257684 +9 *89:50 0.00442403 +10 *89:49 0.00488159 +11 *89:44 0.00191346 +12 *89:43 0.00137088 +13 *89:41 0.00198886 +14 *89:40 0.00294176 +15 *89:35 0.0034675 +16 *89:34 0.00374575 +17 *89:26 0.00436764 +18 *89:25 0.0039145 +19 *89:16 0.00185523 +20 *89:15 0.00246478 +21 *89:12 0.0019258 +22 *89:9 0.00177013 +23 *89:9 *91:9 0 +24 *89:25 *732:8 5.85596e-05 +25 *89:25 *735:8 0.00143459 +26 *89:26 *732:11 0.0108682 +27 *89:26 *735:11 0 +28 *89:26 *743:7 0.00010238 +29 *89:34 *773:13 2.02035e-05 +30 *89:50 *91:16 0.00404598 +31 *89:60 *615:16 0.000318594 +32 *89:60 *637:34 0.000307893 +33 *89:65 *637:33 0.000182014 +34 *89:71 *614:16 0.00287977 +35 *89:72 *614:16 0.017325 +36 *89:77 *614:19 0.00120528 +37 *2819:pad_flash_io1_di *89:9 0 +38 *64:48 *89:40 0 +39 *75:34 *2822:flash_io1_do_core 0.000593771 +40 *78:19 *89:35 0.00774669 +41 *82:10 *89:16 0.00470206 +42 *82:15 *89:25 0 +43 *82:19 *89:25 0 +44 *82:33 *89:35 0.00810877 +45 *82:46 *89:50 0.0200759 +46 *82:60 *89:59 9.88649e-05 +47 *82:60 *89:60 0.00127644 +48 *82:62 *2822:flash_io1_do_core 0 +49 *82:62 *89:60 0.00870869 +50 *84:28 *89:60 0.00106667 +51 *85:16 *89:26 0 +52 *85:28 *89:44 0.0012657 +53 *87:13 *89:15 0 +*RES +1 *2819:pad_flash_io1_do *89:9 39.3201 +2 *89:9 *89:12 18.5339 +3 *89:12 *89:15 43.2894 +4 *89:15 *89:16 49.5285 +5 *89:16 *89:25 39.6857 +6 *89:25 *89:26 128.837 +7 *89:26 *89:34 47.074 +8 *89:34 *89:35 165.441 +9 *89:35 *89:40 34.126 +10 *89:40 *89:41 53.7385 +11 *89:41 *89:43 4.5 +12 *89:43 *89:44 50.0831 +13 *89:44 *89:49 21.6286 +14 *89:49 *89:50 209.809 +15 *89:50 *89:59 12.1755 +16 *89:59 *89:60 121.072 +17 *89:60 *89:65 30.7641 +18 *89:65 *89:71 40.1189 +19 *89:71 *89:72 181.524 +20 *89:72 *89:77 29.1031 +21 *89:77 *2822:flash_io1_do_core 30.3926 +*END + +*D_NET *90 0.0145972 +*CONN +*I *2819:spimemio_flash_io1_do I *D housekeeping +*I *2826:flash_io1_do O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_io1_do 0.00181905 +2 *2826:flash_io1_do 0.00159253 +3 *90:11 0.00564785 +4 *90:10 0.00542133 +5 *2819:spimemio_flash_io1_do *2819:spimemio_flash_io1_oeb 0.000116454 +6 *88:10 *2819:spimemio_flash_io1_do 0 +*RES +1 *2826:flash_io1_do *90:10 20.6805 +2 *90:10 *90:11 106.653 +3 *90:11 *2819:spimemio_flash_io1_do 24.9532 +*END + +*D_NET *91 0.163557 +*CONN +*I *2822:flash_io1_ieb_core I *D chip_io +*I *2819:pad_flash_io1_ieb O *D housekeeping +*CAP +1 *2822:flash_io1_ieb_core 4.25268e-05 +2 *2819:pad_flash_io1_ieb 0.00129137 +3 *91:16 0.0138827 +4 *91:15 0.0138402 +5 *91:13 0.0113193 +6 *91:12 0.0123238 +7 *91:9 0.00229584 +8 *91:9 *92:13 0.000436901 +9 *91:16 *637:34 0.00125509 +10 *91:16 *2536:13 0.00503418 +11 *75:34 *91:16 0.0502129 +12 *78:22 *91:16 0.00883624 +13 *79:7 *91:13 0 +14 *79:11 *91:13 0 +15 *82:46 *91:16 0.00127522 +16 *84:28 *91:16 0.0374647 +17 *89:9 *91:9 0 +18 *89:50 *91:16 0.00404598 +*RES +1 *2819:pad_flash_io1_ieb *91:9 43.7413 +2 *91:9 *91:12 31.2898 +3 *91:12 *91:13 318.047 +4 *91:13 *91:15 3.36879 +5 *91:15 *91:16 114.938 +6 *91:16 *2822:flash_io1_ieb_core 4.5779 +*END + +*D_NET *92 0.129097 +*CONN +*I *2822:flash_io1_oeb_core I *D chip_io +*I *2819:pad_flash_io1_oeb O *D housekeeping +*CAP +1 *2822:flash_io1_oeb_core 0.000433023 +2 *2819:pad_flash_io1_oeb 0.0015031 +3 *92:42 0.00852228 +4 *92:41 0.00808926 +5 *92:39 0.00155993 +6 *92:36 0.00635398 +7 *92:35 0.00508733 +8 *92:30 0.00436887 +9 *92:29 0.00407559 +10 *92:27 0.00211099 +11 *92:26 0.00211099 +12 *92:24 0.00191084 +13 *92:23 0.00191084 +14 *92:21 0.00327259 +15 *92:20 0.00327259 +16 *92:18 0.00219401 +17 *92:17 0.00219401 +18 *92:15 0.00189666 +19 *92:13 0.00339976 +20 *92:30 *2826:sram_ro_addr[1] 0.000554387 +21 *92:42 *636:22 0.000139048 +22 *92:42 *639:15 0.00181341 +23 *73:13 *92:15 0.00228651 +24 *75:25 *92:35 0.00208066 +25 *75:28 *92:36 0.0168406 +26 *85:22 *92:30 0 +27 *85:25 *92:35 0.00210311 +28 *85:28 *92:42 0.0385759 +29 *91:9 *92:13 0.000436901 +*RES +1 *2819:pad_flash_io1_oeb *92:13 45.4335 +2 *92:13 *92:15 75.5393 +3 *92:15 *92:17 4.5 +4 *92:17 *92:18 59.5114 +5 *92:18 *92:20 4.5 +6 *92:20 *92:21 92.7722 +7 *92:21 *92:23 4.5 +8 *92:23 *92:24 52.8561 +9 *92:24 *92:26 4.5 +10 *92:26 *92:27 59.5521 +11 *92:27 *92:29 4.5 +12 *92:29 *92:30 111.644 +13 *92:30 *92:35 42.8064 +14 *92:35 *92:36 207.591 +15 *92:36 *92:39 47.0267 +16 *92:39 *92:41 4.5 +17 *92:41 *92:42 403.366 +18 *92:42 *2822:flash_io1_oeb_core 14.3439 +*END + +*D_NET *93 0.0217923 +*CONN +*I *2819:spimemio_flash_io1_oeb I *D housekeeping +*I *2826:flash_io1_oeb O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_io1_oeb 0.00228017 +2 *2826:flash_io1_oeb 0.00136305 +3 *93:11 0.00445023 +4 *93:10 0.00353311 +5 *2819:spimemio_flash_io1_oeb *94:10 0 +6 *93:11 *94:11 0.0100493 +7 *2819:spimemio_flash_io1_do *2819:spimemio_flash_io1_oeb 0.000116454 +*RES +1 *2826:flash_io1_oeb *93:10 13.206 +2 *93:10 *93:11 104.989 +3 *93:11 *2819:spimemio_flash_io1_oeb 36.3945 +*END + +*D_NET *94 0.0219853 +*CONN +*I *2826:flash_io2_di I *D mgmt_core_wrapper +*I *2819:spimemio_flash_io2_di O *D housekeeping +*CAP +1 *2826:flash_io2_di 0.00150883 +2 *2819:spimemio_flash_io2_di 0.00165782 +3 *94:11 0.00431018 +4 *94:10 0.00445918 +5 *94:10 *2819:spimemio_flash_io2_do 0 +6 *2819:spimemio_flash_io1_oeb *94:10 0 +7 *93:11 *94:11 0.0100493 +*RES +1 *2819:spimemio_flash_io2_di *94:10 27.7289 +2 *94:10 *94:11 121.627 +3 *94:11 *2826:flash_io2_di 22.4836 +*END + +*D_NET *95 0.0229494 +*CONN +*I *2819:spimemio_flash_io2_do I *D housekeeping +*I *2826:flash_io2_do O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_io2_do 0.00218431 +2 *2826:flash_io2_do 0.00102291 +3 *95:11 0.00493232 +4 *95:10 0.00377092 +5 *2819:spimemio_flash_io2_do *2819:spimemio_flash_io2_oeb 0 +6 *95:11 *96:11 0.0110389 +7 *94:10 *2819:spimemio_flash_io2_do 0 +*RES +1 *2826:flash_io2_do *95:10 13.8289 +2 *95:10 *95:11 124.4 +3 *95:11 *2819:spimemio_flash_io2_do 39.3232 +*END + +*D_NET *96 0.0231147 +*CONN +*I *2819:spimemio_flash_io2_oeb I *D housekeeping +*I *2826:flash_io2_oeb O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_io2_oeb 0.00205195 +2 *2826:flash_io2_oeb 0.00156946 +3 *96:11 0.0044684 +4 *96:10 0.00398591 +5 *2819:spimemio_flash_io2_oeb *2819:spimemio_flash_io3_do 0 +6 *2819:spimemio_flash_io2_oeb *2819:spimemio_flash_io3_oeb 0 +7 *2819:spimemio_flash_io2_oeb *97:10 0 +8 *2819:spimemio_flash_io2_do *2819:spimemio_flash_io2_oeb 0 +9 *95:11 *96:11 0.0110389 +*RES +1 *2826:flash_io2_oeb *96:10 23.4671 +2 *96:10 *96:11 115.526 +3 *96:11 *2819:spimemio_flash_io2_oeb 33.4003 +*END + +*D_NET *97 0.024133 +*CONN +*I *2826:flash_io3_di I *D mgmt_core_wrapper +*I *2819:spimemio_flash_io3_di O *D housekeeping +*CAP +1 *2826:flash_io3_di 0.00102137 +2 *2819:spimemio_flash_io3_di 0.00226535 +3 *97:11 0.00365298 +4 *97:10 0.00489696 +5 *2826:flash_io3_di *697:33 0.000620249 +6 *97:10 *2819:spimemio_flash_io3_do 0.00152064 +7 *97:11 *98:11 0.0101555 +8 *2819:spimemio_flash_io2_oeb *97:10 0 +*RES +1 *2819:spimemio_flash_io3_di *97:10 44.5465 +2 *97:10 *97:11 118.299 +3 *97:11 *2826:flash_io3_di 15.2604 +*END + +*D_NET *98 0.0243794 +*CONN +*I *2819:spimemio_flash_io3_do I *D housekeeping +*I *2826:flash_io3_do O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_io3_do 0.00176963 +2 *2826:flash_io3_do 0.0017746 +3 *98:11 0.00398602 +4 *98:10 0.003991 +5 *2819:spimemio_flash_io3_do *2819:spimemio_flash_io3_oeb 0.00118204 +6 *98:11 *697:33 0 +7 *2819:spimemio_flash_io2_oeb *2819:spimemio_flash_io3_do 0 +8 *97:10 *2819:spimemio_flash_io3_do 0.00152064 +9 *97:11 *98:11 0.0101555 +*RES +1 *2826:flash_io3_do *98:10 25.6635 +2 *98:10 *98:11 106.653 +3 *98:11 *2819:spimemio_flash_io3_do 38.2413 +*END + +*D_NET *99 0.0298288 +*CONN +*I *2819:spimemio_flash_io3_oeb I *D housekeeping +*I *2826:flash_io3_oeb O *D mgmt_core_wrapper +*CAP +1 *2819:spimemio_flash_io3_oeb 0.00254582 +2 *2826:flash_io3_oeb 0.00158986 +3 *99:11 0.0047642 +4 *99:10 0.00380824 +5 *99:10 *1729:24 0.000347349 +6 *99:10 *1750:10 0.000347349 +7 *99:10 *1889:29 0.000344331 +8 *99:10 *1894:27 0.000510617 +9 *99:10 *1896:28 0.000469437 +10 *99:10 *1898:27 0.000424011 +11 *99:10 *1900:35 0.000361052 +12 *99:10 *1902:31 0.000390675 +13 *99:10 *1904:33 0.000365725 +14 *99:10 *1906:25 0.000348787 +15 *99:10 *1914:29 0.000385684 +16 *99:10 *1916:29 0.000418593 +17 *99:10 *1918:27 0.000463439 +18 *99:10 *1920:29 0.000504093 +19 *99:11 *1747:10 0.0102575 +20 *2819:spimemio_flash_io2_oeb *2819:spimemio_flash_io3_oeb 0 +21 *2819:spimemio_flash_io3_do *2819:spimemio_flash_io3_oeb 0.00118204 +*RES +1 *2826:flash_io3_oeb *99:10 18.189 +2 *99:10 *99:11 107.207 +3 *99:11 *2819:spimemio_flash_io3_oeb 48.9613 +*END + +*D_NET *100 1.23718 +*CONN +*I *2782:serial_clock I *D gpio_control_block +*I *2781:serial_clock_out O *D gpio_control_block +*I *2810:serial_clock I *D gpio_control_block +*I *2803:serial_clock_out O *D gpio_control_block +*CAP +1 *2782:serial_clock 0.000977978 +2 *2781:serial_clock_out 0.000809439 +3 *2810:serial_clock 0.000110784 +4 *2803:serial_clock_out 0.000731161 +5 *100:56 0.00326544 +6 *100:55 0.002456 +7 *100:53 0.00115202 +8 *100:50 0.000858534 +9 *100:44 0.0399536 +10 *100:43 0.0392691 +11 *100:41 0.0125449 +12 *100:40 0.0125449 +13 *100:38 0.00189477 +14 *100:37 0.0022062 +15 *100:23 0.00135466 +16 *100:22 0.00124388 +17 *100:20 0.00121087 +18 *100:19 0.00121087 +19 *100:17 0.0014034 +20 *100:16 0.00171483 +21 *100:14 0.000731161 +22 *2781:serial_clock_out *2781:resetn 0 +23 *2781:serial_clock_out *2781:serial_clock 9.52305e-06 +24 *2781:serial_clock_out *2781:serial_data_in 0.000554307 +25 *2781:serial_clock_out *660:15 0 +26 *2782:serial_clock *2782:resetn_out 0.000200179 +27 *2782:serial_clock *2782:serial_clock_out 3.84497e-05 +28 *100:14 *616:16 0.00123295 +29 *100:14 *659:31 0 +30 *100:14 *2344:13 0.00122052 +31 *100:14 *2458:13 0.00132899 +32 *100:14 *2458:16 2.82537e-05 +33 *100:14 *2629:19 2.20702e-05 +34 *100:17 *2803:pad_gpio_in 0.000139301 +35 *100:17 *2822:mprj_io_inp_dis[19] 0.000275217 +36 *100:17 *616:17 0.0215294 +37 *100:17 *616:37 4.88955e-05 +38 *100:17 *640:68 0.0214361 +39 *100:17 *1715:26 0.000201482 +40 *100:20 *616:20 0.00330362 +41 *100:20 *640:71 3.31736e-05 +42 *100:20 *1717:37 4.3116e-06 +43 *100:23 *2810:user_gpio_out 0.000315052 +44 *100:23 *616:23 7.92757e-06 +45 *100:23 *640:74 0.00964524 +46 *100:23 *650:13 0.000859493 +47 *100:23 *688:13 0.000581034 +48 *100:23 *1717:40 0.000886148 +49 *100:23 *2631:19 0.000759667 +50 *100:37 *2803:user_gpio_out 0.000236267 +51 *100:37 *616:37 0.00132899 +52 *100:38 *2821:analog_io[13] 0 +53 *100:38 *616:38 0.00323215 +54 *100:41 *624:23 0.0294141 +55 *100:41 *659:28 0.20139 +56 *100:41 *1713:20 0.142533 +57 *100:41 *1714:20 0.0133723 +58 *100:44 *2819:mgmt_gpio_in[13] 0.000826485 +59 *100:44 *2819:mgmt_gpio_in[14] 0.00130366 +60 *100:44 *616:44 0.308006 +61 *100:44 *640:22 0.30627 +62 *100:44 *640:30 2.35336e-05 +63 *100:44 *2537:12 0.000303562 +64 *100:44 *2706:14 0.000461527 +65 *100:50 *640:34 0.00267752 +66 *100:50 *1708:20 0.000519368 +67 *100:50 *2706:17 0.000691614 +68 *100:56 *640:34 0.000316096 +69 *100:56 *659:25 0.000853353 +70 *100:56 *1706:20 4.86653e-05 +71 *100:56 *1707:20 0.0025629 +72 *100:56 *1744:8 0.0141746 +73 *100:56 *1749:8 0.0143258 +*RES +1 *2803:serial_clock_out *100:14 48.2097 +2 *100:14 *100:16 4.5 +3 *100:16 *100:17 226.447 +4 *100:17 *100:19 4.5 +5 *100:19 *100:20 54.569 +6 *100:20 *100:22 4.5 +7 *100:22 *100:23 102.216 +8 *100:23 *2810:serial_clock 8.46357 +9 *100:16 *100:37 18.5339 +10 *100:37 *100:38 68.2723 +11 *100:38 *100:40 4.5 +12 *100:40 *100:41 2106 +13 *100:41 *100:43 4.5 +14 *100:43 *100:44 4936.27 +15 *100:44 *100:50 47.5934 +16 *100:50 *100:53 7.99641 +17 *100:53 *100:55 4.5 +18 *100:55 *100:56 272.576 +19 *100:56 *2781:serial_clock_out 36.9903 +20 *100:53 *2782:serial_clock 30.893 +*END + +*D_NET *101 1.24322 +*CONN +*I *2790:serial_clock I *D gpio_control_block +*I *2789:serial_clock_out O *D gpio_control_block +*I *2805:serial_clock I *D gpio_control_block +*I *2804:serial_clock_out O *D gpio_control_block +*CAP +1 *2790:serial_clock 0.000548539 +2 *2789:serial_clock_out 8.33611e-05 +3 *2805:serial_clock 9.89961e-05 +4 *2804:serial_clock_out 0.00577481 +5 *101:75 0.00241774 +6 *101:64 0.000972676 +7 *101:63 0.00247179 +8 *101:58 0.00894583 +9 *101:56 0.00553969 +10 *101:54 0.0209896 +11 *101:53 0.0209441 +12 *101:51 0.0799024 +13 *101:50 0.0799024 +14 *101:48 0.0311585 +15 *101:47 0.0318116 +16 *101:34 0.0013441 +17 *101:33 0.00406247 +18 *101:28 0.00617811 +19 *101:27 0.00336074 +20 *101:25 0.00780001 +21 *101:24 0.00845312 +22 *101:22 0.0031143 +23 *101:21 0.0031143 +24 *101:19 0.00153986 +25 *101:18 0.00153986 +26 *101:16 0.00284375 +27 *101:15 0.00861856 +28 *2790:serial_clock *2790:resetn 1.48422e-06 +29 *2790:serial_clock *2790:serial_clock_out 1.94242e-05 +30 *2790:serial_clock *2298:8 0 +31 *2790:serial_clock *2412:13 0.000528818 +32 *2790:serial_clock *2621:13 0.00170554 +33 *101:16 *2804:gpio_defaults[0] 0 +34 *101:16 *2203:25 0.00115683 +35 *101:19 *2169:13 7.98171e-06 +36 *101:19 *2203:31 0.0200544 +37 *101:19 *2241:35 0.0201052 +38 *101:19 *2393:15 0.000251655 +39 *101:19 *2469:15 2.35405e-05 +40 *101:22 *102:49 0.00131435 +41 *101:22 *680:8 0.00903642 +42 *101:28 *2805:gpio_defaults[0] 0 +43 *101:28 *641:24 0.00149321 +44 *101:33 *641:29 0.0143514 +45 *101:34 *641:30 0.00307479 +46 *101:48 *1726:27 0.12566 +47 *101:48 *1730:29 0.0538301 +48 *101:48 *2722:14 0.00110084 +49 *101:51 *619:23 0.0496329 +50 *101:51 *644:69 0.00027562 +51 *101:51 *658:56 0.351547 +52 *101:54 *658:59 0.0137555 +53 *101:54 *658:63 0 +54 *101:54 *658:78 0 +55 *101:54 *677:14 0.00186465 +56 *101:54 *1706:20 0.00322539 +57 *101:54 *1740:20 0.0773605 +58 *101:54 *1741:20 0.0940791 +59 *101:54 *1742:20 0.00819502 +60 *101:58 *641:57 6.11207e-05 +61 *101:58 *661:14 0.00401821 +62 *101:58 *677:14 0.011678 +63 *101:58 *1706:20 0 +64 *101:58 *1707:20 0 +65 *101:64 *617:44 0.00178023 +66 *101:64 *2449:10 0.00428701 +67 *101:75 *617:38 0.0115252 +68 *101:75 *661:14 0.0126886 +69 *101:75 *1707:20 0 +*RES +1 *2804:serial_clock_out *101:15 49.5772 +2 *101:15 *101:16 90.9036 +3 *101:16 *101:18 4.5 +4 *101:18 *101:19 217.573 +5 *101:19 *101:21 4.5 +6 *101:21 *101:22 144.264 +7 *101:22 *101:24 4.5 +8 *101:24 *101:25 217.573 +9 *101:25 *101:27 4.5 +10 *101:27 *101:28 109.175 +11 *101:28 *101:33 25.0686 +12 *101:33 *101:34 54.1538 +13 *101:34 *2805:serial_clock 3.708 +14 *101:24 *101:47 18.5339 +15 *101:47 *101:48 2007.08 +16 *101:48 *101:50 4.5 +17 *101:50 *101:51 3774.8 +18 *101:51 *101:53 4.5 +19 *101:53 *101:54 1922.78 +20 *101:54 *101:56 1.29461 +21 *101:56 *101:58 253.072 +22 *101:58 *101:63 46.8818 +23 *101:63 *101:64 68.48 +24 *101:64 *2789:serial_clock_out 3.59493 +25 *101:58 *101:75 202.814 +26 *101:75 *2790:serial_clock 32.4041 +*END + +*D_NET *102 1.37054 +*CONN +*I *2791:serial_clock I *D gpio_control_block +*I *2790:serial_clock_out O *D gpio_control_block +*I *2806:serial_clock I *D gpio_control_block +*I *2805:serial_clock_out O *D gpio_control_block +*CAP +1 *2791:serial_clock 0.00151833 +2 *2790:serial_clock_out 0.00119274 +3 *2806:serial_clock 0.000433667 +4 *2805:serial_clock_out 0.000478681 +5 *102:61 0.00337887 +6 *102:60 0.00218613 +7 *102:58 0.00201065 +8 *102:55 0.0149527 +9 *102:54 0.0144604 +10 *102:52 0.0789781 +11 *102:51 0.0789781 +12 *102:49 0.0488374 +13 *102:37 0.00188906 +14 *102:29 0.00373386 +15 *102:28 0.00227847 +16 *102:26 0.00178435 +17 *102:25 0.00243057 +18 *102:14 0.00131715 +19 *102:13 0.00136011 +20 *102:7 0.0500052 +21 *2790:serial_clock_out *2790:serial_data_in 1.73002e-05 +22 *2790:serial_clock_out *2790:serial_load_out 5.39635e-06 +23 *2790:serial_clock_out *618:47 0.00126128 +24 *2790:serial_clock_out *2298:8 0 +25 *2791:serial_clock *2791:resetn_out 0.000181571 +26 *2791:serial_clock *2791:serial_clock_out 1.94242e-05 +27 *2806:serial_clock *2806:resetn 0.00141252 +28 *2806:serial_clock *2806:serial_load 0.00078274 +29 *102:7 *2805:serial_data_in 0.000207109 +30 *102:7 *2805:user_gpio_oeb 0.000817312 +31 *102:7 *642:5 0 +32 *102:7 *642:51 0 +33 *102:13 *106:37 0 +34 *102:13 *642:52 0.00035568 +35 *102:13 *2357:7 7.70397e-05 +36 *102:14 *2053:8 0.00289642 +37 *102:14 *2205:8 0.000296518 +38 *102:14 *2357:8 0.000217246 +39 *102:14 *2395:8 0.00413468 +40 *102:14 *2471:13 1.49176e-05 +41 *102:25 *642:13 0.000137746 +42 *102:25 *2395:13 1.19726e-05 +43 *102:25 *2471:14 0.000628648 +44 *102:25 *2605:11 0.000628648 +45 *102:26 *2822:mprj_io_oeb[30] 0 +46 *102:26 *2822:mprj_io_slow_sel[30] 0.000554108 +47 *102:26 *106:37 0.00130376 +48 *102:26 *681:8 0.00265741 +49 *102:26 *1977:17 0.00173578 +50 *102:26 *2172:16 1.2366e-05 +51 *102:26 *2357:20 0.00469995 +52 *102:29 *642:17 0.0105187 +53 *102:37 *618:16 0.00052298 +54 *102:37 *642:37 0.00507718 +55 *102:49 *2822:mprj_io_analog_sel[26] 0.000165203 +56 *102:49 *115:36 0.000386498 +57 *102:49 *642:52 0.162547 +58 *102:49 *680:8 0.0024143 +59 *102:49 *696:8 0.00243013 +60 *102:49 *2009:16 0.000466331 +61 *102:49 *2009:28 0.000343944 +62 *102:49 *2048:14 0.000461226 +63 *102:49 *2165:8 0.000555378 +64 *102:49 *2166:18 0.000154008 +65 *102:49 *2166:22 0.000372229 +66 *102:49 *2200:20 0.000261028 +67 *102:49 *2239:17 0.000167137 +68 *102:49 *2239:20 0.000666715 +69 *102:49 *2390:20 0.000387524 +70 *102:49 *2391:19 0.000122623 +71 *102:49 *2426:14 0.00107026 +72 *102:49 *2466:8 0.000741493 +73 *102:49 *2467:8 0.000981609 +74 *102:49 *2468:23 0.000228554 +75 *102:49 *2599:13 0.000170738 +76 *102:49 *2603:20 0.000152287 +77 *102:49 *2604:13 0.000286122 +78 *102:52 *117:50 0.0515451 +79 *102:52 *617:29 0.10309 +80 *102:52 *631:33 0.0473954 +81 *102:52 *642:55 0.411596 +82 *102:55 *634:30 0.103228 +83 *102:55 *642:58 0.0131767 +84 *102:55 *2661:14 0.0624516 +85 *102:55 *2696:14 0.0136819 +86 *102:58 *2791:resetn_out 3.24705e-06 +87 *102:58 *103:33 0 +88 *102:58 *643:43 2.16024e-05 +89 *102:61 *633:41 0.01712 +90 *102:61 *642:64 0.01684 +91 *102:61 *1711:17 0.000129812 +92 *2790:serial_clock *2790:serial_clock_out 1.94242e-05 +93 *101:22 *102:49 0.00131435 +*RES +1 *2805:serial_clock_out *102:7 2.5433 +2 *102:7 *102:13 6.39953 +3 *102:13 *102:14 67.2342 +4 *102:14 *102:25 39.0121 +5 *102:25 *102:26 116.857 +6 *102:26 *102:28 4.5 +7 *102:28 *102:29 109.98 +8 *102:29 *102:37 48.2299 +9 *102:37 *2806:serial_clock 26.3026 +10 *102:7 *102:49 322.845 +11 *102:49 *102:51 0.376635 +12 *102:51 *102:52 519.686 +13 *102:52 *102:54 3.36879 +14 *102:54 *102:55 1647.27 +15 *102:55 *102:58 13.5424 +16 *102:58 *102:60 4.5 +17 *102:60 *102:61 273.407 +18 *102:61 *2790:serial_clock_out 46.4086 +19 *102:58 *2791:serial_clock 41.9086 +*END + +*D_NET *103 1.25892 +*CONN +*I *2807:serial_clock I *D gpio_control_block +*I *2791:serial_clock_out O *D gpio_control_block +*I *2792:serial_clock I *D gpio_control_block +*I *2806:serial_clock_out O *D gpio_control_block +*CAP +1 *2807:serial_clock 0.000114836 +2 *2791:serial_clock_out 0.00159909 +3 *2792:serial_clock 0.000324985 +4 *2806:serial_clock_out 3.60638e-05 +5 *103:41 0.00108689 +6 *103:36 0.00289912 +7 *103:35 0.00213721 +8 *103:33 0.00222701 +9 *103:30 0.0765139 +10 *103:29 0.075886 +11 *103:27 0.0203695 +12 *103:26 0.0203695 +13 *103:24 0.00323863 +14 *103:23 0.00648147 +15 *103:18 0.0117465 +16 *103:16 0.00891937 +17 *103:12 0.00408529 +18 *103:8 0.0270008 +19 *103:7 0.0234821 +20 *2791:serial_clock_out *2791:serial_data_in 1.94242e-05 +21 *2792:serial_clock *2792:pad_gpio_in 2.95757e-05 +22 *2792:serial_clock *2792:resetn 0.000265099 +23 *2792:serial_clock *2792:resetn_out 4.18503e-06 +24 *2792:serial_clock *644:98 7.61581e-05 +25 *2792:serial_clock *2186:8 0 +26 *2792:serial_clock *2414:13 0.000519205 +27 *103:8 *643:13 0 +28 *103:18 *2822:mprj_io_inp_dis[32] 0.00145794 +29 *103:18 *106:13 0.0109626 +30 *103:18 *106:25 0.0305618 +31 *103:18 *619:20 0 +32 *103:18 *644:24 0 +33 *103:18 *644:60 0.0309044 +34 *103:18 *2018:15 0.000311148 +35 *103:23 *2822:mprj_io_out[34] 6.88661e-06 +36 *103:23 *644:65 0.0131947 +37 *103:24 *108:26 0.0046213 +38 *103:24 *630:23 0.00699714 +39 *103:24 *1750:13 0.00490599 +40 *103:27 *620:45 0.349858 +41 *103:27 *654:40 0.0515766 +42 *103:27 *656:32 2.42273e-05 +43 *103:27 *657:31 0.349523 +44 *103:30 *117:53 0.00822882 +45 *103:30 *118:37 0.0353749 +46 *103:30 *119:14 0 +47 *103:30 *657:40 0 +48 *103:30 *2641:14 0 +49 *103:30 *2655:16 0 +50 *103:30 *2658:14 0.0342481 +51 *103:36 *619:32 0.000132276 +52 *103:36 *619:47 0.0157497 +53 *103:36 *633:41 0.0163703 +54 *103:36 *1711:17 0.000231342 +55 *103:41 *2792:pad_gpio_in 0.0011537 +56 *103:41 *643:47 0.00307357 +57 *2791:serial_clock *2791:serial_clock_out 1.94242e-05 +58 *102:58 *103:33 0 +*RES +1 *2806:serial_clock_out *103:7 0.51465 +2 *103:7 *103:8 93.4535 +3 *103:8 *103:12 14.1552 +4 *103:12 *103:16 1.48091 +5 *103:16 *103:18 93.8939 +6 *103:18 *103:23 21.2733 +7 *103:23 *103:24 230.844 +8 *103:24 *103:26 4.5 +9 *103:26 *103:27 3655.56 +10 *103:27 *103:29 4.5 +11 *103:29 *103:30 2659.03 +12 *103:30 *103:33 15.7609 +13 *103:33 *103:35 4.5 +14 *103:35 *103:36 261.78 +15 *103:36 *103:41 42.9996 +16 *103:41 *2792:serial_clock 13.2987 +17 *103:33 *2791:serial_clock_out 41.9086 +18 *103:12 *2807:serial_clock 0.745783 +*END + +*D_NET *104 1.55604 +*CONN +*I *2792:serial_clock_out O *D gpio_control_block +*I *2793:serial_clock I *D gpio_control_block +*I *2808:serial_clock I *D gpio_control_block +*I *2807:serial_clock_out O *D gpio_control_block +*CAP +1 *2792:serial_clock_out 0.000459594 +2 *2793:serial_clock 0.000122569 +3 *2808:serial_clock 0.000779825 +4 *2807:serial_clock_out 0.00403794 +5 *104:69 0.00210739 +6 *104:58 0.000596544 +7 *104:52 0.00908105 +8 *104:51 0.00860708 +9 *104:49 0.00172207 +10 *104:46 0.0231758 +11 *104:45 0.0231015 +12 *104:43 0.0204935 +13 *104:42 0.0204935 +14 *104:40 0.00547002 +15 *104:39 0.00547002 +16 *104:37 0.00291211 +17 *104:23 0.00234068 +18 *104:22 0.00447297 +19 *104:20 0.00381897 +20 *104:19 0.00381897 +21 *104:17 0.00837717 +22 *104:16 0.00837717 +23 *104:14 0.00285697 +24 *104:13 0.00285697 +25 *104:11 0.00403794 +26 *2792:serial_clock_out *2300:10 0.000277119 +27 *2793:serial_clock *2793:resetn 0 +28 *2793:serial_clock *2793:resetn_out 4.46752e-05 +29 *2793:serial_clock *2793:serial_clock_out 3.09106e-06 +30 *104:11 *620:11 0.0149064 +31 *104:11 *620:16 0 +32 *104:20 *620:23 0 +33 *104:20 *620:27 0 +34 *104:20 *683:8 0 +35 *104:20 *2179:8 0.00125836 +36 *104:20 *2179:14 8.88511e-05 +37 *104:20 *2207:8 0.00590582 +38 *104:20 *2359:8 1.41761e-05 +39 *104:20 *2359:14 0.00457599 +40 *104:20 *2397:18 0 +41 *104:23 *644:31 0.00147548 +42 *104:23 *2683:17 0.00122483 +43 *104:23 *2721:17 0.000317616 +44 *104:37 *2683:17 0.00573578 +45 *104:37 *2721:17 0.00100216 +46 *104:40 *620:42 0.0412754 +47 *104:40 *1729:31 0.0417928 +48 *104:43 *114:39 0.0515766 +49 *104:43 *620:45 0.350681 +50 *104:43 *644:69 0.350717 +51 *104:43 *657:31 1.41291e-05 +52 *104:46 *620:48 0.182766 +53 *104:46 *644:72 0.182745 +54 *104:46 *657:34 5.1493e-06 +55 *104:49 *643:47 1.65872e-05 +56 *104:49 *644:75 0.000107496 +57 *104:52 *618:40 0.068735 +58 *104:52 *632:73 0.0687386 +59 *104:58 *2793:serial_data_in 0.000216071 +60 *104:58 *620:57 0.00336215 +61 *104:58 *665:12 0.000194724 +62 *104:58 *665:13 0.00330905 +63 *104:69 *644:75 0.000111722 +64 *104:69 *644:98 0.00325679 +*RES +1 *2807:serial_clock_out *104:11 47.5296 +2 *104:11 *104:13 3.36879 +3 *104:13 *104:14 80.9375 +4 *104:14 *104:16 4.5 +5 *104:16 *104:17 230.884 +6 *104:17 *104:19 4.5 +7 *104:19 *104:20 185.373 +8 *104:20 *104:22 4.5 +9 *104:22 *104:23 63.9482 +10 *104:23 *2808:serial_clock 27.273 +11 *104:22 *104:37 109.98 +12 *104:37 *104:39 4.5 +13 *104:39 *104:40 668.312 +14 *104:40 *104:42 4.5 +15 *104:42 *104:43 3665.54 +16 *104:43 *104:45 4.5 +17 *104:45 *104:46 2918.56 +18 *104:46 *104:49 6.3326 +19 *104:49 *104:51 4.5 +20 *104:51 *104:52 1096.85 +21 *104:52 *104:58 49.4733 +22 *104:58 *2793:serial_clock 3.708 +23 *104:49 *104:69 53.4107 +24 *104:69 *2792:serial_clock_out 21.4594 +*END + +*D_NET *105 1.35979 +*CONN +*I *2794:serial_clock I *D gpio_control_block +*I *2793:serial_clock_out O *D gpio_control_block +*I *2809:serial_clock I *D gpio_control_block +*I *2808:serial_clock_out O *D gpio_control_block +*CAP +1 *2794:serial_clock 0.000379019 +2 *2793:serial_clock_out 0.00696193 +3 *2809:serial_clock 0.00164542 +4 *2808:serial_clock_out 0.000569862 +5 *105:58 0.00245417 +6 *105:46 0.0210371 +7 *105:45 0.0140752 +8 *105:43 0.00250014 +9 *105:40 0.0173979 +10 *105:39 0.0169729 +11 *105:37 0.0320806 +12 *105:36 0.0348884 +13 *105:21 0.00206184 +14 *105:16 0.00373396 +15 *105:8 0.00669514 +16 *2793:serial_clock_out *2793:resetn_out 0.0206425 +17 *2793:serial_clock_out *2793:serial_data_in 1.9775e-05 +18 *2793:serial_clock_out *621:48 0.000761986 +19 *2793:serial_clock_out *665:12 1.29472e-05 +20 *2793:serial_clock_out *2263:11 0.000802641 +21 *2793:serial_clock_out *2301:16 0.00126082 +22 *2793:serial_clock_out *2339:20 0.000750362 +23 *2793:serial_clock_out *2415:14 0.00102098 +24 *2794:serial_clock *2794:resetn_out 0.000178097 +25 *2794:serial_clock *2794:serial_data_in 0.00296242 +26 *2794:serial_clock *1998:16 0 +27 *2794:serial_clock *2123:14 2.33103e-06 +28 *2794:serial_clock *2454:11 0.00337439 +29 *105:8 *2808:serial_data_in 0.00023046 +30 *105:8 *621:15 0.000500268 +31 *105:8 *645:8 0.000182478 +32 *105:16 *619:20 0.000261226 +33 *105:16 *621:15 0.0133529 +34 *105:16 *645:8 4.96282e-05 +35 *105:16 *645:15 0.0122898 +36 *105:16 *684:16 0.000390057 +37 *105:16 *2180:8 0.00084977 +38 *105:16 *2322:14 0.00053288 +39 *105:36 *645:8 1.98647e-05 +40 *105:36 *645:36 0.0122961 +41 *105:36 *2474:7 1.498e-05 +42 *105:37 *621:30 0.228426 +43 *105:37 *630:23 0.165679 +44 *105:37 *631:30 0.0248776 +45 *105:37 *645:37 0.000511116 +46 *105:37 *692:14 0.0126549 +47 *105:40 *106:56 0.0350266 +48 *105:40 *621:33 0.289528 +49 *105:40 *645:40 0.287828 +50 *105:40 *652:45 0.000767815 +51 *105:43 *621:36 0.000533093 +52 *105:43 *621:65 9.97141e-05 +53 *105:46 *645:64 0.0726834 +54 *105:58 *2794:serial_load 1.63133e-05 +55 *105:58 *621:65 0.00494034 +56 *105:58 *665:22 0 +57 *2793:serial_clock *2793:serial_clock_out 3.09106e-06 +*RES +1 *2808:serial_clock_out *105:8 2.85756 +2 *105:8 *105:16 46.2006 +3 *105:16 *105:21 20.2609 +4 *105:21 *2809:serial_clock 48.4055 +5 *105:8 *105:36 19.82 +6 *105:36 *105:37 3666.64 +7 *105:37 *105:39 4.5 +8 *105:39 *105:40 3026.09 +9 *105:40 *105:43 16.5057 +10 *105:43 *105:45 3.36879 +11 *105:45 *105:46 91.8763 +12 *105:46 *2793:serial_clock_out 43.0876 +13 *105:43 *105:58 84.6748 +14 *105:58 *2794:serial_clock 48.627 +*END + +*D_NET *106 1.0342 +*CONN +*I *2794:serial_clock_out O *D gpio_control_block +*I *2795:serial_clock I *D gpio_control_block +*I *2783:serial_clock I *D gpio_control_block +*I *2809:serial_clock_out O *D gpio_control_block +*CAP +1 *2794:serial_clock_out 0.000334436 +2 *2795:serial_clock 0.000352003 +3 *2783:serial_clock 0.000718334 +4 *2809:serial_clock_out 3.60638e-05 +5 *106:85 0.000974758 +6 *106:80 0.00353073 +7 *106:67 0.00119338 +8 *106:62 0.00379036 +9 *106:59 0.00168188 +10 *106:58 0.0016233 +11 *106:56 0.102517 +12 *106:55 0.109324 +13 *106:51 0.00862749 +14 *106:43 0.0220218 +15 *106:42 0.0202457 +16 *106:37 0.0675471 +17 *106:36 0.0675912 +18 *106:31 0.00612803 +19 *106:30 0.00655092 +20 *106:25 0.00808844 +21 *106:13 0.00558453 +22 *106:7 0.0124793 +23 *2783:serial_clock *2783:resetn 1.30277e-05 +24 *2783:serial_clock *2783:resetn_out 4.42044e-05 +25 *2783:serial_clock *2783:serial_clock_out 3.50235e-05 +26 *2783:serial_clock *2783:user_gpio_oeb 2.02035e-05 +27 *2783:serial_clock *2783:user_gpio_out 5.38612e-06 +28 *2783:serial_clock *685:10 0.000199471 +29 *2783:serial_clock *2647:17 0.000889551 +30 *2794:serial_clock_out *2794:resetn 0.00159229 +31 *2794:serial_clock_out *2794:resetn_out 0.00242489 +32 *2794:serial_clock_out *2794:serial_data_in 1.65872e-05 +33 *2794:serial_clock_out *2123:14 1.91391e-05 +34 *2794:serial_clock_out *2188:16 1.5714e-05 +35 *2794:serial_clock_out *2663:17 0.00038031 +36 *2795:serial_clock *2795:resetn 0.00280954 +37 *2795:serial_clock *2795:serial_data_in 0.0024249 +38 *2795:serial_clock *2795:serial_load 4.0752e-05 +39 *2795:serial_clock *2795:user_gpio_out 0.000101794 +40 *2795:serial_clock *647:13 0.000120974 +41 *106:13 *2783:resetn 0.000101509 +42 *106:13 *2783:user_gpio_oeb 0 +43 *106:13 *2783:user_gpio_out 6.98716e-05 +44 *106:13 *2822:mprj_io_oeb[34] 0.00226118 +45 *106:13 *619:20 0 +46 *106:13 *685:10 0.000270418 +47 *106:13 *2073:12 0.00039902 +48 *106:13 *2475:27 0.00617065 +49 *106:25 *2822:mprj_io_inp_dis[32] 0.00153588 +50 *106:25 *2822:mprj_io_oeb[33] 0.0111372 +51 *106:25 *619:20 0 +52 *106:25 *1980:15 0.000266428 +53 *106:31 *619:20 0.000549308 +54 *106:31 *643:14 0 +55 *106:31 *1979:8 0.00274191 +56 *106:31 *2017:8 0.000891407 +57 *106:31 *2177:16 0.00105223 +58 *106:31 *2435:8 0 +59 *106:31 *2473:8 0 +60 *106:31 *2720:14 0.00928784 +61 *106:37 *2822:mprj_io_holdover[29] 0.000149447 +62 *106:37 *2822:mprj_io_oeb[27] 0.00028481 +63 *106:37 *2822:mprj_io_analog_sel[28] 0.000154671 +64 *106:37 *2822:mprj_io_ib_mode_sel[28] 0.00021943 +65 *106:37 *117:21 0.000931513 +66 *106:37 *117:22 0.000775571 +67 *106:37 *118:10 0.00210046 +68 *106:37 *617:14 0.00698871 +69 *106:37 *617:70 0.00197015 +70 *106:37 *618:8 0.00131039 +71 *106:37 *618:34 0 +72 *106:37 *619:8 0 +73 *106:37 *634:8 0.00147219 +74 *106:37 *634:14 0.00126442 +75 *106:37 *634:73 0.000100822 +76 *106:37 *641:12 0.00225303 +77 *106:37 *642:8 0.000434672 +78 *106:37 *642:52 0 +79 *106:37 *643:13 0 +80 *106:37 *656:13 0.0111911 +81 *106:37 *657:75 0.000215356 +82 *106:37 *681:8 0.00204132 +83 *106:37 *682:8 0 +84 *106:37 *1974:14 0.000645725 +85 *106:37 *1977:8 0.000500639 +86 *106:37 *1977:17 0.00016534 +87 *106:37 *1978:16 0.00067925 +88 *106:37 *2011:8 0.000403321 +89 *106:37 *2012:14 0.00070493 +90 *106:37 *2013:10 0.000730323 +91 *106:37 *2015:8 0.00064172 +92 *106:37 *2049:8 0.000643666 +93 *106:37 *2050:37 0.000218612 +94 *106:37 *2162:19 0.000138868 +95 *106:37 *2163:24 0.000218373 +96 *106:37 *2168:10 0.000681211 +97 *106:37 *2171:8 0.000599928 +98 *106:37 *2172:16 0.000361035 +99 *106:37 *2175:8 0.000758727 +100 *106:37 *2176:8 0.000695486 +101 *106:37 *2176:14 0.000300942 +102 *106:37 *2203:34 0.000652281 +103 *106:37 *2206:8 0.000747953 +104 *106:37 *2206:16 0.000366644 +105 *106:37 *2238:27 0.000229988 +106 *106:37 *2238:33 0.000248171 +107 *106:37 *2240:19 0.000458838 +108 *106:37 *2240:20 0.000589698 +109 *106:37 *2241:38 0.000905377 +110 *106:37 *2243:8 0.000417908 +111 *106:37 *2316:14 0.000347195 +112 *106:37 *2319:8 0.000453982 +113 *106:37 *2319:16 0.00024819 +114 *106:37 *2353:16 0.000328811 +115 *106:37 *2357:19 0.00088793 +116 *106:37 *2357:20 0.000882463 +117 *106:37 *2358:8 0.000717354 +118 *106:37 *2358:12 0.000516155 +119 *106:37 *2391:8 0.000403321 +120 *106:37 *2392:8 0.000803612 +121 *106:37 *2393:10 0.000233006 +122 *106:37 *2396:8 0.000742502 +123 *106:37 *2396:12 0.000311184 +124 *106:37 *2429:8 0.000390047 +125 *106:37 *2430:8 0.000676297 +126 *106:37 *2433:8 0.000474935 +127 *106:37 *2434:8 0.000688832 +128 *106:37 *2469:10 0.000237222 +129 *106:37 *2600:19 0 +130 *106:37 *2602:11 0.000300425 +131 *106:37 *2720:14 0.00914494 +132 *106:43 *2822:mprj_io_analog_en[25] 0 +133 *106:43 *2822:mprj_io_dm[76] 0 +134 *106:43 *2822:mprj_io_dm[77] 0 +135 *106:43 *2822:mprj_io_holdover[25] 0 +136 *106:43 *2822:mprj_io_ib_mode_sel[25] 0 +137 *106:43 *2822:mprj_io_oeb[25] 0 +138 *106:43 *2822:mprj_io_out[25] 0 +139 *106:43 *2822:mprj_io_slow_sel[25] 0 +140 *106:43 *2822:mprj_io_vtrip_sel[25] 0 +141 *106:43 *617:14 0.062606 +142 *106:43 *631:10 0 +143 *106:43 *632:55 0 +144 *106:43 *656:13 0.000431282 +145 *106:43 *694:19 0.000158917 +146 *106:43 *695:28 0 +147 *106:43 *2156:8 0.00457625 +148 *106:43 *2156:23 0.000747119 +149 *106:43 *2428:8 0.00149068 +150 *106:43 *2465:8 0 +151 *106:43 *2599:13 0 +152 *106:43 *2636:13 0 +153 *106:51 *617:14 0.00042233 +154 *106:51 *630:8 0.000215994 +155 *106:51 *632:67 0.00217723 +156 *106:51 *634:14 0.000660552 +157 *106:55 *617:20 0.00125346 +158 *106:55 *630:8 0.000395777 +159 *106:55 *632:67 0.0215675 +160 *106:55 *634:14 0.000750412 +161 *106:55 *2152:8 0.00179571 +162 *106:55 *2153:24 0.000472618 +163 *106:55 *2198:17 0.000569049 +164 *106:55 *2274:16 0 +165 *106:55 *2312:11 0.000267546 +166 *106:56 *112:45 0.0202245 +167 *106:56 *113:29 0.00335066 +168 *106:56 *621:33 0.0761984 +169 *106:56 *622:32 0.0271529 +170 *106:56 *628:53 0.0212103 +171 *106:56 *642:55 0 +172 *106:56 *645:40 0.0281595 +173 *106:56 *646:32 0.0269156 +174 *106:56 *652:45 0.0226633 +175 *106:56 *653:27 0.00266842 +176 *106:59 *2821:analog_io[10] 0 +177 *106:59 *107:16 1.2693e-05 +178 *106:59 *646:35 0.00332329 +179 *106:62 *646:38 0.000591935 +180 *106:62 *646:56 0.00011818 +181 *106:62 *2265:8 0.000691706 +182 *106:67 *646:43 0.00106721 +183 *106:67 *666:22 0.000590384 +184 *106:80 *2822:mprj_io_vtrip_sel[16] 0.000633011 +185 *106:80 *622:56 2.41483e-05 +186 *106:80 *646:56 0.0293722 +187 *106:80 *2264:10 0.00663748 +188 *106:80 *2265:8 0.0156464 +189 *106:85 *646:61 0.00222943 +190 *106:85 *666:16 0.00111905 +191 *102:13 *106:37 0 +192 *102:26 *106:37 0.00130376 +193 *103:18 *106:13 0.0109626 +194 *103:18 *106:25 0.0305618 +195 *105:40 *106:56 0.0350266 +*RES +1 *2809:serial_clock_out *106:7 0.51465 +2 *106:7 *106:13 37.7566 +3 *106:13 *2783:serial_clock 26.9888 +4 *106:7 *106:25 60.6315 +5 *106:25 *106:30 4.9809 +6 *106:30 *106:31 256.797 +7 *106:31 *106:36 3.91004 +8 *106:36 *106:37 253.577 +9 *106:37 *106:42 3.83355 +10 *106:42 *106:43 1004.25 +11 *106:43 *106:51 13.3763 +12 *106:51 *106:55 43.3011 +13 *106:55 *106:56 388.964 +14 *106:56 *106:58 3.36879 +15 *106:58 *106:59 59.3444 +16 *106:59 *106:62 11.8786 +17 *106:62 *106:67 46.1284 +18 *106:67 *2795:serial_clock 39.1356 +19 *106:62 *106:80 307.974 +20 *106:80 *106:85 45.7132 +21 *106:85 *2794:serial_clock_out 34.6987 +*END + +*D_NET *107 1.10848 +*CONN +*I *2796:serial_clock I *D gpio_control_block +*I *2784:serial_clock I *D gpio_control_block +*I *2783:serial_clock_out O *D gpio_control_block +*I *2795:serial_clock_out O *D gpio_control_block +*CAP +1 *2796:serial_clock 0.00028151 +2 *2784:serial_clock 0.00374044 +3 *2783:serial_clock_out 0.000198641 +4 *2795:serial_clock_out 0.000410392 +5 *107:56 0.00132584 +6 *107:31 0.00459487 +7 *107:28 0.0341494 +8 *107:27 0.0334936 +9 *107:25 0.0294833 +10 *107:24 0.0294833 +11 *107:22 0.00136596 +12 *107:21 0.00158865 +13 *107:17 0.0107836 +14 *107:16 0.0103926 +15 *107:13 0.00128646 +16 *2783:serial_clock_out *2783:serial_data_in 4.80336e-05 +17 *2783:serial_clock_out *2783:serial_load 0 +18 *2783:serial_clock_out *685:10 0 +19 *2783:serial_clock_out *2647:17 9.2629e-05 +20 *2784:serial_clock *2784:resetn 0.0176211 +21 *2784:serial_clock *2784:serial_data_in 0 +22 *2784:serial_clock *2784:serial_load 0.000140742 +23 *2784:serial_clock *2785:serial_load 0.000392149 +24 *2784:serial_clock *2822:mprj_io_oeb[35] 0.000237331 +25 *2784:serial_clock *2822:mprj_io_vtrip_sel[35] 0.0109761 +26 *2784:serial_clock *619:20 0.00018817 +27 *2784:serial_clock *1733:8 0 +28 *2784:serial_clock *2477:7 0 +29 *2796:serial_clock *2796:resetn 0.00265668 +30 *2796:serial_clock *2796:serial_load 4.0752e-05 +31 *2796:serial_clock *648:13 0.000313928 +32 *2796:serial_clock *668:14 0.0020725 +33 *107:13 *623:13 5.42115e-05 +34 *107:13 *647:13 0.00185361 +35 *107:13 *667:13 1.65872e-05 +36 *107:13 *1999:13 0.000171456 +37 *107:13 *2626:13 0.000685247 +38 *107:16 *646:35 5.41377e-05 +39 *107:16 *647:16 0.00219003 +40 *107:17 *2822:mprj_io_dm[51] 0.00462219 +41 *107:17 *623:17 0.0419844 +42 *107:17 *623:21 0.00105478 +43 *107:17 *647:17 0.000330532 +44 *107:17 *2591:10 0.00172433 +45 *107:21 *624:17 5.51483e-06 +46 *107:21 *647:17 4.33655e-05 +47 *107:21 *647:21 0.000292214 +48 *107:21 *648:17 5.04829e-06 +49 *107:22 *647:22 0.00318737 +50 *107:25 *110:41 0.0956937 +51 *107:25 *624:23 0.0086717 +52 *107:25 *647:25 0.223402 +53 *107:28 *623:28 0.261657 +54 *107:28 *624:26 0 +55 *107:28 *646:29 0.246546 +56 *107:28 *647:28 0.000305738 +57 *107:28 *1723:27 0.0011896 +58 *107:28 *1732:31 0.0132421 +59 *107:31 *2783:serial_load 0 +60 *107:31 *2647:17 0.00100105 +61 *107:56 *647:58 0.00108687 +62 *2783:serial_clock *2783:serial_clock_out 3.50235e-05 +63 *106:59 *107:16 1.2693e-05 +*RES +1 *2795:serial_clock_out *107:13 33.0981 +2 *107:13 *107:16 41.2132 +3 *107:16 *107:17 457.717 +4 *107:17 *107:21 12.9878 +5 *107:21 *107:22 53.3233 +6 *107:22 *107:24 4.5 +7 *107:24 *107:25 2335.6 +8 *107:25 *107:27 4.5 +9 *107:27 *107:28 4190.69 +10 *107:28 *107:31 6.59301 +11 *107:31 *2783:serial_clock_out 0.636864 +12 *107:31 *2784:serial_clock 38.2363 +13 *107:17 *107:56 46.9589 +14 *107:56 *2796:serial_clock 37.4717 +*END + +*D_NET *108 1.08459 +*CONN +*I *2787:serial_clock I *D gpio_control_block +*I *2784:serial_clock_out O *D gpio_control_block +*I *2785:serial_clock I *D gpio_control_block +*I *2796:serial_clock_out O *D gpio_control_block +*CAP +1 *2787:serial_clock 0.000417755 +2 *2784:serial_clock_out 0.000354906 +3 *2785:serial_clock 0.000337712 +4 *2796:serial_clock_out 0.000211813 +5 *108:102 0.000782695 +6 *108:88 0.00374983 +7 *108:77 0.00477945 +8 *108:76 0.00138452 +9 *108:74 0.00455386 +10 *108:73 0.00462591 +11 *108:55 0.000750587 +12 *108:52 0.00198094 +13 *108:45 0.00378835 +14 *108:38 0.00350659 +15 *108:29 0.00388352 +16 *108:28 0.00266927 +17 *108:26 0.0429011 +18 *108:25 0.0429011 +19 *108:23 0.0339372 +20 *108:22 0.0340012 +21 *108:19 0.000428934 +22 *108:17 0.00830536 +23 *108:16 0.00910396 +24 *108:13 0.00101041 +25 *2784:serial_clock_out *2784:pad_gpio_in 0.00124379 +26 *2784:serial_clock_out *2784:resetn_out 0.00119948 +27 *2785:serial_clock *2785:resetn 0.00191025 +28 *2785:serial_clock *2785:resetn_out 0.00180607 +29 *2785:serial_clock *2785:serial_clock_out 3.8079e-05 +30 *2787:serial_clock *2787:serial_load 0.00170075 +31 *2787:serial_clock *2787:user_gpio_out 0.000104638 +32 *2787:serial_clock *109:13 0.000309013 +33 *2787:serial_clock *625:13 0.00143941 +34 *2787:serial_clock *649:13 3.11982e-05 +35 *2787:serial_clock *668:29 0.000330532 +36 *2787:serial_clock *2191:16 0 +37 *108:13 *624:13 0.000749011 +38 *108:13 *668:17 0.00170494 +39 *108:13 *2592:20 0.000429755 +40 *108:16 *647:22 7.77309e-06 +41 *108:16 *648:16 0.00214375 +42 *108:16 *2592:25 0 +43 *108:17 *2822:mprj_io_slow_sel[18] 0.00179517 +44 *108:17 *648:17 0.0380938 +45 *108:17 *2267:8 7.92757e-06 +46 *108:17 *2593:8 0.00131375 +47 *108:22 *109:14 0.000449924 +48 *108:22 *648:22 0.000446326 +49 *108:23 *648:23 0.175813 +50 *108:23 *692:17 0.0277147 +51 *108:26 *630:23 0 +52 *108:26 *645:37 0.229559 +53 *108:26 *648:26 0.28261 +54 *108:26 *692:14 0 +55 *108:26 *1729:31 7.77309e-06 +56 *108:26 *1750:13 0.0147427 +57 *108:29 *648:29 0.0111883 +58 *108:38 *2785:serial_load 7.31006e-05 +59 *108:38 *2822:mprj_io_oeb[36] 0.000573789 +60 *108:38 *648:32 0 +61 *108:38 *648:77 0.00042485 +62 *108:38 *2077:10 0 +63 *108:38 *2078:10 0.000219405 +64 *108:38 *2401:15 0 +65 *108:38 *2477:8 0 +66 *108:45 *2822:mprj_io_oeb[36] 0.00883824 +67 *108:45 *648:77 0.0118708 +68 *108:45 *649:71 0.0003013 +69 *108:45 *2287:13 0.000455138 +70 *108:52 *649:75 0 +71 *108:55 *109:29 0.00159874 +72 *108:55 *649:83 3.99086e-06 +73 *108:73 *648:36 2.87136e-06 +74 *108:74 *2784:mgmt_gpio_oeb 0 +75 *108:74 *648:37 0.0209641 +76 *108:77 *648:40 0.000477435 +77 *108:77 *2287:17 0.00272784 +78 *108:88 *2784:gpio_defaults[0] 1.23056e-05 +79 *108:88 *648:51 0.00264936 +80 *108:88 *2287:25 0.000831757 +81 *108:88 *2287:28 0.0143405 +82 *108:102 *109:14 0.00214463 +83 *108:102 *648:22 3.31882e-05 +84 *108:102 *648:102 0.00216439 +85 *103:24 *108:26 0.0046213 +*RES +1 *2796:serial_clock_out *108:13 30.8032 +2 *108:13 *108:16 39.5522 +3 *108:16 *108:17 398.929 +4 *108:17 *108:19 4.5 +5 *108:19 *108:22 11.7303 +6 *108:22 *108:23 1839.23 +7 *108:23 *108:25 4.5 +8 *108:25 *108:26 4517.7 +9 *108:26 *108:28 4.5 +10 *108:28 *108:29 118.854 +11 *108:29 *108:38 36.9407 +12 *108:38 *108:45 28.0967 +13 *108:45 *108:52 48.1381 +14 *108:52 *108:55 22.4161 +15 *108:55 *2785:serial_clock 34.287 +16 *108:38 *108:73 8.71216 +17 *108:73 *108:74 219.237 +18 *108:74 *108:76 4.5 +19 *108:76 *108:77 60.1749 +20 *108:77 *108:88 48.3638 +21 *108:88 *2784:serial_clock_out 24.6416 +22 *108:19 *108:102 40.3827 +23 *108:102 *2787:serial_clock 36.3625 +*END + +*D_NET *109 0.962979 +*CONN +*I *2785:serial_clock_out O *D gpio_control_block +*I *2787:serial_clock_out O *D gpio_control_block +*CAP +1 *2785:serial_clock_out 0.000716783 +2 *2787:serial_clock_out 0.000635554 +3 *109:29 0.00274277 +4 *109:28 0.00202599 +5 *109:26 0.0369655 +6 *109:25 0.0369655 +7 *109:23 0.00247725 +8 *109:22 0.00247725 +9 *109:20 0.00481373 +10 *109:19 0.00481373 +11 *109:17 0.0230638 +12 *109:16 0.0230638 +13 *109:14 0.00268222 +14 *109:13 0.00331777 +15 *2785:serial_clock_out *2785:resetn_out 0.00164619 +16 *109:13 *2787:serial_load 0.00165184 +17 *109:13 *2787:user_gpio_out 0.000118134 +18 *109:13 *2133:17 0 +19 *109:14 *648:22 7.77309e-06 +20 *109:17 *118:34 1.40978e-05 +21 *109:17 *624:23 0.00432426 +22 *109:17 *633:38 0.0198872 +23 *109:17 *634:27 1.22938e-05 +24 *109:17 *641:48 0.0464588 +25 *109:17 *649:35 0.159854 +26 *109:17 *1714:20 0.00967107 +27 *109:20 *649:44 0 +28 *109:20 *653:32 0 +29 *109:26 *624:26 0.27002 +30 *109:26 *625:20 0.285334 +31 *109:26 *1721:27 0.00390219 +32 *109:26 *1734:16 0.00615398 +33 *109:26 *2725:14 0 +34 *109:29 *649:83 0.00023642 +35 *109:29 *2649:13 0.00238457 +36 *2785:serial_clock *2785:serial_clock_out 3.8079e-05 +37 *2787:serial_clock *109:13 0.000309013 +38 *108:22 *109:14 0.000449924 +39 *108:55 *109:29 0.00159874 +40 *108:102 *109:14 0.00214463 +*RES +1 *2787:serial_clock_out *109:13 37.5349 +2 *109:13 *109:14 85.7129 +3 *109:14 *109:16 4.5 +4 *109:16 *109:17 1872.51 +5 *109:17 *109:19 4.5 +6 *109:19 *109:20 133.882 +7 *109:20 *109:22 4.5 +8 *109:22 *109:23 66.1666 +9 *109:23 *109:25 4.5 +10 *109:25 *109:26 4558.81 +11 *109:26 *109:28 4.5 +12 *109:28 *109:29 75.5949 +13 *109:29 *2785:serial_clock_out 35.8802 +*END + +*D_NET *110 1.15558 +*CONN +*I *2797:serial_clock I *D gpio_control_block +*I *2782:serial_clock_out O *D gpio_control_block +*I *2811:serial_clock I *D gpio_control_block +*I *2810:serial_clock_out O *D gpio_control_block +*CAP +1 *2797:serial_clock 0.000354893 +2 *2782:serial_clock_out 0.000478742 +3 *2811:serial_clock 0.000107108 +4 *2810:serial_clock_out 0.000667845 +5 *110:58 0.00304037 +6 *110:57 0.00264497 +7 *110:52 0.00374057 +8 *110:51 0.00365722 +9 *110:49 0.00349917 +10 *110:47 0.0031661 +11 *110:44 0.0374394 +12 *110:43 0.0374176 +13 *110:41 0.0235712 +14 *110:40 0.0235712 +15 *110:38 0.00173044 +16 *110:37 0.00205483 +17 *110:23 0.00269085 +18 *110:22 0.00258374 +19 *110:20 0.000522667 +20 *110:19 0.000522667 +21 *110:17 0.00435992 +22 *110:16 0.00468431 +23 *110:14 0.00137283 +24 *110:13 0.00204068 +25 *2782:serial_clock_out *2782:serial_data_in 0.000111633 +26 *2782:serial_clock_out *669:13 0.000140404 +27 *2782:serial_clock_out *2706:17 0 +28 *2797:serial_clock *2797:resetn 0.000622168 +29 *2797:serial_clock *2797:resetn_out 3.54846e-05 +30 *2797:serial_clock *2797:serial_clock_out 3.81915e-05 +31 *2797:serial_clock *669:30 0.000122352 +32 *110:13 *2810:serial_data_in 3.52699e-05 +33 *110:13 *2810:user_gpio_oeb 0.000202021 +34 *110:13 *616:23 0.00165828 +35 *110:13 *640:74 0.000177235 +36 *110:13 *689:23 5.04829e-06 +37 *110:14 *650:14 0.00159945 +38 *110:17 *2822:mprj_io_analog_en[20] 1.44742e-05 +39 *110:17 *2822:mprj_io_holdover[20] 0.00120936 +40 *110:17 *2822:mprj_io_slow_sel[20] 0.000711745 +41 *110:17 *650:17 0.0214278 +42 *110:17 *2004:17 0 +43 *110:17 *2308:23 0.000521541 +44 *110:17 *2460:15 0.000761769 +45 *110:20 *626:26 3.31736e-05 +46 *110:20 *650:20 0.00341139 +47 *110:20 *1718:37 0.00345838 +48 *110:23 *626:29 0.00441664 +49 *110:23 *690:23 9.90116e-05 +50 *110:23 *1718:40 0.00013824 +51 *110:37 *650:17 0.00011818 +52 *110:37 *650:37 0.00132347 +53 *110:38 *650:38 0.00337008 +54 *110:38 *2669:7 0.000366015 +55 *110:41 *111:19 0.00661424 +56 *110:41 *623:25 0.000391571 +57 *110:41 *624:23 0.0036216 +58 *110:41 *647:25 1.92172e-05 +59 *110:41 *1712:20 0.0238372 +60 *110:41 *1715:20 0.202956 +61 *110:44 *626:50 0.29148 +62 *110:44 *650:44 0.292023 +63 *110:49 *2797:resetn 0.000113968 +64 *110:49 *650:53 0.0117713 +65 *110:49 *1725:24 1.41976e-05 +66 *110:49 *1728:24 0.000279817 +67 *110:49 *1745:10 0.000700977 +68 *110:52 *2822:mprj_io_analog_en[2] 0 +69 *110:52 *2822:mprj_io_analog_pol[2] 0 +70 *110:52 *2822:mprj_io_analog_sel[2] 0 +71 *110:52 *2822:mprj_io_dm[6] 0 +72 *110:52 *2822:mprj_io_dm[8] 0 +73 *110:52 *2822:mprj_io_holdover[2] 0 +74 *110:52 *2822:mprj_io_ib_mode_sel[2] 0 +75 *110:52 *2822:mprj_io_inp_dis[2] 0 +76 *110:52 *2822:mprj_io_oeb[2] 0 +77 *110:52 *2822:mprj_io_out[2] 0 +78 *110:52 *2822:mprj_io_vtrip_sel[2] 0 +79 *110:52 *626:56 0 +80 *110:52 *650:56 0.00245862 +81 *110:52 *1976:16 0 +82 *110:52 *2159:8 0 +83 *110:52 *2159:14 0.00386532 +84 *110:57 *626:71 5.481e-05 +85 *110:58 *650:56 0.00738597 +86 *110:58 *669:20 0.000210429 +87 *2782:serial_clock *2782:serial_clock_out 3.84497e-05 +88 *107:25 *110:41 0.0956937 +*RES +1 *2810:serial_clock_out *110:13 37.5349 +2 *110:13 *110:14 53.3233 +3 *110:14 *110:16 4.5 +4 *110:16 *110:17 225.338 +5 *110:17 *110:19 4.5 +6 *110:19 *110:20 57.0605 +7 *110:20 *110:22 4.5 +8 *110:22 *110:23 111.644 +9 *110:23 *2811:serial_clock 8.46357 +10 *110:16 *110:37 19.6431 +11 *110:37 *110:38 69.1029 +12 *110:38 *110:40 4.5 +13 *110:40 *110:41 2322.29 +14 *110:41 *110:43 4.5 +15 *110:43 *110:44 4672.17 +16 *110:44 *110:47 5.07872 +17 *110:47 *110:49 133.274 +18 *110:49 *110:51 4.5 +19 *110:51 *110:52 145.925 +20 *110:52 *110:57 10.8326 +21 *110:57 *110:58 118.518 +22 *110:58 *2782:serial_clock_out 26.1585 +23 *110:49 *2797:serial_clock 19.1014 +*END + +*D_NET *111 1.20055 +*CONN +*I *2812:serial_clock I *D gpio_control_block +*I *2798:serial_clock I *D gpio_control_block +*I *2797:serial_clock_out O *D gpio_control_block +*I *2811:serial_clock_out O *D gpio_control_block +*CAP +1 *2812:serial_clock 0.000121553 +2 *2798:serial_clock 0.000171921 +3 *2797:serial_clock_out 0.000920475 +4 *2811:serial_clock_out 0.000364563 +5 *111:69 0.00192481 +6 *111:68 0.00180325 +7 *111:66 0.000458558 +8 *111:65 0.000458558 +9 *111:63 0.00402393 +10 *111:62 0.00402393 +11 *111:42 0.00215127 +12 *111:41 0.00135854 +13 *111:36 0.00238749 +14 *111:35 0.00240719 +15 *111:30 0.00156677 +16 *111:28 0.00173315 +17 *111:25 0.00336681 +18 *111:24 0.0032249 +19 *111:22 0.0385263 +20 *111:21 0.0385263 +21 *111:19 0.0203717 +22 *111:18 0.0203717 +23 *111:16 0.00210609 +24 *111:14 0.00264889 +25 *111:13 0.000907365 +26 *2797:serial_clock_out *2797:resetn_out 0.000485728 +27 *2797:serial_clock_out *2797:serial_data_in 6.87017e-05 +28 *2797:serial_clock_out *2797:user_gpio_oeb 0.000812331 +29 *2797:serial_clock_out *626:56 0.000577902 +30 *2797:serial_clock_out *627:40 0.000589426 +31 *2797:serial_clock_out *669:30 5.07836e-05 +32 *2798:serial_clock *2798:resetn 0 +33 *2798:serial_clock *2798:resetn_out 3.84497e-05 +34 *2798:serial_clock *2798:serial_clock_out 6.19346e-06 +35 *2798:serial_clock *2798:serial_data_in 0 +36 *111:13 *627:13 0.000115827 +37 *111:13 *651:13 0.00175385 +38 *111:13 *689:11 4.0752e-05 +39 *111:13 *2632:13 0.000638598 +40 *111:14 *651:14 0.000580107 +41 *111:14 *651:16 7.77309e-06 +42 *111:16 *651:16 0.00166362 +43 *111:19 *112:45 0.00504155 +44 *111:19 *622:32 0.00068028 +45 *111:19 *623:25 0.128813 +46 *111:19 *646:32 0.171911 +47 *111:19 *1711:20 0.0278329 +48 *111:19 *1712:20 0.0900867 +49 *111:22 *112:48 0.257655 +50 *111:22 *626:50 0.274513 +51 *111:25 *2798:serial_clock_out 0.000507342 +52 *111:25 *627:25 0.0114697 +53 *111:28 *627:28 0.00034899 +54 *111:30 *2797:resetn_out 0.000263705 +55 *111:30 *2798:serial_data_in 0 +56 *111:30 *627:28 0.003768 +57 *111:30 *2061:16 0 +58 *111:30 *2079:13 0.000119662 +59 *111:30 *2181:13 0.000145708 +60 *111:30 *2251:14 0 +61 *111:35 *627:33 0.000530151 +62 *111:36 *2822:mprj_io_analog_en[3] 0 +63 *111:36 *2822:mprj_io_analog_pol[3] 0 +64 *111:36 *2822:mprj_io_analog_sel[3] 0 +65 *111:36 *2822:mprj_io_dm[10] 0 +66 *111:36 *2822:mprj_io_dm[9] 0 +67 *111:36 *2822:mprj_io_inp_dis[3] 0 +68 *111:36 *2822:mprj_io_slow_sel[3] 0 +69 *111:36 *627:34 0.00747852 +70 *111:36 *670:14 1.2693e-05 +71 *111:36 *2061:16 0.000999667 +72 *111:36 *2289:10 0 +73 *111:41 *627:39 0.000543025 +74 *111:42 *2797:resetn_out 0.000945643 +75 *111:42 *627:40 0.00353023 +76 *111:63 *2822:mprj_io_holdover[21] 0.00045966 +77 *111:63 *2822:mprj_io_slow_sel[21] 0.000958047 +78 *111:63 *627:59 5.99856e-05 +79 *111:63 *651:56 0.0221628 +80 *111:63 *2005:17 1.80122e-05 +81 *111:63 *2195:17 0.00266316 +82 *111:63 *2233:17 0 +83 *111:66 *627:62 0.00327757 +84 *111:66 *651:59 0.00330212 +85 *111:69 *627:65 0.00834337 +86 *111:69 *651:62 0.000446342 +87 *111:69 *2709:11 0.00165828 +88 *2797:serial_clock *2797:serial_clock_out 3.81915e-05 +89 *110:41 *111:19 0.00661424 +*RES +1 *2811:serial_clock_out *111:13 32.467 +2 *111:13 *111:14 20.1031 +3 *111:14 *111:16 70.7639 +4 *111:16 *111:18 4.5 +5 *111:18 *111:19 2653.39 +6 *111:19 *111:21 4.5 +7 *111:21 *111:22 4385.65 +8 *111:22 *111:24 4.5 +9 *111:24 *111:25 137.156 +10 *111:25 *111:28 10.2769 +11 *111:28 *111:30 61.8359 +12 *111:30 *111:35 14.7148 +13 *111:35 *111:36 120.594 +14 *111:36 *111:41 14.7148 +15 *111:41 *111:42 56.7552 +16 *111:42 *2797:serial_clock_out 39.4348 +17 *111:28 *2798:serial_clock 3.89091 +18 *111:14 *111:62 4.5 +19 *111:62 *111:63 234.212 +20 *111:63 *111:65 4.5 +21 *111:65 *111:66 53.3233 +22 *111:66 *111:68 4.5 +23 *111:68 *111:69 97.779 +24 *111:69 *2812:serial_clock 8.46357 +*END + +*D_NET *112 1.16518 +*CONN +*I *2799:serial_clock I *D gpio_control_block +*I *2798:serial_clock_out O *D gpio_control_block +*I *2813:serial_clock I *D gpio_control_block +*I *2812:serial_clock_out O *D gpio_control_block +*CAP +1 *2799:serial_clock 0.0011476 +2 *2798:serial_clock_out 0.00102678 +3 *2813:serial_clock 0.00040096 +4 *2812:serial_clock_out 0.00048452 +5 *112:54 0.00325804 +6 *112:53 0.00223126 +7 *112:51 0.00560075 +8 *112:50 0.00445315 +9 *112:48 0.0888998 +10 *112:47 0.0888998 +11 *112:45 0.0178445 +12 *112:44 0.0178445 +13 *112:42 0.00175951 +14 *112:41 0.00211413 +15 *112:23 0.00150233 +16 *112:22 0.00110137 +17 *112:20 0.00114391 +18 *112:19 0.00114391 +19 *112:17 0.00448036 +20 *112:16 0.00483498 +21 *112:14 0.00048452 +22 *2798:serial_clock_out *2798:serial_data_in 5.81968e-05 +23 *2798:serial_clock_out *627:25 3.99086e-06 +24 *2799:serial_clock *2799:resetn_out 4.31122e-05 +25 *2799:serial_clock *2799:serial_clock_out 3.84497e-05 +26 *2813:serial_clock *2813:serial_data_in 0.000397296 +27 *2813:serial_clock *2813:serial_load 0.000972097 +28 *2813:serial_clock *113:19 1.45065e-05 +29 *2813:serial_clock *653:17 0 +30 *2813:serial_clock *1720:40 0.000801619 +31 *2813:serial_clock *2634:19 0.00048572 +32 *112:14 *628:16 0.00114957 +33 *112:14 *652:17 0.00117561 +34 *112:14 *690:13 0.000851028 +35 *112:14 *2348:13 0.000193186 +36 *112:14 *2348:16 2.82537e-05 +37 *112:14 *2424:16 0.0010699 +38 *112:17 *2822:mprj_io_slow_sel[22] 0.000169253 +39 *112:17 *628:17 0.0198748 +40 *112:17 *628:49 6.50727e-05 +41 *112:20 *628:20 0.00328116 +42 *112:23 *2813:serial_data_in 1.18914e-05 +43 *112:23 *628:23 0.00568799 +44 *112:23 *652:25 0 +45 *112:23 *691:13 4.99739e-05 +46 *112:23 *1720:40 0.00870944 +47 *112:41 *628:49 0.00142324 +48 *112:41 *2633:12 0.00014667 +49 *112:42 *628:50 0.00440928 +50 *112:45 *622:32 0.20215 +51 *112:45 *628:53 0.282585 +52 *112:45 *1711:20 0.0614473 +53 *112:54 *659:25 0.0168982 +54 *112:54 *1708:20 0.0169018 +55 *2798:serial_clock *2798:serial_clock_out 6.19346e-06 +56 *106:56 *112:45 0.0202245 +57 *111:19 *112:45 0.00504155 +58 *111:22 *112:48 0.257655 +59 *111:25 *2798:serial_clock_out 0.000507342 +*RES +1 *2812:serial_clock_out *112:14 46.9612 +2 *112:14 *112:16 4.5 +3 *112:16 *112:17 209.254 +4 *112:17 *112:19 4.5 +5 *112:19 *112:20 52.4928 +6 *112:20 *112:22 4.5 +7 *112:22 *112:23 93.0649 +8 *112:23 *2813:serial_clock 32.7817 +9 *112:16 *112:41 20.1977 +10 *112:41 *112:42 72.8401 +11 *112:42 *112:44 4.5 +12 *112:44 *112:45 2953.99 +13 *112:45 *112:47 4.5 +14 *112:47 *112:48 4114.91 +15 *112:48 *112:50 4.5 +16 *112:50 *112:51 113.863 +17 *112:51 *112:53 4.5 +18 *112:53 *112:54 270.915 +19 *112:54 *2798:serial_clock_out 39.3218 +20 *112:51 *2799:serial_clock 33.5895 +*END + +*D_NET *113 1.25254 +*CONN +*I *2800:serial_clock I *D gpio_control_block +*I *2799:serial_clock_out O *D gpio_control_block +*I *2814:serial_clock I *D gpio_control_block +*I *2813:serial_clock_out O *D gpio_control_block +*CAP +1 *2800:serial_clock 0.000876649 +2 *2799:serial_clock_out 0.000615538 +3 *2814:serial_clock 0.00013553 +4 *2813:serial_clock_out 0.000611209 +5 *113:72 0.00283297 +6 *113:71 0.00397658 +7 *113:66 0.0313247 +8 *113:65 0.0304422 +9 *113:63 0.0603247 +10 *113:54 0.000963565 +11 *113:53 0.00158097 +12 *113:48 0.00390021 +13 *113:47 0.00470353 +14 *113:40 0.00217071 +15 *113:35 0.00212153 +16 *113:32 0.0030517 +17 *113:29 0.00393832 +18 *113:28 0.00291482 +19 *113:22 0.0608458 +20 *113:20 0.00384622 +21 *113:19 0.00445742 +22 *2799:serial_clock_out *2799:serial_data_in 0.000378692 +23 *2800:serial_clock *2800:resetn 0.000423878 +24 *2800:serial_clock *2800:resetn_out 4.31122e-05 +25 *2800:serial_clock *2800:serial_clock_out 3.84497e-05 +26 *2800:serial_clock *672:14 0.000148843 +27 *2800:serial_clock *1739:20 0.000353972 +28 *2814:serial_clock *2814:resetn 0 +29 *2814:serial_clock *2814:serial_data_in 0 +30 *2814:serial_clock *654:9 4.31122e-05 +31 *2814:serial_clock *692:7 0 +32 *113:19 *2813:serial_data_in 6.66147e-05 +33 *113:19 *629:19 0.00135517 +34 *113:19 *652:25 0.000148162 +35 *113:19 *653:17 0.00164764 +36 *113:19 *691:13 0.00118033 +37 *113:20 *691:14 0.00142966 +38 *113:28 *634:27 0.000436825 +39 *113:29 *622:32 0.00161462 +40 *113:29 *645:40 0.0281073 +41 *113:29 *653:27 0.0232681 +42 *113:32 *649:38 0 +43 *113:48 *646:29 0.00901458 +44 *113:53 *653:41 0 +45 *113:53 *692:11 0.000506595 +46 *113:53 *2426:13 0.0010712 +47 *113:54 *2814:serial_data_in 0.000101851 +48 *113:54 *653:42 0.00374132 +49 *113:54 *692:8 0.00169099 +50 *113:54 *2426:8 0.000110764 +51 *113:63 *621:39 0.0604808 +52 *113:63 *632:70 0.0467789 +53 *113:63 *634:27 0.321329 +54 *113:63 *641:48 2.37827e-05 +55 *113:66 *635:14 0.241788 +56 *113:66 *653:54 0.241784 +57 *113:71 *653:59 0.00076693 +58 *113:72 *2800:resetn 4.70104e-05 +59 *113:72 *116:49 0.00225222 +60 *113:72 *653:60 0.0162518 +61 *113:72 *1738:20 0.0139163 +62 *113:72 *1739:20 0.00115938 +63 *2799:serial_clock *2799:serial_clock_out 3.84497e-05 +64 *2813:serial_clock *113:19 1.45065e-05 +65 *106:56 *113:29 0.00335066 +*RES +1 *2813:serial_clock_out *113:19 39.1739 +2 *113:19 *113:20 119.556 +3 *113:20 *113:22 4.5 +4 *113:22 *113:28 22.4969 +5 *113:28 *113:29 307.419 +6 *113:29 *113:32 47.442 +7 *113:32 *113:35 45.7095 +8 *113:35 *113:40 26.1963 +9 *113:40 *113:47 47.5662 +10 *113:47 *113:48 144.264 +11 *113:48 *113:53 34.126 +12 *113:53 *113:54 63.9122 +13 *113:54 *2814:serial_clock 3.89091 +14 *113:22 *113:63 3362.18 +15 *113:63 *113:65 4.5 +16 *113:65 *113:66 3859.94 +17 *113:66 *113:71 31.9075 +18 *113:71 *113:72 260.534 +19 *113:72 *2799:serial_clock_out 30.3251 +20 *113:71 *2800:serial_clock 42.1231 +*END + +*D_NET *114 1.59688 +*CONN +*I *2800:serial_clock_out O *D gpio_control_block +*I *2801:serial_clock I *D gpio_control_block +*I *2815:serial_clock I *D gpio_control_block +*I *2814:serial_clock_out O *D gpio_control_block +*CAP +1 *2800:serial_clock_out 9.84522e-05 +2 *2801:serial_clock 0.000108226 +3 *2815:serial_clock 0.000740678 +4 *2814:serial_clock_out 2.68684e-05 +5 *114:76 2.68684e-05 +6 *114:60 0.000809904 +7 *114:59 0.000912733 +8 *114:54 0.00235545 +9 *114:53 0.00230551 +10 *114:48 0.00205554 +11 *114:47 0.00189443 +12 *114:45 0.000648082 +13 *114:42 0.00376214 +14 *114:41 0.00321251 +15 *114:39 0.0212839 +16 *114:38 0.0212839 +17 *114:36 0.0282818 +18 *114:35 0.0282818 +19 *114:33 0.00500229 +20 *114:32 0.00500229 +21 *114:11 0.0156046 +22 *114:10 0.0148639 +23 *114:8 0.001812 +24 *114:7 0.001812 +25 *114:5 0.00198336 +26 *114:4 0.00198336 +27 *2800:serial_clock_out *2800:resetn_out 0 +28 *2800:serial_clock_out *2800:serial_data_in 4.31122e-05 +29 *2800:serial_clock_out *673:7 0 +30 *2801:serial_clock *2801:resetn_out 5.37048e-05 +31 *2801:serial_clock *2801:serial_clock_out 5.23679e-05 +32 *2801:serial_clock *115:67 2.05416e-05 +33 *2801:serial_clock *655:48 3.11219e-05 +34 *2815:serial_clock *2815:serial_data_in 0.000313913 +35 *2815:serial_clock *2815:user_gpio_oeb 0 +36 *2815:serial_clock *2815:user_gpio_out 0 +37 *2815:serial_clock *2816:serial_load 1.79334e-05 +38 *2815:serial_clock *632:55 0.000952497 +39 *2815:serial_clock *655:7 5.22322e-05 +40 *2815:serial_clock *693:8 0.000531644 +41 *2815:serial_clock *2674:17 4.28856e-07 +42 *114:5 *2236:5 0 +43 *114:5 *2312:5 0 +44 *114:8 *654:15 0.000207453 +45 *114:11 *632:55 0 +46 *114:11 *654:10 0 +47 *114:11 *693:8 0.0433214 +48 *114:33 *2712:17 0 +49 *114:36 *117:47 0.000361352 +50 *114:36 *630:23 0.00151151 +51 *114:36 *631:30 0.0015992 +52 *114:36 *654:37 0.0160613 +53 *114:36 *656:29 0.172355 +54 *114:36 *1722:27 0.201723 +55 *114:39 *619:23 0.400226 +56 *114:39 *630:26 4.42985e-05 +57 *114:39 *644:69 0.0474171 +58 *114:39 *654:40 0.402618 +59 *114:42 *630:29 0.0328512 +60 *114:42 *654:43 0.0324959 +61 *114:42 *2405:14 0.000834009 +62 *114:45 *2800:resetn_out 0 +63 *114:45 *2800:serial_load 0 +64 *114:45 *654:46 0 +65 *114:45 *673:7 0 +66 *114:48 *2800:serial_data_in 4.3116e-06 +67 *114:48 *2800:user_gpio_out 0.000924368 +68 *114:48 *673:8 0.00536542 +69 *114:53 *673:13 0.000536581 +70 *114:54 *630:35 0 +71 *114:54 *630:46 0 +72 *114:54 *630:47 0 +73 *114:54 *654:53 0.0046598 +74 *114:54 *673:14 1.87269e-05 +75 *114:54 *2094:16 0.00159904 +76 *114:54 *2406:14 0.00283599 +77 *114:59 *673:19 0.00050655 +78 *114:60 *2801:resetn 4.05974e-05 +79 *114:60 *655:51 6.81013e-05 +80 *114:60 *673:20 0.00375711 +81 *114:60 *2368:8 0.00299366 +82 *114:60 *2482:13 0.000107108 +83 *2800:serial_clock *2800:serial_clock_out 3.84497e-05 +84 *104:43 *114:39 0.0515766 +*RES +1 *2814:serial_clock_out *114:4 3.36879 +2 *114:4 *114:5 56.0224 +3 *114:5 *114:7 4.5 +4 *114:7 *114:8 50.0831 +5 *114:8 *114:10 4.5 +6 *114:10 *114:11 691.151 +7 *114:11 *2815:serial_clock 41.3571 +8 *114:11 *114:32 4.5 +9 *114:32 *114:33 129.391 +10 *114:33 *114:35 4.5 +11 *114:35 *114:36 3297.9 +12 *114:36 *114:38 3.36879 +13 *114:38 *114:39 508.518 +14 *114:39 *114:41 0.376635 +15 *114:41 *114:42 65.2037 +16 *114:42 *114:45 1.68861 +17 *114:45 *114:47 3.36879 +18 *114:47 *114:48 91.3188 +19 *114:48 *114:53 15.2694 +20 *114:53 *114:54 121.009 +21 *114:54 *114:59 15.2694 +22 *114:59 *114:60 61.4207 +23 *114:60 *2801:serial_clock 3.81442 +24 *114:45 *2800:serial_clock_out 0.445638 +25 *2814:serial_clock_out *114:76 0.0631875 +*END + +*D_NET *115 1.51038 +*CONN +*I *2801:serial_clock_out O *D gpio_control_block +*I *2802:serial_clock I *D gpio_control_block +*I *2816:serial_clock I *D gpio_control_block +*I *2815:serial_clock_out O *D gpio_control_block +*CAP +1 *2801:serial_clock_out 8.07026e-05 +2 *2802:serial_clock 0.000602532 +3 *2816:serial_clock 0.000428519 +4 *2815:serial_clock_out 0.00553363 +5 *115:90 1.65112e-05 +6 *115:70 0.00501555 +7 *115:69 0.00441302 +8 *115:67 0.00060257 +9 *115:64 0.00567301 +10 *115:63 0.00515115 +11 *115:61 0.0250388 +12 *115:60 0.0250388 +13 *115:58 0.0295302 +14 *115:57 0.0295302 +15 *115:55 0.00286842 +16 *115:54 0.00286842 +17 *115:43 0.000685883 +18 *115:39 0.0011751 +19 *115:38 0.000917736 +20 *115:36 0.000814877 +21 *115:32 0.00110782 +22 *115:24 0.00817518 +23 *115:23 0.00788224 +24 *115:21 0.00263789 +25 *115:20 0.0030426 +26 *115:10 0.00592183 +27 *2801:serial_clock_out *2801:serial_data_in 5.76421e-05 +28 *2802:serial_clock *2802:resetn_out 6.16945e-05 +29 *2802:serial_clock *2802:serial_clock_out 5.25177e-05 +30 *2802:serial_clock *116:56 3.51785e-06 +31 *2802:serial_clock *631:42 0.00187597 +32 *2802:serial_clock *655:51 0.000502891 +33 *2802:serial_clock *656:50 4.2993e-05 +34 *2802:serial_clock *2407:13 0.000272847 +35 *2802:serial_clock *2445:11 0.000605451 +36 *2816:serial_clock *2816:resetn 0.000632814 +37 *2816:serial_clock *2816:serial_data_in 0 +38 *2816:serial_clock *634:14 0.000636412 +39 *2816:serial_clock *656:13 0.000219699 +40 *2816:serial_clock *694:7 0 +41 *115:20 *2815:gpio_defaults[0] 1.07421e-06 +42 *115:32 *618:34 0.000239103 +43 *115:32 *632:49 0.00156989 +44 *115:32 *633:29 0.0015663 +45 *115:32 *2237:13 7.98171e-06 +46 *115:32 *2465:19 5.04829e-06 +47 *115:36 *2822:mprj_io_dm[75] 5.04829e-06 +48 *115:36 *633:29 4.58666e-05 +49 *115:36 *2009:28 0.00116115 +50 *115:36 *2237:14 0.00229051 +51 *115:39 *632:37 0.00473871 +52 *115:39 *634:14 1.3813e-05 +53 *115:39 *655:16 0.00660821 +54 *115:39 *2199:8 0.00186361 +55 *115:39 *2237:22 1.2819e-05 +56 *115:43 *2816:resetn 3.31882e-05 +57 *115:43 *632:37 1.3813e-05 +58 *115:43 *634:14 0.00152941 +59 *115:43 *655:24 0.00146429 +60 *115:55 *655:36 0.0113427 +61 *115:58 *116:43 0.0395422 +62 *115:58 *118:31 0.0338039 +63 *115:58 *641:45 0.0507105 +64 *115:58 *643:32 0.0572142 +65 *115:58 *655:39 0.00773729 +66 *115:58 *658:53 0.139427 +67 *115:58 *1722:27 0 +68 *115:61 *116:46 0.398637 +69 *115:61 *655:42 0.39886 +70 *115:64 *116:49 0.0522332 +71 *115:64 *655:45 0.051819 +72 *115:64 *1987:14 0.000963661 +73 *115:64 *2085:16 0.000915902 +74 *115:64 *2086:16 0.00115187 +75 *115:64 *2090:14 0.000820912 +76 *115:64 *2091:14 0.000946932 +77 *115:64 *2330:16 0.00105443 +78 *115:67 *2801:serial_data_in 3.11219e-05 +79 *115:67 *655:48 0 +80 *115:70 *631:42 0.00262156 +81 *115:70 *631:46 0.0254898 +82 *115:70 *655:51 0.0315725 +83 *115:70 *673:20 7.15968e-05 +84 *115:70 *2027:13 6.7566e-05 +85 *2801:serial_clock *2801:serial_clock_out 5.23679e-05 +86 *2801:serial_clock *115:67 2.05416e-05 +87 *102:49 *115:36 0.000386498 +*RES +1 *2815:serial_clock_out *115:10 49.972 +2 *115:10 *115:20 15.6293 +3 *115:20 *115:21 74.7088 +4 *115:21 *115:23 4.5 +5 *115:23 *115:24 214.8 +6 *115:24 *115:32 40.4187 +7 *115:32 *115:36 49.1436 +8 *115:36 *115:38 4.5 +9 *115:38 *115:39 106.683 +10 *115:39 *115:43 25.6113 +11 *115:43 *2816:serial_clock 15.0005 +12 *115:43 *115:54 4.5 +13 *115:54 *115:55 122.182 +14 *115:55 *115:57 4.5 +15 *115:57 *115:58 3023.41 +16 *115:58 *115:60 3.36879 +17 *115:60 *115:61 507.6 +18 *115:61 *115:63 0.376635 +19 *115:63 *115:64 103.61 +20 *115:64 *115:67 2.22404 +21 *115:67 *115:69 3.36879 +22 *115:69 *115:70 504.287 +23 *115:70 *2802:serial_clock 37.1079 +24 *115:67 *2801:serial_clock_out 0.369148 +25 *2815:serial_clock_out *115:90 0.0631875 +*END + +*D_NET *116 1.05183 +*CONN +*I *2802:serial_clock_out O *D gpio_control_block +*I *2786:serial_clock I *D gpio_control_block +*I *2817:serial_clock I *D gpio_control_block +*I *2816:serial_clock_out O *D gpio_control_block +*CAP +1 *2802:serial_clock_out 0.000138332 +2 *2786:serial_clock 0.000122814 +3 *2817:serial_clock 0.000510964 +4 *2816:serial_clock_out 6.94771e-05 +5 *116:65 0.00542715 +6 *116:64 0.00591153 +7 *116:56 0.0121394 +8 *116:49 0.0356942 +9 *116:48 0.0243003 +10 *116:46 0.0820935 +11 *116:45 0.0820935 +12 *116:43 0.0642104 +13 *116:42 0.0642104 +14 *116:40 0.00465171 +15 *116:39 0.00465171 +16 *116:28 0.000810605 +17 *116:22 0.00253894 +18 *116:21 0.00243268 +19 *116:16 0.000652386 +20 *116:15 0.00143666 +21 *116:10 0.00244005 +22 *116:9 0.00153187 +23 *2786:serial_clock *2786:resetn 1.43671e-05 +24 *2786:serial_clock *2786:serial_clock_out 1.43671e-05 +25 *2786:serial_clock *2484:9 0 +26 *2802:serial_clock_out *2802:serial_data_in 2.97005e-05 +27 *2802:serial_clock_out *2802:serial_load 0 +28 *2817:serial_clock *2817:serial_data_in 0 +29 *2817:serial_clock *117:7 9.94578e-05 +30 *2817:serial_clock *633:14 0.000108229 +31 *2817:serial_clock *657:7 0.000307994 +32 *2817:serial_clock *696:8 0 +33 *116:10 *2238:12 0.00218088 +34 *116:10 *2276:17 0.000429165 +35 *116:15 *2238:15 0.00226329 +36 *116:16 *618:34 0.000534602 +37 *116:16 *695:22 0.00179564 +38 *116:16 *2048:8 0.00148308 +39 *116:16 *2200:8 0.00150763 +40 *116:16 *2314:12 3.14199e-05 +41 *116:16 *2352:12 2.01595e-05 +42 *116:16 *2466:14 0.00179923 +43 *116:21 *2822:mprj_io_dm[79] 5.04829e-06 +44 *116:21 *2200:26 5.04829e-06 +45 *116:22 *632:20 0.00259912 +46 *116:22 *633:28 1.49176e-05 +47 *116:22 *634:14 0.00404022 +48 *116:22 *656:13 0.00115896 +49 *116:22 *656:14 0.000265893 +50 *116:22 *695:16 0 +51 *116:22 *1972:19 0.000449924 +52 *116:22 *2010:16 0.000878945 +53 *116:22 *2160:17 0.00135645 +54 *116:28 *2817:user_gpio_out 0 +55 *116:28 *633:14 0.000149861 +56 *116:28 *695:15 0.000103139 +57 *116:28 *696:8 0 +58 *116:40 *2817:user_gpio_out 0.00166117 +59 *116:43 *641:45 0.033809 +60 *116:43 *643:32 0.0572108 +61 *116:43 *1722:27 0 +62 *116:49 *653:60 0.0023207 +63 *116:49 *672:14 0.00248323 +64 *116:49 *1737:20 0.00119093 +65 *116:49 *1738:20 0.00330545 +66 *116:49 *1739:20 0.00554684 +67 *116:49 *1986:14 0.00100298 +68 *116:49 *2025:14 0.000988756 +69 *116:49 *2088:14 0.00102672 +70 *116:49 *2092:14 0.00097527 +71 *116:49 *2290:11 0.00151573 +72 *116:49 *2292:11 0.00143627 +73 *116:49 *2329:14 0.000951114 +74 *116:49 *2442:14 0.00127315 +75 *116:49 *2444:16 0.00139863 +76 *116:56 *2802:resetn_out 0 +77 *116:56 *2027:14 0.000121621 +78 *116:56 *2096:14 0.000216508 +79 *116:56 *2097:8 0.000203694 +80 *116:56 *2407:14 0.000127234 +81 *116:64 *2802:serial_load_out 0 +82 *116:64 *632:87 1.38068e-05 +83 *116:64 *656:50 0.000862881 +84 *116:64 *2255:8 0.000191158 +85 *116:64 *2445:11 0.00119834 +86 *116:65 *2786:pad_gpio_in 0.000113149 +87 *116:65 *632:85 8.73869e-05 +88 *116:65 *632:87 0.0127131 +89 *116:65 *2065:10 0.00129085 +90 *116:65 *2098:13 0.000113149 +91 *116:65 *2099:13 0.00055861 +92 *116:65 *2255:8 0.000550669 +93 *116:65 *2332:13 0.000409033 +94 *116:65 *2446:13 0.000524765 +95 *2802:serial_clock *2802:serial_clock_out 5.25177e-05 +96 *2802:serial_clock *116:56 3.51785e-06 +97 *113:72 *116:49 0.00225222 +98 *115:58 *116:43 0.0395422 +99 *115:61 *116:46 0.398637 +100 *115:64 *116:49 0.0522332 +*RES +1 *2816:serial_clock_out *116:9 3.59493 +2 *116:9 *116:10 59.7597 +3 *116:10 *116:15 41.8904 +4 *116:15 *116:16 53.7385 +5 *116:16 *116:21 12.4964 +6 *116:21 *116:22 142.602 +7 *116:22 *116:28 17.9263 +8 *116:28 *2817:serial_clock 8.81539 +9 *116:28 *116:39 4.5 +10 *116:39 *116:40 119.963 +11 *116:40 *116:42 4.5 +12 *116:42 *116:43 2754.33 +13 *116:43 *116:45 3.36879 +14 *116:45 *116:46 507.295 +15 *116:46 *116:48 0.376635 +16 *116:48 *116:49 135.531 +17 *116:49 *116:56 44.7795 +18 *116:56 *116:64 43.787 +19 *116:64 *116:65 236.242 +20 *116:65 *2786:serial_clock 3.72796 +21 *116:56 *2802:serial_clock_out 0.445638 +*END + +*D_NET *117 1.31137 +*CONN +*I *2788:serial_clock I *D gpio_control_block +*I *2786:serial_clock_out O *D gpio_control_block +*I *2818:serial_clock I *D gpio_control_block +*I *2817:serial_clock_out O *D gpio_control_block +*CAP +1 *2788:serial_clock 0.00110558 +2 *2786:serial_clock_out 0.00123808 +3 *2818:serial_clock 0.00179827 +4 *2817:serial_clock_out 7.88907e-05 +5 *117:59 0.00428802 +6 *117:58 0.00304994 +7 *117:56 0.00160418 +8 *117:53 0.0512832 +9 *117:52 0.0507846 +10 *117:50 0.0202286 +11 *117:49 0.0202286 +12 *117:47 0.0288516 +13 *117:46 0.0288516 +14 *117:44 0.00436232 +15 *117:43 0.00436232 +16 *117:27 0.00283082 +17 *117:22 0.00307301 +18 *117:21 0.00321571 +19 *117:8 0.00287147 +20 *117:7 0.00177512 +21 *2786:serial_clock_out *2786:serial_load 4.62112e-05 +22 *2786:serial_clock_out *2786:serial_load_out 1.4026e-05 +23 *2786:serial_clock_out *633:48 0.00122785 +24 *2786:serial_clock_out *676:7 0 +25 *2788:serial_clock *2788:resetn 4.69495e-06 +26 *2788:serial_clock *2788:resetn_out 1.53776e-05 +27 *2788:serial_clock *2788:serial_clock_out 0 +28 *2788:serial_clock *2788:serial_data_in 7.6158e-05 +29 *2788:serial_clock *633:61 2.42138e-05 +30 *2788:serial_clock *657:43 0.00299817 +31 *2788:serial_clock *2103:8 0 +32 *2788:serial_clock *2333:13 9.95542e-06 +33 *2788:serial_clock *2447:13 0.000470508 +34 *117:7 *2817:serial_data_in 9.94578e-05 +35 *117:8 *617:14 0 +36 *117:8 *2239:8 0.0036339 +37 *117:8 *2277:11 0.00180392 +38 *117:8 *2391:8 0 +39 *117:21 *618:34 0.000611413 +40 *117:21 *633:14 0.000159454 +41 *117:21 *2011:8 0.00026938 +42 *117:21 *2049:8 0.000272629 +43 *117:21 *2162:19 0.000224424 +44 *117:21 *2163:24 0.00014097 +45 *117:21 *2239:8 0.000369038 +46 *117:21 *2277:11 0.000182929 +47 *117:21 *2353:8 0.000122969 +48 *117:21 *2429:8 0.00012841 +49 *117:22 *617:14 0.00127709 +50 *117:22 *633:14 0 +51 *117:22 *634:14 0.00358974 +52 *117:22 *2011:8 0.00068251 +53 *117:22 *2049:8 7.57306e-05 +54 *117:22 *2163:24 0.000459831 +55 *117:44 *2604:20 0.00424767 +56 *117:47 *118:31 0.0390646 +57 *117:47 *617:26 0.0101694 +58 *117:47 *629:26 0.000709454 +59 *117:47 *631:30 0 +60 *117:47 *634:24 8.6297e-06 +61 *117:47 *654:37 0.0385186 +62 *117:47 *655:39 0.0222239 +63 *117:50 *617:29 0.349432 +64 *117:50 *631:33 0.349432 +65 *117:53 *118:37 0.138446 +66 *117:53 *643:44 0.0166526 +67 *117:56 *657:43 0.000713935 +68 *117:59 *633:43 0.0167655 +69 *117:59 *1711:17 0.00815788 +70 *2786:serial_clock *2786:serial_clock_out 1.43671e-05 +71 *2817:serial_clock *117:7 9.94578e-05 +72 *102:52 *117:50 0.0515451 +73 *103:30 *117:53 0.00822882 +74 *106:37 *117:21 0.000931513 +75 *106:37 *117:22 0.000775571 +76 *114:36 *117:47 0.000361352 +*RES +1 *2817:serial_clock_out *117:7 3.89091 +2 *117:7 *117:8 83.8443 +3 *117:8 *117:21 18.7139 +4 *117:21 *117:22 100.039 +5 *117:22 *117:27 36.899 +6 *117:27 *2818:serial_clock 26.7468 +7 *117:8 *117:43 4.5 +8 *117:43 *117:44 126.064 +9 *117:44 *117:46 4.5 +10 *117:46 *117:47 1637.93 +11 *117:47 *117:49 4.5 +12 *117:49 *117:50 3650.57 +13 *117:50 *117:52 4.5 +14 *117:52 *117:53 2479.64 +15 *117:53 *117:56 16.8701 +16 *117:56 *117:58 4.5 +17 *117:58 *117:59 267.593 +18 *117:59 *2786:serial_clock_out 46.8238 +19 *117:56 *2788:serial_clock 47.1803 +*END + +*D_NET *118 1.35399 +*CONN +*I *2788:serial_clock_out O *D gpio_control_block +*I *2789:serial_clock I *D gpio_control_block +*I *2804:serial_clock I *D gpio_control_block +*I *2818:serial_clock_out O *D gpio_control_block +*CAP +1 *2788:serial_clock_out 0.00453394 +2 *2789:serial_clock 0.00098676 +3 *2804:serial_clock 0.000663808 +4 *2818:serial_clock_out 0.000369451 +5 *118:40 0.00731508 +6 *118:37 0.0239023 +7 *118:36 0.0221079 +8 *118:34 0.0206875 +9 *118:33 0.0206875 +10 *118:31 0.0240281 +11 *118:30 0.0240281 +12 *118:28 0.00471862 +13 *118:27 0.00471862 +14 *118:10 0.0043253 +15 *118:8 0.00403095 +16 *2788:serial_clock_out *2788:resetn_out 0 +17 *2788:serial_clock_out *2788:serial_data_in 0.000130362 +18 *2788:serial_clock_out *1955:8 1.69979e-05 +19 *2788:serial_clock_out *1991:13 0.00631948 +20 *2788:serial_clock_out *1993:8 5.47006e-05 +21 *2788:serial_clock_out *2031:8 0.00147918 +22 *2788:serial_clock_out *2066:8 0.0103411 +23 *2788:serial_clock_out *2067:13 9.56027e-05 +24 *2788:serial_clock_out *2257:8 0.00358235 +25 *2788:serial_clock_out *2335:7 0.000366744 +26 *2788:serial_clock_out *2411:13 1.93857e-05 +27 *2789:serial_clock *2789:resetn_out 0.000195568 +28 *2789:serial_clock *2789:serial_data_in 0 +29 *2789:serial_clock *2219:8 0.000245749 +30 *2789:serial_clock *2297:8 0.00080632 +31 *2804:serial_clock *2804:serial_data_in 1.29018e-05 +32 *2804:serial_clock *617:11 0.000599113 +33 *2804:serial_clock *617:69 6.50727e-05 +34 *2804:serial_clock *641:10 8.63825e-06 +35 *118:8 *2818:resetn 0 +36 *118:8 *2818:serial_data_in 0.000213486 +37 *118:8 *617:14 0.000294841 +38 *118:8 *634:73 0.000142838 +39 *118:10 *2822:mprj_io_out[28] 0.000399574 +40 *118:10 *617:14 0.0155728 +41 *118:10 *634:73 0.00036422 +42 *118:10 *658:36 0 +43 *118:10 *2392:8 0.00756693 +44 *118:31 *634:24 0 +45 *118:31 *641:45 0.0394342 +46 *118:31 *655:39 0.0338248 +47 *118:34 *633:38 0.0508432 +48 *118:34 *634:27 0.349031 +49 *118:34 *641:48 0.348924 +50 *118:37 *634:30 0 +51 *118:37 *642:58 0.0184923 +52 *118:37 *2622:14 0.0482557 +53 *118:37 *2658:14 0.000383352 +54 *2788:serial_clock *2788:serial_clock_out 0 +55 *103:30 *118:37 0.0353749 +56 *106:37 *118:10 0.00210046 +57 *109:17 *118:34 1.40978e-05 +58 *115:58 *118:31 0.0338039 +59 *117:47 *118:31 0.0390646 +60 *117:53 *118:37 0.138446 +*RES +1 *2818:serial_clock_out *118:8 9.52571 +2 *118:8 *118:10 250.983 +3 *118:10 *2804:serial_clock 30.6535 +4 *118:8 *118:27 4.5 +5 *118:27 *118:28 122.182 +6 *118:28 *118:30 4.5 +7 *118:30 *118:31 1838.08 +8 *118:31 *118:33 4.5 +9 *118:33 *118:34 3650.02 +10 *118:34 *118:36 4.5 +11 *118:36 *118:37 2224.47 +12 *118:37 *118:40 8.12282 +13 *118:40 *2789:serial_clock 4.11168 +14 *118:40 *2788:serial_clock_out 38.7288 +*END + +*D_NET *119 1.13941 +*CONN +*I *2781:serial_clock I *D gpio_control_block +*I *2803:serial_clock I *D gpio_control_block +*I *2819:serial_clock O *D housekeeping +*CAP +1 *2781:serial_clock 0.00125525 +2 *2803:serial_clock 7.17141e-05 +3 *2819:serial_clock 0.00102841 +4 *119:25 0.000975354 +5 *119:20 0.00224598 +6 *119:19 0.00134234 +7 *119:17 0.0121508 +8 *119:16 0.0121508 +9 *119:14 0.0644162 +10 *119:13 0.0646858 +11 *119:8 0.00570316 +12 *119:7 0.00520674 +13 *2781:serial_clock *2781:resetn_out 0.000184356 +14 *2781:serial_clock *2781:serial_data_in 0 +15 *2781:serial_clock *635:8 2.09495e-05 +16 *2781:serial_clock *635:38 8.52802e-05 +17 *2781:serial_clock *640:40 0 +18 *119:8 *635:8 0.00976494 +19 *119:8 *640:40 0 +20 *119:8 *1748:8 0 +21 *119:13 *635:13 0.000908319 +22 *119:14 *634:30 0.138349 +23 *119:14 *635:14 0.327733 +24 *119:14 *657:40 0.0180019 +25 *119:14 *2641:14 0.0548193 +26 *119:14 *2655:16 0.00869493 +27 *119:17 *616:41 0.200615 +28 *119:17 *635:17 0.201994 +29 *119:20 *635:20 0.0022154 +30 *119:20 *2629:20 0.00185427 +31 *119:25 *2803:resetn 0.00218496 +32 *119:25 *2803:serial_load 0.000716904 +33 *119:25 *2629:19 2.20702e-05 +34 *2781:serial_clock_out *2781:serial_clock 9.52305e-06 +35 *103:30 *119:14 0 +*RES +1 *2819:serial_clock *119:7 7.25648 +2 *119:7 *119:8 176.861 +3 *119:8 *119:13 19.7063 +4 *119:13 *119:14 5238.16 +5 *119:14 *119:16 4.5 +6 *119:16 *119:17 2112.1 +7 *119:17 *119:19 4.5 +8 *119:19 *119:20 74.9164 +9 *119:20 *119:25 49.6549 +10 *119:25 *2803:serial_clock 3.88708 +11 *119:8 *2781:serial_clock 45.4676 +*END + +*D_NET *120 0.00490279 +*CONN +*I *2781:gpio_defaults[0] I *D gpio_control_block +*I *2743:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[0] 0.00212775 +2 *2743:gpio_defaults[0] 0.00212775 +3 *2781:gpio_defaults[0] *2781:gpio_defaults[11] 0.000304127 +4 *2781:gpio_defaults[0] *2781:gpio_defaults[12] 5.10987e-05 +5 *2781:gpio_defaults[0] *2781:gpio_defaults[1] 0.000292058 +*RES +1 *2743:gpio_defaults[0] *2781:gpio_defaults[0] 15.3211 +*END + +*D_NET *121 0.0030385 +*CONN +*I *2802:gpio_defaults[9] I *D gpio_control_block +*I *2778:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[9] 0.000469132 +2 *2778:gpio_defaults[9] 0.000469132 +3 *2802:gpio_defaults[9] *2802:gpio_defaults[10] 0 +4 *2802:gpio_defaults[9] *2802:gpio_defaults[11] 0.00120345 +5 *2802:gpio_defaults[9] *2802:gpio_defaults[2] 0 +6 *2802:gpio_defaults[9] *2802:gpio_defaults[3] 0.000173601 +7 *2802:gpio_defaults[9] *2802:gpio_defaults[4] 0 +8 *2802:gpio_defaults[9] *2802:gpio_defaults[8] 0.000723192 +*RES +1 *2778:gpio_defaults[9] *2802:gpio_defaults[9] 28.4466 +*END + +*D_NET *122 0.00423488 +*CONN +*I *2802:gpio_defaults[10] I *D gpio_control_block +*I *2778:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[10] 0.000494431 +2 *2778:gpio_defaults[10] 0.000494431 +3 *2802:gpio_defaults[10] *2802:gpio_defaults[0] 0.000146471 +4 *2802:gpio_defaults[10] *2802:gpio_defaults[11] 0 +5 *2802:gpio_defaults[10] *2802:gpio_defaults[12] 2.41483e-05 +6 *2802:gpio_defaults[10] *2802:gpio_defaults[1] 0 +7 *2802:gpio_defaults[10] *2802:gpio_defaults[2] 0.00178466 +8 *2802:gpio_defaults[10] *2802:gpio_defaults[3] 0.00129074 +9 *2802:gpio_defaults[10] *2802:gpio_defaults[4] 0 +10 *2802:gpio_defaults[9] *2802:gpio_defaults[10] 0 +*RES +1 *2778:gpio_defaults[10] *2802:gpio_defaults[10] 34.4007 +*END + +*D_NET *123 0.00501787 +*CONN +*I *2802:gpio_defaults[11] I *D gpio_control_block +*I *2778:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[11] 0.000634975 +2 *2778:gpio_defaults[11] 0.000634975 +3 *2802:gpio_defaults[11] *2802:gpio_defaults[0] 0.000253101 +4 *2802:gpio_defaults[11] *2802:gpio_defaults[12] 0 +5 *2802:gpio_defaults[11] *2802:gpio_defaults[1] 0.00229137 +6 *2802:gpio_defaults[11] *2802:gpio_defaults[2] 0 +7 *2802:gpio_defaults[11] *2802:gpio_defaults[3] 0 +8 *2802:gpio_defaults[10] *2802:gpio_defaults[11] 0 +9 *2802:gpio_defaults[9] *2802:gpio_defaults[11] 0.00120345 +*RES +1 *2778:gpio_defaults[11] *2802:gpio_defaults[11] 39.9539 +*END + +*D_NET *124 0.00422832 +*CONN +*I *2802:gpio_defaults[12] I *D gpio_control_block +*I *2778:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[12] 0.00107593 +2 *2778:gpio_defaults[12] 0.00107593 +3 *2802:gpio_defaults[12] *2802:gpio_defaults[0] 0.000230493 +4 *2802:gpio_defaults[12] *2802:gpio_defaults[1] 0 +5 *2802:gpio_defaults[12] *2802:gpio_defaults[2] 0.00182182 +6 *2802:gpio_defaults[10] *2802:gpio_defaults[12] 2.41483e-05 +7 *2802:gpio_defaults[11] *2802:gpio_defaults[12] 0 +*RES +1 *2778:gpio_defaults[12] *2802:gpio_defaults[12] 47.2342 +*END + +*D_NET *125 0.00548238 +*CONN +*I *2786:gpio_defaults[0] I *D gpio_control_block +*I *2779:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[0] 0.00212921 +2 *2779:gpio_defaults[0] 0.00212921 +3 *2786:gpio_defaults[0] *2786:gpio_defaults[10] 0.000195483 +4 *2786:gpio_defaults[0] *2786:gpio_defaults[11] 0.00043573 +5 *2786:gpio_defaults[0] *2786:gpio_defaults[12] 2.15266e-05 +6 *2786:gpio_defaults[0] *2786:gpio_defaults[1] 0.000225963 +7 *2786:gpio_defaults[0] *2786:gpio_defaults[2] 0.000192835 +8 *2786:gpio_defaults[0] *2786:gpio_defaults[9] 0.000152428 +*RES +1 *2779:gpio_defaults[0] *2786:gpio_defaults[0] 14.4906 +*END + +*D_NET *126 0.00548919 +*CONN +*I *2786:gpio_defaults[1] I *D gpio_control_block +*I *2779:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[1] 0.00055057 +2 *2779:gpio_defaults[1] 0.00055057 +3 *2786:gpio_defaults[1] *2786:gpio_defaults[10] 0.00187071 +4 *2786:gpio_defaults[1] *2786:gpio_defaults[11] 0 +5 *2786:gpio_defaults[1] *2786:gpio_defaults[12] 0.00229137 +6 *2786:gpio_defaults[1] *2786:gpio_defaults[2] 0 +7 *2786:gpio_defaults[0] *2786:gpio_defaults[1] 0.000225963 +*RES +1 *2779:gpio_defaults[1] *2786:gpio_defaults[1] 39.9539 +*END + +*D_NET *127 0.00383016 +*CONN +*I *2786:gpio_defaults[2] I *D gpio_control_block +*I *2779:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[2] 0.000573751 +2 *2779:gpio_defaults[2] 0.000573751 +3 *2786:gpio_defaults[2] *2786:gpio_defaults[10] 0.00182519 +4 *2786:gpio_defaults[2] *2786:gpio_defaults[11] 0 +5 *2786:gpio_defaults[2] *2786:gpio_defaults[3] 2.9752e-05 +6 *2786:gpio_defaults[2] *2786:gpio_defaults[8] 0.000634888 +7 *2786:gpio_defaults[2] *2786:gpio_defaults[9] 0 +8 *2786:gpio_defaults[0] *2786:gpio_defaults[2] 0.000192835 +9 *2786:gpio_defaults[1] *2786:gpio_defaults[2] 0 +*RES +1 *2779:gpio_defaults[2] *2786:gpio_defaults[2] 33.5774 +*END + +*D_NET *128 0.00295442 +*CONN +*I *2786:gpio_defaults[3] I *D gpio_control_block +*I *2779:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[3] 0.00044708 +2 *2779:gpio_defaults[3] 0.00044708 +3 *2786:gpio_defaults[3] *2786:gpio_defaults[10] 0 +4 *2786:gpio_defaults[3] *2786:gpio_defaults[4] 0.000706559 +5 *2786:gpio_defaults[3] *2786:gpio_defaults[8] 2.41483e-05 +6 *2786:gpio_defaults[3] *2786:gpio_defaults[9] 0.0012998 +7 *2786:gpio_defaults[2] *2786:gpio_defaults[3] 2.9752e-05 +*RES +1 *2779:gpio_defaults[3] *2786:gpio_defaults[3] 28.0313 +*END + +*D_NET *129 0.0020042 +*CONN +*I *2786:gpio_defaults[4] I *D gpio_control_block +*I *2779:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[4] 0.000368635 +2 *2779:gpio_defaults[4] 0.000368635 +3 *2786:gpio_defaults[4] *2786:gpio_defaults[5] 0.000175485 +4 *2786:gpio_defaults[4] *2786:gpio_defaults[7] 4.82966e-05 +5 *2786:gpio_defaults[4] *2786:gpio_defaults[8] 0.000336586 +6 *2786:gpio_defaults[3] *2786:gpio_defaults[4] 0.000706559 +*RES +1 *2779:gpio_defaults[4] *2786:gpio_defaults[4] 22.07 +*END + +*D_NET *130 0.00122344 +*CONN +*I *2786:gpio_defaults[5] I *D gpio_control_block +*I *2779:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[5] 0.000369212 +2 *2779:gpio_defaults[5] 0.000369212 +3 *2786:gpio_defaults[5] *2786:gpio_defaults[6] 2.44253e-05 +4 *2786:gpio_defaults[5] *2786:gpio_defaults[7] 0.000285103 +5 *2786:gpio_defaults[4] *2786:gpio_defaults[5] 0.000175485 +*RES +1 *2779:gpio_defaults[5] *2786:gpio_defaults[5] 16.1087 +*END + +*D_NET *131 0.00405478 +*CONN +*I *2781:gpio_defaults[10] I *D gpio_control_block +*I *2743:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[10] 0.000524218 +2 *2743:gpio_defaults[10] 0.000524218 +3 *2781:gpio_defaults[10] *2781:gpio_defaults[11] 0 +4 *2781:gpio_defaults[10] *2781:gpio_defaults[12] 0 +5 *2781:gpio_defaults[10] *2781:gpio_defaults[1] 0 +6 *2781:gpio_defaults[10] *2781:gpio_defaults[2] 0.00176871 +7 *2781:gpio_defaults[10] *2781:gpio_defaults[3] 0.00123763 +8 *2781:gpio_defaults[10] *2781:gpio_defaults[4] 0 +9 *2781:gpio_defaults[10] *2781:gpio_defaults[9] 0 +*RES +1 *2743:gpio_defaults[10] *2781:gpio_defaults[10] 34.4079 +*END + +*D_NET *132 0.000104205 +*CONN +*I *2786:gpio_defaults[6] I *D gpio_control_block +*I *2779:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[6] 3.6932e-05 +2 *2779:gpio_defaults[6] 3.6932e-05 +3 *2786:gpio_defaults[6] *2786:gpio_defaults[7] 5.91599e-06 +4 *2786:gpio_defaults[5] *2786:gpio_defaults[6] 2.44253e-05 +*RES +1 *2779:gpio_defaults[6] *2786:gpio_defaults[6] 0.830504 +*END + +*D_NET *133 0.00113372 +*CONN +*I *2786:gpio_defaults[7] I *D gpio_control_block +*I *2779:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[7] 0.000262062 +2 *2779:gpio_defaults[7] 0.000262062 +3 *2786:gpio_defaults[7] *2786:gpio_defaults[8] 0.000270285 +4 *2786:gpio_defaults[4] *2786:gpio_defaults[7] 4.82966e-05 +5 *2786:gpio_defaults[5] *2786:gpio_defaults[7] 0.000285103 +6 *2786:gpio_defaults[6] *2786:gpio_defaults[7] 5.91599e-06 +*RES +1 *2779:gpio_defaults[7] *2786:gpio_defaults[7] 16.2578 +*END + +*D_NET *134 0.00194947 +*CONN +*I *2786:gpio_defaults[8] I *D gpio_control_block +*I *2779:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[8] 0.000341779 +2 *2779:gpio_defaults[8] 0.000341779 +3 *2786:gpio_defaults[8] *2786:gpio_defaults[9] 0 +4 *2786:gpio_defaults[2] *2786:gpio_defaults[8] 0.000634888 +5 *2786:gpio_defaults[3] *2786:gpio_defaults[8] 2.41483e-05 +6 *2786:gpio_defaults[4] *2786:gpio_defaults[8] 0.000336586 +7 *2786:gpio_defaults[7] *2786:gpio_defaults[8] 0.000270285 +*RES +1 *2779:gpio_defaults[8] *2786:gpio_defaults[8] 22.3898 +*END + +*D_NET *135 0.00348299 +*CONN +*I *2786:gpio_defaults[9] I *D gpio_control_block +*I *2779:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[9] 0.000343457 +2 *2779:gpio_defaults[9] 0.000343457 +3 *2786:gpio_defaults[9] *2786:gpio_defaults[11] 0.00134385 +4 *2786:gpio_defaults[0] *2786:gpio_defaults[9] 0.000152428 +5 *2786:gpio_defaults[2] *2786:gpio_defaults[9] 0 +6 *2786:gpio_defaults[3] *2786:gpio_defaults[9] 0.0012998 +7 *2786:gpio_defaults[8] *2786:gpio_defaults[9] 0 +*RES +1 *2779:gpio_defaults[9] *2786:gpio_defaults[9] 28.5903 +*END + +*D_NET *136 0.00460056 +*CONN +*I *2786:gpio_defaults[10] I *D gpio_control_block +*I *2779:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[10] 0.000354593 +2 *2779:gpio_defaults[10] 0.000354593 +3 *2786:gpio_defaults[10] *2786:gpio_defaults[11] 0 +4 *2786:gpio_defaults[0] *2786:gpio_defaults[10] 0.000195483 +5 *2786:gpio_defaults[1] *2786:gpio_defaults[10] 0.00187071 +6 *2786:gpio_defaults[2] *2786:gpio_defaults[10] 0.00182519 +7 *2786:gpio_defaults[3] *2786:gpio_defaults[10] 0 +*RES +1 *2779:gpio_defaults[10] *2786:gpio_defaults[10] 34.1363 +*END + +*D_NET *137 0.00377185 +*CONN +*I *2786:gpio_defaults[11] I *D gpio_control_block +*I *2779:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[11] 0.000996138 +2 *2779:gpio_defaults[11] 0.000996138 +3 *2786:gpio_defaults[11] *2786:gpio_defaults[12] 0 +4 *2786:gpio_defaults[0] *2786:gpio_defaults[11] 0.00043573 +5 *2786:gpio_defaults[10] *2786:gpio_defaults[11] 0 +6 *2786:gpio_defaults[1] *2786:gpio_defaults[11] 0 +7 *2786:gpio_defaults[2] *2786:gpio_defaults[11] 0 +8 *2786:gpio_defaults[9] *2786:gpio_defaults[11] 0.00134385 +*RES +1 *2779:gpio_defaults[11] *2786:gpio_defaults[11] 42.0185 +*END + +*D_NET *138 0.00452669 +*CONN +*I *2786:gpio_defaults[12] I *D gpio_control_block +*I *2779:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2786:gpio_defaults[12] 0.00110689 +2 *2779:gpio_defaults[12] 0.00110689 +3 *2786:gpio_defaults[0] *2786:gpio_defaults[12] 2.15266e-05 +4 *2786:gpio_defaults[11] *2786:gpio_defaults[12] 0 +5 *2786:gpio_defaults[1] *2786:gpio_defaults[12] 0.00229137 +*RES +1 *2779:gpio_defaults[12] *2786:gpio_defaults[12] 49.3774 +*END + +*D_NET *139 0.00557942 +*CONN +*I *2788:gpio_defaults[0] I *D gpio_control_block +*I *2780:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[0] 0.000534631 +2 *2780:gpio_defaults[0] 0.000713173 +3 *139:15 0.00207654 +4 *139:9 0.00225508 +5 *2788:gpio_defaults[0] *2788:gpio_defaults[11] 0 +6 *2788:gpio_defaults[0] *2788:gpio_defaults[12] 0 +*RES +1 *2780:gpio_defaults[0] *139:9 24.6397 +2 *139:9 *139:15 47.3643 +3 *139:15 *2788:gpio_defaults[0] 15.2178 +*END + +*D_NET *140 0.00486273 +*CONN +*I *2788:gpio_defaults[1] I *D gpio_control_block +*I *2780:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[1] 0.000609551 +2 *2780:gpio_defaults[1] 0.000609551 +3 *2788:gpio_defaults[1] *2788:gpio_defaults[10] 0 +4 *2788:gpio_defaults[1] *2788:gpio_defaults[11] 0 +5 *2788:gpio_defaults[1] *2788:gpio_defaults[12] 0.00229978 +6 *2788:gpio_defaults[1] *2788:gpio_defaults[2] 0 +7 *2788:gpio_defaults[1] *2788:gpio_defaults[3] 0 +8 *2788:gpio_defaults[1] *2788:gpio_defaults[9] 0.00134385 +*RES +1 *2780:gpio_defaults[1] *2788:gpio_defaults[1] 39.9539 +*END + +*D_NET *141 0.00366889 +*CONN +*I *2788:gpio_defaults[2] I *D gpio_control_block +*I *2780:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[2] 0.000529246 +2 *2780:gpio_defaults[2] 0.000529246 +3 *2788:gpio_defaults[2] *2788:gpio_defaults[10] 0.00182519 +4 *2788:gpio_defaults[2] *2788:gpio_defaults[11] 0 +5 *2788:gpio_defaults[2] *2788:gpio_defaults[12] 0 +6 *2788:gpio_defaults[2] *2788:gpio_defaults[3] 2.9752e-05 +7 *2788:gpio_defaults[2] *2788:gpio_defaults[8] 0.000755455 +8 *2788:gpio_defaults[2] *2788:gpio_defaults[9] 0 +9 *2788:gpio_defaults[1] *2788:gpio_defaults[2] 0 +*RES +1 *2780:gpio_defaults[2] *2788:gpio_defaults[2] 33.5774 +*END + +*D_NET *142 0.00509173 +*CONN +*I *2781:gpio_defaults[11] I *D gpio_control_block +*I *2743:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[11] 0.000620964 +2 *2743:gpio_defaults[11] 0.000620964 +3 *2781:gpio_defaults[11] *2781:gpio_defaults[12] 0 +4 *2781:gpio_defaults[11] *2781:gpio_defaults[1] 0.00229137 +5 *2781:gpio_defaults[11] *2781:gpio_defaults[2] 0 +6 *2781:gpio_defaults[11] *2781:gpio_defaults[9] 0.00125431 +7 *2781:gpio_defaults[0] *2781:gpio_defaults[11] 0.000304127 +8 *2781:gpio_defaults[10] *2781:gpio_defaults[11] 0 +*RES +1 *2743:gpio_defaults[11] *2781:gpio_defaults[11] 39.9539 +*END + +*D_NET *143 0.00293744 +*CONN +*I *2788:gpio_defaults[3] I *D gpio_control_block +*I *2780:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[3] 0.000445617 +2 *2780:gpio_defaults[3] 0.000445617 +3 *2788:gpio_defaults[3] *2788:gpio_defaults[10] 0 +4 *2788:gpio_defaults[3] *2788:gpio_defaults[4] 0.000706559 +5 *2788:gpio_defaults[3] *2788:gpio_defaults[8] 1.00937e-05 +6 *2788:gpio_defaults[3] *2788:gpio_defaults[9] 0.0012998 +7 *2788:gpio_defaults[1] *2788:gpio_defaults[3] 0 +8 *2788:gpio_defaults[2] *2788:gpio_defaults[3] 2.9752e-05 +*RES +1 *2780:gpio_defaults[3] *2788:gpio_defaults[3] 28.0313 +*END + +*D_NET *144 0.00195035 +*CONN +*I *2788:gpio_defaults[4] I *D gpio_control_block +*I *2780:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[4] 0.000373249 +2 *2780:gpio_defaults[4] 0.000373249 +3 *2788:gpio_defaults[4] *2788:gpio_defaults[5] 0.000175485 +4 *2788:gpio_defaults[4] *2788:gpio_defaults[7] 4.82966e-05 +5 *2788:gpio_defaults[4] *2788:gpio_defaults[8] 0.000273507 +6 *2788:gpio_defaults[4] *2788:gpio_defaults[9] 0 +7 *2788:gpio_defaults[3] *2788:gpio_defaults[4] 0.000706559 +*RES +1 *2780:gpio_defaults[4] *2788:gpio_defaults[4] 22.07 +*END + +*D_NET *145 0.00122591 +*CONN +*I *2788:gpio_defaults[5] I *D gpio_control_block +*I *2780:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[5] 0.00036739 +2 *2780:gpio_defaults[5] 0.00036739 +3 *2788:gpio_defaults[5] *2788:gpio_defaults[6] 2.89375e-05 +4 *2788:gpio_defaults[5] *2788:gpio_defaults[7] 0.000286703 +5 *2788:gpio_defaults[4] *2788:gpio_defaults[5] 0.000175485 +*RES +1 *2780:gpio_defaults[5] *2788:gpio_defaults[5] 16.1087 +*END + +*D_NET *146 9.5543e-05 +*CONN +*I *2788:gpio_defaults[6] I *D gpio_control_block +*I *2780:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[6] 2.97689e-05 +2 *2780:gpio_defaults[6] 2.97689e-05 +3 *2788:gpio_defaults[6] *2788:gpio_defaults[7] 7.06783e-06 +4 *2788:gpio_defaults[5] *2788:gpio_defaults[6] 2.89375e-05 +*RES +1 *2780:gpio_defaults[6] *2788:gpio_defaults[6] 0.830504 +*END + +*D_NET *147 0.00114363 +*CONN +*I *2788:gpio_defaults[7] I *D gpio_control_block +*I *2780:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[7] 0.00027172 +2 *2780:gpio_defaults[7] 0.00027172 +3 *2788:gpio_defaults[7] *2788:gpio_defaults[8] 0.000258128 +4 *2788:gpio_defaults[4] *2788:gpio_defaults[7] 4.82966e-05 +5 *2788:gpio_defaults[5] *2788:gpio_defaults[7] 0.000286703 +6 *2788:gpio_defaults[6] *2788:gpio_defaults[7] 7.06783e-06 +*RES +1 *2780:gpio_defaults[7] *2788:gpio_defaults[7] 16.2578 +*END + +*D_NET *148 0.00197746 +*CONN +*I *2788:gpio_defaults[8] I *D gpio_control_block +*I *2780:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[8] 0.000340139 +2 *2780:gpio_defaults[8] 0.000340139 +3 *2788:gpio_defaults[8] *2788:gpio_defaults[9] 0 +4 *2788:gpio_defaults[2] *2788:gpio_defaults[8] 0.000755455 +5 *2788:gpio_defaults[3] *2788:gpio_defaults[8] 1.00937e-05 +6 *2788:gpio_defaults[4] *2788:gpio_defaults[8] 0.000273507 +7 *2788:gpio_defaults[7] *2788:gpio_defaults[8] 0.000258128 +*RES +1 *2780:gpio_defaults[8] *2788:gpio_defaults[8] 22.221 +*END + +*D_NET *149 0.00332458 +*CONN +*I *2788:gpio_defaults[9] I *D gpio_control_block +*I *2780:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[9] 0.000340465 +2 *2780:gpio_defaults[9] 0.000340465 +3 *2788:gpio_defaults[1] *2788:gpio_defaults[9] 0.00134385 +4 *2788:gpio_defaults[2] *2788:gpio_defaults[9] 0 +5 *2788:gpio_defaults[3] *2788:gpio_defaults[9] 0.0012998 +6 *2788:gpio_defaults[4] *2788:gpio_defaults[9] 0 +7 *2788:gpio_defaults[8] *2788:gpio_defaults[9] 0 +*RES +1 *2780:gpio_defaults[9] *2788:gpio_defaults[9] 28.5903 +*END + +*D_NET *150 0.00439486 +*CONN +*I *2788:gpio_defaults[10] I *D gpio_control_block +*I *2780:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[10] 0.000352702 +2 *2780:gpio_defaults[10] 0.000352702 +3 *2788:gpio_defaults[10] *2788:gpio_defaults[11] 0.00186427 +4 *2788:gpio_defaults[1] *2788:gpio_defaults[10] 0 +5 *2788:gpio_defaults[2] *2788:gpio_defaults[10] 0.00182519 +6 *2788:gpio_defaults[3] *2788:gpio_defaults[10] 0 +*RES +1 *2780:gpio_defaults[10] *2788:gpio_defaults[10] 34.1363 +*END + +*D_NET *151 0.00366789 +*CONN +*I *2788:gpio_defaults[11] I *D gpio_control_block +*I *2780:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[11] 0.000900911 +2 *2780:gpio_defaults[11] 0.000900911 +3 *2788:gpio_defaults[11] *2788:gpio_defaults[12] 1.80747e-06 +4 *2788:gpio_defaults[0] *2788:gpio_defaults[11] 0 +5 *2788:gpio_defaults[10] *2788:gpio_defaults[11] 0.00186427 +6 *2788:gpio_defaults[1] *2788:gpio_defaults[11] 0 +7 *2788:gpio_defaults[2] *2788:gpio_defaults[11] 0 +*RES +1 *2780:gpio_defaults[11] *2788:gpio_defaults[11] 41.6033 +*END + +*D_NET *152 0.00429946 +*CONN +*I *2788:gpio_defaults[12] I *D gpio_control_block +*I *2780:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2788:gpio_defaults[12] 0.000998935 +2 *2780:gpio_defaults[12] 0.000998935 +3 *2788:gpio_defaults[0] *2788:gpio_defaults[12] 0 +4 *2788:gpio_defaults[11] *2788:gpio_defaults[12] 1.80747e-06 +5 *2788:gpio_defaults[1] *2788:gpio_defaults[12] 0.00229978 +6 *2788:gpio_defaults[2] *2788:gpio_defaults[12] 0 +*RES +1 *2780:gpio_defaults[12] *2788:gpio_defaults[12] 46.6574 +*END + +*D_NET *153 0.00413008 +*CONN +*I *2781:gpio_defaults[12] I *D gpio_control_block +*I *2743:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[12] 0.00110203 +2 *2743:gpio_defaults[12] 0.00110203 +3 *2781:gpio_defaults[12] *2781:gpio_defaults[1] 0 +4 *2781:gpio_defaults[12] *2781:gpio_defaults[2] 0.00187492 +5 *2781:gpio_defaults[0] *2781:gpio_defaults[12] 5.10987e-05 +6 *2781:gpio_defaults[10] *2781:gpio_defaults[12] 0 +7 *2781:gpio_defaults[11] *2781:gpio_defaults[12] 0 +*RES +1 *2743:gpio_defaults[12] *2781:gpio_defaults[12] 47.9031 +*END + +*D_NET *154 0.00512753 +*CONN +*I *2789:gpio_defaults[0] I *D gpio_control_block +*I *2745:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[0] 0.00218284 +2 *2745:gpio_defaults[0] 0.00218284 +3 *2789:gpio_defaults[0] *2789:gpio_defaults[11] 0.000281238 +4 *2789:gpio_defaults[0] *2789:gpio_defaults[12] 5.10987e-05 +5 *2789:gpio_defaults[0] *2789:gpio_defaults[1] 0.000304127 +6 *2789:gpio_defaults[0] *2789:gpio_defaults[2] 0.000125386 +*RES +1 *2745:gpio_defaults[0] *2789:gpio_defaults[0] 15.3211 +*END + +*D_NET *155 0.00511604 +*CONN +*I *2789:gpio_defaults[1] I *D gpio_control_block +*I *2745:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[1] 0.000633118 +2 *2745:gpio_defaults[1] 0.000633118 +3 *2789:gpio_defaults[1] *2789:gpio_defaults[10] 0 +4 *2789:gpio_defaults[1] *2789:gpio_defaults[11] 0.00229137 +5 *2789:gpio_defaults[1] *2789:gpio_defaults[12] 0 +6 *2789:gpio_defaults[1] *2789:gpio_defaults[2] 0 +7 *2789:gpio_defaults[1] *2789:gpio_defaults[9] 0.00125431 +8 *2789:gpio_defaults[0] *2789:gpio_defaults[1] 0.000304127 +*RES +1 *2745:gpio_defaults[1] *2789:gpio_defaults[1] 39.9539 +*END + +*D_NET *156 0.00420599 +*CONN +*I *2789:gpio_defaults[2] I *D gpio_control_block +*I *2745:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[2] 0.00053713 +2 *2745:gpio_defaults[2] 0.00053713 +3 *2789:gpio_defaults[2] *2789:gpio_defaults[10] 0.00176871 +4 *2789:gpio_defaults[2] *2789:gpio_defaults[11] 0 +5 *2789:gpio_defaults[2] *2789:gpio_defaults[3] 0.00123763 +6 *2789:gpio_defaults[2] *2789:gpio_defaults[9] 0 +7 *2789:gpio_defaults[0] *2789:gpio_defaults[2] 0.000125386 +8 *2789:gpio_defaults[1] *2789:gpio_defaults[2] 0 +*RES +1 *2745:gpio_defaults[2] *2789:gpio_defaults[2] 34.4079 +*END + +*D_NET *157 0.00275203 +*CONN +*I *2789:gpio_defaults[3] I *D gpio_control_block +*I *2745:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[3] 0.00045231 +2 *2745:gpio_defaults[3] 0.00045231 +3 *2789:gpio_defaults[3] *2789:gpio_defaults[10] 0 +4 *2789:gpio_defaults[3] *2789:gpio_defaults[4] 0.000241483 +5 *2789:gpio_defaults[3] *2789:gpio_defaults[5] 0.000175485 +6 *2789:gpio_defaults[3] *2789:gpio_defaults[8] 1.00937e-05 +7 *2789:gpio_defaults[3] *2789:gpio_defaults[9] 0.000182712 +8 *2789:gpio_defaults[2] *2789:gpio_defaults[3] 0.00123763 +*RES +1 *2745:gpio_defaults[3] *2789:gpio_defaults[3] 28.4466 +*END + +*D_NET *158 0.00181253 +*CONN +*I *2789:gpio_defaults[4] I *D gpio_control_block +*I *2745:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[4] 0.000446565 +2 *2745:gpio_defaults[4] 0.000446565 +3 *2789:gpio_defaults[4] *2789:gpio_defaults[5] 0.000154145 +4 *2789:gpio_defaults[4] *2789:gpio_defaults[7] 0.00020502 +5 *2789:gpio_defaults[4] *2789:gpio_defaults[8] 0.00031875 +6 *2789:gpio_defaults[3] *2789:gpio_defaults[4] 0.000241483 +*RES +1 *2745:gpio_defaults[4] *2789:gpio_defaults[4] 22.4853 +*END + +*D_NET *159 0.00128377 +*CONN +*I *2789:gpio_defaults[5] I *D gpio_control_block +*I *2745:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[5] 0.000393908 +2 *2745:gpio_defaults[5] 0.000393908 +3 *2789:gpio_defaults[5] *2789:gpio_defaults[6] 2.89375e-05 +4 *2789:gpio_defaults[5] *2789:gpio_defaults[7] 0.000137383 +5 *2789:gpio_defaults[3] *2789:gpio_defaults[5] 0.000175485 +6 *2789:gpio_defaults[4] *2789:gpio_defaults[5] 0.000154145 +*RES +1 *2745:gpio_defaults[5] *2789:gpio_defaults[5] 16.9393 +*END + +*D_NET *160 9.47632e-05 +*CONN +*I *2789:gpio_defaults[6] I *D gpio_control_block +*I *2745:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[6] 3.13589e-05 +2 *2745:gpio_defaults[6] 3.13589e-05 +3 *2789:gpio_defaults[6] *2789:gpio_defaults[7] 3.10798e-06 +4 *2789:gpio_defaults[5] *2789:gpio_defaults[6] 2.89375e-05 +*RES +1 *2745:gpio_defaults[6] *2789:gpio_defaults[6] 0.830504 +*END + +*D_NET *161 0.00121674 +*CONN +*I *2789:gpio_defaults[7] I *D gpio_control_block +*I *2745:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[7] 0.000328751 +2 *2745:gpio_defaults[7] 0.000328751 +3 *2789:gpio_defaults[7] *2789:gpio_defaults[8] 0.000213725 +4 *2789:gpio_defaults[4] *2789:gpio_defaults[7] 0.00020502 +5 *2789:gpio_defaults[5] *2789:gpio_defaults[7] 0.000137383 +6 *2789:gpio_defaults[6] *2789:gpio_defaults[7] 3.10798e-06 +*RES +1 *2745:gpio_defaults[7] *2789:gpio_defaults[7] 16.932 +*END + +*D_NET *162 0.00200788 +*CONN +*I *2789:gpio_defaults[8] I *D gpio_control_block +*I *2745:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[8] 0.000364595 +2 *2745:gpio_defaults[8] 0.000364595 +3 *2789:gpio_defaults[8] *2789:gpio_defaults[9] 0.000736122 +4 *2789:gpio_defaults[3] *2789:gpio_defaults[8] 1.00937e-05 +5 *2789:gpio_defaults[4] *2789:gpio_defaults[8] 0.00031875 +6 *2789:gpio_defaults[7] *2789:gpio_defaults[8] 0.000213725 +*RES +1 *2745:gpio_defaults[8] *2789:gpio_defaults[8] 22.6415 +*END + +*D_NET *163 0.00305205 +*CONN +*I *2789:gpio_defaults[9] I *D gpio_control_block +*I *2745:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[9] 0.000439453 +2 *2745:gpio_defaults[9] 0.000439453 +3 *2789:gpio_defaults[1] *2789:gpio_defaults[9] 0.00125431 +4 *2789:gpio_defaults[2] *2789:gpio_defaults[9] 0 +5 *2789:gpio_defaults[3] *2789:gpio_defaults[9] 0.000182712 +6 *2789:gpio_defaults[8] *2789:gpio_defaults[9] 0.000736122 +*RES +1 *2745:gpio_defaults[9] *2789:gpio_defaults[9] 28.5975 +*END + +*D_NET *164 0.00534609 +*CONN +*I *2782:gpio_defaults[0] I *D gpio_control_block +*I *2744:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[0] 0.000565637 +2 *2744:gpio_defaults[0] 0.000660596 +3 *164:17 0.00201245 +4 *164:10 0.00210741 +5 *2782:gpio_defaults[0] *2782:gpio_defaults[12] 0 +6 *2782:gpio_defaults[0] *2782:gpio_defaults[1] 0 +*RES +1 *2744:gpio_defaults[0] *164:10 23.1422 +2 *164:10 *164:17 44.8728 +3 *164:17 *2782:gpio_defaults[0] 16.0483 +*END + +*D_NET *165 0.00447907 +*CONN +*I *2789:gpio_defaults[10] I *D gpio_control_block +*I *2745:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[10] 0.000417718 +2 *2745:gpio_defaults[10] 0.000417718 +3 *2789:gpio_defaults[10] *2789:gpio_defaults[12] 0.00187492 +4 *2789:gpio_defaults[1] *2789:gpio_defaults[10] 0 +5 *2789:gpio_defaults[2] *2789:gpio_defaults[10] 0.00176871 +6 *2789:gpio_defaults[3] *2789:gpio_defaults[10] 0 +*RES +1 *2745:gpio_defaults[10] *2789:gpio_defaults[10] 34.9668 +*END + +*D_NET *166 0.00420296 +*CONN +*I *2789:gpio_defaults[11] I *D gpio_control_block +*I *2745:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[11] 0.000815176 +2 *2745:gpio_defaults[11] 0.000815176 +3 *2789:gpio_defaults[11] *2789:gpio_defaults[12] 0 +4 *2789:gpio_defaults[0] *2789:gpio_defaults[11] 0.000281238 +5 *2789:gpio_defaults[1] *2789:gpio_defaults[11] 0.00229137 +6 *2789:gpio_defaults[2] *2789:gpio_defaults[11] 0 +*RES +1 *2745:gpio_defaults[11] *2789:gpio_defaults[11] 40.5129 +*END + +*D_NET *167 0.00413671 +*CONN +*I *2789:gpio_defaults[12] I *D gpio_control_block +*I *2745:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2789:gpio_defaults[12] 0.00110534 +2 *2745:gpio_defaults[12] 0.00110534 +3 *2789:gpio_defaults[0] *2789:gpio_defaults[12] 5.10987e-05 +4 *2789:gpio_defaults[10] *2789:gpio_defaults[12] 0.00187492 +5 *2789:gpio_defaults[11] *2789:gpio_defaults[12] 0 +6 *2789:gpio_defaults[1] *2789:gpio_defaults[12] 0 +*RES +1 *2745:gpio_defaults[12] *2789:gpio_defaults[12] 48.0719 +*END + +*D_NET *168 0.00648626 +*CONN +*I *2790:gpio_defaults[0] I *D gpio_control_block +*I *2746:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[0] 0.00059414 +2 *2746:gpio_defaults[0] 0.00059414 +3 *2790:gpio_defaults[0] *2790:gpio_defaults[11] 0.000175749 +4 *2790:gpio_defaults[0] *2790:gpio_defaults[1] 0.00229137 +5 *2790:gpio_defaults[0] *181:17 0.00283086 +*RES +1 *2746:gpio_defaults[0] *2790:gpio_defaults[0] 46.6574 +*END + +*D_NET *169 0.00546533 +*CONN +*I *2790:gpio_defaults[1] I *D gpio_control_block +*I *2746:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[1] 0.000532805 +2 *2746:gpio_defaults[1] 0.000532805 +3 *2790:gpio_defaults[1] *2790:gpio_defaults[10] 0.00187071 +4 *2790:gpio_defaults[1] *2790:gpio_defaults[11] 0.000237638 +5 *2790:gpio_defaults[1] *2790:gpio_defaults[2] 0 +6 *2790:gpio_defaults[0] *2790:gpio_defaults[1] 0.00229137 +*RES +1 *2746:gpio_defaults[1] *2790:gpio_defaults[1] 39.9539 +*END + +*D_NET *170 0.00391278 +*CONN +*I *2790:gpio_defaults[2] I *D gpio_control_block +*I *2746:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[2] 0.00057308 +2 *2746:gpio_defaults[2] 0.00057308 +3 *2790:gpio_defaults[2] *2790:gpio_defaults[10] 0.00182519 +4 *2790:gpio_defaults[2] *2790:gpio_defaults[11] 0.000176141 +5 *2790:gpio_defaults[2] *2790:gpio_defaults[3] 2.9752e-05 +6 *2790:gpio_defaults[2] *2790:gpio_defaults[8] 0.000735542 +7 *2790:gpio_defaults[2] *2790:gpio_defaults[9] 0 +8 *2790:gpio_defaults[1] *2790:gpio_defaults[2] 0 +*RES +1 *2746:gpio_defaults[2] *2790:gpio_defaults[2] 33.5774 +*END + +*D_NET *171 0.00294696 +*CONN +*I *2790:gpio_defaults[3] I *D gpio_control_block +*I *2746:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[3] 0.000450377 +2 *2746:gpio_defaults[3] 0.000450377 +3 *2790:gpio_defaults[3] *2790:gpio_defaults[10] 0 +4 *2790:gpio_defaults[3] *2790:gpio_defaults[4] 0.000706559 +5 *2790:gpio_defaults[3] *2790:gpio_defaults[8] 1.00937e-05 +6 *2790:gpio_defaults[3] *2790:gpio_defaults[9] 0.0012998 +7 *2790:gpio_defaults[2] *2790:gpio_defaults[3] 2.9752e-05 +*RES +1 *2746:gpio_defaults[3] *2790:gpio_defaults[3] 28.0313 +*END + +*D_NET *172 0.00195902 +*CONN +*I *2790:gpio_defaults[4] I *D gpio_control_block +*I *2746:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[4] 0.000383007 +2 *2746:gpio_defaults[4] 0.000383007 +3 *2790:gpio_defaults[4] *2790:gpio_defaults[5] 0.000175485 +4 *2790:gpio_defaults[4] *2790:gpio_defaults[7] 4.82966e-05 +5 *2790:gpio_defaults[4] *2790:gpio_defaults[8] 0.000262664 +6 *2790:gpio_defaults[4] *2790:gpio_defaults[9] 0 +7 *2790:gpio_defaults[3] *2790:gpio_defaults[4] 0.000706559 +*RES +1 *2746:gpio_defaults[4] *2790:gpio_defaults[4] 22.07 +*END + +*D_NET *173 0.00121731 +*CONN +*I *2790:gpio_defaults[5] I *D gpio_control_block +*I *2746:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[5] 0.000364689 +2 *2746:gpio_defaults[5] 0.000364689 +3 *2790:gpio_defaults[5] *2790:gpio_defaults[6] 2.57385e-05 +4 *2790:gpio_defaults[5] *2790:gpio_defaults[7] 0.000286703 +5 *2790:gpio_defaults[4] *2790:gpio_defaults[5] 0.000175485 +*RES +1 *2746:gpio_defaults[5] *2790:gpio_defaults[5] 16.1087 +*END + +*D_NET *174 0.000105255 +*CONN +*I *2790:gpio_defaults[6] I *D gpio_control_block +*I *2746:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[6] 3.69649e-05 +2 *2746:gpio_defaults[6] 3.69649e-05 +3 *2790:gpio_defaults[6] *2790:gpio_defaults[7] 5.58683e-06 +4 *2790:gpio_defaults[5] *2790:gpio_defaults[6] 2.57385e-05 +*RES +1 *2746:gpio_defaults[6] *2790:gpio_defaults[6] 0.830504 +*END + +*D_NET *175 0.00373299 +*CONN +*I *2782:gpio_defaults[1] I *D gpio_control_block +*I *2744:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[1] 0.000911535 +2 *2744:gpio_defaults[1] 0.000911535 +3 *2782:gpio_defaults[1] *2782:gpio_defaults[10] 0.00163548 +4 *2782:gpio_defaults[1] *2782:gpio_defaults[11] 0.000274437 +5 *2782:gpio_defaults[1] *2782:gpio_defaults[12] 0 +6 *2782:gpio_defaults[1] *2782:gpio_defaults[3] 0 +7 *2782:gpio_defaults[0] *2782:gpio_defaults[1] 0 +*RES +1 *2744:gpio_defaults[1] *2782:gpio_defaults[1] 40.3692 +*END + +*D_NET *176 0.00112827 +*CONN +*I *2790:gpio_defaults[7] I *D gpio_control_block +*I *2746:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[7] 0.000264777 +2 *2746:gpio_defaults[7] 0.000264777 +3 *2790:gpio_defaults[7] *2790:gpio_defaults[8] 0.000258128 +4 *2790:gpio_defaults[4] *2790:gpio_defaults[7] 4.82966e-05 +5 *2790:gpio_defaults[5] *2790:gpio_defaults[7] 0.000286703 +6 *2790:gpio_defaults[6] *2790:gpio_defaults[7] 5.58683e-06 +*RES +1 *2746:gpio_defaults[7] *2790:gpio_defaults[7] 16.2578 +*END + +*D_NET *177 0.00200409 +*CONN +*I *2790:gpio_defaults[8] I *D gpio_control_block +*I *2746:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[8] 0.00036883 +2 *2746:gpio_defaults[8] 0.00036883 +3 *2790:gpio_defaults[2] *2790:gpio_defaults[8] 0.000735542 +4 *2790:gpio_defaults[3] *2790:gpio_defaults[8] 1.00937e-05 +5 *2790:gpio_defaults[4] *2790:gpio_defaults[8] 0.000262664 +6 *2790:gpio_defaults[7] *2790:gpio_defaults[8] 0.000258128 +*RES +1 *2746:gpio_defaults[8] *2790:gpio_defaults[8] 22.221 +*END + +*D_NET *178 0.00265107 +*CONN +*I *2790:gpio_defaults[9] I *D gpio_control_block +*I *2746:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[9] 0.000563783 +2 *2746:gpio_defaults[9] 0.000563783 +3 *2790:gpio_defaults[9] *2790:gpio_defaults[11] 0.000223703 +4 *2790:gpio_defaults[2] *2790:gpio_defaults[9] 0 +5 *2790:gpio_defaults[3] *2790:gpio_defaults[9] 0.0012998 +6 *2790:gpio_defaults[4] *2790:gpio_defaults[9] 0 +*RES +1 *2746:gpio_defaults[9] *2790:gpio_defaults[9] 28.5903 +*END + +*D_NET *179 0.00460094 +*CONN +*I *2790:gpio_defaults[10] I *D gpio_control_block +*I *2746:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[10] 0.000369701 +2 *2746:gpio_defaults[10] 0.000369701 +3 *2790:gpio_defaults[10] *2790:gpio_defaults[11] 0.000165637 +4 *2790:gpio_defaults[1] *2790:gpio_defaults[10] 0.00187071 +5 *2790:gpio_defaults[2] *2790:gpio_defaults[10] 0.00182519 +6 *2790:gpio_defaults[3] *2790:gpio_defaults[10] 0 +*RES +1 *2746:gpio_defaults[10] *2790:gpio_defaults[10] 34.1363 +*END + +*D_NET *180 0.00504042 +*CONN +*I *2790:gpio_defaults[11] I *D gpio_control_block +*I *2746:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[11] 0.00194697 +2 *2746:gpio_defaults[11] 0.00194697 +3 *2790:gpio_defaults[11] *181:17 0.00016761 +4 *2790:gpio_defaults[0] *2790:gpio_defaults[11] 0.000175749 +5 *2790:gpio_defaults[10] *2790:gpio_defaults[11] 0.000165637 +6 *2790:gpio_defaults[1] *2790:gpio_defaults[11] 0.000237638 +7 *2790:gpio_defaults[2] *2790:gpio_defaults[11] 0.000176141 +8 *2790:gpio_defaults[9] *2790:gpio_defaults[11] 0.000223703 +*RES +1 *2746:gpio_defaults[11] *2790:gpio_defaults[11] 13.4224 +*END + +*D_NET *181 0.00509785 +*CONN +*I *2790:gpio_defaults[12] I *D gpio_control_block +*I *2746:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2790:gpio_defaults[12] 3.26469e-05 +2 *2746:gpio_defaults[12] 0.00101704 +3 *181:17 0.00104969 +4 *2790:gpio_defaults[0] *181:17 0.00283086 +5 *2790:gpio_defaults[11] *181:17 0.00016761 +*RES +1 *2746:gpio_defaults[12] *181:17 49.4379 +2 *181:17 *2790:gpio_defaults[12] 0.928211 +*END + +*D_NET *182 0.00464558 +*CONN +*I *2791:gpio_defaults[0] I *D gpio_control_block +*I *2747:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[0] 0.00102652 +2 *2747:gpio_defaults[0] 0.00102652 +3 *2791:gpio_defaults[0] *2791:gpio_defaults[10] 0.000197202 +4 *2791:gpio_defaults[0] *2791:gpio_defaults[11] 0.00239534 +5 *2791:gpio_defaults[0] *2791:gpio_defaults[12] 0 +6 *2791:gpio_defaults[0] *2791:gpio_defaults[1] 0 +*RES +1 *2747:gpio_defaults[0] *2791:gpio_defaults[0] 47.0032 +*END + +*D_NET *183 0.00504954 +*CONN +*I *2791:gpio_defaults[1] I *D gpio_control_block +*I *2747:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[1] 0.000639418 +2 *2747:gpio_defaults[1] 0.000639418 +3 *2791:gpio_defaults[1] *2791:gpio_defaults[10] 0.000237951 +4 *2791:gpio_defaults[1] *2791:gpio_defaults[11] 0.00229137 +5 *2791:gpio_defaults[1] *2791:gpio_defaults[12] 0 +6 *2791:gpio_defaults[1] *2791:gpio_defaults[2] 0 +7 *2791:gpio_defaults[1] *2791:gpio_defaults[3] 0 +8 *2791:gpio_defaults[1] *2791:gpio_defaults[9] 0.00124138 +9 *2791:gpio_defaults[0] *2791:gpio_defaults[1] 0 +*RES +1 *2747:gpio_defaults[1] *2791:gpio_defaults[1] 39.8989 +*END + +*D_NET *184 0.00428066 +*CONN +*I *2791:gpio_defaults[2] I *D gpio_control_block +*I *2747:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[2] 0.000522247 +2 *2747:gpio_defaults[2] 0.000522247 +3 *2791:gpio_defaults[2] *2791:gpio_defaults[10] 0.00022982 +4 *2791:gpio_defaults[2] *2791:gpio_defaults[11] 0 +5 *2791:gpio_defaults[2] *2791:gpio_defaults[12] 0.00176871 +6 *2791:gpio_defaults[2] *2791:gpio_defaults[3] 0.00123763 +7 *2791:gpio_defaults[2] *2791:gpio_defaults[9] 0 +8 *2791:gpio_defaults[1] *2791:gpio_defaults[2] 0 +*RES +1 *2747:gpio_defaults[2] *2791:gpio_defaults[2] 34.3529 +*END + +*D_NET *185 0.00276383 +*CONN +*I *2791:gpio_defaults[3] I *D gpio_control_block +*I *2747:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[3] 0.000444837 +2 *2747:gpio_defaults[3] 0.000444837 +3 *2791:gpio_defaults[3] *2791:gpio_defaults[4] 0 +4 *2791:gpio_defaults[3] *2791:gpio_defaults[5] 0.000175485 +5 *2791:gpio_defaults[3] *2791:gpio_defaults[8] 0.00028978 +6 *2791:gpio_defaults[3] *2791:gpio_defaults[9] 0.000171258 +7 *2791:gpio_defaults[1] *2791:gpio_defaults[3] 0 +8 *2791:gpio_defaults[2] *2791:gpio_defaults[3] 0.00123763 +*RES +1 *2747:gpio_defaults[3] *2791:gpio_defaults[3] 28.3916 +*END + +*D_NET *186 0.00410726 +*CONN +*I *2782:gpio_defaults[2] I *D gpio_control_block +*I *2744:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[2] 0.000483425 +2 *2744:gpio_defaults[2] 0.000483425 +3 *2782:gpio_defaults[2] *2782:gpio_defaults[10] 0.000134072 +4 *2782:gpio_defaults[2] *2782:gpio_defaults[12] 0.00176871 +5 *2782:gpio_defaults[2] *2782:gpio_defaults[3] 0.00123763 +6 *2782:gpio_defaults[2] *2782:gpio_defaults[9] 0 +*RES +1 *2744:gpio_defaults[2] *2782:gpio_defaults[2] 34.4079 +*END + +*D_NET *187 0.00207905 +*CONN +*I *2791:gpio_defaults[4] I *D gpio_control_block +*I *2747:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[4] 0.000441696 +2 *2747:gpio_defaults[4] 0.000441696 +3 *2791:gpio_defaults[4] *2791:gpio_defaults[5] 0 +4 *2791:gpio_defaults[4] *2791:gpio_defaults[7] 0.000200794 +5 *2791:gpio_defaults[4] *2791:gpio_defaults[8] 0.000322538 +6 *2791:gpio_defaults[4] *2791:gpio_defaults[9] 0.000672331 +7 *2791:gpio_defaults[3] *2791:gpio_defaults[4] 0 +*RES +1 *2747:gpio_defaults[4] *2791:gpio_defaults[4] 22.4303 +*END + +*D_NET *188 0.0012568 +*CONN +*I *2791:gpio_defaults[5] I *D gpio_control_block +*I *2747:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[5] 0.000384417 +2 *2747:gpio_defaults[5] 0.000384417 +3 *2791:gpio_defaults[5] *2791:gpio_defaults[6] 2.08075e-05 +4 *2791:gpio_defaults[5] *2791:gpio_defaults[7] 0.000124597 +5 *2791:gpio_defaults[5] *2791:gpio_defaults[8] 0.000167076 +6 *2791:gpio_defaults[3] *2791:gpio_defaults[5] 0.000175485 +7 *2791:gpio_defaults[4] *2791:gpio_defaults[5] 0 +*RES +1 *2747:gpio_defaults[5] *2791:gpio_defaults[5] 16.8843 +*END + +*D_NET *189 0.000110382 +*CONN +*I *2791:gpio_defaults[6] I *D gpio_control_block +*I *2747:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[6] 3.56757e-05 +2 *2747:gpio_defaults[6] 3.56757e-05 +3 *2791:gpio_defaults[6] *2791:gpio_defaults[7] 1.82233e-05 +4 *2791:gpio_defaults[5] *2791:gpio_defaults[6] 2.08075e-05 +*RES +1 *2747:gpio_defaults[6] *2791:gpio_defaults[6] 0.830504 +*END + +*D_NET *190 0.00123698 +*CONN +*I *2791:gpio_defaults[7] I *D gpio_control_block +*I *2747:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[7] 0.000337715 +2 *2747:gpio_defaults[7] 0.000337715 +3 *2791:gpio_defaults[7] *2791:gpio_defaults[8] 0.000217937 +4 *2791:gpio_defaults[4] *2791:gpio_defaults[7] 0.000200794 +5 *2791:gpio_defaults[5] *2791:gpio_defaults[7] 0.000124597 +6 *2791:gpio_defaults[6] *2791:gpio_defaults[7] 1.82233e-05 +*RES +1 *2747:gpio_defaults[7] *2791:gpio_defaults[7] 16.932 +*END + +*D_NET *191 0.00171124 +*CONN +*I *2791:gpio_defaults[8] I *D gpio_control_block +*I *2747:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[8] 0.000347942 +2 *2747:gpio_defaults[8] 0.000347942 +3 *2791:gpio_defaults[8] *2791:gpio_defaults[9] 1.80257e-05 +4 *2791:gpio_defaults[3] *2791:gpio_defaults[8] 0.00028978 +5 *2791:gpio_defaults[4] *2791:gpio_defaults[8] 0.000322538 +6 *2791:gpio_defaults[5] *2791:gpio_defaults[8] 0.000167076 +7 *2791:gpio_defaults[7] *2791:gpio_defaults[8] 0.000217937 +*RES +1 *2747:gpio_defaults[8] *2791:gpio_defaults[8] 22.4709 +*END + +*D_NET *192 0.00304972 +*CONN +*I *2791:gpio_defaults[9] I *D gpio_control_block +*I *2747:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[9] 0.000473365 +2 *2747:gpio_defaults[9] 0.000473365 +3 *2791:gpio_defaults[9] *2791:gpio_defaults[10] 0 +4 *2791:gpio_defaults[1] *2791:gpio_defaults[9] 0.00124138 +5 *2791:gpio_defaults[2] *2791:gpio_defaults[9] 0 +6 *2791:gpio_defaults[3] *2791:gpio_defaults[9] 0.000171258 +7 *2791:gpio_defaults[4] *2791:gpio_defaults[9] 0.000672331 +8 *2791:gpio_defaults[8] *2791:gpio_defaults[9] 1.80257e-05 +*RES +1 *2747:gpio_defaults[9] *2791:gpio_defaults[9] 28.5975 +*END + +*D_NET *193 0.00408339 +*CONN +*I *2791:gpio_defaults[10] I *D gpio_control_block +*I *2747:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[10] 0.00160582 +2 *2747:gpio_defaults[10] 0.00160582 +3 *2791:gpio_defaults[10] *2791:gpio_defaults[11] 0.000206778 +4 *2791:gpio_defaults[0] *2791:gpio_defaults[10] 0.000197202 +5 *2791:gpio_defaults[1] *2791:gpio_defaults[10] 0.000237951 +6 *2791:gpio_defaults[2] *2791:gpio_defaults[10] 0.00022982 +7 *2791:gpio_defaults[9] *2791:gpio_defaults[10] 0 +*RES +1 *2747:gpio_defaults[10] *2791:gpio_defaults[10] 13.9623 +*END + +*D_NET *194 0.00575531 +*CONN +*I *2791:gpio_defaults[11] I *D gpio_control_block +*I *2747:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[11] 0.000430911 +2 *2747:gpio_defaults[11] 0.000430911 +3 *2791:gpio_defaults[11] *2791:gpio_defaults[12] 0 +4 *2791:gpio_defaults[0] *2791:gpio_defaults[11] 0.00239534 +5 *2791:gpio_defaults[10] *2791:gpio_defaults[11] 0.000206778 +6 *2791:gpio_defaults[1] *2791:gpio_defaults[11] 0.00229137 +7 *2791:gpio_defaults[2] *2791:gpio_defaults[11] 0 +*RES +1 *2747:gpio_defaults[11] *2791:gpio_defaults[11] 40.5129 +*END + +*D_NET *195 0.00400075 +*CONN +*I *2791:gpio_defaults[12] I *D gpio_control_block +*I *2747:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2791:gpio_defaults[12] 0.00111602 +2 *2747:gpio_defaults[12] 0.00111602 +3 *2791:gpio_defaults[0] *2791:gpio_defaults[12] 0 +4 *2791:gpio_defaults[11] *2791:gpio_defaults[12] 0 +5 *2791:gpio_defaults[1] *2791:gpio_defaults[12] 0 +6 *2791:gpio_defaults[2] *2791:gpio_defaults[12] 0.00176871 +*RES +1 *2747:gpio_defaults[12] *2791:gpio_defaults[12] 47.5024 +*END + +*D_NET *196 0.00512753 +*CONN +*I *2792:gpio_defaults[0] I *D gpio_control_block +*I *2748:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[0] 0.00218284 +2 *2748:gpio_defaults[0] 0.00218284 +3 *2792:gpio_defaults[0] *2792:gpio_defaults[11] 0.000281238 +4 *2792:gpio_defaults[0] *2792:gpio_defaults[12] 5.10987e-05 +5 *2792:gpio_defaults[0] *2792:gpio_defaults[1] 0.000304127 +6 *2792:gpio_defaults[0] *2792:gpio_defaults[2] 0.000125386 +*RES +1 *2748:gpio_defaults[0] *2792:gpio_defaults[0] 15.3211 +*END + +*D_NET *197 0.00273949 +*CONN +*I *2782:gpio_defaults[3] I *D gpio_control_block +*I *2744:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[3] 0.000446041 +2 *2744:gpio_defaults[3] 0.000446041 +3 *2782:gpio_defaults[3] *2782:gpio_defaults[4] 0.000241483 +4 *2782:gpio_defaults[3] *2782:gpio_defaults[5] 0.000175485 +5 *2782:gpio_defaults[3] *2782:gpio_defaults[8] 1.00937e-05 +6 *2782:gpio_defaults[3] *2782:gpio_defaults[9] 0.000182712 +7 *2782:gpio_defaults[1] *2782:gpio_defaults[3] 0 +8 *2782:gpio_defaults[2] *2782:gpio_defaults[3] 0.00123763 +*RES +1 *2744:gpio_defaults[3] *2782:gpio_defaults[3] 28.4466 +*END + +*D_NET *198 0.00511604 +*CONN +*I *2792:gpio_defaults[1] I *D gpio_control_block +*I *2748:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[1] 0.000633118 +2 *2748:gpio_defaults[1] 0.000633118 +3 *2792:gpio_defaults[1] *2792:gpio_defaults[10] 0 +4 *2792:gpio_defaults[1] *2792:gpio_defaults[11] 0.00229137 +5 *2792:gpio_defaults[1] *2792:gpio_defaults[12] 0 +6 *2792:gpio_defaults[1] *2792:gpio_defaults[2] 0 +7 *2792:gpio_defaults[1] *2792:gpio_defaults[9] 0.00125431 +8 *2792:gpio_defaults[0] *2792:gpio_defaults[1] 0.000304127 +*RES +1 *2748:gpio_defaults[1] *2792:gpio_defaults[1] 39.9539 +*END + +*D_NET *199 0.00420599 +*CONN +*I *2792:gpio_defaults[2] I *D gpio_control_block +*I *2748:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[2] 0.00053713 +2 *2748:gpio_defaults[2] 0.00053713 +3 *2792:gpio_defaults[2] *2792:gpio_defaults[10] 0.00176871 +4 *2792:gpio_defaults[2] *2792:gpio_defaults[11] 0 +5 *2792:gpio_defaults[2] *2792:gpio_defaults[3] 0.00123763 +6 *2792:gpio_defaults[2] *2792:gpio_defaults[9] 0 +7 *2792:gpio_defaults[0] *2792:gpio_defaults[2] 0.000125386 +8 *2792:gpio_defaults[1] *2792:gpio_defaults[2] 0 +*RES +1 *2748:gpio_defaults[2] *2792:gpio_defaults[2] 34.4079 +*END + +*D_NET *200 0.00275203 +*CONN +*I *2792:gpio_defaults[3] I *D gpio_control_block +*I *2748:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[3] 0.00045231 +2 *2748:gpio_defaults[3] 0.00045231 +3 *2792:gpio_defaults[3] *2792:gpio_defaults[10] 0 +4 *2792:gpio_defaults[3] *2792:gpio_defaults[4] 0.000241483 +5 *2792:gpio_defaults[3] *2792:gpio_defaults[5] 0.000175485 +6 *2792:gpio_defaults[3] *2792:gpio_defaults[8] 1.00937e-05 +7 *2792:gpio_defaults[3] *2792:gpio_defaults[9] 0.000182712 +8 *2792:gpio_defaults[2] *2792:gpio_defaults[3] 0.00123763 +*RES +1 *2748:gpio_defaults[3] *2792:gpio_defaults[3] 28.4466 +*END + +*D_NET *201 0.00181253 +*CONN +*I *2792:gpio_defaults[4] I *D gpio_control_block +*I *2748:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[4] 0.000446565 +2 *2748:gpio_defaults[4] 0.000446565 +3 *2792:gpio_defaults[4] *2792:gpio_defaults[5] 0.000154145 +4 *2792:gpio_defaults[4] *2792:gpio_defaults[7] 0.00020502 +5 *2792:gpio_defaults[4] *2792:gpio_defaults[8] 0.00031875 +6 *2792:gpio_defaults[3] *2792:gpio_defaults[4] 0.000241483 +*RES +1 *2748:gpio_defaults[4] *2792:gpio_defaults[4] 22.4853 +*END + +*D_NET *202 0.00124841 +*CONN +*I *2792:gpio_defaults[5] I *D gpio_control_block +*I *2748:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[5] 0.000376232 +2 *2748:gpio_defaults[5] 0.000376232 +3 *2792:gpio_defaults[5] *2792:gpio_defaults[6] 2.89375e-05 +4 *2792:gpio_defaults[5] *2792:gpio_defaults[7] 0.000137383 +5 *2792:gpio_defaults[3] *2792:gpio_defaults[5] 0.000175485 +6 *2792:gpio_defaults[4] *2792:gpio_defaults[5] 0.000154145 +*RES +1 *2748:gpio_defaults[5] *2792:gpio_defaults[5] 16.9393 +*END + +*D_NET *203 9.47632e-05 +*CONN +*I *2792:gpio_defaults[6] I *D gpio_control_block +*I *2748:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[6] 3.13589e-05 +2 *2748:gpio_defaults[6] 3.13589e-05 +3 *2792:gpio_defaults[6] *2792:gpio_defaults[7] 3.10798e-06 +4 *2792:gpio_defaults[5] *2792:gpio_defaults[6] 2.89375e-05 +*RES +1 *2748:gpio_defaults[6] *2792:gpio_defaults[6] 0.830504 +*END + +*D_NET *204 0.00124313 +*CONN +*I *2792:gpio_defaults[7] I *D gpio_control_block +*I *2748:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[7] 0.000341947 +2 *2748:gpio_defaults[7] 0.000341947 +3 *2792:gpio_defaults[7] *2792:gpio_defaults[8] 0.000213725 +4 *2792:gpio_defaults[4] *2792:gpio_defaults[7] 0.00020502 +5 *2792:gpio_defaults[5] *2792:gpio_defaults[7] 0.000137383 +6 *2792:gpio_defaults[6] *2792:gpio_defaults[7] 3.10798e-06 +*RES +1 *2748:gpio_defaults[7] *2792:gpio_defaults[7] 16.932 +*END + +*D_NET *205 0.00200788 +*CONN +*I *2792:gpio_defaults[8] I *D gpio_control_block +*I *2748:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[8] 0.000364595 +2 *2748:gpio_defaults[8] 0.000364595 +3 *2792:gpio_defaults[8] *2792:gpio_defaults[9] 0.000736122 +4 *2792:gpio_defaults[3] *2792:gpio_defaults[8] 1.00937e-05 +5 *2792:gpio_defaults[4] *2792:gpio_defaults[8] 0.00031875 +6 *2792:gpio_defaults[7] *2792:gpio_defaults[8] 0.000213725 +*RES +1 *2748:gpio_defaults[8] *2792:gpio_defaults[8] 22.6415 +*END + +*D_NET *206 0.00305205 +*CONN +*I *2792:gpio_defaults[9] I *D gpio_control_block +*I *2748:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[9] 0.000439453 +2 *2748:gpio_defaults[9] 0.000439453 +3 *2792:gpio_defaults[1] *2792:gpio_defaults[9] 0.00125431 +4 *2792:gpio_defaults[2] *2792:gpio_defaults[9] 0 +5 *2792:gpio_defaults[3] *2792:gpio_defaults[9] 0.000182712 +6 *2792:gpio_defaults[8] *2792:gpio_defaults[9] 0.000736122 +*RES +1 *2748:gpio_defaults[9] *2792:gpio_defaults[9] 28.5975 +*END + +*D_NET *207 0.00447426 +*CONN +*I *2792:gpio_defaults[10] I *D gpio_control_block +*I *2748:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[10] 0.000415317 +2 *2748:gpio_defaults[10] 0.000415317 +3 *2792:gpio_defaults[10] *2792:gpio_defaults[12] 0.00187492 +4 *2792:gpio_defaults[1] *2792:gpio_defaults[10] 0 +5 *2792:gpio_defaults[2] *2792:gpio_defaults[10] 0.00176871 +6 *2792:gpio_defaults[3] *2792:gpio_defaults[10] 0 +*RES +1 *2748:gpio_defaults[10] *2792:gpio_defaults[10] 34.9668 +*END + +*D_NET *208 0.00178121 +*CONN +*I *2782:gpio_defaults[4] I *D gpio_control_block +*I *2744:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[4] 0.000417982 +2 *2744:gpio_defaults[4] 0.000417982 +3 *2782:gpio_defaults[4] *2782:gpio_defaults[5] 0.000167076 +4 *2782:gpio_defaults[4] *2782:gpio_defaults[7] 0.000217937 +5 *2782:gpio_defaults[4] *2782:gpio_defaults[8] 0.00031875 +6 *2782:gpio_defaults[3] *2782:gpio_defaults[4] 0.000241483 +*RES +1 *2744:gpio_defaults[4] *2782:gpio_defaults[4] 22.4853 +*END + +*D_NET *209 0.0041883 +*CONN +*I *2792:gpio_defaults[11] I *D gpio_control_block +*I *2748:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[11] 0.000807846 +2 *2748:gpio_defaults[11] 0.000807846 +3 *2792:gpio_defaults[11] *2792:gpio_defaults[12] 0 +4 *2792:gpio_defaults[0] *2792:gpio_defaults[11] 0.000281238 +5 *2792:gpio_defaults[1] *2792:gpio_defaults[11] 0.00229137 +6 *2792:gpio_defaults[2] *2792:gpio_defaults[11] 0 +*RES +1 *2748:gpio_defaults[11] *2792:gpio_defaults[11] 40.5129 +*END + +*D_NET *210 0.00412638 +*CONN +*I *2792:gpio_defaults[12] I *D gpio_control_block +*I *2748:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2792:gpio_defaults[12] 0.00110018 +2 *2748:gpio_defaults[12] 0.00110018 +3 *2792:gpio_defaults[0] *2792:gpio_defaults[12] 5.10987e-05 +4 *2792:gpio_defaults[10] *2792:gpio_defaults[12] 0.00187492 +5 *2792:gpio_defaults[11] *2792:gpio_defaults[12] 0 +6 *2792:gpio_defaults[1] *2792:gpio_defaults[12] 0 +*RES +1 *2748:gpio_defaults[12] *2792:gpio_defaults[12] 47.9031 +*END + +*D_NET *211 0.00566208 +*CONN +*I *2793:gpio_defaults[0] I *D gpio_control_block +*I *2749:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[0] 0.000565637 +2 *2749:gpio_defaults[0] 0.000732933 +3 *211:15 0.00209811 +4 *211:9 0.0022654 +5 *2793:gpio_defaults[0] *2793:gpio_defaults[12] 0 +6 *2793:gpio_defaults[0] *2793:gpio_defaults[1] 0 +*RES +1 *2749:gpio_defaults[0] *211:9 25.2015 +2 *211:9 *211:15 47.0884 +3 *211:15 *2793:gpio_defaults[0] 16.0483 +*END + +*D_NET *212 0.00387747 +*CONN +*I *2793:gpio_defaults[1] I *D gpio_control_block +*I *2749:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[1] 0.000846372 +2 *2749:gpio_defaults[1] 0.000846372 +3 *2793:gpio_defaults[1] *2793:gpio_defaults[10] 0.00187071 +4 *2793:gpio_defaults[1] *2793:gpio_defaults[11] 0.000314017 +5 *2793:gpio_defaults[1] *2793:gpio_defaults[12] 0 +6 *2793:gpio_defaults[1] *2793:gpio_defaults[2] 0 +7 *2793:gpio_defaults[0] *2793:gpio_defaults[1] 0 +*RES +1 *2749:gpio_defaults[1] *2793:gpio_defaults[1] 39.9539 +*END + +*D_NET *213 0.00387412 +*CONN +*I *2793:gpio_defaults[2] I *D gpio_control_block +*I *2749:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[2] 0.000516006 +2 *2749:gpio_defaults[2] 0.000516006 +3 *2793:gpio_defaults[2] *2793:gpio_defaults[10] 0.00182519 +4 *2793:gpio_defaults[2] *2793:gpio_defaults[11] 0.000231712 +5 *2793:gpio_defaults[2] *2793:gpio_defaults[12] 0 +6 *2793:gpio_defaults[2] *2793:gpio_defaults[3] 2.9752e-05 +7 *2793:gpio_defaults[2] *2793:gpio_defaults[8] 0.000755455 +8 *2793:gpio_defaults[2] *2793:gpio_defaults[9] 0 +9 *2793:gpio_defaults[1] *2793:gpio_defaults[2] 0 +*RES +1 *2749:gpio_defaults[2] *2793:gpio_defaults[2] 33.5774 +*END + +*D_NET *214 0.00285234 +*CONN +*I *2793:gpio_defaults[3] I *D gpio_control_block +*I *2749:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[3] 0.000403067 +2 *2749:gpio_defaults[3] 0.000403067 +3 *2793:gpio_defaults[3] *2793:gpio_defaults[10] 0 +4 *2793:gpio_defaults[3] *2793:gpio_defaults[4] 0.000706559 +5 *2793:gpio_defaults[3] *2793:gpio_defaults[8] 1.00937e-05 +6 *2793:gpio_defaults[3] *2793:gpio_defaults[9] 0.0012998 +7 *2793:gpio_defaults[2] *2793:gpio_defaults[3] 2.9752e-05 +*RES +1 *2749:gpio_defaults[3] *2793:gpio_defaults[3] 28.0313 +*END + +*D_NET *215 0.00185623 +*CONN +*I *2793:gpio_defaults[4] I *D gpio_control_block +*I *2749:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[4] 0.000326191 +2 *2749:gpio_defaults[4] 0.000326191 +3 *2793:gpio_defaults[4] *2793:gpio_defaults[5] 0.000175485 +4 *2793:gpio_defaults[4] *2793:gpio_defaults[7] 4.82966e-05 +5 *2793:gpio_defaults[4] *2793:gpio_defaults[8] 0.000273507 +6 *2793:gpio_defaults[4] *2793:gpio_defaults[9] 0 +7 *2793:gpio_defaults[3] *2793:gpio_defaults[4] 0.000706559 +*RES +1 *2749:gpio_defaults[4] *2793:gpio_defaults[4] 22.07 +*END + +*D_NET *216 0.00112549 +*CONN +*I *2793:gpio_defaults[5] I *D gpio_control_block +*I *2749:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[5] 0.00031878 +2 *2749:gpio_defaults[5] 0.00031878 +3 *2793:gpio_defaults[5] *2793:gpio_defaults[6] 2.57385e-05 +4 *2793:gpio_defaults[5] *2793:gpio_defaults[7] 0.000286703 +5 *2793:gpio_defaults[4] *2793:gpio_defaults[5] 0.000175485 +*RES +1 *2749:gpio_defaults[5] *2793:gpio_defaults[5] 16.1087 +*END + +*D_NET *217 0.000105255 +*CONN +*I *2793:gpio_defaults[6] I *D gpio_control_block +*I *2749:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[6] 3.69649e-05 +2 *2749:gpio_defaults[6] 3.69649e-05 +3 *2793:gpio_defaults[6] *2793:gpio_defaults[7] 5.58683e-06 +4 *2793:gpio_defaults[5] *2793:gpio_defaults[6] 2.57385e-05 +*RES +1 *2749:gpio_defaults[6] *2793:gpio_defaults[6] 0.830504 +*END + +*D_NET *218 0.0010773 +*CONN +*I *2793:gpio_defaults[7] I *D gpio_control_block +*I *2749:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[7] 0.000239291 +2 *2749:gpio_defaults[7] 0.000239291 +3 *2793:gpio_defaults[7] *2793:gpio_defaults[8] 0.000258128 +4 *2793:gpio_defaults[4] *2793:gpio_defaults[7] 4.82966e-05 +5 *2793:gpio_defaults[5] *2793:gpio_defaults[7] 0.000286703 +6 *2793:gpio_defaults[6] *2793:gpio_defaults[7] 5.58683e-06 +*RES +1 *2749:gpio_defaults[7] *2793:gpio_defaults[7] 16.2578 +*END + +*D_NET *219 0.00124088 +*CONN +*I *2782:gpio_defaults[5] I *D gpio_control_block +*I *2744:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[5] 0.000372877 +2 *2744:gpio_defaults[5] 0.000372877 +3 *2782:gpio_defaults[5] *2782:gpio_defaults[6] 2.0798e-05 +4 *2782:gpio_defaults[5] *2782:gpio_defaults[7] 0.00013177 +5 *2782:gpio_defaults[3] *2782:gpio_defaults[5] 0.000175485 +6 *2782:gpio_defaults[4] *2782:gpio_defaults[5] 0.000167076 +*RES +1 *2744:gpio_defaults[5] *2782:gpio_defaults[5] 16.9393 +*END + +*D_NET *220 0.00199229 +*CONN +*I *2793:gpio_defaults[8] I *D gpio_control_block +*I *2749:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[8] 0.000293793 +2 *2749:gpio_defaults[8] 0.000293793 +3 *2793:gpio_defaults[8] *2793:gpio_defaults[11] 0.000107517 +4 *2793:gpio_defaults[2] *2793:gpio_defaults[8] 0.000755455 +5 *2793:gpio_defaults[3] *2793:gpio_defaults[8] 1.00937e-05 +6 *2793:gpio_defaults[4] *2793:gpio_defaults[8] 0.000273507 +7 *2793:gpio_defaults[7] *2793:gpio_defaults[8] 0.000258128 +*RES +1 *2749:gpio_defaults[8] *2793:gpio_defaults[8] 22.221 +*END + +*D_NET *221 0.0032658 +*CONN +*I *2793:gpio_defaults[9] I *D gpio_control_block +*I *2749:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[9] 0.000311078 +2 *2749:gpio_defaults[9] 0.000311078 +3 *2793:gpio_defaults[9] *2793:gpio_defaults[12] 0.00134385 +4 *2793:gpio_defaults[2] *2793:gpio_defaults[9] 0 +5 *2793:gpio_defaults[3] *2793:gpio_defaults[9] 0.0012998 +6 *2793:gpio_defaults[4] *2793:gpio_defaults[9] 0 +*RES +1 *2749:gpio_defaults[9] *2793:gpio_defaults[9] 28.5903 +*END + +*D_NET *222 0.00459381 +*CONN +*I *2793:gpio_defaults[10] I *D gpio_control_block +*I *2749:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[10] 0.00033564 +2 *2749:gpio_defaults[10] 0.00033564 +3 *2793:gpio_defaults[10] *2793:gpio_defaults[11] 0.000226637 +4 *2793:gpio_defaults[10] *2793:gpio_defaults[12] 0 +5 *2793:gpio_defaults[1] *2793:gpio_defaults[10] 0.00187071 +6 *2793:gpio_defaults[2] *2793:gpio_defaults[10] 0.00182519 +7 *2793:gpio_defaults[3] *2793:gpio_defaults[10] 0 +*RES +1 *2749:gpio_defaults[10] *2793:gpio_defaults[10] 34.1363 +*END + +*D_NET *223 0.00432568 +*CONN +*I *2793:gpio_defaults[11] I *D gpio_control_block +*I *2749:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[11] 0.0017229 +2 *2749:gpio_defaults[11] 0.0017229 +3 *2793:gpio_defaults[10] *2793:gpio_defaults[11] 0.000226637 +4 *2793:gpio_defaults[1] *2793:gpio_defaults[11] 0.000314017 +5 *2793:gpio_defaults[2] *2793:gpio_defaults[11] 0.000231712 +6 *2793:gpio_defaults[8] *2793:gpio_defaults[11] 0.000107517 +*RES +1 *2749:gpio_defaults[11] *2793:gpio_defaults[11] 13.4224 +*END + +*D_NET *224 0.00360477 +*CONN +*I *2793:gpio_defaults[12] I *D gpio_control_block +*I *2749:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2793:gpio_defaults[12] 0.00113046 +2 *2749:gpio_defaults[12] 0.00113046 +3 *2793:gpio_defaults[0] *2793:gpio_defaults[12] 0 +4 *2793:gpio_defaults[10] *2793:gpio_defaults[12] 0 +5 *2793:gpio_defaults[1] *2793:gpio_defaults[12] 0 +6 *2793:gpio_defaults[2] *2793:gpio_defaults[12] 0 +7 *2793:gpio_defaults[9] *2793:gpio_defaults[12] 0.00134385 +*RES +1 *2749:gpio_defaults[12] *2793:gpio_defaults[12] 46.2421 +*END + +*D_NET *225 3.95199e-05 +*CONN +*I *2794:gpio_defaults[0] I *D gpio_control_block +*I *2750:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[0] 1.97599e-05 +2 *2750:gpio_defaults[0] 1.97599e-05 +3 *2794:gpio_defaults[0] *2794:gpio_defaults[1] 0 +*RES +1 *2750:gpio_defaults[0] *2794:gpio_defaults[0] 0.561812 +*END + +*D_NET *226 3.95199e-05 +*CONN +*I *2794:gpio_defaults[1] I *D gpio_control_block +*I *2750:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[1] 1.97599e-05 +2 *2750:gpio_defaults[1] 1.97599e-05 +3 *2794:gpio_defaults[1] *2794:gpio_defaults[2] 0 +4 *2794:gpio_defaults[0] *2794:gpio_defaults[1] 0 +*RES +1 *2750:gpio_defaults[1] *2794:gpio_defaults[1] 0.561812 +*END + +*D_NET *227 3.95199e-05 +*CONN +*I *2794:gpio_defaults[2] I *D gpio_control_block +*I *2750:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[2] 1.97599e-05 +2 *2750:gpio_defaults[2] 1.97599e-05 +3 *2794:gpio_defaults[2] *2794:gpio_defaults[3] 0 +4 *2794:gpio_defaults[1] *2794:gpio_defaults[2] 0 +*RES +1 *2750:gpio_defaults[2] *2794:gpio_defaults[2] 0.561812 +*END + +*D_NET *228 3.95199e-05 +*CONN +*I *2794:gpio_defaults[3] I *D gpio_control_block +*I *2750:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[3] 1.97599e-05 +2 *2750:gpio_defaults[3] 1.97599e-05 +3 *2794:gpio_defaults[3] *2794:gpio_defaults[4] 0 +4 *2794:gpio_defaults[2] *2794:gpio_defaults[3] 0 +*RES +1 *2750:gpio_defaults[3] *2794:gpio_defaults[3] 0.561812 +*END + +*D_NET *229 3.95199e-05 +*CONN +*I *2794:gpio_defaults[4] I *D gpio_control_block +*I *2750:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[4] 1.97599e-05 +2 *2750:gpio_defaults[4] 1.97599e-05 +3 *2794:gpio_defaults[4] *2794:gpio_defaults[5] 0 +4 *2794:gpio_defaults[3] *2794:gpio_defaults[4] 0 +*RES +1 *2750:gpio_defaults[4] *2794:gpio_defaults[4] 0.561812 +*END + +*D_NET *230 0.000109938 +*CONN +*I *2782:gpio_defaults[6] I *D gpio_control_block +*I *2744:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[6] 3.59357e-05 +2 *2744:gpio_defaults[6] 3.59357e-05 +3 *2782:gpio_defaults[6] *2782:gpio_defaults[7] 1.7269e-05 +4 *2782:gpio_defaults[5] *2782:gpio_defaults[6] 2.0798e-05 +*RES +1 *2744:gpio_defaults[6] *2782:gpio_defaults[6] 0.830504 +*END + +*D_NET *231 0.00420547 +*CONN +*I *2781:gpio_defaults[1] I *D gpio_control_block +*I *2743:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[1] 0.000811021 +2 *2743:gpio_defaults[1] 0.000811021 +3 *2781:gpio_defaults[0] *2781:gpio_defaults[1] 0.000292058 +4 *2781:gpio_defaults[10] *2781:gpio_defaults[1] 0 +5 *2781:gpio_defaults[11] *2781:gpio_defaults[1] 0.00229137 +6 *2781:gpio_defaults[12] *2781:gpio_defaults[1] 0 +*RES +1 *2743:gpio_defaults[1] *2781:gpio_defaults[1] 40.5129 +*END + +*D_NET *232 3.95199e-05 +*CONN +*I *2794:gpio_defaults[5] I *D gpio_control_block +*I *2750:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[5] 1.97599e-05 +2 *2750:gpio_defaults[5] 1.97599e-05 +3 *2794:gpio_defaults[5] *2794:gpio_defaults[6] 0 +4 *2794:gpio_defaults[4] *2794:gpio_defaults[5] 0 +*RES +1 *2750:gpio_defaults[5] *2794:gpio_defaults[5] 0.561812 +*END + +*D_NET *233 3.95199e-05 +*CONN +*I *2794:gpio_defaults[6] I *D gpio_control_block +*I *2750:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[6] 1.97599e-05 +2 *2750:gpio_defaults[6] 1.97599e-05 +3 *2794:gpio_defaults[6] *2794:gpio_defaults[7] 0 +4 *2794:gpio_defaults[5] *2794:gpio_defaults[6] 0 +*RES +1 *2750:gpio_defaults[6] *2794:gpio_defaults[6] 0.561812 +*END + +*D_NET *234 3.95199e-05 +*CONN +*I *2794:gpio_defaults[7] I *D gpio_control_block +*I *2750:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[7] 1.97599e-05 +2 *2750:gpio_defaults[7] 1.97599e-05 +3 *2794:gpio_defaults[7] *2794:gpio_defaults[8] 0 +4 *2794:gpio_defaults[6] *2794:gpio_defaults[7] 0 +*RES +1 *2750:gpio_defaults[7] *2794:gpio_defaults[7] 0.561812 +*END + +*D_NET *235 3.95199e-05 +*CONN +*I *2794:gpio_defaults[8] I *D gpio_control_block +*I *2750:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[8] 1.97599e-05 +2 *2750:gpio_defaults[8] 1.97599e-05 +3 *2794:gpio_defaults[8] *2794:gpio_defaults[9] 0 +4 *2794:gpio_defaults[7] *2794:gpio_defaults[8] 0 +*RES +1 *2750:gpio_defaults[8] *2794:gpio_defaults[8] 0.561812 +*END + +*D_NET *236 3.95199e-05 +*CONN +*I *2794:gpio_defaults[9] I *D gpio_control_block +*I *2750:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[9] 1.97599e-05 +2 *2750:gpio_defaults[9] 1.97599e-05 +3 *2794:gpio_defaults[9] *2794:gpio_defaults[10] 0 +4 *2794:gpio_defaults[8] *2794:gpio_defaults[9] 0 +*RES +1 *2750:gpio_defaults[9] *2794:gpio_defaults[9] 0.561812 +*END + +*D_NET *237 3.95199e-05 +*CONN +*I *2794:gpio_defaults[10] I *D gpio_control_block +*I *2750:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[10] 1.97599e-05 +2 *2750:gpio_defaults[10] 1.97599e-05 +3 *2794:gpio_defaults[10] *2794:gpio_defaults[11] 0 +4 *2794:gpio_defaults[9] *2794:gpio_defaults[10] 0 +*RES +1 *2750:gpio_defaults[10] *2794:gpio_defaults[10] 0.561812 +*END + +*D_NET *238 3.95199e-05 +*CONN +*I *2794:gpio_defaults[11] I *D gpio_control_block +*I *2750:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[11] 1.97599e-05 +2 *2750:gpio_defaults[11] 1.97599e-05 +3 *2794:gpio_defaults[11] *2794:gpio_defaults[12] 0 +4 *2794:gpio_defaults[10] *2794:gpio_defaults[11] 0 +*RES +1 *2750:gpio_defaults[11] *2794:gpio_defaults[11] 0.561812 +*END + +*D_NET *239 3.95199e-05 +*CONN +*I *2794:gpio_defaults[12] I *D gpio_control_block +*I *2750:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2794:gpio_defaults[12] 1.97599e-05 +2 *2750:gpio_defaults[12] 1.97599e-05 +3 *2794:gpio_defaults[11] *2794:gpio_defaults[12] 0 +*RES +1 *2750:gpio_defaults[12] *2794:gpio_defaults[12] 0.561812 +*END + +*D_NET *240 3.95199e-05 +*CONN +*I *2795:gpio_defaults[0] I *D gpio_control_block +*I *2751:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[0] 1.97599e-05 +2 *2751:gpio_defaults[0] 1.97599e-05 +3 *2795:gpio_defaults[0] *2795:gpio_defaults[1] 0 +*RES +1 *2751:gpio_defaults[0] *2795:gpio_defaults[0] 0.561812 +*END + +*D_NET *241 3.95199e-05 +*CONN +*I *2795:gpio_defaults[1] I *D gpio_control_block +*I *2751:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[1] 1.97599e-05 +2 *2751:gpio_defaults[1] 1.97599e-05 +3 *2795:gpio_defaults[1] *2795:gpio_defaults[2] 0 +4 *2795:gpio_defaults[0] *2795:gpio_defaults[1] 0 +*RES +1 *2751:gpio_defaults[1] *2795:gpio_defaults[1] 0.561812 +*END + +*D_NET *242 0.0012043 +*CONN +*I *2782:gpio_defaults[7] I *D gpio_control_block +*I *2744:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[7] 0.000318267 +2 *2744:gpio_defaults[7] 0.000318267 +3 *2782:gpio_defaults[7] *2782:gpio_defaults[8] 0.000200794 +4 *2782:gpio_defaults[4] *2782:gpio_defaults[7] 0.000217937 +5 *2782:gpio_defaults[5] *2782:gpio_defaults[7] 0.00013177 +6 *2782:gpio_defaults[6] *2782:gpio_defaults[7] 1.7269e-05 +*RES +1 *2744:gpio_defaults[7] *2782:gpio_defaults[7] 16.932 +*END + +*D_NET *243 3.95199e-05 +*CONN +*I *2795:gpio_defaults[2] I *D gpio_control_block +*I *2751:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[2] 1.97599e-05 +2 *2751:gpio_defaults[2] 1.97599e-05 +3 *2795:gpio_defaults[2] *2795:gpio_defaults[3] 0 +4 *2795:gpio_defaults[1] *2795:gpio_defaults[2] 0 +*RES +1 *2751:gpio_defaults[2] *2795:gpio_defaults[2] 0.561812 +*END + +*D_NET *244 3.95199e-05 +*CONN +*I *2795:gpio_defaults[3] I *D gpio_control_block +*I *2751:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[3] 1.97599e-05 +2 *2751:gpio_defaults[3] 1.97599e-05 +3 *2795:gpio_defaults[3] *2795:gpio_defaults[4] 0 +4 *2795:gpio_defaults[2] *2795:gpio_defaults[3] 0 +*RES +1 *2751:gpio_defaults[3] *2795:gpio_defaults[3] 0.561812 +*END + +*D_NET *245 3.95199e-05 +*CONN +*I *2795:gpio_defaults[4] I *D gpio_control_block +*I *2751:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[4] 1.97599e-05 +2 *2751:gpio_defaults[4] 1.97599e-05 +3 *2795:gpio_defaults[4] *2795:gpio_defaults[5] 0 +4 *2795:gpio_defaults[3] *2795:gpio_defaults[4] 0 +*RES +1 *2751:gpio_defaults[4] *2795:gpio_defaults[4] 0.561812 +*END + +*D_NET *246 3.95199e-05 +*CONN +*I *2795:gpio_defaults[5] I *D gpio_control_block +*I *2751:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[5] 1.97599e-05 +2 *2751:gpio_defaults[5] 1.97599e-05 +3 *2795:gpio_defaults[5] *2795:gpio_defaults[6] 0 +4 *2795:gpio_defaults[4] *2795:gpio_defaults[5] 0 +*RES +1 *2751:gpio_defaults[5] *2795:gpio_defaults[5] 0.561812 +*END + +*D_NET *247 3.95199e-05 +*CONN +*I *2795:gpio_defaults[6] I *D gpio_control_block +*I *2751:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[6] 1.97599e-05 +2 *2751:gpio_defaults[6] 1.97599e-05 +3 *2795:gpio_defaults[6] *2795:gpio_defaults[7] 0 +4 *2795:gpio_defaults[5] *2795:gpio_defaults[6] 0 +*RES +1 *2751:gpio_defaults[6] *2795:gpio_defaults[6] 0.561812 +*END + +*D_NET *248 3.95199e-05 +*CONN +*I *2795:gpio_defaults[7] I *D gpio_control_block +*I *2751:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[7] 1.97599e-05 +2 *2751:gpio_defaults[7] 1.97599e-05 +3 *2795:gpio_defaults[7] *2795:gpio_defaults[8] 0 +4 *2795:gpio_defaults[6] *2795:gpio_defaults[7] 0 +*RES +1 *2751:gpio_defaults[7] *2795:gpio_defaults[7] 0.561812 +*END + +*D_NET *249 3.95199e-05 +*CONN +*I *2795:gpio_defaults[8] I *D gpio_control_block +*I *2751:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[8] 1.97599e-05 +2 *2751:gpio_defaults[8] 1.97599e-05 +3 *2795:gpio_defaults[8] *2795:gpio_defaults[9] 0 +4 *2795:gpio_defaults[7] *2795:gpio_defaults[8] 0 +*RES +1 *2751:gpio_defaults[8] *2795:gpio_defaults[8] 0.561812 +*END + +*D_NET *250 3.95199e-05 +*CONN +*I *2795:gpio_defaults[9] I *D gpio_control_block +*I *2751:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[9] 1.97599e-05 +2 *2751:gpio_defaults[9] 1.97599e-05 +3 *2795:gpio_defaults[9] *2795:gpio_defaults[10] 0 +4 *2795:gpio_defaults[8] *2795:gpio_defaults[9] 0 +*RES +1 *2751:gpio_defaults[9] *2795:gpio_defaults[9] 0.561812 +*END + +*D_NET *251 3.95199e-05 +*CONN +*I *2795:gpio_defaults[10] I *D gpio_control_block +*I *2751:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[10] 1.97599e-05 +2 *2751:gpio_defaults[10] 1.97599e-05 +3 *2795:gpio_defaults[10] *2795:gpio_defaults[11] 0 +4 *2795:gpio_defaults[9] *2795:gpio_defaults[10] 0 +*RES +1 *2751:gpio_defaults[10] *2795:gpio_defaults[10] 0.561812 +*END + +*D_NET *252 3.95199e-05 +*CONN +*I *2795:gpio_defaults[11] I *D gpio_control_block +*I *2751:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[11] 1.97599e-05 +2 *2751:gpio_defaults[11] 1.97599e-05 +3 *2795:gpio_defaults[11] *2795:gpio_defaults[12] 0 +4 *2795:gpio_defaults[10] *2795:gpio_defaults[11] 0 +*RES +1 *2751:gpio_defaults[11] *2795:gpio_defaults[11] 0.561812 +*END + +*D_NET *253 0.00200103 +*CONN +*I *2782:gpio_defaults[8] I *D gpio_control_block +*I *2744:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[8] 0.000374098 +2 *2744:gpio_defaults[8] 0.000374098 +3 *2782:gpio_defaults[8] *2782:gpio_defaults[9] 0.000723192 +4 *2782:gpio_defaults[3] *2782:gpio_defaults[8] 1.00937e-05 +5 *2782:gpio_defaults[4] *2782:gpio_defaults[8] 0.00031875 +6 *2782:gpio_defaults[7] *2782:gpio_defaults[8] 0.000200794 +*RES +1 *2744:gpio_defaults[8] *2782:gpio_defaults[8] 22.6415 +*END + +*D_NET *254 3.95199e-05 +*CONN +*I *2795:gpio_defaults[12] I *D gpio_control_block +*I *2751:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2795:gpio_defaults[12] 1.97599e-05 +2 *2751:gpio_defaults[12] 1.97599e-05 +3 *2795:gpio_defaults[11] *2795:gpio_defaults[12] 0 +*RES +1 *2751:gpio_defaults[12] *2795:gpio_defaults[12] 0.561812 +*END + +*D_NET *255 3.95199e-05 +*CONN +*I *2796:gpio_defaults[0] I *D gpio_control_block +*I *2752:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[0] 1.97599e-05 +2 *2752:gpio_defaults[0] 1.97599e-05 +3 *2796:gpio_defaults[0] *2796:gpio_defaults[1] 0 +*RES +1 *2752:gpio_defaults[0] *2796:gpio_defaults[0] 0.561812 +*END + +*D_NET *256 3.95199e-05 +*CONN +*I *2796:gpio_defaults[1] I *D gpio_control_block +*I *2752:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[1] 1.97599e-05 +2 *2752:gpio_defaults[1] 1.97599e-05 +3 *2796:gpio_defaults[1] *2796:gpio_defaults[2] 0 +4 *2796:gpio_defaults[0] *2796:gpio_defaults[1] 0 +*RES +1 *2752:gpio_defaults[1] *2796:gpio_defaults[1] 0.561812 +*END + +*D_NET *257 3.95199e-05 +*CONN +*I *2796:gpio_defaults[2] I *D gpio_control_block +*I *2752:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[2] 1.97599e-05 +2 *2752:gpio_defaults[2] 1.97599e-05 +3 *2796:gpio_defaults[2] *2796:gpio_defaults[3] 0 +4 *2796:gpio_defaults[1] *2796:gpio_defaults[2] 0 +*RES +1 *2752:gpio_defaults[2] *2796:gpio_defaults[2] 0.561812 +*END + +*D_NET *258 3.95199e-05 +*CONN +*I *2796:gpio_defaults[3] I *D gpio_control_block +*I *2752:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[3] 1.97599e-05 +2 *2752:gpio_defaults[3] 1.97599e-05 +3 *2796:gpio_defaults[3] *2796:gpio_defaults[4] 0 +4 *2796:gpio_defaults[2] *2796:gpio_defaults[3] 0 +*RES +1 *2752:gpio_defaults[3] *2796:gpio_defaults[3] 0.561812 +*END + +*D_NET *259 3.95199e-05 +*CONN +*I *2796:gpio_defaults[4] I *D gpio_control_block +*I *2752:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[4] 1.97599e-05 +2 *2752:gpio_defaults[4] 1.97599e-05 +3 *2796:gpio_defaults[4] *2796:gpio_defaults[5] 0 +4 *2796:gpio_defaults[3] *2796:gpio_defaults[4] 0 +*RES +1 *2752:gpio_defaults[4] *2796:gpio_defaults[4] 0.561812 +*END + +*D_NET *260 3.95199e-05 +*CONN +*I *2796:gpio_defaults[5] I *D gpio_control_block +*I *2752:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[5] 1.97599e-05 +2 *2752:gpio_defaults[5] 1.97599e-05 +3 *2796:gpio_defaults[5] *2796:gpio_defaults[6] 0 +4 *2796:gpio_defaults[4] *2796:gpio_defaults[5] 0 +*RES +1 *2752:gpio_defaults[5] *2796:gpio_defaults[5] 0.561812 +*END + +*D_NET *261 3.95199e-05 +*CONN +*I *2796:gpio_defaults[6] I *D gpio_control_block +*I *2752:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[6] 1.97599e-05 +2 *2752:gpio_defaults[6] 1.97599e-05 +3 *2796:gpio_defaults[6] *2796:gpio_defaults[7] 0 +4 *2796:gpio_defaults[5] *2796:gpio_defaults[6] 0 +*RES +1 *2752:gpio_defaults[6] *2796:gpio_defaults[6] 0.561812 +*END + +*D_NET *262 3.95199e-05 +*CONN +*I *2796:gpio_defaults[7] I *D gpio_control_block +*I *2752:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[7] 1.97599e-05 +2 *2752:gpio_defaults[7] 1.97599e-05 +3 *2796:gpio_defaults[7] *2796:gpio_defaults[8] 0 +4 *2796:gpio_defaults[6] *2796:gpio_defaults[7] 0 +*RES +1 *2752:gpio_defaults[7] *2796:gpio_defaults[7] 0.561812 +*END + +*D_NET *263 3.95199e-05 +*CONN +*I *2796:gpio_defaults[8] I *D gpio_control_block +*I *2752:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[8] 1.97599e-05 +2 *2752:gpio_defaults[8] 1.97599e-05 +3 *2796:gpio_defaults[8] *2796:gpio_defaults[9] 0 +4 *2796:gpio_defaults[7] *2796:gpio_defaults[8] 0 +*RES +1 *2752:gpio_defaults[8] *2796:gpio_defaults[8] 0.561812 +*END + +*D_NET *264 0.00307871 +*CONN +*I *2782:gpio_defaults[9] I *D gpio_control_block +*I *2744:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[9] 0.000436933 +2 *2744:gpio_defaults[9] 0.000436933 +3 *2782:gpio_defaults[9] *2782:gpio_defaults[10] 0.00129893 +4 *2782:gpio_defaults[2] *2782:gpio_defaults[9] 0 +5 *2782:gpio_defaults[3] *2782:gpio_defaults[9] 0.000182712 +6 *2782:gpio_defaults[8] *2782:gpio_defaults[9] 0.000723192 +*RES +1 *2744:gpio_defaults[9] *2782:gpio_defaults[9] 28.5975 +*END + +*D_NET *265 3.95199e-05 +*CONN +*I *2796:gpio_defaults[9] I *D gpio_control_block +*I *2752:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[9] 1.97599e-05 +2 *2752:gpio_defaults[9] 1.97599e-05 +3 *2796:gpio_defaults[9] *2796:gpio_defaults[10] 0 +4 *2796:gpio_defaults[8] *2796:gpio_defaults[9] 0 +*RES +1 *2752:gpio_defaults[9] *2796:gpio_defaults[9] 0.561812 +*END + +*D_NET *266 3.95199e-05 +*CONN +*I *2796:gpio_defaults[10] I *D gpio_control_block +*I *2752:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[10] 1.97599e-05 +2 *2752:gpio_defaults[10] 1.97599e-05 +3 *2796:gpio_defaults[10] *2796:gpio_defaults[11] 0 +4 *2796:gpio_defaults[9] *2796:gpio_defaults[10] 0 +*RES +1 *2752:gpio_defaults[10] *2796:gpio_defaults[10] 0.561812 +*END + +*D_NET *267 3.95199e-05 +*CONN +*I *2796:gpio_defaults[11] I *D gpio_control_block +*I *2752:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[11] 1.97599e-05 +2 *2752:gpio_defaults[11] 1.97599e-05 +3 *2796:gpio_defaults[11] *2796:gpio_defaults[12] 0 +4 *2796:gpio_defaults[10] *2796:gpio_defaults[11] 0 +*RES +1 *2752:gpio_defaults[11] *2796:gpio_defaults[11] 0.561812 +*END + +*D_NET *268 3.95199e-05 +*CONN +*I *2796:gpio_defaults[12] I *D gpio_control_block +*I *2752:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2796:gpio_defaults[12] 1.97599e-05 +2 *2752:gpio_defaults[12] 1.97599e-05 +3 *2796:gpio_defaults[11] *2796:gpio_defaults[12] 0 +*RES +1 *2752:gpio_defaults[12] *2796:gpio_defaults[12] 0.561812 +*END + +*D_NET *269 3.95199e-05 +*CONN +*I *2787:gpio_defaults[0] I *D gpio_control_block +*I *2753:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[0] 1.97599e-05 +2 *2753:gpio_defaults[0] 1.97599e-05 +3 *2787:gpio_defaults[0] *2787:gpio_defaults[1] 0 +*RES +1 *2753:gpio_defaults[0] *2787:gpio_defaults[0] 0.561812 +*END + +*D_NET *270 3.95199e-05 +*CONN +*I *2787:gpio_defaults[1] I *D gpio_control_block +*I *2753:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[1] 1.97599e-05 +2 *2753:gpio_defaults[1] 1.97599e-05 +3 *2787:gpio_defaults[1] *2787:gpio_defaults[2] 0 +4 *2787:gpio_defaults[0] *2787:gpio_defaults[1] 0 +*RES +1 *2753:gpio_defaults[1] *2787:gpio_defaults[1] 0.561812 +*END + +*D_NET *271 3.95199e-05 +*CONN +*I *2787:gpio_defaults[2] I *D gpio_control_block +*I *2753:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[2] 1.97599e-05 +2 *2753:gpio_defaults[2] 1.97599e-05 +3 *2787:gpio_defaults[2] *2787:gpio_defaults[3] 0 +4 *2787:gpio_defaults[1] *2787:gpio_defaults[2] 0 +*RES +1 *2753:gpio_defaults[2] *2787:gpio_defaults[2] 0.561812 +*END + +*D_NET *272 0.000133688 +*CONN +*I *2787:gpio_defaults[3] I *D gpio_control_block +*I *2753:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[3] 6.68441e-05 +2 *2753:gpio_defaults[3] 6.68441e-05 +3 *2787:gpio_defaults[3] *2787:gpio_defaults[4] 0 +4 *2787:gpio_defaults[2] *2787:gpio_defaults[3] 0 +*RES +1 *2753:gpio_defaults[3] *2787:gpio_defaults[3] 2.2961 +*END + +*D_NET *273 3.95199e-05 +*CONN +*I *2787:gpio_defaults[4] I *D gpio_control_block +*I *2753:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[4] 1.97599e-05 +2 *2753:gpio_defaults[4] 1.97599e-05 +3 *2787:gpio_defaults[4] *2787:gpio_defaults[5] 0 +4 *2787:gpio_defaults[3] *2787:gpio_defaults[4] 0 +*RES +1 *2753:gpio_defaults[4] *2787:gpio_defaults[4] 0.561812 +*END + +*D_NET *274 3.95199e-05 +*CONN +*I *2787:gpio_defaults[5] I *D gpio_control_block +*I *2753:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[5] 1.97599e-05 +2 *2753:gpio_defaults[5] 1.97599e-05 +3 *2787:gpio_defaults[5] *2787:gpio_defaults[6] 0 +4 *2787:gpio_defaults[4] *2787:gpio_defaults[5] 0 +*RES +1 *2753:gpio_defaults[5] *2787:gpio_defaults[5] 0.561812 +*END + +*D_NET *275 0.00426269 +*CONN +*I *2782:gpio_defaults[10] I *D gpio_control_block +*I *2744:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[10] 0.000487936 +2 *2744:gpio_defaults[10] 0.000487936 +3 *2782:gpio_defaults[10] *2782:gpio_defaults[11] 0.00021471 +4 *2782:gpio_defaults[10] *2782:gpio_defaults[12] 3.62662e-06 +5 *2782:gpio_defaults[1] *2782:gpio_defaults[10] 0.00163548 +6 *2782:gpio_defaults[2] *2782:gpio_defaults[10] 0.000134072 +7 *2782:gpio_defaults[9] *2782:gpio_defaults[10] 0.00129893 +*RES +1 *2744:gpio_defaults[10] *2782:gpio_defaults[10] 34.7223 +*END + +*D_NET *276 0.000192061 +*CONN +*I *2787:gpio_defaults[6] I *D gpio_control_block +*I *2753:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[6] 6.95667e-05 +2 *2753:gpio_defaults[6] 6.95667e-05 +3 *2787:gpio_defaults[6] *2787:gpio_defaults[7] 5.29277e-05 +4 *2787:gpio_defaults[5] *2787:gpio_defaults[6] 0 +*RES +1 *2753:gpio_defaults[6] *2787:gpio_defaults[6] 3.1266 +*END + +*D_NET *277 0.000192061 +*CONN +*I *2787:gpio_defaults[7] I *D gpio_control_block +*I *2753:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[7] 6.95667e-05 +2 *2753:gpio_defaults[7] 6.95667e-05 +3 *2787:gpio_defaults[7] *2787:gpio_defaults[8] 0 +4 *2787:gpio_defaults[6] *2787:gpio_defaults[7] 5.29277e-05 +*RES +1 *2753:gpio_defaults[7] *2787:gpio_defaults[7] 3.1266 +*END + +*D_NET *278 3.95199e-05 +*CONN +*I *2787:gpio_defaults[8] I *D gpio_control_block +*I *2753:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[8] 1.97599e-05 +2 *2753:gpio_defaults[8] 1.97599e-05 +3 *2787:gpio_defaults[8] *2787:gpio_defaults[9] 0 +4 *2787:gpio_defaults[7] *2787:gpio_defaults[8] 0 +*RES +1 *2753:gpio_defaults[8] *2787:gpio_defaults[8] 0.561812 +*END + +*D_NET *279 3.95199e-05 +*CONN +*I *2787:gpio_defaults[9] I *D gpio_control_block +*I *2753:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[9] 1.97599e-05 +2 *2753:gpio_defaults[9] 1.97599e-05 +3 *2787:gpio_defaults[9] *2787:gpio_defaults[10] 0 +4 *2787:gpio_defaults[8] *2787:gpio_defaults[9] 0 +*RES +1 *2753:gpio_defaults[9] *2787:gpio_defaults[9] 0.561812 +*END + +*D_NET *280 0.000133688 +*CONN +*I *2787:gpio_defaults[10] I *D gpio_control_block +*I *2753:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[10] 6.68441e-05 +2 *2753:gpio_defaults[10] 6.68441e-05 +3 *2787:gpio_defaults[10] *2787:gpio_defaults[11] 0 +4 *2787:gpio_defaults[9] *2787:gpio_defaults[10] 0 +*RES +1 *2753:gpio_defaults[10] *2787:gpio_defaults[10] 2.2961 +*END + +*D_NET *281 3.95199e-05 +*CONN +*I *2787:gpio_defaults[11] I *D gpio_control_block +*I *2753:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[11] 1.97599e-05 +2 *2753:gpio_defaults[11] 1.97599e-05 +3 *2787:gpio_defaults[11] *2787:gpio_defaults[12] 0 +4 *2787:gpio_defaults[10] *2787:gpio_defaults[11] 0 +*RES +1 *2753:gpio_defaults[11] *2787:gpio_defaults[11] 0.561812 +*END + +*D_NET *282 3.95199e-05 +*CONN +*I *2787:gpio_defaults[12] I *D gpio_control_block +*I *2753:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2787:gpio_defaults[12] 1.97599e-05 +2 *2753:gpio_defaults[12] 1.97599e-05 +3 *2787:gpio_defaults[11] *2787:gpio_defaults[12] 0 +*RES +1 *2753:gpio_defaults[12] *2787:gpio_defaults[12] 0.561812 +*END + +*D_NET *283 3.95199e-05 +*CONN +*I *2803:gpio_defaults[0] I *D gpio_control_block +*I *2754:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[0] 1.97599e-05 +2 *2754:gpio_defaults[0] 1.97599e-05 +3 *2803:gpio_defaults[0] *2803:gpio_defaults[1] 0 +*RES +1 *2754:gpio_defaults[0] *2803:gpio_defaults[0] 0.561812 +*END + +*D_NET *284 3.95199e-05 +*CONN +*I *2803:gpio_defaults[1] I *D gpio_control_block +*I *2754:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[1] 1.97599e-05 +2 *2754:gpio_defaults[1] 1.97599e-05 +3 *2803:gpio_defaults[1] *2803:gpio_defaults[2] 0 +4 *2803:gpio_defaults[0] *2803:gpio_defaults[1] 0 +*RES +1 *2754:gpio_defaults[1] *2803:gpio_defaults[1] 0.561812 +*END + +*D_NET *285 3.95199e-05 +*CONN +*I *2803:gpio_defaults[2] I *D gpio_control_block +*I *2754:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[2] 1.97599e-05 +2 *2754:gpio_defaults[2] 1.97599e-05 +3 *2803:gpio_defaults[2] *2803:gpio_defaults[3] 0 +4 *2803:gpio_defaults[1] *2803:gpio_defaults[2] 0 +*RES +1 *2754:gpio_defaults[2] *2803:gpio_defaults[2] 0.561812 +*END + +*D_NET *286 0.00410254 +*CONN +*I *2782:gpio_defaults[11] I *D gpio_control_block +*I *2744:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[11] 0.0018067 +2 *2744:gpio_defaults[11] 0.0018067 +3 *2782:gpio_defaults[10] *2782:gpio_defaults[11] 0.00021471 +4 *2782:gpio_defaults[1] *2782:gpio_defaults[11] 0.000274437 +*RES +1 *2744:gpio_defaults[11] *2782:gpio_defaults[11] 14.4239 +*END + +*D_NET *287 3.95199e-05 +*CONN +*I *2803:gpio_defaults[3] I *D gpio_control_block +*I *2754:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[3] 1.97599e-05 +2 *2754:gpio_defaults[3] 1.97599e-05 +3 *2803:gpio_defaults[3] *2803:gpio_defaults[4] 0 +4 *2803:gpio_defaults[2] *2803:gpio_defaults[3] 0 +*RES +1 *2754:gpio_defaults[3] *2803:gpio_defaults[3] 0.561812 +*END + +*D_NET *288 3.95199e-05 +*CONN +*I *2803:gpio_defaults[4] I *D gpio_control_block +*I *2754:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[4] 1.97599e-05 +2 *2754:gpio_defaults[4] 1.97599e-05 +3 *2803:gpio_defaults[4] *2803:gpio_defaults[5] 0 +4 *2803:gpio_defaults[3] *2803:gpio_defaults[4] 0 +*RES +1 *2754:gpio_defaults[4] *2803:gpio_defaults[4] 0.561812 +*END + +*D_NET *289 3.95199e-05 +*CONN +*I *2803:gpio_defaults[5] I *D gpio_control_block +*I *2754:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[5] 1.97599e-05 +2 *2754:gpio_defaults[5] 1.97599e-05 +3 *2803:gpio_defaults[5] *2803:gpio_defaults[6] 0 +4 *2803:gpio_defaults[4] *2803:gpio_defaults[5] 0 +*RES +1 *2754:gpio_defaults[5] *2803:gpio_defaults[5] 0.561812 +*END + +*D_NET *290 3.95199e-05 +*CONN +*I *2803:gpio_defaults[6] I *D gpio_control_block +*I *2754:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[6] 1.97599e-05 +2 *2754:gpio_defaults[6] 1.97599e-05 +3 *2803:gpio_defaults[6] *2803:gpio_defaults[7] 0 +4 *2803:gpio_defaults[5] *2803:gpio_defaults[6] 0 +*RES +1 *2754:gpio_defaults[6] *2803:gpio_defaults[6] 0.561812 +*END + +*D_NET *291 3.95199e-05 +*CONN +*I *2803:gpio_defaults[7] I *D gpio_control_block +*I *2754:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[7] 1.97599e-05 +2 *2754:gpio_defaults[7] 1.97599e-05 +3 *2803:gpio_defaults[7] *2803:gpio_defaults[8] 0 +4 *2803:gpio_defaults[6] *2803:gpio_defaults[7] 0 +*RES +1 *2754:gpio_defaults[7] *2803:gpio_defaults[7] 0.561812 +*END + +*D_NET *292 3.95199e-05 +*CONN +*I *2803:gpio_defaults[8] I *D gpio_control_block +*I *2754:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[8] 1.97599e-05 +2 *2754:gpio_defaults[8] 1.97599e-05 +3 *2803:gpio_defaults[8] *2803:gpio_defaults[9] 0 +4 *2803:gpio_defaults[7] *2803:gpio_defaults[8] 0 +*RES +1 *2754:gpio_defaults[8] *2803:gpio_defaults[8] 0.561812 +*END + +*D_NET *293 3.95199e-05 +*CONN +*I *2803:gpio_defaults[9] I *D gpio_control_block +*I *2754:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[9] 1.97599e-05 +2 *2754:gpio_defaults[9] 1.97599e-05 +3 *2803:gpio_defaults[9] *2803:gpio_defaults[10] 0 +4 *2803:gpio_defaults[8] *2803:gpio_defaults[9] 0 +*RES +1 *2754:gpio_defaults[9] *2803:gpio_defaults[9] 0.561812 +*END + +*D_NET *294 3.95199e-05 +*CONN +*I *2803:gpio_defaults[10] I *D gpio_control_block +*I *2754:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[10] 1.97599e-05 +2 *2754:gpio_defaults[10] 1.97599e-05 +3 *2803:gpio_defaults[10] *2803:gpio_defaults[11] 0 +4 *2803:gpio_defaults[9] *2803:gpio_defaults[10] 0 +*RES +1 *2754:gpio_defaults[10] *2803:gpio_defaults[10] 0.561812 +*END + +*D_NET *295 3.95199e-05 +*CONN +*I *2803:gpio_defaults[11] I *D gpio_control_block +*I *2754:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[11] 1.97599e-05 +2 *2754:gpio_defaults[11] 1.97599e-05 +3 *2803:gpio_defaults[11] *2803:gpio_defaults[12] 0 +4 *2803:gpio_defaults[10] *2803:gpio_defaults[11] 0 +*RES +1 *2754:gpio_defaults[11] *2803:gpio_defaults[11] 0.561812 +*END + +*D_NET *296 3.95199e-05 +*CONN +*I *2803:gpio_defaults[12] I *D gpio_control_block +*I *2754:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2803:gpio_defaults[12] 1.97599e-05 +2 *2754:gpio_defaults[12] 1.97599e-05 +3 *2803:gpio_defaults[11] *2803:gpio_defaults[12] 0 +*RES +1 *2754:gpio_defaults[12] *2803:gpio_defaults[12] 0.561812 +*END + +*D_NET *297 0.00393992 +*CONN +*I *2782:gpio_defaults[12] I *D gpio_control_block +*I *2744:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2782:gpio_defaults[12] 0.00108379 +2 *2744:gpio_defaults[12] 0.00108379 +3 *2782:gpio_defaults[0] *2782:gpio_defaults[12] 0 +4 *2782:gpio_defaults[10] *2782:gpio_defaults[12] 3.62662e-06 +5 *2782:gpio_defaults[1] *2782:gpio_defaults[12] 0 +6 *2782:gpio_defaults[2] *2782:gpio_defaults[12] 0.00176871 +*RES +1 *2744:gpio_defaults[12] *2782:gpio_defaults[12] 46.6574 +*END + +*D_NET *298 3.95199e-05 +*CONN +*I *2810:gpio_defaults[0] I *D gpio_control_block +*I *2755:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[0] 1.97599e-05 +2 *2755:gpio_defaults[0] 1.97599e-05 +3 *2810:gpio_defaults[0] *2810:gpio_defaults[1] 0 +*RES +1 *2755:gpio_defaults[0] *2810:gpio_defaults[0] 0.561812 +*END + +*D_NET *299 3.95199e-05 +*CONN +*I *2810:gpio_defaults[1] I *D gpio_control_block +*I *2755:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[1] 1.97599e-05 +2 *2755:gpio_defaults[1] 1.97599e-05 +3 *2810:gpio_defaults[1] *2810:gpio_defaults[2] 0 +4 *2810:gpio_defaults[0] *2810:gpio_defaults[1] 0 +*RES +1 *2755:gpio_defaults[1] *2810:gpio_defaults[1] 0.561812 +*END + +*D_NET *300 3.95199e-05 +*CONN +*I *2810:gpio_defaults[2] I *D gpio_control_block +*I *2755:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[2] 1.97599e-05 +2 *2755:gpio_defaults[2] 1.97599e-05 +3 *2810:gpio_defaults[2] *2810:gpio_defaults[3] 0 +4 *2810:gpio_defaults[1] *2810:gpio_defaults[2] 0 +*RES +1 *2755:gpio_defaults[2] *2810:gpio_defaults[2] 0.561812 +*END + +*D_NET *301 3.95199e-05 +*CONN +*I *2810:gpio_defaults[3] I *D gpio_control_block +*I *2755:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[3] 1.97599e-05 +2 *2755:gpio_defaults[3] 1.97599e-05 +3 *2810:gpio_defaults[3] *2810:gpio_defaults[4] 0 +4 *2810:gpio_defaults[2] *2810:gpio_defaults[3] 0 +*RES +1 *2755:gpio_defaults[3] *2810:gpio_defaults[3] 0.561812 +*END + +*D_NET *302 3.95199e-05 +*CONN +*I *2810:gpio_defaults[4] I *D gpio_control_block +*I *2755:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[4] 1.97599e-05 +2 *2755:gpio_defaults[4] 1.97599e-05 +3 *2810:gpio_defaults[4] *2810:gpio_defaults[5] 0 +4 *2810:gpio_defaults[3] *2810:gpio_defaults[4] 0 +*RES +1 *2755:gpio_defaults[4] *2810:gpio_defaults[4] 0.561812 +*END + +*D_NET *303 3.95199e-05 +*CONN +*I *2810:gpio_defaults[5] I *D gpio_control_block +*I *2755:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[5] 1.97599e-05 +2 *2755:gpio_defaults[5] 1.97599e-05 +3 *2810:gpio_defaults[5] *2810:gpio_defaults[6] 0 +4 *2810:gpio_defaults[4] *2810:gpio_defaults[5] 0 +*RES +1 *2755:gpio_defaults[5] *2810:gpio_defaults[5] 0.561812 +*END + +*D_NET *304 3.95199e-05 +*CONN +*I *2810:gpio_defaults[6] I *D gpio_control_block +*I *2755:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[6] 1.97599e-05 +2 *2755:gpio_defaults[6] 1.97599e-05 +3 *2810:gpio_defaults[6] *2810:gpio_defaults[7] 0 +4 *2810:gpio_defaults[5] *2810:gpio_defaults[6] 0 +*RES +1 *2755:gpio_defaults[6] *2810:gpio_defaults[6] 0.561812 +*END + +*D_NET *305 3.95199e-05 +*CONN +*I *2810:gpio_defaults[7] I *D gpio_control_block +*I *2755:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[7] 1.97599e-05 +2 *2755:gpio_defaults[7] 1.97599e-05 +3 *2810:gpio_defaults[7] *2810:gpio_defaults[8] 0 +4 *2810:gpio_defaults[6] *2810:gpio_defaults[7] 0 +*RES +1 *2755:gpio_defaults[7] *2810:gpio_defaults[7] 0.561812 +*END + +*D_NET *306 3.95199e-05 +*CONN +*I *2810:gpio_defaults[8] I *D gpio_control_block +*I *2755:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[8] 1.97599e-05 +2 *2755:gpio_defaults[8] 1.97599e-05 +3 *2810:gpio_defaults[8] *2810:gpio_defaults[9] 0 +4 *2810:gpio_defaults[7] *2810:gpio_defaults[8] 0 +*RES +1 *2755:gpio_defaults[8] *2810:gpio_defaults[8] 0.561812 +*END + +*D_NET *307 3.95199e-05 +*CONN +*I *2810:gpio_defaults[9] I *D gpio_control_block +*I *2755:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[9] 1.97599e-05 +2 *2755:gpio_defaults[9] 1.97599e-05 +3 *2810:gpio_defaults[9] *2810:gpio_defaults[10] 0 +4 *2810:gpio_defaults[8] *2810:gpio_defaults[9] 0 +*RES +1 *2755:gpio_defaults[9] *2810:gpio_defaults[9] 0.561812 +*END + +*D_NET *308 0.00628423 +*CONN +*I *2797:gpio_defaults[0] I *D gpio_control_block +*I *2758:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[0] 0.000551801 +2 *2758:gpio_defaults[0] 0.000551801 +3 *2797:gpio_defaults[0] *2797:gpio_defaults[11] 0 +4 *2797:gpio_defaults[0] *2797:gpio_defaults[12] 0.00288926 +5 *2797:gpio_defaults[0] *2797:gpio_defaults[1] 0.00229137 +*RES +1 *2758:gpio_defaults[0] *2797:gpio_defaults[0] 45.853 +*END + +*D_NET *309 3.95199e-05 +*CONN +*I *2810:gpio_defaults[10] I *D gpio_control_block +*I *2755:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[10] 1.97599e-05 +2 *2755:gpio_defaults[10] 1.97599e-05 +3 *2810:gpio_defaults[10] *2810:gpio_defaults[11] 0 +4 *2810:gpio_defaults[9] *2810:gpio_defaults[10] 0 +*RES +1 *2755:gpio_defaults[10] *2810:gpio_defaults[10] 0.561812 +*END + +*D_NET *310 3.95199e-05 +*CONN +*I *2810:gpio_defaults[11] I *D gpio_control_block +*I *2755:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[11] 1.97599e-05 +2 *2755:gpio_defaults[11] 1.97599e-05 +3 *2810:gpio_defaults[11] *2810:gpio_defaults[12] 0 +4 *2810:gpio_defaults[10] *2810:gpio_defaults[11] 0 +*RES +1 *2755:gpio_defaults[11] *2810:gpio_defaults[11] 0.561812 +*END + +*D_NET *311 3.95199e-05 +*CONN +*I *2810:gpio_defaults[12] I *D gpio_control_block +*I *2755:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2810:gpio_defaults[12] 1.97599e-05 +2 *2755:gpio_defaults[12] 1.97599e-05 +3 *2810:gpio_defaults[11] *2810:gpio_defaults[12] 0 +*RES +1 *2755:gpio_defaults[12] *2810:gpio_defaults[12] 0.561812 +*END + +*D_NET *312 3.95199e-05 +*CONN +*I *2811:gpio_defaults[0] I *D gpio_control_block +*I *2756:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[0] 1.97599e-05 +2 *2756:gpio_defaults[0] 1.97599e-05 +3 *2811:gpio_defaults[0] *2811:gpio_defaults[1] 0 +*RES +1 *2756:gpio_defaults[0] *2811:gpio_defaults[0] 0.561812 +*END + +*D_NET *313 3.95199e-05 +*CONN +*I *2811:gpio_defaults[1] I *D gpio_control_block +*I *2756:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[1] 1.97599e-05 +2 *2756:gpio_defaults[1] 1.97599e-05 +3 *2811:gpio_defaults[1] *2811:gpio_defaults[2] 0 +4 *2811:gpio_defaults[0] *2811:gpio_defaults[1] 0 +*RES +1 *2756:gpio_defaults[1] *2811:gpio_defaults[1] 0.561812 +*END + +*D_NET *314 3.95199e-05 +*CONN +*I *2811:gpio_defaults[2] I *D gpio_control_block +*I *2756:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[2] 1.97599e-05 +2 *2756:gpio_defaults[2] 1.97599e-05 +3 *2811:gpio_defaults[2] *2811:gpio_defaults[3] 0 +4 *2811:gpio_defaults[1] *2811:gpio_defaults[2] 0 +*RES +1 *2756:gpio_defaults[2] *2811:gpio_defaults[2] 0.561812 +*END + +*D_NET *315 3.95199e-05 +*CONN +*I *2811:gpio_defaults[3] I *D gpio_control_block +*I *2756:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[3] 1.97599e-05 +2 *2756:gpio_defaults[3] 1.97599e-05 +3 *2811:gpio_defaults[3] *2811:gpio_defaults[4] 0 +4 *2811:gpio_defaults[2] *2811:gpio_defaults[3] 0 +*RES +1 *2756:gpio_defaults[3] *2811:gpio_defaults[3] 0.561812 +*END + +*D_NET *316 3.95199e-05 +*CONN +*I *2811:gpio_defaults[4] I *D gpio_control_block +*I *2756:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[4] 1.97599e-05 +2 *2756:gpio_defaults[4] 1.97599e-05 +3 *2811:gpio_defaults[4] *2811:gpio_defaults[5] 0 +4 *2811:gpio_defaults[3] *2811:gpio_defaults[4] 0 +*RES +1 *2756:gpio_defaults[4] *2811:gpio_defaults[4] 0.561812 +*END + +*D_NET *317 3.95199e-05 +*CONN +*I *2811:gpio_defaults[5] I *D gpio_control_block +*I *2756:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[5] 1.97599e-05 +2 *2756:gpio_defaults[5] 1.97599e-05 +3 *2811:gpio_defaults[5] *2811:gpio_defaults[6] 0 +4 *2811:gpio_defaults[4] *2811:gpio_defaults[5] 0 +*RES +1 *2756:gpio_defaults[5] *2811:gpio_defaults[5] 0.561812 +*END + +*D_NET *318 3.95199e-05 +*CONN +*I *2811:gpio_defaults[6] I *D gpio_control_block +*I *2756:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[6] 1.97599e-05 +2 *2756:gpio_defaults[6] 1.97599e-05 +3 *2811:gpio_defaults[6] *2811:gpio_defaults[7] 0 +4 *2811:gpio_defaults[5] *2811:gpio_defaults[6] 0 +*RES +1 *2756:gpio_defaults[6] *2811:gpio_defaults[6] 0.561812 +*END + +*D_NET *319 0.00487735 +*CONN +*I *2797:gpio_defaults[1] I *D gpio_control_block +*I *2758:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[1] 0.000642012 +2 *2758:gpio_defaults[1] 0.000642012 +3 *2797:gpio_defaults[1] *2797:gpio_defaults[10] 0 +4 *2797:gpio_defaults[1] *2797:gpio_defaults[11] 9.05844e-05 +5 *2797:gpio_defaults[1] *2797:gpio_defaults[12] 0 +6 *2797:gpio_defaults[1] *2797:gpio_defaults[2] 0 +7 *2797:gpio_defaults[1] *2797:gpio_defaults[9] 0.00121137 +8 *2797:gpio_defaults[0] *2797:gpio_defaults[1] 0.00229137 +*RES +1 *2758:gpio_defaults[1] *2797:gpio_defaults[1] 39.8989 +*END + +*D_NET *320 3.95199e-05 +*CONN +*I *2811:gpio_defaults[7] I *D gpio_control_block +*I *2756:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[7] 1.97599e-05 +2 *2756:gpio_defaults[7] 1.97599e-05 +3 *2811:gpio_defaults[7] *2811:gpio_defaults[8] 0 +4 *2811:gpio_defaults[6] *2811:gpio_defaults[7] 0 +*RES +1 *2756:gpio_defaults[7] *2811:gpio_defaults[7] 0.561812 +*END + +*D_NET *321 3.95199e-05 +*CONN +*I *2811:gpio_defaults[8] I *D gpio_control_block +*I *2756:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[8] 1.97599e-05 +2 *2756:gpio_defaults[8] 1.97599e-05 +3 *2811:gpio_defaults[8] *2811:gpio_defaults[9] 0 +4 *2811:gpio_defaults[7] *2811:gpio_defaults[8] 0 +*RES +1 *2756:gpio_defaults[8] *2811:gpio_defaults[8] 0.561812 +*END + +*D_NET *322 3.95199e-05 +*CONN +*I *2811:gpio_defaults[9] I *D gpio_control_block +*I *2756:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[9] 1.97599e-05 +2 *2756:gpio_defaults[9] 1.97599e-05 +3 *2811:gpio_defaults[9] *2811:gpio_defaults[10] 0 +4 *2811:gpio_defaults[8] *2811:gpio_defaults[9] 0 +*RES +1 *2756:gpio_defaults[9] *2811:gpio_defaults[9] 0.561812 +*END + +*D_NET *323 3.95199e-05 +*CONN +*I *2811:gpio_defaults[10] I *D gpio_control_block +*I *2756:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[10] 1.97599e-05 +2 *2756:gpio_defaults[10] 1.97599e-05 +3 *2811:gpio_defaults[10] *2811:gpio_defaults[11] 0 +4 *2811:gpio_defaults[9] *2811:gpio_defaults[10] 0 +*RES +1 *2756:gpio_defaults[10] *2811:gpio_defaults[10] 0.561812 +*END + +*D_NET *324 3.95199e-05 +*CONN +*I *2811:gpio_defaults[11] I *D gpio_control_block +*I *2756:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[11] 1.97599e-05 +2 *2756:gpio_defaults[11] 1.97599e-05 +3 *2811:gpio_defaults[11] *2811:gpio_defaults[12] 0 +4 *2811:gpio_defaults[10] *2811:gpio_defaults[11] 0 +*RES +1 *2756:gpio_defaults[11] *2811:gpio_defaults[11] 0.561812 +*END + +*D_NET *325 3.95199e-05 +*CONN +*I *2811:gpio_defaults[12] I *D gpio_control_block +*I *2756:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2811:gpio_defaults[12] 1.97599e-05 +2 *2756:gpio_defaults[12] 1.97599e-05 +3 *2811:gpio_defaults[11] *2811:gpio_defaults[12] 0 +*RES +1 *2756:gpio_defaults[12] *2811:gpio_defaults[12] 0.561812 +*END + +*D_NET *330 0.00421962 +*CONN +*I *2797:gpio_defaults[2] I *D gpio_control_block +*I *2758:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[2] 0.000531368 +2 *2758:gpio_defaults[2] 0.000531368 +3 *2797:gpio_defaults[2] *2797:gpio_defaults[10] 0.00176871 +4 *2797:gpio_defaults[2] *2797:gpio_defaults[11] 0.000140444 +5 *2797:gpio_defaults[2] *2797:gpio_defaults[3] 0.00123763 +6 *2797:gpio_defaults[2] *2797:gpio_defaults[9] 1.00937e-05 +7 *2797:gpio_defaults[1] *2797:gpio_defaults[2] 0 +*RES +1 *2758:gpio_defaults[2] *2797:gpio_defaults[2] 34.3529 +*END + +*D_NET *340 3.95199e-05 +*CONN +*I *2813:gpio_defaults[0] I *D gpio_control_block +*I *2761:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[0] 1.97599e-05 +2 *2761:gpio_defaults[0] 1.97599e-05 +3 *2813:gpio_defaults[0] *2813:gpio_defaults[1] 0 +*RES +1 *2761:gpio_defaults[0] *2813:gpio_defaults[0] 0.561812 +*END + +*D_NET *341 0.00276761 +*CONN +*I *2797:gpio_defaults[3] I *D gpio_control_block +*I *2758:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[3] 0.000464795 +2 *2758:gpio_defaults[3] 0.000464795 +3 *2797:gpio_defaults[3] *2797:gpio_defaults[10] 0 +4 *2797:gpio_defaults[3] *2797:gpio_defaults[4] 0.000241483 +5 *2797:gpio_defaults[3] *2797:gpio_defaults[5] 0.000175485 +6 *2797:gpio_defaults[3] *2797:gpio_defaults[8] 1.00937e-05 +7 *2797:gpio_defaults[3] *2797:gpio_defaults[9] 0.000173327 +8 *2797:gpio_defaults[2] *2797:gpio_defaults[3] 0.00123763 +*RES +1 *2758:gpio_defaults[3] *2797:gpio_defaults[3] 28.3916 +*END + +*D_NET *342 0.0044926 +*CONN +*I *2781:gpio_defaults[2] I *D gpio_control_block +*I *2743:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[2] 0.000424487 +2 *2743:gpio_defaults[2] 0.000424487 +3 *2781:gpio_defaults[2] *2781:gpio_defaults[3] 0 +4 *2781:gpio_defaults[2] *2781:gpio_defaults[9] 0 +5 *2781:gpio_defaults[10] *2781:gpio_defaults[2] 0.00176871 +6 *2781:gpio_defaults[11] *2781:gpio_defaults[2] 0 +7 *2781:gpio_defaults[12] *2781:gpio_defaults[2] 0.00187492 +*RES +1 *2743:gpio_defaults[2] *2781:gpio_defaults[2] 34.9668 +*END + +*D_NET *343 3.95199e-05 +*CONN +*I *2813:gpio_defaults[1] I *D gpio_control_block +*I *2761:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[1] 1.97599e-05 +2 *2761:gpio_defaults[1] 1.97599e-05 +3 *2813:gpio_defaults[1] *2813:gpio_defaults[2] 0 +4 *2813:gpio_defaults[0] *2813:gpio_defaults[1] 0 +*RES +1 *2761:gpio_defaults[1] *2813:gpio_defaults[1] 0.561812 +*END + +*D_NET *344 3.95199e-05 +*CONN +*I *2813:gpio_defaults[2] I *D gpio_control_block +*I *2761:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[2] 1.97599e-05 +2 *2761:gpio_defaults[2] 1.97599e-05 +3 *2813:gpio_defaults[2] *2813:gpio_defaults[3] 0 +4 *2813:gpio_defaults[1] *2813:gpio_defaults[2] 0 +*RES +1 *2761:gpio_defaults[2] *2813:gpio_defaults[2] 0.561812 +*END + +*D_NET *345 3.95199e-05 +*CONN +*I *2813:gpio_defaults[3] I *D gpio_control_block +*I *2761:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[3] 1.97599e-05 +2 *2761:gpio_defaults[3] 1.97599e-05 +3 *2813:gpio_defaults[3] *2813:gpio_defaults[4] 0 +4 *2813:gpio_defaults[2] *2813:gpio_defaults[3] 0 +*RES +1 *2761:gpio_defaults[3] *2813:gpio_defaults[3] 0.561812 +*END + +*D_NET *346 3.95199e-05 +*CONN +*I *2813:gpio_defaults[4] I *D gpio_control_block +*I *2761:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[4] 1.97599e-05 +2 *2761:gpio_defaults[4] 1.97599e-05 +3 *2813:gpio_defaults[4] *2813:gpio_defaults[5] 0 +4 *2813:gpio_defaults[3] *2813:gpio_defaults[4] 0 +*RES +1 *2761:gpio_defaults[4] *2813:gpio_defaults[4] 0.561812 +*END + +*D_NET *347 3.95199e-05 +*CONN +*I *2813:gpio_defaults[5] I *D gpio_control_block +*I *2761:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[5] 1.97599e-05 +2 *2761:gpio_defaults[5] 1.97599e-05 +3 *2813:gpio_defaults[5] *2813:gpio_defaults[6] 0 +4 *2813:gpio_defaults[4] *2813:gpio_defaults[5] 0 +*RES +1 *2761:gpio_defaults[5] *2813:gpio_defaults[5] 0.561812 +*END + +*D_NET *348 3.95199e-05 +*CONN +*I *2813:gpio_defaults[6] I *D gpio_control_block +*I *2761:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[6] 1.97599e-05 +2 *2761:gpio_defaults[6] 1.97599e-05 +3 *2813:gpio_defaults[6] *2813:gpio_defaults[7] 0 +4 *2813:gpio_defaults[5] *2813:gpio_defaults[6] 0 +*RES +1 *2761:gpio_defaults[6] *2813:gpio_defaults[6] 0.561812 +*END + +*D_NET *349 3.95199e-05 +*CONN +*I *2813:gpio_defaults[7] I *D gpio_control_block +*I *2761:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[7] 1.97599e-05 +2 *2761:gpio_defaults[7] 1.97599e-05 +3 *2813:gpio_defaults[7] *2813:gpio_defaults[8] 0 +4 *2813:gpio_defaults[6] *2813:gpio_defaults[7] 0 +*RES +1 *2761:gpio_defaults[7] *2813:gpio_defaults[7] 0.561812 +*END + +*D_NET *350 3.95199e-05 +*CONN +*I *2813:gpio_defaults[8] I *D gpio_control_block +*I *2761:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[8] 1.97599e-05 +2 *2761:gpio_defaults[8] 1.97599e-05 +3 *2813:gpio_defaults[8] *2813:gpio_defaults[9] 0 +4 *2813:gpio_defaults[7] *2813:gpio_defaults[8] 0 +*RES +1 *2761:gpio_defaults[8] *2813:gpio_defaults[8] 0.561812 +*END + +*D_NET *351 3.95199e-05 +*CONN +*I *2813:gpio_defaults[9] I *D gpio_control_block +*I *2761:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[9] 1.97599e-05 +2 *2761:gpio_defaults[9] 1.97599e-05 +3 *2813:gpio_defaults[9] *2813:gpio_defaults[10] 0 +4 *2813:gpio_defaults[8] *2813:gpio_defaults[9] 0 +*RES +1 *2761:gpio_defaults[9] *2813:gpio_defaults[9] 0.561812 +*END + +*D_NET *352 3.95199e-05 +*CONN +*I *2813:gpio_defaults[10] I *D gpio_control_block +*I *2761:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[10] 1.97599e-05 +2 *2761:gpio_defaults[10] 1.97599e-05 +3 *2813:gpio_defaults[10] *2813:gpio_defaults[11] 0 +4 *2813:gpio_defaults[9] *2813:gpio_defaults[10] 0 +*RES +1 *2761:gpio_defaults[10] *2813:gpio_defaults[10] 0.561812 +*END + +*D_NET *353 0.00180821 +*CONN +*I *2797:gpio_defaults[4] I *D gpio_control_block +*I *2758:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[4] 0.000434822 +2 *2758:gpio_defaults[4] 0.000434822 +3 *2797:gpio_defaults[4] *2797:gpio_defaults[5] 0.000167076 +4 *2797:gpio_defaults[4] *2797:gpio_defaults[7] 0.000217937 +5 *2797:gpio_defaults[4] *2797:gpio_defaults[8] 0.000312066 +6 *2797:gpio_defaults[3] *2797:gpio_defaults[4] 0.000241483 +*RES +1 *2758:gpio_defaults[4] *2797:gpio_defaults[4] 22.4303 +*END + +*D_NET *354 3.95199e-05 +*CONN +*I *2813:gpio_defaults[11] I *D gpio_control_block +*I *2761:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[11] 1.97599e-05 +2 *2761:gpio_defaults[11] 1.97599e-05 +3 *2813:gpio_defaults[11] *2813:gpio_defaults[12] 0 +4 *2813:gpio_defaults[10] *2813:gpio_defaults[11] 0 +*RES +1 *2761:gpio_defaults[11] *2813:gpio_defaults[11] 0.561812 +*END + +*D_NET *355 3.95199e-05 +*CONN +*I *2813:gpio_defaults[12] I *D gpio_control_block +*I *2761:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2813:gpio_defaults[12] 1.97599e-05 +2 *2761:gpio_defaults[12] 1.97599e-05 +3 *2813:gpio_defaults[11] *2813:gpio_defaults[12] 0 +*RES +1 *2761:gpio_defaults[12] *2813:gpio_defaults[12] 0.561812 +*END + +*D_NET *356 5.84207e-05 +*CONN +*I *2814:gpio_defaults[0] I *D gpio_control_block +*I *2762:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[0] 2.92104e-05 +2 *2762:gpio_defaults[0] 2.92104e-05 +3 *2814:gpio_defaults[0] *2814:gpio_defaults[1] 0 +*RES +1 *2762:gpio_defaults[0] *2814:gpio_defaults[0] 0.830504 +*END + +*D_NET *357 5.84207e-05 +*CONN +*I *2814:gpio_defaults[1] I *D gpio_control_block +*I *2762:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[1] 2.92104e-05 +2 *2762:gpio_defaults[1] 2.92104e-05 +3 *2814:gpio_defaults[1] *2814:gpio_defaults[2] 0 +4 *2814:gpio_defaults[0] *2814:gpio_defaults[1] 0 +*RES +1 *2762:gpio_defaults[1] *2814:gpio_defaults[1] 0.830504 +*END + +*D_NET *358 5.84207e-05 +*CONN +*I *2814:gpio_defaults[2] I *D gpio_control_block +*I *2762:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[2] 2.92104e-05 +2 *2762:gpio_defaults[2] 2.92104e-05 +3 *2814:gpio_defaults[2] *2814:gpio_defaults[3] 0 +4 *2814:gpio_defaults[1] *2814:gpio_defaults[2] 0 +*RES +1 *2762:gpio_defaults[2] *2814:gpio_defaults[2] 0.830504 +*END + +*D_NET *359 5.84207e-05 +*CONN +*I *2814:gpio_defaults[3] I *D gpio_control_block +*I *2762:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[3] 2.92104e-05 +2 *2762:gpio_defaults[3] 2.92104e-05 +3 *2814:gpio_defaults[3] *2814:gpio_defaults[4] 0 +4 *2814:gpio_defaults[2] *2814:gpio_defaults[3] 0 +*RES +1 *2762:gpio_defaults[3] *2814:gpio_defaults[3] 0.830504 +*END + +*D_NET *360 5.84207e-05 +*CONN +*I *2814:gpio_defaults[4] I *D gpio_control_block +*I *2762:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[4] 2.92104e-05 +2 *2762:gpio_defaults[4] 2.92104e-05 +3 *2814:gpio_defaults[4] *2814:gpio_defaults[5] 0 +4 *2814:gpio_defaults[3] *2814:gpio_defaults[4] 0 +*RES +1 *2762:gpio_defaults[4] *2814:gpio_defaults[4] 0.830504 +*END + +*D_NET *361 5.84207e-05 +*CONN +*I *2814:gpio_defaults[5] I *D gpio_control_block +*I *2762:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[5] 2.92104e-05 +2 *2762:gpio_defaults[5] 2.92104e-05 +3 *2814:gpio_defaults[5] *2814:gpio_defaults[6] 0 +4 *2814:gpio_defaults[4] *2814:gpio_defaults[5] 0 +*RES +1 *2762:gpio_defaults[5] *2814:gpio_defaults[5] 0.830504 +*END + +*D_NET *362 5.84207e-05 +*CONN +*I *2814:gpio_defaults[6] I *D gpio_control_block +*I *2762:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[6] 2.92104e-05 +2 *2762:gpio_defaults[6] 2.92104e-05 +3 *2814:gpio_defaults[6] *2814:gpio_defaults[7] 0 +4 *2814:gpio_defaults[5] *2814:gpio_defaults[6] 0 +*RES +1 *2762:gpio_defaults[6] *2814:gpio_defaults[6] 0.830504 +*END + +*D_NET *363 5.84207e-05 +*CONN +*I *2814:gpio_defaults[7] I *D gpio_control_block +*I *2762:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[7] 2.92104e-05 +2 *2762:gpio_defaults[7] 2.92104e-05 +3 *2814:gpio_defaults[7] *2814:gpio_defaults[8] 0 +4 *2814:gpio_defaults[6] *2814:gpio_defaults[7] 0 +*RES +1 *2762:gpio_defaults[7] *2814:gpio_defaults[7] 0.830504 +*END + +*D_NET *364 0.00122346 +*CONN +*I *2797:gpio_defaults[5] I *D gpio_control_block +*I *2758:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[5] 0.000367746 +2 *2758:gpio_defaults[5] 0.000367746 +3 *2797:gpio_defaults[5] *2797:gpio_defaults[6] 2.08075e-05 +4 *2797:gpio_defaults[5] *2797:gpio_defaults[7] 0.000124597 +5 *2797:gpio_defaults[3] *2797:gpio_defaults[5] 0.000175485 +6 *2797:gpio_defaults[4] *2797:gpio_defaults[5] 0.000167076 +*RES +1 *2758:gpio_defaults[5] *2797:gpio_defaults[5] 16.8843 +*END + +*D_NET *365 5.84207e-05 +*CONN +*I *2814:gpio_defaults[8] I *D gpio_control_block +*I *2762:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[8] 2.92104e-05 +2 *2762:gpio_defaults[8] 2.92104e-05 +3 *2814:gpio_defaults[8] *2814:gpio_defaults[9] 0 +4 *2814:gpio_defaults[7] *2814:gpio_defaults[8] 0 +*RES +1 *2762:gpio_defaults[8] *2814:gpio_defaults[8] 0.830504 +*END + +*D_NET *366 5.84207e-05 +*CONN +*I *2814:gpio_defaults[9] I *D gpio_control_block +*I *2762:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[9] 2.92104e-05 +2 *2762:gpio_defaults[9] 2.92104e-05 +3 *2814:gpio_defaults[9] *2814:gpio_defaults[10] 0 +4 *2814:gpio_defaults[8] *2814:gpio_defaults[9] 0 +*RES +1 *2762:gpio_defaults[9] *2814:gpio_defaults[9] 0.830504 +*END + +*D_NET *367 5.84207e-05 +*CONN +*I *2814:gpio_defaults[10] I *D gpio_control_block +*I *2762:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[10] 2.92104e-05 +2 *2762:gpio_defaults[10] 2.92104e-05 +3 *2814:gpio_defaults[10] *2814:gpio_defaults[11] 0 +4 *2814:gpio_defaults[9] *2814:gpio_defaults[10] 0 +*RES +1 *2762:gpio_defaults[10] *2814:gpio_defaults[10] 0.830504 +*END + +*D_NET *368 5.84207e-05 +*CONN +*I *2814:gpio_defaults[11] I *D gpio_control_block +*I *2762:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[11] 2.92104e-05 +2 *2762:gpio_defaults[11] 2.92104e-05 +3 *2814:gpio_defaults[11] *2814:gpio_defaults[12] 0 +4 *2814:gpio_defaults[10] *2814:gpio_defaults[11] 0 +*RES +1 *2762:gpio_defaults[11] *2814:gpio_defaults[11] 0.830504 +*END + +*D_NET *369 5.84207e-05 +*CONN +*I *2814:gpio_defaults[12] I *D gpio_control_block +*I *2762:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2814:gpio_defaults[12] 2.92104e-05 +2 *2762:gpio_defaults[12] 2.92104e-05 +3 *2814:gpio_defaults[11] *2814:gpio_defaults[12] 0 +*RES +1 *2762:gpio_defaults[12] *2814:gpio_defaults[12] 0.830504 +*END + +*D_NET *370 6.76037e-05 +*CONN +*I *2815:gpio_defaults[0] I *D gpio_control_block +*I *2763:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[0] 3.32647e-05 +2 *2763:gpio_defaults[0] 3.32647e-05 +3 *2815:gpio_defaults[0] *2815:gpio_defaults[1] 0 +4 *115:20 *2815:gpio_defaults[0] 1.07421e-06 +*RES +1 *2763:gpio_defaults[0] *2815:gpio_defaults[0] 0.830504 +*END + +*D_NET *371 6.74231e-05 +*CONN +*I *2815:gpio_defaults[1] I *D gpio_control_block +*I *2763:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[1] 3.37115e-05 +2 *2763:gpio_defaults[1] 3.37115e-05 +3 *2815:gpio_defaults[1] *2815:gpio_defaults[2] 0 +4 *2815:gpio_defaults[0] *2815:gpio_defaults[1] 0 +*RES +1 *2763:gpio_defaults[1] *2815:gpio_defaults[1] 0.830504 +*END + +*D_NET *372 6.74231e-05 +*CONN +*I *2815:gpio_defaults[2] I *D gpio_control_block +*I *2763:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[2] 3.37115e-05 +2 *2763:gpio_defaults[2] 3.37115e-05 +3 *2815:gpio_defaults[2] *2815:gpio_defaults[3] 0 +4 *2815:gpio_defaults[1] *2815:gpio_defaults[2] 0 +*RES +1 *2763:gpio_defaults[2] *2815:gpio_defaults[2] 0.830504 +*END + +*D_NET *373 6.74231e-05 +*CONN +*I *2815:gpio_defaults[3] I *D gpio_control_block +*I *2763:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[3] 3.37115e-05 +2 *2763:gpio_defaults[3] 3.37115e-05 +3 *2815:gpio_defaults[3] *2815:gpio_defaults[4] 0 +4 *2815:gpio_defaults[2] *2815:gpio_defaults[3] 0 +*RES +1 *2763:gpio_defaults[3] *2815:gpio_defaults[3] 0.830504 +*END + +*D_NET *374 6.74231e-05 +*CONN +*I *2815:gpio_defaults[4] I *D gpio_control_block +*I *2763:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[4] 3.37115e-05 +2 *2763:gpio_defaults[4] 3.37115e-05 +3 *2815:gpio_defaults[4] *2815:gpio_defaults[5] 0 +4 *2815:gpio_defaults[3] *2815:gpio_defaults[4] 0 +*RES +1 *2763:gpio_defaults[4] *2815:gpio_defaults[4] 0.830504 +*END + +*D_NET *375 0.000110382 +*CONN +*I *2797:gpio_defaults[6] I *D gpio_control_block +*I *2758:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[6] 3.56757e-05 +2 *2758:gpio_defaults[6] 3.56757e-05 +3 *2797:gpio_defaults[6] *2797:gpio_defaults[7] 1.82233e-05 +4 *2797:gpio_defaults[5] *2797:gpio_defaults[6] 2.08075e-05 +*RES +1 *2758:gpio_defaults[6] *2797:gpio_defaults[6] 0.830504 +*END + +*D_NET *376 6.74231e-05 +*CONN +*I *2815:gpio_defaults[5] I *D gpio_control_block +*I *2763:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[5] 3.37115e-05 +2 *2763:gpio_defaults[5] 3.37115e-05 +3 *2815:gpio_defaults[5] *2815:gpio_defaults[6] 0 +4 *2815:gpio_defaults[4] *2815:gpio_defaults[5] 0 +*RES +1 *2763:gpio_defaults[5] *2815:gpio_defaults[5] 0.830504 +*END + +*D_NET *377 6.74231e-05 +*CONN +*I *2815:gpio_defaults[6] I *D gpio_control_block +*I *2763:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[6] 3.37115e-05 +2 *2763:gpio_defaults[6] 3.37115e-05 +3 *2815:gpio_defaults[6] *2815:gpio_defaults[7] 0 +4 *2815:gpio_defaults[5] *2815:gpio_defaults[6] 0 +*RES +1 *2763:gpio_defaults[6] *2815:gpio_defaults[6] 0.830504 +*END + +*D_NET *378 6.74231e-05 +*CONN +*I *2815:gpio_defaults[7] I *D gpio_control_block +*I *2763:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[7] 3.37115e-05 +2 *2763:gpio_defaults[7] 3.37115e-05 +3 *2815:gpio_defaults[7] *2815:gpio_defaults[8] 0 +4 *2815:gpio_defaults[6] *2815:gpio_defaults[7] 0 +*RES +1 *2763:gpio_defaults[7] *2815:gpio_defaults[7] 0.830504 +*END + +*D_NET *379 6.74231e-05 +*CONN +*I *2815:gpio_defaults[8] I *D gpio_control_block +*I *2763:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[8] 3.37115e-05 +2 *2763:gpio_defaults[8] 3.37115e-05 +3 *2815:gpio_defaults[8] *2815:gpio_defaults[9] 0 +4 *2815:gpio_defaults[7] *2815:gpio_defaults[8] 0 +*RES +1 *2763:gpio_defaults[8] *2815:gpio_defaults[8] 0.830504 +*END + +*D_NET *380 6.74231e-05 +*CONN +*I *2815:gpio_defaults[9] I *D gpio_control_block +*I *2763:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[9] 3.37115e-05 +2 *2763:gpio_defaults[9] 3.37115e-05 +3 *2815:gpio_defaults[9] *2815:gpio_defaults[10] 0 +4 *2815:gpio_defaults[8] *2815:gpio_defaults[9] 0 +*RES +1 *2763:gpio_defaults[9] *2815:gpio_defaults[9] 0.830504 +*END + +*D_NET *381 6.74231e-05 +*CONN +*I *2815:gpio_defaults[10] I *D gpio_control_block +*I *2763:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[10] 3.37115e-05 +2 *2763:gpio_defaults[10] 3.37115e-05 +3 *2815:gpio_defaults[10] *2815:gpio_defaults[11] 0 +4 *2815:gpio_defaults[9] *2815:gpio_defaults[10] 0 +*RES +1 *2763:gpio_defaults[10] *2815:gpio_defaults[10] 0.830504 +*END + +*D_NET *382 6.74231e-05 +*CONN +*I *2815:gpio_defaults[11] I *D gpio_control_block +*I *2763:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[11] 3.37115e-05 +2 *2763:gpio_defaults[11] 3.37115e-05 +3 *2815:gpio_defaults[11] *2815:gpio_defaults[12] 0 +4 *2815:gpio_defaults[10] *2815:gpio_defaults[11] 0 +*RES +1 *2763:gpio_defaults[11] *2815:gpio_defaults[11] 0.830504 +*END + +*D_NET *383 6.74231e-05 +*CONN +*I *2815:gpio_defaults[12] I *D gpio_control_block +*I *2763:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2815:gpio_defaults[12] 3.37115e-05 +2 *2763:gpio_defaults[12] 3.37115e-05 +3 *2815:gpio_defaults[11] *2815:gpio_defaults[12] 0 +*RES +1 *2763:gpio_defaults[12] *2815:gpio_defaults[12] 0.830504 +*END + +*D_NET *384 5.84207e-05 +*CONN +*I *2816:gpio_defaults[0] I *D gpio_control_block +*I *2764:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[0] 2.92104e-05 +2 *2764:gpio_defaults[0] 2.92104e-05 +3 *2816:gpio_defaults[0] *2816:gpio_defaults[1] 0 +*RES +1 *2764:gpio_defaults[0] *2816:gpio_defaults[0] 0.830504 +*END + +*D_NET *385 5.84207e-05 +*CONN +*I *2816:gpio_defaults[1] I *D gpio_control_block +*I *2764:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[1] 2.92104e-05 +2 *2764:gpio_defaults[1] 2.92104e-05 +3 *2816:gpio_defaults[1] *2816:gpio_defaults[2] 0 +4 *2816:gpio_defaults[0] *2816:gpio_defaults[1] 0 +*RES +1 *2764:gpio_defaults[1] *2816:gpio_defaults[1] 0.830504 +*END + +*D_NET *386 0.00126263 +*CONN +*I *2797:gpio_defaults[7] I *D gpio_control_block +*I *2758:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[7] 0.00035054 +2 *2758:gpio_defaults[7] 0.00035054 +3 *2797:gpio_defaults[7] *2797:gpio_defaults[8] 0.000200794 +4 *2797:gpio_defaults[4] *2797:gpio_defaults[7] 0.000217937 +5 *2797:gpio_defaults[5] *2797:gpio_defaults[7] 0.000124597 +6 *2797:gpio_defaults[6] *2797:gpio_defaults[7] 1.82233e-05 +*RES +1 *2758:gpio_defaults[7] *2797:gpio_defaults[7] 16.932 +*END + +*D_NET *387 5.84207e-05 +*CONN +*I *2816:gpio_defaults[2] I *D gpio_control_block +*I *2764:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[2] 2.92104e-05 +2 *2764:gpio_defaults[2] 2.92104e-05 +3 *2816:gpio_defaults[2] *2816:gpio_defaults[3] 0 +4 *2816:gpio_defaults[1] *2816:gpio_defaults[2] 0 +*RES +1 *2764:gpio_defaults[2] *2816:gpio_defaults[2] 0.830504 +*END + +*D_NET *388 5.84207e-05 +*CONN +*I *2816:gpio_defaults[3] I *D gpio_control_block +*I *2764:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[3] 2.92104e-05 +2 *2764:gpio_defaults[3] 2.92104e-05 +3 *2816:gpio_defaults[3] *2816:gpio_defaults[4] 0 +4 *2816:gpio_defaults[2] *2816:gpio_defaults[3] 0 +*RES +1 *2764:gpio_defaults[3] *2816:gpio_defaults[3] 0.830504 +*END + +*D_NET *389 5.84207e-05 +*CONN +*I *2816:gpio_defaults[4] I *D gpio_control_block +*I *2764:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[4] 2.92104e-05 +2 *2764:gpio_defaults[4] 2.92104e-05 +3 *2816:gpio_defaults[4] *2816:gpio_defaults[5] 0 +4 *2816:gpio_defaults[3] *2816:gpio_defaults[4] 0 +*RES +1 *2764:gpio_defaults[4] *2816:gpio_defaults[4] 0.830504 +*END + +*D_NET *390 5.84207e-05 +*CONN +*I *2816:gpio_defaults[5] I *D gpio_control_block +*I *2764:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[5] 2.92104e-05 +2 *2764:gpio_defaults[5] 2.92104e-05 +3 *2816:gpio_defaults[5] *2816:gpio_defaults[6] 0 +4 *2816:gpio_defaults[4] *2816:gpio_defaults[5] 0 +*RES +1 *2764:gpio_defaults[5] *2816:gpio_defaults[5] 0.830504 +*END + +*D_NET *391 5.84207e-05 +*CONN +*I *2816:gpio_defaults[6] I *D gpio_control_block +*I *2764:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[6] 2.92104e-05 +2 *2764:gpio_defaults[6] 2.92104e-05 +3 *2816:gpio_defaults[6] *2816:gpio_defaults[7] 0 +4 *2816:gpio_defaults[5] *2816:gpio_defaults[6] 0 +*RES +1 *2764:gpio_defaults[6] *2816:gpio_defaults[6] 0.830504 +*END + +*D_NET *392 5.84207e-05 +*CONN +*I *2816:gpio_defaults[7] I *D gpio_control_block +*I *2764:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[7] 2.92104e-05 +2 *2764:gpio_defaults[7] 2.92104e-05 +3 *2816:gpio_defaults[7] *2816:gpio_defaults[8] 0 +4 *2816:gpio_defaults[6] *2816:gpio_defaults[7] 0 +*RES +1 *2764:gpio_defaults[7] *2816:gpio_defaults[7] 0.830504 +*END + +*D_NET *393 5.84207e-05 +*CONN +*I *2816:gpio_defaults[8] I *D gpio_control_block +*I *2764:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[8] 2.92104e-05 +2 *2764:gpio_defaults[8] 2.92104e-05 +3 *2816:gpio_defaults[8] *2816:gpio_defaults[9] 0 +4 *2816:gpio_defaults[7] *2816:gpio_defaults[8] 0 +*RES +1 *2764:gpio_defaults[8] *2816:gpio_defaults[8] 0.830504 +*END + +*D_NET *394 5.84207e-05 +*CONN +*I *2816:gpio_defaults[9] I *D gpio_control_block +*I *2764:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[9] 2.92104e-05 +2 *2764:gpio_defaults[9] 2.92104e-05 +3 *2816:gpio_defaults[9] *2816:gpio_defaults[10] 0 +4 *2816:gpio_defaults[8] *2816:gpio_defaults[9] 0 +*RES +1 *2764:gpio_defaults[9] *2816:gpio_defaults[9] 0.830504 +*END + +*D_NET *395 5.84207e-05 +*CONN +*I *2816:gpio_defaults[10] I *D gpio_control_block +*I *2764:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[10] 2.92104e-05 +2 *2764:gpio_defaults[10] 2.92104e-05 +3 *2816:gpio_defaults[10] *2816:gpio_defaults[11] 0 +4 *2816:gpio_defaults[9] *2816:gpio_defaults[10] 0 +*RES +1 *2764:gpio_defaults[10] *2816:gpio_defaults[10] 0.830504 +*END + +*D_NET *396 5.84207e-05 +*CONN +*I *2816:gpio_defaults[11] I *D gpio_control_block +*I *2764:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[11] 2.92104e-05 +2 *2764:gpio_defaults[11] 2.92104e-05 +3 *2816:gpio_defaults[11] *2816:gpio_defaults[12] 0 +4 *2816:gpio_defaults[10] *2816:gpio_defaults[11] 0 +*RES +1 *2764:gpio_defaults[11] *2816:gpio_defaults[11] 0.830504 +*END + +*D_NET *397 0.00202969 +*CONN +*I *2797:gpio_defaults[8] I *D gpio_control_block +*I *2758:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[8] 0.000391771 +2 *2758:gpio_defaults[8] 0.000391771 +3 *2797:gpio_defaults[8] *2797:gpio_defaults[9] 0.000723192 +4 *2797:gpio_defaults[3] *2797:gpio_defaults[8] 1.00937e-05 +5 *2797:gpio_defaults[4] *2797:gpio_defaults[8] 0.000312066 +6 *2797:gpio_defaults[7] *2797:gpio_defaults[8] 0.000200794 +*RES +1 *2758:gpio_defaults[8] *2797:gpio_defaults[8] 22.6415 +*END + +*D_NET *398 5.84207e-05 +*CONN +*I *2816:gpio_defaults[12] I *D gpio_control_block +*I *2764:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2816:gpio_defaults[12] 2.92104e-05 +2 *2764:gpio_defaults[12] 2.92104e-05 +3 *2816:gpio_defaults[11] *2816:gpio_defaults[12] 0 +*RES +1 *2764:gpio_defaults[12] *2816:gpio_defaults[12] 0.830504 +*END + +*D_NET *399 5.84207e-05 +*CONN +*I *2817:gpio_defaults[0] I *D gpio_control_block +*I *2765:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[0] 2.92104e-05 +2 *2765:gpio_defaults[0] 2.92104e-05 +3 *2817:gpio_defaults[0] *2817:gpio_defaults[1] 0 +*RES +1 *2765:gpio_defaults[0] *2817:gpio_defaults[0] 0.830504 +*END + +*D_NET *400 5.84207e-05 +*CONN +*I *2817:gpio_defaults[1] I *D gpio_control_block +*I *2765:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[1] 2.92104e-05 +2 *2765:gpio_defaults[1] 2.92104e-05 +3 *2817:gpio_defaults[1] *2817:gpio_defaults[2] 0 +4 *2817:gpio_defaults[0] *2817:gpio_defaults[1] 0 +*RES +1 *2765:gpio_defaults[1] *2817:gpio_defaults[1] 0.830504 +*END + +*D_NET *401 5.84207e-05 +*CONN +*I *2817:gpio_defaults[2] I *D gpio_control_block +*I *2765:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[2] 2.92104e-05 +2 *2765:gpio_defaults[2] 2.92104e-05 +3 *2817:gpio_defaults[2] *2817:gpio_defaults[3] 0 +4 *2817:gpio_defaults[1] *2817:gpio_defaults[2] 0 +*RES +1 *2765:gpio_defaults[2] *2817:gpio_defaults[2] 0.830504 +*END + +*D_NET *402 5.84207e-05 +*CONN +*I *2817:gpio_defaults[3] I *D gpio_control_block +*I *2765:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[3] 2.92104e-05 +2 *2765:gpio_defaults[3] 2.92104e-05 +3 *2817:gpio_defaults[3] *2817:gpio_defaults[4] 0 +4 *2817:gpio_defaults[2] *2817:gpio_defaults[3] 0 +*RES +1 *2765:gpio_defaults[3] *2817:gpio_defaults[3] 0.830504 +*END + +*D_NET *403 5.84207e-05 +*CONN +*I *2817:gpio_defaults[4] I *D gpio_control_block +*I *2765:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[4] 2.92104e-05 +2 *2765:gpio_defaults[4] 2.92104e-05 +3 *2817:gpio_defaults[4] *2817:gpio_defaults[5] 0 +4 *2817:gpio_defaults[3] *2817:gpio_defaults[4] 0 +*RES +1 *2765:gpio_defaults[4] *2817:gpio_defaults[4] 0.830504 +*END + +*D_NET *404 5.84207e-05 +*CONN +*I *2817:gpio_defaults[5] I *D gpio_control_block +*I *2765:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[5] 2.92104e-05 +2 *2765:gpio_defaults[5] 2.92104e-05 +3 *2817:gpio_defaults[5] *2817:gpio_defaults[6] 0 +4 *2817:gpio_defaults[4] *2817:gpio_defaults[5] 0 +*RES +1 *2765:gpio_defaults[5] *2817:gpio_defaults[5] 0.830504 +*END + +*D_NET *405 5.84207e-05 +*CONN +*I *2817:gpio_defaults[6] I *D gpio_control_block +*I *2765:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[6] 2.92104e-05 +2 *2765:gpio_defaults[6] 2.92104e-05 +3 *2817:gpio_defaults[6] *2817:gpio_defaults[7] 0 +4 *2817:gpio_defaults[5] *2817:gpio_defaults[6] 0 +*RES +1 *2765:gpio_defaults[6] *2817:gpio_defaults[6] 0.830504 +*END + +*D_NET *406 5.84207e-05 +*CONN +*I *2817:gpio_defaults[7] I *D gpio_control_block +*I *2765:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[7] 2.92104e-05 +2 *2765:gpio_defaults[7] 2.92104e-05 +3 *2817:gpio_defaults[7] *2817:gpio_defaults[8] 0 +4 *2817:gpio_defaults[6] *2817:gpio_defaults[7] 0 +*RES +1 *2765:gpio_defaults[7] *2817:gpio_defaults[7] 0.830504 +*END + +*D_NET *407 5.84207e-05 +*CONN +*I *2817:gpio_defaults[8] I *D gpio_control_block +*I *2765:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[8] 2.92104e-05 +2 *2765:gpio_defaults[8] 2.92104e-05 +3 *2817:gpio_defaults[8] *2817:gpio_defaults[9] 0 +4 *2817:gpio_defaults[7] *2817:gpio_defaults[8] 0 +*RES +1 *2765:gpio_defaults[8] *2817:gpio_defaults[8] 0.830504 +*END + +*D_NET *408 0.00303921 +*CONN +*I *2797:gpio_defaults[9] I *D gpio_control_block +*I *2758:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[9] 0.000460612 +2 *2758:gpio_defaults[9] 0.000460612 +3 *2797:gpio_defaults[9] *2797:gpio_defaults[10] 0 +4 *2797:gpio_defaults[1] *2797:gpio_defaults[9] 0.00121137 +5 *2797:gpio_defaults[2] *2797:gpio_defaults[9] 1.00937e-05 +6 *2797:gpio_defaults[3] *2797:gpio_defaults[9] 0.000173327 +7 *2797:gpio_defaults[8] *2797:gpio_defaults[9] 0.000723192 +*RES +1 *2758:gpio_defaults[9] *2797:gpio_defaults[9] 28.7663 +*END + +*D_NET *409 5.84207e-05 +*CONN +*I *2817:gpio_defaults[9] I *D gpio_control_block +*I *2765:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[9] 2.92104e-05 +2 *2765:gpio_defaults[9] 2.92104e-05 +3 *2817:gpio_defaults[9] *2817:gpio_defaults[10] 0 +4 *2817:gpio_defaults[8] *2817:gpio_defaults[9] 0 +*RES +1 *2765:gpio_defaults[9] *2817:gpio_defaults[9] 0.830504 +*END + +*D_NET *410 5.84207e-05 +*CONN +*I *2817:gpio_defaults[10] I *D gpio_control_block +*I *2765:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[10] 2.92104e-05 +2 *2765:gpio_defaults[10] 2.92104e-05 +3 *2817:gpio_defaults[10] *2817:gpio_defaults[11] 0 +4 *2817:gpio_defaults[9] *2817:gpio_defaults[10] 0 +*RES +1 *2765:gpio_defaults[10] *2817:gpio_defaults[10] 0.830504 +*END + +*D_NET *411 5.84207e-05 +*CONN +*I *2817:gpio_defaults[11] I *D gpio_control_block +*I *2765:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[11] 2.92104e-05 +2 *2765:gpio_defaults[11] 2.92104e-05 +3 *2817:gpio_defaults[11] *2817:gpio_defaults[12] 0 +4 *2817:gpio_defaults[10] *2817:gpio_defaults[11] 0 +*RES +1 *2765:gpio_defaults[11] *2817:gpio_defaults[11] 0.830504 +*END + +*D_NET *412 5.84207e-05 +*CONN +*I *2817:gpio_defaults[12] I *D gpio_control_block +*I *2765:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2817:gpio_defaults[12] 2.92104e-05 +2 *2765:gpio_defaults[12] 2.92104e-05 +3 *2817:gpio_defaults[11] *2817:gpio_defaults[12] 0 +*RES +1 *2765:gpio_defaults[12] *2817:gpio_defaults[12] 0.830504 +*END + +*D_NET *413 5.84207e-05 +*CONN +*I *2818:gpio_defaults[0] I *D gpio_control_block +*I *2766:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[0] 2.92104e-05 +2 *2766:gpio_defaults[0] 2.92104e-05 +3 *2818:gpio_defaults[0] *2818:gpio_defaults[1] 0 +*RES +1 *2766:gpio_defaults[0] *2818:gpio_defaults[0] 0.830504 +*END + +*D_NET *414 5.84207e-05 +*CONN +*I *2818:gpio_defaults[1] I *D gpio_control_block +*I *2766:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[1] 2.92104e-05 +2 *2766:gpio_defaults[1] 2.92104e-05 +3 *2818:gpio_defaults[1] *2818:gpio_defaults[2] 0 +4 *2818:gpio_defaults[0] *2818:gpio_defaults[1] 0 +*RES +1 *2766:gpio_defaults[1] *2818:gpio_defaults[1] 0.830504 +*END + +*D_NET *415 5.84207e-05 +*CONN +*I *2818:gpio_defaults[2] I *D gpio_control_block +*I *2766:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[2] 2.92104e-05 +2 *2766:gpio_defaults[2] 2.92104e-05 +3 *2818:gpio_defaults[2] *2818:gpio_defaults[3] 0 +4 *2818:gpio_defaults[1] *2818:gpio_defaults[2] 0 +*RES +1 *2766:gpio_defaults[2] *2818:gpio_defaults[2] 0.830504 +*END + +*D_NET *416 5.84207e-05 +*CONN +*I *2818:gpio_defaults[3] I *D gpio_control_block +*I *2766:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[3] 2.92104e-05 +2 *2766:gpio_defaults[3] 2.92104e-05 +3 *2818:gpio_defaults[3] *2818:gpio_defaults[4] 0 +4 *2818:gpio_defaults[2] *2818:gpio_defaults[3] 0 +*RES +1 *2766:gpio_defaults[3] *2818:gpio_defaults[3] 0.830504 +*END + +*D_NET *417 5.84207e-05 +*CONN +*I *2818:gpio_defaults[4] I *D gpio_control_block +*I *2766:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[4] 2.92104e-05 +2 *2766:gpio_defaults[4] 2.92104e-05 +3 *2818:gpio_defaults[4] *2818:gpio_defaults[5] 0 +4 *2818:gpio_defaults[3] *2818:gpio_defaults[4] 0 +*RES +1 *2766:gpio_defaults[4] *2818:gpio_defaults[4] 0.830504 +*END + +*D_NET *418 5.84207e-05 +*CONN +*I *2818:gpio_defaults[5] I *D gpio_control_block +*I *2766:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[5] 2.92104e-05 +2 *2766:gpio_defaults[5] 2.92104e-05 +3 *2818:gpio_defaults[5] *2818:gpio_defaults[6] 0 +4 *2818:gpio_defaults[4] *2818:gpio_defaults[5] 0 +*RES +1 *2766:gpio_defaults[5] *2818:gpio_defaults[5] 0.830504 +*END + +*D_NET *419 0.00322099 +*CONN +*I *2797:gpio_defaults[10] I *D gpio_control_block +*I *2758:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[10] 0.000726143 +2 *2758:gpio_defaults[10] 0.000726143 +3 *2797:gpio_defaults[1] *2797:gpio_defaults[10] 0 +4 *2797:gpio_defaults[2] *2797:gpio_defaults[10] 0.00176871 +5 *2797:gpio_defaults[3] *2797:gpio_defaults[10] 0 +6 *2797:gpio_defaults[9] *2797:gpio_defaults[10] 0 +*RES +1 *2758:gpio_defaults[10] *2797:gpio_defaults[10] 34.9668 +*END + +*D_NET *420 5.84207e-05 +*CONN +*I *2818:gpio_defaults[6] I *D gpio_control_block +*I *2766:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[6] 2.92104e-05 +2 *2766:gpio_defaults[6] 2.92104e-05 +3 *2818:gpio_defaults[6] *2818:gpio_defaults[7] 0 +4 *2818:gpio_defaults[5] *2818:gpio_defaults[6] 0 +*RES +1 *2766:gpio_defaults[6] *2818:gpio_defaults[6] 0.830504 +*END + +*D_NET *421 5.84207e-05 +*CONN +*I *2818:gpio_defaults[7] I *D gpio_control_block +*I *2766:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[7] 2.92104e-05 +2 *2766:gpio_defaults[7] 2.92104e-05 +3 *2818:gpio_defaults[7] *2818:gpio_defaults[8] 0 +4 *2818:gpio_defaults[6] *2818:gpio_defaults[7] 0 +*RES +1 *2766:gpio_defaults[7] *2818:gpio_defaults[7] 0.830504 +*END + +*D_NET *422 5.84207e-05 +*CONN +*I *2818:gpio_defaults[8] I *D gpio_control_block +*I *2766:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[8] 2.92104e-05 +2 *2766:gpio_defaults[8] 2.92104e-05 +3 *2818:gpio_defaults[8] *2818:gpio_defaults[9] 0 +4 *2818:gpio_defaults[7] *2818:gpio_defaults[8] 0 +*RES +1 *2766:gpio_defaults[8] *2818:gpio_defaults[8] 0.830504 +*END + +*D_NET *423 5.84207e-05 +*CONN +*I *2818:gpio_defaults[9] I *D gpio_control_block +*I *2766:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[9] 2.92104e-05 +2 *2766:gpio_defaults[9] 2.92104e-05 +3 *2818:gpio_defaults[9] *2818:gpio_defaults[10] 0 +4 *2818:gpio_defaults[8] *2818:gpio_defaults[9] 0 +*RES +1 *2766:gpio_defaults[9] *2818:gpio_defaults[9] 0.830504 +*END + +*D_NET *424 5.84207e-05 +*CONN +*I *2818:gpio_defaults[10] I *D gpio_control_block +*I *2766:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[10] 2.92104e-05 +2 *2766:gpio_defaults[10] 2.92104e-05 +3 *2818:gpio_defaults[10] *2818:gpio_defaults[11] 0 +4 *2818:gpio_defaults[9] *2818:gpio_defaults[10] 0 +*RES +1 *2766:gpio_defaults[10] *2818:gpio_defaults[10] 0.830504 +*END + +*D_NET *425 5.84207e-05 +*CONN +*I *2818:gpio_defaults[11] I *D gpio_control_block +*I *2766:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[11] 2.92104e-05 +2 *2766:gpio_defaults[11] 2.92104e-05 +3 *2818:gpio_defaults[11] *2818:gpio_defaults[12] 0 +4 *2818:gpio_defaults[10] *2818:gpio_defaults[11] 0 +*RES +1 *2766:gpio_defaults[11] *2818:gpio_defaults[11] 0.830504 +*END + +*D_NET *426 5.84207e-05 +*CONN +*I *2818:gpio_defaults[12] I *D gpio_control_block +*I *2766:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2818:gpio_defaults[12] 2.92104e-05 +2 *2766:gpio_defaults[12] 2.92104e-05 +3 *2818:gpio_defaults[11] *2818:gpio_defaults[12] 0 +*RES +1 *2766:gpio_defaults[12] *2818:gpio_defaults[12] 0.830504 +*END + +*D_NET *427 6.74496e-05 +*CONN +*I *2804:gpio_defaults[0] I *D gpio_control_block +*I *2767:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[0] 3.37248e-05 +2 *2767:gpio_defaults[0] 3.37248e-05 +3 *2804:gpio_defaults[0] *2804:gpio_defaults[1] 0 +4 *101:16 *2804:gpio_defaults[0] 0 +*RES +1 *2767:gpio_defaults[0] *2804:gpio_defaults[0] 0.830504 +*END + +*D_NET *428 6.74496e-05 +*CONN +*I *2804:gpio_defaults[1] I *D gpio_control_block +*I *2767:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[1] 3.37248e-05 +2 *2767:gpio_defaults[1] 3.37248e-05 +3 *2804:gpio_defaults[1] *2804:gpio_defaults[2] 0 +4 *2804:gpio_defaults[0] *2804:gpio_defaults[1] 0 +*RES +1 *2767:gpio_defaults[1] *2804:gpio_defaults[1] 0.830504 +*END + +*D_NET *429 6.74496e-05 +*CONN +*I *2804:gpio_defaults[2] I *D gpio_control_block +*I *2767:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[2] 3.37248e-05 +2 *2767:gpio_defaults[2] 3.37248e-05 +3 *2804:gpio_defaults[2] *2804:gpio_defaults[3] 0 +4 *2804:gpio_defaults[1] *2804:gpio_defaults[2] 0 +*RES +1 *2767:gpio_defaults[2] *2804:gpio_defaults[2] 0.830504 +*END + +*D_NET *430 0.00383354 +*CONN +*I *2797:gpio_defaults[11] I *D gpio_control_block +*I *2758:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[11] 0.00180126 +2 *2758:gpio_defaults[11] 0.00180126 +3 *2797:gpio_defaults[11] *2797:gpio_defaults[12] 0 +4 *2797:gpio_defaults[0] *2797:gpio_defaults[11] 0 +5 *2797:gpio_defaults[1] *2797:gpio_defaults[11] 9.05844e-05 +6 *2797:gpio_defaults[2] *2797:gpio_defaults[11] 0.000140444 +*RES +1 *2758:gpio_defaults[11] *2797:gpio_defaults[11] 15.6979 +*END + +*D_NET *431 6.74496e-05 +*CONN +*I *2804:gpio_defaults[3] I *D gpio_control_block +*I *2767:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[3] 3.37248e-05 +2 *2767:gpio_defaults[3] 3.37248e-05 +3 *2804:gpio_defaults[3] *2804:gpio_defaults[4] 0 +4 *2804:gpio_defaults[2] *2804:gpio_defaults[3] 0 +*RES +1 *2767:gpio_defaults[3] *2804:gpio_defaults[3] 0.830504 +*END + +*D_NET *432 6.74496e-05 +*CONN +*I *2804:gpio_defaults[4] I *D gpio_control_block +*I *2767:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[4] 3.37248e-05 +2 *2767:gpio_defaults[4] 3.37248e-05 +3 *2804:gpio_defaults[4] *2804:gpio_defaults[5] 0 +4 *2804:gpio_defaults[3] *2804:gpio_defaults[4] 0 +*RES +1 *2767:gpio_defaults[4] *2804:gpio_defaults[4] 0.830504 +*END + +*D_NET *433 6.74496e-05 +*CONN +*I *2804:gpio_defaults[5] I *D gpio_control_block +*I *2767:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[5] 3.37248e-05 +2 *2767:gpio_defaults[5] 3.37248e-05 +3 *2804:gpio_defaults[5] *2804:gpio_defaults[6] 0 +4 *2804:gpio_defaults[4] *2804:gpio_defaults[5] 0 +*RES +1 *2767:gpio_defaults[5] *2804:gpio_defaults[5] 0.830504 +*END + +*D_NET *434 6.74496e-05 +*CONN +*I *2804:gpio_defaults[6] I *D gpio_control_block +*I *2767:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[6] 3.37248e-05 +2 *2767:gpio_defaults[6] 3.37248e-05 +3 *2804:gpio_defaults[6] *2804:gpio_defaults[7] 0 +4 *2804:gpio_defaults[5] *2804:gpio_defaults[6] 0 +*RES +1 *2767:gpio_defaults[6] *2804:gpio_defaults[6] 0.830504 +*END + +*D_NET *435 6.74496e-05 +*CONN +*I *2804:gpio_defaults[7] I *D gpio_control_block +*I *2767:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[7] 3.37248e-05 +2 *2767:gpio_defaults[7] 3.37248e-05 +3 *2804:gpio_defaults[7] *2804:gpio_defaults[8] 0 +4 *2804:gpio_defaults[6] *2804:gpio_defaults[7] 0 +*RES +1 *2767:gpio_defaults[7] *2804:gpio_defaults[7] 0.830504 +*END + +*D_NET *436 6.74496e-05 +*CONN +*I *2804:gpio_defaults[8] I *D gpio_control_block +*I *2767:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[8] 3.37248e-05 +2 *2767:gpio_defaults[8] 3.37248e-05 +3 *2804:gpio_defaults[8] *2804:gpio_defaults[9] 0 +4 *2804:gpio_defaults[7] *2804:gpio_defaults[8] 0 +*RES +1 *2767:gpio_defaults[8] *2804:gpio_defaults[8] 0.830504 +*END + +*D_NET *437 6.74496e-05 +*CONN +*I *2804:gpio_defaults[9] I *D gpio_control_block +*I *2767:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[9] 3.37248e-05 +2 *2767:gpio_defaults[9] 3.37248e-05 +3 *2804:gpio_defaults[9] *2804:gpio_defaults[10] 0 +4 *2804:gpio_defaults[8] *2804:gpio_defaults[9] 0 +*RES +1 *2767:gpio_defaults[9] *2804:gpio_defaults[9] 0.830504 +*END + +*D_NET *438 6.74496e-05 +*CONN +*I *2804:gpio_defaults[10] I *D gpio_control_block +*I *2767:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[10] 3.37248e-05 +2 *2767:gpio_defaults[10] 3.37248e-05 +3 *2804:gpio_defaults[10] *2804:gpio_defaults[11] 0 +4 *2804:gpio_defaults[9] *2804:gpio_defaults[10] 0 +*RES +1 *2767:gpio_defaults[10] *2804:gpio_defaults[10] 0.830504 +*END + +*D_NET *439 6.74496e-05 +*CONN +*I *2804:gpio_defaults[11] I *D gpio_control_block +*I *2767:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[11] 3.37248e-05 +2 *2767:gpio_defaults[11] 3.37248e-05 +3 *2804:gpio_defaults[11] *2804:gpio_defaults[12] 0 +4 *2804:gpio_defaults[10] *2804:gpio_defaults[11] 0 +*RES +1 *2767:gpio_defaults[11] *2804:gpio_defaults[11] 0.830504 +*END + +*D_NET *440 6.74496e-05 +*CONN +*I *2804:gpio_defaults[12] I *D gpio_control_block +*I *2767:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2804:gpio_defaults[12] 3.37248e-05 +2 *2767:gpio_defaults[12] 3.37248e-05 +3 *2804:gpio_defaults[11] *2804:gpio_defaults[12] 0 +*RES +1 *2767:gpio_defaults[12] *2804:gpio_defaults[12] 0.830504 +*END + +*D_NET *441 0.00484233 +*CONN +*I *2797:gpio_defaults[12] I *D gpio_control_block +*I *2758:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2797:gpio_defaults[12] 0.000976533 +2 *2758:gpio_defaults[12] 0.000976533 +3 *2797:gpio_defaults[0] *2797:gpio_defaults[12] 0.00288926 +4 *2797:gpio_defaults[11] *2797:gpio_defaults[12] 0 +5 *2797:gpio_defaults[1] *2797:gpio_defaults[12] 0 +*RES +1 *2758:gpio_defaults[12] *2797:gpio_defaults[12] 48.7148 +*END + +*D_NET *442 5.84207e-05 +*CONN +*I *2805:gpio_defaults[0] I *D gpio_control_block +*I *2768:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[0] 2.92104e-05 +2 *2768:gpio_defaults[0] 2.92104e-05 +3 *2805:gpio_defaults[0] *2805:gpio_defaults[1] 0 +4 *101:28 *2805:gpio_defaults[0] 0 +*RES +1 *2768:gpio_defaults[0] *2805:gpio_defaults[0] 0.830504 +*END + +*D_NET *443 5.84207e-05 +*CONN +*I *2805:gpio_defaults[1] I *D gpio_control_block +*I *2768:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[1] 2.92104e-05 +2 *2768:gpio_defaults[1] 2.92104e-05 +3 *2805:gpio_defaults[1] *2805:gpio_defaults[2] 0 +4 *2805:gpio_defaults[0] *2805:gpio_defaults[1] 0 +*RES +1 *2768:gpio_defaults[1] *2805:gpio_defaults[1] 0.830504 +*END + +*D_NET *444 5.84207e-05 +*CONN +*I *2805:gpio_defaults[2] I *D gpio_control_block +*I *2768:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[2] 2.92104e-05 +2 *2768:gpio_defaults[2] 2.92104e-05 +3 *2805:gpio_defaults[2] *2805:gpio_defaults[3] 0 +4 *2805:gpio_defaults[1] *2805:gpio_defaults[2] 0 +*RES +1 *2768:gpio_defaults[2] *2805:gpio_defaults[2] 0.830504 +*END + +*D_NET *445 5.84207e-05 +*CONN +*I *2805:gpio_defaults[3] I *D gpio_control_block +*I *2768:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[3] 2.92104e-05 +2 *2768:gpio_defaults[3] 2.92104e-05 +3 *2805:gpio_defaults[3] *2805:gpio_defaults[4] 0 +4 *2805:gpio_defaults[2] *2805:gpio_defaults[3] 0 +*RES +1 *2768:gpio_defaults[3] *2805:gpio_defaults[3] 0.830504 +*END + +*D_NET *446 5.84207e-05 +*CONN +*I *2805:gpio_defaults[4] I *D gpio_control_block +*I *2768:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[4] 2.92104e-05 +2 *2768:gpio_defaults[4] 2.92104e-05 +3 *2805:gpio_defaults[4] *2805:gpio_defaults[5] 0 +4 *2805:gpio_defaults[3] *2805:gpio_defaults[4] 0 +*RES +1 *2768:gpio_defaults[4] *2805:gpio_defaults[4] 0.830504 +*END + +*D_NET *447 5.84207e-05 +*CONN +*I *2805:gpio_defaults[5] I *D gpio_control_block +*I *2768:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[5] 2.92104e-05 +2 *2768:gpio_defaults[5] 2.92104e-05 +3 *2805:gpio_defaults[5] *2805:gpio_defaults[6] 0 +4 *2805:gpio_defaults[4] *2805:gpio_defaults[5] 0 +*RES +1 *2768:gpio_defaults[5] *2805:gpio_defaults[5] 0.830504 +*END + +*D_NET *448 5.84207e-05 +*CONN +*I *2805:gpio_defaults[6] I *D gpio_control_block +*I *2768:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[6] 2.92104e-05 +2 *2768:gpio_defaults[6] 2.92104e-05 +3 *2805:gpio_defaults[6] *2805:gpio_defaults[7] 0 +4 *2805:gpio_defaults[5] *2805:gpio_defaults[6] 0 +*RES +1 *2768:gpio_defaults[6] *2805:gpio_defaults[6] 0.830504 +*END + +*D_NET *449 5.84207e-05 +*CONN +*I *2805:gpio_defaults[7] I *D gpio_control_block +*I *2768:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[7] 2.92104e-05 +2 *2768:gpio_defaults[7] 2.92104e-05 +3 *2805:gpio_defaults[7] *2805:gpio_defaults[8] 0 +4 *2805:gpio_defaults[6] *2805:gpio_defaults[7] 0 +*RES +1 *2768:gpio_defaults[7] *2805:gpio_defaults[7] 0.830504 +*END + +*D_NET *450 5.84207e-05 +*CONN +*I *2805:gpio_defaults[8] I *D gpio_control_block +*I *2768:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[8] 2.92104e-05 +2 *2768:gpio_defaults[8] 2.92104e-05 +3 *2805:gpio_defaults[8] *2805:gpio_defaults[9] 0 +4 *2805:gpio_defaults[7] *2805:gpio_defaults[8] 0 +*RES +1 *2768:gpio_defaults[8] *2805:gpio_defaults[8] 0.830504 +*END + +*D_NET *451 5.84207e-05 +*CONN +*I *2805:gpio_defaults[9] I *D gpio_control_block +*I *2768:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[9] 2.92104e-05 +2 *2768:gpio_defaults[9] 2.92104e-05 +3 *2805:gpio_defaults[9] *2805:gpio_defaults[10] 0 +4 *2805:gpio_defaults[8] *2805:gpio_defaults[9] 0 +*RES +1 *2768:gpio_defaults[9] *2805:gpio_defaults[9] 0.830504 +*END + +*D_NET *452 0.00371827 +*CONN +*I *2798:gpio_defaults[0] I *D gpio_control_block +*I *2759:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[0] 0.00183551 +2 *2759:gpio_defaults[0] 0.00183551 +3 *2798:gpio_defaults[0] *2798:gpio_defaults[11] 2.57246e-05 +4 *2798:gpio_defaults[0] *2798:gpio_defaults[12] 2.15266e-05 +*RES +1 *2759:gpio_defaults[0] *2798:gpio_defaults[0] 14.4906 +*END + +*D_NET *453 0.00275292 +*CONN +*I *2781:gpio_defaults[3] I *D gpio_control_block +*I *2743:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[3] 0.000452756 +2 *2743:gpio_defaults[3] 0.000452756 +3 *2781:gpio_defaults[3] *2781:gpio_defaults[4] 0.000241483 +4 *2781:gpio_defaults[3] *2781:gpio_defaults[5] 0.000175485 +5 *2781:gpio_defaults[3] *2781:gpio_defaults[8] 1.00937e-05 +6 *2781:gpio_defaults[3] *2781:gpio_defaults[9] 0.000182712 +7 *2781:gpio_defaults[10] *2781:gpio_defaults[3] 0.00123763 +8 *2781:gpio_defaults[2] *2781:gpio_defaults[3] 0 +*RES +1 *2743:gpio_defaults[3] *2781:gpio_defaults[3] 28.4466 +*END + +*D_NET *454 5.84207e-05 +*CONN +*I *2805:gpio_defaults[10] I *D gpio_control_block +*I *2768:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[10] 2.92104e-05 +2 *2768:gpio_defaults[10] 2.92104e-05 +3 *2805:gpio_defaults[10] *2805:gpio_defaults[11] 0 +4 *2805:gpio_defaults[9] *2805:gpio_defaults[10] 0 +*RES +1 *2768:gpio_defaults[10] *2805:gpio_defaults[10] 0.830504 +*END + +*D_NET *455 5.84207e-05 +*CONN +*I *2805:gpio_defaults[11] I *D gpio_control_block +*I *2768:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[11] 2.92104e-05 +2 *2768:gpio_defaults[11] 2.92104e-05 +3 *2805:gpio_defaults[11] *2805:gpio_defaults[12] 0 +4 *2805:gpio_defaults[10] *2805:gpio_defaults[11] 0 +*RES +1 *2768:gpio_defaults[11] *2805:gpio_defaults[11] 0.830504 +*END + +*D_NET *456 5.84207e-05 +*CONN +*I *2805:gpio_defaults[12] I *D gpio_control_block +*I *2768:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2805:gpio_defaults[12] 2.92104e-05 +2 *2768:gpio_defaults[12] 2.92104e-05 +3 *2805:gpio_defaults[11] *2805:gpio_defaults[12] 0 +*RES +1 *2768:gpio_defaults[12] *2805:gpio_defaults[12] 0.830504 +*END + +*D_NET *457 5.84207e-05 +*CONN +*I *2806:gpio_defaults[0] I *D gpio_control_block +*I *2769:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[0] 2.92104e-05 +2 *2769:gpio_defaults[0] 2.92104e-05 +3 *2806:gpio_defaults[0] *2806:gpio_defaults[1] 0 +*RES +1 *2769:gpio_defaults[0] *2806:gpio_defaults[0] 0.830504 +*END + +*D_NET *458 5.84207e-05 +*CONN +*I *2806:gpio_defaults[1] I *D gpio_control_block +*I *2769:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[1] 2.92104e-05 +2 *2769:gpio_defaults[1] 2.92104e-05 +3 *2806:gpio_defaults[1] *2806:gpio_defaults[2] 0 +4 *2806:gpio_defaults[0] *2806:gpio_defaults[1] 0 +*RES +1 *2769:gpio_defaults[1] *2806:gpio_defaults[1] 0.830504 +*END + +*D_NET *459 5.84207e-05 +*CONN +*I *2806:gpio_defaults[2] I *D gpio_control_block +*I *2769:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[2] 2.92104e-05 +2 *2769:gpio_defaults[2] 2.92104e-05 +3 *2806:gpio_defaults[2] *2806:gpio_defaults[3] 0 +4 *2806:gpio_defaults[1] *2806:gpio_defaults[2] 0 +*RES +1 *2769:gpio_defaults[2] *2806:gpio_defaults[2] 0.830504 +*END + +*D_NET *460 5.84207e-05 +*CONN +*I *2806:gpio_defaults[3] I *D gpio_control_block +*I *2769:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[3] 2.92104e-05 +2 *2769:gpio_defaults[3] 2.92104e-05 +3 *2806:gpio_defaults[3] *2806:gpio_defaults[4] 0 +4 *2806:gpio_defaults[2] *2806:gpio_defaults[3] 0 +*RES +1 *2769:gpio_defaults[3] *2806:gpio_defaults[3] 0.830504 +*END + +*D_NET *461 5.84207e-05 +*CONN +*I *2806:gpio_defaults[4] I *D gpio_control_block +*I *2769:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[4] 2.92104e-05 +2 *2769:gpio_defaults[4] 2.92104e-05 +3 *2806:gpio_defaults[4] *2806:gpio_defaults[5] 0 +4 *2806:gpio_defaults[3] *2806:gpio_defaults[4] 0 +*RES +1 *2769:gpio_defaults[4] *2806:gpio_defaults[4] 0.830504 +*END + +*D_NET *462 5.84207e-05 +*CONN +*I *2806:gpio_defaults[5] I *D gpio_control_block +*I *2769:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[5] 2.92104e-05 +2 *2769:gpio_defaults[5] 2.92104e-05 +3 *2806:gpio_defaults[5] *2806:gpio_defaults[6] 0 +4 *2806:gpio_defaults[4] *2806:gpio_defaults[5] 0 +*RES +1 *2769:gpio_defaults[5] *2806:gpio_defaults[5] 0.830504 +*END + +*D_NET *463 5.84207e-05 +*CONN +*I *2806:gpio_defaults[6] I *D gpio_control_block +*I *2769:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[6] 2.92104e-05 +2 *2769:gpio_defaults[6] 2.92104e-05 +3 *2806:gpio_defaults[6] *2806:gpio_defaults[7] 0 +4 *2806:gpio_defaults[5] *2806:gpio_defaults[6] 0 +*RES +1 *2769:gpio_defaults[6] *2806:gpio_defaults[6] 0.830504 +*END + +*D_NET *464 0.00323534 +*CONN +*I *2798:gpio_defaults[1] I *D gpio_control_block +*I *2759:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[1] 0.000945746 +2 *2759:gpio_defaults[1] 0.000945746 +3 *2798:gpio_defaults[1] *2798:gpio_defaults[10] 0 +4 *2798:gpio_defaults[1] *2798:gpio_defaults[11] 0 +5 *2798:gpio_defaults[1] *2798:gpio_defaults[2] 0 +6 *2798:gpio_defaults[1] *2798:gpio_defaults[3] 0 +7 *2798:gpio_defaults[1] *2798:gpio_defaults[9] 0.00134385 +*RES +1 *2759:gpio_defaults[1] *2798:gpio_defaults[1] 39.9539 +*END + +*D_NET *465 5.84207e-05 +*CONN +*I *2806:gpio_defaults[7] I *D gpio_control_block +*I *2769:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[7] 2.92104e-05 +2 *2769:gpio_defaults[7] 2.92104e-05 +3 *2806:gpio_defaults[7] *2806:gpio_defaults[8] 0 +4 *2806:gpio_defaults[6] *2806:gpio_defaults[7] 0 +*RES +1 *2769:gpio_defaults[7] *2806:gpio_defaults[7] 0.830504 +*END + +*D_NET *466 5.84207e-05 +*CONN +*I *2806:gpio_defaults[8] I *D gpio_control_block +*I *2769:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[8] 2.92104e-05 +2 *2769:gpio_defaults[8] 2.92104e-05 +3 *2806:gpio_defaults[8] *2806:gpio_defaults[9] 0 +4 *2806:gpio_defaults[7] *2806:gpio_defaults[8] 0 +*RES +1 *2769:gpio_defaults[8] *2806:gpio_defaults[8] 0.830504 +*END + +*D_NET *467 5.84207e-05 +*CONN +*I *2806:gpio_defaults[9] I *D gpio_control_block +*I *2769:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[9] 2.92104e-05 +2 *2769:gpio_defaults[9] 2.92104e-05 +3 *2806:gpio_defaults[9] *2806:gpio_defaults[10] 0 +4 *2806:gpio_defaults[8] *2806:gpio_defaults[9] 0 +*RES +1 *2769:gpio_defaults[9] *2806:gpio_defaults[9] 0.830504 +*END + +*D_NET *468 5.84207e-05 +*CONN +*I *2806:gpio_defaults[10] I *D gpio_control_block +*I *2769:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[10] 2.92104e-05 +2 *2769:gpio_defaults[10] 2.92104e-05 +3 *2806:gpio_defaults[10] *2806:gpio_defaults[11] 0 +4 *2806:gpio_defaults[9] *2806:gpio_defaults[10] 0 +*RES +1 *2769:gpio_defaults[10] *2806:gpio_defaults[10] 0.830504 +*END + +*D_NET *469 5.84207e-05 +*CONN +*I *2806:gpio_defaults[11] I *D gpio_control_block +*I *2769:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[11] 2.92104e-05 +2 *2769:gpio_defaults[11] 2.92104e-05 +3 *2806:gpio_defaults[11] *2806:gpio_defaults[12] 0 +4 *2806:gpio_defaults[10] *2806:gpio_defaults[11] 0 +*RES +1 *2769:gpio_defaults[11] *2806:gpio_defaults[11] 0.830504 +*END + +*D_NET *470 5.84207e-05 +*CONN +*I *2806:gpio_defaults[12] I *D gpio_control_block +*I *2769:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2806:gpio_defaults[12] 2.92104e-05 +2 *2769:gpio_defaults[12] 2.92104e-05 +3 *2806:gpio_defaults[11] *2806:gpio_defaults[12] 0 +*RES +1 *2769:gpio_defaults[12] *2806:gpio_defaults[12] 0.830504 +*END + +*D_NET *471 5.84207e-05 +*CONN +*I *2807:gpio_defaults[0] I *D gpio_control_block +*I *2770:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[0] 2.92104e-05 +2 *2770:gpio_defaults[0] 2.92104e-05 +3 *2807:gpio_defaults[0] *2807:gpio_defaults[1] 0 +*RES +1 *2770:gpio_defaults[0] *2807:gpio_defaults[0] 0.830504 +*END + +*D_NET *472 5.84207e-05 +*CONN +*I *2807:gpio_defaults[1] I *D gpio_control_block +*I *2770:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[1] 2.92104e-05 +2 *2770:gpio_defaults[1] 2.92104e-05 +3 *2807:gpio_defaults[1] *2807:gpio_defaults[2] 0 +4 *2807:gpio_defaults[0] *2807:gpio_defaults[1] 0 +*RES +1 *2770:gpio_defaults[1] *2807:gpio_defaults[1] 0.830504 +*END + +*D_NET *473 5.84207e-05 +*CONN +*I *2807:gpio_defaults[2] I *D gpio_control_block +*I *2770:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[2] 2.92104e-05 +2 *2770:gpio_defaults[2] 2.92104e-05 +3 *2807:gpio_defaults[2] *2807:gpio_defaults[3] 0 +4 *2807:gpio_defaults[1] *2807:gpio_defaults[2] 0 +*RES +1 *2770:gpio_defaults[2] *2807:gpio_defaults[2] 0.830504 +*END + +*D_NET *474 5.84207e-05 +*CONN +*I *2807:gpio_defaults[3] I *D gpio_control_block +*I *2770:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[3] 2.92104e-05 +2 *2770:gpio_defaults[3] 2.92104e-05 +3 *2807:gpio_defaults[3] *2807:gpio_defaults[4] 0 +4 *2807:gpio_defaults[2] *2807:gpio_defaults[3] 0 +*RES +1 *2770:gpio_defaults[3] *2807:gpio_defaults[3] 0.830504 +*END + +*D_NET *475 0.00369449 +*CONN +*I *2798:gpio_defaults[2] I *D gpio_control_block +*I *2759:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[2] 0.000542046 +2 *2759:gpio_defaults[2] 0.000542046 +3 *2798:gpio_defaults[2] *2798:gpio_defaults[10] 0.00182519 +4 *2798:gpio_defaults[2] *2798:gpio_defaults[11] 0 +5 *2798:gpio_defaults[2] *2798:gpio_defaults[3] 2.9752e-05 +6 *2798:gpio_defaults[2] *2798:gpio_defaults[8] 0.000755455 +7 *2798:gpio_defaults[2] *2798:gpio_defaults[9] 0 +8 *2798:gpio_defaults[1] *2798:gpio_defaults[2] 0 +*RES +1 *2759:gpio_defaults[2] *2798:gpio_defaults[2] 33.5774 +*END + +*D_NET *476 5.84207e-05 +*CONN +*I *2807:gpio_defaults[4] I *D gpio_control_block +*I *2770:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[4] 2.92104e-05 +2 *2770:gpio_defaults[4] 2.92104e-05 +3 *2807:gpio_defaults[4] *2807:gpio_defaults[5] 0 +4 *2807:gpio_defaults[3] *2807:gpio_defaults[4] 0 +*RES +1 *2770:gpio_defaults[4] *2807:gpio_defaults[4] 0.830504 +*END + +*D_NET *477 5.84207e-05 +*CONN +*I *2807:gpio_defaults[5] I *D gpio_control_block +*I *2770:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[5] 2.92104e-05 +2 *2770:gpio_defaults[5] 2.92104e-05 +3 *2807:gpio_defaults[5] *2807:gpio_defaults[6] 0 +4 *2807:gpio_defaults[4] *2807:gpio_defaults[5] 0 +*RES +1 *2770:gpio_defaults[5] *2807:gpio_defaults[5] 0.830504 +*END + +*D_NET *478 5.84207e-05 +*CONN +*I *2807:gpio_defaults[6] I *D gpio_control_block +*I *2770:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[6] 2.92104e-05 +2 *2770:gpio_defaults[6] 2.92104e-05 +3 *2807:gpio_defaults[6] *2807:gpio_defaults[7] 0 +4 *2807:gpio_defaults[5] *2807:gpio_defaults[6] 0 +*RES +1 *2770:gpio_defaults[6] *2807:gpio_defaults[6] 0.830504 +*END + +*D_NET *479 5.84207e-05 +*CONN +*I *2807:gpio_defaults[7] I *D gpio_control_block +*I *2770:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[7] 2.92104e-05 +2 *2770:gpio_defaults[7] 2.92104e-05 +3 *2807:gpio_defaults[7] *2807:gpio_defaults[8] 0 +4 *2807:gpio_defaults[6] *2807:gpio_defaults[7] 0 +*RES +1 *2770:gpio_defaults[7] *2807:gpio_defaults[7] 0.830504 +*END + +*D_NET *480 5.84207e-05 +*CONN +*I *2807:gpio_defaults[8] I *D gpio_control_block +*I *2770:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[8] 2.92104e-05 +2 *2770:gpio_defaults[8] 2.92104e-05 +3 *2807:gpio_defaults[8] *2807:gpio_defaults[9] 0 +4 *2807:gpio_defaults[7] *2807:gpio_defaults[8] 0 +*RES +1 *2770:gpio_defaults[8] *2807:gpio_defaults[8] 0.830504 +*END + +*D_NET *481 5.84207e-05 +*CONN +*I *2807:gpio_defaults[9] I *D gpio_control_block +*I *2770:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[9] 2.92104e-05 +2 *2770:gpio_defaults[9] 2.92104e-05 +3 *2807:gpio_defaults[9] *2807:gpio_defaults[10] 0 +4 *2807:gpio_defaults[8] *2807:gpio_defaults[9] 0 +*RES +1 *2770:gpio_defaults[9] *2807:gpio_defaults[9] 0.830504 +*END + +*D_NET *482 5.84207e-05 +*CONN +*I *2807:gpio_defaults[10] I *D gpio_control_block +*I *2770:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[10] 2.92104e-05 +2 *2770:gpio_defaults[10] 2.92104e-05 +3 *2807:gpio_defaults[10] *2807:gpio_defaults[11] 0 +4 *2807:gpio_defaults[9] *2807:gpio_defaults[10] 0 +*RES +1 *2770:gpio_defaults[10] *2807:gpio_defaults[10] 0.830504 +*END + +*D_NET *483 5.84207e-05 +*CONN +*I *2807:gpio_defaults[11] I *D gpio_control_block +*I *2770:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[11] 2.92104e-05 +2 *2770:gpio_defaults[11] 2.92104e-05 +3 *2807:gpio_defaults[11] *2807:gpio_defaults[12] 0 +4 *2807:gpio_defaults[10] *2807:gpio_defaults[11] 0 +*RES +1 *2770:gpio_defaults[11] *2807:gpio_defaults[11] 0.830504 +*END + +*D_NET *484 5.84207e-05 +*CONN +*I *2807:gpio_defaults[12] I *D gpio_control_block +*I *2770:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2807:gpio_defaults[12] 2.92104e-05 +2 *2770:gpio_defaults[12] 2.92104e-05 +3 *2807:gpio_defaults[11] *2807:gpio_defaults[12] 0 +*RES +1 *2770:gpio_defaults[12] *2807:gpio_defaults[12] 0.830504 +*END + +*D_NET *485 5.84207e-05 +*CONN +*I *2808:gpio_defaults[0] I *D gpio_control_block +*I *2771:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[0] 2.92104e-05 +2 *2771:gpio_defaults[0] 2.92104e-05 +3 *2808:gpio_defaults[0] *2808:gpio_defaults[1] 0 +*RES +1 *2771:gpio_defaults[0] *2808:gpio_defaults[0] 0.830504 +*END + +*D_NET *486 0.00294098 +*CONN +*I *2798:gpio_defaults[3] I *D gpio_control_block +*I *2759:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[3] 0.00044739 +2 *2759:gpio_defaults[3] 0.00044739 +3 *2798:gpio_defaults[3] *2798:gpio_defaults[10] 0 +4 *2798:gpio_defaults[3] *2798:gpio_defaults[4] 0.000706559 +5 *2798:gpio_defaults[3] *2798:gpio_defaults[8] 1.00937e-05 +6 *2798:gpio_defaults[3] *2798:gpio_defaults[9] 0.0012998 +7 *2798:gpio_defaults[1] *2798:gpio_defaults[3] 0 +8 *2798:gpio_defaults[2] *2798:gpio_defaults[3] 2.9752e-05 +*RES +1 *2759:gpio_defaults[3] *2798:gpio_defaults[3] 28.0313 +*END + +*D_NET *487 5.84207e-05 +*CONN +*I *2808:gpio_defaults[1] I *D gpio_control_block +*I *2771:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[1] 2.92104e-05 +2 *2771:gpio_defaults[1] 2.92104e-05 +3 *2808:gpio_defaults[1] *2808:gpio_defaults[2] 0 +4 *2808:gpio_defaults[0] *2808:gpio_defaults[1] 0 +*RES +1 *2771:gpio_defaults[1] *2808:gpio_defaults[1] 0.830504 +*END + +*D_NET *488 5.84207e-05 +*CONN +*I *2808:gpio_defaults[2] I *D gpio_control_block +*I *2771:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[2] 2.92104e-05 +2 *2771:gpio_defaults[2] 2.92104e-05 +3 *2808:gpio_defaults[2] *2808:gpio_defaults[3] 0 +4 *2808:gpio_defaults[1] *2808:gpio_defaults[2] 0 +*RES +1 *2771:gpio_defaults[2] *2808:gpio_defaults[2] 0.830504 +*END + +*D_NET *489 5.84207e-05 +*CONN +*I *2808:gpio_defaults[3] I *D gpio_control_block +*I *2771:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[3] 2.92104e-05 +2 *2771:gpio_defaults[3] 2.92104e-05 +3 *2808:gpio_defaults[3] *2808:gpio_defaults[4] 0 +4 *2808:gpio_defaults[2] *2808:gpio_defaults[3] 0 +*RES +1 *2771:gpio_defaults[3] *2808:gpio_defaults[3] 0.830504 +*END + +*D_NET *490 5.84207e-05 +*CONN +*I *2808:gpio_defaults[4] I *D gpio_control_block +*I *2771:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[4] 2.92104e-05 +2 *2771:gpio_defaults[4] 2.92104e-05 +3 *2808:gpio_defaults[4] *2808:gpio_defaults[5] 0 +4 *2808:gpio_defaults[3] *2808:gpio_defaults[4] 0 +*RES +1 *2771:gpio_defaults[4] *2808:gpio_defaults[4] 0.830504 +*END + +*D_NET *491 5.84207e-05 +*CONN +*I *2808:gpio_defaults[5] I *D gpio_control_block +*I *2771:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[5] 2.92104e-05 +2 *2771:gpio_defaults[5] 2.92104e-05 +3 *2808:gpio_defaults[5] *2808:gpio_defaults[6] 0 +4 *2808:gpio_defaults[4] *2808:gpio_defaults[5] 0 +*RES +1 *2771:gpio_defaults[5] *2808:gpio_defaults[5] 0.830504 +*END + +*D_NET *492 5.84207e-05 +*CONN +*I *2808:gpio_defaults[6] I *D gpio_control_block +*I *2771:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[6] 2.92104e-05 +2 *2771:gpio_defaults[6] 2.92104e-05 +3 *2808:gpio_defaults[6] *2808:gpio_defaults[7] 0 +4 *2808:gpio_defaults[5] *2808:gpio_defaults[6] 0 +*RES +1 *2771:gpio_defaults[6] *2808:gpio_defaults[6] 0.830504 +*END + +*D_NET *493 5.84207e-05 +*CONN +*I *2808:gpio_defaults[7] I *D gpio_control_block +*I *2771:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[7] 2.92104e-05 +2 *2771:gpio_defaults[7] 2.92104e-05 +3 *2808:gpio_defaults[7] *2808:gpio_defaults[8] 0 +4 *2808:gpio_defaults[6] *2808:gpio_defaults[7] 0 +*RES +1 *2771:gpio_defaults[7] *2808:gpio_defaults[7] 0.830504 +*END + +*D_NET *494 5.84207e-05 +*CONN +*I *2808:gpio_defaults[8] I *D gpio_control_block +*I *2771:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[8] 2.92104e-05 +2 *2771:gpio_defaults[8] 2.92104e-05 +3 *2808:gpio_defaults[8] *2808:gpio_defaults[9] 0 +4 *2808:gpio_defaults[7] *2808:gpio_defaults[8] 0 +*RES +1 *2771:gpio_defaults[8] *2808:gpio_defaults[8] 0.830504 +*END + +*D_NET *495 5.84207e-05 +*CONN +*I *2808:gpio_defaults[9] I *D gpio_control_block +*I *2771:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[9] 2.92104e-05 +2 *2771:gpio_defaults[9] 2.92104e-05 +3 *2808:gpio_defaults[9] *2808:gpio_defaults[10] 0 +4 *2808:gpio_defaults[8] *2808:gpio_defaults[9] 0 +*RES +1 *2771:gpio_defaults[9] *2808:gpio_defaults[9] 0.830504 +*END + +*D_NET *496 5.84207e-05 +*CONN +*I *2808:gpio_defaults[10] I *D gpio_control_block +*I *2771:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[10] 2.92104e-05 +2 *2771:gpio_defaults[10] 2.92104e-05 +3 *2808:gpio_defaults[10] *2808:gpio_defaults[11] 0 +4 *2808:gpio_defaults[9] *2808:gpio_defaults[10] 0 +*RES +1 *2771:gpio_defaults[10] *2808:gpio_defaults[10] 0.830504 +*END + +*D_NET *497 0.00195489 +*CONN +*I *2798:gpio_defaults[4] I *D gpio_control_block +*I *2759:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[4] 0.000377292 +2 *2759:gpio_defaults[4] 0.000377292 +3 *2798:gpio_defaults[4] *2798:gpio_defaults[5] 0.000175485 +4 *2798:gpio_defaults[4] *2798:gpio_defaults[7] 4.82966e-05 +5 *2798:gpio_defaults[4] *2798:gpio_defaults[8] 0.000269963 +6 *2798:gpio_defaults[3] *2798:gpio_defaults[4] 0.000706559 +*RES +1 *2759:gpio_defaults[4] *2798:gpio_defaults[4] 22.07 +*END + +*D_NET *498 5.84207e-05 +*CONN +*I *2808:gpio_defaults[11] I *D gpio_control_block +*I *2771:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[11] 2.92104e-05 +2 *2771:gpio_defaults[11] 2.92104e-05 +3 *2808:gpio_defaults[11] *2808:gpio_defaults[12] 0 +4 *2808:gpio_defaults[10] *2808:gpio_defaults[11] 0 +*RES +1 *2771:gpio_defaults[11] *2808:gpio_defaults[11] 0.830504 +*END + +*D_NET *499 5.84207e-05 +*CONN +*I *2808:gpio_defaults[12] I *D gpio_control_block +*I *2771:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2808:gpio_defaults[12] 2.92104e-05 +2 *2771:gpio_defaults[12] 2.92104e-05 +3 *2808:gpio_defaults[11] *2808:gpio_defaults[12] 0 +*RES +1 *2771:gpio_defaults[12] *2808:gpio_defaults[12] 0.830504 +*END + +*D_NET *500 5.84207e-05 +*CONN +*I *2809:gpio_defaults[0] I *D gpio_control_block +*I *2772:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[0] 2.92104e-05 +2 *2772:gpio_defaults[0] 2.92104e-05 +3 *2809:gpio_defaults[0] *2809:gpio_defaults[1] 0 +*RES +1 *2772:gpio_defaults[0] *2809:gpio_defaults[0] 0.830504 +*END + +*D_NET *501 5.84207e-05 +*CONN +*I *2809:gpio_defaults[1] I *D gpio_control_block +*I *2772:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[1] 2.92104e-05 +2 *2772:gpio_defaults[1] 2.92104e-05 +3 *2809:gpio_defaults[1] *2809:gpio_defaults[2] 0 +4 *2809:gpio_defaults[0] *2809:gpio_defaults[1] 0 +*RES +1 *2772:gpio_defaults[1] *2809:gpio_defaults[1] 0.830504 +*END + +*D_NET *502 5.84207e-05 +*CONN +*I *2809:gpio_defaults[2] I *D gpio_control_block +*I *2772:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[2] 2.92104e-05 +2 *2772:gpio_defaults[2] 2.92104e-05 +3 *2809:gpio_defaults[2] *2809:gpio_defaults[3] 0 +4 *2809:gpio_defaults[1] *2809:gpio_defaults[2] 0 +*RES +1 *2772:gpio_defaults[2] *2809:gpio_defaults[2] 0.830504 +*END + +*D_NET *503 5.84207e-05 +*CONN +*I *2809:gpio_defaults[3] I *D gpio_control_block +*I *2772:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[3] 2.92104e-05 +2 *2772:gpio_defaults[3] 2.92104e-05 +3 *2809:gpio_defaults[3] *2809:gpio_defaults[4] 0 +4 *2809:gpio_defaults[2] *2809:gpio_defaults[3] 0 +*RES +1 *2772:gpio_defaults[3] *2809:gpio_defaults[3] 0.830504 +*END + +*D_NET *504 5.84207e-05 +*CONN +*I *2809:gpio_defaults[4] I *D gpio_control_block +*I *2772:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[4] 2.92104e-05 +2 *2772:gpio_defaults[4] 2.92104e-05 +3 *2809:gpio_defaults[4] *2809:gpio_defaults[5] 0 +4 *2809:gpio_defaults[3] *2809:gpio_defaults[4] 0 +*RES +1 *2772:gpio_defaults[4] *2809:gpio_defaults[4] 0.830504 +*END + +*D_NET *505 5.84207e-05 +*CONN +*I *2809:gpio_defaults[5] I *D gpio_control_block +*I *2772:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[5] 2.92104e-05 +2 *2772:gpio_defaults[5] 2.92104e-05 +3 *2809:gpio_defaults[5] *2809:gpio_defaults[6] 0 +4 *2809:gpio_defaults[4] *2809:gpio_defaults[5] 0 +*RES +1 *2772:gpio_defaults[5] *2809:gpio_defaults[5] 0.830504 +*END + +*D_NET *506 5.84207e-05 +*CONN +*I *2809:gpio_defaults[6] I *D gpio_control_block +*I *2772:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[6] 2.92104e-05 +2 *2772:gpio_defaults[6] 2.92104e-05 +3 *2809:gpio_defaults[6] *2809:gpio_defaults[7] 0 +4 *2809:gpio_defaults[5] *2809:gpio_defaults[6] 0 +*RES +1 *2772:gpio_defaults[6] *2809:gpio_defaults[6] 0.830504 +*END + +*D_NET *507 5.84207e-05 +*CONN +*I *2809:gpio_defaults[7] I *D gpio_control_block +*I *2772:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[7] 2.92104e-05 +2 *2772:gpio_defaults[7] 2.92104e-05 +3 *2809:gpio_defaults[7] *2809:gpio_defaults[8] 0 +4 *2809:gpio_defaults[6] *2809:gpio_defaults[7] 0 +*RES +1 *2772:gpio_defaults[7] *2809:gpio_defaults[7] 0.830504 +*END + +*D_NET *508 0.00122838 +*CONN +*I *2798:gpio_defaults[5] I *D gpio_control_block +*I *2759:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[5] 0.000371682 +2 *2759:gpio_defaults[5] 0.000371682 +3 *2798:gpio_defaults[5] *2798:gpio_defaults[6] 2.44253e-05 +4 *2798:gpio_defaults[5] *2798:gpio_defaults[7] 0.000285103 +5 *2798:gpio_defaults[4] *2798:gpio_defaults[5] 0.000175485 +*RES +1 *2759:gpio_defaults[5] *2798:gpio_defaults[5] 16.1087 +*END + +*D_NET *509 5.84207e-05 +*CONN +*I *2809:gpio_defaults[8] I *D gpio_control_block +*I *2772:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[8] 2.92104e-05 +2 *2772:gpio_defaults[8] 2.92104e-05 +3 *2809:gpio_defaults[8] *2809:gpio_defaults[9] 0 +4 *2809:gpio_defaults[7] *2809:gpio_defaults[8] 0 +*RES +1 *2772:gpio_defaults[8] *2809:gpio_defaults[8] 0.830504 +*END + +*D_NET *510 5.84207e-05 +*CONN +*I *2809:gpio_defaults[9] I *D gpio_control_block +*I *2772:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[9] 2.92104e-05 +2 *2772:gpio_defaults[9] 2.92104e-05 +3 *2809:gpio_defaults[9] *2809:gpio_defaults[10] 0 +4 *2809:gpio_defaults[8] *2809:gpio_defaults[9] 0 +*RES +1 *2772:gpio_defaults[9] *2809:gpio_defaults[9] 0.830504 +*END + +*D_NET *511 5.84207e-05 +*CONN +*I *2809:gpio_defaults[10] I *D gpio_control_block +*I *2772:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[10] 2.92104e-05 +2 *2772:gpio_defaults[10] 2.92104e-05 +3 *2809:gpio_defaults[10] *2809:gpio_defaults[11] 0 +4 *2809:gpio_defaults[9] *2809:gpio_defaults[10] 0 +*RES +1 *2772:gpio_defaults[10] *2809:gpio_defaults[10] 0.830504 +*END + +*D_NET *512 5.84207e-05 +*CONN +*I *2809:gpio_defaults[11] I *D gpio_control_block +*I *2772:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[11] 2.92104e-05 +2 *2772:gpio_defaults[11] 2.92104e-05 +3 *2809:gpio_defaults[11] *2809:gpio_defaults[12] 0 +4 *2809:gpio_defaults[10] *2809:gpio_defaults[11] 0 +*RES +1 *2772:gpio_defaults[11] *2809:gpio_defaults[11] 0.830504 +*END + +*D_NET *513 5.84207e-05 +*CONN +*I *2809:gpio_defaults[12] I *D gpio_control_block +*I *2772:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2809:gpio_defaults[12] 2.92104e-05 +2 *2772:gpio_defaults[12] 2.92104e-05 +3 *2809:gpio_defaults[11] *2809:gpio_defaults[12] 0 +*RES +1 *2772:gpio_defaults[12] *2809:gpio_defaults[12] 0.830504 +*END + +*D_NET *514 5.84207e-05 +*CONN +*I *2783:gpio_defaults[0] I *D gpio_control_block +*I *2773:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[0] 2.92104e-05 +2 *2773:gpio_defaults[0] 2.92104e-05 +3 *2783:gpio_defaults[0] *2783:gpio_defaults[1] 0 +*RES +1 *2773:gpio_defaults[0] *2783:gpio_defaults[0] 0.830504 +*END + +*D_NET *515 5.84207e-05 +*CONN +*I *2783:gpio_defaults[1] I *D gpio_control_block +*I *2773:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[1] 2.92104e-05 +2 *2773:gpio_defaults[1] 2.92104e-05 +3 *2783:gpio_defaults[1] *2783:gpio_defaults[2] 0 +4 *2783:gpio_defaults[0] *2783:gpio_defaults[1] 0 +*RES +1 *2773:gpio_defaults[1] *2783:gpio_defaults[1] 0.830504 +*END + +*D_NET *516 5.84207e-05 +*CONN +*I *2783:gpio_defaults[2] I *D gpio_control_block +*I *2773:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[2] 2.92104e-05 +2 *2773:gpio_defaults[2] 2.92104e-05 +3 *2783:gpio_defaults[2] *2783:gpio_defaults[3] 0 +4 *2783:gpio_defaults[1] *2783:gpio_defaults[2] 0 +*RES +1 *2773:gpio_defaults[2] *2783:gpio_defaults[2] 0.830504 +*END + +*D_NET *517 5.84207e-05 +*CONN +*I *2783:gpio_defaults[3] I *D gpio_control_block +*I *2773:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[3] 2.92104e-05 +2 *2773:gpio_defaults[3] 2.92104e-05 +3 *2783:gpio_defaults[3] *2783:gpio_defaults[4] 0 +4 *2783:gpio_defaults[2] *2783:gpio_defaults[3] 0 +*RES +1 *2773:gpio_defaults[3] *2783:gpio_defaults[3] 0.830504 +*END + +*D_NET *518 5.84207e-05 +*CONN +*I *2783:gpio_defaults[4] I *D gpio_control_block +*I *2773:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[4] 2.92104e-05 +2 *2773:gpio_defaults[4] 2.92104e-05 +3 *2783:gpio_defaults[4] *2783:gpio_defaults[5] 0 +4 *2783:gpio_defaults[3] *2783:gpio_defaults[4] 0 +*RES +1 *2773:gpio_defaults[4] *2783:gpio_defaults[4] 0.830504 +*END + +*D_NET *519 0.000104205 +*CONN +*I *2798:gpio_defaults[6] I *D gpio_control_block +*I *2759:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[6] 3.6932e-05 +2 *2759:gpio_defaults[6] 3.6932e-05 +3 *2798:gpio_defaults[6] *2798:gpio_defaults[7] 5.91599e-06 +4 *2798:gpio_defaults[5] *2798:gpio_defaults[6] 2.44253e-05 +*RES +1 *2759:gpio_defaults[6] *2798:gpio_defaults[6] 0.830504 +*END + +*D_NET *520 5.84207e-05 +*CONN +*I *2783:gpio_defaults[5] I *D gpio_control_block +*I *2773:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[5] 2.92104e-05 +2 *2773:gpio_defaults[5] 2.92104e-05 +3 *2783:gpio_defaults[5] *2783:gpio_defaults[6] 0 +4 *2783:gpio_defaults[4] *2783:gpio_defaults[5] 0 +*RES +1 *2773:gpio_defaults[5] *2783:gpio_defaults[5] 0.830504 +*END + +*D_NET *521 5.84207e-05 +*CONN +*I *2783:gpio_defaults[6] I *D gpio_control_block +*I *2773:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[6] 2.92104e-05 +2 *2773:gpio_defaults[6] 2.92104e-05 +3 *2783:gpio_defaults[6] *2783:gpio_defaults[7] 0 +4 *2783:gpio_defaults[5] *2783:gpio_defaults[6] 0 +*RES +1 *2773:gpio_defaults[6] *2783:gpio_defaults[6] 0.830504 +*END + +*D_NET *522 5.84207e-05 +*CONN +*I *2783:gpio_defaults[7] I *D gpio_control_block +*I *2773:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[7] 2.92104e-05 +2 *2773:gpio_defaults[7] 2.92104e-05 +3 *2783:gpio_defaults[7] *2783:gpio_defaults[8] 0 +4 *2783:gpio_defaults[6] *2783:gpio_defaults[7] 0 +*RES +1 *2773:gpio_defaults[7] *2783:gpio_defaults[7] 0.830504 +*END + +*D_NET *523 5.84207e-05 +*CONN +*I *2783:gpio_defaults[8] I *D gpio_control_block +*I *2773:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[8] 2.92104e-05 +2 *2773:gpio_defaults[8] 2.92104e-05 +3 *2783:gpio_defaults[8] *2783:gpio_defaults[9] 0 +4 *2783:gpio_defaults[7] *2783:gpio_defaults[8] 0 +*RES +1 *2773:gpio_defaults[8] *2783:gpio_defaults[8] 0.830504 +*END + +*D_NET *524 5.84207e-05 +*CONN +*I *2783:gpio_defaults[9] I *D gpio_control_block +*I *2773:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[9] 2.92104e-05 +2 *2773:gpio_defaults[9] 2.92104e-05 +3 *2783:gpio_defaults[9] *2783:gpio_defaults[10] 0 +4 *2783:gpio_defaults[8] *2783:gpio_defaults[9] 0 +*RES +1 *2773:gpio_defaults[9] *2783:gpio_defaults[9] 0.830504 +*END + +*D_NET *525 5.84207e-05 +*CONN +*I *2783:gpio_defaults[10] I *D gpio_control_block +*I *2773:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[10] 2.92104e-05 +2 *2773:gpio_defaults[10] 2.92104e-05 +3 *2783:gpio_defaults[10] *2783:gpio_defaults[11] 0 +4 *2783:gpio_defaults[9] *2783:gpio_defaults[10] 0 +*RES +1 *2773:gpio_defaults[10] *2783:gpio_defaults[10] 0.830504 +*END + +*D_NET *526 5.84207e-05 +*CONN +*I *2783:gpio_defaults[11] I *D gpio_control_block +*I *2773:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[11] 2.92104e-05 +2 *2773:gpio_defaults[11] 2.92104e-05 +3 *2783:gpio_defaults[11] *2783:gpio_defaults[12] 0 +4 *2783:gpio_defaults[10] *2783:gpio_defaults[11] 0 +*RES +1 *2773:gpio_defaults[11] *2783:gpio_defaults[11] 0.830504 +*END + +*D_NET *527 5.84207e-05 +*CONN +*I *2783:gpio_defaults[12] I *D gpio_control_block +*I *2773:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2783:gpio_defaults[12] 2.92104e-05 +2 *2773:gpio_defaults[12] 2.92104e-05 +3 *2783:gpio_defaults[11] *2783:gpio_defaults[12] 0 +*RES +1 *2773:gpio_defaults[12] *2783:gpio_defaults[12] 0.830504 +*END + +*D_NET *528 7.55152e-05 +*CONN +*I *2784:gpio_defaults[0] I *D gpio_control_block +*I *2774:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[0] 3.07164e-05 +2 *2774:gpio_defaults[0] 3.07164e-05 +3 *2784:gpio_defaults[0] *2287:25 1.7767e-06 +4 *108:88 *2784:gpio_defaults[0] 1.23056e-05 +*RES +1 *2774:gpio_defaults[0] *2784:gpio_defaults[0] 0.830504 +*END + +*D_NET *529 7.64785e-05 +*CONN +*I *2784:gpio_defaults[1] I *D gpio_control_block +*I *2774:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[1] 3.82393e-05 +2 *2774:gpio_defaults[1] 3.82393e-05 +*RES +1 *2774:gpio_defaults[1] *2784:gpio_defaults[1] 0.830504 +*END + +*D_NET *530 0.00113663 +*CONN +*I *2798:gpio_defaults[7] I *D gpio_control_block +*I *2759:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[7] 0.000269594 +2 *2759:gpio_defaults[7] 0.000269594 +3 *2798:gpio_defaults[7] *2798:gpio_defaults[8] 0.000258128 +4 *2798:gpio_defaults[4] *2798:gpio_defaults[7] 4.82966e-05 +5 *2798:gpio_defaults[5] *2798:gpio_defaults[7] 0.000285103 +6 *2798:gpio_defaults[6] *2798:gpio_defaults[7] 5.91599e-06 +*RES +1 *2759:gpio_defaults[7] *2798:gpio_defaults[7] 16.2578 +*END + +*D_NET *531 7.64785e-05 +*CONN +*I *2784:gpio_defaults[2] I *D gpio_control_block +*I *2774:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[2] 3.82393e-05 +2 *2774:gpio_defaults[2] 3.82393e-05 +*RES +1 *2774:gpio_defaults[2] *2784:gpio_defaults[2] 0.830504 +*END + +*D_NET *532 7.64785e-05 +*CONN +*I *2784:gpio_defaults[3] I *D gpio_control_block +*I *2774:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[3] 3.82393e-05 +2 *2774:gpio_defaults[3] 3.82393e-05 +*RES +1 *2774:gpio_defaults[3] *2784:gpio_defaults[3] 0.830504 +*END + +*D_NET *533 7.64785e-05 +*CONN +*I *2784:gpio_defaults[4] I *D gpio_control_block +*I *2774:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[4] 3.82393e-05 +2 *2774:gpio_defaults[4] 3.82393e-05 +*RES +1 *2774:gpio_defaults[4] *2784:gpio_defaults[4] 0.830504 +*END + +*D_NET *534 7.64785e-05 +*CONN +*I *2784:gpio_defaults[5] I *D gpio_control_block +*I *2774:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[5] 3.82393e-05 +2 *2774:gpio_defaults[5] 3.82393e-05 +*RES +1 *2774:gpio_defaults[5] *2784:gpio_defaults[5] 0.830504 +*END + +*D_NET *535 7.64785e-05 +*CONN +*I *2784:gpio_defaults[6] I *D gpio_control_block +*I *2774:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[6] 3.82393e-05 +2 *2774:gpio_defaults[6] 3.82393e-05 +*RES +1 *2774:gpio_defaults[6] *2784:gpio_defaults[6] 0.830504 +*END + +*D_NET *536 7.64785e-05 +*CONN +*I *2784:gpio_defaults[7] I *D gpio_control_block +*I *2774:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[7] 3.82393e-05 +2 *2774:gpio_defaults[7] 3.82393e-05 +*RES +1 *2774:gpio_defaults[7] *2784:gpio_defaults[7] 0.830504 +*END + +*D_NET *537 7.64785e-05 +*CONN +*I *2784:gpio_defaults[8] I *D gpio_control_block +*I *2774:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[8] 3.82393e-05 +2 *2774:gpio_defaults[8] 3.82393e-05 +*RES +1 *2774:gpio_defaults[8] *2784:gpio_defaults[8] 0.830504 +*END + +*D_NET *538 7.64785e-05 +*CONN +*I *2784:gpio_defaults[9] I *D gpio_control_block +*I *2774:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[9] 3.82393e-05 +2 *2774:gpio_defaults[9] 3.82393e-05 +*RES +1 *2774:gpio_defaults[9] *2784:gpio_defaults[9] 0.830504 +*END + +*D_NET *539 7.64785e-05 +*CONN +*I *2784:gpio_defaults[10] I *D gpio_control_block +*I *2774:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[10] 3.82393e-05 +2 *2774:gpio_defaults[10] 3.82393e-05 +*RES +1 *2774:gpio_defaults[10] *2784:gpio_defaults[10] 0.830504 +*END + +*D_NET *540 7.64785e-05 +*CONN +*I *2784:gpio_defaults[11] I *D gpio_control_block +*I *2774:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[11] 3.82393e-05 +2 *2774:gpio_defaults[11] 3.82393e-05 +*RES +1 *2774:gpio_defaults[11] *2784:gpio_defaults[11] 0.830504 +*END + +*D_NET *541 0.00196433 +*CONN +*I *2798:gpio_defaults[8] I *D gpio_control_block +*I *2759:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[8] 0.000335346 +2 *2759:gpio_defaults[8] 0.000335346 +3 *2798:gpio_defaults[8] *2798:gpio_defaults[9] 0 +4 *2798:gpio_defaults[2] *2798:gpio_defaults[8] 0.000755455 +5 *2798:gpio_defaults[3] *2798:gpio_defaults[8] 1.00937e-05 +6 *2798:gpio_defaults[4] *2798:gpio_defaults[8] 0.000269963 +7 *2798:gpio_defaults[7] *2798:gpio_defaults[8] 0.000258128 +*RES +1 *2759:gpio_defaults[8] *2798:gpio_defaults[8] 22.221 +*END + +*D_NET *542 7.64785e-05 +*CONN +*I *2784:gpio_defaults[12] I *D gpio_control_block +*I *2774:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2784:gpio_defaults[12] 3.82393e-05 +2 *2774:gpio_defaults[12] 3.82393e-05 +*RES +1 *2774:gpio_defaults[12] *2784:gpio_defaults[12] 0.830504 +*END + +*D_NET *543 5.84207e-05 +*CONN +*I *2785:gpio_defaults[0] I *D gpio_control_block +*I *2775:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[0] 2.92104e-05 +2 *2775:gpio_defaults[0] 2.92104e-05 +3 *2785:gpio_defaults[0] *2785:gpio_defaults[1] 0 +*RES +1 *2775:gpio_defaults[0] *2785:gpio_defaults[0] 0.830504 +*END + +*D_NET *544 5.84207e-05 +*CONN +*I *2785:gpio_defaults[1] I *D gpio_control_block +*I *2775:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[1] 2.92104e-05 +2 *2775:gpio_defaults[1] 2.92104e-05 +3 *2785:gpio_defaults[1] *2785:gpio_defaults[2] 0 +4 *2785:gpio_defaults[0] *2785:gpio_defaults[1] 0 +*RES +1 *2775:gpio_defaults[1] *2785:gpio_defaults[1] 0.830504 +*END + +*D_NET *545 5.84207e-05 +*CONN +*I *2785:gpio_defaults[2] I *D gpio_control_block +*I *2775:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[2] 2.92104e-05 +2 *2775:gpio_defaults[2] 2.92104e-05 +3 *2785:gpio_defaults[2] *2785:gpio_defaults[3] 0 +4 *2785:gpio_defaults[1] *2785:gpio_defaults[2] 0 +*RES +1 *2775:gpio_defaults[2] *2785:gpio_defaults[2] 0.830504 +*END + +*D_NET *546 5.84207e-05 +*CONN +*I *2785:gpio_defaults[3] I *D gpio_control_block +*I *2775:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[3] 2.92104e-05 +2 *2775:gpio_defaults[3] 2.92104e-05 +3 *2785:gpio_defaults[3] *2785:gpio_defaults[4] 0 +4 *2785:gpio_defaults[2] *2785:gpio_defaults[3] 0 +*RES +1 *2775:gpio_defaults[3] *2785:gpio_defaults[3] 0.830504 +*END + +*D_NET *547 5.84207e-05 +*CONN +*I *2785:gpio_defaults[4] I *D gpio_control_block +*I *2775:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[4] 2.92104e-05 +2 *2775:gpio_defaults[4] 2.92104e-05 +3 *2785:gpio_defaults[4] *2785:gpio_defaults[5] 0 +4 *2785:gpio_defaults[3] *2785:gpio_defaults[4] 0 +*RES +1 *2775:gpio_defaults[4] *2785:gpio_defaults[4] 0.830504 +*END + +*D_NET *548 5.84207e-05 +*CONN +*I *2785:gpio_defaults[5] I *D gpio_control_block +*I *2775:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[5] 2.92104e-05 +2 *2775:gpio_defaults[5] 2.92104e-05 +3 *2785:gpio_defaults[5] *2785:gpio_defaults[6] 0 +4 *2785:gpio_defaults[4] *2785:gpio_defaults[5] 0 +*RES +1 *2775:gpio_defaults[5] *2785:gpio_defaults[5] 0.830504 +*END + +*D_NET *549 5.84207e-05 +*CONN +*I *2785:gpio_defaults[6] I *D gpio_control_block +*I *2775:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[6] 2.92104e-05 +2 *2775:gpio_defaults[6] 2.92104e-05 +3 *2785:gpio_defaults[6] *2785:gpio_defaults[7] 0 +4 *2785:gpio_defaults[5] *2785:gpio_defaults[6] 0 +*RES +1 *2775:gpio_defaults[6] *2785:gpio_defaults[6] 0.830504 +*END + +*D_NET *550 5.84207e-05 +*CONN +*I *2785:gpio_defaults[7] I *D gpio_control_block +*I *2775:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[7] 2.92104e-05 +2 *2775:gpio_defaults[7] 2.92104e-05 +3 *2785:gpio_defaults[7] *2785:gpio_defaults[8] 0 +4 *2785:gpio_defaults[6] *2785:gpio_defaults[7] 0 +*RES +1 *2775:gpio_defaults[7] *2785:gpio_defaults[7] 0.830504 +*END + +*D_NET *551 5.84207e-05 +*CONN +*I *2785:gpio_defaults[8] I *D gpio_control_block +*I *2775:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[8] 2.92104e-05 +2 *2775:gpio_defaults[8] 2.92104e-05 +3 *2785:gpio_defaults[8] *2785:gpio_defaults[9] 0 +4 *2785:gpio_defaults[7] *2785:gpio_defaults[8] 0 +*RES +1 *2775:gpio_defaults[8] *2785:gpio_defaults[8] 0.830504 +*END + +*D_NET *552 0.00333056 +*CONN +*I *2798:gpio_defaults[9] I *D gpio_control_block +*I *2759:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[9] 0.000343457 +2 *2759:gpio_defaults[9] 0.000343457 +3 *2798:gpio_defaults[1] *2798:gpio_defaults[9] 0.00134385 +4 *2798:gpio_defaults[2] *2798:gpio_defaults[9] 0 +5 *2798:gpio_defaults[3] *2798:gpio_defaults[9] 0.0012998 +6 *2798:gpio_defaults[8] *2798:gpio_defaults[9] 0 +*RES +1 *2759:gpio_defaults[9] *2798:gpio_defaults[9] 28.5903 +*END + +*D_NET *553 5.84207e-05 +*CONN +*I *2785:gpio_defaults[9] I *D gpio_control_block +*I *2775:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[9] 2.92104e-05 +2 *2775:gpio_defaults[9] 2.92104e-05 +3 *2785:gpio_defaults[9] *2785:gpio_defaults[10] 0 +4 *2785:gpio_defaults[8] *2785:gpio_defaults[9] 0 +*RES +1 *2775:gpio_defaults[9] *2785:gpio_defaults[9] 0.830504 +*END + +*D_NET *554 5.84207e-05 +*CONN +*I *2785:gpio_defaults[10] I *D gpio_control_block +*I *2775:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[10] 2.92104e-05 +2 *2775:gpio_defaults[10] 2.92104e-05 +3 *2785:gpio_defaults[10] *2785:gpio_defaults[11] 0 +4 *2785:gpio_defaults[9] *2785:gpio_defaults[10] 0 +*RES +1 *2775:gpio_defaults[10] *2785:gpio_defaults[10] 0.830504 +*END + +*D_NET *555 5.84207e-05 +*CONN +*I *2785:gpio_defaults[11] I *D gpio_control_block +*I *2775:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[11] 2.92104e-05 +2 *2775:gpio_defaults[11] 2.92104e-05 +3 *2785:gpio_defaults[11] *2785:gpio_defaults[12] 0 +4 *2785:gpio_defaults[10] *2785:gpio_defaults[11] 0 +*RES +1 *2775:gpio_defaults[11] *2785:gpio_defaults[11] 0.830504 +*END + +*D_NET *556 5.84207e-05 +*CONN +*I *2785:gpio_defaults[12] I *D gpio_control_block +*I *2775:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2785:gpio_defaults[12] 2.92104e-05 +2 *2775:gpio_defaults[12] 2.92104e-05 +3 *2785:gpio_defaults[11] *2785:gpio_defaults[12] 0 +*RES +1 *2775:gpio_defaults[12] *2785:gpio_defaults[12] 0.830504 +*END + +*D_NET *557 0.00440508 +*CONN +*I *2798:gpio_defaults[10] I *D gpio_control_block +*I *2759:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[10] 0.000354593 +2 *2759:gpio_defaults[10] 0.000354593 +3 *2798:gpio_defaults[10] *2798:gpio_defaults[11] 0.00187071 +4 *2798:gpio_defaults[1] *2798:gpio_defaults[10] 0 +5 *2798:gpio_defaults[2] *2798:gpio_defaults[10] 0.00182519 +6 *2798:gpio_defaults[3] *2798:gpio_defaults[10] 0 +*RES +1 *2759:gpio_defaults[10] *2798:gpio_defaults[10] 34.1363 +*END + +*D_NET *558 0.00181255 +*CONN +*I *2781:gpio_defaults[4] I *D gpio_control_block +*I *2743:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[4] 0.000446576 +2 *2743:gpio_defaults[4] 0.000446576 +3 *2781:gpio_defaults[4] *2781:gpio_defaults[5] 0.000154145 +4 *2781:gpio_defaults[4] *2781:gpio_defaults[7] 0.00020502 +5 *2781:gpio_defaults[4] *2781:gpio_defaults[8] 0.00031875 +6 *2781:gpio_defaults[10] *2781:gpio_defaults[4] 0 +7 *2781:gpio_defaults[3] *2781:gpio_defaults[4] 0.000241483 +*RES +1 *2743:gpio_defaults[4] *2781:gpio_defaults[4] 22.4853 +*END + +*D_NET *559 0.00551175 +*CONN +*I *2798:gpio_defaults[11] I *D gpio_control_block +*I *2759:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[11] 0.000529203 +2 *2759:gpio_defaults[11] 0.000529203 +3 *2798:gpio_defaults[11] *2798:gpio_defaults[12] 0.00255691 +4 *2798:gpio_defaults[0] *2798:gpio_defaults[11] 2.57246e-05 +5 *2798:gpio_defaults[10] *2798:gpio_defaults[11] 0.00187071 +6 *2798:gpio_defaults[1] *2798:gpio_defaults[11] 0 +7 *2798:gpio_defaults[2] *2798:gpio_defaults[11] 0 +*RES +1 *2759:gpio_defaults[11] *2798:gpio_defaults[11] 41.6033 +*END + +*D_NET *560 0.00471794 +*CONN +*I *2798:gpio_defaults[12] I *D gpio_control_block +*I *2759:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2798:gpio_defaults[12] 0.00106975 +2 *2759:gpio_defaults[12] 0.00106975 +3 *2798:gpio_defaults[0] *2798:gpio_defaults[12] 2.15266e-05 +4 *2798:gpio_defaults[11] *2798:gpio_defaults[12] 0.00255691 +*RES +1 *2759:gpio_defaults[12] *2798:gpio_defaults[12] 49.3774 +*END + +*D_NET *561 0.00660866 +*CONN +*I *2799:gpio_defaults[0] I *D gpio_control_block +*I *2760:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[0] 0.000570961 +2 *2760:gpio_defaults[0] 0.000570961 +3 *2799:gpio_defaults[0] *2799:gpio_defaults[11] 0.000260057 +4 *2799:gpio_defaults[0] *2799:gpio_defaults[12] 0.00292175 +5 *2799:gpio_defaults[0] *2799:gpio_defaults[1] 0.00228493 +*RES +1 *2760:gpio_defaults[0] *2799:gpio_defaults[0] 46.7385 +*END + +*D_NET *562 0.00545916 +*CONN +*I *2799:gpio_defaults[1] I *D gpio_control_block +*I *2760:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[1] 0.0005433 +2 *2760:gpio_defaults[1] 0.0005433 +3 *2799:gpio_defaults[1] *2799:gpio_defaults[10] 0.0018176 +4 *2799:gpio_defaults[1] *2799:gpio_defaults[11] 0.000270029 +5 *2799:gpio_defaults[1] *2799:gpio_defaults[3] 0 +6 *2799:gpio_defaults[0] *2799:gpio_defaults[1] 0.00228493 +*RES +1 *2760:gpio_defaults[1] *2799:gpio_defaults[1] 40.3692 +*END + +*D_NET *563 0.002877 +*CONN +*I *2799:gpio_defaults[2] I *D gpio_control_block +*I *2760:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[2] 0.000765544 +2 *2760:gpio_defaults[2] 0.000765544 +3 *2799:gpio_defaults[2] *2799:gpio_defaults[10] 0.000108284 +4 *2799:gpio_defaults[2] *2799:gpio_defaults[3] 0.00123763 +5 *2799:gpio_defaults[2] *2799:gpio_defaults[9] 0 +*RES +1 *2760:gpio_defaults[2] *2799:gpio_defaults[2] 34.4079 +*END + +*D_NET *564 0.00272754 +*CONN +*I *2799:gpio_defaults[3] I *D gpio_control_block +*I *2760:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[3] 0.000440066 +2 *2760:gpio_defaults[3] 0.000440066 +3 *2799:gpio_defaults[3] *2799:gpio_defaults[4] 0.000241483 +4 *2799:gpio_defaults[3] *2799:gpio_defaults[5] 0.000175485 +5 *2799:gpio_defaults[3] *2799:gpio_defaults[8] 1.00937e-05 +6 *2799:gpio_defaults[3] *2799:gpio_defaults[9] 0.000182712 +7 *2799:gpio_defaults[1] *2799:gpio_defaults[3] 0 +8 *2799:gpio_defaults[2] *2799:gpio_defaults[3] 0.00123763 +*RES +1 *2760:gpio_defaults[3] *2799:gpio_defaults[3] 28.4466 +*END + +*D_NET *565 0.00178834 +*CONN +*I *2799:gpio_defaults[4] I *D gpio_control_block +*I *2760:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[4] 0.000421547 +2 *2760:gpio_defaults[4] 0.000421547 +3 *2799:gpio_defaults[4] *2799:gpio_defaults[5] 0.000167076 +4 *2799:gpio_defaults[4] *2799:gpio_defaults[7] 0.000217937 +5 *2799:gpio_defaults[4] *2799:gpio_defaults[8] 0.00031875 +6 *2799:gpio_defaults[3] *2799:gpio_defaults[4] 0.000241483 +*RES +1 *2760:gpio_defaults[4] *2799:gpio_defaults[4] 22.4853 +*END + +*D_NET *566 0.00121266 +*CONN +*I *2799:gpio_defaults[5] I *D gpio_control_block +*I *2760:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[5] 0.000358766 +2 *2760:gpio_defaults[5] 0.000358766 +3 *2799:gpio_defaults[5] *2799:gpio_defaults[6] 2.0798e-05 +4 *2799:gpio_defaults[5] *2799:gpio_defaults[7] 0.00013177 +5 *2799:gpio_defaults[3] *2799:gpio_defaults[5] 0.000175485 +6 *2799:gpio_defaults[4] *2799:gpio_defaults[5] 0.000167076 +*RES +1 *2760:gpio_defaults[5] *2799:gpio_defaults[5] 16.9393 +*END + +*D_NET *567 0.000109938 +*CONN +*I *2799:gpio_defaults[6] I *D gpio_control_block +*I *2760:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[6] 3.59357e-05 +2 *2760:gpio_defaults[6] 3.59357e-05 +3 *2799:gpio_defaults[6] *2799:gpio_defaults[7] 1.7269e-05 +4 *2799:gpio_defaults[5] *2799:gpio_defaults[6] 2.0798e-05 +*RES +1 *2760:gpio_defaults[6] *2799:gpio_defaults[6] 0.830504 +*END + +*D_NET *568 0.0012426 +*CONN +*I *2799:gpio_defaults[7] I *D gpio_control_block +*I *2760:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[7] 0.000337414 +2 *2760:gpio_defaults[7] 0.000337414 +3 *2799:gpio_defaults[7] *2799:gpio_defaults[8] 0.000200794 +4 *2799:gpio_defaults[4] *2799:gpio_defaults[7] 0.000217937 +5 *2799:gpio_defaults[5] *2799:gpio_defaults[7] 0.00013177 +6 *2799:gpio_defaults[6] *2799:gpio_defaults[7] 1.7269e-05 +*RES +1 *2760:gpio_defaults[7] *2799:gpio_defaults[7] 16.932 +*END + +*D_NET *569 0.00128377 +*CONN +*I *2781:gpio_defaults[5] I *D gpio_control_block +*I *2743:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[5] 0.000393908 +2 *2743:gpio_defaults[5] 0.000393908 +3 *2781:gpio_defaults[5] *2781:gpio_defaults[6] 2.89375e-05 +4 *2781:gpio_defaults[5] *2781:gpio_defaults[7] 0.000137383 +5 *2781:gpio_defaults[3] *2781:gpio_defaults[5] 0.000175485 +6 *2781:gpio_defaults[4] *2781:gpio_defaults[5] 0.000154145 +*RES +1 *2743:gpio_defaults[5] *2781:gpio_defaults[5] 16.9393 +*END + +*D_NET *570 0.00201293 +*CONN +*I *2799:gpio_defaults[8] I *D gpio_control_block +*I *2760:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[8] 0.000380049 +2 *2760:gpio_defaults[8] 0.000380049 +3 *2799:gpio_defaults[8] *2799:gpio_defaults[9] 0.000723192 +4 *2799:gpio_defaults[3] *2799:gpio_defaults[8] 1.00937e-05 +5 *2799:gpio_defaults[4] *2799:gpio_defaults[8] 0.00031875 +6 *2799:gpio_defaults[7] *2799:gpio_defaults[8] 0.000200794 +*RES +1 *2760:gpio_defaults[8] *2799:gpio_defaults[8] 22.6415 +*END + +*D_NET *571 0.00305006 +*CONN +*I *2799:gpio_defaults[9] I *D gpio_control_block +*I *2760:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[9] 0.00045139 +2 *2760:gpio_defaults[9] 0.00045139 +3 *2799:gpio_defaults[9] *2799:gpio_defaults[10] 0.00124138 +4 *2799:gpio_defaults[2] *2799:gpio_defaults[9] 0 +5 *2799:gpio_defaults[3] *2799:gpio_defaults[9] 0.000182712 +6 *2799:gpio_defaults[8] *2799:gpio_defaults[9] 0.000723192 +*RES +1 *2760:gpio_defaults[9] *2799:gpio_defaults[9] 28.5975 +*END + +*D_NET *572 0.00446642 +*CONN +*I *2799:gpio_defaults[10] I *D gpio_control_block +*I *2760:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[10] 0.000527737 +2 *2760:gpio_defaults[10] 0.000527737 +3 *2799:gpio_defaults[10] *2799:gpio_defaults[11] 0.000243676 +4 *2799:gpio_defaults[1] *2799:gpio_defaults[10] 0.0018176 +5 *2799:gpio_defaults[2] *2799:gpio_defaults[10] 0.000108284 +6 *2799:gpio_defaults[9] *2799:gpio_defaults[10] 0.00124138 +*RES +1 *2760:gpio_defaults[10] *2799:gpio_defaults[10] 34.5535 +*END + +*D_NET *573 0.00483159 +*CONN +*I *2799:gpio_defaults[11] I *D gpio_control_block +*I *2760:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[11] 0.00190719 +2 *2760:gpio_defaults[11] 0.00190719 +3 *2799:gpio_defaults[11] *2799:gpio_defaults[12] 0.000243449 +4 *2799:gpio_defaults[0] *2799:gpio_defaults[11] 0.000260057 +5 *2799:gpio_defaults[10] *2799:gpio_defaults[11] 0.000243676 +6 *2799:gpio_defaults[1] *2799:gpio_defaults[11] 0.000270029 +*RES +1 *2760:gpio_defaults[11] *2799:gpio_defaults[11] 14.4239 +*END + +*D_NET *574 0.00515427 +*CONN +*I *2799:gpio_defaults[12] I *D gpio_control_block +*I *2760:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2799:gpio_defaults[12] 0.000994533 +2 *2760:gpio_defaults[12] 0.000994533 +3 *2799:gpio_defaults[0] *2799:gpio_defaults[12] 0.00292175 +4 *2799:gpio_defaults[11] *2799:gpio_defaults[12] 0.000243449 +*RES +1 *2760:gpio_defaults[12] *2799:gpio_defaults[12] 49.5453 +*END + +*D_NET *575 0.00468708 +*CONN +*I *2800:gpio_defaults[0] I *D gpio_control_block +*I *2776:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[0] 0.00101799 +2 *2776:gpio_defaults[0] 0.00101799 +3 *2800:gpio_defaults[0] *2800:gpio_defaults[11] 0.000260057 +4 *2800:gpio_defaults[0] *2800:gpio_defaults[12] 0.000106108 +5 *2800:gpio_defaults[0] *2800:gpio_defaults[1] 0.00228493 +*RES +1 *2776:gpio_defaults[0] *2800:gpio_defaults[0] 47.0582 +*END + +*D_NET *576 0.00531232 +*CONN +*I *2800:gpio_defaults[1] I *D gpio_control_block +*I *2776:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[1] 0.000560942 +2 *2776:gpio_defaults[1] 0.000560942 +3 *2800:gpio_defaults[1] *2800:gpio_defaults[10] 0.00163548 +4 *2800:gpio_defaults[1] *2800:gpio_defaults[11] 0.000270029 +5 *2800:gpio_defaults[1] *2800:gpio_defaults[12] 0 +6 *2800:gpio_defaults[1] *2800:gpio_defaults[3] 0 +7 *2800:gpio_defaults[0] *2800:gpio_defaults[1] 0.00228493 +*RES +1 *2776:gpio_defaults[1] *2800:gpio_defaults[1] 40.3692 +*END + +*D_NET *577 0.0041394 +*CONN +*I *2800:gpio_defaults[2] I *D gpio_control_block +*I *2776:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[2] 0.000499496 +2 *2776:gpio_defaults[2] 0.000499496 +3 *2800:gpio_defaults[2] *2800:gpio_defaults[10] 0.000134072 +4 *2800:gpio_defaults[2] *2800:gpio_defaults[12] 0.00176871 +5 *2800:gpio_defaults[2] *2800:gpio_defaults[3] 0.00123763 +6 *2800:gpio_defaults[2] *2800:gpio_defaults[9] 0 +*RES +1 *2776:gpio_defaults[2] *2800:gpio_defaults[2] 34.4079 +*END + +*D_NET *578 0.00276617 +*CONN +*I *2800:gpio_defaults[3] I *D gpio_control_block +*I *2776:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[3] 0.000459379 +2 *2776:gpio_defaults[3] 0.000459379 +3 *2800:gpio_defaults[3] *2800:gpio_defaults[4] 0.000241483 +4 *2800:gpio_defaults[3] *2800:gpio_defaults[5] 0.000175485 +5 *2800:gpio_defaults[3] *2800:gpio_defaults[8] 1.00937e-05 +6 *2800:gpio_defaults[3] *2800:gpio_defaults[9] 0.000182712 +7 *2800:gpio_defaults[1] *2800:gpio_defaults[3] 0 +8 *2800:gpio_defaults[2] *2800:gpio_defaults[3] 0.00123763 +*RES +1 *2776:gpio_defaults[3] *2800:gpio_defaults[3] 28.4466 +*END + +*D_NET *579 0.00180666 +*CONN +*I *2800:gpio_defaults[4] I *D gpio_control_block +*I *2776:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[4] 0.000430708 +2 *2776:gpio_defaults[4] 0.000430708 +3 *2800:gpio_defaults[4] *2800:gpio_defaults[5] 0.000167076 +4 *2800:gpio_defaults[4] *2800:gpio_defaults[7] 0.000217937 +5 *2800:gpio_defaults[4] *2800:gpio_defaults[8] 0.00031875 +6 *2800:gpio_defaults[3] *2800:gpio_defaults[4] 0.000241483 +*RES +1 *2776:gpio_defaults[4] *2800:gpio_defaults[4] 22.4853 +*END + +*D_NET *580 9.47632e-05 +*CONN +*I *2781:gpio_defaults[6] I *D gpio_control_block +*I *2743:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[6] 3.13589e-05 +2 *2743:gpio_defaults[6] 3.13589e-05 +3 *2781:gpio_defaults[6] *2781:gpio_defaults[7] 3.10798e-06 +4 *2781:gpio_defaults[5] *2781:gpio_defaults[6] 2.89375e-05 +*RES +1 *2743:gpio_defaults[6] *2781:gpio_defaults[6] 0.830504 +*END + +*D_NET *581 0.00126339 +*CONN +*I *2800:gpio_defaults[5] I *D gpio_control_block +*I *2776:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[5] 0.000384129 +2 *2776:gpio_defaults[5] 0.000384129 +3 *2800:gpio_defaults[5] *2800:gpio_defaults[6] 2.0798e-05 +4 *2800:gpio_defaults[5] *2800:gpio_defaults[7] 0.00013177 +5 *2800:gpio_defaults[3] *2800:gpio_defaults[5] 0.000175485 +6 *2800:gpio_defaults[4] *2800:gpio_defaults[5] 0.000167076 +*RES +1 *2776:gpio_defaults[5] *2800:gpio_defaults[5] 16.9393 +*END + +*D_NET *582 0.000109938 +*CONN +*I *2800:gpio_defaults[6] I *D gpio_control_block +*I *2776:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[6] 3.59357e-05 +2 *2776:gpio_defaults[6] 3.59357e-05 +3 *2800:gpio_defaults[6] *2800:gpio_defaults[7] 1.7269e-05 +4 *2800:gpio_defaults[5] *2800:gpio_defaults[6] 2.0798e-05 +*RES +1 *2776:gpio_defaults[6] *2800:gpio_defaults[6] 0.830504 +*END + +*D_NET *583 0.00122886 +*CONN +*I *2800:gpio_defaults[7] I *D gpio_control_block +*I *2776:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[7] 0.000330547 +2 *2776:gpio_defaults[7] 0.000330547 +3 *2800:gpio_defaults[7] *2800:gpio_defaults[8] 0.000200794 +4 *2800:gpio_defaults[4] *2800:gpio_defaults[7] 0.000217937 +5 *2800:gpio_defaults[5] *2800:gpio_defaults[7] 0.00013177 +6 *2800:gpio_defaults[6] *2800:gpio_defaults[7] 1.7269e-05 +*RES +1 *2776:gpio_defaults[7] *2800:gpio_defaults[7] 16.932 +*END + +*D_NET *584 0.00202399 +*CONN +*I *2800:gpio_defaults[8] I *D gpio_control_block +*I *2776:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[8] 0.00038558 +2 *2776:gpio_defaults[8] 0.00038558 +3 *2800:gpio_defaults[8] *2800:gpio_defaults[9] 0.000723192 +4 *2800:gpio_defaults[3] *2800:gpio_defaults[8] 1.00937e-05 +5 *2800:gpio_defaults[4] *2800:gpio_defaults[8] 0.00031875 +6 *2800:gpio_defaults[7] *2800:gpio_defaults[8] 0.000200794 +*RES +1 *2776:gpio_defaults[8] *2800:gpio_defaults[8] 22.6415 +*END + +*D_NET *585 0.00309964 +*CONN +*I *2800:gpio_defaults[9] I *D gpio_control_block +*I *2776:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[9] 0.000440935 +2 *2776:gpio_defaults[9] 0.000440935 +3 *2800:gpio_defaults[9] *2800:gpio_defaults[10] 0.00131187 +4 *2800:gpio_defaults[2] *2800:gpio_defaults[9] 0 +5 *2800:gpio_defaults[3] *2800:gpio_defaults[9] 0.000182712 +6 *2800:gpio_defaults[8] *2800:gpio_defaults[9] 0.000723192 +*RES +1 *2776:gpio_defaults[9] *2800:gpio_defaults[9] 28.5975 +*END + +*D_NET *586 0.00429699 +*CONN +*I *2800:gpio_defaults[10] I *D gpio_control_block +*I *2776:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[10] 0.00049862 +2 *2776:gpio_defaults[10] 0.00049862 +3 *2800:gpio_defaults[10] *2800:gpio_defaults[11] 0.00021471 +4 *2800:gpio_defaults[10] *2800:gpio_defaults[12] 3.62662e-06 +5 *2800:gpio_defaults[1] *2800:gpio_defaults[10] 0.00163548 +6 *2800:gpio_defaults[2] *2800:gpio_defaults[10] 0.000134072 +7 *2800:gpio_defaults[9] *2800:gpio_defaults[10] 0.00131187 +*RES +1 *2776:gpio_defaults[10] *2800:gpio_defaults[10] 34.7223 +*END + +*D_NET *587 0.00455997 +*CONN +*I *2800:gpio_defaults[11] I *D gpio_control_block +*I *2776:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[11] 0.00190759 +2 *2776:gpio_defaults[11] 0.00190759 +3 *2800:gpio_defaults[0] *2800:gpio_defaults[11] 0.000260057 +4 *2800:gpio_defaults[10] *2800:gpio_defaults[11] 0.00021471 +5 *2800:gpio_defaults[1] *2800:gpio_defaults[11] 0.000270029 +*RES +1 *2776:gpio_defaults[11] *2800:gpio_defaults[11] 14.4239 +*END + +*D_NET *588 0.00398571 +*CONN +*I *2800:gpio_defaults[12] I *D gpio_control_block +*I *2776:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2800:gpio_defaults[12] 0.00105363 +2 *2776:gpio_defaults[12] 0.00105363 +3 *2800:gpio_defaults[0] *2800:gpio_defaults[12] 0.000106108 +4 *2800:gpio_defaults[10] *2800:gpio_defaults[12] 3.62662e-06 +5 *2800:gpio_defaults[1] *2800:gpio_defaults[12] 0 +6 *2800:gpio_defaults[2] *2800:gpio_defaults[12] 0.00176871 +*RES +1 *2776:gpio_defaults[12] *2800:gpio_defaults[12] 46.803 +*END + +*D_NET *589 0.00474908 +*CONN +*I *2801:gpio_defaults[0] I *D gpio_control_block +*I *2777:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[0] 0.0020719 +2 *2777:gpio_defaults[0] 0.0020719 +3 *2801:gpio_defaults[0] *2801:gpio_defaults[11] 2.57246e-05 +4 *2801:gpio_defaults[0] *2801:gpio_defaults[12] 2.15266e-05 +5 *2801:gpio_defaults[0] *2801:gpio_defaults[1] 0.000405598 +6 *2801:gpio_defaults[0] *2801:gpio_defaults[9] 0.000152428 +*RES +1 *2777:gpio_defaults[0] *2801:gpio_defaults[0] 14.4906 +*END + +*D_NET *590 0.00366561 +*CONN +*I *2801:gpio_defaults[1] I *D gpio_control_block +*I *2777:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[1] 0.000958081 +2 *2777:gpio_defaults[1] 0.000958081 +3 *2801:gpio_defaults[1] *2801:gpio_defaults[10] 0 +4 *2801:gpio_defaults[1] *2801:gpio_defaults[11] 0 +5 *2801:gpio_defaults[1] *2801:gpio_defaults[2] 0 +6 *2801:gpio_defaults[1] *2801:gpio_defaults[3] 0 +7 *2801:gpio_defaults[1] *2801:gpio_defaults[9] 0.00134385 +8 *2801:gpio_defaults[0] *2801:gpio_defaults[1] 0.000405598 +*RES +1 *2777:gpio_defaults[1] *2801:gpio_defaults[1] 39.9539 +*END + +*D_NET *591 0.00121674 +*CONN +*I *2781:gpio_defaults[7] I *D gpio_control_block +*I *2743:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[7] 0.000328751 +2 *2743:gpio_defaults[7] 0.000328751 +3 *2781:gpio_defaults[7] *2781:gpio_defaults[8] 0.000213725 +4 *2781:gpio_defaults[4] *2781:gpio_defaults[7] 0.00020502 +5 *2781:gpio_defaults[5] *2781:gpio_defaults[7] 0.000137383 +6 *2781:gpio_defaults[6] *2781:gpio_defaults[7] 3.10798e-06 +*RES +1 *2743:gpio_defaults[7] *2781:gpio_defaults[7] 16.932 +*END + +*D_NET *592 0.00369449 +*CONN +*I *2801:gpio_defaults[2] I *D gpio_control_block +*I *2777:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[2] 0.000542046 +2 *2777:gpio_defaults[2] 0.000542046 +3 *2801:gpio_defaults[2] *2801:gpio_defaults[10] 0.00182519 +4 *2801:gpio_defaults[2] *2801:gpio_defaults[11] 0 +5 *2801:gpio_defaults[2] *2801:gpio_defaults[3] 2.9752e-05 +6 *2801:gpio_defaults[2] *2801:gpio_defaults[8] 0.000755455 +7 *2801:gpio_defaults[2] *2801:gpio_defaults[9] 0 +8 *2801:gpio_defaults[1] *2801:gpio_defaults[2] 0 +*RES +1 *2777:gpio_defaults[2] *2801:gpio_defaults[2] 33.5774 +*END + +*D_NET *593 0.0029636 +*CONN +*I *2801:gpio_defaults[3] I *D gpio_control_block +*I *2777:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[3] 0.000458697 +2 *2777:gpio_defaults[3] 0.000458697 +3 *2801:gpio_defaults[3] *2801:gpio_defaults[10] 0 +4 *2801:gpio_defaults[3] *2801:gpio_defaults[4] 0.000706559 +5 *2801:gpio_defaults[3] *2801:gpio_defaults[8] 1.00937e-05 +6 *2801:gpio_defaults[3] *2801:gpio_defaults[9] 0.0012998 +7 *2801:gpio_defaults[1] *2801:gpio_defaults[3] 0 +8 *2801:gpio_defaults[2] *2801:gpio_defaults[3] 2.9752e-05 +*RES +1 *2777:gpio_defaults[3] *2801:gpio_defaults[3] 28.0313 +*END + +*D_NET *594 0.0019728 +*CONN +*I *2801:gpio_defaults[4] I *D gpio_control_block +*I *2777:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[4] 0.000386249 +2 *2777:gpio_defaults[4] 0.000386249 +3 *2801:gpio_defaults[4] *2801:gpio_defaults[5] 0.000175485 +4 *2801:gpio_defaults[4] *2801:gpio_defaults[7] 4.82966e-05 +5 *2801:gpio_defaults[4] *2801:gpio_defaults[8] 0.000269963 +6 *2801:gpio_defaults[3] *2801:gpio_defaults[4] 0.000706559 +*RES +1 *2777:gpio_defaults[4] *2801:gpio_defaults[4] 22.07 +*END + +*D_NET *595 0.00124629 +*CONN +*I *2801:gpio_defaults[5] I *D gpio_control_block +*I *2777:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[5] 0.000380639 +2 *2777:gpio_defaults[5] 0.000380639 +3 *2801:gpio_defaults[5] *2801:gpio_defaults[6] 2.44253e-05 +4 *2801:gpio_defaults[5] *2801:gpio_defaults[7] 0.000285103 +5 *2801:gpio_defaults[4] *2801:gpio_defaults[5] 0.000175485 +*RES +1 *2777:gpio_defaults[5] *2801:gpio_defaults[5] 16.1087 +*END + +*D_NET *596 0.000104205 +*CONN +*I *2801:gpio_defaults[6] I *D gpio_control_block +*I *2777:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[6] 3.6932e-05 +2 *2777:gpio_defaults[6] 3.6932e-05 +3 *2801:gpio_defaults[6] *2801:gpio_defaults[7] 5.91599e-06 +4 *2801:gpio_defaults[5] *2801:gpio_defaults[6] 2.44253e-05 +*RES +1 *2777:gpio_defaults[6] *2801:gpio_defaults[6] 0.830504 +*END + +*D_NET *597 0.00115455 +*CONN +*I *2801:gpio_defaults[7] I *D gpio_control_block +*I *2777:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[7] 0.000278551 +2 *2777:gpio_defaults[7] 0.000278551 +3 *2801:gpio_defaults[7] *2801:gpio_defaults[8] 0.000258128 +4 *2801:gpio_defaults[4] *2801:gpio_defaults[7] 4.82966e-05 +5 *2801:gpio_defaults[5] *2801:gpio_defaults[7] 0.000285103 +6 *2801:gpio_defaults[6] *2801:gpio_defaults[7] 5.91599e-06 +*RES +1 *2777:gpio_defaults[7] *2801:gpio_defaults[7] 16.2578 +*END + +*D_NET *598 0.001989 +*CONN +*I *2801:gpio_defaults[8] I *D gpio_control_block +*I *2777:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[8] 0.00034768 +2 *2777:gpio_defaults[8] 0.00034768 +3 *2801:gpio_defaults[8] *2801:gpio_defaults[9] 0 +4 *2801:gpio_defaults[2] *2801:gpio_defaults[8] 0.000755455 +5 *2801:gpio_defaults[3] *2801:gpio_defaults[8] 1.00937e-05 +6 *2801:gpio_defaults[4] *2801:gpio_defaults[8] 0.000269963 +7 *2801:gpio_defaults[7] *2801:gpio_defaults[8] 0.000258128 +*RES +1 *2777:gpio_defaults[8] *2801:gpio_defaults[8] 22.221 +*END + +*D_NET *599 0.0035009 +*CONN +*I *2801:gpio_defaults[9] I *D gpio_control_block +*I *2777:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[9] 0.000352414 +2 *2777:gpio_defaults[9] 0.000352414 +3 *2801:gpio_defaults[0] *2801:gpio_defaults[9] 0.000152428 +4 *2801:gpio_defaults[1] *2801:gpio_defaults[9] 0.00134385 +5 *2801:gpio_defaults[2] *2801:gpio_defaults[9] 0 +6 *2801:gpio_defaults[3] *2801:gpio_defaults[9] 0.0012998 +7 *2801:gpio_defaults[8] *2801:gpio_defaults[9] 0 +*RES +1 *2777:gpio_defaults[9] *2801:gpio_defaults[9] 28.5903 +*END + +*D_NET *600 0.00440508 +*CONN +*I *2801:gpio_defaults[10] I *D gpio_control_block +*I *2777:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[10] 0.000354593 +2 *2777:gpio_defaults[10] 0.000354593 +3 *2801:gpio_defaults[10] *2801:gpio_defaults[11] 0.00187071 +4 *2801:gpio_defaults[1] *2801:gpio_defaults[10] 0 +5 *2801:gpio_defaults[2] *2801:gpio_defaults[10] 0.00182519 +6 *2801:gpio_defaults[3] *2801:gpio_defaults[10] 0 +*RES +1 *2777:gpio_defaults[10] *2801:gpio_defaults[10] 34.1363 +*END + +*D_NET *601 0.00551175 +*CONN +*I *2801:gpio_defaults[11] I *D gpio_control_block +*I *2777:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[11] 0.000529203 +2 *2777:gpio_defaults[11] 0.000529203 +3 *2801:gpio_defaults[11] *2801:gpio_defaults[12] 0.00255691 +4 *2801:gpio_defaults[0] *2801:gpio_defaults[11] 2.57246e-05 +5 *2801:gpio_defaults[10] *2801:gpio_defaults[11] 0.00187071 +6 *2801:gpio_defaults[1] *2801:gpio_defaults[11] 0 +7 *2801:gpio_defaults[2] *2801:gpio_defaults[11] 0 +*RES +1 *2777:gpio_defaults[11] *2801:gpio_defaults[11] 41.6033 +*END + +*D_NET *602 0.00200789 +*CONN +*I *2781:gpio_defaults[8] I *D gpio_control_block +*I *2743:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[8] 0.0003646 +2 *2743:gpio_defaults[8] 0.0003646 +3 *2781:gpio_defaults[8] *2781:gpio_defaults[9] 0.000736122 +4 *2781:gpio_defaults[3] *2781:gpio_defaults[8] 1.00937e-05 +5 *2781:gpio_defaults[4] *2781:gpio_defaults[8] 0.00031875 +6 *2781:gpio_defaults[7] *2781:gpio_defaults[8] 0.000213725 +*RES +1 *2743:gpio_defaults[8] *2781:gpio_defaults[8] 22.6415 +*END + +*D_NET *603 0.00471794 +*CONN +*I *2801:gpio_defaults[12] I *D gpio_control_block +*I *2777:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2801:gpio_defaults[12] 0.00106975 +2 *2777:gpio_defaults[12] 0.00106975 +3 *2801:gpio_defaults[0] *2801:gpio_defaults[12] 2.15266e-05 +4 *2801:gpio_defaults[11] *2801:gpio_defaults[12] 0.00255691 +*RES +1 *2777:gpio_defaults[12] *2801:gpio_defaults[12] 49.3774 +*END + +*D_NET *604 0.00559052 +*CONN +*I *2802:gpio_defaults[0] I *D gpio_control_block +*I *2778:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[0] 0.00226683 +2 *2778:gpio_defaults[0] 0.00226683 +3 *2802:gpio_defaults[0] *2802:gpio_defaults[1] 0.000242578 +4 *2802:gpio_defaults[0] *2802:gpio_defaults[2] 0.000184212 +5 *2802:gpio_defaults[10] *2802:gpio_defaults[0] 0.000146471 +6 *2802:gpio_defaults[11] *2802:gpio_defaults[0] 0.000253101 +7 *2802:gpio_defaults[12] *2802:gpio_defaults[0] 0.000230493 +*RES +1 *2778:gpio_defaults[0] *2802:gpio_defaults[0] 15.4372 +*END + +*D_NET *605 0.00416382 +*CONN +*I *2802:gpio_defaults[1] I *D gpio_control_block +*I *2778:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[1] 0.000814937 +2 *2778:gpio_defaults[1] 0.000814937 +3 *2802:gpio_defaults[0] *2802:gpio_defaults[1] 0.000242578 +4 *2802:gpio_defaults[10] *2802:gpio_defaults[1] 0 +5 *2802:gpio_defaults[11] *2802:gpio_defaults[1] 0.00229137 +6 *2802:gpio_defaults[12] *2802:gpio_defaults[1] 0 +*RES +1 *2778:gpio_defaults[1] *2802:gpio_defaults[1] 40.4579 +*END + +*D_NET *606 0.00465408 +*CONN +*I *2802:gpio_defaults[2] I *D gpio_control_block +*I *2778:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[2] 0.000431695 +2 *2778:gpio_defaults[2] 0.000431695 +3 *2802:gpio_defaults[2] *2802:gpio_defaults[3] 0 +4 *2802:gpio_defaults[0] *2802:gpio_defaults[2] 0.000184212 +5 *2802:gpio_defaults[10] *2802:gpio_defaults[2] 0.00178466 +6 *2802:gpio_defaults[11] *2802:gpio_defaults[2] 0 +7 *2802:gpio_defaults[12] *2802:gpio_defaults[2] 0.00182182 +8 *2802:gpio_defaults[9] *2802:gpio_defaults[2] 0 +*RES +1 *2778:gpio_defaults[2] *2802:gpio_defaults[2] 34.7609 +*END + +*D_NET *607 0.00276024 +*CONN +*I *2802:gpio_defaults[3] I *D gpio_control_block +*I *2778:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[3] 0.000434419 +2 *2778:gpio_defaults[3] 0.000434419 +3 *2802:gpio_defaults[3] *2802:gpio_defaults[4] 0.000241483 +4 *2802:gpio_defaults[3] *2802:gpio_defaults[5] 0.000175485 +5 *2802:gpio_defaults[3] *2802:gpio_defaults[8] 1.00937e-05 +6 *2802:gpio_defaults[10] *2802:gpio_defaults[3] 0.00129074 +7 *2802:gpio_defaults[11] *2802:gpio_defaults[3] 0 +8 *2802:gpio_defaults[2] *2802:gpio_defaults[3] 0 +9 *2802:gpio_defaults[9] *2802:gpio_defaults[3] 0.000173601 +*RES +1 *2778:gpio_defaults[3] *2802:gpio_defaults[3] 28.5425 +*END + +*D_NET *608 0.00181266 +*CONN +*I *2802:gpio_defaults[4] I *D gpio_control_block +*I *2778:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[4] 0.000437049 +2 *2778:gpio_defaults[4] 0.000437049 +3 *2802:gpio_defaults[4] *2802:gpio_defaults[5] 0.000167076 +4 *2802:gpio_defaults[4] *2802:gpio_defaults[7] 0.000217937 +5 *2802:gpio_defaults[4] *2802:gpio_defaults[8] 0.000312066 +6 *2802:gpio_defaults[10] *2802:gpio_defaults[4] 0 +7 *2802:gpio_defaults[3] *2802:gpio_defaults[4] 0.000241483 +8 *2802:gpio_defaults[9] *2802:gpio_defaults[4] 0 +*RES +1 *2778:gpio_defaults[4] *2802:gpio_defaults[4] 22.4303 +*END + +*D_NET *609 0.00125764 +*CONN +*I *2802:gpio_defaults[5] I *D gpio_control_block +*I *2778:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[5] 0.000384835 +2 *2778:gpio_defaults[5] 0.000384835 +3 *2802:gpio_defaults[5] *2802:gpio_defaults[6] 2.08075e-05 +4 *2802:gpio_defaults[5] *2802:gpio_defaults[7] 0.000124597 +5 *2802:gpio_defaults[3] *2802:gpio_defaults[5] 0.000175485 +6 *2802:gpio_defaults[4] *2802:gpio_defaults[5] 0.000167076 +*RES +1 *2778:gpio_defaults[5] *2802:gpio_defaults[5] 16.8843 +*END + +*D_NET *610 0.000110382 +*CONN +*I *2802:gpio_defaults[6] I *D gpio_control_block +*I *2778:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[6] 3.56757e-05 +2 *2778:gpio_defaults[6] 3.56757e-05 +3 *2802:gpio_defaults[6] *2802:gpio_defaults[7] 1.82233e-05 +4 *2802:gpio_defaults[5] *2802:gpio_defaults[6] 2.08075e-05 +*RES +1 *2778:gpio_defaults[6] *2802:gpio_defaults[6] 0.830504 +*END + +*D_NET *611 0.00122885 +*CONN +*I *2802:gpio_defaults[7] I *D gpio_control_block +*I *2778:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[7] 0.000333648 +2 *2778:gpio_defaults[7] 0.000333648 +3 *2802:gpio_defaults[7] *2802:gpio_defaults[8] 0.000200794 +4 *2802:gpio_defaults[4] *2802:gpio_defaults[7] 0.000217937 +5 *2802:gpio_defaults[5] *2802:gpio_defaults[7] 0.000124597 +6 *2802:gpio_defaults[6] *2802:gpio_defaults[7] 1.82233e-05 +*RES +1 *2778:gpio_defaults[7] *2802:gpio_defaults[7] 16.932 +*END + +*D_NET *612 0.00202024 +*CONN +*I *2802:gpio_defaults[8] I *D gpio_control_block +*I *2778:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2802:gpio_defaults[8] 0.000387049 +2 *2778:gpio_defaults[8] 0.000387049 +3 *2802:gpio_defaults[3] *2802:gpio_defaults[8] 1.00937e-05 +4 *2802:gpio_defaults[4] *2802:gpio_defaults[8] 0.000312066 +5 *2802:gpio_defaults[7] *2802:gpio_defaults[8] 0.000200794 +6 *2802:gpio_defaults[9] *2802:gpio_defaults[8] 0.000723192 +*RES +1 *2778:gpio_defaults[8] *2802:gpio_defaults[8] 22.6415 +*END + +*D_NET *613 0.00305205 +*CONN +*I *2781:gpio_defaults[9] I *D gpio_control_block +*I *2743:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2781:gpio_defaults[9] 0.000439453 +2 *2743:gpio_defaults[9] 0.000439453 +3 *2781:gpio_defaults[10] *2781:gpio_defaults[9] 0 +4 *2781:gpio_defaults[11] *2781:gpio_defaults[9] 0.00125431 +5 *2781:gpio_defaults[2] *2781:gpio_defaults[9] 0 +6 *2781:gpio_defaults[3] *2781:gpio_defaults[9] 0.000182712 +7 *2781:gpio_defaults[8] *2781:gpio_defaults[9] 0.000736122 +*RES +1 *2743:gpio_defaults[9] *2781:gpio_defaults[9] 28.5975 +*END + +*D_NET *614 0.370902 +*CONN +*I *2826:gpio_in_pad I *D mgmt_core_wrapper +*I *2822:gpio_in_core O *D chip_io +*CAP +1 *2826:gpio_in_pad 0.00160824 +2 *2822:gpio_in_core 0.000970544 +3 *614:22 0.0470645 +4 *614:21 0.0454562 +5 *614:19 0.00142141 +6 *614:16 0.00651015 +7 *614:15 0.00605929 +8 *614:15 *637:34 0.00048572 +9 *67:29 *614:22 0.00541073 +10 *72:16 *614:22 0.13998 +11 *79:14 *614:22 0.0945261 +12 *89:71 *614:16 0.00287977 +13 *89:72 *614:16 0.017325 +14 *89:77 *614:19 0.00120528 +*RES +1 *2822:gpio_in_core *614:15 40.4976 +2 *614:15 *614:16 231.438 +3 *614:16 *614:19 47.8572 +4 *614:19 *614:21 4.5 +5 *614:21 *614:22 2354.46 +6 *614:22 *2826:gpio_in_pad 49.7014 +*END + +*D_NET *615 0.233389 +*CONN +*I *2822:gpio_inenb_core I *D chip_io +*I *2826:gpio_inenb_pad O *D mgmt_core_wrapper +*CAP +1 *2822:gpio_inenb_core 6.33595e-05 +2 *2826:gpio_inenb_pad 0.00103866 +3 *615:16 0.0018137 +4 *615:10 0.0450699 +5 *615:9 0.0443582 +6 *615:10 *636:10 0.136757 +7 *615:10 *638:10 2.20702e-05 +8 *615:16 *636:22 0.000722624 +9 *66:28 *615:10 0.00112301 +10 *84:28 *615:16 0.00210142 +11 *89:60 *615:16 0.000318594 +*RES +1 *2826:gpio_inenb_pad *615:9 33.5066 +2 *615:9 *615:10 1823.15 +3 *615:10 *615:16 48.6859 +4 *615:16 *2822:gpio_inenb_core 4.5779 +*END + +*D_NET *616 1.04672 +*CONN +*I *2782:serial_load I *D gpio_control_block +*I *2781:serial_load_out O *D gpio_control_block +*I *2810:serial_load I *D gpio_control_block +*I *2803:serial_load_out O *D gpio_control_block +*CAP +1 *2782:serial_load 0.000207171 +2 *2781:serial_load_out 0.000691556 +3 *2810:serial_load 9.98934e-05 +4 *2803:serial_load_out 0.000416142 +5 *616:56 0.00301993 +6 *616:55 0.00232837 +7 *616:53 0.000943074 +8 *616:49 0.00182077 +9 *616:44 0.0765446 +10 *616:43 0.0754598 +11 *616:41 0.0312442 +12 *616:40 0.0312442 +13 *616:38 0.00118954 +14 *616:37 0.00154434 +15 *616:23 0.00200633 +16 *616:22 0.00190644 +17 *616:20 0.000428751 +18 *616:19 0.000428751 +19 *616:17 0.0041895 +20 *616:16 0.00496044 +21 *2781:serial_load_out *2781:serial_load 9.52305e-06 +22 *2781:serial_load_out *635:38 0 +23 *2781:serial_load_out *660:15 0 +24 *2781:serial_load_out *2619:15 1.75765e-05 +25 *2781:serial_load_out *2657:19 0.000106243 +26 *2781:serial_load_out *2695:19 0 +27 *2782:serial_load *2782:serial_load_out 4.31122e-05 +28 *2782:serial_load *2782:user_gpio_out 3.93117e-06 +29 *2782:serial_load *669:13 6.47508e-05 +30 *2782:serial_load *2630:13 1.00846e-05 +31 *2782:serial_load *2668:19 0.00152628 +32 *2782:serial_load *2706:17 0.0014081 +33 *616:16 *640:13 0.000317679 +34 *616:16 *2344:13 0.000266832 +35 *616:16 *2458:16 0.00117561 +36 *616:17 *640:68 2.41483e-05 +37 *616:17 *1715:26 0.000188614 +38 *616:17 *2136:11 0.00384779 +39 *616:20 *640:71 0.0033072 +40 *616:23 *2810:user_gpio_oeb 0.000388522 +41 *616:23 *626:19 0.000972097 +42 *616:23 *640:74 0.00349464 +43 *616:37 *2803:user_gpio_out 0.00012601 +44 *616:41 *625:17 0.0301721 +45 *616:41 *635:17 4.33819e-05 +46 *616:41 *640:19 0.000183679 +47 *616:41 *665:19 0.0491572 +48 *616:41 *2591:14 0 +49 *616:44 *640:22 0.00037434 +50 *616:44 *2537:12 1.29348e-05 +51 *616:44 *2694:14 0.0496311 +52 *616:44 *2706:14 0.0600062 +53 *616:44 *2727:14 0.0224909 +54 *616:53 *1708:20 0 +55 *616:53 *2619:16 0 +56 *616:53 *2630:14 0 +57 *616:53 *2668:19 0.00109865 +58 *616:53 *2706:17 0.00110284 +59 *616:56 *660:16 0.0167141 +60 *616:56 *1727:20 0 +61 *616:56 *1737:20 0.0168018 +62 *100:14 *616:16 0.00123295 +63 *100:17 *616:17 0.0215294 +64 *100:17 *616:37 4.88955e-05 +65 *100:20 *616:20 0.00330362 +66 *100:23 *616:23 7.92757e-06 +67 *100:37 *616:37 0.00132899 +68 *100:38 *616:38 0.00323215 +69 *100:44 *616:44 0.308006 +70 *110:13 *616:23 0.00165828 +71 *119:17 *616:41 0.200615 +*RES +1 *2803:serial_load_out *616:16 40.9265 +2 *616:16 *616:17 226.447 +3 *616:17 *616:19 4.5 +4 *616:19 *616:20 52.908 +5 *616:20 *616:22 4.5 +6 *616:22 *616:23 92.233 +7 *616:23 *2810:serial_load 8.38708 +8 *616:16 *616:37 19.6431 +9 *616:37 *616:38 52.4928 +10 *616:38 *616:40 4.5 +11 *616:40 *616:41 2106 +12 *616:41 *616:43 4.5 +13 *616:43 *616:44 4935.86 +14 *616:44 *616:49 35.7898 +15 *616:49 *616:53 31.9901 +16 *616:53 *616:55 4.5 +17 *616:55 *616:56 272.576 +18 *616:56 *2781:serial_load_out 30.8897 +19 *616:53 *2782:serial_load 25.2705 +*END + +*D_NET *617 1.16789 +*CONN +*I *2805:serial_load I *D gpio_control_block +*I *2790:serial_load I *D gpio_control_block +*I *2789:serial_load_out O *D gpio_control_block +*I *2804:serial_load_out O *D gpio_control_block +*CAP +1 *2805:serial_load 0.000897903 +2 *2790:serial_load 0.000965917 +3 *2789:serial_load_out 8.27562e-05 +4 *2804:serial_load_out 0.000308051 +5 *617:70 0.00615048 +6 *617:69 0.00536046 +7 *617:44 0.002085 +8 *617:43 0.00347918 +9 *617:38 0.00580971 +10 *617:37 0.00433277 +11 *617:35 0.00106598 +12 *617:32 0.0414254 +13 *617:31 0.0413254 +14 *617:29 0.0763459 +15 *617:28 0.0763459 +16 *617:26 0.00130015 +17 *617:25 0.00130015 +18 *617:23 0.00273166 +19 *617:22 0.00273166 +20 *617:20 0.00225186 +21 *617:19 0.00237489 +22 *617:14 0.0215635 +23 *617:13 0.0214404 +24 *617:11 0.000415935 +25 *2790:serial_load *2790:serial_load_out 4.54889e-05 +26 *2790:serial_load *2298:8 0 +27 *2805:serial_load *2805:user_gpio_out 1.66626e-05 +28 *2805:serial_load *618:5 2.12195e-05 +29 *2805:serial_load *680:7 9.52305e-06 +30 *2805:serial_load *2642:9 4.97448e-06 +31 *2805:serial_load *2718:17 6.82095e-05 +32 *617:11 *2804:serial_load 4.68456e-05 +33 *617:11 *2804:user_gpio_oeb 0 +34 *617:11 *679:9 2.9304e-05 +35 *617:11 *2640:12 4.31243e-06 +36 *617:14 *2822:mprj_io_analog_pol[26] 0 +37 *617:14 *2822:mprj_io_analog_sel[26] 0 +38 *617:14 *2822:mprj_io_dm[78] 0 +39 *617:14 *2822:mprj_io_dm[80] 0 +40 *617:14 *2822:mprj_io_holdover[26] 0 +41 *617:14 *2822:mprj_io_ib_mode_sel[26] 0 +42 *617:14 *2822:mprj_io_inp_dis[26] 0 +43 *617:14 *2822:mprj_io_oeb[26] 0 +44 *617:14 *2822:mprj_io_out[26] 0 +45 *617:14 *2822:mprj_io_slow_sel[26] 0 +46 *617:14 *2822:mprj_io_vtrip_sel[26] 0 +47 *617:14 *2822:mprj_io_oeb[27] 0 +48 *617:14 *2822:mprj_io_ib_mode_sel[28] 0.000494687 +49 *617:14 *2822:mprj_io_oeb[28] 0 +50 *617:14 *2822:mprj_io_slow_sel[28] 0 +51 *617:14 *633:14 0 +52 *617:14 *634:8 0.00488671 +53 *617:14 *634:14 0.0872481 +54 *617:14 *634:73 0.000184595 +55 *617:14 *656:13 0.000451188 +56 *617:14 *657:75 0.00139838 +57 *617:14 *694:19 0.000158917 +58 *617:14 *2156:23 0.000144315 +59 *617:14 *2238:33 0.000788158 +60 *617:14 *2240:20 0.00287101 +61 *617:14 *2353:16 0.000749487 +62 *617:14 *2391:8 0 +63 *617:14 *2428:8 0 +64 *617:14 *2430:8 0.00556434 +65 *617:14 *2602:11 0.000691679 +66 *617:20 *630:8 0.00236818 +67 *617:20 *632:67 0.000204402 +68 *617:20 *634:14 0.000556649 +69 *617:20 *634:18 0.00367182 +70 *617:20 *1970:8 0.000272174 +71 *617:20 *2152:8 0.00799157 +72 *617:23 *634:21 0.0111452 +73 *617:26 *629:26 7.77309e-06 +74 *617:26 *634:24 0.0101468 +75 *617:29 *631:33 0.000254937 +76 *617:32 *641:51 0.119761 +77 *617:32 *662:16 0 +78 *617:32 *663:14 0 +79 *617:32 *2105:16 0 +80 *617:32 *2300:20 0 +81 *617:38 *641:51 0 +82 *617:38 *661:14 0.000150293 +83 *617:38 *1707:20 0 +84 *617:38 *1709:20 0 +85 *617:38 *2105:16 0 +86 *617:43 *2103:35 3.77235e-05 +87 *617:43 *2409:35 0.000351426 +88 *617:43 *2449:15 0.000376602 +89 *617:44 *2449:10 0.000346749 +90 *617:70 *2804:resetn 0.000786057 +91 *617:70 *641:10 0 +92 *617:70 *641:12 0 +93 *617:70 *658:36 7.34085e-05 +94 *617:70 *680:8 0 +95 *617:70 *2013:10 0.00544955 +96 *617:70 *2167:10 0.0055771 +97 *617:70 *2241:38 0.00134141 +98 *617:70 *2317:10 0.000676981 +99 *617:70 *2355:10 0 +100 *617:70 *2393:10 0.000644849 +101 *617:70 *2393:16 0.000530665 +102 *617:70 *2431:10 0 +103 *617:70 *2469:10 0.000151697 +104 *2804:serial_clock *617:11 0.000599113 +105 *2804:serial_clock *617:69 6.50727e-05 +106 *101:64 *617:44 0.00178023 +107 *101:75 *617:38 0.0115252 +108 *102:52 *617:29 0.10309 +109 *106:37 *617:14 0.00698871 +110 *106:37 *617:70 0.00197015 +111 *106:43 *617:14 0.062606 +112 *106:51 *617:14 0.00042233 +113 *106:55 *617:20 0.00125346 +114 *117:8 *617:14 0 +115 *117:22 *617:14 0.00127709 +116 *117:47 *617:26 0.0101694 +117 *117:50 *617:29 0.349432 +118 *118:8 *617:14 0.000294841 +119 *118:10 *617:14 0.0155728 +*RES +1 *2804:serial_load_out *617:11 16.8857 +2 *617:11 *617:13 4.5 +3 *617:13 *617:14 1746.72 +4 *617:14 *617:19 11.3872 +5 *617:19 *617:20 165.026 +6 *617:20 *617:22 4.5 +7 *617:22 *617:23 118.854 +8 *617:23 *617:25 4.5 +9 *617:25 *617:26 162.535 +10 *617:26 *617:28 4.5 +11 *617:28 *617:29 3674.97 +12 *617:29 *617:31 4.5 +13 *617:31 *617:32 1912.41 +14 *617:32 *617:35 6.88721 +15 *617:35 *617:37 4.5 +16 *617:37 *617:38 194.924 +17 *617:38 *617:43 46.3272 +18 *617:43 *617:44 77.2003 +19 *617:44 *2789:serial_load_out 3.59493 +20 *617:35 *2790:serial_load 28.0435 +21 *617:11 *617:69 7.44181 +22 *617:69 *617:70 250.983 +23 *617:70 *2805:serial_load 35.7221 +*END + +*D_NET *618 1.25076 +*CONN +*I *2791:serial_load I *D gpio_control_block +*I *2790:serial_load_out O *D gpio_control_block +*I *2806:serial_load I *D gpio_control_block +*I *2805:serial_load_out O *D gpio_control_block +*CAP +1 *2791:serial_load 0.00121184 +2 *2790:serial_load_out 0.000475045 +3 *2806:serial_load 0.00126019 +4 *2805:serial_load_out 0.000284674 +5 *618:47 0.00146882 +6 *618:42 0.00330317 +7 *618:40 0.0206011 +8 *618:39 0.0170799 +9 *618:37 0.0691909 +10 *618:36 0.0691909 +11 *618:34 0.0446351 +12 *618:33 0.0446874 +13 *618:19 0.00252242 +14 *618:16 0.00149719 +15 *618:11 0.0025989 +16 *618:10 0.00236394 +17 *618:8 0.00320052 +18 *618:7 0.00320052 +19 *618:5 0.000337052 +20 *2790:serial_load_out *2790:serial_data_in 5.39635e-06 +21 *2790:serial_load_out *2298:8 0 +22 *2791:serial_load *2791:serial_load_out 1.94242e-05 +23 *2791:serial_load *619:32 0.000211492 +24 *2791:serial_load *663:13 9.39435e-05 +25 *2791:serial_load *2698:17 0.00122643 +26 *2806:serial_load *2806:resetn 1.72594e-05 +27 *618:5 *680:7 0 +28 *618:5 *2642:9 0.00123223 +29 *618:8 *2805:user_gpio_oeb 0 +30 *618:8 *642:14 0.00122659 +31 *618:8 *680:8 0 +32 *618:8 *681:8 0.00215629 +33 *618:8 *2015:8 0.00560835 +34 *618:8 *2171:8 1.75318e-05 +35 *618:8 *2173:14 0.000660824 +36 *618:8 *2243:8 0.00409073 +37 *618:8 *2319:16 0.00256177 +38 *618:8 *2357:19 0.000102523 +39 *618:8 *2471:13 0.00180614 +40 *618:11 *642:17 0.0108287 +41 *618:19 *642:31 1.82679e-05 +42 *618:33 *680:7 0 +43 *618:33 *2642:9 0.000237503 +44 *618:34 *2816:serial_load 0 +45 *618:34 *2822:mprj_io_analog_en[25] 0 +46 *618:34 *2822:mprj_io_holdover[26] 0.000198288 +47 *618:34 *2822:mprj_io_holdover[28] 0.000157035 +48 *618:34 *632:18 0.0001184 +49 *618:34 *632:37 0.00080941 +50 *618:34 *632:43 0.000513186 +51 *618:34 *632:49 0.00067385 +52 *618:34 *632:61 0.000500468 +53 *618:34 *632:67 1.83572e-05 +54 *618:34 *633:14 0.00155464 +55 *618:34 *633:35 0.026821 +56 *618:34 *634:74 0.00197909 +57 *618:34 *642:52 0.161811 +58 *618:34 *655:8 0.000902363 +59 *618:34 *656:13 0.00161426 +60 *618:34 *657:8 0.000889337 +61 *618:34 *658:30 0.000592985 +62 *618:34 *658:36 0.00107823 +63 *618:34 *679:10 0.00255121 +64 *618:34 *694:8 0.00145779 +65 *618:34 *694:19 0 +66 *618:34 *695:16 0.000992266 +67 *618:34 *695:22 0.000450501 +68 *618:34 *2008:8 0.00170515 +69 *618:34 *2046:8 0.00143158 +70 *618:34 *2047:14 0.000704135 +71 *618:34 *2048:8 0.000442108 +72 *618:34 *2050:41 0.000377629 +73 *618:34 *2155:8 0.000628012 +74 *618:34 *2156:23 0 +75 *618:34 *2157:8 0.000758778 +76 *618:34 *2161:8 0.000722303 +77 *618:34 *2163:21 0.000349924 +78 *618:34 *2164:8 0.000783986 +79 *618:34 *2167:10 0.000751575 +80 *618:34 *2169:13 0.000267961 +81 *618:34 *2199:8 0.000737698 +82 *618:34 *2200:8 0.000546645 +83 *618:34 *2200:26 0.000192019 +84 *618:34 *2201:8 0.000624018 +85 *618:34 *2201:12 0.00048845 +86 *618:34 *2238:34 0.000341794 +87 *618:34 *2313:8 0.00060884 +88 *618:34 *2314:12 0.000859237 +89 *618:34 *2315:16 0.000198292 +90 *618:34 *2317:10 0.00085167 +91 *618:34 *2351:30 0.00069937 +92 *618:34 *2352:10 0.000109836 +93 *618:34 *2352:12 0.00139992 +94 *618:34 *2353:8 0.00042583 +95 *618:34 *2354:14 0.0012857 +96 *618:34 *2355:10 0.00153785 +97 *618:34 *2389:8 0.000844821 +98 *618:34 *2389:19 0.000789744 +99 *618:34 *2389:22 0.00040747 +100 *618:34 *2391:26 0.000368084 +101 *618:34 *2393:16 0.000864449 +102 *618:34 *2431:10 0.000833927 +103 *618:34 *2465:19 0.000155987 +104 *618:34 *2465:20 0.000533139 +105 *618:34 *2466:14 0.000854921 +106 *618:34 *2467:14 0.000451211 +107 *618:34 *2468:10 0.000100246 +108 *618:34 *2468:12 0.000537097 +109 *618:34 *2469:16 0.00103476 +110 *618:37 *632:70 0.412352 +111 *618:37 *633:38 4.42985e-05 +112 *618:37 *645:46 0.000155429 +113 *618:37 *645:64 0.0714636 +114 *618:40 *619:26 2.24484e-05 +115 *618:40 *632:73 0.0168879 +116 *618:40 *633:41 0.0169112 +117 *618:40 *644:78 0.0686497 +118 *618:42 *619:26 0.0177906 +119 *618:42 *633:41 0.000353381 +120 *618:42 *643:38 0.0170433 +121 *618:47 *2621:13 0.000494269 +122 *2790:serial_clock_out *2790:serial_load_out 5.39635e-06 +123 *2790:serial_clock_out *618:47 0.00126128 +124 *2790:serial_load *2790:serial_load_out 4.54889e-05 +125 *2805:serial_load *618:5 2.12195e-05 +126 *2806:serial_clock *2806:serial_load 0.00078274 +127 *102:37 *618:16 0.00052298 +128 *104:52 *618:40 0.068735 +129 *106:37 *618:8 0.00131039 +130 *106:37 *618:34 0 +131 *115:32 *618:34 0.000239103 +132 *116:16 *618:34 0.000534602 +133 *117:21 *618:34 0.000611413 +*RES +1 *2805:serial_load_out *618:5 1.59299 +2 *618:5 *618:7 3.36879 +3 *618:7 *618:8 219.632 +4 *618:8 *618:10 4.5 +5 *618:10 *618:11 113.308 +6 *618:11 *618:16 18.3065 +7 *618:16 *618:19 39.0542 +8 *618:19 *2806:serial_load 40.2136 +9 *618:5 *618:33 0.694236 +10 *618:33 *618:34 323.759 +11 *618:34 *618:36 0.376635 +12 *618:36 *618:37 520.986 +13 *618:37 *618:39 3.36879 +14 *618:39 *618:40 1653.91 +15 *618:40 *618:42 284.203 +16 *618:42 *618:47 45.218 +17 *618:47 *2790:serial_load_out 13.2222 +18 *618:40 *2791:serial_load 48.0724 +*END + +*D_NET *619 1.23526 +*CONN +*I *2792:serial_load I *D gpio_control_block +*I *2791:serial_load_out O *D gpio_control_block +*I *2807:serial_load I *D gpio_control_block +*I *2806:serial_load_out O *D gpio_control_block +*CAP +1 *2792:serial_load 9.21601e-05 +2 *2791:serial_load_out 0.0014212 +3 *2807:serial_load 0.000233473 +4 *2806:serial_load_out 0.00062489 +5 *619:53 0.000906759 +6 *619:47 0.00300797 +7 *619:32 0.0037063 +8 *619:26 0.0274997 +9 *619:25 0.0274079 +10 *619:23 0.0813865 +11 *619:22 0.0813865 +12 *619:20 0.0283788 +13 *619:19 0.0283788 +14 *619:11 0.000540946 +15 *619:8 0.0165175 +16 *619:7 0.0168349 +17 *2791:serial_load_out *2791:user_gpio_out 1.66771e-05 +18 *2791:serial_load_out *2622:10 2.48182e-05 +19 *2791:serial_load_out *2622:13 0 +20 *2791:serial_load_out *2698:17 0.000434837 +21 *2792:serial_load *2792:serial_load_out 9.39238e-05 +22 *2792:serial_load *664:13 1.02886e-05 +23 *2807:serial_load *682:7 0.000208248 +24 *2807:serial_load *2644:13 0 +25 *619:7 *2806:serial_data_in 0 +26 *619:7 *681:7 0 +27 *619:7 *2643:12 6.19346e-06 +28 *619:8 *682:8 0.0537618 +29 *619:11 *2807:serial_data_in 0 +30 *619:11 *682:7 0.000159793 +31 *619:11 *2644:13 0 +32 *619:20 *2784:serial_load 6.14743e-05 +33 *619:20 *2822:mprj_io_dm[97] 0.000539459 +34 *619:20 *2822:mprj_io_inp_dis[32] 0 +35 *619:20 *2822:mprj_io_oeb[33] 0 +36 *619:20 *2822:mprj_io_dm[103] 0.000100007 +37 *619:20 *2822:mprj_io_holdover[34] 0.00414029 +38 *619:20 *2822:mprj_io_ib_mode_sel[34] 0.000433199 +39 *619:20 *2822:mprj_io_inp_dis[34] 0.000133972 +40 *619:20 *2822:mprj_io_oeb[34] 0 +41 *619:20 *2822:mprj_io_out[34] 4.44764e-05 +42 *619:20 *2822:mprj_io_slow_sel[34] 0.000148104 +43 *619:20 *2822:mprj_io_oeb[35] 2.27235e-05 +44 *619:20 *2822:mprj_io_out[35] 0.0033237 +45 *619:20 *2822:mprj_io_vtrip_sel[35] 0.000173176 +46 *619:20 *620:23 0.0016137 +47 *619:20 *620:27 0.000595861 +48 *619:20 *621:15 0.0144173 +49 *619:20 *682:8 0 +50 *619:20 *1733:8 0.00484828 +51 *619:20 *1745:13 0.000151739 +52 *619:20 *1979:8 0.000781717 +53 *619:20 *1980:16 0.000411592 +54 *619:20 *1981:8 0.000601465 +55 *619:20 *2017:8 0.000776783 +56 *619:20 *2019:8 0.000747974 +57 *619:20 *2055:8 0.000921869 +58 *619:20 *2057:8 0.000556909 +59 *619:20 *2057:14 0.000442119 +60 *619:20 *2069:8 0.000742907 +61 *619:20 *2072:8 0.0010033 +62 *619:20 *2073:16 0.000635277 +63 *619:20 *2074:23 0.000906339 +64 *619:20 *2076:8 0.000178927 +65 *619:20 *2177:16 0.000162347 +66 *619:20 *2177:21 0.000361797 +67 *619:20 *2248:8 0.000694257 +68 *619:20 *2322:8 0.000366804 +69 *619:20 *2323:8 0.000882822 +70 *619:20 *2397:8 0.000597982 +71 *619:20 *2397:18 0.000381043 +72 *619:20 *2435:8 0.000535294 +73 *619:20 *2436:8 0.000665473 +74 *619:20 *2473:14 0.00090309 +75 *619:20 *2609:13 0.000174147 +76 *619:23 *630:26 2.01888e-05 +77 *619:23 *658:56 0.0515766 +78 *619:26 *632:73 0.0695815 +79 *619:26 *643:38 0.0541289 +80 *619:26 *644:72 0.000546928 +81 *619:26 *657:34 0.0962116 +82 *619:26 *2730:14 0.0378691 +83 *619:32 *1711:17 0.000128678 +84 *619:32 *2698:17 0.000253916 +85 *619:47 *633:41 0.000495028 +86 *619:47 *1711:17 0.0167676 +87 *619:53 *2792:serial_data_in 0.00138276 +88 *619:53 *620:74 0.000234632 +89 *619:53 *2186:8 8.00339e-05 +90 *619:53 *2414:13 1.88014e-05 +91 *619:53 *2623:13 0.00296454 +92 *2784:serial_clock *619:20 0.00018817 +93 *2791:serial_load *2791:serial_load_out 1.94242e-05 +94 *2791:serial_load *619:32 0.000211492 +95 *101:51 *619:23 0.0496329 +96 *103:18 *619:20 0 +97 *103:36 *619:32 0.000132276 +98 *103:36 *619:47 0.0157497 +99 *105:16 *619:20 0.000261226 +100 *106:13 *619:20 0 +101 *106:25 *619:20 0 +102 *106:31 *619:20 0.000549308 +103 *106:37 *619:8 0 +104 *114:39 *619:23 0.400226 +105 *618:40 *619:26 2.24484e-05 +106 *618:42 *619:26 0.0177906 +*RES +1 *2806:serial_load_out *619:7 2.5433 +2 *619:7 *619:8 107.61 +3 *619:8 *619:11 1.49738 +4 *619:11 *2807:serial_load 1.0958 +5 *619:11 *619:19 0.376635 +6 *619:19 *619:20 126.813 +7 *619:20 *619:22 0.376635 +8 *619:22 *619:23 521.675 +9 *619:23 *619:25 3.36879 +10 *619:25 *619:26 2665.47 +11 *619:26 *619:32 14.1891 +12 *619:32 *2791:serial_load_out 45.3759 +13 *619:32 *619:47 268.009 +14 *619:47 *619:53 46.8368 +15 *619:53 *2792:serial_load 3.58495 +*END + +*D_NET *620 1.52736 +*CONN +*I *2792:serial_load_out O *D gpio_control_block +*I *2793:serial_load I *D gpio_control_block +*I *2808:serial_load I *D gpio_control_block +*I *2807:serial_load_out O *D gpio_control_block +*CAP +1 *2792:serial_load_out 0.000150158 +2 *2793:serial_load 0.000604529 +3 *2808:serial_load 0.000266869 +4 *2807:serial_load_out 0.00333781 +5 *620:86 2.68958e-05 +6 *620:74 0.000741429 +7 *620:57 0.00164459 +8 *620:56 0.00104007 +9 *620:54 0.00843508 +10 *620:53 0.00843508 +11 *620:51 0.000606363 +12 *620:48 0.0234357 +13 *620:47 0.0234206 +14 *620:45 0.0205745 +15 *620:44 0.0205745 +16 *620:42 0.011188 +17 *620:41 0.011188 +18 *620:39 0.00438111 +19 *620:38 0.00438111 +20 *620:27 0.00157401 +21 *620:23 0.00420077 +22 *620:22 0.00289363 +23 *620:20 0.00760864 +24 *620:19 0.00760864 +25 *620:17 0.00318252 +26 *620:16 0.00324589 +27 *620:11 0.00337428 +28 *2792:serial_load_out *664:13 1.75893e-06 +29 *2808:serial_load *621:7 0.00035581 +30 *2808:serial_load *683:7 0.000204245 +31 *620:23 *2017:8 0.00327517 +32 *620:23 *2055:8 1.72799e-05 +33 *620:23 *2397:18 0.00352547 +34 *620:23 *2435:8 0.00151421 +35 *620:23 *2473:14 0.00123208 +36 *620:39 *621:29 0.00221574 +37 *620:42 *644:66 0.0143945 +38 *620:42 *1729:31 9.2346e-06 +39 *620:45 *644:69 1.92336e-05 +40 *620:45 *654:40 0.0474171 +41 *620:45 *657:31 0.000236869 +42 *620:48 *629:44 0.000515187 +43 *620:48 *631:36 0.10349 +44 *620:48 *632:73 0.000369557 +45 *620:48 *644:72 1.2693e-05 +46 *620:48 *656:35 0.0793754 +47 *620:48 *657:34 2.55661e-06 +48 *620:51 *2623:13 0.00011818 +49 *620:51 *2699:17 0.000113968 +50 *620:54 *631:36 4.06778e-05 +51 *620:54 *632:73 0.0675884 +52 *620:54 *664:16 0.0674525 +53 *620:57 *664:21 0.00350318 +54 *620:57 *665:13 3.31745e-05 +55 *620:74 *2792:user_gpio_oeb 8.36121e-05 +56 *620:74 *664:13 2.01653e-05 +57 *620:74 *2186:8 0 +58 *620:74 *2623:12 1.75625e-05 +59 *620:74 *2623:13 0.00334581 +60 *620:74 *2699:17 0.00335495 +61 *2792:serial_load *2792:serial_load_out 9.39238e-05 +62 *103:27 *620:45 0.349858 +63 *104:11 *620:11 0.0149064 +64 *104:11 *620:16 0 +65 *104:20 *620:23 0 +66 *104:20 *620:27 0 +67 *104:40 *620:42 0.0412754 +68 *104:43 *620:45 0.350681 +69 *104:46 *620:48 0.182766 +70 *104:58 *620:57 0.00336215 +71 *619:20 *620:23 0.0016137 +72 *619:20 *620:27 0.000595861 +73 *619:53 *620:74 0.000234632 +*RES +1 *2807:serial_load_out *620:11 47.575 +2 *620:11 *620:16 6.94044 +3 *620:16 *620:17 90.0731 +4 *620:17 *620:19 4.5 +5 *620:19 *620:20 210.918 +6 *620:20 *620:22 4.5 +7 *620:22 *620:23 143.421 +8 *620:23 *620:27 36.2369 +9 *620:27 *2808:serial_load 6.95771 +10 *620:27 *620:38 4.5 +11 *620:38 *620:39 114.417 +12 *620:39 *620:41 4.5 +13 *620:41 *620:42 660.422 +14 *620:42 *620:44 4.5 +15 *620:44 *620:45 3665.54 +16 *620:45 *620:47 4.5 +17 *620:47 *620:48 2937.25 +18 *620:48 *620:51 5.778 +19 *620:51 *620:53 4.5 +20 *620:53 *620:54 1078.17 +21 *620:54 *620:56 4.5 +22 *620:56 *620:57 54.5199 +23 *620:57 *2793:serial_load 22.2899 +24 *620:51 *620:74 46.7736 +25 *620:74 *2792:serial_load_out 3.78449 +26 *2807:serial_load_out *620:86 0.0631875 +*END + +*D_NET *621 1.20346 +*CONN +*I *2794:serial_load I *D gpio_control_block +*I *2793:serial_load_out O *D gpio_control_block +*I *2809:serial_load I *D gpio_control_block +*I *2808:serial_load_out O *D gpio_control_block +*CAP +1 *2794:serial_load 0.000531298 +2 *2793:serial_load_out 0.000216504 +3 *2809:serial_load 6.94771e-05 +4 *2808:serial_load_out 0.000345914 +5 *621:65 0.0018847 +6 *621:56 0.00201898 +7 *621:55 0.00205718 +8 *621:48 0.00274413 +9 *621:47 0.00268965 +10 *621:42 0.00354363 +11 *621:41 0.00334341 +12 *621:39 0.0145063 +13 *621:38 0.0145063 +14 *621:36 0.00173673 +15 *621:33 0.0630573 +16 *621:32 0.0626739 +17 *621:30 0.0289864 +18 *621:29 0.0337497 +19 *621:16 0.00199185 +20 *621:15 0.00613078 +21 *621:7 0.00931757 +22 *2793:serial_load_out *2793:user_gpio_oeb 7.18322e-06 +23 *2793:serial_load_out *665:12 0 +24 *2793:serial_load_out *2624:12 5.00967e-05 +25 *2794:serial_load *2794:resetn 4.65017e-05 +26 *2794:serial_load *2794:serial_load_out 0.00230354 +27 *2794:serial_load *665:22 2.15398e-05 +28 *2794:serial_load *666:13 0.001832 +29 *621:7 *683:7 4.26518e-06 +30 *621:15 *2018:16 0.000666555 +31 *621:15 *2398:14 0.000210571 +32 *621:15 *2398:16 0.00109454 +33 *621:16 *645:21 0 +34 *621:29 *683:7 8.74882e-05 +35 *621:30 *645:37 0.22843 +36 *621:33 *645:40 1.65872e-05 +37 *621:33 *652:45 1.00846e-05 +38 *621:39 *632:70 0.00799443 +39 *621:39 *641:48 0.000286435 +40 *621:48 *2822:mprj_io_analog_sel[14] 0 +41 *621:48 *2822:mprj_io_dm[44] 0 +42 *621:48 *2822:mprj_io_holdover[14] 0 +43 *621:48 *2822:mprj_io_ib_mode_sel[14] 0 +44 *621:48 *2822:mprj_io_oeb[14] 0 +45 *621:48 *2822:mprj_io_out[14] 0 +46 *621:48 *2822:mprj_io_slow_sel[14] 0 +47 *621:48 *2822:mprj_io_vtrip_sel[14] 0 +48 *621:48 *2263:10 0 +49 *621:48 *2301:16 1.87125e-05 +50 *621:48 *2339:20 0.0046048 +51 *621:48 *2415:14 0.000658277 +52 *621:48 *2616:11 0.00091651 +53 *621:55 *2263:10 0.00013999 +54 *621:56 *2263:11 0 +55 *621:56 *2339:8 0.00467156 +56 *621:65 *665:22 0.00146202 +57 *2793:serial_clock_out *621:48 0.000761986 +58 *2808:serial_load *621:7 0.00035581 +59 *105:8 *621:15 0.000500268 +60 *105:16 *621:15 0.0133529 +61 *105:37 *621:30 0.228426 +62 *105:40 *621:33 0.289528 +63 *105:43 *621:36 0.000533093 +64 *105:43 *621:65 9.97141e-05 +65 *105:58 *2794:serial_load 1.63133e-05 +66 *105:58 *621:65 0.00494034 +67 *106:56 *621:33 0.0761984 +68 *113:63 *621:39 0.0604808 +69 *619:20 *621:15 0.0144173 +70 *620:39 *621:29 0.00221574 +*RES +1 *2808:serial_load_out *621:7 1.41174 +2 *621:7 *621:15 41.364 +3 *621:15 *621:16 54.1538 +4 *621:16 *2809:serial_load 3.59493 +5 *621:7 *621:29 19.5199 +6 *621:29 *621:30 3649.61 +7 *621:30 *621:32 4.5 +8 *621:32 *621:33 3027.2 +9 *621:33 *621:36 15.0523 +10 *621:36 *621:38 4.5 +11 *621:38 *621:39 670.685 +12 *621:39 *621:41 4.5 +13 *621:41 *621:42 94.8485 +14 *621:42 *621:47 14.1602 +15 *621:47 *621:48 109.798 +16 *621:48 *621:55 16.7886 +17 *621:55 *621:56 79.6918 +18 *621:56 *2793:serial_load_out 3.89091 +19 *621:36 *621:65 82.5741 +20 *621:65 *2794:serial_load 41.859 +*END + +*D_NET *622 1.06917 +*CONN +*I *2794:serial_load_out O *D gpio_control_block +*I *2795:serial_load I *D gpio_control_block +*I *2783:serial_load I *D gpio_control_block +*I *2809:serial_load_out O *D gpio_control_block +*CAP +1 *2794:serial_load_out 0.000488949 +2 *2795:serial_load 0.000650836 +3 *2783:serial_load 0.000611952 +4 *2809:serial_load_out 0.000714811 +5 *622:61 0.00180835 +6 *622:56 0.00777423 +7 *622:43 0.00173606 +8 *622:38 0.00780274 +9 *622:35 0.00244979 +10 *622:34 0.00218711 +11 *622:32 0.0199851 +12 *622:31 0.0199851 +13 *622:29 0.0870509 +14 *622:28 0.0882506 +15 *622:14 0.00385333 +16 *622:13 0.00515585 +17 *2783:serial_load *2783:serial_load_out 6.71357e-05 +18 *2783:serial_load *623:31 0.00038353 +19 *2783:serial_load *685:10 5.76298e-05 +20 *2794:serial_load_out *2794:resetn 4.62974e-05 +21 *2794:serial_load_out *2036:16 2.33103e-06 +22 *2794:serial_load_out *2625:11 0.00283461 +23 *2795:serial_load *2795:resetn 0.00185781 +24 *2795:serial_load *2795:serial_data_in 2.20702e-05 +25 *2795:serial_load *2795:user_gpio_oeb 0 +26 *2795:serial_load *667:13 0.000125129 +27 *2795:serial_load *2189:11 0.00376548 +28 *2795:serial_load *2626:13 0 +29 *622:13 *2809:serial_data_in 0 +30 *622:13 *2809:user_gpio_out 0 +31 *622:13 *684:15 7.10615e-06 +32 *622:13 *2646:12 2.65302e-06 +33 *622:14 *646:8 0.000516232 +34 *622:14 *646:10 0.0137207 +35 *622:14 *685:14 0.0141771 +36 *622:28 *2809:user_gpio_oeb 0.000543039 +37 *622:28 *2809:user_gpio_out 0.000272973 +38 *622:28 *684:16 0 +39 *622:29 *2807:user_gpio_oeb 0.000982269 +40 *622:29 *649:56 0.23143 +41 *622:29 *2635:10 0 +42 *622:32 *628:53 1.92336e-05 +43 *622:32 *645:40 0.0053788 +44 *622:32 *646:32 0.266772 +45 *622:32 *652:45 0.000419843 +46 *622:32 *653:27 0.0112476 +47 *622:35 *623:16 8.62625e-06 +48 *622:38 *646:56 0.000997462 +49 *622:43 *2455:16 0 +50 *622:43 *2664:11 0.00103787 +51 *622:56 *646:56 0.0276149 +52 *622:56 *2264:10 0.000387123 +53 *2783:serial_clock_out *2783:serial_load 0 +54 *2794:serial_load *2794:serial_load_out 0.00230354 +55 *2795:serial_clock *2795:serial_load 4.0752e-05 +56 *106:56 *622:32 0.0271529 +57 *106:80 *622:56 2.41483e-05 +58 *107:31 *2783:serial_load 0 +59 *111:19 *622:32 0.00068028 +60 *112:45 *622:32 0.20215 +61 *113:29 *622:32 0.00161462 +*RES +1 *2809:serial_load_out *622:13 29.2924 +2 *622:13 *622:14 266.555 +3 *622:14 *2783:serial_load 5.87966 +4 *622:13 *622:28 42.4598 +5 *622:28 *622:29 3904.37 +6 *622:29 *622:31 4.5 +7 *622:31 *622:32 2804.8 +8 *622:32 *622:34 4.5 +9 *622:34 *622:35 55.8148 +10 *622:35 *622:38 15.2063 +11 *622:38 *622:43 47.7894 +12 *622:43 *2795:serial_load 48.0092 +13 *622:38 *622:56 305.201 +14 *622:56 *622:61 44.8827 +15 *622:61 *2794:serial_load_out 40.7994 +*END + +*D_NET *623 1.16782 +*CONN +*I *2796:serial_load I *D gpio_control_block +*I *2784:serial_load I *D gpio_control_block +*I *2783:serial_load_out O *D gpio_control_block +*I *2795:serial_load_out O *D gpio_control_block +*CAP +1 *2796:serial_load 0.000570892 +2 *2784:serial_load 0.00648666 +3 *2783:serial_load_out 0.000156605 +4 *2795:serial_load_out 0.000772958 +5 *623:56 0.00138002 +6 *623:31 0.00749266 +7 *623:28 0.0340366 +8 *623:27 0.0331872 +9 *623:25 0.0154419 +10 *623:24 0.0154419 +11 *623:22 0.0021387 +12 *623:21 0.00223338 +13 *623:17 0.00371753 +14 *623:16 0.00386779 +15 *623:13 0.00182703 +16 *2783:serial_load_out *2647:17 4.80336e-05 +17 *2784:serial_load *2783:serial_data_in 3.43715e-05 +18 *2784:serial_load *2784:resetn 0.0171462 +19 *2796:serial_load *2796:resetn 0.00171139 +20 *2796:serial_load *2796:user_gpio_oeb 0 +21 *2796:serial_load *667:26 0.00361906 +22 *2796:serial_load *668:14 0.000205749 +23 *2796:serial_load *2627:13 4.49334e-05 +24 *623:13 *2795:user_gpio_oeb 0.0019978 +25 *623:13 *1961:14 1.66626e-05 +26 *623:13 *2626:13 9.65932e-05 +27 *623:16 *667:16 0.00114357 +28 *623:17 *2822:mprj_io_dm[51] 0.00246876 +29 *623:17 *646:38 0.000642796 +30 *623:17 *646:56 0.000845507 +31 *623:17 *647:17 0.0419475 +32 *623:17 *2265:8 1.55995e-05 +33 *623:17 *2591:10 0.000920983 +34 *623:21 *647:17 0.00105057 +35 *623:25 *646:32 0.0435782 +36 *623:25 *647:25 0.223502 +37 *623:28 *647:28 0.261661 +38 *623:56 *667:22 0.000669578 +39 *623:56 *2665:11 0 +40 *623:56 *2703:11 0.00104691 +41 *2783:serial_load *2783:serial_load_out 6.71357e-05 +42 *2783:serial_load *623:31 0.00038353 +43 *2784:serial_clock *2784:serial_load 0.000140742 +44 *2796:serial_clock *2796:serial_load 4.0752e-05 +45 *107:13 *623:13 5.42115e-05 +46 *107:17 *623:17 0.0419844 +47 *107:17 *623:21 0.00105478 +48 *107:28 *623:28 0.261657 +49 *110:41 *623:25 0.000391571 +50 *111:19 *623:25 0.128813 +51 *619:20 *2784:serial_load 6.14743e-05 +52 *622:35 *623:16 8.62625e-06 +*RES +1 *2795:serial_load_out *623:13 39.1987 +2 *623:13 *623:16 39.5522 +3 *623:16 *623:17 454.944 +4 *623:17 *623:21 15.7609 +5 *623:21 *623:22 54.569 +6 *623:22 *623:24 4.5 +7 *623:24 *623:25 2352.24 +8 *623:25 *623:27 4.5 +9 *623:27 *623:28 4179.89 +10 *623:28 *623:31 6.6695 +11 *623:31 *2783:serial_load_out 0.483884 +12 *623:31 *2784:serial_load 38.3124 +13 *623:17 *623:56 47.3742 +14 *623:56 *2796:serial_load 46.3454 +*END + +*D_NET *624 1.03647 +*CONN +*I *2787:serial_load I *D gpio_control_block +*I *2785:serial_load I *D gpio_control_block +*I *2784:serial_load_out O *D gpio_control_block +*I *2796:serial_load_out O *D gpio_control_block +*CAP +1 *2787:serial_load 0.000436283 +2 *2785:serial_load 0.00696085 +3 *2784:serial_load_out 0.000121354 +4 *2796:serial_load_out 0.000343157 +5 *624:55 0.00159158 +6 *624:34 0.00798426 +7 *624:26 0.037261 +8 *624:25 0.036359 +9 *624:23 0.0307248 +10 *624:22 0.0307248 +11 *624:20 0.0020452 +12 *624:19 0.0032005 +13 *624:17 0.00574035 +14 *624:16 0.0069791 +15 *624:13 0.00158191 +16 *2784:serial_load_out *2784:serial_data_in 0 +17 *2784:serial_load_out *2648:12 2.34894e-06 +18 *2785:serial_load *2784:resetn 6.27234e-05 +19 *2785:serial_load *2784:serial_data_in 0 +20 *2785:serial_load *2785:serial_data_in 0 +21 *2785:serial_load *2785:serial_load_out 5.16691e-06 +22 *2785:serial_load *2822:mprj_io_oeb[36] 0.000522863 +23 *2785:serial_load *648:77 0.0102175 +24 *2785:serial_load *687:15 2.12195e-05 +25 *2785:serial_load *1733:8 0.00151958 +26 *2787:serial_load *2787:user_gpio_out 0.000115848 +27 *2787:serial_load *625:13 0.000291261 +28 *624:13 *2796:user_gpio_oeb 0.00185138 +29 *624:13 *668:17 0.000694254 +30 *624:13 *2592:20 0.000432613 +31 *624:13 *2627:13 1.41853e-05 +32 *624:16 *668:14 4.9073e-05 +33 *624:17 *2822:mprj_io_slow_sel[18] 0.00100538 +34 *624:17 *647:17 0.00085524 +35 *624:17 *647:21 0.000645042 +36 *624:17 *648:17 0.0375096 +37 *624:17 *2267:8 0.0175583 +38 *624:17 *2593:8 0.000897913 +39 *624:23 *633:38 0.0184632 +40 *624:23 *647:25 0 +41 *624:23 *649:35 0.159859 +42 *624:23 *659:28 0.000373913 +43 *624:23 *1714:20 0.0104146 +44 *624:23 *1715:20 0.00232728 +45 *624:26 *625:20 0.0040325 +46 *624:26 *647:28 0.00420177 +47 *624:26 *653:36 0.0113797 +48 *624:26 *1721:27 0.258118 +49 *624:34 *2648:13 0 +50 *624:55 *668:29 0.000353367 +51 *2784:serial_clock *2785:serial_load 0.000392149 +52 *2787:serial_clock *2787:serial_load 0.00170075 +53 *100:41 *624:23 0.0294141 +54 *107:21 *624:17 5.51483e-06 +55 *107:25 *624:23 0.0086717 +56 *107:28 *624:26 0 +57 *108:13 *624:13 0.000749011 +58 *108:38 *2785:serial_load 7.31006e-05 +59 *109:13 *2787:serial_load 0.00165184 +60 *109:17 *624:23 0.00432426 +61 *109:26 *624:26 0.27002 +62 *110:41 *624:23 0.0036216 +*RES +1 *2796:serial_load_out *624:13 37.4584 +2 *624:13 *624:16 39.1369 +3 *624:16 *624:17 408.357 +4 *624:17 *624:19 4.5 +5 *624:19 *624:20 52.4928 +6 *624:20 *624:22 4.5 +7 *624:22 *624:23 1945.72 +8 *624:23 *624:25 4.5 +9 *624:25 *624:26 4446.69 +10 *624:26 *624:34 36.2095 +11 *624:34 *2784:serial_load_out 0.292658 +12 *624:34 *2785:serial_load 38.4119 +13 *624:19 *624:55 39.1369 +14 *624:55 *2787:serial_load 33.5895 +*END + +*D_NET *625 0.685866 +*CONN +*I *2785:serial_load_out O *D gpio_control_block +*I *2787:serial_load_out O *D gpio_control_block +*CAP +1 *2785:serial_load_out 0.000864042 +2 *2787:serial_load_out 0.0005248 +3 *625:20 0.0984162 +4 *625:19 0.0975521 +5 *625:17 0.0647425 +6 *625:16 0.0647425 +7 *625:14 0.00223083 +8 *625:13 0.00275563 +9 *2785:serial_load_out *2785:user_gpio_oeb 0 +10 *2785:serial_load_out *687:15 0.000292117 +11 *2785:serial_load_out *2649:12 9.94897e-06 +12 *625:13 *2787:user_gpio_oeb 0.000330532 +13 *625:13 *2787:user_gpio_out 0.000102438 +14 *625:13 *668:29 0.00221892 +15 *625:14 *2419:22 0.00172634 +16 *625:17 *626:47 0 +17 *625:17 *627:19 0 +18 *625:17 *640:19 0.000270482 +19 *625:17 *650:41 0 +20 *625:17 *651:19 0 +21 *625:17 *1717:34 0.00147158 +22 *625:17 *1718:34 0 +23 *625:20 *646:8 0 +24 *625:20 *646:10 0 +25 *625:20 *2684:14 0.02634 +26 *625:20 *2725:14 0 +27 *2785:serial_load *2785:serial_load_out 5.16691e-06 +28 *2787:serial_clock *625:13 0.00143941 +29 *2787:serial_load *625:13 0.000291261 +30 *109:26 *625:20 0.285334 +31 *616:41 *625:17 0.0301721 +32 *624:26 *625:20 0.0040325 +*RES +1 *2787:serial_load_out *625:13 44.1902 +2 *625:13 *625:14 71.5944 +3 *625:14 *625:16 4.5 +4 *625:16 *625:17 1955.7 +5 *625:17 *625:19 4.5 +6 *625:19 *625:20 4725.33 +7 *625:20 *2785:serial_load_out 36.9903 +*END + +*D_NET *626 1.2252 +*CONN +*I *2797:serial_load I *D gpio_control_block +*I *2782:serial_load_out O *D gpio_control_block +*I *2811:serial_load I *D gpio_control_block +*I *2810:serial_load_out O *D gpio_control_block +*CAP +1 *2797:serial_load 0.000276137 +2 *2782:serial_load_out 0.000640498 +3 *2811:serial_load 0.000126226 +4 *2810:serial_load_out 0.000409967 +5 *626:72 0.00280882 +6 *626:71 0.00231168 +7 *626:66 0.00121532 +8 *626:65 0.00137764 +9 *626:56 0.00225485 +10 *626:55 0.00194918 +11 *626:53 0.00560883 +12 *626:52 0.00533269 +13 *626:50 0.0412729 +14 *626:49 0.0412729 +15 *626:47 0.0136982 +16 *626:46 0.0136982 +17 *626:44 0.00197203 +18 *626:43 0.00256956 +19 *626:29 0.00116482 +20 *626:28 0.0010386 +21 *626:26 0.00121543 +22 *626:25 0.00121543 +23 *626:23 0.00515119 +24 *626:22 0.00574872 +25 *626:20 0.00117325 +26 *626:19 0.00158322 +27 *2782:serial_load_out *2782:user_gpio_oeb 0.0003186 +28 *2782:serial_load_out *2782:user_gpio_out 0.000474865 +29 *2782:serial_load_out *2630:13 3.84497e-05 +30 *2797:serial_load *2797:serial_data_in 0 +31 *2797:serial_load *2797:serial_load_out 5.52762e-05 +32 *2797:serial_load *2797:user_gpio_oeb 0.000124334 +33 *2797:serial_load *670:13 0.000170013 +34 *626:19 *2810:user_gpio_oeb 0.00226483 +35 *626:19 *2810:user_gpio_out 0.00233203 +36 *626:19 *640:74 0.00116519 +37 *626:20 *2669:7 0.00327122 +38 *626:23 *2822:mprj_io_holdover[20] 0.000860423 +39 *626:23 *650:17 0.0214789 +40 *626:23 *650:37 0.00138855 +41 *626:26 *650:20 0.00338534 +42 *626:29 *2811:user_gpio_out 0.000336633 +43 *626:29 *650:23 1.58551e-05 +44 *626:29 *1718:40 0.00439741 +45 *626:47 *627:19 2.20702e-05 +46 *626:47 *640:19 0.00035468 +47 *626:47 *650:41 0.22039 +48 *626:47 *1717:34 0.215723 +49 *626:50 *650:44 0.000349754 +50 *626:56 *669:30 0.00184632 +51 *626:56 *2159:8 0.00355242 +52 *626:65 *2822:mprj_io_ib_mode_sel[2] 6.1557e-05 +53 *626:65 *2822:mprj_io_oeb[2] 0.000510748 +54 *626:65 *669:29 6.81162e-05 +55 *626:65 *2159:13 0 +56 *626:66 *669:20 0.00358688 +57 *626:66 *1976:20 2.01595e-05 +58 *626:66 *2052:14 0 +59 *626:66 *2148:14 0 +60 *626:66 *2204:14 0.000161551 +61 *626:66 *2242:14 8.88627e-05 +62 *626:66 *2280:11 0 +63 *626:66 *2394:14 0.00101785 +64 *626:71 *2822:mprj_io_dm[7] 5.20546e-06 +65 *626:72 *2822:mprj_io_dm[7] 0 +66 *626:72 *2822:mprj_io_slow_sel[2] 0 +67 *626:72 *650:56 1.87269e-05 +68 *626:72 *669:14 0.00606568 +69 *626:72 *2159:14 0.000107729 +70 *626:72 *2280:10 0 +71 *2782:serial_load *2782:serial_load_out 4.31122e-05 +72 *2797:serial_clock_out *626:56 0.000577902 +73 *110:20 *626:26 3.31736e-05 +74 *110:23 *626:29 0.00441664 +75 *110:44 *626:50 0.29148 +76 *110:52 *626:56 0 +77 *110:57 *626:71 5.481e-05 +78 *111:22 *626:50 0.274513 +79 *616:23 *626:19 0.000972097 +80 *625:17 *626:47 0 +*RES +1 *2810:serial_load_out *626:19 33.1879 +2 *626:19 *626:20 53.1156 +3 *626:20 *626:22 4.5 +4 *626:22 *626:23 240.867 +5 *626:23 *626:25 4.5 +6 *626:25 *626:26 55.3995 +7 *626:26 *626:28 4.5 +8 *626:28 *626:29 102.77 +9 *626:29 *2811:serial_load 8.46357 +10 *626:22 *626:43 20.1977 +11 *626:43 *626:44 54.569 +12 *626:44 *626:46 4.5 +13 *626:46 *626:47 2305.66 +14 *626:47 *626:49 4.5 +15 *626:49 *626:50 4672.17 +16 *626:50 *626:52 4.5 +17 *626:52 *626:53 136.601 +18 *626:53 *626:55 4.5 +19 *626:55 *626:56 99.001 +20 *626:56 *626:65 19.8509 +21 *626:65 *626:66 59.9673 +22 *626:66 *626:71 11.9418 +23 *626:71 *626:72 99.4163 +24 *626:72 *2782:serial_load_out 31.8435 +25 *626:53 *2797:serial_load 12.1866 +*END + +*D_NET *627 1.00338 +*CONN +*I *2812:serial_load I *D gpio_control_block +*I *2798:serial_load I *D gpio_control_block +*I *2797:serial_load_out O *D gpio_control_block +*I *2811:serial_load_out O *D gpio_control_block +*CAP +1 *2812:serial_load 0.000127782 +2 *2798:serial_load 0.000240668 +3 *2797:serial_load_out 0.000158363 +4 *2811:serial_load_out 0.000626093 +5 *627:65 0.000619207 +6 *627:64 0.000491425 +7 *627:62 0.00116507 +8 *627:61 0.00116507 +9 *627:59 0.00534363 +10 *627:58 0.00534363 +11 *627:40 0.00188094 +12 *627:39 0.00190508 +13 *627:34 0.00121121 +14 *627:33 0.00123092 +15 *627:28 0.00107823 +16 *627:27 0.00111668 +17 *627:25 0.00289289 +18 *627:24 0.00289289 +19 *627:22 0.139897 +20 *627:21 0.139897 +21 *627:19 0.0163585 +22 *627:18 0.0163585 +23 *627:16 0.0020022 +24 *627:14 0.00266047 +25 *627:13 0.00128436 +26 *2797:serial_load_out *2797:user_gpio_oeb 0 +27 *2797:serial_load_out *2641:10 2.72062e-05 +28 *2798:serial_load *2798:serial_load_out 5.27207e-05 +29 *2798:serial_load *671:13 9.12075e-05 +30 *627:13 *2632:13 0.00207025 +31 *627:19 *640:19 9.93327e-05 +32 *627:19 *650:41 0.000322971 +33 *627:19 *651:19 0.253743 +34 *627:19 *1718:34 0.244322 +35 *627:22 *2821:io_in[10] 0 +36 *627:22 *2821:io_in[11] 0 +37 *627:22 *2821:io_in[12] 0 +38 *627:22 *2821:io_in[13] 0 +39 *627:22 *2821:io_in[2] 0 +40 *627:22 *2821:io_in[3] 0 +41 *627:22 *2821:io_in[7] 0 +42 *627:22 *2821:io_in[8] 0 +43 *627:22 *2821:io_in[9] 0 +44 *627:22 *2601:17 0 +45 *627:22 *2611:17 0.00889298 +46 *627:22 *2612:17 0.0122739 +47 *627:22 *2613:17 0.0146728 +48 *627:22 *2614:17 0.0181291 +49 *627:22 *2615:17 0.00158027 +50 *627:22 *2616:17 0.000445714 +51 *627:22 *2658:10 0 +52 *627:22 *2661:10 0 +53 *627:22 *2662:8 0.0142767 +54 *627:22 *2668:10 0 +55 *627:22 *2688:10 0 +56 *627:22 *2689:10 0 +57 *627:22 *2690:10 0 +58 *627:22 *2691:10 0 +59 *627:22 *2696:10 0 +60 *627:22 *2698:10 0 +61 *627:22 *2699:10 0 +62 *627:22 *2706:10 0 +63 *627:22 *2726:10 0 +64 *627:22 *2729:10 0 +65 *627:22 *2731:10 0 +66 *627:22 *2732:10 0 +67 *627:28 *2797:resetn_out 0.000382728 +68 *627:28 *2798:resetn_out 4.88112e-06 +69 *627:28 *2798:serial_data_in 0.000117848 +70 *627:28 *2365:8 0.00303528 +71 *627:28 *2479:13 0.000107108 +72 *627:34 *670:14 0.00750816 +73 *627:40 *2797:resetn_out 0.000633806 +74 *627:40 *2797:user_gpio_oeb 0.000318589 +75 *627:40 *670:13 0.000476538 +76 *627:59 *2822:mprj_io_slow_sel[21] 0.000515281 +77 *627:59 *651:56 0.0221586 +78 *627:59 *2143:22 0.000680923 +79 *627:62 *651:59 7.77309e-06 +80 *627:65 *651:62 0.00834562 +81 *2797:serial_clock_out *627:40 0.000589426 +82 *2797:serial_load *2797:serial_load_out 5.52762e-05 +83 *2798:serial_clock_out *627:25 3.99086e-06 +84 *111:13 *627:13 0.000115827 +85 *111:25 *627:25 0.0114697 +86 *111:28 *627:28 0.00034899 +87 *111:30 *627:28 0.003768 +88 *111:35 *627:33 0.000530151 +89 *111:36 *627:34 0.00747852 +90 *111:41 *627:39 0.000543025 +91 *111:42 *627:40 0.00353023 +92 *111:63 *627:59 5.99856e-05 +93 *111:66 *627:62 0.00327757 +94 *111:69 *627:65 0.00834337 +95 *625:17 *627:19 0 +96 *626:47 *627:19 2.20702e-05 +*RES +1 *2811:serial_load_out *627:13 37.4584 +2 *627:13 *627:14 18.4421 +3 *627:14 *627:16 55.8148 +4 *627:16 *627:18 4.5 +5 *627:18 *627:19 2653.39 +6 *627:19 *627:21 4.5 +7 *627:21 *627:22 4401.01 +8 *627:22 *627:24 4.5 +9 *627:24 *627:25 122.736 +10 *627:25 *627:27 4.5 +11 *627:27 *627:28 68.2723 +12 *627:28 *627:33 15.824 +13 *627:33 *627:34 120.594 +14 *627:34 *627:39 15.824 +15 *627:39 *627:40 80.9375 +16 *627:40 *2797:serial_load_out 3.81442 +17 *627:27 *2798:serial_load 7.93031 +18 *627:14 *627:58 4.5 +19 *627:58 *627:59 249.74 +20 *627:59 *627:61 4.5 +21 *627:61 *627:62 52.908 +22 *627:62 *627:64 4.5 +23 *627:64 *627:65 87.2416 +24 *627:65 *2812:serial_load 8.46357 +*END + +*D_NET *628 1.19203 +*CONN +*I *2799:serial_load I *D gpio_control_block +*I *2798:serial_load_out O *D gpio_control_block +*I *2813:serial_load I *D gpio_control_block +*I *2812:serial_load_out O *D gpio_control_block +*CAP +1 *2799:serial_load 0.000693399 +2 *2798:serial_load_out 0.000828608 +3 *2813:serial_load 0.000958834 +4 *2812:serial_load_out 0.000351339 +5 *628:68 0.00307277 +6 *628:67 0.00224416 +7 *628:65 0.00123863 +8 *628:59 0.00367043 +9 *628:58 0.0031252 +10 *628:56 0.0882087 +11 *628:55 0.0882087 +12 *628:53 0.0168815 +13 *628:52 0.0168815 +14 *628:50 0.00175949 +15 *628:49 0.00208063 +16 *628:23 0.00220318 +17 *628:22 0.00124435 +18 *628:20 0.000450276 +19 *628:19 0.000450276 +20 *628:17 0.00130343 +21 *628:16 0.00197591 +22 *2798:serial_load_out *671:13 0.000200597 +23 *2798:serial_load_out *2650:10 3.84497e-05 +24 *2798:serial_load_out *2726:17 0.000219767 +25 *2799:serial_load *2799:serial_load_out 3.74656e-05 +26 *2799:serial_load *2799:user_gpio_oeb 4.69495e-06 +27 *2799:serial_load *672:13 3.84497e-05 +28 *2799:serial_load *2689:17 0.00207163 +29 *2813:serial_load *2813:serial_data_in 0.000963687 +30 *2813:serial_load *629:19 0.00237209 +31 *2813:serial_load *652:25 0.000150029 +32 *2813:serial_load *1720:40 0.000337843 +33 *2813:serial_load *2634:19 0.00248234 +34 *628:16 *652:13 0.000307023 +35 *628:16 *652:17 7.77309e-06 +36 *628:16 *2348:16 0.00117052 +37 *628:16 *2424:16 2.33103e-06 +38 *628:16 *2424:17 0.000171273 +39 *628:17 *2822:mprj_io_inp_dis[22] 0.000273267 +40 *628:17 *2822:mprj_io_slow_sel[22] 0.000158444 +41 *628:17 *652:17 0.000158371 +42 *628:17 *652:19 0.0197837 +43 *628:20 *652:22 0.00332815 +44 *628:23 *652:25 0 +45 *628:49 *652:17 0.000102003 +46 *628:49 *2633:12 0.0003013 +47 *628:53 *652:45 0.285646 +48 *628:53 *1711:20 0.00137797 +49 *628:56 *651:22 7.77309e-06 +50 *628:56 *652:48 0.256166 +51 *628:65 *2799:serial_load_out 0 +52 *628:65 *1708:20 0 +53 *628:65 *1715:17 0.000960665 +54 *628:65 *2689:17 0.000623421 +55 *628:68 *1707:20 0.0170024 +56 *628:68 *1709:20 0.017006 +57 *2798:serial_load *2798:serial_load_out 5.27207e-05 +58 *2813:serial_clock *2813:serial_load 0.000972097 +59 *106:56 *628:53 0.0212103 +60 *112:14 *628:16 0.00114957 +61 *112:17 *628:17 0.0198748 +62 *112:17 *628:49 6.50727e-05 +63 *112:20 *628:20 0.00328116 +64 *112:23 *628:23 0.00568799 +65 *112:41 *628:49 0.00142324 +66 *112:42 *628:50 0.00440928 +67 *112:45 *628:53 0.282585 +68 *622:32 *628:53 1.92336e-05 +*RES +1 *2812:serial_load_out *628:16 39.7408 +2 *628:16 *628:17 209.254 +3 *628:17 *628:19 4.5 +4 *628:19 *628:20 53.3233 +5 *628:20 *628:22 4.5 +6 *628:22 *628:23 59.5114 +7 *628:23 *2813:serial_load 40.2083 +8 *628:16 *628:49 20.1977 +9 *628:49 *628:50 72.8401 +10 *628:50 *628:52 4.5 +11 *628:52 *628:53 2986.71 +12 *628:53 *628:55 4.5 +13 *628:55 *628:56 4089.16 +14 *628:56 *628:58 4.5 +15 *628:58 *628:59 77.2587 +16 *628:59 *628:65 31.3594 +17 *628:65 *628:67 4.5 +18 *628:67 *628:68 272.576 +19 *628:68 *2798:serial_load_out 35.393 +20 *628:65 *2799:serial_load 31.3711 +*END + +*D_NET *629 1.35108 +*CONN +*I *2800:serial_load I *D gpio_control_block +*I *2799:serial_load_out O *D gpio_control_block +*I *2814:serial_load I *D gpio_control_block +*I *2813:serial_load_out O *D gpio_control_block +*CAP +1 *2800:serial_load 0.00121612 +2 *2799:serial_load_out 0.00116773 +3 *2814:serial_load 4.34505e-05 +4 *2813:serial_load_out 0.000670395 +5 *629:50 0.00327355 +6 *629:49 0.00210582 +7 *629:47 0.00172722 +8 *629:44 0.0313024 +9 *629:43 0.0307913 +10 *629:41 0.0214909 +11 *629:32 0.00097351 +12 *629:31 0.000930059 +13 *629:29 0.00280128 +14 *629:28 0.00280128 +15 *629:26 0.00228278 +16 *629:25 0.00228278 +17 *629:23 0.00977574 +18 *629:22 0.0312666 +19 *629:20 0.0025982 +20 *629:19 0.0032686 +21 *2799:serial_load_out *2799:user_gpio_oeb 5.38612e-06 +22 *2799:serial_load_out *2651:10 3.28031e-05 +23 *2799:serial_load_out *2651:11 0 +24 *2800:serial_load *2800:serial_load_out 8.64306e-05 +25 *2800:serial_load *654:51 0 +26 *2800:serial_load *672:14 9.35753e-06 +27 *2800:serial_load *673:7 8.15092e-05 +28 *2800:serial_load *673:8 1.66771e-05 +29 *2800:serial_load *1739:20 0.000356541 +30 *2800:serial_load *2652:11 0 +31 *2800:serial_load *2728:17 0.00022826 +32 *2814:serial_load *630:7 5.36925e-05 +33 *2814:serial_load *692:7 5.83673e-05 +34 *629:19 *2813:serial_data_in 0.000706559 +35 *629:19 *691:13 0.00069815 +36 *629:20 *2597:35 0.00210551 +37 *629:20 *2634:20 0.00281941 +38 *629:23 *653:21 0.00579002 +39 *629:23 *653:51 0.00165185 +40 *629:26 *631:30 0.0122871 +41 *629:26 *634:24 0.0110344 +42 *629:26 *692:14 0.00059791 +43 *629:29 *692:11 0.0117316 +44 *629:29 *2153:21 2.41483e-05 +45 *629:29 *2198:14 0.000649254 +46 *629:32 *2814:user_gpio_out 0.000741252 +47 *629:32 *692:8 0.00340272 +48 *629:41 *635:17 0 +49 *629:41 *640:19 0 +50 *629:41 *651:19 0.000992545 +51 *629:41 *653:51 0.320626 +52 *629:41 *1719:34 0.0005252 +53 *629:41 *1720:34 0.302098 +54 *629:44 *631:36 0.189156 +55 *629:44 *632:73 0 +56 *629:44 *635:14 4.3116e-06 +57 *629:44 *653:54 0.241211 +58 *629:44 *656:35 0.0496724 +59 *629:47 *2728:17 0.000495389 +60 *629:50 *659:25 0.0166787 +61 *629:50 *1708:20 0.0166823 +62 *2799:serial_load *2799:serial_load_out 3.74656e-05 +63 *2813:serial_load *629:19 0.00237209 +64 *113:19 *629:19 0.00135517 +65 *114:45 *2800:serial_load 0 +66 *117:47 *629:26 0.000709454 +67 *617:26 *629:26 7.77309e-06 +68 *620:48 *629:44 0.000515187 +69 *628:65 *2799:serial_load_out 0 +*RES +1 *2813:serial_load_out *629:19 30.4914 +2 *629:19 *629:20 111.251 +3 *629:20 *629:22 4.5 +4 *629:22 *629:23 305.201 +5 *629:23 *629:25 4.5 +6 *629:25 *629:26 219.424 +7 *629:26 *629:28 4.5 +8 *629:28 *629:29 126.064 +9 *629:29 *629:31 4.5 +10 *629:31 *629:32 55.1919 +11 *629:32 *2814:serial_load 3.66144 +12 *629:22 *629:41 3353.86 +13 *629:41 *629:43 4.5 +14 *629:43 *629:44 3851.64 +15 *629:44 *629:47 18.5339 +16 *629:47 *629:49 4.5 +17 *629:49 *629:50 266.348 +18 *629:50 *2799:serial_load_out 38.0895 +19 *629:47 *2800:serial_load 46.3523 +*END + +*D_NET *630 1.59125 +*CONN +*I *2800:serial_load_out O *D gpio_control_block +*I *2801:serial_load I *D gpio_control_block +*I *2815:serial_load I *D gpio_control_block +*I *2814:serial_load_out O *D gpio_control_block +*CAP +1 *2800:serial_load_out 0.000238916 +2 *2801:serial_load 0.000546735 +3 *2815:serial_load 0.000457018 +4 *2814:serial_load_out 0.000320238 +5 *630:47 0.00388869 +6 *630:46 0.00367613 +7 *630:35 0.00359032 +8 *630:34 0.00325614 +9 *630:32 0.000552667 +10 *630:29 0.00383422 +11 *630:28 0.00352047 +12 *630:26 0.0208753 +13 *630:25 0.0208753 +14 *630:23 0.0327933 +15 *630:22 0.0327933 +16 *630:20 0.00386594 +17 *630:19 0.00386594 +18 *630:8 0.0147977 +19 *630:7 0.0146609 +20 *2800:serial_load_out *2652:11 3.84497e-05 +21 *2801:serial_load *2801:serial_data_in 0 +22 *2801:serial_load *2801:serial_load_out 3.27908e-05 +23 *2801:serial_load *655:51 0.000623179 +24 *2801:serial_load *674:9 0.000105333 +25 *2801:serial_load *674:10 5.81291e-05 +26 *2815:serial_load *2815:serial_data_in 0 +27 *2815:serial_load *631:10 0.000103407 +28 *2815:serial_load *634:14 0.000357669 +29 *2815:serial_load *654:22 0.000354072 +30 *2815:serial_load *2636:13 0 +31 *630:7 *692:7 0 +32 *630:7 *2635:10 0.00021808 +33 *630:8 *632:61 0.00128572 +34 *630:8 *632:67 0.000382783 +35 *630:8 *633:29 0 +36 *630:8 *634:14 0.0478966 +37 *630:8 *654:22 0.00401131 +38 *630:20 *2602:22 0.00313886 +39 *630:20 *2674:17 0.000282447 +40 *630:23 *631:30 0.00107828 +41 *630:23 *645:37 1.04352e-05 +42 *630:23 *1722:27 0.201813 +43 *630:23 *1750:13 2.23142e-05 +44 *630:26 *643:35 0.051616 +45 *630:26 *654:40 0.402533 +46 *630:26 *655:42 0.402317 +47 *630:26 *657:31 0.0474219 +48 *630:29 *654:43 1.48618e-05 +49 *630:29 *655:45 0.0336423 +50 *630:29 *2063:14 0.00065835 +51 *630:29 *2215:14 0.000737797 +52 *630:29 *2480:14 0.000852576 +53 *630:35 *654:51 0 +54 *630:35 *654:53 0 +55 *630:35 *673:8 0 +56 *630:35 *2292:10 0.00019711 +57 *630:46 *2822:mprj_io_slow_sel[6] 0.000225624 +58 *630:47 *2822:mprj_io_analog_sel[6] 0.000570698 +59 *630:47 *2822:mprj_io_dm[18] 0.000198495 +60 *630:47 *2822:mprj_io_dm[19] 0.000312733 +61 *630:47 *2822:mprj_io_inp_dis[6] 0.000223881 +62 *630:47 *654:59 0 +63 *630:47 *673:20 0 +64 *630:47 *2064:14 0.00404338 +65 *630:47 *2094:16 9.80857e-05 +66 *630:47 *2216:14 0.00475023 +67 *630:47 *2254:8 0.000387981 +68 *630:47 *2406:14 0 +69 *630:47 *2482:14 0 +70 *2800:serial_load *2800:serial_load_out 8.64306e-05 +71 *2814:serial_load *630:7 5.36925e-05 +72 *103:24 *630:23 0.00699714 +73 *105:37 *630:23 0.165679 +74 *106:51 *630:8 0.000215994 +75 *106:55 *630:8 0.000395777 +76 *108:26 *630:23 0 +77 *114:36 *630:23 0.00151151 +78 *114:39 *630:26 4.42985e-05 +79 *114:42 *630:29 0.0328512 +80 *114:54 *630:35 0 +81 *114:54 *630:46 0 +82 *114:54 *630:47 0 +83 *617:20 *630:8 0.00236818 +84 *619:23 *630:26 2.01888e-05 +*RES +1 *2814:serial_load_out *630:7 4.65582 +2 *630:7 *630:8 765.273 +3 *630:8 *2815:serial_load 10.4327 +4 *630:8 *630:19 4.5 +5 *630:19 *630:20 117.745 +6 *630:20 *630:22 4.5 +7 *630:22 *630:23 3291.25 +8 *630:23 *630:25 3.36879 +9 *630:25 *630:26 508.518 +10 *630:26 *630:28 0.376635 +11 *630:28 *630:29 66.8039 +12 *630:29 *630:32 1.45914 +13 *630:32 *630:34 3.36879 +14 *630:34 *630:35 92.9799 +15 *630:35 *630:46 28.3221 +16 *630:46 *630:47 161.704 +17 *630:47 *2801:serial_load 28.6444 +18 *630:32 *2800:serial_load_out 0.828089 +*END + +*D_NET *631 1.13201 +*CONN +*I *2802:serial_load I *D gpio_control_block +*I *2801:serial_load_out O *D gpio_control_block +*I *2816:serial_load I *D gpio_control_block +*I *2815:serial_load_out O *D gpio_control_block +*CAP +1 *2802:serial_load 0.000379733 +2 *2801:serial_load_out 0.000135279 +3 *2816:serial_load 0.00931827 +4 *2815:serial_load_out 0.000368749 +5 *631:46 0.00889071 +6 *631:44 0.0087812 +7 *631:42 0.00277246 +8 *631:41 0.00274668 +9 *631:39 0.00203541 +10 *631:36 0.0331838 +11 *631:35 0.0315282 +12 *631:33 0.0756953 +13 *631:32 0.0756953 +14 *631:30 0.0221761 +15 *631:29 0.0253119 +16 *631:10 0.0128228 +17 *2801:serial_load_out *2801:serial_data_in 0 +18 *2801:serial_load_out *2653:10 3.74656e-05 +19 *2802:serial_load *2802:serial_load_out 3.32394e-05 +20 *2802:serial_load *2802:user_gpio_oeb 0 +21 *2802:serial_load *674:10 0.00018228 +22 *2802:serial_load *675:15 8.81049e-05 +23 *2802:serial_load *2445:11 0.00070788 +24 *2816:serial_load *655:7 0.000243127 +25 *2816:serial_load *2351:29 0 +26 *631:10 *632:55 0.000418887 +27 *631:10 *2636:13 0.000501796 +28 *631:29 *655:7 0.000878005 +29 *631:29 *2636:13 0.0110211 +30 *631:30 *692:14 0.0123116 +31 *631:36 *632:73 0 +32 *631:36 *664:16 0.0328703 +33 *631:42 *656:41 0.000979535 +34 *631:42 *656:50 6.7566e-05 +35 *631:42 *2027:13 7.22422e-05 +36 *2801:serial_load *2801:serial_load_out 3.27908e-05 +37 *2802:serial_clock *631:42 0.00187597 +38 *2802:serial_clock_out *2802:serial_load 0 +39 *2815:serial_clock *2816:serial_load 1.79334e-05 +40 *2815:serial_load *631:10 0.000103407 +41 *102:52 *631:33 0.0473954 +42 *105:37 *631:30 0.0248776 +43 *106:43 *631:10 0 +44 *114:36 *631:30 0.0015992 +45 *115:70 *631:42 0.00262156 +46 *115:70 *631:46 0.0254898 +47 *117:47 *631:30 0 +48 *117:50 *631:33 0.349432 +49 *617:29 *631:33 0.000254937 +50 *618:34 *2816:serial_load 0 +51 *620:48 *631:36 0.10349 +52 *620:54 *631:36 4.06778e-05 +53 *629:26 *631:30 0.0122871 +54 *629:44 *631:36 0.189156 +55 *630:23 *631:30 0.00107828 +*RES +1 *2815:serial_load_out *631:10 21.6258 +2 *631:10 *2816:serial_load 37.7681 +3 *631:10 *631:29 20.3995 +4 *631:29 *631:30 1031.45 +5 *631:30 *631:32 4.5 +6 *631:32 *631:33 3660 +7 *631:33 *631:35 4.5 +8 *631:35 *631:36 3019.47 +9 *631:36 *631:39 40.718 +10 *631:39 *631:41 4.5 +11 *631:41 *631:42 118.725 +12 *631:42 *631:44 0.732798 +13 *631:44 *631:46 406.703 +14 *631:46 *2801:serial_load_out 3.81442 +15 *631:39 *2802:serial_load 21.5845 +*END + +*D_NET *632 1.5041 +*CONN +*I *2786:serial_load I *D gpio_control_block +*I *2802:serial_load_out O *D gpio_control_block +*I *2817:serial_load I *D gpio_control_block +*I *2816:serial_load_out O *D gpio_control_block +*CAP +1 *2786:serial_load 0.000369547 +2 *2802:serial_load_out 0.000668407 +3 *2817:serial_load 0.00119658 +4 *2816:serial_load_out 0.000540142 +5 *632:87 0.00283532 +6 *632:85 0.00286936 +7 *632:78 0.00159673 +8 *632:73 0.0286165 +9 *632:72 0.0280918 +10 *632:70 0.0217397 +11 *632:69 0.0217397 +12 *632:67 0.00314104 +13 *632:66 0.00319389 +14 *632:61 0.00164276 +15 *632:60 0.00193362 +16 *632:55 0.0219508 +17 *632:54 0.0221414 +18 *632:49 0.00122217 +19 *632:48 0.000734841 +20 *632:43 0.000525643 +21 *632:42 0.000662306 +22 *632:37 0.00213348 +23 *632:20 0.00388453 +24 *632:18 0.00363374 +25 *632:15 0.0034357 +26 *2786:serial_load *2786:serial_load_out 0.000173619 +27 *2786:serial_load *2786:user_gpio_oeb 0 +28 *2786:serial_load *676:7 4.4596e-05 +29 *2786:serial_load *676:8 7.24334e-05 +30 *2802:serial_load_out *2802:user_gpio_oeb 1.65082e-05 +31 *2802:serial_load_out *675:15 0.000146558 +32 *2802:serial_load_out *2445:11 9.04626e-05 +33 *2802:serial_load_out *2654:10 0.000126851 +34 *2802:serial_load_out *2730:20 0.00016519 +35 *2817:serial_load *633:13 4.87207e-05 +36 *2817:serial_load *695:15 1.29018e-05 +37 *2817:serial_load *2638:13 4.97448e-06 +38 *632:15 *694:7 0 +39 *632:15 *2637:12 1.75765e-05 +40 *632:15 *2637:15 0 +41 *632:18 *2816:resetn 0.000966316 +42 *632:18 *633:29 0 +43 *632:18 *634:14 0.000380695 +44 *632:18 *655:24 0.000237959 +45 *632:18 *694:8 0 +46 *632:18 *2314:10 0.000191469 +47 *632:18 *2352:10 0 +48 *632:20 *634:14 0 +49 *632:20 *656:13 0.00171457 +50 *632:20 *695:16 0.0013475 +51 *632:20 *2010:16 0.00341621 +52 *632:20 *2157:8 0.0056292 +53 *632:20 *2158:8 0.00121298 +54 *632:20 *2160:17 0.00135285 +55 *632:20 *2238:34 0.00291625 +56 *632:20 *2314:10 1.07248e-05 +57 *632:20 *2314:12 0.000253189 +58 *632:37 *655:24 0.00123348 +59 *632:37 *694:8 0 +60 *632:37 *2237:22 0.00055967 +61 *632:42 *2822:mprj_io_holdover[25] 5.04829e-06 +62 *632:42 *2822:mprj_io_out[25] 0 +63 *632:43 *633:29 8.34211e-06 +64 *632:43 *694:8 0.00323808 +65 *632:43 *2155:8 2.60879e-06 +66 *632:43 *2351:30 0.00319881 +67 *632:43 *2465:19 2.77564e-05 +68 *632:48 *655:15 1.56384e-05 +69 *632:49 *633:29 0.000854443 +70 *632:49 *655:8 4.31485e-06 +71 *632:49 *2389:8 0.00423556 +72 *632:49 *2465:19 0.000907035 +73 *632:55 *2815:serial_data_in 0.000134984 +74 *632:55 *2815:user_gpio_oeb 5.38612e-06 +75 *632:55 *2815:user_gpio_out 5.38612e-06 +76 *632:55 *694:20 0 +77 *632:55 *2237:8 0.000258117 +78 *632:55 *2275:11 0.000717295 +79 *632:55 *2351:16 9.64979e-05 +80 *632:55 *2465:8 0 +81 *632:55 *2636:13 0 +82 *632:61 *633:29 0 +83 *632:67 *633:35 0.0268031 +84 *632:67 *1970:8 0.00168006 +85 *632:70 *633:38 0.41244 +86 *632:73 *644:72 0.0854181 +87 *632:78 *633:48 0.00299375 +88 *632:78 *2655:13 0.00318682 +89 *632:85 *2786:pad_gpio_in 0.000124334 +90 *632:85 *656:58 0.000883136 +91 *632:85 *2098:13 0.000124334 +92 *632:85 *2099:13 0.000569872 +93 *632:85 *2332:13 0.000420325 +94 *632:85 *2446:13 0.000543803 +95 *632:87 *656:50 0 +96 *632:87 *656:54 0.00743689 +97 *632:87 *656:58 0.00143768 +98 *632:87 *2255:8 0.0017491 +99 *2786:serial_clock_out *2786:serial_load 4.62112e-05 +100 *2802:serial_load *2802:serial_load_out 3.32394e-05 +101 *2815:serial_clock *632:55 0.000952497 +102 *104:52 *632:73 0.0687386 +103 *106:43 *632:55 0 +104 *106:51 *632:67 0.00217723 +105 *106:55 *632:67 0.0215675 +106 *113:63 *632:70 0.0467789 +107 *114:11 *632:55 0 +108 *115:32 *632:49 0.00156989 +109 *115:39 *632:37 0.00473871 +110 *115:43 *632:37 1.3813e-05 +111 *116:22 *632:20 0.00259912 +112 *116:64 *2802:serial_load_out 0 +113 *116:64 *632:87 1.38068e-05 +114 *116:65 *632:85 8.73869e-05 +115 *116:65 *632:87 0.0127131 +116 *617:20 *632:67 0.000204402 +117 *618:34 *632:18 0.0001184 +118 *618:34 *632:37 0.00080941 +119 *618:34 *632:43 0.000513186 +120 *618:34 *632:49 0.00067385 +121 *618:34 *632:61 0.000500468 +122 *618:34 *632:67 1.83572e-05 +123 *618:37 *632:70 0.412352 +124 *618:40 *632:73 0.0168879 +125 *619:26 *632:73 0.0695815 +126 *620:48 *632:73 0.000369557 +127 *620:54 *632:73 0.0675884 +128 *621:39 *632:70 0.00799443 +129 *629:44 *632:73 0 +130 *630:8 *632:61 0.00128572 +131 *630:8 *632:67 0.000382783 +132 *631:10 *632:55 0.000418887 +133 *631:36 *632:73 0 +*RES +1 *2816:serial_load_out *632:15 23.2383 +2 *632:15 *632:18 39.0948 +3 *632:18 *632:20 209.886 +4 *632:20 *2817:serial_load 42.3662 +5 *632:15 *632:37 96.5095 +6 *632:37 *632:42 12.4964 +7 *632:42 *632:43 52.4928 +8 *632:43 *632:48 10.3986 +9 *632:48 *632:49 68.2723 +10 *632:49 *632:54 19.7063 +11 *632:54 *632:55 628.863 +12 *632:55 *632:60 16.9332 +13 *632:60 *632:61 60.1749 +14 *632:61 *632:66 3.83355 +15 *632:66 *632:67 53.4304 +16 *632:67 *632:69 0.376635 +17 *632:69 *632:70 521.139 +18 *632:70 *632:72 3.36879 +19 *632:72 *632:73 2763.88 +20 *632:73 *632:78 44.6634 +21 *632:78 *632:85 37.4582 +22 *632:85 *632:87 203.645 +23 *632:87 *2802:serial_load_out 23.4926 +24 *632:78 *2786:serial_load 13.1756 +*END + +*D_NET *633 1.3459 +*CONN +*I *2788:serial_load I *D gpio_control_block +*I *2786:serial_load_out O *D gpio_control_block +*I *2818:serial_load I *D gpio_control_block +*I *2817:serial_load_out O *D gpio_control_block +*CAP +1 *2788:serial_load 0.000378645 +2 *2786:serial_load_out 0.000430408 +3 *2818:serial_load 0.000305557 +4 *2817:serial_load_out 0.000380499 +5 *633:61 0.00199633 +6 *633:60 0.00161769 +7 *633:48 0.00106545 +8 *633:43 0.00278552 +9 *633:41 0.0329237 +10 *633:40 0.0307732 +11 *633:38 0.0810149 +12 *633:37 0.0810149 +13 *633:35 0.00264661 +14 *633:34 0.00283487 +15 *633:29 0.0332546 +16 *633:28 0.0333529 +17 *633:14 0.00574571 +18 *633:13 0.00610719 +19 *2786:serial_load_out *2786:user_gpio_oeb 0 +20 *2786:serial_load_out *2786:user_gpio_out 0 +21 *2786:serial_load_out *676:8 0 +22 *2788:serial_load *2409:10 0.000822903 +23 *2818:serial_load *634:5 0.000198857 +24 *2818:serial_load *634:73 4.51392e-05 +25 *2818:serial_load *696:7 0.000288789 +26 *633:13 *2817:serial_data_in 0 +27 *633:13 *2817:user_gpio_oeb 0.000398386 +28 *633:13 *2638:13 0.000653802 +29 *633:14 *2817:resetn 0.000699573 +30 *633:14 *634:14 0 +31 *633:14 *634:73 4.82972e-05 +32 *633:14 *656:14 0.000460026 +33 *633:14 *657:8 0 +34 *633:14 *657:20 0 +35 *633:14 *657:24 0 +36 *633:14 *657:75 0 +37 *633:14 *695:16 0.000117452 +38 *633:14 *696:8 0 +39 *633:14 *2049:8 0.00686988 +40 *633:14 *2201:12 1.87125e-05 +41 *633:14 *2353:8 0.00570502 +42 *633:14 *2429:8 0.000721007 +43 *633:14 *2467:14 0.00395661 +44 *633:28 *2817:user_gpio_out 0.000124051 +45 *633:28 *656:14 0.000289251 +46 *633:28 *695:16 0.000156912 +47 *633:29 *2815:resetn 0 +48 *633:29 *642:52 0.0116512 +49 *633:29 *654:22 0 +50 *633:29 *655:8 0 +51 *633:29 *694:8 0.00170721 +52 *633:29 *695:16 0 +53 *633:29 *2009:16 0.00141212 +54 *633:29 *2009:28 0 +55 *633:29 *2160:8 0.00617883 +56 *633:29 *2237:14 0.00373116 +57 *633:29 *2351:30 0.00460529 +58 *633:29 *2352:10 0.000343785 +59 *633:29 *2352:12 0.00940401 +60 *633:29 *2389:8 0.000355675 +61 *633:29 *2390:20 8.35615e-06 +62 *633:29 *2390:25 0.00189039 +63 *633:29 *2465:19 0.00101426 +64 *633:29 *2466:8 0.00060115 +65 *633:35 *2814:user_gpio_oeb 0.000262245 +66 *633:38 *634:27 0.0465717 +67 *633:38 *641:48 0.0508275 +68 *633:38 *645:64 2.48636e-05 +69 *633:38 *649:35 0.0166651 +70 *633:38 *1713:20 0.0139187 +71 *633:38 *1714:20 0.0187242 +72 *633:41 *634:36 0.00812496 +73 *633:41 *642:64 0.000574068 +74 *633:41 *643:38 0.0519002 +75 *633:41 *644:78 0.0686461 +76 *633:41 *1711:17 0.0353422 +77 *633:41 *1712:17 0 +78 *633:41 *1713:17 0 +79 *633:43 *643:38 0.0168891 +80 *633:43 *1711:17 3.21704e-05 +81 *633:48 *2655:13 6.98314e-05 +82 *633:61 *2788:resetn_out 0.000242355 +83 *633:61 *2788:serial_data_in 0.000468302 +84 *633:61 *657:43 0.00125216 +85 *2786:serial_clock_out *2786:serial_load_out 1.4026e-05 +86 *2786:serial_clock_out *633:48 0.00122785 +87 *2786:serial_load *2786:serial_load_out 0.000173619 +88 *2788:serial_clock *633:61 2.42138e-05 +89 *2817:serial_clock *633:14 0.000108229 +90 *2817:serial_load *633:13 4.87207e-05 +91 *102:61 *633:41 0.01712 +92 *103:36 *633:41 0.0163703 +93 *109:17 *633:38 0.0198872 +94 *115:32 *633:29 0.0015663 +95 *115:36 *633:29 4.58666e-05 +96 *116:22 *633:28 1.49176e-05 +97 *116:28 *633:14 0.000149861 +98 *117:21 *633:14 0.000159454 +99 *117:22 *633:14 0 +100 *117:59 *633:43 0.0167655 +101 *118:34 *633:38 0.0508432 +102 *617:14 *633:14 0 +103 *618:34 *633:14 0.00155464 +104 *618:34 *633:35 0.026821 +105 *618:37 *633:38 4.42985e-05 +106 *618:40 *633:41 0.0169112 +107 *618:42 *633:41 0.000353381 +108 *619:47 *633:41 0.000495028 +109 *624:23 *633:38 0.0184632 +110 *630:8 *633:29 0 +111 *632:18 *633:29 0 +112 *632:43 *633:29 8.34211e-06 +113 *632:49 *633:29 0.000854443 +114 *632:61 *633:29 0 +115 *632:67 *633:35 0.0268031 +116 *632:70 *633:38 0.41244 +117 *632:78 *633:48 0.00299375 +*RES +1 *2817:serial_load_out *633:13 13.5812 +2 *633:13 *633:14 268.424 +3 *633:14 *2818:serial_load 4.96178 +4 *633:13 *633:28 13.2309 +5 *633:28 *633:29 1164.54 +6 *633:29 *633:34 4.13951 +7 *633:34 *633:35 53.3161 +8 *633:35 *633:37 0.376635 +9 *633:37 *633:38 521.292 +10 *633:38 *633:40 3.36879 +11 *633:40 *633:41 2493.14 +12 *633:41 *633:43 269.67 +13 *633:43 *633:48 44.1088 +14 *633:48 *2786:serial_load_out 13.9961 +15 *633:41 *633:60 4.5 +16 *633:60 *633:61 51.7469 +17 *633:61 *2788:serial_load 21.3464 +*END + +*D_NET *634 1.40783 +*CONN +*I *2804:serial_load I *D gpio_control_block +*I *2789:serial_load I *D gpio_control_block +*I *2788:serial_load_out O *D gpio_control_block +*I *2818:serial_load_out O *D gpio_control_block +*CAP +1 *2804:serial_load 0.000721637 +2 *2789:serial_load 0.00113867 +3 *2788:serial_load_out 0.00130999 +4 *2818:serial_load_out 0.000277549 +5 *634:74 0.00314842 +6 *634:73 0.00344067 +7 *634:36 0.00434041 +8 *634:35 0.00303042 +9 *634:33 0.00146594 +10 *634:30 0.019795 +11 *634:29 0.0194677 +12 *634:27 0.0251391 +13 *634:26 0.0251391 +14 *634:24 0.00176636 +15 *634:23 0.00176636 +16 *634:21 0.00273208 +17 *634:20 0.00273208 +18 *634:18 0.000871941 +19 *634:16 0.000918036 +20 *634:14 0.015973 +21 *634:13 0.0159913 +22 *634:8 0.00178322 +23 *634:7 0.00171881 +24 *634:5 0.00129144 +25 *2788:serial_load_out *2788:user_gpio_oeb 5.92996e-05 +26 *2788:serial_load_out *2788:user_gpio_out 7.01545e-06 +27 *2788:serial_load_out *677:13 0 +28 *2788:serial_load_out *2103:8 0 +29 *2788:serial_load_out *2656:12 4.17001e-05 +30 *2788:serial_load_out *2694:17 0.00120876 +31 *2789:serial_load *2789:user_gpio_oeb 0.000111611 +32 *2789:serial_load *2789:user_gpio_out 6.09945e-05 +33 *2789:serial_load *661:13 4.02086e-05 +34 *2789:serial_load *2411:13 0.00101164 +35 *2789:serial_load *2620:10 3.99335e-05 +36 *2789:serial_load *2620:11 0.00294073 +37 *2789:serial_load *2658:17 3.62662e-06 +38 *2789:serial_load *2696:17 0 +39 *2804:serial_load *679:9 1.29018e-05 +40 *2804:serial_load *2640:12 0 +41 *2804:serial_load *2640:13 0 +42 *2804:serial_load *2678:17 5.81099e-05 +43 *634:5 *2818:user_gpio_oeb 0 +44 *634:5 *2639:12 1.80858e-05 +45 *634:8 *2822:mprj_io_oeb[27] 3.61346e-05 +46 *634:13 *2822:mprj_io_ib_mode_sel[27] 1.82679e-05 +47 *634:14 *2815:resetn 0.000337722 +48 *634:14 *2816:resetn 0.000136087 +49 *634:14 *2817:resetn 0 +50 *634:14 *654:22 8.67443e-05 +51 *634:14 *655:8 0 +52 *634:14 *655:16 0.0079875 +53 *634:14 *656:14 0 +54 *634:14 *657:19 0.000193047 +55 *634:14 *694:19 0.000143066 +56 *634:14 *1972:19 0.00235848 +57 *634:14 *2011:8 0.00105054 +58 *634:14 *2154:14 1.87125e-05 +59 *634:14 *2156:23 0.000144315 +60 *634:14 *2158:8 0.00151271 +61 *634:14 *2162:19 0.00244485 +62 *634:14 *2426:8 0 +63 *634:14 *2427:8 0.00554363 +64 *634:14 *2429:8 0.000544663 +65 *634:18 *2152:8 9.22013e-06 +66 *634:18 *2153:24 0.00206477 +67 *634:18 *2198:17 7.77309e-06 +68 *634:18 *2426:8 0 +69 *634:27 *641:48 0.000137345 +70 *634:30 *642:58 7.75133e-06 +71 *634:30 *2655:16 0.00210161 +72 *634:30 *2696:14 0.0156222 +73 *634:33 *2620:11 0.000773529 +74 *634:36 *1711:17 0.0166862 +75 *634:73 *679:10 0 +76 *634:73 *2354:13 0.000536397 +77 *634:73 *2392:8 0.000383704 +78 *634:73 *2468:10 0 +79 *634:74 *658:36 0.00775064 +80 *634:74 *679:10 0.00368584 +81 *634:74 *2012:14 1.87125e-05 +82 *634:74 *2050:41 0.00246267 +83 *634:74 *2164:8 0.00566301 +84 *634:74 *2354:13 0.000217049 +85 *634:74 *2468:12 0.00408386 +86 *2815:serial_load *634:14 0.000357669 +87 *2816:serial_clock *634:14 0.000636412 +88 *2818:serial_load *634:5 0.000198857 +89 *2818:serial_load *634:73 4.51392e-05 +90 *102:55 *634:30 0.103228 +91 *106:37 *634:8 0.00147219 +92 *106:37 *634:14 0.00126442 +93 *106:37 *634:73 0.000100822 +94 *106:51 *634:14 0.000660552 +95 *106:55 *634:14 0.000750412 +96 *109:17 *634:27 1.22938e-05 +97 *113:28 *634:27 0.000436825 +98 *113:63 *634:27 0.321329 +99 *115:39 *634:14 1.3813e-05 +100 *115:43 *634:14 0.00152941 +101 *116:22 *634:14 0.00404022 +102 *117:22 *634:14 0.00358974 +103 *117:47 *634:24 8.6297e-06 +104 *118:8 *634:73 0.000142838 +105 *118:10 *634:73 0.00036422 +106 *118:31 *634:24 0 +107 *118:34 *634:27 0.349031 +108 *118:37 *634:30 0 +109 *119:14 *634:30 0.138349 +110 *617:11 *2804:serial_load 4.68456e-05 +111 *617:14 *634:8 0.00488671 +112 *617:14 *634:14 0.0872481 +113 *617:14 *634:73 0.000184595 +114 *617:20 *634:14 0.000556649 +115 *617:20 *634:18 0.00367182 +116 *617:23 *634:21 0.0111452 +117 *617:26 *634:24 0.0101468 +118 *618:34 *634:74 0.00197909 +119 *629:26 *634:24 0.0110344 +120 *630:8 *634:14 0.0478966 +121 *632:18 *634:14 0.000380695 +122 *632:20 *634:14 0 +123 *633:14 *634:14 0 +124 *633:14 *634:73 4.82972e-05 +125 *633:38 *634:27 0.0465717 +126 *633:41 *634:36 0.00812496 +*RES +1 *2818:serial_load_out *634:5 1.05756 +2 *634:5 *634:7 3.36879 +3 *634:7 *634:8 78.446 +4 *634:8 *634:13 10.278 +5 *634:13 *634:14 1508.48 +6 *634:14 *634:16 1.29461 +7 *634:16 *634:18 59.4421 +8 *634:18 *634:20 4.5 +9 *634:20 *634:21 118.854 +10 *634:21 *634:23 4.5 +11 *634:23 *634:24 177.899 +12 *634:24 *634:26 4.5 +13 *634:26 *634:27 3655.56 +14 *634:27 *634:29 4.5 +15 *634:29 *634:30 2208.9 +16 *634:30 *634:33 13.5424 +17 *634:33 *634:35 4.5 +18 *634:35 *634:36 266.348 +19 *634:36 *2788:serial_load_out 47.9302 +20 *634:33 *2789:serial_load 46.3235 +21 *634:5 *634:73 48.7106 +22 *634:73 *634:74 227.314 +23 *634:74 *2804:serial_load 28.9981 +*END + +*D_NET *635 1.19909 +*CONN +*I *2781:serial_load I *D gpio_control_block +*I *2803:serial_load I *D gpio_control_block +*I *2819:serial_load O *D housekeeping +*CAP +1 *2781:serial_load 9.57729e-05 +2 *2803:serial_load 0.000368812 +3 *2819:serial_load 0.00101881 +4 *635:38 0.0014122 +5 *635:20 0.00231628 +6 *635:19 0.00194747 +7 *635:17 0.0122146 +8 *635:16 0.0122146 +9 *635:14 0.0431594 +10 *635:13 0.0433769 +11 *635:8 0.00315153 +12 *635:7 0.00263643 +13 *2781:serial_load *2781:serial_data_in 0 +14 *2781:serial_load *2781:user_gpio_oeb 0 +15 *2781:serial_load *660:15 1.53776e-05 +16 *2803:serial_load *2803:resetn 0.00122161 +17 *2803:serial_load *2803:serial_data_in 0.000489918 +18 *2803:serial_load *2458:13 7.68538e-06 +19 *2803:serial_load *2629:19 0.00228493 +20 *635:8 *697:8 0.00849135 +21 *635:14 *653:54 0.000207612 +22 *635:14 *656:35 0 +23 *635:14 *2657:14 0.068222 +24 *635:14 *2668:14 0.00722607 +25 *635:17 *640:19 0.200619 +26 *635:38 *660:15 0.000410014 +27 *635:38 *697:8 0.000701757 +28 *2781:serial_clock *635:8 2.09495e-05 +29 *2781:serial_clock *635:38 8.52802e-05 +30 *2781:serial_load_out *2781:serial_load 9.52305e-06 +31 *2781:serial_load_out *635:38 0 +32 *113:66 *635:14 0.241788 +33 *119:8 *635:8 0.00976494 +34 *119:13 *635:13 0.000908319 +35 *119:14 *635:14 0.327733 +36 *119:17 *635:17 0.201994 +37 *119:20 *635:20 0.0022154 +38 *119:25 *2803:serial_load 0.000716904 +39 *616:41 *635:17 4.33819e-05 +40 *629:41 *635:17 0 +41 *629:44 *635:14 4.3116e-06 +*RES +1 *2819:serial_load *635:7 7.17999 +2 *635:7 *635:8 157.344 +3 *635:8 *635:13 18.5971 +4 *635:13 *635:14 5237.33 +5 *635:14 *635:16 4.5 +6 *635:16 *635:17 2113.76 +7 *635:17 *635:19 4.5 +8 *635:19 *635:20 74.5011 +9 *635:20 *2803:serial_load 42.5263 +10 *635:8 *635:38 47.1094 +11 *635:38 *2781:serial_load 8.46357 +*END + +*D_NET *636 0.235189 +*CONN +*I *2822:gpio_mode0_core I *D chip_io +*I *2826:gpio_mode0_pad O *D mgmt_core_wrapper +*CAP +1 *2822:gpio_mode0_core 0.000554763 +2 *2826:gpio_mode0_pad 0.000977702 +3 *636:22 0.00186551 +4 *636:10 0.0214783 +5 *636:9 0.0211452 +6 *636:10 *637:10 0.0429758 +7 *636:10 *638:10 0.00820288 +8 *73:16 *636:22 0.000116613 +9 *80:8 *636:22 0.000122276 +10 *85:28 *636:22 0.000130618 +11 *92:42 *636:22 0.000139048 +12 *615:10 *636:10 0.136757 +13 *615:16 *636:22 0.000722624 +*RES +1 *2826:gpio_mode0_pad *636:9 31.9677 +2 *636:9 *636:10 1428.27 +3 *636:10 *636:22 38.7063 +4 *636:22 *2822:gpio_mode0_core 12.5431 +*END + +*D_NET *637 0.0886475 +*CONN +*I *2822:gpio_mode1_core I *D chip_io +*I *2826:gpio_mode1_pad O *D mgmt_core_wrapper +*CAP +1 *2822:gpio_mode1_core 8.54102e-05 +2 *2826:gpio_mode1_pad 0.00100262 +3 *637:34 0.00163415 +4 *637:33 0.00167126 +5 *637:28 0.00178225 +6 *637:27 0.00165973 +7 *637:25 0.00109757 +8 *637:21 0.00186415 +9 *637:16 0.002649 +10 *637:15 0.00241511 +11 *637:10 0.00896187 +12 *637:9 0.0094318 +13 *637:10 *638:10 0.00516337 +14 *637:25 *2540:29 0.00282245 +15 *87:10 *637:16 0.00120024 +16 *89:60 *637:34 0.000307893 +17 *89:65 *637:33 0.000182014 +18 *91:16 *637:34 0.00125509 +19 *614:15 *637:34 0.00048572 +20 *636:10 *637:10 0.0429758 +*RES +1 *2826:gpio_mode1_pad *637:9 32.6761 +2 *637:9 *637:10 448.843 +3 *637:10 *637:15 23.2896 +4 *637:15 *637:16 66.1666 +5 *637:16 *637:21 27.0268 +6 *637:21 *637:25 45.8608 +7 *637:25 *637:27 4.5 +8 *637:27 *637:28 46.2009 +9 *637:28 *637:33 12.493 +10 *637:33 *637:34 48.4193 +11 *637:34 *2822:gpio_mode1_core 6.64954 +*END + +*D_NET *638 0.0325764 +*CONN +*I *2822:gpio_out_core I *D chip_io +*I *2826:gpio_out_pad O *D mgmt_core_wrapper +*CAP +1 *2822:gpio_out_core 0.00153135 +2 *2826:gpio_out_pad 0.000998136 +3 *638:10 0.0085959 +4 *638:9 0.00806268 +5 *615:10 *638:10 2.20702e-05 +6 *636:10 *638:10 0.00820288 +7 *637:10 *638:10 0.00516337 +*RES +1 *2826:gpio_out_pad *638:9 32.2608 +2 *638:9 *638:10 289.672 +3 *638:10 *2822:gpio_out_core 43.8268 +*END + +*D_NET *639 0.0127755 +*CONN +*I *2822:gpio_outenb_core I *D chip_io +*I *2826:gpio_outenb_pad O *D mgmt_core_wrapper +*CAP +1 *2822:gpio_outenb_core 0.000337533 +2 *2826:gpio_outenb_pad 8.22986e-05 +3 *639:16 0.00182137 +4 *639:15 0.00247183 +5 *639:7 0.00289312 +6 *639:5 0.00198742 +7 *639:16 *2540:29 0.000933841 +8 *82:60 *639:16 0 +9 *85:28 *639:15 0.000434669 +10 *92:42 *639:15 0.00181341 +*RES +1 *2826:gpio_outenb_pad *639:5 2.05183 +2 *639:5 *639:7 52.2851 +3 *639:7 *639:15 49.7385 +4 *639:15 *639:16 51.7469 +5 *639:16 *2822:gpio_outenb_core 12.6096 +*END + +*D_NET *640 1.23448 +*CONN +*I *2810:resetn I *D gpio_control_block +*I *2782:resetn I *D gpio_control_block +*I *2781:resetn_out O *D gpio_control_block +*I *2803:resetn_out O *D gpio_control_block +*CAP +1 *2810:resetn 0.000109906 +2 *2782:resetn 0.00106766 +3 *2781:resetn_out 0.000836155 +4 *2803:resetn_out 0.000272075 +5 *640:74 0.00103315 +6 *640:73 0.000923241 +7 *640:71 0.000459945 +8 *640:70 0.000459945 +9 *640:68 0.00285611 +10 *640:67 0.00285611 +11 *640:40 0.00676124 +12 *640:39 0.00601686 +13 *640:36 0.00115944 +14 *640:34 0.000972754 +15 *640:30 0.00199756 +16 *640:27 0.00115935 +17 *640:22 0.0392432 +18 *640:21 0.0391086 +19 *640:19 0.0134324 +20 *640:18 0.0134324 +21 *640:16 0.00152836 +22 *640:14 0.00170116 +23 *640:13 0.000444877 +24 *2781:resetn_out *2781:resetn 0.000427871 +25 *2781:resetn_out *2448:13 0 +26 *2782:resetn *2782:resetn_out 3.84497e-05 +27 *2782:resetn *2459:13 3.84497e-05 +28 *640:13 *688:23 0.00165828 +29 *640:13 *2344:13 0.000647089 +30 *640:14 *2344:16 0.00118284 +31 *640:14 *2458:16 0.00119147 +32 *640:16 *2344:16 0.000886497 +33 *640:16 *2458:16 0.00090859 +34 *640:19 *651:19 0 +35 *640:19 *1717:34 0.194074 +36 *640:22 *2537:12 0.307122 +37 *640:30 *2819:mgmt_gpio_in[13] 0 +38 *640:30 *2706:14 0.00269172 +39 *640:34 *659:25 0.000386873 +40 *640:34 *1707:20 0.000236262 +41 *640:34 *2706:17 3.21865e-05 +42 *640:40 *659:25 0.0170544 +43 *640:40 *1708:20 0.000331623 +44 *640:68 *2803:pad_gpio_in 0.000139301 +45 *640:68 *2822:mprj_io_inp_dis[19] 0.000288582 +46 *640:68 *1715:26 0.000218674 +47 *640:68 *1964:11 0.000277187 +48 *640:68 *2192:13 0.00801827 +49 *640:68 *2230:11 0.00362675 +50 *640:71 *1717:37 0.00340631 +51 *640:74 *650:13 7.06457e-05 +52 *640:74 *689:23 0.000702348 +53 *640:74 *1717:40 1.30651e-05 +54 *2781:serial_clock *2781:resetn_out 0.000184356 +55 *2781:serial_clock *640:40 0 +56 *100:17 *640:68 0.0214361 +57 *100:20 *640:71 3.31736e-05 +58 *100:23 *640:74 0.00964524 +59 *100:44 *640:22 0.30627 +60 *100:44 *640:30 2.35336e-05 +61 *100:50 *640:34 0.00267752 +62 *100:56 *640:34 0.000316096 +63 *110:13 *640:74 0.000177235 +64 *119:8 *640:40 0 +65 *616:16 *640:13 0.000317679 +66 *616:17 *640:68 2.41483e-05 +67 *616:20 *640:71 0.0033072 +68 *616:23 *640:74 0.00349464 +69 *616:41 *640:19 0.000183679 +70 *616:44 *640:22 0.00037434 +71 *625:17 *640:19 0.000270482 +72 *626:19 *640:74 0.00116519 +73 *626:47 *640:19 0.00035468 +74 *627:19 *640:19 9.93327e-05 +75 *629:41 *640:19 0 +76 *635:17 *640:19 0.200619 +*RES +1 *2803:resetn_out *640:13 31.4343 +2 *640:13 *640:14 19.2726 +3 *640:14 *640:16 52.908 +4 *640:16 *640:18 4.5 +5 *640:18 *640:19 2120.97 +6 *640:19 *640:21 4.5 +7 *640:21 *640:22 4906.79 +8 *640:22 *640:27 11.3872 +9 *640:27 *640:30 49.5182 +10 *640:30 *640:34 48.7704 +11 *640:34 *640:36 4.5 +12 *640:36 *640:39 6.3326 +13 *640:39 *640:40 273.407 +14 *640:40 *2781:resetn_out 38.0895 +15 *640:36 *2782:resetn 31.9257 +16 *640:14 *640:67 4.5 +17 *640:67 *640:68 225.892 +18 *640:68 *640:70 4.5 +19 *640:70 *640:71 54.569 +20 *640:71 *640:73 4.5 +21 *640:73 *640:74 106.098 +22 *640:74 *2810:resetn 8.46357 +*END + +*D_NET *641 1.12559 +*CONN +*I *2790:resetn I *D gpio_control_block +*I *2789:resetn_out O *D gpio_control_block +*I *2805:resetn I *D gpio_control_block +*I *2804:resetn_out O *D gpio_control_block +*CAP +1 *2790:resetn 0.000920065 +2 *2789:resetn_out 0.00114084 +3 *2805:resetn 0.000130443 +4 *2804:resetn_out 0.000450918 +5 *641:57 0.00336347 +6 *641:56 0.00222263 +7 *641:54 0.00113368 +8 *641:51 0.0277743 +9 *641:50 0.0275606 +10 *641:48 0.0471055 +11 *641:47 0.0471055 +12 *641:45 0.04287 +13 *641:44 0.04287 +14 *641:42 0.00481299 +15 *641:41 0.00481299 +16 *641:30 0.0012358 +17 *641:29 0.00382728 +18 *641:24 0.00400206 +19 *641:23 0.00195569 +20 *641:18 0.00309437 +21 *641:17 0.00241882 +22 *641:15 0.00804935 +23 *641:14 0.00804935 +24 *641:12 0.00477895 +25 *641:10 0.00522987 +26 *2789:resetn_out *2789:resetn 1.48422e-06 +27 *2789:resetn_out *2411:13 0 +28 *2790:resetn *2412:13 8.10016e-06 +29 *2790:resetn *2450:7 3.95327e-06 +30 *2790:resetn *2621:13 0 +31 *641:10 *2804:resetn 0.000902168 +32 *641:10 *2804:serial_data_in 0 +33 *641:10 *2469:10 1.59078e-05 +34 *641:12 *2822:mprj_io_analog_en[29] 0 +35 *641:12 *2822:mprj_io_analog_pol[29] 0 +36 *641:12 *2822:mprj_io_dm[87] 0 +37 *641:12 *2822:mprj_io_holdover[29] 0 +38 *641:12 *2822:mprj_io_ib_mode_sel[29] 0 +39 *641:12 *2822:mprj_io_inp_dis[29] 0 +40 *641:12 *2822:mprj_io_oeb[29] 0 +41 *641:12 *2822:mprj_io_out[29] 0 +42 *641:12 *2822:mprj_io_vtrip_sel[29] 0 +43 *641:12 *1975:18 0.000911644 +44 *641:12 *2168:10 0.0049625 +45 *641:12 *2203:34 0.000805424 +46 *641:12 *2241:38 0.000682126 +47 *641:12 *2469:10 0.000644508 +48 *641:48 *659:28 0 +49 *641:48 *1714:20 0.0330188 +50 *641:51 *659:25 0 +51 *641:51 *1709:20 0.0152896 +52 *641:51 *1710:20 0.0232553 +53 *641:54 *2621:13 0 +54 *641:57 *661:14 0.0164913 +55 *641:57 *677:14 0.000478785 +56 *641:57 *2105:16 0.0170978 +57 *641:57 *2221:8 0.00229056 +58 *2789:serial_clock *2789:resetn_out 0.000195568 +59 *2790:serial_clock *2790:resetn 1.48422e-06 +60 *2804:serial_clock *641:10 8.63825e-06 +61 *101:28 *641:24 0.00149321 +62 *101:33 *641:29 0.0143514 +63 *101:34 *641:30 0.00307479 +64 *101:58 *641:57 6.11207e-05 +65 *106:37 *641:12 0.00225303 +66 *109:17 *641:48 0.0464588 +67 *113:63 *641:48 2.37827e-05 +68 *115:58 *641:45 0.0507105 +69 *116:43 *641:45 0.033809 +70 *118:31 *641:45 0.0394342 +71 *118:34 *641:48 0.348924 +72 *617:32 *641:51 0.119761 +73 *617:38 *641:51 0 +74 *617:70 *641:10 0 +75 *617:70 *641:12 0 +76 *621:39 *641:48 0.000286435 +77 *633:38 *641:48 0.0508275 +78 *634:27 *641:48 0.000137345 +*RES +1 *2804:resetn_out *641:10 10.3861 +2 *641:10 *641:12 193.679 +3 *641:12 *641:14 4.5 +4 *641:14 *641:15 225.338 +5 *641:15 *641:17 4.5 +6 *641:17 *641:18 68.48 +7 *641:18 *641:23 9.23514 +8 *641:23 *641:24 50.0013 +9 *641:24 *641:29 24.8391 +10 *641:29 *641:30 50.0013 +11 *641:30 *2805:resetn 3.708 +12 *641:10 *641:41 4.5 +13 *641:41 *641:42 123.845 +14 *641:42 *641:44 4.5 +15 *641:44 *641:45 2107.58 +16 *641:45 *641:47 4.5 +17 *641:47 *641:48 3671.64 +18 *641:48 *641:50 4.5 +19 *641:50 *641:51 1941.47 +20 *641:51 *641:54 9.10562 +21 *641:54 *641:56 4.5 +22 *641:56 *641:57 273.615 +23 *641:57 *2789:resetn_out 6.03264 +24 *641:54 *2790:resetn 27.4989 +*END + +*D_NET *642 1.24465 +*CONN +*I *2791:resetn I *D gpio_control_block +*I *2790:resetn_out O *D gpio_control_block +*I *2806:resetn I *D gpio_control_block +*I *2805:resetn_out O *D gpio_control_block +*CAP +1 *2791:resetn 0.00158222 +2 *2790:resetn_out 0.000560714 +3 *2806:resetn 0.00079256 +4 *2805:resetn_out 0.000226768 +5 *642:67 0.00253104 +6 *642:66 0.00197032 +7 *642:64 0.00235622 +8 *642:63 0.00235622 +9 *642:61 0.00217413 +10 *642:58 0.0209476 +11 *642:57 0.0203557 +12 *642:55 0.0786477 +13 *642:54 0.0786477 +14 *642:52 0.0164622 +15 *642:51 0.0168612 +16 *642:37 0.00201607 +17 *642:31 0.00244619 +18 *642:28 0.00213716 +19 *642:25 0.00223943 +20 *642:17 0.00262244 +21 *642:16 0.00129749 +22 *642:14 0.00176334 +23 *642:13 0.00205944 +24 *642:8 0.00329728 +25 *642:7 0.00300118 +26 *642:5 0.000625698 +27 *2791:resetn *2791:resetn_out 1.94242e-05 +28 *2791:resetn *2299:13 0 +29 *2791:resetn *2413:13 0 +30 *2791:resetn *2451:7 1.94242e-05 +31 *642:5 *2471:13 0 +32 *642:8 *681:8 0 +33 *642:8 *1977:8 0 +34 *642:8 *2172:16 0.000541641 +35 *642:8 *2281:10 0 +36 *642:8 *2281:11 0 +37 *642:8 *2433:8 0 +38 *642:13 *1977:17 0.000110297 +39 *642:13 *2243:13 5.20754e-06 +40 *642:13 *2319:13 2.37827e-05 +41 *642:13 *2395:13 5.04829e-06 +42 *642:14 *2173:14 0.00366078 +43 *642:14 *2243:14 0.00505202 +44 *642:51 *2471:13 0 +45 *642:52 *657:20 0.00107026 +46 *642:52 *1973:8 0.000630292 +47 *642:52 *2051:10 0.00103579 +48 *642:52 *2151:8 0.00173861 +49 *642:52 *2160:8 0.000932536 +50 *642:52 *2165:20 0.000150051 +51 *642:52 *2166:8 0.000563374 +52 *642:52 *2169:16 0.000813097 +53 *642:52 *2202:8 0.000527202 +54 *642:52 *2202:26 0.000469038 +55 *642:52 *2237:14 0.000539902 +56 *642:52 *2315:8 0.000810191 +57 *642:52 *2390:25 0.000157966 +58 *642:52 *2468:24 0.00054386 +59 *642:58 *2622:14 0.0149299 +60 *642:58 *2658:14 0.0188396 +61 *642:58 *2661:14 0.0624552 +62 *642:58 *2696:14 0.0139597 +63 *642:61 *643:43 0 +64 *642:64 *1711:17 0.0180229 +65 *642:67 *2790:pad_gpio_in 0.000326366 +66 *642:67 *2109:13 0 +67 *2806:serial_clock *2806:resetn 0.00141252 +68 *2806:serial_load *2806:resetn 1.72594e-05 +69 *102:7 *642:5 0 +70 *102:7 *642:51 0 +71 *102:13 *642:52 0.00035568 +72 *102:25 *642:13 0.000137746 +73 *102:29 *642:17 0.0105187 +74 *102:37 *642:37 0.00507718 +75 *102:49 *642:52 0.162547 +76 *102:52 *642:55 0.411596 +77 *102:55 *642:58 0.0131767 +78 *102:61 *642:64 0.01684 +79 *106:37 *642:8 0.000434672 +80 *106:37 *642:52 0 +81 *106:56 *642:55 0 +82 *118:37 *642:58 0.0184923 +83 *618:8 *642:14 0.00122659 +84 *618:11 *642:17 0.0108287 +85 *618:19 *642:31 1.82679e-05 +86 *618:34 *642:52 0.161811 +87 *633:29 *642:52 0.0116512 +88 *633:41 *642:64 0.000574068 +89 *634:30 *642:58 7.75133e-06 +*RES +1 *2805:resetn_out *642:5 0.828089 +2 *642:5 *642:7 3.36879 +3 *642:7 *642:8 87.9968 +4 *642:8 *642:13 16.3786 +5 *642:13 *642:14 117.272 +6 *642:14 *642:16 4.5 +7 *642:16 *642:17 129.391 +8 *642:17 *642:25 31.9696 +9 *642:25 *642:28 30.209 +10 *642:28 *642:31 37.945 +11 *642:31 *642:37 35.6871 +12 *642:37 *2806:resetn 32.1161 +13 *642:5 *642:51 1.61212 +14 *642:51 *642:52 323.645 +15 *642:52 *642:54 0.376635 +16 *642:54 *642:55 519.686 +17 *642:55 *642:57 3.36879 +18 *642:57 *642:58 1652.67 +19 *642:58 *642:61 15.2063 +20 *642:61 *642:63 4.5 +21 *642:63 *642:64 287.941 +22 *642:64 *642:66 4.5 +23 *642:66 *642:67 50.6377 +24 *642:67 *2790:resetn_out 21.0442 +25 *642:61 *2791:resetn 41.354 +*END + +*D_NET *643 1.35823 +*CONN +*I *2791:resetn_out O *D gpio_control_block +*I *2792:resetn I *D gpio_control_block +*I *2807:resetn I *D gpio_control_block +*I *2806:resetn_out O *D gpio_control_block +*CAP +1 *2791:resetn_out 0.00158972 +2 *2792:resetn 0.000229615 +3 *2807:resetn 0.000734524 +4 *2806:resetn_out 0.00404707 +5 *643:47 0.00129289 +6 *643:46 0.00106328 +7 *643:44 0.0057477 +8 *643:43 0.0063912 +9 *643:40 0.00223322 +10 *643:38 0.0320073 +11 *643:37 0.0320073 +12 *643:35 0.0204188 +13 *643:34 0.0204188 +14 *643:32 0.00731137 +15 *643:31 0.00731137 +16 *643:29 0.00360022 +17 *643:16 0.00433475 +18 *643:14 0.0227223 +19 *643:13 0.0267693 +20 *2792:resetn *2792:pad_gpio_in 7.77309e-06 +21 *2792:resetn *2792:resetn_out 3.51785e-06 +22 *2792:resetn *644:98 0.000286446 +23 *2792:resetn *2452:7 4.02086e-05 +24 *2807:resetn *644:21 0.00014867 +25 *2807:resetn *2473:7 5.27207e-05 +26 *643:13 *2806:serial_data_in 0 +27 *643:13 *2282:11 0.00075158 +28 *643:13 *2472:7 0 +29 *643:14 *682:8 0.0110501 +30 *643:14 *683:8 8.07542e-05 +31 *643:14 *2054:16 0.00362062 +32 *643:14 *2174:16 0.00225543 +33 *643:14 *2320:8 0.000236262 +34 *643:14 *2358:12 0 +35 *643:14 *2472:8 0.000794755 +36 *643:29 *2807:user_gpio_oeb 0.00155432 +37 *643:29 *2722:11 0.00664542 +38 *643:35 *656:32 0.349875 +39 *643:35 *657:31 0.350081 +40 *643:35 *658:56 0 +41 *643:38 *657:34 2.15933e-05 +42 *643:38 *1711:17 0 +43 *643:38 *2690:14 0.024715 +44 *643:38 *2717:14 0.0382538 +45 *643:38 *2730:14 0.0378655 +46 *643:47 *644:75 0.000200794 +47 *643:47 *644:98 0.00321781 +48 *2791:resetn *2791:resetn_out 1.94242e-05 +49 *2791:serial_clock *2791:resetn_out 0.000181571 +50 *2792:serial_clock *2792:resetn 0.000265099 +51 *102:58 *2791:resetn_out 3.24705e-06 +52 *102:58 *643:43 2.16024e-05 +53 *103:8 *643:13 0 +54 *103:41 *643:47 0.00307357 +55 *104:49 *643:47 1.65872e-05 +56 *106:31 *643:14 0 +57 *106:37 *643:13 0 +58 *115:58 *643:32 0.0572142 +59 *116:43 *643:32 0.0572108 +60 *117:53 *643:44 0.0166526 +61 *618:42 *643:38 0.0170433 +62 *619:26 *643:38 0.0541289 +63 *630:26 *643:35 0.051616 +64 *633:41 *643:38 0.0519002 +65 *633:43 *643:38 0.0168891 +66 *642:61 *643:43 0 +*RES +1 *2806:resetn_out *643:13 17.8568 +2 *643:13 *643:14 690.943 +3 *643:14 *643:16 4.5 +4 *643:16 *2807:resetn 26.7237 +5 *643:16 *643:29 117.745 +6 *643:29 *643:31 4.5 +7 *643:31 *643:32 914.556 +8 *643:32 *643:34 4.5 +9 *643:34 *643:35 3659.44 +10 *643:35 *643:37 4.5 +11 *643:37 *643:38 2655.29 +12 *643:38 *643:40 4.5 +13 *643:40 *643:43 16.3155 +14 *643:43 *643:44 265.932 +15 *643:44 *643:46 4.5 +16 *643:46 *643:47 47.3101 +17 *643:47 *2792:resetn 13.1544 +18 *643:40 *2791:resetn_out 43.0178 +*END + +*D_NET *644 1.52002 +*CONN +*I *2792:resetn_out O *D gpio_control_block +*I *2793:resetn I *D gpio_control_block +*I *2808:resetn I *D gpio_control_block +*I *2807:resetn_out O *D gpio_control_block +*CAP +1 *2792:resetn_out 0.000147909 +2 *2793:resetn 0.000939636 +3 *2808:resetn 6.94771e-05 +4 *2807:resetn_out 0.00101163 +5 *644:98 0.000816205 +6 *644:78 0.00951153 +7 *644:77 0.00857189 +8 *644:75 0.000716023 +9 *644:72 0.0232051 +10 *644:71 0.0231573 +11 *644:69 0.0206057 +12 *644:68 0.0206057 +13 *644:66 0.00502135 +14 *644:65 0.00808057 +15 *644:60 0.0122686 +16 *644:59 0.00949902 +17 *644:46 0.00239398 +18 *644:45 0.00705452 +19 *644:40 0.00655794 +20 *644:39 0.0025013 +21 *644:36 0.00214285 +22 *644:31 0.00818429 +23 *644:30 0.00730364 +24 *644:24 0.00850389 +25 *644:21 0.00863709 +26 *2793:resetn *2793:resetn_out 6.19346e-06 +27 *2793:resetn *664:21 0.00325817 +28 *2793:resetn *2301:15 3.58208e-05 +29 *2793:resetn *2415:13 0.000738355 +30 *2793:resetn *2453:13 0.000136032 +31 *644:21 *2807:serial_data_in 0 +32 *644:21 *2473:8 0 +33 *644:65 *2822:mprj_io_holdover[34] 0.000174474 +34 *644:69 *657:31 1.00937e-05 +35 *644:69 *658:56 0.350102 +36 *644:72 *657:34 0.0962193 +37 *644:98 *2792:pad_gpio_in 4.3116e-06 +38 *2792:resetn *2792:resetn_out 3.51785e-06 +39 *2792:resetn *644:98 0.000286446 +40 *2792:serial_clock *2792:resetn_out 4.18503e-06 +41 *2792:serial_clock *644:98 7.61581e-05 +42 *2793:serial_clock *2793:resetn 0 +43 *2807:resetn *644:21 0.00014867 +44 *101:51 *644:69 0.00027562 +45 *103:18 *644:24 0 +46 *103:18 *644:60 0.0309044 +47 *103:23 *644:65 0.0131947 +48 *104:23 *644:31 0.00147548 +49 *104:43 *644:69 0.350717 +50 *104:46 *644:72 0.182745 +51 *104:49 *644:75 0.000107496 +52 *104:69 *644:75 0.000111722 +53 *104:69 *644:98 0.00325679 +54 *114:39 *644:69 0.0474171 +55 *618:40 *644:78 0.0686497 +56 *619:26 *644:72 0.000546928 +57 *620:42 *644:66 0.0143945 +58 *620:45 *644:69 1.92336e-05 +59 *620:48 *644:72 1.2693e-05 +60 *632:73 *644:72 0.0854181 +61 *633:41 *644:78 0.0686461 +62 *643:47 *644:75 0.000200794 +63 *643:47 *644:98 0.00321781 +*RES +1 *2807:resetn_out *644:21 39.7036 +2 *644:21 *644:24 30.6941 +3 *644:24 *644:30 16.0504 +4 *644:30 *644:31 200.381 +5 *644:31 *644:36 49.3574 +6 *644:36 *644:39 5.86636 +7 *644:39 *644:40 51.6623 +8 *644:40 *644:45 24.8391 +9 *644:45 *644:46 65.7808 +10 *644:46 *2808:resetn 3.59493 +11 *644:24 *644:59 1.3444 +12 *644:59 *644:60 61.3173 +13 *644:60 *644:65 20.8909 +14 *644:65 *644:66 230.428 +15 *644:66 *644:68 4.5 +16 *644:68 *644:69 3667.21 +17 *644:69 *644:71 4.5 +18 *644:71 *644:72 2918.56 +19 *644:72 *644:75 6.88721 +20 *644:75 *644:77 4.5 +21 *644:77 *644:78 1095.19 +22 *644:78 *2793:resetn 48.75 +23 *644:75 *644:98 48.5712 +24 *644:98 *2792:resetn_out 3.708 +*END + +*D_NET *645 1.39375 +*CONN +*I *2793:resetn_out O *D gpio_control_block +*I *2794:resetn I *D gpio_control_block +*I *2809:resetn I *D gpio_control_block +*I *2808:resetn_out O *D gpio_control_block +*CAP +1 *2793:resetn_out 0.00702063 +2 *2794:resetn 0.000598111 +3 *2809:resetn 5.90925e-05 +4 *2808:resetn_out 0.000652266 +5 *645:64 0.011696 +6 *645:49 0.00375514 +7 *645:48 0.00315703 +8 *645:46 0.00524233 +9 *645:40 0.0197221 +10 *645:39 0.0191551 +11 *645:37 0.0292212 +12 *645:36 0.0319215 +13 *645:22 0.00222962 +14 *645:21 0.00341968 +15 *645:15 0.00740142 +16 *645:8 0.00950484 +17 *2793:resetn_out *2453:13 0 +18 *2794:resetn *2794:resetn_out 0.00020979 +19 *2794:resetn *666:13 1.30651e-05 +20 *2794:resetn *1998:16 2.02035e-05 +21 *2794:resetn *2454:11 1.30651e-05 +22 *2794:resetn *2625:11 0.000115827 +23 *2794:resetn *2663:17 0.000167076 +24 *645:8 *2474:7 0 +25 *645:15 *2822:mprj_io_oeb[33] 0 +26 *645:15 *684:16 0.00165394 +27 *645:15 *2056:16 0.000691 +28 *645:15 *2070:8 0.00104687 +29 *645:15 *2208:8 0.000981074 +30 *645:15 *2246:8 0.00155459 +31 *645:15 *2474:8 0.00149094 +32 *645:36 *2474:7 3.76933e-05 +33 *645:37 *692:14 5.66868e-06 +34 *645:40 *652:45 0.231446 +35 *645:49 *2036:16 0 +36 *645:49 *2122:16 0 +37 *645:49 *2663:17 2.33103e-06 +38 *2793:resetn *2793:resetn_out 6.19346e-06 +39 *2793:serial_clock *2793:resetn_out 4.46752e-05 +40 *2793:serial_clock_out *2793:resetn_out 0.0206425 +41 *2794:serial_clock_out *2794:resetn 0.00159229 +42 *2794:serial_load *2794:resetn 4.65017e-05 +43 *2794:serial_load_out *2794:resetn 4.62974e-05 +44 *105:8 *645:8 0.000182478 +45 *105:16 *645:8 4.96282e-05 +46 *105:16 *645:15 0.0122898 +47 *105:36 *645:8 1.98647e-05 +48 *105:36 *645:36 0.0122961 +49 *105:37 *645:37 0.000511116 +50 *105:40 *645:40 0.287828 +51 *105:46 *645:64 0.0726834 +52 *106:56 *645:40 0.0281595 +53 *108:26 *645:37 0.229559 +54 *113:29 *645:40 0.0281073 +55 *618:37 *645:46 0.000155429 +56 *618:37 *645:64 0.0714636 +57 *621:16 *645:21 0 +58 *621:30 *645:37 0.22843 +59 *621:33 *645:40 1.65872e-05 +60 *622:32 *645:40 0.0053788 +61 *630:23 *645:37 1.04352e-05 +62 *633:38 *645:64 2.48636e-05 +*RES +1 *2808:resetn_out *645:8 2.43902 +2 *645:8 *645:15 36.147 +3 *645:15 *645:21 43.0631 +4 *645:21 *645:22 61.4207 +5 *645:22 *2809:resetn 3.59493 +6 *645:8 *645:36 19.514 +7 *645:36 *645:37 3667.89 +8 *645:37 *645:39 4.5 +9 *645:39 *645:40 3009.45 +10 *645:40 *645:46 18.8316 +11 *645:46 *645:48 3.36879 +12 *645:48 *645:49 83.8443 +13 *645:49 *2794:resetn 36.9803 +14 *645:46 *645:64 94.324 +15 *645:64 *2793:resetn_out 43.5061 +*END + +*D_NET *646 1.17973 +*CONN +*I *2794:resetn_out O *D gpio_control_block +*I *2795:resetn I *D gpio_control_block +*I *2783:resetn I *D gpio_control_block +*I *2809:resetn_out O *D gpio_control_block +*CAP +1 *2794:resetn_out 0.000379407 +2 *2795:resetn 0.000407943 +3 *2783:resetn 0.00116334 +4 *2809:resetn_out 0.00093759 +5 *646:61 0.00131309 +6 *646:56 0.0027019 +7 *646:43 0.00149181 +8 *646:38 0.00290984 +9 *646:35 0.00149247 +10 *646:34 0.00143471 +11 *646:32 0.0180016 +12 *646:31 0.0180016 +13 *646:29 0.0478048 +14 *646:28 0.0482506 +15 *646:15 0.00175072 +16 *646:10 0.00533469 +17 *646:8 0.00613073 +18 *2783:resetn *2783:resetn_out 4.79494e-05 +19 *2783:resetn *2822:mprj_io_vtrip_sel[35] 5.00688e-05 +20 *2783:resetn *647:31 1.29918e-05 +21 *2783:resetn *685:10 0.00166032 +22 *2783:resetn *2647:17 0.000178623 +23 *2794:resetn_out *2794:serial_data_in 0.00222312 +24 *2794:resetn_out *1998:16 0 +25 *2794:resetn_out *2454:11 6.66147e-05 +26 *2795:resetn *647:13 4.65017e-05 +27 *2795:resetn *1999:13 0 +28 *2795:resetn *2189:11 0.000647089 +29 *646:8 *2809:serial_data_in 0 +30 *646:29 *1723:27 0.161848 +31 *646:38 *2265:8 1.65872e-05 +32 *2783:serial_clock *2783:resetn 1.30277e-05 +33 *2794:resetn *2794:resetn_out 0.00020979 +34 *2794:serial_clock *2794:resetn_out 0.000178097 +35 *2794:serial_clock_out *2794:resetn_out 0.00242489 +36 *2795:serial_clock *2795:resetn 0.00280954 +37 *2795:serial_load *2795:resetn 0.00185781 +38 *106:13 *2783:resetn 0.000101509 +39 *106:56 *646:32 0.0269156 +40 *106:59 *646:35 0.00332329 +41 *106:62 *646:38 0.000591935 +42 *106:62 *646:56 0.00011818 +43 *106:67 *646:43 0.00106721 +44 *106:80 *646:56 0.0293722 +45 *106:85 *646:61 0.00222943 +46 *107:16 *646:35 5.41377e-05 +47 *107:28 *646:29 0.246546 +48 *111:19 *646:32 0.171911 +49 *113:48 *646:29 0.00901458 +50 *622:14 *646:8 0.000516232 +51 *622:14 *646:10 0.0137207 +52 *622:32 *646:32 0.266772 +53 *622:38 *646:56 0.000997462 +54 *622:56 *646:56 0.0276149 +55 *623:17 *646:38 0.000642796 +56 *623:17 *646:56 0.000845507 +57 *623:25 *646:32 0.0435782 +58 *625:20 *646:8 0 +59 *625:20 *646:10 0 +*RES +1 *2809:resetn_out *646:8 14.4322 +2 *646:8 *646:10 219.217 +3 *646:10 *646:15 24.6977 +4 *646:15 *2783:resetn 43.2267 +5 *646:8 *646:28 8.08779 +6 *646:28 *646:29 3938.22 +7 *646:29 *646:31 4.5 +8 *646:31 *646:32 2801.47 +9 *646:32 *646:34 4.5 +10 *646:34 *646:35 55.8148 +11 *646:35 *646:38 11.324 +12 *646:38 *646:43 46.9589 +13 *646:43 *2795:resetn 43.0178 +14 *646:38 *646:56 308.528 +15 *646:56 *646:61 46.5437 +16 *646:61 *2794:resetn_out 39.1356 +*END + +*D_NET *647 1.19581 +*CONN +*I *2796:resetn I *D gpio_control_block +*I *2784:resetn I *D gpio_control_block +*I *2783:resetn_out O *D gpio_control_block +*I *2795:resetn_out O *D gpio_control_block +*CAP +1 *2796:resetn 0.000496288 +2 *2784:resetn 0.00266787 +3 *2783:resetn_out 8.32529e-05 +4 *2795:resetn_out 0.000336113 +5 *647:58 0.00152603 +6 *647:31 0.00358853 +7 *647:28 0.0347417 +8 *647:27 0.0339043 +9 *647:25 0.013202 +10 *647:24 0.013202 +11 *647:22 0.00141628 +12 *647:21 0.00147728 +13 *647:17 0.00714953 +14 *647:16 0.00693794 +15 *647:13 0.00121527 +16 *2783:resetn_out *2647:17 2.39277e-06 +17 *2784:resetn *2477:7 1.74395e-05 +18 *2796:resetn *648:13 6.66147e-05 +19 *2796:resetn *667:26 0.000217335 +20 *2796:resetn *2592:20 1.45065e-05 +21 *647:13 *2795:serial_data_in 9.65932e-05 +22 *647:13 *667:13 0.00137984 +23 *647:13 *1999:13 0.000127162 +24 *647:17 *2822:mprj_io_dm[51] 0.00108889 +25 *647:17 *2000:23 0.0022549 +26 *647:17 *2265:8 0.00298926 +27 *647:17 *2266:8 7.25324e-05 +28 *647:17 *2418:23 0.0049819 +29 *647:22 *648:16 8.62625e-06 +30 *647:28 *653:36 0.0113761 +31 *647:28 *1721:27 0.242546 +32 *647:28 *1732:31 2.87578e-05 +33 *647:28 *2725:14 9.2668e-05 +34 *647:31 *2822:mprj_io_vtrip_sel[35] 0 +35 *647:31 *2438:7 0 +36 *647:31 *2647:17 0.000446772 +37 *2783:resetn *2783:resetn_out 4.79494e-05 +38 *2783:resetn *647:31 1.29918e-05 +39 *2783:serial_clock *2783:resetn_out 4.42044e-05 +40 *2784:serial_clock *2784:resetn 0.0176211 +41 *2784:serial_load *2784:resetn 0.0171462 +42 *2785:serial_load *2784:resetn 6.27234e-05 +43 *2795:resetn *647:13 4.65017e-05 +44 *2795:serial_clock *647:13 0.000120974 +45 *2796:serial_clock *2796:resetn 0.00265668 +46 *2796:serial_load *2796:resetn 0.00171139 +47 *107:13 *647:13 0.00185361 +48 *107:16 *647:16 0.00219003 +49 *107:17 *647:17 0.000330532 +50 *107:21 *647:17 4.33655e-05 +51 *107:21 *647:21 0.000292214 +52 *107:22 *647:22 0.00318737 +53 *107:25 *647:25 0.223402 +54 *107:28 *647:28 0.000305738 +55 *107:56 *647:58 0.00108687 +56 *108:16 *647:22 7.77309e-06 +57 *110:41 *647:25 1.92172e-05 +58 *623:17 *647:17 0.0419475 +59 *623:21 *647:17 0.00105057 +60 *623:25 *647:25 0.223502 +61 *623:28 *647:28 0.261661 +62 *624:17 *647:17 0.00085524 +63 *624:17 *647:21 0.000645042 +64 *624:23 *647:25 0 +65 *624:26 *647:28 0.00420177 +*RES +1 *2795:resetn_out *647:13 37.4584 +2 *647:13 *647:16 40.7979 +3 *647:16 *647:17 458.271 +4 *647:17 *647:21 11.324 +5 *647:21 *647:22 54.569 +6 *647:22 *647:24 4.5 +7 *647:24 *647:25 2337.27 +8 *647:25 *647:27 4.5 +9 *647:27 *647:28 4195.26 +10 *647:28 *647:31 6.74599 +11 *647:31 *2783:resetn_out 0.330903 +12 *647:31 *2784:resetn 37.9761 +13 *647:17 *647:58 46.5437 +14 *647:58 *2796:resetn 41.354 +*END + +*D_NET *648 1.01302 +*CONN +*I *2787:resetn I *D gpio_control_block +*I *2785:resetn I *D gpio_control_block +*I *2784:resetn_out O *D gpio_control_block +*I *2796:resetn_out O *D gpio_control_block +*CAP +1 *2787:resetn 0.000833572 +2 *2785:resetn 0.00115991 +3 *2784:resetn_out 0.000724617 +4 *2796:resetn_out 0.000284777 +5 *648:102 0.00164179 +6 *648:88 0.00197661 +7 *648:81 0.00144589 +8 *648:77 0.00315154 +9 *648:51 0.00424943 +10 *648:40 0.00487509 +11 *648:39 0.00135027 +12 *648:37 0.0046453 +13 *648:36 0.00490899 +14 *648:32 0.00391556 +15 *648:29 0.0038594 +16 *648:28 0.00272988 +17 *648:26 0.0732874 +18 *648:25 0.0732874 +19 *648:23 0.0383659 +20 *648:22 0.0385619 +21 *648:19 0.00100423 +22 *648:17 0.00229235 +23 *648:16 0.00316524 +24 *648:13 0.00115767 +25 *2784:resetn_out *2784:pad_gpio_in 0.000144202 +26 *2785:resetn *2785:resetn_out 7.29313e-05 +27 *2787:resetn *649:13 0.00292222 +28 *2787:resetn *2191:16 7.86825e-06 +29 *2787:resetn *2229:15 0 +30 *648:13 *668:14 0.00128008 +31 *648:13 *2592:20 0.000765201 +32 *648:13 *2627:13 0.000538827 +33 *648:16 *2592:25 0 +34 *648:17 *2822:mprj_io_slow_sel[18] 0.000941171 +35 *648:17 *2267:8 0.000282235 +36 *648:17 *2593:8 0.000712926 +37 *648:26 *1729:31 0.112334 +38 *648:32 *2078:10 0 +39 *648:32 *2477:8 0 +40 *648:36 *2078:10 0 +41 *648:37 *2784:mgmt_gpio_oeb 0 +42 *648:37 *1734:15 8.27252e-05 +43 *648:40 *2287:17 0.00275499 +44 *648:51 *2287:25 1.72594e-05 +45 *648:51 *2287:28 0.0142799 +46 *648:77 *649:65 0.000572261 +47 *648:88 *649:75 6.27288e-05 +48 *648:88 *1752:14 0.00161958 +49 *648:102 *649:16 0.000632265 +50 *2784:serial_clock_out *2784:resetn_out 0.00119948 +51 *2785:serial_clock *2785:resetn 0.00191025 +52 *2785:serial_load *648:77 0.0102175 +53 *2796:resetn *648:13 6.66147e-05 +54 *2796:serial_clock *648:13 0.000313928 +55 *107:21 *648:17 5.04829e-06 +56 *108:16 *648:16 0.00214375 +57 *108:17 *648:17 0.0380938 +58 *108:22 *648:22 0.000446326 +59 *108:23 *648:23 0.175813 +60 *108:26 *648:26 0.28261 +61 *108:29 *648:29 0.0111883 +62 *108:38 *648:32 0 +63 *108:38 *648:77 0.00042485 +64 *108:45 *648:77 0.0118708 +65 *108:73 *648:36 2.87136e-06 +66 *108:74 *648:37 0.0209641 +67 *108:77 *648:40 0.000477435 +68 *108:88 *648:51 0.00264936 +69 *108:102 *648:22 3.31882e-05 +70 *108:102 *648:102 0.00216439 +71 *109:14 *648:22 7.77309e-06 +72 *624:17 *648:17 0.0375096 +73 *647:22 *648:16 8.62625e-06 +*RES +1 *2796:resetn_out *648:13 36.3492 +2 *648:13 *648:16 40.7979 +3 *648:16 *648:17 398.929 +4 *648:17 *648:19 4.5 +5 *648:19 *648:22 12.5608 +6 *648:22 *648:23 1840.34 +7 *648:23 *648:25 4.5 +8 *648:25 *648:26 4516.87 +9 *648:26 *648:28 4.5 +10 *648:28 *648:29 120.518 +11 *648:29 *648:32 34.3615 +12 *648:32 *648:36 11.1074 +13 *648:36 *648:37 222.01 +14 *648:37 *648:39 4.5 +15 *648:39 *648:40 59.7597 +16 *648:40 *648:51 47.6098 +17 *648:51 *2784:resetn_out 28.6964 +18 *648:32 *648:77 48.2168 +19 *648:77 *648:81 22.3192 +20 *648:81 *648:88 40.3563 +21 *648:88 *2785:resetn 46.3293 +22 *648:19 *648:102 42.4589 +23 *648:102 *2787:resetn 41.354 +*END + +*D_NET *649 1.00117 +*CONN +*I *2785:resetn_out O *D gpio_control_block +*I *2787:resetn_out O *D gpio_control_block +*CAP +1 *2785:resetn_out 0.000429609 +2 *2787:resetn_out 0.000499282 +3 *649:83 0.00117103 +4 *649:75 0.00222124 +5 *649:71 0.00203282 +6 *649:65 0.00243698 +7 *649:64 0.00188397 +8 *649:62 0.0130655 +9 *649:61 0.0134143 +10 *649:56 0.0361494 +11 *649:55 0.0364308 +12 *649:50 0.0029077 +13 *649:49 0.0033069 +14 *649:44 0.00283366 +15 *649:43 0.00260785 +16 *649:38 0.00440049 +17 *649:37 0.00359692 +18 *649:35 0.00947158 +19 *649:34 0.010918 +20 *649:31 0.00300963 +21 *649:28 0.00236101 +22 *649:25 0.00225383 +23 *649:22 0.00199734 +24 *649:17 0.00392875 +25 *649:16 0.00369948 +26 *649:13 0.000811282 +27 *2785:resetn_out *2478:10 0 +28 *649:13 *668:29 0.000244105 +29 *649:13 *2628:11 0.00131609 +30 *649:17 *2133:17 0 +31 *649:17 *2191:17 0.000857657 +32 *649:22 *1714:23 0.000649112 +33 *649:55 *692:11 0 +34 *649:55 *2711:11 0 +35 *649:56 *1728:27 0.104255 +36 *649:56 *2599:17 0.0464532 +37 *649:56 *2603:25 0.0369417 +38 *649:56 *2605:17 0.00738924 +39 *649:56 *2637:16 0.00493556 +40 *649:56 *2678:14 0.00103927 +41 *649:56 *2718:14 0.0126083 +42 *649:56 *2724:14 0.00739552 +43 *649:62 *1726:27 0 +44 *649:62 *1731:27 0.0331472 +45 *2785:resetn *2785:resetn_out 7.29313e-05 +46 *2785:serial_clock *2785:resetn_out 0.00180607 +47 *2785:serial_clock_out *2785:resetn_out 0.00164619 +48 *2787:resetn *649:13 0.00292222 +49 *2787:serial_clock *649:13 3.11982e-05 +50 *108:45 *649:71 0.0003013 +51 *108:52 *649:75 0 +52 *108:55 *649:83 3.99086e-06 +53 *109:17 *649:35 0.159854 +54 *109:20 *649:44 0 +55 *109:29 *649:83 0.00023642 +56 *113:32 *649:38 0 +57 *622:29 *649:56 0.23143 +58 *624:23 *649:35 0.159859 +59 *633:38 *649:35 0.0166651 +60 *648:77 *649:65 0.000572261 +61 *648:88 *649:75 6.27288e-05 +62 *648:102 *649:16 0.000632265 +*RES +1 *2787:resetn_out *649:13 44.1902 +2 *649:13 *649:16 15.4675 +3 *649:16 *649:17 101.661 +4 *649:17 *649:22 30.3488 +5 *649:22 *649:25 44.6003 +6 *649:25 *649:28 26.2641 +7 *649:28 *649:31 47.9279 +8 *649:31 *649:34 39.9674 +9 *649:34 *649:35 1671.74 +10 *649:35 *649:37 4.5 +11 *649:37 *649:38 99.4163 +12 *649:38 *649:43 30.7983 +13 *649:43 *649:44 50.8318 +14 *649:44 *649:49 37.4536 +15 *649:49 *649:50 63.7046 +16 *649:50 *649:55 26.3615 +17 *649:55 *649:56 3863.68 +18 *649:56 *649:61 18.0424 +19 *649:61 *649:62 573.219 +20 *649:62 *649:64 4.5 +21 *649:64 *649:65 49.5285 +22 *649:65 *649:71 19.6672 +23 *649:71 *649:75 46.3818 +24 *649:75 *649:83 35.0345 +25 *649:83 *2785:resetn_out 35.1175 +*END + +*D_NET *650 1.1137 +*CONN +*I *2797:resetn I *D gpio_control_block +*I *2782:resetn_out O *D gpio_control_block +*I *2811:resetn I *D gpio_control_block +*I *2810:resetn_out O *D gpio_control_block +*CAP +1 *2797:resetn 0.000292421 +2 *2782:resetn_out 0.000470675 +3 *2811:resetn 0.000104588 +4 *2810:resetn_out 0.000352184 +5 *650:56 0.00374806 +6 *650:55 0.00327738 +7 *650:53 0.0030984 +8 *650:52 0.00339076 +9 *650:44 0.102097 +10 *650:43 0.101512 +11 *650:41 0.0134086 +12 *650:40 0.0134086 +13 *650:38 0.000472003 +14 *650:37 0.000571864 +15 *650:23 0.00227124 +16 *650:22 0.00216666 +17 *650:20 0.000447129 +18 *650:19 0.000447129 +19 *650:17 0.00138101 +20 *650:16 0.00148087 +21 *650:14 0.00149893 +22 *650:13 0.00185111 +23 *2782:resetn_out *2782:serial_data_in 0 +24 *2797:resetn *2797:resetn_out 3.54846e-05 +25 *2797:resetn *669:30 1.38068e-05 +26 *2797:resetn *2470:13 3.84497e-05 +27 *650:13 *688:13 0.00196404 +28 *650:13 *1717:40 0.000429755 +29 *650:13 *2631:19 0.000751257 +30 *650:17 *2822:mprj_io_holdover[20] 0.000943385 +31 *650:17 *2460:15 0.000380884 +32 *650:23 *2811:user_gpio_out 0.000708883 +33 *650:23 *1718:40 0.0111008 +34 *650:23 *2670:14 0.00187071 +35 *650:38 *2669:7 0.00339254 +36 *650:41 *1718:34 0.221239 +37 *650:53 *1728:24 0.000967885 +38 *650:53 *1733:11 0.00116106 +39 *650:56 *669:14 0.00708716 +40 *650:56 *669:20 0.00014709 +41 *650:56 *1976:20 0.00345874 +42 *650:56 *2159:14 0.00401861 +43 *650:56 *2242:14 0.00176662 +44 *650:56 *2356:14 0.000330754 +45 *650:56 *2470:14 0 +46 *2782:resetn *2782:resetn_out 3.84497e-05 +47 *2782:serial_clock *2782:resetn_out 0.000200179 +48 *2797:serial_clock *2797:resetn 0.000622168 +49 *100:23 *650:13 0.000859493 +50 *110:14 *650:14 0.00159945 +51 *110:17 *650:17 0.0214278 +52 *110:20 *650:20 0.00341139 +53 *110:37 *650:17 0.00011818 +54 *110:37 *650:37 0.00132347 +55 *110:38 *650:38 0.00337008 +56 *110:44 *650:44 0.292023 +57 *110:49 *2797:resetn 0.000113968 +58 *110:49 *650:53 0.0117713 +59 *110:52 *650:56 0.00245862 +60 *110:58 *650:56 0.00738597 +61 *625:17 *650:41 0 +62 *626:23 *650:17 0.0214789 +63 *626:23 *650:37 0.00138855 +64 *626:26 *650:20 0.00338534 +65 *626:29 *650:23 1.58551e-05 +66 *626:47 *650:41 0.22039 +67 *626:50 *650:44 0.000349754 +68 *626:72 *650:56 1.87269e-05 +69 *627:19 *650:41 0.000322971 +70 *640:74 *650:13 7.06457e-05 +*RES +1 *2810:resetn_out *650:13 43.5591 +2 *650:13 *650:14 55.3995 +3 *650:14 *650:16 4.5 +4 *650:16 *650:17 225.892 +5 *650:17 *650:19 4.5 +6 *650:19 *650:20 54.569 +7 *650:20 *650:22 4.5 +8 *650:22 *650:23 117.19 +9 *650:23 *2811:resetn 8.46357 +10 *650:16 *650:37 19.0885 +11 *650:37 *650:38 54.569 +12 *650:38 *650:40 4.5 +13 *650:40 *650:41 2321.18 +14 *650:41 *650:43 4.5 +15 *650:43 *650:44 4680.48 +16 *650:44 *650:52 28.5242 +17 *650:52 *650:53 125.509 +18 *650:53 *650:55 4.5 +19 *650:55 *650:56 268.839 +20 *650:56 *2782:resetn_out 25.6039 +21 *650:53 *2797:resetn 17.3667 +*END + +*D_NET *651 1.10985 +*CONN +*I *2812:resetn I *D gpio_control_block +*I *2797:resetn_out O *D gpio_control_block +*I *2798:resetn I *D gpio_control_block +*I *2811:resetn_out O *D gpio_control_block +*CAP +1 *2812:resetn 7.5637e-05 +2 *2797:resetn_out 0.0107253 +3 *2798:resetn 0.00114898 +4 *2811:resetn_out 0.000444552 +5 *651:62 0.00153701 +6 *651:61 0.00146137 +7 *651:59 0.00119209 +8 *651:58 0.00119209 +9 *651:56 0.00141419 +10 *651:55 0.00141419 +11 *651:25 0.0158393 +12 *651:22 0.104085 +13 *651:21 0.10012 +14 *651:19 0.0159744 +15 *651:18 0.0159744 +16 *651:16 0.00144959 +17 *651:14 0.00200136 +18 *651:13 0.000996324 +19 *2797:resetn_out *2822:mprj_io_oeb[3] 0.000223621 +20 *2797:resetn_out *1985:15 0.000104808 +21 *2797:resetn_out *2023:13 0.00011443 +22 *2797:resetn_out *2365:8 0.00015856 +23 *2798:resetn *2798:resetn_out 4.31122e-05 +24 *2798:resetn *2365:7 0 +25 *2798:resetn *2479:13 3.84497e-05 +26 *651:13 *689:11 0.00128653 +27 *651:19 *1719:34 0.253059 +28 *651:22 *652:48 0.257234 +29 *651:56 *2822:mprj_io_slow_sel[21] 0.000596249 +30 *651:62 *1719:40 0.00186647 +31 *651:62 *2709:11 0.000127162 +32 *2797:resetn *2797:resetn_out 3.54846e-05 +33 *2797:serial_clock *2797:resetn_out 3.54846e-05 +34 *2797:serial_clock_out *2797:resetn_out 0.000485728 +35 *2798:serial_clock *2798:resetn 0 +36 *111:13 *651:13 0.00175385 +37 *111:14 *651:14 0.000580107 +38 *111:14 *651:16 7.77309e-06 +39 *111:16 *651:16 0.00166362 +40 *111:30 *2797:resetn_out 0.000263705 +41 *111:42 *2797:resetn_out 0.000945643 +42 *111:63 *651:56 0.0221628 +43 *111:66 *651:59 0.00330212 +44 *111:69 *651:62 0.000446342 +45 *625:17 *651:19 0 +46 *627:19 *651:19 0.253743 +47 *627:28 *2797:resetn_out 0.000382728 +48 *627:40 *2797:resetn_out 0.000633806 +49 *627:59 *651:56 0.0221586 +50 *627:62 *651:59 7.77309e-06 +51 *627:65 *651:62 0.00834562 +52 *628:56 *651:22 7.77309e-06 +53 *629:41 *651:19 0.000992545 +54 *640:19 *651:19 0 +*RES +1 *2811:resetn_out *651:13 35.8711 +2 *651:13 *651:14 20.1031 +3 *651:14 *651:16 55.8148 +4 *651:16 *651:18 4.5 +5 *651:18 *651:19 2686.11 +6 *651:19 *651:21 4.5 +7 *651:21 *651:22 4424.89 +8 *651:22 *651:25 16.7662 +9 *651:25 *2798:resetn 4.34029 +10 *651:25 *2797:resetn_out 38.4896 +11 *651:14 *651:55 4.5 +12 *651:55 *651:56 232.548 +13 *651:56 *651:58 4.5 +14 *651:58 *651:59 53.7385 +15 *651:59 *651:61 4.5 +16 *651:61 *651:62 103.325 +17 *651:62 *2812:resetn 8.38708 +*END + +*D_NET *652 1.27836 +*CONN +*I *2799:resetn I *D gpio_control_block +*I *2798:resetn_out O *D gpio_control_block +*I *2813:resetn I *D gpio_control_block +*I *2812:resetn_out O *D gpio_control_block +*CAP +1 *2799:resetn 0.00120851 +2 *2798:resetn_out 0.00111018 +3 *2813:resetn 9.90838e-05 +4 *2812:resetn_out 0.000335866 +5 *652:60 0.00707351 +6 *652:59 0.00596333 +7 *652:57 0.00164941 +8 *652:51 0.00219034 +9 *652:50 0.00174944 +10 *652:48 0.0325471 +11 *652:47 0.0325471 +12 *652:45 0.0255882 +13 *652:44 0.0271786 +14 *652:40 0.00259049 +15 *652:25 0.00363511 +16 *652:24 0.00353602 +17 *652:22 0.00057065 +18 *652:21 0.00057065 +19 *652:19 0.0036395 +20 *652:17 0.00518571 +21 *652:13 0.000882014 +22 *2798:resetn_out *2798:serial_data_in 0.000181643 +23 *2799:resetn *2799:resetn_out 3.84497e-05 +24 *2799:resetn *2480:13 3.84497e-05 +25 *652:13 *690:13 2.99978e-05 +26 *652:13 *2348:13 1.65872e-05 +27 *652:13 *2424:17 0.000627856 +28 *652:13 *2462:13 0.00159452 +29 *652:17 *2348:16 4.3116e-06 +30 *652:19 *2822:mprj_io_analog_pol[22] 1.00981e-05 +31 *652:19 *2822:mprj_io_inp_dis[22] 0.000273267 +32 *652:19 *2822:mprj_io_slow_sel[22] 0.000151106 +33 *652:19 *2006:23 0.00494157 +34 *652:19 *2146:17 0 +35 *652:22 *1720:37 0.0033072 +36 *652:25 *653:17 0.00254286 +37 *652:25 *691:13 0.00021369 +38 *652:25 *1720:40 0 +39 *652:25 *2672:14 0.00186428 +40 *652:25 *2710:14 0.000120742 +41 *652:40 *2348:16 5.1493e-06 +42 *652:40 *2462:16 0.000878734 +43 *652:51 *2657:11 0.00282613 +44 *652:51 *2689:17 0.00139505 +45 *652:57 *1708:20 0 +46 *652:57 *1715:17 0.000835517 +47 *652:60 *1708:20 0.0170075 +48 *652:60 *1715:17 0 +49 *2798:resetn *2798:resetn_out 4.31122e-05 +50 *2798:serial_clock *2798:resetn_out 3.84497e-05 +51 *2813:serial_load *652:25 0.000150029 +52 *105:40 *652:45 0.000767815 +53 *106:56 *652:45 0.0226633 +54 *112:14 *652:17 0.00117561 +55 *112:23 *652:25 0 +56 *113:19 *652:25 0.000148162 +57 *621:33 *652:45 1.00846e-05 +58 *622:32 *652:45 0.000419843 +59 *627:28 *2798:resetn_out 4.88112e-06 +60 *628:16 *652:13 0.000307023 +61 *628:16 *652:17 7.77309e-06 +62 *628:17 *652:17 0.000158371 +63 *628:17 *652:19 0.0197837 +64 *628:20 *652:22 0.00332815 +65 *628:23 *652:25 0 +66 *628:49 *652:17 0.000102003 +67 *628:53 *652:45 0.285646 +68 *628:56 *652:48 0.256166 +69 *645:40 *652:45 0.231446 +70 *651:22 *652:48 0.257234 +*RES +1 *2812:resetn_out *652:13 31.9889 +2 *652:13 *652:17 27.1297 +3 *652:17 *652:19 208.145 +4 *652:19 *652:21 4.5 +5 *652:21 *652:22 56.6453 +6 *652:22 *652:24 4.5 +7 *652:24 *652:25 120.518 +8 *652:25 *2813:resetn 8.46357 +9 *652:17 *652:40 37.0973 +10 *652:40 *652:44 45.6466 +11 *652:44 *652:45 3002.79 +12 *652:45 *652:47 4.5 +13 *652:47 *652:48 4105.77 +14 *652:48 *652:50 4.5 +15 *652:50 *652:51 77.8133 +16 *652:51 *652:57 25.9555 +17 *652:57 *652:59 4.5 +18 *652:59 *652:60 272.576 +19 *652:60 *2798:resetn_out 39.1987 +20 *652:57 *2799:resetn 34.6987 +*END + +*D_NET *653 1.16493 +*CONN +*I *2800:resetn I *D gpio_control_block +*I *2799:resetn_out O *D gpio_control_block +*I *2814:resetn I *D gpio_control_block +*I *2813:resetn_out O *D gpio_control_block +*CAP +1 *2800:resetn 0.000767503 +2 *2799:resetn_out 0.000686511 +3 *2814:resetn 0.000168153 +4 *2813:resetn_out 0.000363352 +5 *653:60 0.00296225 +6 *653:59 0.00392574 +7 *653:54 0.0315317 +8 *653:53 0.0306492 +9 *653:51 0.0700622 +10 *653:42 0.000798131 +11 *653:41 0.00154785 +12 *653:36 0.00239136 +13 *653:35 0.00147348 +14 *653:33 0.00256143 +15 *653:32 0.00353644 +16 *653:27 0.0033025 +17 *653:26 0.00298952 +18 *653:21 0.00193187 +19 *653:20 0.071332 +20 *653:18 0.0030612 +21 *653:17 0.00342455 +22 *2800:resetn *2800:resetn_out 3.84497e-05 +23 *2800:resetn *672:14 0.000472372 +24 *2800:resetn *2481:13 3.84497e-05 +25 *2814:resetn *654:9 3.84374e-05 +26 *2814:resetn *2426:7 0 +27 *653:17 *691:13 0.000212268 +28 *653:17 *1720:40 0 +29 *653:18 *692:20 0.00478883 +30 *653:18 *2597:32 0.000208756 +31 *653:41 *2711:11 0 +32 *653:42 *2814:serial_data_in 0 +33 *653:42 *2426:8 0.00404251 +34 *653:54 *656:35 0.000499357 +35 *653:60 *672:14 0.0159428 +36 *653:60 *1738:20 0.000147411 +37 *2799:resetn *2799:resetn_out 3.84497e-05 +38 *2799:serial_clock *2799:resetn_out 4.31122e-05 +39 *2800:serial_clock *2800:resetn 0.000423878 +40 *2813:serial_clock *653:17 0 +41 *2814:serial_clock *2814:resetn 0 +42 *106:56 *653:27 0.00266842 +43 *109:20 *653:32 0 +44 *113:19 *653:17 0.00164764 +45 *113:29 *653:27 0.0232681 +46 *113:53 *653:41 0 +47 *113:54 *653:42 0.00374132 +48 *113:66 *653:54 0.241784 +49 *113:71 *653:59 0.00076693 +50 *113:72 *2800:resetn 4.70104e-05 +51 *113:72 *653:60 0.0162518 +52 *116:49 *653:60 0.0023207 +53 *622:32 *653:27 0.0112476 +54 *624:26 *653:36 0.0113797 +55 *629:23 *653:21 0.00579002 +56 *629:23 *653:51 0.00165185 +57 *629:41 *653:51 0.320626 +58 *629:44 *653:54 0.241211 +59 *635:14 *653:54 0.000207612 +60 *647:28 *653:36 0.0113761 +61 *652:25 *653:17 0.00254286 +*RES +1 *2813:resetn_out *653:17 40.4244 +2 *653:17 *653:18 112.704 +3 *653:18 *653:20 4.5 +4 *653:20 *653:21 60.6206 +5 *653:21 *653:26 24.5353 +6 *653:26 *653:27 260.278 +7 *653:27 *653:32 35.7471 +8 *653:32 *653:33 69.4942 +9 *653:33 *653:35 4.5 +10 *653:35 *653:36 182.051 +11 *653:36 *653:41 30.7983 +12 *653:41 *653:42 65.158 +13 *653:42 *2814:resetn 3.9674 +14 *653:20 *653:51 3370.49 +15 *653:51 *653:53 4.5 +16 *653:53 *653:54 3867.83 +17 *653:54 *653:59 31.9075 +18 *653:59 *653:60 264.687 +19 *653:60 *2799:resetn_out 29.7705 +20 *653:59 *2800:resetn 37.416 +*END + +*D_NET *654 1.55107 +*CONN +*I *2800:resetn_out O *D gpio_control_block +*I *2801:resetn I *D gpio_control_block +*I *2815:resetn I *D gpio_control_block +*I *2814:resetn_out O *D gpio_control_block +*CAP +1 *2800:resetn_out 0.000383491 +2 *2801:resetn 0.000513629 +3 *2815:resetn 0.000687457 +4 *2814:resetn_out 0.000100158 +5 *654:59 0.0022054 +6 *654:58 0.00178171 +7 *654:53 0.002706 +8 *654:51 0.00411214 +9 *654:46 0.00199879 +10 *654:43 0.0102334 +11 *654:42 0.0101141 +12 *654:40 0.0207884 +13 *654:39 0.0207884 +14 *654:37 0.0284365 +15 *654:36 0.0284365 +16 *654:34 0.00457376 +17 *654:33 0.00457376 +18 *654:22 0.00230999 +19 *654:21 0.00246178 +20 *654:16 0.0227851 +21 *654:15 0.0224141 +22 *654:10 0.00177032 +23 *654:9 0.00140224 +24 *2801:resetn *2801:resetn_out 3.84497e-05 +25 *2801:resetn *2482:13 3.84497e-05 +26 *2815:resetn *655:7 0.000243681 +27 *2815:resetn *655:8 0 +28 *2815:resetn *2427:7 0 +29 *2815:resetn *2465:7 0.00013393 +30 *654:10 *2814:mgmt_gpio_out 0.000461064 +31 *654:10 *2814:serial_data_in 0.00206503 +32 *654:10 *693:8 0 +33 *654:10 *1721:33 2.02035e-05 +34 *654:15 *2814:mgmt_gpio_in 1.80858e-05 +35 *654:37 *655:39 0.00460404 +36 *654:37 *656:29 0.172395 +37 *654:37 *657:28 0.157441 +38 *654:43 *2800:pad_gpio_in 0 +39 *654:43 *2822:mprj_io_holdover[4] 0 +40 *654:43 *2822:mprj_io_dm[16] 0 +41 *654:43 *2822:mprj_io_slow_sel[5] 0 +42 *654:43 *671:14 0.00159696 +43 *654:43 *2062:14 0.000706134 +44 *654:43 *2087:14 0.000739296 +45 *654:43 *2252:18 0.000266423 +46 *654:43 *2404:14 0.000788003 +47 *654:51 *673:8 0 +48 *654:53 *673:14 0.00468227 +49 *654:58 *2822:mprj_io_out[6] 5.04829e-06 +50 *654:59 *655:45 0.000781549 +51 *654:59 *673:14 0.000364639 +52 *654:59 *1988:14 0.00167723 +53 *654:59 *2026:14 0.000277764 +54 *654:59 *2330:16 0 +55 *654:59 *2444:16 0 +56 *654:59 *2482:14 0.00504902 +57 *2800:resetn *2800:resetn_out 3.84497e-05 +58 *2800:serial_clock *2800:resetn_out 4.31122e-05 +59 *2800:serial_clock_out *2800:resetn_out 0 +60 *2800:serial_load *654:51 0 +61 *2814:resetn *654:9 3.84374e-05 +62 *2814:serial_clock *654:9 4.31122e-05 +63 *2815:serial_load *654:22 0.000354072 +64 *103:27 *654:40 0.0515766 +65 *114:8 *654:15 0.000207453 +66 *114:11 *654:10 0 +67 *114:36 *654:37 0.0160613 +68 *114:39 *654:40 0.402618 +69 *114:42 *654:43 0.0324959 +70 *114:45 *2800:resetn_out 0 +71 *114:45 *654:46 0 +72 *114:54 *654:53 0.0046598 +73 *114:60 *2801:resetn 4.05974e-05 +74 *117:47 *654:37 0.0385186 +75 *620:45 *654:40 0.0474171 +76 *630:8 *654:22 0.00401131 +77 *630:26 *654:40 0.402533 +78 *630:29 *654:43 1.48618e-05 +79 *630:35 *654:51 0 +80 *630:35 *654:53 0 +81 *630:47 *654:59 0 +82 *633:29 *2815:resetn 0 +83 *633:29 *654:22 0 +84 *634:14 *2815:resetn 0.000337722 +85 *634:14 *654:22 8.67443e-05 +*RES +1 *2814:resetn_out *654:9 3.74791 +2 *654:9 *654:10 50.8318 +3 *654:10 *654:15 5.36335 +4 *654:15 *654:16 87.3786 +5 *654:16 *654:21 6.51071 +6 *654:21 *654:22 73.0477 +7 *654:22 *2815:resetn 16.738 +8 *654:22 *654:33 4.5 +9 *654:33 *654:34 119.409 +10 *654:34 *654:36 4.5 +11 *654:36 *654:37 3281.7 +12 *654:37 *654:39 3.36879 +13 *654:39 *654:40 508.518 +14 *654:40 *654:42 0.376635 +15 *654:42 *654:43 64.6321 +16 *654:43 *654:46 0.694236 +17 *654:46 *654:51 44.1612 +18 *654:51 *654:53 131.159 +19 *654:53 *654:58 10.8326 +20 *654:58 *654:59 100.662 +21 *654:59 *2801:resetn 24.7762 +22 *654:46 *2800:resetn_out 1.28703 +*END + +*D_NET *655 1.59083 +*CONN +*I *2801:resetn_out O *D gpio_control_block +*I *2802:resetn I *D gpio_control_block +*I *2816:resetn I *D gpio_control_block +*I *2815:resetn_out O *D gpio_control_block +*CAP +1 *2801:resetn_out 6.00917e-05 +2 *2802:resetn 9.03988e-05 +3 *2816:resetn 0.000465412 +4 *2815:resetn_out 0.000260152 +5 *655:51 0.00631397 +6 *655:50 0.00622357 +7 *655:48 0.000604784 +8 *655:45 0.00936046 +9 *655:44 0.00881577 +10 *655:42 0.0228364 +11 *655:41 0.0228364 +12 *655:39 0.0254746 +13 *655:38 0.0254746 +14 *655:36 0.00277465 +15 *655:35 0.00277465 +16 *655:24 0.000784371 +17 *655:16 0.00142615 +18 *655:15 0.00131259 +19 *655:8 0.00149753 +20 *655:7 0.00155228 +21 *2802:resetn *2802:resetn_out 4.02931e-05 +22 *2802:resetn *2483:7 3.46219e-05 +23 *2816:resetn *656:13 0.000219699 +24 *2816:resetn *2466:7 0.000237365 +25 *655:8 *2155:8 0.00435768 +26 *655:8 *2313:8 0.000260365 +27 *655:8 *2389:8 0.00527649 +28 *655:8 *2427:8 0 +29 *655:15 *2822:mprj_io_slow_sel[25] 5.04829e-06 +30 *655:15 *2465:19 2.41274e-06 +31 *655:16 *2154:14 0.00133223 +32 *655:16 *2199:8 1.87125e-05 +33 *655:39 *656:29 9.65083e-06 +34 *655:39 *657:28 0.157448 +35 *655:39 *658:53 0.13943 +36 *655:39 *1722:27 0 +37 *655:42 *656:32 0.0949067 +38 *655:45 *673:14 0.00132628 +39 *655:45 *1988:14 0.000797342 +40 *655:45 *2024:16 0.00082487 +41 *655:45 *2026:14 0.000890627 +42 *655:45 *2328:16 0.00110168 +43 *655:45 *2367:14 0.000666555 +44 *655:45 *2481:14 0.000758727 +45 *655:45 *2482:14 0.000642242 +46 *655:51 *2802:mgmt_gpio_out 0.000474471 +47 *655:51 *2802:pad_gpio_in 7.22422e-05 +48 *655:51 *673:20 4.69341e-05 +49 *655:51 *674:10 0.0149273 +50 *655:51 *1989:13 0.000246801 +51 *655:51 *2096:13 0.000569872 +52 *655:51 *2331:13 0.000666293 +53 *655:51 *2407:13 0.000268171 +54 *655:51 *2445:11 0.000305756 +55 *655:51 *2653:10 4.61962e-05 +56 *2801:resetn *2801:resetn_out 3.84497e-05 +57 *2801:serial_clock *2801:resetn_out 5.37048e-05 +58 *2801:serial_clock *655:48 3.11219e-05 +59 *2801:serial_load *655:51 0.000623179 +60 *2802:serial_clock *655:51 0.000502891 +61 *2815:resetn *655:7 0.000243681 +62 *2815:resetn *655:8 0 +63 *2815:serial_clock *655:7 5.22322e-05 +64 *2816:serial_clock *2816:resetn 0.000632814 +65 *2816:serial_load *655:7 0.000243127 +66 *114:60 *655:51 6.81013e-05 +67 *115:39 *655:16 0.00660821 +68 *115:43 *2816:resetn 3.31882e-05 +69 *115:43 *655:24 0.00146429 +70 *115:55 *655:36 0.0113427 +71 *115:58 *655:39 0.00773729 +72 *115:61 *655:42 0.39886 +73 *115:64 *655:45 0.051819 +74 *115:67 *655:48 0 +75 *115:70 *655:51 0.0315725 +76 *117:47 *655:39 0.0222239 +77 *118:31 *655:39 0.0338248 +78 *618:34 *655:8 0.000902363 +79 *630:26 *655:42 0.402317 +80 *630:29 *655:45 0.0336423 +81 *631:29 *655:7 0.000878005 +82 *632:18 *2816:resetn 0.000966316 +83 *632:18 *655:24 0.000237959 +84 *632:37 *655:24 0.00123348 +85 *632:48 *655:15 1.56384e-05 +86 *632:49 *655:8 4.31485e-06 +87 *633:29 *655:8 0 +88 *634:14 *2816:resetn 0.000136087 +89 *634:14 *655:8 0 +90 *634:14 *655:16 0.0079875 +91 *654:37 *655:39 0.00460404 +92 *654:59 *655:45 0.000781549 +*RES +1 *2815:resetn_out *655:7 5.11476 +2 *655:7 *655:8 97.5476 +3 *655:8 *655:15 13.0751 +4 *655:15 *655:16 128.484 +5 *655:16 *655:24 34.9623 +6 *655:24 *2816:resetn 20.4753 +7 *655:24 *655:35 4.5 +8 *655:35 *655:36 120.518 +9 *655:36 *655:38 4.5 +10 *655:38 *655:39 3023 +11 *655:39 *655:41 3.36879 +12 *655:41 *655:42 507.906 +13 *655:42 *655:44 0.376635 +14 *655:44 *655:45 102.81 +15 *655:45 *655:48 2.14755 +16 *655:48 *655:50 3.36879 +17 *655:50 *655:51 536.677 +18 *655:51 *2802:resetn 3.66144 +19 *655:48 *2801:resetn_out 0.292658 +*END + +*D_NET *656 1.24229 +*CONN +*I *2786:resetn I *D gpio_control_block +*I *2802:resetn_out O *D gpio_control_block +*I *2817:resetn I *D gpio_control_block +*I *2816:resetn_out O *D gpio_control_block +*CAP +1 *2786:resetn 0.000158624 +2 *2802:resetn_out 0.000234664 +3 *2817:resetn 0.000575653 +4 *2816:resetn_out 0.00641732 +5 *656:58 0.00278708 +6 *656:56 0.00267455 +7 *656:54 0.00258555 +8 *656:52 0.00262623 +9 *656:50 0.00159675 +10 *656:41 0.00213558 +11 *656:40 0.00187225 +12 *656:35 0.0130088 +13 *656:34 0.0115275 +14 *656:32 0.0755808 +15 *656:31 0.0755808 +16 *656:29 0.0218911 +17 *656:28 0.0250406 +18 *656:14 0.00395436 +19 *656:13 0.00664649 +20 *2786:resetn *2484:9 0.000153859 +21 *2802:resetn_out *2483:7 0 +22 *2817:resetn *657:7 0.000273534 +23 *2817:resetn *2467:7 0.000273534 +24 *656:13 *2817:user_gpio_out 0.000237706 +25 *656:13 *1972:19 0.000302851 +26 *656:13 *2010:16 0.00050095 +27 *656:13 *2158:8 0.00071916 +28 *656:13 *2160:17 0.000198288 +29 *656:13 *2428:8 0.000106221 +30 *656:28 *2817:user_gpio_out 5.13735e-05 +31 *656:28 *2638:13 0.0104278 +32 *656:28 *2677:10 0 +33 *656:29 *657:28 6.34651e-06 +34 *656:29 *1722:27 4.13721e-05 +35 *656:32 *657:31 8.15039e-05 +36 *656:35 *2668:14 0.0096963 +37 *656:35 *2695:14 0.00774769 +38 *656:40 *2802:pad_gpio_in 0.000644917 +39 *656:40 *2096:13 2.14855e-05 +40 *656:50 *2255:8 0.000613356 +41 *656:50 *2445:11 4.69341e-05 +42 *656:50 *2445:14 0 +43 *656:54 *2255:8 0.00215736 +44 *656:58 *2255:8 0.00143367 +45 *2786:serial_clock *2786:resetn 1.43671e-05 +46 *2802:resetn *2802:resetn_out 4.02931e-05 +47 *2802:serial_clock *2802:resetn_out 6.16945e-05 +48 *2802:serial_clock *656:50 4.2993e-05 +49 *2816:resetn *656:13 0.000219699 +50 *2816:serial_clock *656:13 0.000219699 +51 *103:27 *656:32 2.42273e-05 +52 *106:37 *656:13 0.0111911 +53 *106:43 *656:13 0.000431282 +54 *114:36 *656:29 0.172355 +55 *116:22 *656:13 0.00115896 +56 *116:22 *656:14 0.000265893 +57 *116:56 *2802:resetn_out 0 +58 *116:64 *656:50 0.000862881 +59 *617:14 *656:13 0.000451188 +60 *618:34 *656:13 0.00161426 +61 *620:48 *656:35 0.0793754 +62 *629:44 *656:35 0.0496724 +63 *631:42 *656:41 0.000979535 +64 *631:42 *656:50 6.7566e-05 +65 *632:20 *656:13 0.00171457 +66 *632:85 *656:58 0.000883136 +67 *632:87 *656:50 0 +68 *632:87 *656:54 0.00743689 +69 *632:87 *656:58 0.00143768 +70 *633:14 *2817:resetn 0.000699573 +71 *633:14 *656:14 0.000460026 +72 *633:28 *656:14 0.000289251 +73 *634:14 *2817:resetn 0 +74 *634:14 *656:14 0 +75 *635:14 *656:35 0 +76 *643:35 *656:32 0.349875 +77 *653:54 *656:35 0.000499357 +78 *654:37 *656:29 0.172395 +79 *655:39 *656:29 9.65083e-06 +80 *655:42 *656:32 0.0949067 +*RES +1 *2816:resetn_out *656:13 39.0013 +2 *656:13 *656:14 12.6286 +3 *656:14 *2817:resetn 16.6833 +4 *656:14 *656:28 23.0034 +5 *656:28 *656:29 2755.16 +6 *656:29 *656:31 4.5 +7 *656:31 *656:32 3658.89 +8 *656:32 *656:34 4.5 +9 *656:34 *656:35 1269.18 +10 *656:35 *656:40 44.6634 +11 *656:40 *656:41 16.1582 +12 *656:41 *2802:resetn_out 3.9674 +13 *656:41 *656:50 51.1982 +14 *656:50 *656:52 2.41823 +15 *656:52 *656:54 118.567 +16 *656:54 *656:56 1.29461 +17 *656:56 *656:58 96.9248 +18 *656:58 *2786:resetn 3.81442 +*END + +*D_NET *657 1.45811 +*CONN +*I *2818:resetn I *D gpio_control_block +*I *2786:resetn_out O *D gpio_control_block +*I *2788:resetn I *D gpio_control_block +*I *2817:resetn_out O *D gpio_control_block +*CAP +1 *2818:resetn 0.00111673 +2 *2786:resetn_out 0.000589756 +3 *2788:resetn 8.65689e-05 +4 *2817:resetn_out 0.000454642 +5 *657:75 0.00190286 +6 *657:55 0.00275672 +7 *657:43 0.000980232 +8 *657:40 0.00341233 +9 *657:39 0.00284076 +10 *657:36 0.00248906 +11 *657:34 0.0122068 +12 *657:33 0.0122068 +13 *657:31 0.0204155 +14 *657:30 0.0204155 +15 *657:28 0.0199606 +16 *657:27 0.0199606 +17 *657:25 0.00442772 +18 *657:24 0.00457591 +19 *657:20 0.00310959 +20 *657:19 0.00270134 +21 *657:8 0.0020171 +22 *657:7 0.00194568 +23 *2788:resetn *2788:resetn_out 3.28031e-05 +24 *2788:resetn *2485:7 3.84497e-05 +25 *2818:resetn *2468:10 2.11882e-05 +26 *2818:resetn *2677:17 0 +27 *657:7 *2817:serial_data_in 0 +28 *657:7 *2467:7 0 +29 *657:8 *696:8 0 +30 *657:8 *1973:8 0.00448586 +31 *657:8 *2161:8 0.00494693 +32 *657:8 *2201:8 0.00022036 +33 *657:8 *2315:8 0.000677308 +34 *657:8 *2353:8 0 +35 *657:8 *2467:8 0.000206811 +36 *657:19 *2011:8 0.000185867 +37 *657:19 *2162:19 5.04829e-06 +38 *657:20 *2822:mprj_io_analog_en[27] 0.000264004 +39 *657:20 *696:8 0.00167057 +40 *657:20 *2239:20 0.00359789 +41 *657:20 *2391:26 0.00117555 +42 *657:20 *2467:14 0 +43 *657:24 *696:8 0.000163304 +44 *657:31 *658:56 2.18041e-06 +45 *657:40 *2655:16 0.0168907 +46 *657:55 *2786:pad_gpio_in 0.000914023 +47 *657:55 *2099:13 0 +48 *2788:serial_clock *2788:resetn 4.69495e-06 +49 *2788:serial_clock *657:43 0.00299817 +50 *2817:resetn *657:7 0.000273534 +51 *2817:serial_clock *657:7 0.000307994 +52 *103:27 *657:31 0.349523 +53 *103:30 *657:40 0 +54 *104:43 *657:31 1.41291e-05 +55 *104:46 *657:34 5.1493e-06 +56 *106:37 *657:75 0.000215356 +57 *117:56 *657:43 0.000713935 +58 *118:8 *2818:resetn 0 +59 *119:14 *657:40 0.0180019 +60 *617:14 *657:75 0.00139838 +61 *618:34 *657:8 0.000889337 +62 *619:26 *657:34 0.0962116 +63 *620:45 *657:31 0.000236869 +64 *620:48 *657:34 2.55661e-06 +65 *630:26 *657:31 0.0474219 +66 *633:14 *657:8 0 +67 *633:14 *657:20 0 +68 *633:14 *657:24 0 +69 *633:14 *657:75 0 +70 *633:61 *657:43 0.00125216 +71 *634:14 *657:19 0.000193047 +72 *642:52 *657:20 0.00107026 +73 *643:35 *657:31 0.350081 +74 *643:38 *657:34 2.15933e-05 +75 *644:69 *657:31 1.00937e-05 +76 *644:72 *657:34 0.0962193 +77 *654:37 *657:28 0.157441 +78 *655:39 *657:28 0.157448 +79 *656:29 *657:28 6.34651e-06 +80 *656:32 *657:31 8.15039e-05 +*RES +1 *2817:resetn_out *657:7 5.34423 +2 *657:7 *657:8 109.59 +3 *657:8 *657:19 32.5074 +4 *657:19 *657:20 112.289 +5 *657:20 *657:24 10.0693 +6 *657:24 *657:25 114.417 +7 *657:25 *657:27 4.5 +8 *657:27 *657:28 2515.35 +9 *657:28 *657:30 4.5 +10 *657:30 *657:31 3660 +11 *657:31 *657:33 4.5 +12 *657:33 *657:34 1537.85 +13 *657:34 *657:36 4.5 +14 *657:36 *657:39 10.2148 +15 *657:39 *657:40 287.526 +16 *657:40 *657:43 46.2641 +17 *657:43 *2788:resetn 8.46357 +18 *657:36 *657:55 53.9653 +19 *657:55 *2786:resetn_out 22.2334 +20 *657:20 *657:75 42.9186 +21 *657:75 *2818:resetn 33.9741 +*END + +*D_NET *658 1.43321 +*CONN +*I *2789:resetn I *D gpio_control_block +*I *2788:resetn_out O *D gpio_control_block +*I *2804:resetn I *D gpio_control_block +*I *2818:resetn_out O *D gpio_control_block +*CAP +1 *2789:resetn 0.00106564 +2 *2788:resetn_out 0.000777579 +3 *2804:resetn 0.000655478 +4 *2818:resetn_out 0.00582432 +5 *658:78 0.00672078 +6 *658:63 0.011325 +7 *658:61 0.00493781 +8 *658:59 0.0285797 +9 *658:58 0.0285342 +10 *658:56 0.0209785 +11 *658:55 0.0209785 +12 *658:53 0.0176068 +13 *658:52 0.0176068 +14 *658:50 0.00460827 +15 *658:49 0.00460827 +16 *658:36 0.00317048 +17 *658:35 0.00267897 +18 *658:30 0.000734115 +19 *658:29 0.000570148 +20 *658:27 0.00508776 +21 *658:26 0.00508776 +22 *658:24 0.00155218 +23 *658:19 0.00355574 +24 *658:13 0.00782788 +25 *2788:resetn_out *2788:serial_data_in 0.000196754 +26 *2788:resetn_out *2103:8 4.89469e-06 +27 *2788:resetn_out *2485:7 0 +28 *2789:resetn *2789:pad_gpio_in 0.000984522 +29 *2789:resetn *2103:30 0 +30 *2789:resetn *2411:13 0.000104529 +31 *2804:resetn *2804:serial_data_in 0 +32 *2804:resetn *679:10 2.86353e-06 +33 *2804:resetn *680:8 0 +34 *2804:resetn *2431:9 0 +35 *2804:resetn *2469:9 0.00011515 +36 *658:24 *2050:28 0.000544667 +37 *658:27 *2050:29 0.0213418 +38 *658:30 *2165:20 1.5254e-05 +39 *658:30 *2166:8 0.00174204 +40 *658:30 *2202:26 0.00213275 +41 *658:30 *2354:14 0.00392124 +42 *658:35 *2822:mprj_io_dm[84] 5.04829e-06 +43 *658:36 *2822:mprj_io_analog_sel[28] 0.00114957 +44 *658:36 *2822:mprj_io_out[28] 0.000327995 +45 *658:36 *679:10 0.000136977 +46 *658:36 *2012:14 0.000137372 +47 *658:36 *2316:14 1.2819e-05 +48 *658:59 *1739:20 0.0434379 +49 *658:59 *1740:20 0.077383 +50 *658:59 *2027:14 0 +51 *658:59 *2407:14 0 +52 *658:59 *2444:16 0 +53 *658:63 *2098:14 0 +54 *658:63 *2101:14 0 +55 *658:63 *2407:14 0 +56 *658:78 *677:14 0.0159949 +57 *658:78 *2101:14 0 +58 *658:78 *2105:16 0.0011271 +59 *2788:resetn *2788:resetn_out 3.28031e-05 +60 *2788:serial_clock *2788:resetn_out 1.53776e-05 +61 *2788:serial_clock_out *2788:resetn_out 0 +62 *2789:resetn_out *2789:resetn 1.48422e-06 +63 *101:51 *658:56 0.351547 +64 *101:54 *658:59 0.0137555 +65 *101:54 *658:63 0 +66 *101:54 *658:78 0 +67 *115:58 *658:53 0.139427 +68 *118:10 *658:36 0 +69 *617:70 *2804:resetn 0.000786057 +70 *617:70 *658:36 7.34085e-05 +71 *618:34 *658:30 0.000592985 +72 *618:34 *658:36 0.00107823 +73 *619:23 *658:56 0.0515766 +74 *633:61 *2788:resetn_out 0.000242355 +75 *634:74 *658:36 0.00775064 +76 *641:10 *2804:resetn 0.000902168 +77 *643:35 *658:56 0 +78 *644:69 *658:56 0.350102 +79 *655:39 *658:53 0.13943 +80 *657:31 *658:56 2.18041e-06 +*RES +1 *2818:resetn_out *658:13 26.3371 +2 *658:13 *658:19 47.5328 +3 *658:19 *658:24 47.3754 +4 *658:24 *658:26 4.5 +5 *658:26 *658:27 231.993 +6 *658:27 *658:29 4.5 +7 *658:29 *658:30 62.8741 +8 *658:30 *658:35 11.9418 +9 *658:35 *658:36 130.975 +10 *658:36 *2804:resetn 18.0174 +11 *658:36 *658:49 4.5 +12 *658:49 *658:50 119.409 +13 *658:50 *658:52 4.5 +14 *658:52 *658:53 2226.75 +15 *658:53 *658:55 4.5 +16 *658:55 *658:56 3676.08 +17 *658:56 *658:58 4.5 +18 *658:58 *658:59 1687.23 +19 *658:59 *658:61 1.29461 +20 *658:61 *658:63 138.145 +21 *658:63 *2788:resetn_out 30.4016 +22 *658:63 *658:78 265.932 +23 *658:78 *2789:resetn 38.756 +*END + +*D_NET *659 1.05762 +*CONN +*I *2803:resetn I *D gpio_control_block +*I *2781:resetn I *D gpio_control_block +*I *2819:serial_resetn O *D housekeeping +*CAP +1 *2803:resetn 0.000421298 +2 *2781:resetn 0.000905773 +3 *2819:serial_resetn 0.00126082 +4 *659:31 0.0038555 +5 *659:30 0.0034342 +6 *659:28 0.012563 +7 *659:27 0.012563 +8 *659:25 0.0798845 +9 *659:8 0.085144 +10 *659:7 0.00561459 +11 *2781:resetn *2822:mprj_io_slow_sel[0] 0 +12 *2781:resetn *2448:13 9.52305e-06 +13 *2803:resetn *2803:serial_data_in 0.00021242 +14 *2803:resetn *2458:13 0.000165504 +15 *659:8 *678:8 0 +16 *659:8 *1705:14 0.000645689 +17 *659:8 *1716:14 0.00576155 +18 *659:8 *1748:8 0 +19 *659:25 *1707:20 0 +20 *659:25 *1708:20 0.08233 +21 *659:25 *1709:20 0.000931168 +22 *659:25 *1710:20 0.284327 +23 *659:25 *1715:17 0 +24 *659:25 *1716:14 0.0143258 +25 *659:25 *1744:8 0.000152316 +26 *659:28 *1713:20 0.000996039 +27 *659:28 *1714:20 7.92757e-06 +28 *659:28 *1715:20 0.204639 +29 *659:31 *2821:analog_io[13] 0 +30 *2781:resetn_out *2781:resetn 0.000427871 +31 *2781:serial_clock_out *2781:resetn 0 +32 *2803:serial_load *2803:resetn 0.00122161 +33 *100:14 *659:31 0 +34 *100:41 *659:28 0.20139 +35 *100:56 *659:25 0.000853353 +36 *112:54 *659:25 0.0168982 +37 *119:25 *2803:resetn 0.00218496 +38 *624:23 *659:28 0.000373913 +39 *629:50 *659:25 0.0166787 +40 *640:34 *659:25 0.000386873 +41 *640:40 *659:25 0.0170544 +42 *641:48 *659:28 0 +43 *641:51 *659:25 0 +*RES +1 *2819:serial_resetn *659:7 8.09787 +2 *659:7 *659:8 164.818 +3 *659:8 *2781:resetn 38.6541 +4 *659:8 *659:25 5224.04 +5 *659:25 *659:27 4.5 +6 *659:27 *659:28 2146.48 +7 *659:28 *659:30 4.5 +8 *659:30 *659:31 90.696 +9 *659:31 *2803:resetn 40.3079 +*END + +*D_NET *660 0.0359391 +*CONN +*I *2782:serial_data_in I *D gpio_control_block +*I *2781:serial_data_out O *D gpio_control_block +*CAP +1 *2782:serial_data_in 0.000646967 +2 *2781:serial_data_out 0.000571884 +3 *660:16 0.00498678 +4 *660:15 0.0049117 +5 *2782:serial_data_in *669:13 3.84497e-05 +6 *660:15 *2781:serial_data_in 9.52305e-06 +7 *660:16 *1727:20 0 +8 *660:16 *2126:14 0.00752277 +9 *660:16 *2307:14 0 +10 *660:16 *2459:14 0 +11 *2781:serial_clock_out *660:15 0 +12 *2781:serial_load *660:15 1.53776e-05 +13 *2781:serial_load_out *660:15 0 +14 *2782:resetn_out *2782:serial_data_in 0 +15 *2782:serial_clock_out *2782:serial_data_in 0.000111633 +16 *616:56 *660:16 0.0167141 +17 *635:38 *660:15 0.000410014 +*RES +1 *2781:serial_data_out *660:15 30.335 +2 *660:15 *660:16 272.161 +3 *660:16 *2782:serial_data_in 28.7377 +*END + +*D_NET *661 0.0452332 +*CONN +*I *2790:serial_data_in I *D gpio_control_block +*I *2789:serial_data_out O *D gpio_control_block +*CAP +1 *2790:serial_data_in 0.000935348 +2 *2789:serial_data_out 0.00067501 +3 *661:14 0.00318707 +4 *661:13 0.00292673 +5 *2790:serial_data_in *2298:8 0 +6 *661:13 *2789:serial_data_in 3.81732e-05 +7 *661:13 *2103:30 3.93117e-06 +8 *661:13 *2620:10 1.5714e-05 +9 *661:13 *2696:17 0.00158848 +10 *661:14 *2105:16 0.000242012 +11 *661:14 *2221:8 0.00220944 +12 *2789:serial_load *661:13 4.02086e-05 +13 *2790:serial_clock_out *2790:serial_data_in 1.73002e-05 +14 *2790:serial_load_out *2790:serial_data_in 5.39635e-06 +15 *101:58 *661:14 0.00401821 +16 *101:75 *661:14 0.0126886 +17 *617:38 *661:14 0.000150293 +18 *641:57 *661:14 0.0164913 +*RES +1 *2789:serial_data_out *661:13 30.9562 +2 *661:13 *661:14 272.161 +3 *661:14 *2790:serial_data_in 31.4343 +*END + +*D_NET *662 0.0268242 +*CONN +*I *2791:serial_data_in I *D gpio_control_block +*I *2790:serial_data_out O *D gpio_control_block +*CAP +1 *2791:serial_data_in 0.00092397 +2 *2790:serial_data_out 8.87297e-05 +3 *662:16 0.00706753 +4 *662:15 0.00758525 +5 *662:10 0.00398636 +6 *662:9 0.0026334 +7 *2791:serial_data_in *663:13 1.94242e-05 +8 *662:10 *2621:12 0.000318589 +9 *662:16 *2105:16 0.00300243 +10 *662:16 *2107:16 0.000557717 +11 *662:16 *2109:14 0 +12 *662:16 *2109:18 0 +13 *662:16 *2221:8 0.000621346 +14 *2791:serial_clock_out *2791:serial_data_in 1.94242e-05 +15 *617:32 *662:16 0 +*RES +1 *2790:serial_data_out *662:9 3.59493 +2 *662:9 *662:10 73.8783 +3 *662:10 *662:15 45.218 +4 *662:15 *662:16 198.662 +5 *662:16 *2791:serial_data_in 30.8797 +*END + +*D_NET *663 0.0293171 +*CONN +*I *2792:serial_data_in I *D gpio_control_block +*I *2791:serial_data_out O *D gpio_control_block +*CAP +1 *2792:serial_data_in 0.000488399 +2 *2791:serial_data_out 0.000820127 +3 *663:14 0.00772104 +4 *663:13 0.00805277 +5 *2792:serial_data_in *664:13 3.95327e-06 +6 *2792:serial_data_in *2186:8 7.08723e-06 +7 *2792:serial_data_in *2414:13 0.00114584 +8 *663:14 *1956:20 0.000241167 +9 *663:14 *1995:26 0.00253483 +10 *663:14 *2109:18 0.00680578 +11 *663:14 *2261:19 0 +12 *663:14 *2375:14 0 +13 *2791:serial_data_in *663:13 1.94242e-05 +14 *2791:serial_load *663:13 9.39435e-05 +15 *617:32 *663:14 0 +16 *619:53 *2792:serial_data_in 0.00138276 +*RES +1 *2791:serial_data_out *663:13 29.2159 +2 *663:13 *663:14 272.161 +3 *663:14 *2792:serial_data_in 28.7377 +*END + +*D_NET *664 0.137859 +*CONN +*I *2793:serial_data_in I *D gpio_control_block +*I *2792:serial_data_out O *D gpio_control_block +*CAP +1 *2793:serial_data_in 0.000381074 +2 *2792:serial_data_out 0.0014086 +3 *664:21 0.000901061 +4 *664:16 0.0126629 +5 *664:15 0.0121429 +6 *664:13 0.0014086 +7 *2793:serial_data_in *665:12 0.000152305 +8 *664:13 *2792:user_gpio_oeb 1.66771e-05 +9 *664:13 *2661:17 0.00142138 +10 *664:21 *665:13 7.92757e-06 +11 *2792:serial_data_in *664:13 3.95327e-06 +12 *2792:serial_load *664:13 1.02886e-05 +13 *2792:serial_load_out *664:13 1.75893e-06 +14 *2793:resetn *664:21 0.00325817 +15 *2793:serial_clock_out *2793:serial_data_in 1.9775e-05 +16 *104:58 *2793:serial_data_in 0.000216071 +17 *620:54 *664:16 0.0674525 +18 *620:57 *664:21 0.00350318 +19 *620:74 *664:13 2.01653e-05 +20 *631:36 *664:16 0.0328703 +*RES +1 *2792:serial_data_out *664:13 46.91 +2 *664:13 *664:15 4.5 +3 *664:15 *664:16 1075.67 +4 *664:16 *664:21 47.991 +5 *664:21 *2793:serial_data_in 13.2222 +*END + +*D_NET *665 0.121366 +*CONN +*I *2794:serial_data_in I *D gpio_control_block +*I *2793:serial_data_out O *D gpio_control_block +*CAP +1 *2794:serial_data_in 0.000417952 +2 *2793:serial_data_out 0.000424109 +3 *665:22 0.00248323 +4 *665:21 0.00206527 +5 *665:19 0.0106282 +6 *665:18 0.0106282 +7 *665:16 0.011429 +8 *665:15 0.011429 +9 *665:13 0.00566338 +10 *665:12 0.00608749 +11 *2794:serial_data_in *2188:16 7.20173e-06 +12 *2794:serial_data_in *2454:11 8.90486e-05 +13 *2794:serial_data_in *2663:17 0.000159414 +14 *665:12 *2339:8 0 +15 *665:12 *2624:12 0 +16 *665:13 *2821:analog_io[7] 0.0003013 +17 *665:16 *1720:31 0 +18 *2793:serial_clock_out *665:12 1.29472e-05 +19 *2793:serial_data_in *665:12 0.000152305 +20 *2793:serial_load_out *665:12 0 +21 *2794:resetn_out *2794:serial_data_in 0.00222312 +22 *2794:serial_clock *2794:serial_data_in 0.00296242 +23 *2794:serial_clock_out *2794:serial_data_in 1.65872e-05 +24 *2794:serial_load *665:22 2.15398e-05 +25 *104:58 *665:12 0.000194724 +26 *104:58 *665:13 0.00330905 +27 *105:58 *665:22 0 +28 *616:41 *665:19 0.0491572 +29 *620:57 *665:13 3.31745e-05 +30 *621:65 *665:22 0.00146202 +31 *664:21 *665:13 7.92757e-06 +*RES +1 *2793:serial_data_out *665:12 19.0444 +2 *665:12 *665:13 158.231 +3 *665:13 *665:15 4.5 +4 *665:15 *665:16 316.178 +5 *665:16 *665:18 4.5 +6 *665:18 *665:19 513.732 +7 *665:19 *665:21 4.5 +8 *665:21 *665:22 73.6706 +9 *665:22 *2794:serial_data_in 46.3321 +*END + +*D_NET *666 0.0363165 +*CONN +*I *2795:serial_data_in I *D gpio_control_block +*I *2794:serial_data_out O *D gpio_control_block +*CAP +1 *2795:serial_data_in 0.000333356 +2 *2794:serial_data_out 0.000637771 +3 *666:22 0.000942092 +4 *666:17 0.0114661 +5 *666:16 0.011306 +6 *666:13 0.00108645 +7 *2795:serial_data_in *2795:user_gpio_out 0.000101794 +8 *2795:serial_data_in *667:13 0.00231869 +9 *666:13 *2188:16 0 +10 *666:17 *667:17 0.000695889 +11 *666:17 *2037:21 0 +12 *666:17 *2125:23 0 +13 *666:17 *2227:31 0.000709007 +14 *666:17 *2303:23 0.000318426 +15 *666:17 *2379:25 0.000174143 +16 *666:17 *2618:12 0.000128774 +17 *2794:resetn *666:13 1.30651e-05 +18 *2794:serial_load *666:13 0.001832 +19 *2795:serial_clock *2795:serial_data_in 0.0024249 +20 *2795:serial_load *2795:serial_data_in 2.20702e-05 +21 *106:67 *666:22 0.000590384 +22 *106:85 *666:16 0.00111905 +23 *647:13 *2795:serial_data_in 9.65932e-05 +*RES +1 *2794:serial_data_out *666:13 34.7619 +2 *666:13 *666:16 22.9421 +3 *666:16 *666:17 307.419 +4 *666:17 *666:22 29.9336 +5 *666:22 *2795:serial_data_in 35.2533 +*END + +*D_NET *667 0.0493234 +*CONN +*I *2796:serial_data_in I *D gpio_control_block +*I *2795:serial_data_out O *D gpio_control_block +*CAP +1 *2796:serial_data_in 7.53858e-05 +2 *2795:serial_data_out 0.000512963 +3 *667:26 0.0010466 +4 *667:22 0.0015584 +5 *667:17 0.0163897 +6 *667:16 0.0163519 +7 *667:13 0.00106231 +8 *667:13 *2795:user_gpio_out 0.000102215 +9 *667:13 *2626:13 0.000399122 +10 *667:17 *2822:mprj_io_inp_dis[17] 0.00036236 +11 *667:17 *2822:mprj_io_vtrip_sel[17] 3.38808e-05 +12 *667:17 *2304:23 0.00124287 +13 *2795:serial_data_in *667:13 0.00231869 +14 *2795:serial_load *667:13 0.000125129 +15 *2796:resetn *667:26 0.000217335 +16 *2796:serial_load *667:26 0.00361906 +17 *107:13 *667:13 1.65872e-05 +18 *623:16 *667:16 0.00114357 +19 *623:56 *667:22 0.000669578 +20 *647:13 *667:13 0.00137984 +21 *666:17 *667:17 0.000695889 +*RES +1 *2795:serial_data_out *667:13 44.6683 +2 *667:13 *667:16 25.4336 +3 *667:16 *667:17 454.389 +4 *667:17 *667:22 31.5946 +5 *667:22 *667:26 48.8611 +6 *667:26 *2796:serial_data_in 3.58495 +*END + +*D_NET *668 0.0467136 +*CONN +*I *2787:serial_data_in I *D gpio_control_block +*I *2796:serial_data_out O *D gpio_control_block +*CAP +1 *2787:serial_data_in 7.02753e-05 +2 *2796:serial_data_out 0.000520166 +3 *668:29 0.000784215 +4 *668:25 0.0080827 +5 *668:17 0.0131696 +6 *668:16 0.00580079 +7 *668:14 0.000520166 +8 *668:14 *2627:13 0.000701815 +9 *668:17 *2796:user_gpio_oeb 7.92757e-06 +10 *668:17 *2796:user_gpio_out 0.00020476 +11 *668:17 *2592:18 9.16264e-05 +12 *668:17 *2592:20 0.00463093 +13 *668:25 *2822:mprj_io_analog_sel[18] 0 +14 *668:25 *2305:20 0.000361565 +15 *668:25 *2592:18 0.000718715 +16 *668:29 *2787:user_gpio_oeb 0.00179826 +17 *668:29 *2628:11 9.65932e-05 +18 *2787:serial_clock *668:29 0.000330532 +19 *2796:serial_clock *668:14 0.0020725 +20 *2796:serial_load *668:14 0.000205749 +21 *108:13 *668:17 0.00170494 +22 *624:13 *668:17 0.000694254 +23 *624:16 *668:14 4.9073e-05 +24 *624:55 *668:29 0.000353367 +25 *625:13 *668:29 0.00221892 +26 *648:13 *668:14 0.00128008 +27 *649:13 *668:29 0.000244105 +*RES +1 *2796:serial_data_out *668:14 45.7435 +2 *668:14 *668:16 4.5 +3 *668:16 *668:17 218.683 +4 *668:17 *668:25 48.961 +5 *668:25 *668:29 49.3933 +6 *668:29 *2787:serial_data_in 8.38708 +*END + +*D_NET *669 0.0342502 +*CONN +*I *2797:serial_data_in I *D gpio_control_block +*I *2782:serial_data_out O *D gpio_control_block +*CAP +1 *2797:serial_data_in 0.000131461 +2 *2782:serial_data_out 0.000264736 +3 *669:30 0.002326 +4 *669:29 0.00264169 +5 *669:20 0.00103989 +6 *669:19 0.000694197 +7 *669:14 0.00126167 +8 *669:13 0.00142495 +9 *2797:serial_data_in *670:13 5.60014e-05 +10 *669:13 *2706:17 0.00015569 +11 *669:19 *2822:mprj_io_dm[7] 5.04829e-06 +12 *669:20 *1976:20 0.00322582 +13 *669:20 *2280:11 0 +14 *669:29 *2822:mprj_io_ib_mode_sel[2] 0.000464099 +15 *669:29 *2822:mprj_io_vtrip_sel[2] 0 +16 *669:30 *2797:pad_gpio_in 0.000130139 +17 *669:30 *2797:user_gpio_oeb 4.11147e-05 +18 *669:30 *1727:29 4.89469e-06 +19 *669:30 *1976:13 4.3116e-06 +20 *669:30 *2014:13 0.000282456 +21 *669:30 *2052:13 5.35541e-05 +22 *669:30 *2148:13 4.05974e-05 +23 *669:30 *2170:13 0.000124349 +24 *669:30 *2204:13 8.21013e-05 +25 *669:30 *2242:13 1.09128e-05 +26 *669:30 *2318:13 5.88867e-05 +27 *669:30 *2356:13 4.3116e-06 +28 *669:30 *2394:13 6.19102e-05 +29 *669:30 *2432:13 9.20603e-05 +30 *669:30 *2470:13 5.35541e-05 +31 *669:30 *2641:10 2.87136e-06 +32 *2782:serial_clock_out *669:13 0.000140404 +33 *2782:serial_data_in *669:13 3.84497e-05 +34 *2782:serial_load *669:13 6.47508e-05 +35 *2797:resetn *669:30 1.38068e-05 +36 *2797:serial_clock *669:30 0.000122352 +37 *2797:serial_clock_out *2797:serial_data_in 6.87017e-05 +38 *2797:serial_clock_out *669:30 5.07836e-05 +39 *2797:serial_load *2797:serial_data_in 0 +40 *110:58 *669:20 0.000210429 +41 *626:56 *669:30 0.00184632 +42 *626:65 *669:29 6.81162e-05 +43 *626:66 *669:20 0.00358688 +44 *626:72 *669:14 0.00606568 +45 *650:56 *669:14 0.00708716 +46 *650:56 *669:20 0.00014709 +*RES +1 *2782:serial_data_out *669:13 21.7273 +2 *669:13 *669:14 113.535 +3 *669:14 *669:19 10.8326 +4 *669:19 *669:20 60.3826 +5 *669:20 *669:29 23.0098 +6 *669:29 *669:30 92.1493 +7 *669:30 *2797:serial_data_in 3.81442 +*END + +*D_NET *670 0.0296488 +*CONN +*I *2798:serial_data_in I *D gpio_control_block +*I *2797:serial_data_out O *D gpio_control_block +*CAP +1 *2798:serial_data_in 0.00062748 +2 *2797:serial_data_out 0.000578828 +3 *670:14 0.00636267 +4 *670:13 0.00631402 +5 *2798:serial_data_in *671:13 3.84497e-05 +6 *670:13 *2797:user_gpio_oeb 8.85385e-05 +7 *670:13 *2797:user_gpio_out 0.000763089 +8 *670:14 *2061:16 0 +9 *670:14 *2084:14 0.000136165 +10 *670:14 *2213:16 0.00471338 +11 *670:14 *2289:11 0.00112293 +12 *670:14 *2289:15 0 +13 *670:14 *2403:14 0.000322117 +14 *670:14 *2479:14 0 +15 *2797:serial_data_in *670:13 5.60014e-05 +16 *2797:serial_load *670:13 0.000170013 +17 *2798:resetn_out *2798:serial_data_in 0.000181643 +18 *2798:serial_clock *2798:serial_data_in 0 +19 *2798:serial_clock_out *2798:serial_data_in 5.81968e-05 +20 *111:30 *2798:serial_data_in 0 +21 *111:36 *670:14 1.2693e-05 +22 *627:28 *2798:serial_data_in 0.000117848 +23 *627:34 *670:14 0.00750816 +24 *627:40 *670:13 0.000476538 +*RES +1 *2797:serial_data_out *670:13 33.5074 +2 *670:13 *670:14 253.047 +3 *670:14 *2798:serial_data_in 29.8492 +*END + +*D_NET *671 0.0263956 +*CONN +*I *2799:serial_data_in I *D gpio_control_block +*I *2798:serial_data_out O *D gpio_control_block +*CAP +1 *2799:serial_data_in 0.000296688 +2 *2798:serial_data_out 0.000386265 +3 *671:14 0.00857222 +4 *671:13 0.00866179 +5 *2799:serial_data_in *672:13 3.84497e-05 +6 *671:13 *2726:17 0.000751257 +7 *671:14 *2822:mprj_io_analog_en[4] 0 +8 *671:14 *2822:mprj_io_analog_pol[4] 0 +9 *671:14 *2822:mprj_io_analog_sel[4] 0 +10 *671:14 *2822:mprj_io_dm[12] 0 +11 *671:14 *2822:mprj_io_dm[13] 0 +12 *671:14 *2822:mprj_io_dm[14] 0 +13 *671:14 *2822:mprj_io_ib_mode_sel[4] 0 +14 *671:14 *2822:mprj_io_inp_dis[4] 0 +15 *671:14 *2822:mprj_io_out[4] 0 +16 *671:14 *2822:mprj_io_slow_sel[4] 0 +17 *671:14 *2822:mprj_io_vtrip_sel[4] 0 +18 *671:14 *2024:16 0 +19 *671:14 *2062:14 8.53927e-05 +20 *671:14 *2085:16 0 +21 *671:14 *2087:14 0.00504691 +22 *671:14 *2252:18 0 +23 *671:14 *2290:10 0 +24 *671:14 *2404:14 0.000250698 +25 *671:14 *2480:14 0 +26 *2798:serial_data_in *671:13 3.84497e-05 +27 *2798:serial_load *671:13 9.12075e-05 +28 *2798:serial_load_out *671:13 0.000200597 +29 *2799:serial_clock_out *2799:serial_data_in 0.000378692 +30 *654:43 *671:14 0.00159696 +*RES +1 *2798:serial_data_out *671:13 27.1256 +2 *671:13 *671:14 264.687 +3 *671:14 *2799:serial_data_in 22.1426 +*END + +*D_NET *672 0.0387106 +*CONN +*I *2800:serial_data_in I *D gpio_control_block +*I *2799:serial_data_out O *D gpio_control_block +*CAP +1 *2800:serial_data_in 0.000458772 +2 *2799:serial_data_out 0.000624082 +3 *672:14 0.00489493 +4 *672:13 0.00506024 +5 *2800:serial_data_in *2800:user_gpio_out 8.11463e-06 +6 *2800:serial_data_in *673:7 3.84497e-05 +7 *2800:serial_data_in *2652:11 2.18041e-06 +8 *2800:serial_data_in *2690:19 1.41291e-05 +9 *2800:serial_data_in *2728:17 0.00141562 +10 *672:13 *2689:17 0.000101235 +11 *672:14 *1739:20 0 +12 *672:14 *1987:14 0 +13 *672:14 *2025:14 0.000114237 +14 *672:14 *2088:14 9.81824e-05 +15 *672:14 *2329:14 0.00669946 +16 *672:14 *2481:14 0 +17 *2799:serial_data_in *672:13 3.84497e-05 +18 *2799:serial_load *672:13 3.84497e-05 +19 *2800:resetn *672:14 0.000472372 +20 *2800:serial_clock *672:14 0.000148843 +21 *2800:serial_clock_out *2800:serial_data_in 4.31122e-05 +22 *2800:serial_load *672:14 9.35753e-06 +23 *114:48 *2800:serial_data_in 4.3116e-06 +24 *116:49 *672:14 0.00248323 +25 *653:60 *672:14 0.0159428 +*RES +1 *2799:serial_data_out *672:13 29.2159 +2 *672:13 *672:14 271.746 +3 *672:14 *2800:serial_data_in 29.6311 +*END + +*D_NET *673 0.034438 +*CONN +*I *2801:serial_data_in I *D gpio_control_block +*I *2800:serial_data_out O *D gpio_control_block +*CAP +1 *2801:serial_data_in 0.000156725 +2 *2800:serial_data_out 0.000135375 +3 *673:20 0.00176906 +4 *673:19 0.00185465 +5 *673:14 0.00232655 +6 *673:13 0.0022766 +7 *673:8 0.00206057 +8 *673:7 0.00200358 +9 *2801:serial_data_in *674:9 1.36908e-05 +10 *673:14 *1988:14 0 +11 *673:14 *2092:14 0 +12 *673:14 *2406:14 0.00281501 +13 *673:14 *2482:14 0.00211687 +14 *673:20 *2254:8 0 +15 *673:20 *2368:8 7.77309e-06 +16 *2800:serial_clock_out *673:7 0 +17 *2800:serial_data_in *673:7 3.84497e-05 +18 *2800:serial_load *673:7 8.15092e-05 +19 *2800:serial_load *673:8 1.66771e-05 +20 *2801:serial_clock_out *2801:serial_data_in 5.76421e-05 +21 *2801:serial_load *2801:serial_data_in 0 +22 *2801:serial_load_out *2801:serial_data_in 0 +23 *114:45 *673:7 0 +24 *114:48 *673:8 0.00536542 +25 *114:53 *673:13 0.000536581 +26 *114:54 *673:14 1.87269e-05 +27 *114:59 *673:19 0.00050655 +28 *114:60 *673:20 0.00375711 +29 *115:67 *2801:serial_data_in 3.11219e-05 +30 *115:70 *673:20 7.15968e-05 +31 *630:35 *673:8 0 +32 *630:47 *673:20 0 +33 *654:51 *673:8 0 +34 *654:53 *673:14 0.00468227 +35 *654:59 *673:14 0.000364639 +36 *655:45 *673:14 0.00132628 +37 *655:51 *673:20 4.69341e-05 +*RES +1 *2800:serial_data_out *673:7 3.89091 +2 *673:7 *673:8 85.9206 +3 *673:8 *673:13 15.824 +4 *673:13 *673:14 121.009 +5 *673:14 *673:19 15.824 +6 *673:19 *673:20 66.819 +7 *673:20 *2801:serial_data_in 3.89091 +*END + +*D_NET *674 0.0449856 +*CONN +*I *2802:serial_data_in I *D gpio_control_block +*I *2801:serial_data_out O *D gpio_control_block +*CAP +1 *2802:serial_data_in 0.000103489 +2 *2801:serial_data_out 0.000128631 +3 *674:10 0.0135655 +4 *674:9 0.0135906 +5 *2802:serial_data_in *675:15 2.97005e-05 +6 *674:9 *2801:user_gpio_oeb 0 +7 *674:10 *2801:user_gpio_oeb 2.01653e-05 +8 *674:10 *2801:user_gpio_out 0.000124349 +9 *674:10 *2802:mgmt_gpio_out 0.000465214 +10 *674:10 *2802:pad_gpio_in 6.7566e-05 +11 *674:10 *1989:13 0.000242125 +12 *674:10 *2096:13 0.000565196 +13 *674:10 *2331:13 0.000661617 +14 *674:10 *2445:11 6.34306e-05 +15 *674:10 *2653:10 4.15201e-05 +16 *2801:serial_data_in *674:9 1.36908e-05 +17 *2801:serial_load *674:9 0.000105333 +18 *2801:serial_load *674:10 5.81291e-05 +19 *2802:serial_clock_out *2802:serial_data_in 2.97005e-05 +20 *2802:serial_load *674:10 0.00018228 +21 *655:51 *674:10 0.0149273 +*RES +1 *2801:serial_data_out *674:9 3.78449 +2 *674:9 *674:10 535.016 +3 *674:10 *2802:serial_data_in 4.31775 +*END + +*D_NET *675 0.0217012 +*CONN +*I *2786:serial_data_in I *D gpio_control_block +*I *2802:serial_data_out O *D gpio_control_block +*CAP +1 *2786:serial_data_in 8.4223e-05 +2 *2802:serial_data_out 0.000648879 +3 *675:16 0.00918343 +4 *675:15 0.00974808 +5 *675:15 *2445:11 0 +6 *675:16 *2822:mprj_io_analog_sel[7] 0.00177224 +7 *2802:serial_data_in *675:15 2.97005e-05 +8 *2802:serial_load *675:15 8.81049e-05 +9 *2802:serial_load_out *675:15 0.000146558 +*RES +1 *2802:serial_data_out *675:15 29.2507 +2 *675:15 *675:16 257.835 +3 *675:16 *2786:serial_data_in 3.65147 +*END + +*D_NET *676 0.0261049 +*CONN +*I *2788:serial_data_in I *D gpio_control_block +*I *2786:serial_data_out O *D gpio_control_block +*CAP +1 *2788:serial_data_in 0.000435941 +2 *2786:serial_data_out 0.000173592 +3 *676:20 0.0032917 +4 *676:19 0.0029756 +5 *676:14 0.00309297 +6 *676:13 0.00321808 +7 *676:8 0.002623 +8 *676:7 0.00255165 +9 *2788:serial_data_in *677:13 2.72062e-05 +10 *2788:serial_data_in *2103:8 0 +11 *676:8 *2786:user_gpio_oeb 1.28704e-05 +12 *676:8 *2786:user_gpio_out 0 +13 *676:8 *2255:8 0.00123884 +14 *676:14 *2822:mprj_io_dm[23] 0 +15 *676:14 *2822:mprj_io_holdover[7] 0 +16 *676:14 *2822:mprj_io_ib_mode_sel[7] 0 +17 *676:14 *2822:mprj_io_oeb[7] 0 +18 *676:14 *2822:mprj_io_out[7] 0 +19 *676:14 *2822:mprj_io_slow_sel[7] 0 +20 *676:14 *2822:mprj_io_vtrip_sel[7] 0 +21 *676:14 *2100:10 0.000730859 +22 *676:14 *2445:14 0.00120524 +23 *676:14 *2446:14 0.00297358 +24 *676:14 *2590:9 0 +25 *676:20 *1990:14 0 +26 *676:20 *2028:14 0 +27 *676:20 *2101:13 0 +28 *676:20 *2102:13 0 +29 *676:20 *2103:8 0 +30 *676:20 *2370:10 0.000565151 +31 *676:20 *2446:14 0 +32 *2786:serial_clock_out *676:7 0 +33 *2786:serial_load *676:7 4.4596e-05 +34 *2786:serial_load *676:8 7.24334e-05 +35 *2786:serial_load_out *676:8 0 +36 *2788:resetn_out *2788:serial_data_in 0.000196754 +37 *2788:serial_clock *2788:serial_data_in 7.6158e-05 +38 *2788:serial_clock_out *2788:serial_data_in 0.000130362 +39 *633:61 *2788:serial_data_in 0.000468302 +*RES +1 *2786:serial_data_out *676:7 3.81442 +2 *676:7 *676:8 67.6495 +3 *676:8 *676:13 15.2694 +4 *676:13 *676:14 118.103 +5 *676:14 *676:19 11.9418 +6 *676:19 *676:20 78.2384 +7 *676:20 *2788:serial_data_in 26.4288 +*END + +*D_NET *677 0.0407127 +*CONN +*I *2789:serial_data_in I *D gpio_control_block +*I *2788:serial_data_out O *D gpio_control_block +*CAP +1 *2789:serial_data_in 0.000567536 +2 *2788:serial_data_out 0.000947288 +3 *677:14 0.00323236 +4 *677:13 0.00361211 +5 *2789:serial_data_in *2103:30 3.93117e-06 +6 *2789:serial_data_in *2620:10 1.5714e-05 +7 *2789:serial_data_in *2620:11 0.00158427 +8 *2789:serial_data_in *2658:17 0.000344678 +9 *677:13 *2103:8 0 +10 *677:14 *2105:16 0.000323024 +11 *2788:serial_data_in *677:13 2.72062e-05 +12 *2788:serial_load_out *677:13 0 +13 *2789:serial_clock *2789:serial_data_in 0 +14 *101:54 *677:14 0.00186465 +15 *101:58 *677:14 0.011678 +16 *641:57 *677:14 0.000478785 +17 *658:78 *677:14 0.0159949 +18 *661:13 *2789:serial_data_in 3.81732e-05 +*RES +1 *2788:serial_data_out *677:13 31.4343 +2 *677:13 *677:14 274.237 +3 *677:14 *2789:serial_data_in 30.9562 +*END + +*D_NET *678 0.0190891 +*CONN +*I *2781:serial_data_in I *D gpio_control_block +*I *2819:serial_data_1 O *D housekeeping +*CAP +1 *2781:serial_data_in 0.000719718 +2 *2819:serial_data_1 0.00139625 +3 *678:8 0.00440463 +4 *678:7 0.00508117 +5 *678:8 *1705:14 0.0015577 +6 *678:8 *1727:20 0 +7 *678:8 *1736:20 0.000365823 +8 *678:8 *1743:8 0 +9 *678:8 *1749:8 0.00499993 +10 *2781:serial_clock *2781:serial_data_in 0 +11 *2781:serial_clock_out *2781:serial_data_in 0.000554307 +12 *2781:serial_load *2781:serial_data_in 0 +13 *659:8 *678:8 0 +14 *660:15 *2781:serial_data_in 9.52305e-06 +*RES +1 *2819:serial_data_1 *678:7 8.48033 +2 *678:7 *678:8 152.776 +3 *678:8 *2781:serial_data_in 34.7619 +*END + +*D_NET *679 0.0338136 +*CONN +*I *2818:serial_data_in I *D gpio_control_block +*I *2804:serial_data_out O *D gpio_control_block +*CAP +1 *2818:serial_data_in 0.000387575 +2 *2804:serial_data_out 0.000702921 +3 *679:10 0.00486549 +4 *679:9 0.00518084 +5 *2818:serial_data_in *696:7 0.000326409 +6 *679:9 *2804:serial_data_in 0 +7 *679:10 *2050:41 0.00246267 +8 *679:10 *2166:8 0.000276864 +9 *679:10 *2202:8 0 +10 *679:10 *2354:14 0.00842275 +11 *679:10 *2468:10 0.000346771 +12 *679:10 *2468:12 0.00420874 +13 *2804:resetn *679:10 2.86353e-06 +14 *2804:serial_load *679:9 1.29018e-05 +15 *118:8 *2818:serial_data_in 0.000213486 +16 *617:11 *679:9 2.9304e-05 +17 *618:34 *679:10 0.00255121 +18 *634:73 *679:10 0 +19 *634:74 *679:10 0.00368584 +20 *658:36 *679:10 0.000136977 +*RES +1 *2804:serial_data_out *679:9 5.41074 +2 *679:9 *679:10 260.119 +3 *679:10 *2818:serial_data_in 5.19125 +*END + +*D_NET *680 0.0309825 +*CONN +*I *2804:serial_data_in I *D gpio_control_block +*I *2805:serial_data_out O *D gpio_control_block +*CAP +1 *2804:serial_data_in 0.000824694 +2 *2805:serial_data_out 0.000593282 +3 *680:8 0.00685778 +4 *680:7 0.00662636 +5 *680:7 *2805:serial_data_in 0.000249643 +6 *680:7 *2805:user_gpio_oeb 6.69704e-05 +7 *680:7 *2642:9 0 +8 *680:8 *2051:10 0.00244918 +9 *680:8 *2169:16 6.68049e-05 +10 *680:8 *2355:10 0 +11 *680:8 *2431:10 0 +12 *680:8 *2469:16 0 +13 *680:8 *2604:13 0.00177467 +14 *2804:resetn *2804:serial_data_in 0 +15 *2804:resetn *680:8 0 +16 *2804:serial_clock *2804:serial_data_in 1.29018e-05 +17 *2805:serial_load *680:7 9.52305e-06 +18 *101:22 *680:8 0.00903642 +19 *102:49 *680:8 0.0024143 +20 *617:70 *680:8 0 +21 *618:5 *680:7 0 +22 *618:8 *680:8 0 +23 *618:33 *680:7 0 +24 *641:10 *2804:serial_data_in 0 +25 *679:9 *2804:serial_data_in 0 +*RES +1 *2805:serial_data_out *680:7 5.65019 +2 *680:7 *680:8 260.119 +3 *680:8 *2804:serial_data_in 5.86968 +*END + +*D_NET *681 0.0311929 +*CONN +*I *2805:serial_data_in I *D gpio_control_block +*I *2806:serial_data_out O *D gpio_control_block +*CAP +1 *2805:serial_data_in 0.000228446 +2 *2806:serial_data_out 0.00029598 +3 *681:8 0.00504794 +4 *681:7 0.00511547 +5 *2805:serial_data_in *2805:user_gpio_oeb 7.7053e-05 +6 *681:7 *2806:serial_data_in 0.000256425 +7 *681:8 *2805:user_gpio_oeb 3.04458e-05 +8 *681:8 *1977:17 0.00180374 +9 *681:8 *2171:8 0.00559271 +10 *681:8 *2173:13 0.00027952 +11 *681:8 *2243:8 0.000146685 +12 *681:8 *2319:8 0.00443596 +13 *681:8 *2319:16 0.000231356 +14 *681:8 *2357:19 0.000116513 +15 *681:8 *2433:8 0.000222857 +16 *102:7 *2805:serial_data_in 0.000207109 +17 *102:26 *681:8 0.00265741 +18 *106:37 *681:8 0.00204132 +19 *618:8 *681:8 0.00215629 +20 *619:7 *681:7 0 +21 *642:8 *681:8 0 +22 *680:7 *2805:serial_data_in 0.000249643 +*RES +1 *2806:serial_data_out *681:7 4.73231 +2 *681:7 *681:8 261.78 +3 *681:8 *2805:serial_data_in 4.73231 +*END + +*D_NET *682 0.106006 +*CONN +*I *2806:serial_data_in I *D gpio_control_block +*I *2807:serial_data_out O *D gpio_control_block +*CAP +1 *2806:serial_data_in 0.000493434 +2 *2807:serial_data_out 0.000333782 +3 *682:8 0.0167901 +4 *682:7 0.0166304 +5 *682:7 *2807:serial_data_in 0.0003748 +6 *682:8 *2016:16 0.000688531 +7 *682:8 *2054:16 0.00086048 +8 *682:8 *2174:16 0.000545415 +9 *682:8 *2244:8 0.0012353 +10 *682:8 *2320:8 0.00107933 +11 *682:8 *2472:8 0.00139111 +12 *682:8 *2606:13 0.000146578 +13 *2807:serial_load *682:7 0.000208248 +14 *106:37 *682:8 0 +15 *619:7 *2806:serial_data_in 0 +16 *619:8 *682:8 0.0537618 +17 *619:11 *682:7 0.000159793 +18 *619:20 *682:8 0 +19 *643:13 *2806:serial_data_in 0 +20 *643:14 *682:8 0.0110501 +21 *681:7 *2806:serial_data_in 0.000256425 +*RES +1 *2807:serial_data_out *682:7 2.39032 +2 *682:7 *682:8 106.925 +3 *682:8 *2806:serial_data_in 2.39032 +*END + +*D_NET *683 0.0268672 +*CONN +*I *2807:serial_data_in I *D gpio_control_block +*I *2808:serial_data_out O *D gpio_control_block +*CAP +1 *2807:serial_data_in 0.000510373 +2 *2808:serial_data_out 0.000441012 +3 *683:8 0.00762525 +4 *683:7 0.00755589 +5 *683:7 *2808:serial_data_in 0.000304747 +6 *683:8 *2245:8 0.00938187 +7 *683:8 *2359:8 0.000296518 +8 *683:8 *2359:14 0 +9 *683:8 *2435:8 0 +10 *2808:serial_load *683:7 0.000204245 +11 *104:20 *683:8 0 +12 *619:11 *2807:serial_data_in 0 +13 *621:7 *683:7 4.26518e-06 +14 *621:29 *683:7 8.74882e-05 +15 *643:14 *683:8 8.07542e-05 +16 *644:21 *2807:serial_data_in 0 +17 *682:7 *2807:serial_data_in 0.0003748 +*RES +1 *2808:serial_data_out *683:7 5.5737 +2 *683:7 *683:8 261.78 +3 *683:8 *2807:serial_data_in 5.5737 +*END + +*D_NET *684 0.0329342 +*CONN +*I *2808:serial_data_in I *D gpio_control_block +*I *2809:serial_data_out O *D gpio_control_block +*CAP +1 *2808:serial_data_in 0.000369412 +2 *2809:serial_data_out 0.000793409 +3 *684:16 0.00545569 +4 *684:15 0.00587969 +5 *684:15 *2809:serial_data_in 3.95327e-06 +6 *684:16 *2070:8 0.00757453 +7 *684:16 *2208:8 0.000177375 +8 *684:16 *2246:8 9.66387e-05 +9 *684:16 *2474:8 0.00999716 +10 *105:8 *2808:serial_data_in 0.00023046 +11 *105:16 *684:16 0.000390057 +12 *622:13 *684:15 7.10615e-06 +13 *622:28 *684:16 0 +14 *645:15 *684:16 0.00165394 +15 *683:7 *2808:serial_data_in 0.000304747 +*RES +1 *2809:serial_data_out *684:15 31.9876 +2 *684:15 *684:16 253.267 +3 *684:16 *2808:serial_data_in 5.11476 +*END + +*D_NET *685 0.0309026 +*CONN +*I *2809:serial_data_in I *D gpio_control_block +*I *2783:serial_data_out O *D gpio_control_block +*CAP +1 *2809:serial_data_in 0.000686026 +2 *2783:serial_data_out 0.000839581 +3 *685:14 0.00561148 +4 *685:13 0.00548158 +5 *685:10 0.00139571 +6 *685:10 *2783:serial_data_in 4.80336e-05 +7 *685:10 *2783:user_gpio_oeb 1.66626e-05 +8 *685:10 *2647:17 0.000454662 +9 *685:14 *2071:8 0 +10 *2783:resetn *685:10 0.00166032 +11 *2783:serial_clock *685:10 0.000199471 +12 *2783:serial_clock_out *685:10 0 +13 *2783:serial_load *685:10 5.76298e-05 +14 *106:13 *685:10 0.000270418 +15 *622:13 *2809:serial_data_in 0 +16 *622:14 *685:14 0.0141771 +17 *646:8 *2809:serial_data_in 0 +18 *684:15 *2809:serial_data_in 3.95327e-06 +*RES +1 *2783:serial_data_out *685:10 43.4977 +2 *685:10 *685:13 19.6431 +3 *685:13 *685:14 226.691 +4 *685:14 *2809:serial_data_in 5.80317 +*END + +*D_NET *686 0.019247 +*CONN +*I *2783:serial_data_in I *D gpio_control_block +*I *2784:serial_data_out O *D gpio_control_block +*CAP +1 *2783:serial_data_in 0.00955829 +2 *2784:serial_data_out 0.00955829 +3 *2783:serial_clock_out *2783:serial_data_in 4.80336e-05 +4 *2784:serial_load *2783:serial_data_in 3.43715e-05 +5 *685:10 *2783:serial_data_in 4.80336e-05 +*RES +1 *2784:serial_data_out *2783:serial_data_in 38.6828 +*END + +*D_NET *687 0.0328035 +*CONN +*I *2784:serial_data_in I *D gpio_control_block +*I *2785:serial_data_out O *D gpio_control_block +*CAP +1 *2784:serial_data_in 0.000585108 +2 *2785:serial_data_out 0.000549309 +3 *687:16 0.00594271 +4 *687:15 0.00590691 +5 *687:15 *2785:serial_data_in 9.52305e-06 +6 *687:16 *1745:13 0.00235152 +7 *687:16 *2080:15 0.00179741 +8 *687:16 *2080:16 0.00578427 +9 *687:16 *2211:13 0.00196521 +10 *687:16 *2211:14 5.78792e-05 +11 *687:16 *2249:8 0 +12 *687:16 *2325:10 0.000201576 +13 *687:16 *2439:8 0.000444707 +14 *687:16 *2477:8 0 +15 *687:16 *2477:16 0.00689408 +16 *2784:serial_clock *2784:serial_data_in 0 +17 *2784:serial_load_out *2784:serial_data_in 0 +18 *2785:serial_load *2784:serial_data_in 0 +19 *2785:serial_load *687:15 2.12195e-05 +20 *2785:serial_load_out *687:15 0.000292117 +*RES +1 *2785:serial_data_out *687:15 28.5263 +2 *687:15 *687:16 256.174 +3 *687:16 *2784:serial_data_in 5.03827 +*END + +*D_NET *688 0.0434077 +*CONN +*I *2803:serial_data_in I *D gpio_control_block +*I *2810:serial_data_out O *D gpio_control_block +*CAP +1 *2803:serial_data_in 0.000320964 +2 *2810:serial_data_out 0.000109298 +3 *688:23 0.00237294 +4 *688:22 0.00899173 +5 *688:14 0.00739304 +6 *688:13 0.00087246 +7 *688:10 0.000528466 +8 *2803:serial_data_in *2344:16 7.14746e-05 +9 *2803:serial_data_in *2458:13 0.000437088 +10 *2803:serial_data_in *2458:16 8.62321e-06 +11 *2803:serial_data_in *2629:19 7.09666e-06 +12 *688:13 *1717:40 0.00420324 +13 *688:13 *2631:19 0.000374039 +14 *688:14 *2631:20 0.00300462 +15 *688:14 *2707:11 0.00295958 +16 *688:22 *2420:17 0 +17 *688:23 *2344:13 0.000250024 +18 *688:23 *2420:11 0.00659728 +19 *2803:resetn *2803:serial_data_in 0.00021242 +20 *2803:serial_load *2803:serial_data_in 0.000489918 +21 *100:23 *688:13 0.000581034 +22 *640:13 *688:23 0.00165828 +23 *650:13 *688:13 0.00196404 +*RES +1 *2810:serial_data_out *688:10 8.46357 +2 *688:10 *688:13 48.4825 +3 *688:13 *688:14 48.9631 +4 *688:14 *688:22 47.5077 +5 *688:22 *688:23 96.1152 +6 *688:23 *2803:serial_data_in 30.6165 +*END + +*D_NET *689 0.0290847 +*CONN +*I *2810:serial_data_in I *D gpio_control_block +*I *2811:serial_data_out O *D gpio_control_block +*CAP +1 *2810:serial_data_in 0.00010201 +2 *2811:serial_data_out 0.00108467 +3 *689:23 0.00300596 +4 *689:22 0.00290395 +5 *689:20 0.00138364 +6 *689:19 0.00138364 +7 *689:17 0.00704677 +8 *689:11 0.00813144 +9 *689:11 *2632:13 0.000573106 +10 *689:17 *2822:mprj_io_holdover[20] 0 +11 *689:17 *2632:14 0.000376198 +12 *689:20 *2822:mprj_io_out[20] 0.000460161 +13 *689:20 *1966:16 0.000425555 +14 *689:20 *2422:16 1.42919e-05 +15 *689:23 *2810:mgmt_gpio_in 0.000123387 +16 *689:23 *1717:40 0 +17 *110:13 *2810:serial_data_in 3.52699e-05 +18 *110:13 *689:23 5.04829e-06 +19 *111:13 *689:11 4.0752e-05 +20 *640:74 *689:23 0.000702348 +21 *651:13 *689:11 0.00128653 +*RES +1 *2811:serial_data_out *689:11 46.9 +2 *689:11 *689:17 46.6741 +3 *689:17 *689:19 3.36879 +4 *689:19 *689:20 46.8869 +5 *689:20 *689:22 4.5 +6 *689:22 *689:23 85.0231 +7 *689:23 *2810:serial_data_in 8.46357 +*END + +*D_NET *690 0.0346915 +*CONN +*I *2811:serial_data_in I *D gpio_control_block +*I *2812:serial_data_out O *D gpio_control_block +*CAP +1 *2811:serial_data_in 0.000126198 +2 *2812:serial_data_out 0.000699834 +3 *690:23 0.0022518 +4 *690:22 0.0021256 +5 *690:20 0.00112242 +6 *690:19 0.00832845 +7 *690:13 0.00790586 +8 *690:13 *2348:13 0.000749011 +9 *690:19 *2822:mprj_io_inp_dis[21] 0.00491246 +10 *690:19 *2822:mprj_io_slow_sel[21] 0 +11 *690:19 *2633:12 0 +12 *690:20 *2347:14 0 +13 *690:20 *2385:14 0.00293683 +14 *690:20 *2461:14 7.26852e-05 +15 *690:23 *1718:40 0.00203089 +16 *690:23 *2347:11 0 +17 *690:23 *2385:11 0 +18 *690:23 *2461:11 0.000449363 +19 *110:23 *690:23 9.90116e-05 +20 *112:14 *690:13 0.000851028 +21 *652:13 *690:13 2.99978e-05 +*RES +1 *2812:serial_data_out *690:13 36.3492 +2 *690:13 *690:19 48.8211 +3 *690:19 *690:20 49.7936 +4 *690:20 *690:22 4.5 +5 *690:22 *690:23 82.2501 +6 *690:23 *2811:serial_data_in 8.46357 +*END + +*D_NET *691 0.0431605 +*CONN +*I *2812:serial_data_in I *D gpio_control_block +*I *2813:serial_data_out O *D gpio_control_block +*CAP +1 *2812:serial_data_in 0.000111345 +2 *2813:serial_data_out 0.000510875 +3 *691:23 0.000755592 +4 *691:22 0.000644247 +5 *691:20 0.000676527 +6 *691:19 0.00815818 +7 *691:14 0.00875592 +8 *691:13 0.00178514 +9 *691:13 *2813:serial_data_in 0.000422177 +10 *691:19 *2822:mprj_io_slow_sel[22] 0 +11 *691:20 *2144:14 0.00140801 +12 *691:20 *2146:14 0.00302733 +13 *691:20 *2196:14 5.66868e-06 +14 *691:20 *2671:11 0 +15 *691:23 *1719:40 0.000756785 +16 *691:23 *1968:19 0.000134886 +17 *691:23 *2196:11 0.00467476 +18 *691:23 *2671:14 0.00754901 +19 *112:23 *691:13 4.99739e-05 +20 *113:19 *691:13 0.00118033 +21 *113:20 *691:14 0.00142966 +22 *629:19 *691:13 0.00069815 +23 *652:25 *691:13 0.00021369 +24 *653:17 *691:13 0.000212268 +*RES +1 *2813:serial_data_out *691:13 38.6441 +2 *691:13 *691:14 48.1326 +3 *691:14 *691:19 34.4769 +4 *691:19 *691:20 49.7936 +5 *691:20 *691:22 4.5 +6 *691:22 *691:23 78.9225 +7 *691:23 *2812:serial_data_in 8.46357 +*END + +*D_NET *692 0.108704 +*CONN +*I *2813:serial_data_in I *D gpio_control_block +*I *2814:serial_data_out O *D gpio_control_block +*CAP +1 *2813:serial_data_in 0.000306669 +2 *2814:serial_data_out 6.45171e-05 +3 *692:20 0.0020163 +4 *692:19 0.00170963 +5 *692:17 0.00599902 +6 *692:16 0.00599902 +7 *692:14 0.00346243 +8 *692:13 0.00346243 +9 *692:11 0.00248815 +10 *692:10 0.00248815 +11 *692:8 0.000745278 +12 *692:7 0.000809795 +13 *692:7 *2814:serial_data_in 5.36925e-05 +14 *692:8 *2426:8 0 +15 *692:11 *2426:13 0.00106699 +16 *2813:serial_clock *2813:serial_data_in 0.000397296 +17 *2813:serial_load *2813:serial_data_in 0.000963687 +18 *2814:serial_clock *692:7 0 +19 *2814:serial_load *692:7 5.83673e-05 +20 *105:37 *692:14 0.0126549 +21 *108:23 *692:17 0.0277147 +22 *108:26 *692:14 0 +23 *112:23 *2813:serial_data_in 1.18914e-05 +24 *113:19 *2813:serial_data_in 6.66147e-05 +25 *113:53 *692:11 0.000506595 +26 *113:54 *692:8 0.00169099 +27 *629:19 *2813:serial_data_in 0.000706559 +28 *629:26 *692:14 0.00059791 +29 *629:29 *692:11 0.0117316 +30 *629:32 *692:8 0.00340272 +31 *630:7 *692:7 0 +32 *631:30 *692:14 0.0123116 +33 *645:37 *692:14 5.66868e-06 +34 *649:55 *692:11 0 +35 *653:18 *692:20 0.00478883 +36 *691:13 *2813:serial_data_in 0.000422177 +*RES +1 *2814:serial_data_out *692:7 3.73793 +2 *692:7 *692:8 57.2682 +3 *692:8 *692:10 4.5 +4 *692:10 *692:11 124.4 +5 *692:11 *692:13 4.5 +6 *692:13 *692:14 253.89 +7 *692:14 *692:16 4.5 +8 *692:16 *692:17 289.672 +9 *692:17 *692:19 4.5 +10 *692:19 *692:20 76.9926 +11 *692:20 *2813:serial_data_in 33.0216 +*END + +*D_NET *693 0.0805045 +*CONN +*I *2814:serial_data_in I *D gpio_control_block +*I *2815:serial_data_out O *D gpio_control_block +*CAP +1 *2814:serial_data_in 0.00110635 +2 *2815:serial_data_out 1.65112e-05 +3 *693:13 0.00127722 +4 *693:8 0.0160926 +5 *693:7 0.0159382 +6 *2814:serial_data_in *2426:8 0 +7 *2814:serial_clock *2814:serial_data_in 0 +8 *2815:serial_clock *693:8 0.000531644 +9 *113:54 *2814:serial_data_in 0.000101851 +10 *114:11 *693:8 0.0433214 +11 *653:42 *2814:serial_data_in 0 +12 *654:10 *2814:serial_data_in 0.00206503 +13 *654:10 *693:8 0 +14 *692:7 *2814:serial_data_in 5.36925e-05 +*RES +1 *2815:serial_data_out *693:7 3.43197 +2 *693:7 *693:8 724.163 +3 *693:8 *693:13 13.051 +4 *693:13 *2814:serial_data_in 45.5653 +*END + +*D_NET *694 0.0317547 +*CONN +*I *2815:serial_data_in I *D gpio_control_block +*I *2816:serial_data_out O *D gpio_control_block +*CAP +1 *2815:serial_data_in 0.000421101 +2 *2816:serial_data_out 0.000586609 +3 *694:20 0.00259509 +4 *694:19 0.0034701 +5 *694:8 0.00428602 +6 *694:7 0.00357652 +7 *694:7 *2816:serial_data_in 8.42027e-05 +8 *694:8 *2155:8 4.31485e-06 +9 *694:8 *2237:22 9.35819e-05 +10 *694:8 *2351:30 0.000680517 +11 *694:8 *2465:20 0.00410122 +12 *694:19 *2822:mprj_io_analog_en[25] 0.000110968 +13 *694:19 *2154:14 0.000142034 +14 *694:19 *2156:8 0.000150388 +15 *694:19 *2156:23 6.55666e-06 +16 *694:19 *2199:8 0.000125376 +17 *694:19 *2313:8 0.000125376 +18 *694:19 *2351:29 0.000551692 +19 *694:19 *2389:19 3.8226e-05 +20 *694:19 *2427:8 0.000136754 +21 *694:20 *2822:mprj_io_analog_en[25] 0.000271637 +22 *694:20 *2351:16 0.0021964 +23 *694:20 *2351:29 0.000687142 +24 *2815:serial_clock *2815:serial_data_in 0.000313913 +25 *2815:serial_load *2815:serial_data_in 0 +26 *2816:serial_clock *694:7 0 +27 *106:43 *694:19 0.000158917 +28 *617:14 *694:19 0.000158917 +29 *618:34 *694:8 0.00145779 +30 *618:34 *694:19 0 +31 *632:15 *694:7 0 +32 *632:18 *694:8 0 +33 *632:37 *694:8 0 +34 *632:43 *694:8 0.00323808 +35 *632:55 *2815:serial_data_in 0.000134984 +36 *632:55 *694:20 0 +37 *633:29 *694:8 0.00170721 +38 *634:14 *694:19 0.000143066 +*RES +1 *2816:serial_data_out *694:7 5.19125 +2 *694:7 *694:8 155.475 +3 *694:8 *694:19 11.6864 +4 *694:19 *694:20 79.8994 +5 *694:20 *2815:serial_data_in 15.6549 +*END + +*D_NET *695 0.0313697 +*CONN +*I *2816:serial_data_in I *D gpio_control_block +*I *2817:serial_data_out O *D gpio_control_block +*CAP +1 *2816:serial_data_in 9.80129e-05 +2 *2817:serial_data_out 0.000620208 +3 *695:28 0.00189734 +4 *695:27 0.00227099 +5 *695:22 0.000881171 +6 *695:21 0.000466334 +7 *695:16 0.00286217 +8 *695:15 0.00342555 +9 *695:15 *2817:serial_data_in 9.52305e-06 +10 *695:15 *2638:13 0 +11 *695:16 *2817:user_gpio_out 0 +12 *695:16 *2822:mprj_io_holdover[26] 0.00135645 +13 *695:16 *2238:34 0.000505697 +14 *695:16 *2352:12 0.000147136 +15 *695:16 *2466:14 0.00108356 +16 *695:22 *2200:26 0.00115167 +17 *695:22 *2314:12 0.00298359 +18 *695:22 *2466:14 1.87125e-05 +19 *695:27 *1972:15 2.48087e-05 +20 *695:27 *2048:13 2.41274e-06 +21 *695:27 *2390:17 0 +22 *695:28 *2010:12 0 +23 *695:28 *2276:11 0.00260727 +24 *695:28 *2390:10 0.000259512 +25 *695:28 *2390:12 0.00363712 +26 *695:28 *2428:8 0 +27 *2816:serial_clock *2816:serial_data_in 0 +28 *2817:serial_load *695:15 1.29018e-05 +29 *106:43 *695:28 0 +30 *116:16 *695:22 0.00179564 +31 *116:22 *695:16 0 +32 *116:28 *695:15 0.000103139 +33 *618:34 *695:16 0.000992266 +34 *618:34 *695:22 0.000450501 +35 *632:20 *695:16 0.0013475 +36 *633:14 *695:16 0.000117452 +37 *633:28 *695:16 0.000156912 +38 *633:29 *695:16 0 +39 *694:7 *2816:serial_data_in 8.42027e-05 +*RES +1 *2817:serial_data_out *695:15 27.0046 +2 *695:15 *695:16 121.425 +3 *695:16 *695:21 10.3986 +4 *695:21 *695:22 47.925 +5 *695:22 *695:27 18.5971 +6 *695:27 *695:28 87.9968 +7 *695:28 *2816:serial_data_in 3.81442 +*END + +*D_NET *696 0.0320403 +*CONN +*I *2817:serial_data_in I *D gpio_control_block +*I *2818:serial_data_out O *D gpio_control_block +*CAP +1 *2817:serial_data_in 0.00055433 +2 *2818:serial_data_out 0.000541504 +3 *696:8 0.00677988 +4 *696:7 0.00676705 +5 *2817:serial_data_in *2638:13 0.00113148 +6 *696:8 *2817:user_gpio_out 3.5534e-06 +7 *696:8 *2239:17 0.000167891 +8 *696:8 *2239:20 0.00414486 +9 *696:8 *2391:19 0.000837016 +10 *696:8 *2391:22 1.87125e-05 +11 *696:8 *2467:8 0.00610579 +12 *2817:serial_clock *2817:serial_data_in 0 +13 *2817:serial_clock *696:8 0 +14 *2818:serial_data_in *696:7 0.000326409 +15 *2818:serial_load *696:7 0.000288789 +16 *102:49 *696:8 0.00243013 +17 *116:28 *696:8 0 +18 *117:7 *2817:serial_data_in 9.94578e-05 +19 *633:13 *2817:serial_data_in 0 +20 *633:14 *696:8 0 +21 *657:7 *2817:serial_data_in 0 +22 *657:8 *696:8 0 +23 *657:20 *696:8 0.00167057 +24 *657:24 *696:8 0.000163304 +25 *695:15 *2817:serial_data_in 9.52305e-06 +*RES +1 *2818:serial_data_out *696:7 5.65019 +2 *696:7 *696:8 261.78 +3 *696:8 *2817:serial_data_in 5.65019 +*END + +*D_NET *697 0.34362 +*CONN +*I *2785:serial_data_in I *D gpio_control_block +*I *2819:serial_data_2 O *D housekeeping +*CAP +1 *2785:serial_data_in 0.00198618 +2 *2819:serial_data_2 0.00098844 +3 *697:33 0.134715 +4 *697:32 0.13349 +5 *697:29 0.00188332 +6 *697:20 0.00226775 +7 *697:14 0.0103143 +8 *697:13 0.00945051 +9 *697:8 0.00456781 +10 *697:7 0.00527505 +11 *697:8 *2819:mgmt_gpio_in[5] 0.00123963 +12 *697:8 *2619:16 0.000225385 +13 *697:14 *2619:16 0 +14 *697:14 *2695:14 0.0259583 +15 *697:20 *2706:14 0.0013798 +16 *697:29 *1746:12 5.55031e-05 +17 *697:32 *2819:mgmt_gpio_in[34] 0 +18 *697:32 *1733:14 0 +19 *697:32 *1746:13 0 +20 *2785:serial_load *2785:serial_data_in 0 +21 *2826:flash_io3_di *697:33 0.000620249 +22 *98:11 *697:33 0 +23 *635:8 *697:8 0.00849135 +24 *635:38 *697:8 0.000701757 +25 *687:15 *2785:serial_data_in 9.52305e-06 +*RES +1 *2819:serial_data_2 *697:7 7.1035 +2 *697:7 *697:8 185.996 +3 *697:8 *697:13 15.824 +4 *697:13 *697:14 416.669 +5 *697:14 *697:20 47.4316 +6 *697:20 *697:29 39.3103 +7 *697:29 *697:32 25.8488 +8 *697:32 *697:33 3692.17 +9 *697:33 *2785:serial_data_in 19.686 +*END + +*D_NET *698 0.0407096 +*CONN +*I *2826:hk_ack_i I *D mgmt_core_wrapper +*I *2819:wb_ack_o O *D housekeeping +*CAP +1 *2826:hk_ack_i 0.00112906 +2 *2819:wb_ack_o 0.00172397 +3 *698:14 0.00227627 +4 *698:13 0.00114722 +5 *698:11 0.000770148 +6 *698:10 0.00249412 +7 *698:10 *2819:spi_enabled 0.000124349 +8 *698:10 *2819:wb_stb_i 0.000205961 +9 *698:11 *731:11 0.0107476 +10 *698:11 *2544:11 0.0103041 +11 *698:14 *699:14 0.0046344 +12 *698:14 *729:14 0 +13 *698:14 *731:8 0 +14 *698:14 *775:14 0.000385324 +15 *698:14 *2589:8 0.00476704 +*RES +1 *2819:wb_ack_o *698:10 22.5382 +2 *698:10 *698:11 112.753 +3 *698:11 *698:13 4.5 +4 *698:13 *698:14 93.3951 +5 *698:14 *2826:hk_ack_i 7.40946 +*END + +*D_NET *699 0.0308664 +*CONN +*I *2826:hk_dat_i[0] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[0] O *D housekeeping +*CAP +1 *2826:hk_dat_i[0] 0.00117419 +2 *2819:wb_dat_o[0] 0.00158801 +3 *699:14 0.00305761 +4 *699:13 0.00188343 +5 *699:11 0.00243829 +6 *699:10 0.0040263 +7 *699:10 *2819:wb_stb_i 0 +8 *699:10 *710:10 0.000275937 +9 *699:11 *710:11 0.0105037 +10 *699:14 *710:14 0 +11 *699:14 *721:14 0 +12 *699:14 *727:14 0.00106213 +13 *699:14 *775:14 0.000222466 +14 *699:14 *776:14 0 +15 *699:14 *2586:8 0 +16 *698:14 *699:14 0.0046344 +*RES +1 *2819:wb_dat_o[0] *699:10 18.3092 +2 *699:10 *699:11 112.753 +3 *699:11 *699:13 4.5 +4 *699:13 *699:14 91.7341 +5 *699:14 *2826:hk_dat_i[0] 7.48595 +*END + +*D_NET *700 0.0282707 +*CONN +*I *2826:hk_dat_i[10] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[10] O *D housekeeping +*CAP +1 *2826:hk_dat_i[10] 0.00146053 +2 *2819:wb_dat_o[10] 0.00206498 +3 *700:19 0.00378861 +4 *700:18 0.00269703 +5 *700:14 0.00243394 +6 *2826:hk_dat_i[10] *721:14 0.000450941 +7 *2826:hk_dat_i[10] *726:14 0.000927083 +8 *700:14 *701:10 0 +9 *700:14 *702:12 0.00209077 +10 *700:14 *704:10 0 +11 *700:14 *706:8 3.93117e-06 +12 *700:14 *726:10 3.25312e-05 +13 *700:14 *728:10 0.000833423 +14 *700:14 *729:10 0.000130145 +15 *700:14 *730:10 0.000120488 +16 *700:18 *721:10 3.809e-06 +17 *700:18 *725:10 0.00078253 +18 *700:19 *721:11 0.0104017 +19 *700:19 *725:11 4.82966e-05 +*RES +1 *2819:wb_dat_o[10] *700:14 47.0596 +2 *700:14 *700:18 18.9972 +3 *700:18 *700:19 110.535 +4 *700:19 *2826:hk_dat_i[10] 27.3573 +*END + +*D_NET *701 0.0256241 +*CONN +*I *2826:hk_dat_i[11] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[11] O *D housekeeping +*CAP +1 *2826:hk_dat_i[11] 0.00107349 +2 *2819:wb_dat_o[11] 0.0015468 +3 *701:14 0.00281588 +4 *701:13 0.00174239 +5 *701:11 0.00240478 +6 *701:10 0.00395158 +7 *701:10 *702:7 0 +8 *701:10 *702:12 0.000116457 +9 *701:10 *706:8 0.000358763 +10 *701:11 *706:11 0.0108223 +11 *701:14 *2826:hk_dat_i[14] 0 +12 *701:14 *703:14 0.000791627 +13 *701:14 *727:14 0 +14 *701:14 *729:14 0 +15 *700:14 *701:10 0 +*RES +1 *2819:wb_dat_o[11] *701:10 19.1397 +2 *701:10 *701:11 113.863 +3 *701:11 *701:13 4.5 +4 *701:13 *701:14 56.8529 +5 *701:14 *2826:hk_dat_i[11] 7.33297 +*END + +*D_NET *702 0.0261994 +*CONN +*I *2826:hk_dat_i[12] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[12] O *D housekeeping +*CAP +1 *2826:hk_dat_i[12] 0.0014372 +2 *2819:wb_dat_o[12] 0.001386 +3 *702:15 0.00379813 +4 *702:14 0.00236092 +5 *702:12 0.00121771 +6 *702:7 0.00260371 +7 *2826:hk_dat_i[12] *726:14 0.000286042 +8 *2826:hk_dat_i[12] *727:14 0.000412862 +9 *702:7 *703:10 0 +10 *702:12 *706:8 0 +11 *702:15 *726:11 0.0104146 +12 *702:15 *727:11 6.49003e-05 +13 *702:15 *728:11 1.00846e-05 +14 *700:14 *702:12 0.00209077 +15 *701:10 *702:7 0 +16 *701:10 *702:12 0.000116457 +*RES +1 *2819:wb_dat_o[12] *702:7 8.63331 +2 *702:7 *702:12 47.3754 +3 *702:12 *702:14 4.5 +4 *702:14 *702:15 111.644 +5 *702:15 *2826:hk_dat_i[12] 25.9586 +*END + +*D_NET *703 0.0249585 +*CONN +*I *2826:hk_dat_i[13] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[13] O *D housekeeping +*CAP +1 *2826:hk_dat_i[13] 0.00101137 +2 *2819:wb_dat_o[13] 0.00148632 +3 *703:14 0.0026857 +4 *703:13 0.00167433 +5 *703:11 0.00248861 +6 *703:10 0.00397492 +7 *703:10 *704:7 0 +8 *703:10 *706:8 7.22572e-05 +9 *703:10 *708:10 6.75696e-05 +10 *703:11 *708:11 0.0106607 +11 *703:14 *2826:hk_dat_i[15] 4.50489e-05 +12 *703:14 *2826:hk_dat_i[18] 0 +13 *703:14 *729:14 0 +14 *701:14 *703:14 0.000791627 +15 *702:7 *703:10 0 +*RES +1 *2819:wb_dat_o[13] *703:10 14.5719 +2 *703:10 *703:11 115.526 +3 *703:11 *703:13 4.5 +4 *703:13 *703:14 55.6072 +5 *703:14 *2826:hk_dat_i[13] 7.1035 +*END + +*D_NET *704 0.0335797 +*CONN +*I *2826:hk_dat_i[14] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[14] O *D housekeeping +*CAP +1 *2826:hk_dat_i[14] 0.0015917 +2 *2819:wb_dat_o[14] 0.00151624 +3 *704:11 0.00222619 +4 *704:10 0.00117461 +5 *704:7 0.00205636 +6 *2826:hk_dat_i[14] *730:14 0.000353697 +7 *704:7 *705:7 0 +8 *704:10 *705:8 0.000492975 +9 *704:10 *706:8 0.00156296 +10 *704:10 *707:10 0.0015886 +11 *704:10 *729:10 7.77309e-06 +12 *704:10 *730:10 0.000619223 +13 *704:11 *705:11 0.0101448 +14 *704:11 *729:11 0.0102446 +15 *700:14 *704:10 0 +16 *701:14 *2826:hk_dat_i[14] 0 +17 *703:10 *704:7 0 +*RES +1 *2819:wb_dat_o[14] *704:7 9.01576 +2 *704:7 *704:10 46.8191 +3 *704:10 *704:11 107.207 +4 *704:11 *2826:hk_dat_i[14] 24.6035 +*END + +*D_NET *705 0.0254421 +*CONN +*I *2826:hk_dat_i[15] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[15] O *D housekeeping +*CAP +1 *2826:hk_dat_i[15] 0.00100034 +2 *2819:wb_dat_o[15] 0.00157856 +3 *705:11 0.00344405 +4 *705:10 0.00244371 +5 *705:8 0.00117673 +6 *705:7 0.00275529 +7 *2826:hk_dat_i[15] *729:14 4.83059e-05 +8 *705:7 *706:8 0 +9 *705:8 *707:10 0.00194855 +10 *705:11 *729:11 0.000363739 +11 *703:14 *2826:hk_dat_i[15] 4.50489e-05 +12 *704:7 *705:7 0 +13 *704:10 *705:8 0.000492975 +14 *704:11 *705:11 0.0101448 +*RES +1 *2819:wb_dat_o[15] *705:7 9.16874 +2 *705:7 *705:8 48.5479 +3 *705:8 *705:10 4.5 +4 *705:10 *705:11 114.972 +5 *705:11 *2826:hk_dat_i[15] 13.8289 +*END + +*D_NET *706 0.0325487 +*CONN +*I *2826:hk_dat_i[16] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[16] O *D housekeeping +*CAP +1 *2826:hk_dat_i[16] 0.00110455 +2 *2819:wb_dat_o[16] 0.0020909 +3 *706:11 0.00221444 +4 *706:10 0.00110989 +5 *706:8 0.0020909 +6 *706:8 *707:7 0 +7 *706:8 *707:10 0.00036777 +8 *706:8 *708:10 0.000435472 +9 *706:8 *711:10 0.000275937 +10 *706:11 *707:11 0.0100386 +11 *700:14 *706:8 3.93117e-06 +12 *701:10 *706:8 0.000358763 +13 *701:11 *706:11 0.0108223 +14 *702:12 *706:8 0 +15 *703:10 *706:8 7.22572e-05 +16 *704:10 *706:8 0.00156296 +17 *705:7 *706:8 0 +*RES +1 *2819:wb_dat_o[16] *706:8 46.6906 +2 *706:8 *706:10 4.5 +3 *706:10 *706:11 121.627 +4 *706:11 *2826:hk_dat_i[16] 20.615 +*END + +*D_NET *707 0.0258657 +*CONN +*I *2826:hk_dat_i[17] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[17] O *D housekeeping +*CAP +1 *2826:hk_dat_i[17] 0.00153659 +2 *2819:wb_dat_o[17] 0.00153623 +3 *707:11 0.00371922 +4 *707:10 0.00282802 +5 *707:7 0.00218163 +6 *707:7 *708:10 0 +7 *707:10 *709:10 0 +8 *707:10 *711:10 0.000120488 +9 *704:10 *707:10 0.0015886 +10 *705:8 *707:10 0.00194855 +11 *706:8 *707:7 0 +12 *706:8 *707:10 0.00036777 +13 *706:11 *707:11 0.0100386 +*RES +1 *2819:wb_dat_o[17] *707:7 9.09225 +2 *707:7 *707:10 48.4801 +3 *707:10 *707:11 104.989 +4 *707:11 *2826:hk_dat_i[17] 13.206 +*END + +*D_NET *708 0.0243687 +*CONN +*I *2826:hk_dat_i[18] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[18] O *D housekeeping +*CAP +1 *2826:hk_dat_i[18] 0.001452 +2 *2819:wb_dat_o[18] 0.00225725 +3 *708:11 0.00382197 +4 *708:10 0.00462722 +5 *708:10 *709:10 0 +6 *708:10 *711:10 0.00104648 +7 *703:10 *708:10 6.75696e-05 +8 *703:11 *708:11 0.0106607 +9 *703:14 *2826:hk_dat_i[18] 0 +10 *706:8 *708:10 0.000435472 +11 *707:7 *708:10 0 +*RES +1 *2819:wb_dat_o[18] *708:10 46.4698 +2 *708:10 *708:11 112.199 +3 *708:11 *2826:hk_dat_i[18] 20.8226 +*END + +*D_NET *709 0.0230512 +*CONN +*I *2826:hk_dat_i[19] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[19] O *D housekeeping +*CAP +1 *2826:hk_dat_i[19] 0.00154349 +2 *2819:wb_dat_o[19] 0.00251478 +3 *709:11 0.00385341 +4 *709:10 0.0048247 +5 *2826:hk_dat_i[19] *2826:hk_dat_i[20] 0 +6 *709:10 *711:10 0 +7 *709:10 *712:10 0 +8 *709:11 *711:11 0.0103148 +9 *707:10 *709:10 0 +10 *708:10 *709:10 0 +*RES +1 *2819:wb_dat_o[19] *709:10 36.5911 +2 *709:10 *709:11 109.98 +3 *709:11 *2826:hk_dat_i[19] 27.6852 +*END + +*D_NET *710 0.0311462 +*CONN +*I *2826:hk_dat_i[1] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[1] O *D housekeeping +*CAP +1 *2826:hk_dat_i[1] 0.00128376 +2 *2819:wb_dat_o[1] 0.0017475 +3 *710:14 0.00244096 +4 *710:13 0.0011572 +5 *710:11 0.00233628 +6 *710:10 0.00408378 +7 *710:10 *721:10 0 +8 *710:14 *721:14 0.00456522 +9 *710:14 *726:14 0.00134915 +10 *710:14 *2544:8 0.000458898 +11 *710:14 *2586:8 0.000943882 +12 *699:10 *710:10 0.000275937 +13 *699:11 *710:11 0.0105037 +14 *699:14 *710:14 0 +*RES +1 *2819:wb_dat_o[1] *710:10 23.631 +2 *710:10 *710:11 110.535 +3 *710:11 *710:13 4.5 +4 *710:13 *710:14 83.0138 +5 *710:14 *2826:hk_dat_i[1] 7.8684 +*END + +*D_NET *711 0.0245182 +*CONN +*I *2826:hk_dat_i[20] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[20] O *D housekeeping +*CAP +1 *2826:hk_dat_i[20] 0.00129902 +2 *2819:wb_dat_o[20] 0.00186011 +3 *711:11 0.00377031 +4 *711:10 0.0043314 +5 *711:10 *712:10 0.000762639 +6 *711:10 *713:10 0.000737002 +7 *2826:hk_dat_i[19] *2826:hk_dat_i[20] 0 +8 *706:8 *711:10 0.000275937 +9 *707:10 *711:10 0.000120488 +10 *708:10 *711:10 0.00104648 +11 *709:10 *711:10 0 +12 *709:11 *711:11 0.0103148 +*RES +1 *2819:wb_dat_o[20] *711:10 42.809 +2 *711:10 *711:11 113.863 +3 *711:11 *2826:hk_dat_i[20] 18.4404 +*END + +*D_NET *712 0.0238638 +*CONN +*I *2826:hk_dat_i[21] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[21] O *D housekeeping +*CAP +1 *2826:hk_dat_i[21] 0.00138352 +2 *2819:wb_dat_o[21] 0.00193321 +3 *712:11 0.00382558 +4 *712:10 0.00437527 +5 *2826:hk_dat_i[21] *2826:hk_dat_i[22] 0.000275934 +6 *712:10 *713:10 0.000139296 +7 *712:10 *714:10 6.75696e-05 +8 *712:10 *715:10 0 +9 *712:11 *713:11 0.0111008 +10 *709:10 *712:10 0 +11 *711:10 *712:10 0.000762639 +*RES +1 *2819:wb_dat_o[21] *712:10 31.6737 +2 *712:10 *712:11 116.636 +3 *712:11 *2826:hk_dat_i[21] 25.8712 +*END + +*D_NET *713 0.023848 +*CONN +*I *2826:hk_dat_i[22] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[22] O *D housekeeping +*CAP +1 *2826:hk_dat_i[22] 0.00107795 +2 *2819:wb_dat_o[22] 0.00200563 +3 *713:11 0.00355126 +4 *713:10 0.00447894 +5 *713:10 *714:10 0.00048123 +6 *2826:hk_dat_i[21] *2826:hk_dat_i[22] 0.000275934 +7 *711:10 *713:10 0.000737002 +8 *712:10 *713:10 0.000139296 +9 *712:11 *713:11 0.0111008 +*RES +1 *2819:wb_dat_o[22] *713:10 37.7495 +2 *713:10 *713:11 117.19 +3 *713:11 *2826:hk_dat_i[22] 16.3969 +*END + +*D_NET *714 0.0226029 +*CONN +*I *2826:hk_dat_i[23] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[23] O *D housekeeping +*CAP +1 *2826:hk_dat_i[23] 0.00132249 +2 *2819:wb_dat_o[23] 0.00182456 +3 *714:11 0.00394097 +4 *714:10 0.00444303 +5 *2826:hk_dat_i[23] *2826:hk_dat_i[24] 0 +6 *714:10 *715:10 0 +7 *714:11 *715:11 0.010523 +8 *712:10 *714:10 6.75696e-05 +9 *713:10 *714:10 0.00048123 +*RES +1 *2819:wb_dat_o[23] *714:10 27.0295 +2 *714:10 *714:11 118.854 +3 *714:11 *2826:hk_dat_i[23] 23.5655 +*END + +*D_NET *715 0.0221854 +*CONN +*I *2826:hk_dat_i[24] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[24] O *D housekeeping +*CAP +1 *2826:hk_dat_i[24] 0.00115251 +2 *2819:wb_dat_o[24] 0.00240327 +3 *715:11 0.00342794 +4 *715:10 0.0046787 +5 *715:10 *716:10 0 +6 *2826:hk_dat_i[23] *2826:hk_dat_i[24] 0 +7 *712:10 *715:10 0 +8 *714:10 *715:10 0 +9 *714:11 *715:11 0.010523 +*RES +1 *2819:wb_dat_o[24] *715:10 34.7772 +2 *715:10 *715:11 109.98 +3 *715:11 *2826:hk_dat_i[24] 14.5501 +*END + +*D_NET *716 0.0225785 +*CONN +*I *2826:hk_dat_i[25] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[25] O *D housekeeping +*CAP +1 *2826:hk_dat_i[25] 0.00118412 +2 *2819:wb_dat_o[25] 0.0013158 +3 *716:11 0.00414129 +4 *716:10 0.00427296 +5 *716:10 *717:10 0.000559368 +6 *716:11 *717:11 0.011105 +7 *715:10 *716:10 0 +*RES +1 *2819:wb_dat_o[25] *716:10 21.5001 +2 *716:10 *716:11 131.055 +3 *716:11 *2826:hk_dat_i[25] 21.5985 +*END + +*D_NET *717 0.0223954 +*CONN +*I *2826:hk_dat_i[26] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[26] O *D housekeeping +*CAP +1 *2826:hk_dat_i[26] 0.00139706 +2 *2819:wb_dat_o[26] 0.00156036 +3 *717:11 0.00380515 +4 *717:10 0.00396846 +5 *717:10 *718:10 0 +6 *716:10 *717:10 0.000559368 +7 *716:11 *717:11 0.011105 +*RES +1 *2819:wb_dat_o[26] *717:10 27.8054 +2 *717:10 *717:11 116.081 +3 *717:11 *2826:hk_dat_i[26] 14.867 +*END + +*D_NET *718 0.0146108 +*CONN +*I *2826:hk_dat_i[27] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[27] O *D housekeeping +*CAP +1 *2826:hk_dat_i[27] 0.00113002 +2 *2819:wb_dat_o[27] 0.00162075 +3 *718:11 0.00548122 +4 *718:10 0.00597195 +5 *718:10 *719:10 0 +6 *718:11 *2826:hk_dat_i[28] 0.00040685 +7 *717:10 *718:10 0 +*RES +1 *2819:wb_dat_o[27] *718:10 17.8939 +2 *718:10 *718:11 121.627 +3 *718:11 *2826:hk_dat_i[27] 20.6915 +*END + +*D_NET *719 0.0214868 +*CONN +*I *2826:hk_dat_i[28] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[28] O *D housekeeping +*CAP +1 *2826:hk_dat_i[28] 0.00160808 +2 *2819:wb_dat_o[28] 0.0015064 +3 *719:11 0.00386616 +4 *719:10 0.00376448 +5 *2826:hk_dat_i[28] *2826:hk_dat_i[29] 3.31733e-05 +6 *719:10 *720:10 0.000150395 +7 *719:11 *720:11 0.0101513 +8 *718:10 *719:10 0 +9 *718:11 *2826:hk_dat_i[28] 0.00040685 +*RES +1 *2819:wb_dat_o[28] *719:10 15.8176 +2 *719:10 *719:11 107.207 +3 *719:11 *2826:hk_dat_i[28] 21.4345 +*END + +*D_NET *720 0.0209572 +*CONN +*I *2826:hk_dat_i[29] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[29] O *D housekeeping +*CAP +1 *2826:hk_dat_i[29] 0.00135545 +2 *2819:wb_dat_o[29] 0.00176019 +3 *720:11 0.00355101 +4 *720:10 0.00395576 +5 *720:10 *722:10 0 +6 *2826:hk_dat_i[28] *2826:hk_dat_i[29] 3.31733e-05 +7 *719:10 *720:10 0.000150395 +8 *719:11 *720:11 0.0101513 +*RES +1 *2819:wb_dat_o[29] *720:10 22.1229 +2 *720:10 *720:11 106.098 +3 *720:11 *2826:hk_dat_i[29] 14.0365 +*END + +*D_NET *721 0.0376104 +*CONN +*I *2826:hk_dat_i[2] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[2] O *D housekeeping +*CAP +1 *2826:hk_dat_i[2] 0.00125629 +2 *2819:wb_dat_o[2] 0.00155495 +3 *721:14 0.002837 +4 *721:13 0.00158071 +5 *721:11 0.000657436 +6 *721:10 0.00221238 +7 *721:10 *724:10 0 +8 *721:10 *725:10 4.69495e-06 +9 *721:11 *725:11 0.0105037 +10 *721:14 *726:14 2.13629e-05 +11 *721:14 *727:14 0.0015603 +12 *2826:hk_dat_i[10] *721:14 0.000450941 +13 *699:14 *721:14 0 +14 *700:18 *721:10 3.809e-06 +15 *700:19 *721:11 0.0104017 +16 *710:10 *721:10 0 +17 *710:14 *721:14 0.00456522 +*RES +1 *2819:wb_dat_o[2] *721:10 14.2331 +2 *721:10 *721:11 109.98 +3 *721:11 *721:13 4.5 +4 *721:13 *721:14 90.0731 +5 *721:14 *2826:hk_dat_i[2] 7.79191 +*END + +*D_NET *722 0.0204337 +*CONN +*I *2826:hk_dat_i[30] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[30] O *D housekeeping +*CAP +1 *2826:hk_dat_i[30] 0.00130349 +2 *2819:wb_dat_o[30] 0.00152277 +3 *722:11 0.00385324 +4 *722:10 0.00407251 +5 *722:10 *723:10 0 +6 *722:11 *723:11 0.00968171 +7 *720:10 *722:10 0 +*RES +1 *2819:wb_dat_o[30] *722:10 15.4024 +2 *722:10 *722:11 113.863 +3 *722:11 *2826:hk_dat_i[30] 18.8556 +*END + +*D_NET *723 0.0202872 +*CONN +*I *2826:hk_dat_i[31] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[31] O *D housekeeping +*CAP +1 *2826:hk_dat_i[31] 0.00142385 +2 *2819:wb_dat_o[31] 0.00179141 +3 *723:11 0.00351134 +4 *723:10 0.00387891 +5 *2819:spimemio_flash_clk *723:10 0 +6 *722:10 *723:10 0 +7 *722:11 *723:11 0.00968171 +*RES +1 *2819:wb_dat_o[31] *723:10 17.8283 +2 *723:10 *723:11 101.107 +3 *723:11 *2826:hk_dat_i[31] 14.867 +*END + +*D_NET *724 0.028168 +*CONN +*I *2826:hk_dat_i[3] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[3] O *D housekeeping +*CAP +1 *2826:hk_dat_i[3] 0.00144422 +2 *2819:wb_dat_o[3] 0.00160481 +3 *724:14 0.00362836 +4 *724:13 0.00218415 +5 *724:11 0.0022084 +6 *724:10 0.00381322 +7 *724:10 *725:10 0.000351464 +8 *724:11 *725:11 0.0102021 +9 *724:14 *726:14 0 +10 *724:14 *730:14 0.00160847 +11 *724:14 *2544:8 0.000705592 +12 *724:14 *2585:14 0.000417162 +13 *721:10 *724:10 0 +*RES +1 *2819:wb_dat_o[3] *724:10 19.1397 +2 *724:10 *724:11 106.653 +3 *724:11 *724:13 4.5 +4 *724:13 *724:14 81.768 +5 *724:14 *2826:hk_dat_i[3] 8.32734 +*END + +*D_NET *725 0.034727 +*CONN +*I *2826:hk_dat_i[4] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[4] O *D housekeeping +*CAP +1 *2826:hk_dat_i[4] 0.000815998 +2 *2819:wb_dat_o[4] 0.00168008 +3 *725:14 0.00266608 +4 *725:13 0.00185008 +5 *725:11 0.00109911 +6 *725:10 0.0027792 +7 *725:10 *726:10 0 +8 *725:14 *728:14 0.000911252 +9 *725:14 *729:14 0.00103239 +10 *725:14 *731:8 0 +11 *700:18 *725:10 0.00078253 +12 *700:19 *725:11 4.82966e-05 +13 *721:10 *725:10 4.69495e-06 +14 *721:11 *725:11 0.0105037 +15 *724:10 *725:10 0.000351464 +16 *724:11 *725:11 0.0102021 +*RES +1 *2819:wb_dat_o[4] *725:10 26.1225 +2 *725:10 *725:11 120.518 +3 *725:11 *725:13 4.5 +4 *725:13 *725:14 71.3867 +5 *725:14 *2826:hk_dat_i[4] 6.49158 +*END + +*D_NET *726 0.0358811 +*CONN +*I *2826:hk_dat_i[5] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[5] O *D housekeeping +*CAP +1 *2826:hk_dat_i[5] 0.00133913 +2 *2819:wb_dat_o[5] 0.0015369 +3 *726:14 0.0026714 +4 *726:13 0.00133228 +5 *726:11 0.000617305 +6 *726:10 0.00215421 +7 *726:10 *727:10 9.32067e-05 +8 *726:10 *728:10 6.75696e-05 +9 *726:11 *727:11 0.0103615 +10 *726:11 *728:11 1.92172e-05 +11 *726:14 *727:14 0 +12 *726:14 *730:14 0.000866851 +13 *726:14 *2544:8 0.00179079 +14 *2826:hk_dat_i[10] *726:14 0.000927083 +15 *2826:hk_dat_i[12] *726:14 0.000286042 +16 *700:14 *726:10 3.25312e-05 +17 *702:15 *726:11 0.0104146 +18 *710:14 *726:14 0.00134915 +19 *721:14 *726:14 2.13629e-05 +20 *724:14 *726:14 0 +21 *725:10 *726:10 0 +*RES +1 *2819:wb_dat_o[5] *726:10 15.4024 +2 *726:10 *726:11 108.871 +3 *726:11 *726:13 4.5 +4 *726:13 *726:14 78.8613 +5 *726:14 *2826:hk_dat_i[5] 8.02138 +*END + +*D_NET *727 0.0346694 +*CONN +*I *2826:hk_dat_i[6] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[6] O *D housekeeping +*CAP +1 *2826:hk_dat_i[6] 0.00122515 +2 *2819:wb_dat_o[6] 0.00172878 +3 *727:14 0.00276072 +4 *727:13 0.00153557 +5 *727:11 0.000657265 +6 *727:10 0.00238605 +7 *727:10 *728:10 0.000198188 +8 *727:11 *728:11 0.0106228 +9 *727:14 *730:14 0 +10 *2826:hk_dat_i[12] *727:14 0.000412862 +11 *699:14 *727:14 0.00106213 +12 *701:14 *727:14 0 +13 *702:15 *727:11 6.49003e-05 +14 *721:14 *727:14 0.0015603 +15 *726:10 *727:10 9.32067e-05 +16 *726:11 *727:11 0.0103615 +17 *726:14 *727:14 0 +*RES +1 *2819:wb_dat_o[6] *727:10 21.7077 +2 *727:10 *727:11 111.09 +3 *727:11 *727:13 4.5 +4 *727:13 *727:14 70.141 +5 *727:14 *2826:hk_dat_i[6] 7.63893 +*END + +*D_NET *728 0.0267444 +*CONN +*I *2826:hk_dat_i[7] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[7] O *D housekeeping +*CAP +1 *2826:hk_dat_i[7] 0.000756037 +2 *2819:wb_dat_o[7] 0.00169031 +3 *728:14 0.00260031 +4 *728:13 0.00184427 +5 *728:11 0.00275034 +6 *728:10 0.00444065 +7 *728:10 *729:10 0 +8 *728:14 *729:14 0 +9 *700:14 *728:10 0.000833423 +10 *702:15 *728:11 1.00846e-05 +11 *725:14 *728:14 0.000911252 +12 *726:10 *728:10 6.75696e-05 +13 *726:11 *728:11 1.92172e-05 +14 *727:10 *728:10 0.000198188 +15 *727:11 *728:11 0.0106228 +*RES +1 *2819:wb_dat_o[7] *728:10 26.953 +2 *728:10 *728:11 122.182 +3 *728:11 *728:13 4.5 +4 *728:13 *728:14 61.4207 +5 *728:14 *2826:hk_dat_i[7] 6.26211 +*END + +*D_NET *729 0.0333238 +*CONN +*I *2826:hk_dat_i[8] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[8] O *D housekeeping +*CAP +1 *2826:hk_dat_i[8] 0.000891445 +2 *2819:wb_dat_o[8] 0.00154381 +3 *729:14 0.00300097 +4 *729:13 0.00210953 +5 *729:11 0.000972188 +6 *729:10 0.00251599 +7 *729:10 *730:10 0.000254579 +8 *729:11 *730:11 0.0101957 +9 *729:14 *731:8 1.26032e-05 +10 *2826:hk_dat_i[15] *729:14 4.83059e-05 +11 *698:14 *729:14 0 +12 *700:14 *729:10 0.000130145 +13 *701:14 *729:14 0 +14 *703:14 *729:14 0 +15 *704:10 *729:10 7.77309e-06 +16 *704:11 *729:11 0.0102446 +17 *705:11 *729:11 0.000363739 +18 *725:14 *729:14 0.00103239 +19 *728:10 *729:10 0 +20 *728:14 *729:14 0 +*RES +1 *2819:wb_dat_o[8] *729:10 17.8939 +2 *729:10 *729:11 118.854 +3 *729:11 *729:13 4.5 +4 *729:13 *729:14 68.0647 +5 *729:14 *2826:hk_dat_i[8] 6.64456 +*END + +*D_NET *730 0.0271377 +*CONN +*I *2826:hk_dat_i[9] I *D mgmt_core_wrapper +*I *2819:wb_dat_o[9] O *D housekeeping +*CAP +1 *2826:hk_dat_i[9] 0.00137608 +2 *2819:wb_dat_o[9] 0.00161066 +3 *730:14 0.00272468 +4 *730:13 0.0013486 +5 *730:11 0.002224 +6 *730:10 0.00383467 +7 *2826:hk_dat_i[14] *730:14 0.000353697 +8 *700:14 *730:10 0.000120488 +9 *704:10 *730:10 0.000619223 +10 *724:14 *730:14 0.00160847 +11 *726:14 *730:14 0.000866851 +12 *727:14 *730:14 0 +13 *729:10 *730:10 0.000254579 +14 *729:11 *730:11 0.0101957 +*RES +1 *2819:wb_dat_o[9] *730:10 23.3687 +2 *730:10 *730:11 106.653 +3 *730:11 *730:13 4.5 +4 *730:13 *730:14 59.3444 +5 *730:14 *2826:hk_dat_i[9] 8.25085 +*END + +*D_NET *731 0.0302688 +*CONN +*I *2819:wb_stb_i I *D housekeeping +*I *2826:hk_stb_o O *D mgmt_core_wrapper +*CAP +1 *2819:wb_stb_i 0.00190088 +2 *2826:hk_stb_o 0.000915934 +3 *731:11 0.00448562 +4 *731:10 0.00258474 +5 *731:8 0.00209491 +6 *731:7 0.00301084 +7 *2819:wb_stb_i *2819:spi_enabled 0.000119662 +8 *731:8 *2539:8 0.00416589 +9 *731:8 *2541:14 0 +10 *731:8 *2589:8 0 +11 *731:11 *2544:11 2.41483e-05 +12 *698:10 *2819:wb_stb_i 0.000205961 +13 *698:11 *731:11 0.0107476 +14 *698:14 *731:8 0 +15 *699:10 *2819:wb_stb_i 0 +16 *725:14 *731:8 0 +17 *729:14 *731:8 1.26032e-05 +*RES +1 *2826:hk_stb_o *731:7 6.87403 +2 *731:7 *731:8 83.8443 +3 *731:8 *731:10 4.5 +4 *731:10 *731:11 117.745 +5 *731:11 *2819:wb_stb_i 28.614 +*END + +*D_NET *732 0.0581192 +*CONN +*I *2826:sram_ro_addr[0] I *D mgmt_core_wrapper +*I *2819:sram_ro_addr[0] O *D housekeeping +*CAP +1 *2826:sram_ro_addr[0] 0.00125637 +2 *2819:sram_ro_addr[0] 0.00127864 +3 *732:14 0.00541447 +4 *732:13 0.0041581 +5 *732:11 0.000780187 +6 *732:10 0.000780187 +7 *732:8 0.000906163 +8 *732:7 0.00218481 +9 *732:7 *733:7 0 +10 *732:7 *741:10 0 +11 *732:8 *733:8 4.3116e-06 +12 *732:8 *734:8 0.00639939 +13 *732:8 *735:8 0.00642074 +14 *732:8 *737:8 4.09467e-05 +15 *732:11 *733:11 0.0106156 +16 *732:11 *735:11 5.80703e-06 +17 *732:11 *737:11 2.41483e-05 +18 *732:14 *733:14 0.00503089 +19 *732:14 *738:14 0 +20 *732:14 *741:14 0 +21 *732:14 *743:8 3.65454e-05 +22 *732:14 *764:8 0 +23 *732:14 *768:8 0 +24 *732:14 *769:8 0.000385324 +25 *732:14 *771:8 0.000296518 +26 *732:14 *772:8 0.00117332 +27 *89:25 *732:8 5.85596e-05 +28 *89:26 *732:11 0.0108682 +*RES +1 *2819:sram_ro_addr[0] *732:7 8.17437 +2 *732:7 *732:8 105.022 +3 *732:8 *732:10 4.5 +4 *732:10 *732:11 114.417 +5 *732:11 *732:13 4.5 +6 *732:13 *732:14 176.861 +7 *732:14 *2826:sram_ro_addr[0] 7.94489 +*END + +*D_NET *733 0.0629899 +*CONN +*I *2826:sram_ro_addr[1] I *D mgmt_core_wrapper +*I *2819:sram_ro_addr[1] O *D housekeeping +*CAP +1 *2826:sram_ro_addr[1] 0.00139062 +2 *2819:sram_ro_addr[1] 0.00136891 +3 *733:14 0.00384003 +4 *733:13 0.00244941 +5 *733:11 0.000722204 +6 *733:10 0.000722204 +7 *733:8 0.000951134 +8 *733:7 0.00232005 +9 *733:7 *734:7 0 +10 *733:8 *736:8 0.00688408 +11 *733:8 *737:8 0.00690544 +12 *733:11 *737:11 0.0105647 +13 *733:14 *736:14 0.000206811 +14 *733:14 *737:14 0.00815055 +15 *733:14 *743:8 6.11074e-05 +16 *85:22 *2826:sram_ro_addr[1] 0.000247473 +17 *92:30 *2826:sram_ro_addr[1] 0.000554387 +18 *732:7 *733:7 0 +19 *732:8 *733:8 4.3116e-06 +20 *732:11 *733:11 0.0106156 +21 *732:14 *733:14 0.00503089 +*RES +1 *2819:sram_ro_addr[1] *733:7 8.40384 +2 *733:7 *733:8 111.251 +3 *733:8 *733:10 4.5 +4 *733:10 *733:11 111.644 +5 *733:11 *733:13 4.5 +6 *733:13 *733:14 168.141 +7 *733:14 *2826:sram_ro_addr[1] 8.09787 +*END + +*D_NET *734 0.0587585 +*CONN +*I *2826:sram_ro_addr[2] I *D mgmt_core_wrapper +*I *2819:sram_ro_addr[2] O *D housekeeping +*CAP +1 *2826:sram_ro_addr[2] 0.00180585 +2 *2819:sram_ro_addr[2] 0.0012986 +3 *734:14 0.00524235 +4 *734:13 0.00343649 +5 *734:11 0.000912455 +6 *734:10 0.000912455 +7 *734:8 0.000997939 +8 *734:7 0.00229654 +9 *734:7 *735:7 0 +10 *734:8 *735:8 0.000367776 +11 *734:8 *737:8 0.007173 +12 *734:11 *735:11 0.00967257 +13 *734:11 *739:11 0.00800539 +14 *734:11 *742:11 1.65872e-05 +15 *734:14 *735:14 0.00941094 +16 *734:14 *773:14 0.000731912 +17 *75:19 *734:14 9.22013e-06 +18 *85:16 *734:11 6.89789e-05 +19 *732:8 *734:8 0.00639939 +20 *733:7 *734:7 0 +*RES +1 *2819:sram_ro_addr[2] *734:7 8.25085 +2 *734:7 *734:8 114.988 +3 *734:8 *734:10 4.5 +4 *734:10 *734:11 102.216 +5 *734:11 *734:13 4.5 +6 *734:13 *734:14 158.797 +7 *734:14 *2826:sram_ro_addr[2] 42.69 +*END + +*D_NET *735 0.0598489 +*CONN +*I *2826:sram_ro_addr[3] I *D mgmt_core_wrapper +*I *2819:sram_ro_addr[3] O *D housekeeping +*CAP +1 *2826:sram_ro_addr[3] 0.00176831 +2 *2819:sram_ro_addr[3] 0.00124609 +3 *735:14 0.00355653 +4 *735:13 0.00178823 +5 *735:11 0.000701466 +6 *735:10 0.000701466 +7 *735:8 0.00245416 +8 *735:7 0.00370025 +9 *735:7 *736:7 0 +10 *735:8 *737:8 0.000142811 +11 *735:11 *736:11 4.33655e-05 +12 *735:11 *737:11 1.80122e-05 +13 *735:11 *742:11 0.00942862 +14 *75:19 *735:14 0.00696917 +15 *82:15 *735:8 0 +16 *85:16 *735:11 1.80122e-05 +17 *89:25 *735:8 0.00143459 +18 *89:26 *735:11 0 +19 *732:8 *735:8 0.00642074 +20 *732:11 *735:11 5.80703e-06 +21 *734:7 *735:7 0 +22 *734:8 *735:8 0.000367776 +23 *734:11 *735:11 0.00967257 +24 *734:14 *735:14 0.00941094 +*RES +1 *2819:sram_ro_addr[3] *735:7 8.09787 +2 *735:7 *735:8 122.048 +3 *735:8 *735:10 4.5 +4 *735:10 *735:11 102.77 +5 *735:11 *735:13 4.5 +6 *735:13 *735:14 150.908 +7 *735:14 *2826:sram_ro_addr[3] 41.5836 +*END + +*D_NET *736 0.0591561 +*CONN +*I *2826:sram_ro_addr[4] I *D mgmt_core_wrapper +*I *2819:sram_ro_addr[4] O *D housekeeping +*CAP +1 *2826:sram_ro_addr[4] 0.00138139 +2 *2819:sram_ro_addr[4] 0.00136374 +3 *736:14 0.00468477 +4 *736:13 0.00330338 +5 *736:11 0.000679294 +6 *736:10 0.000679294 +7 *736:8 0.00114673 +8 *736:7 0.00251048 +9 *736:7 *737:7 0 +10 *736:8 *737:8 0.000553593 +11 *736:8 *739:8 0.00793608 +12 *736:8 *742:14 2.33193e-05 +13 *736:11 *737:11 0.0104225 +14 *736:11 *742:11 0.0103163 +15 *736:14 *737:14 0.00109906 +16 *736:14 *742:8 0.00592194 +17 *733:8 *736:8 0.00688408 +18 *733:14 *736:14 0.000206811 +19 *735:7 *736:7 0 +20 *735:11 *736:11 4.33655e-05 +*RES +1 *2819:sram_ro_addr[4] *736:7 8.48033 +2 *736:7 *736:8 128.692 +3 *736:8 *736:10 4.5 +4 *736:10 *736:11 109.426 +5 *736:11 *736:13 4.5 +6 *736:13 *736:14 141.564 +7 *736:14 *2826:sram_ro_addr[4] 8.32734 +*END + +*D_NET *737 0.0632718 +*CONN +*I *2826:sram_ro_addr[5] I *D mgmt_core_wrapper +*I *2819:sram_ro_addr[5] O *D housekeeping +*CAP +1 *2826:sram_ro_addr[5] 0.00134144 +2 *2819:sram_ro_addr[5] 0.00130605 +3 *737:14 0.00257075 +4 *737:13 0.00122931 +5 *737:11 0.000738029 +6 *737:10 0.000738029 +7 *737:8 0.00143896 +8 *737:7 0.00274501 +9 *737:7 *738:10 0 +10 *737:8 *739:8 0.000142811 +11 *737:11 *742:11 1.65872e-05 +12 *737:14 *742:8 0.00589738 +13 *737:14 *743:8 1.2693e-05 +14 *732:8 *737:8 4.09467e-05 +15 *732:11 *737:11 2.41483e-05 +16 *733:8 *737:8 0.00690544 +17 *733:11 *737:11 0.0105647 +18 *733:14 *737:14 0.00815055 +19 *734:8 *737:8 0.007173 +20 *735:8 *737:8 0.000142811 +21 *735:11 *737:11 1.80122e-05 +22 *736:7 *737:7 0 +23 *736:8 *737:8 0.000553593 +24 *736:11 *737:11 0.0104225 +25 *736:14 *737:14 0.00109906 +*RES +1 *2819:sram_ro_addr[5] *737:7 8.32734 +2 *737:7 *737:8 135.751 +3 *737:8 *737:10 4.5 +4 *737:10 *737:11 111.644 +5 *737:11 *737:13 4.5 +6 *737:13 *737:14 131.183 +7 *737:14 *2826:sram_ro_addr[5] 8.17437 +*END + +*D_NET *738 0.0485546 +*CONN +*I *2826:sram_ro_addr[6] I *D mgmt_core_wrapper +*I *2819:sram_ro_addr[6] O *D housekeeping +*CAP +1 *2826:sram_ro_addr[6] 0.00110607 +2 *2819:sram_ro_addr[6] 0.001913 +3 *738:14 0.00395236 +4 *738:13 0.00284628 +5 *738:11 0.00420389 +6 *738:10 0.0061169 +7 *738:10 *739:7 0 +8 *738:10 *742:14 0.000339599 +9 *738:14 *740:14 0.0142442 +10 *738:14 *741:14 0.000376123 +11 *738:14 *764:8 0.012485 +12 *738:14 *767:10 0.000971166 +13 *732:14 *738:14 0 +14 *737:7 *738:10 0 +*RES +1 *2819:sram_ro_addr[6] *738:10 29.521 +2 *738:10 *738:11 113.308 +3 *738:11 *738:13 4.5 +4 *738:13 *738:14 247.454 +5 *738:14 *2826:sram_ro_addr[6] 7.40946 +*END + +*D_NET *739 0.052267 +*CONN +*I *2826:sram_ro_addr[7] I *D mgmt_core_wrapper +*I *2819:sram_ro_addr[7] O *D housekeeping +*CAP +1 *2826:sram_ro_addr[7] 0.00102397 +2 *2819:sram_ro_addr[7] 0.00136601 +3 *739:22 0.00222595 +4 *739:14 0.00528771 +5 *739:13 0.00408573 +6 *739:11 0.000754546 +7 *739:10 0.000754546 +8 *739:8 0.00139409 +9 *739:7 0.0027601 +10 *739:7 *740:10 0 +11 *739:8 *742:14 0.00912644 +12 *739:22 *741:14 6.7566e-05 +13 *75:22 *2826:sram_ro_addr[7] 0.000490525 +14 *75:22 *739:22 0.000431115 +15 *85:16 *739:11 0.00641439 +16 *734:11 *739:11 0.00800539 +17 *736:8 *739:8 0.00793608 +18 *737:8 *739:8 0.000142811 +19 *738:10 *739:7 0 +*RES +1 *2819:sram_ro_addr[7] *739:7 8.55682 +2 *739:7 *739:8 146.132 +3 *739:8 *739:10 4.5 +4 *739:10 *739:11 83.9139 +5 *739:11 *739:13 4.5 +6 *739:13 *739:14 113.95 +7 *739:14 *739:22 47.5775 +8 *739:22 *2826:sram_ro_addr[7] 3.73472 +*END + +*D_NET *740 0.0494894 +*CONN +*I *2826:sram_ro_clk I *D mgmt_core_wrapper +*I *2819:sram_ro_clk O *D housekeeping +*CAP +1 *2826:sram_ro_clk 0.00108609 +2 *2819:sram_ro_clk 0.00202149 +3 *740:14 0.00331409 +4 *740:13 0.002228 +5 *740:11 0.00408523 +6 *740:10 0.00610672 +7 *740:10 *2819:sram_ro_data[0] 0 +8 *740:10 *742:14 0 +9 *740:14 *741:14 0.000542263 +10 *740:14 *753:8 0.0141662 +11 *740:14 *764:8 0.000516941 +12 *740:14 *767:10 0.00117826 +13 *738:14 *740:14 0.0142442 +14 *739:7 *740:10 0 +*RES +1 *2819:sram_ro_clk *740:10 25.4886 +2 *740:10 *740:11 109.98 +3 *740:11 *740:13 4.5 +4 *740:13 *740:14 246.208 +5 *740:14 *2826:sram_ro_clk 7.33297 +*END + +*D_NET *741 0.0427816 +*CONN +*I *2826:sram_ro_csb I *D mgmt_core_wrapper +*I *2819:sram_ro_csb O *D housekeeping +*CAP +1 *2826:sram_ro_csb 0.00103357 +2 *2819:sram_ro_csb 0.00174498 +3 *741:14 0.00794265 +4 *741:13 0.00690908 +5 *741:11 0.00429767 +6 *741:10 0.00604265 +7 *741:10 *742:14 0.000134308 +8 *741:14 *746:8 0 +9 *741:14 *750:8 0.0017902 +10 *741:14 *753:8 0.01099 +11 *741:14 *767:10 0.000910484 +12 *732:7 *741:10 0 +13 *732:14 *741:14 0 +14 *738:14 *741:14 0.000376123 +15 *739:22 *741:14 6.7566e-05 +16 *740:14 *741:14 0.000542263 +*RES +1 *2819:sram_ro_csb *741:10 19.9702 +2 *741:10 *741:11 114.972 +3 *741:11 *741:13 4.5 +4 *741:13 *741:14 278.598 +5 *741:14 *2826:sram_ro_csb 7.17999 +*END + +*D_NET *742 0.0571066 +*CONN +*I *2819:sram_ro_data[0] I *D housekeeping +*I *2826:sram_ro_data[0] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[0] 0.001386 +2 *2826:sram_ro_data[0] 0.00139912 +3 *742:14 0.00488347 +4 *742:13 0.00349747 +5 *742:11 0.000829582 +6 *742:10 0.000829582 +7 *742:8 0.000810312 +8 *742:7 0.00220943 +9 *2819:sram_ro_data[0] *2819:sram_ro_data[1] 0 +10 *742:8 *743:8 1.78514e-05 +11 *742:14 *2819:sram_ro_data[1] 2.2746e-05 +12 *734:11 *742:11 1.65872e-05 +13 *735:11 *742:11 0.00942862 +14 *736:8 *742:14 2.33193e-05 +15 *736:11 *742:11 0.0103163 +16 *736:14 *742:8 0.00592194 +17 *737:11 *742:11 1.65872e-05 +18 *737:14 *742:8 0.00589738 +19 *738:10 *742:14 0.000339599 +20 *739:8 *742:14 0.00912644 +21 *740:10 *2819:sram_ro_data[0] 0 +22 *740:10 *742:14 0 +23 *741:10 *742:14 0.000134308 +*RES +1 *2826:sram_ro_data[0] *742:7 8.25085 +2 *742:7 *742:8 95.4714 +3 *742:8 *742:10 4.5 +4 *742:10 *742:11 108.871 +5 *742:11 *742:13 4.5 +6 *742:13 *742:14 159.835 +7 *742:14 *2819:sram_ro_data[0] 8.63331 +*END + +*D_NET *743 0.0503197 +*CONN +*I *2819:sram_ro_data[10] I *D housekeeping +*I *2826:sram_ro_data[10] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[10] 0.00204106 +2 *2826:sram_ro_data[10] 0.00141326 +3 *743:11 0.00428599 +4 *743:10 0.00224493 +5 *743:8 0.00202292 +6 *743:7 0.00343618 +7 *2819:sram_ro_data[10] *2819:sram_ro_data[11] 0 +8 *2819:sram_ro_data[10] *2819:sram_ro_data[9] 0 +9 *743:8 *744:8 0.0125896 +10 *743:8 *747:8 0.0108376 +11 *743:8 *772:8 0.00109865 +12 *743:11 *772:11 0.010119 +13 *89:26 *743:7 0.00010238 +14 *732:14 *743:8 3.65454e-05 +15 *733:14 *743:8 6.11074e-05 +16 *737:14 *743:8 1.2693e-05 +17 *742:8 *743:8 1.78514e-05 +*RES +1 *2826:sram_ro_data[10] *743:7 8.02138 +2 *743:7 *743:8 211.327 +3 *743:8 *743:10 4.5 +4 *743:10 *743:11 106.098 +5 *743:11 *2819:sram_ro_data[10] 26.9967 +*END + +*D_NET *744 0.0574039 +*CONN +*I *2819:sram_ro_data[11] I *D housekeeping +*I *2826:sram_ro_data[11] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[11] 0.00148067 +2 *2826:sram_ro_data[11] 0.00141026 +3 *744:11 0.00213789 +4 *744:10 0.000657218 +5 *744:8 0.0021379 +6 *744:7 0.00354816 +7 *2819:sram_ro_data[11] *2819:sram_ro_data[12] 7.22572e-05 +8 *2819:sram_ro_data[11] *2819:sram_ro_data[13] 9.36156e-05 +9 *744:8 *745:8 0.00085501 +10 *744:8 *747:8 0.000523296 +11 *744:8 *748:8 0.0113474 +12 *744:11 *745:11 0.0102021 +13 *744:11 *746:11 0.0103485 +14 *2819:sram_ro_data[10] *2819:sram_ro_data[11] 0 +15 *743:8 *744:8 0.0125896 +*RES +1 *2826:sram_ro_data[11] *744:7 8.09787 +2 *744:7 *744:8 219.632 +3 *744:8 *744:10 4.5 +4 *744:10 *744:11 108.316 +5 *744:11 *2819:sram_ro_data[11] 14.9871 +*END + +*D_NET *745 0.0489965 +*CONN +*I *2819:sram_ro_data[12] I *D housekeeping +*I *2826:sram_ro_data[12] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[12] 0.00168759 +2 *2826:sram_ro_data[12] 0.00146281 +3 *745:11 0.00390175 +4 *745:10 0.00221416 +5 *745:8 0.0023824 +6 *745:7 0.00384521 +7 *2819:sram_ro_data[12] *2819:sram_ro_data[13] 0.000198188 +8 *745:8 *748:8 0.0113719 +9 *745:8 *749:8 0.0108031 +10 *2819:sram_ro_data[11] *2819:sram_ro_data[12] 7.22572e-05 +11 *744:8 *745:8 0.00085501 +12 *744:11 *745:11 0.0102021 +*RES +1 *2826:sram_ro_data[12] *745:7 8.25085 +2 *745:7 *745:8 210.911 +3 *745:8 *745:10 4.5 +4 *745:10 *745:11 106.653 +5 *745:11 *2819:sram_ro_data[12] 21.2924 +*END + +*D_NET *746 0.0460205 +*CONN +*I *2819:sram_ro_data[13] I *D housekeeping +*I *2826:sram_ro_data[13] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[13] 0.00187683 +2 *2826:sram_ro_data[13] 0.000906332 +3 *746:11 0.00465386 +4 *746:10 0.00277703 +5 *746:8 0.00344392 +6 *746:7 0.00435025 +7 *2819:sram_ro_data[13] *2819:sram_ro_data[14] 0 +8 *746:8 *750:8 0 +9 *746:8 *751:8 0.000567649 +10 *746:8 *754:8 0.00842607 +11 *746:8 *755:8 0.0078489 +12 *746:8 *767:10 0.000529343 +13 *2819:sram_ro_data[11] *2819:sram_ro_data[13] 9.36156e-05 +14 *2819:sram_ro_data[12] *2819:sram_ro_data[13] 0.000198188 +15 *741:14 *746:8 0 +16 *744:11 *746:11 0.0103485 +*RES +1 *2826:sram_ro_data[13] *746:7 6.79754 +2 *746:7 *746:8 200.945 +3 *746:8 *746:10 4.5 +4 *746:10 *746:11 118.299 +5 *746:11 *2819:sram_ro_data[13] 27.7835 +*END + +*D_NET *747 0.0554097 +*CONN +*I *2819:sram_ro_data[14] I *D housekeeping +*I *2826:sram_ro_data[14] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[14] 0.00162501 +2 *2826:sram_ro_data[14] 0.00137029 +3 *747:11 0.00230856 +4 *747:10 0.000683548 +5 *747:8 0.00202676 +6 *747:7 0.00339705 +7 *2819:sram_ro_data[14] *2819:sram_ro_data[15] 7.77309e-06 +8 *2819:sram_ro_data[14] *2819:sram_ro_data[16] 0.000223845 +9 *747:8 *748:8 0.000348101 +10 *747:8 *749:8 0 +11 *747:8 *772:8 0.01086 +12 *747:8 *2588:8 0.000279531 +13 *747:11 *748:11 0.0102021 +14 *747:11 *749:11 0.0100982 +15 *2819:sram_ro_data[13] *2819:sram_ro_data[14] 0 +16 *68:14 *747:8 0.00061804 +17 *743:8 *747:8 0.0108376 +18 *744:8 *747:8 0.000523296 +*RES +1 *2826:sram_ro_data[14] *747:7 7.94489 +2 *747:7 *747:8 208.005 +3 *747:8 *747:10 4.5 +4 *747:10 *747:11 108.316 +5 *747:11 *2819:sram_ro_data[14] 17.6316 +*END + +*D_NET *748 0.0488211 +*CONN +*I *2819:sram_ro_data[15] I *D housekeeping +*I *2826:sram_ro_data[15] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[15] 0.00169961 +2 *2826:sram_ro_data[15] 0.00147838 +3 *748:11 0.00394978 +4 *748:10 0.00225017 +5 *748:8 0.00179952 +6 *748:7 0.00327789 +7 *2819:sram_ro_data[15] *2819:sram_ro_data[16] 0.000585414 +8 *748:8 *749:8 0.000478862 +9 *748:11 *749:11 2.41483e-05 +10 *2819:sram_ro_data[14] *2819:sram_ro_data[15] 7.77309e-06 +11 *744:8 *748:8 0.0113474 +12 *745:8 *748:8 0.0113719 +13 *747:8 *748:8 0.000348101 +14 *747:11 *748:11 0.0102021 +*RES +1 *2826:sram_ro_data[15] *748:7 8.17437 +2 *748:7 *748:8 198.454 +3 *748:8 *748:10 4.5 +4 *748:10 *748:11 107.762 +5 *748:11 *2819:sram_ro_data[15] 23.7074 +*END + +*D_NET *749 0.0420053 +*CONN +*I *2819:sram_ro_data[16] I *D housekeeping +*I *2826:sram_ro_data[16] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[16] 0.00188048 +2 *2826:sram_ro_data[16] 0.00153308 +3 *749:11 0.00408289 +4 *749:10 0.00220241 +5 *749:8 0.00427993 +6 *749:7 0.00581301 +7 *2819:sram_ro_data[16] *2819:sram_ro_data[17] 0 +8 *749:8 *2588:8 0 +9 *2819:sram_ro_data[14] *2819:sram_ro_data[16] 0.000223845 +10 *2819:sram_ro_data[15] *2819:sram_ro_data[16] 0.000585414 +11 *745:8 *749:8 0.0108031 +12 *747:8 *749:8 0 +13 *747:11 *749:11 0.0100982 +14 *748:8 *749:8 0.000478862 +15 *748:11 *749:11 2.41483e-05 +*RES +1 *2826:sram_ro_data[16] *749:7 8.32734 +2 *749:7 *749:8 190.149 +3 *749:8 *749:10 4.5 +4 *749:10 *749:11 106.098 +5 *749:11 *2819:sram_ro_data[16] 29.5975 +*END + +*D_NET *750 0.0491065 +*CONN +*I *2819:sram_ro_data[17] I *D housekeeping +*I *2826:sram_ro_data[17] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[17] 0.00158472 +2 *2826:sram_ro_data[17] 0.00098844 +3 *750:11 0.00409288 +4 *750:10 0.00250815 +5 *750:8 0.00188019 +6 *750:7 0.00286863 +7 *2819:sram_ro_data[17] *2819:sram_ro_data[18] 0.000332717 +8 *750:8 *751:8 0.000271132 +9 *750:8 *752:8 0.0111361 +10 *750:8 *753:8 0.000543693 +11 *750:8 *756:8 0.00936917 +12 *750:8 *767:10 0.000804022 +13 *750:11 *751:11 0.0109364 +14 *2819:sram_ro_data[16] *2819:sram_ro_data[17] 0 +15 *741:14 *750:8 0.0017902 +16 *746:8 *750:8 0 +*RES +1 *2826:sram_ro_data[17] *750:7 7.1035 +2 *750:7 *750:8 197.208 +3 *750:8 *750:10 4.5 +4 *750:10 *750:11 115.526 +5 *750:11 *2819:sram_ro_data[17] 19.1397 +*END + +*D_NET *751 0.04848 +*CONN +*I *2819:sram_ro_data[18] I *D housekeeping +*I *2826:sram_ro_data[18] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[18] 0.00183037 +2 *2826:sram_ro_data[18] 0.00095885 +3 *751:11 0.00436202 +4 *751:10 0.00253165 +5 *751:8 0.00170045 +6 *751:7 0.0026593 +7 *2819:sram_ro_data[18] *2819:sram_ro_data[19] 0 +8 *751:8 *752:8 0.0111586 +9 *751:8 *754:8 0.0105371 +10 *751:8 *767:10 0.000633785 +11 *2819:sram_ro_data[17] *2819:sram_ro_data[18] 0.000332717 +12 *746:8 *751:8 0.000567649 +13 *750:8 *751:8 0.000271132 +14 *750:11 *751:11 0.0109364 +*RES +1 *2826:sram_ro_data[18] *751:7 6.95052 +2 *751:7 *751:8 188.488 +3 *751:8 *751:10 4.5 +4 *751:10 *751:11 116.081 +5 *751:11 *2819:sram_ro_data[18] 25.4449 +*END + +*D_NET *752 0.0558674 +*CONN +*I *2819:sram_ro_data[19] I *D housekeeping +*I *2826:sram_ro_data[19] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[19] 0.00147466 +2 *2826:sram_ro_data[19] 0.000980996 +3 *752:11 0.00228334 +4 *752:10 0.000808673 +5 *752:8 0.00180829 +6 *752:7 0.00278929 +7 *2819:sram_ro_data[19] *2819:sram_ro_data[20] 2.01653e-05 +8 *752:8 *754:8 0.000499279 +9 *752:8 *756:8 0.000503579 +10 *752:8 *767:10 0.000665834 +11 *752:11 *754:11 0.0109744 +12 *752:11 *755:11 0.0107642 +13 *2819:sram_ro_data[18] *2819:sram_ro_data[19] 0 +14 *750:8 *752:8 0.0111361 +15 *751:8 *752:8 0.0111586 +*RES +1 *2826:sram_ro_data[19] *752:7 7.02701 +2 *752:7 *752:8 196.378 +3 *752:8 *752:10 4.5 +4 *752:10 *752:11 116.081 +5 *752:11 *2819:sram_ro_data[19] 14.1566 +*END + +*D_NET *753 0.0565792 +*CONN +*I *2819:sram_ro_data[1] I *D housekeeping +*I *2826:sram_ro_data[1] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[1] 0.00160819 +2 *2826:sram_ro_data[1] 0.0010661 +3 *753:11 0.00415086 +4 *753:10 0.00254267 +5 *753:8 0.00223713 +6 *753:7 0.00330323 +7 *2819:sram_ro_data[1] *2819:sram_ro_data[2] 0.000410855 +8 *753:8 *756:8 0.00311078 +9 *753:8 *761:8 9.20633e-05 +10 *753:8 *764:8 0.00029239 +11 *753:8 *767:10 0.00138014 +12 *753:11 *764:11 0.0106622 +13 *2819:sram_ro_data[0] *2819:sram_ro_data[1] 0 +14 *740:14 *753:8 0.0141662 +15 *741:14 *753:8 0.01099 +16 *742:14 *2819:sram_ro_data[1] 2.2746e-05 +17 *750:8 *753:8 0.000543693 +*RES +1 *2826:sram_ro_data[1] *753:7 7.25648 +2 *753:7 *753:8 244.962 +3 *753:8 *753:10 4.5 +4 *753:10 *753:11 114.417 +5 *753:11 *2819:sram_ro_data[1] 20.3854 +*END + +*D_NET *754 0.0466741 +*CONN +*I *2819:sram_ro_data[20] I *D housekeeping +*I *2826:sram_ro_data[20] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[20] 0.00172067 +2 *2826:sram_ro_data[20] 0.00093889 +3 *754:11 0.00426781 +4 *754:10 0.00254714 +5 *754:8 0.00185565 +6 *754:7 0.00279454 +7 *2819:sram_ro_data[20] *2819:sram_ro_data[21] 0 +8 *754:8 *755:8 0.0015045 +9 *754:8 *756:8 0 +10 *754:8 *757:8 1.78514e-05 +11 *754:8 *767:10 0.000529343 +12 *754:11 *755:11 4.0752e-05 +13 *2819:sram_ro_data[19] *2819:sram_ro_data[20] 2.01653e-05 +14 *746:8 *754:8 0.00842607 +15 *751:8 *754:8 0.0105371 +16 *752:8 *754:8 0.000499279 +17 *752:11 *754:11 0.0109744 +*RES +1 *2826:sram_ro_data[20] *754:7 6.87403 +2 *754:7 *754:8 186.827 +3 *754:8 *754:10 4.5 +4 *754:10 *754:11 116.636 +5 *754:11 *2819:sram_ro_data[20] 20.4619 +*END + +*D_NET *755 0.0449233 +*CONN +*I *2819:sram_ro_data[21] I *D housekeeping +*I *2826:sram_ro_data[21] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[21] 0.00207615 +2 *2826:sram_ro_data[21] 0.00087596 +3 *755:11 0.00462723 +4 *755:10 0.00255107 +5 *755:8 0.00195067 +6 *755:7 0.00282663 +7 *2819:sram_ro_data[21] *2819:sram_ro_data[22] 0 +8 *755:8 *757:8 0.00985719 +9 *2819:sram_ro_data[20] *2819:sram_ro_data[21] 0 +10 *746:8 *755:8 0.0078489 +11 *752:11 *755:11 0.0107642 +12 *754:8 *755:8 0.0015045 +13 *754:11 *755:11 4.0752e-05 +*RES +1 *2826:sram_ro_data[21] *755:7 6.72105 +2 *755:7 *755:8 176.861 +3 *755:8 *755:10 4.5 +4 *755:10 *755:11 115.526 +5 *755:11 *2819:sram_ro_data[21] 27.4119 +*END + +*D_NET *756 0.0457201 +*CONN +*I *2819:sram_ro_data[22] I *D housekeeping +*I *2826:sram_ro_data[22] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[22] 0.00147761 +2 *2826:sram_ro_data[22] 0.00108273 +3 *756:11 0.00398141 +4 *756:10 0.0025038 +5 *756:8 0.00213311 +6 *756:7 0.00321584 +7 *2819:sram_ro_data[22] *2819:sram_ro_data[23] 0.000176441 +8 *2819:sram_ro_data[22] *2819:sram_ro_data[24] 0.000171753 +9 *756:8 *757:8 0 +10 *756:8 *759:8 0 +11 *756:8 *761:8 0.000122802 +12 *756:8 *762:8 0.00138104 +13 *756:8 *767:10 0.000460046 +14 *756:11 *758:11 0.0109213 +15 *2819:sram_ro_data[21] *2819:sram_ro_data[22] 0 +16 *69:8 *756:8 0.00510871 +17 *750:8 *756:8 0.00936917 +18 *752:8 *756:8 0.000503579 +19 *753:8 *756:8 0.00311078 +20 *754:8 *756:8 0 +*RES +1 *2826:sram_ro_data[22] *756:7 7.17999 +2 *756:7 *756:8 185.166 +3 *756:8 *756:10 4.5 +4 *756:10 *756:11 114.972 +5 *756:11 *2819:sram_ro_data[22] 16.2329 +*END + +*D_NET *757 0.0455451 +*CONN +*I *2819:sram_ro_data[23] I *D housekeeping +*I *2826:sram_ro_data[23] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[23] 0.0017425 +2 *2826:sram_ro_data[23] 0.000866358 +3 *757:11 0.00431744 +4 *757:10 0.00257494 +5 *757:8 0.00188777 +6 *757:7 0.00275413 +7 *2819:sram_ro_data[23] *2819:sram_ro_data[24] 0.000180575 +8 *757:8 *758:8 0.00018896 +9 *757:8 *759:8 0.00974525 +10 *757:11 *758:11 0.0112357 +11 *2819:sram_ro_data[22] *2819:sram_ro_data[23] 0.000176441 +12 *754:8 *757:8 1.78514e-05 +13 *755:8 *757:8 0.00985719 +14 *756:8 *757:8 0 +*RES +1 *2826:sram_ro_data[23] *757:7 6.64456 +2 *757:7 *757:8 175.2 +3 *757:8 *757:10 4.5 +4 *757:10 *757:11 118.299 +5 *757:11 *2819:sram_ro_data[23] 22.9534 +*END + +*D_NET *758 0.0512306 +*CONN +*I *2819:sram_ro_data[24] I *D housekeeping +*I *2826:sram_ro_data[24] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[24] 0.00191017 +2 *2826:sram_ro_data[24] 0.000796011 +3 *758:11 0.00286649 +4 *758:10 0.000956318 +5 *758:8 0.00216278 +6 *758:7 0.00295879 +7 *2819:sram_ro_data[24] *2819:sram_ro_data[25] 0 +8 *758:8 *759:8 0.000296518 +9 *758:8 *760:8 0.00914259 +10 *758:8 *763:8 0.00744268 +11 *2819:sram_ro_data[22] *2819:sram_ro_data[24] 0.000171753 +12 *2819:sram_ro_data[23] *2819:sram_ro_data[24] 0.000180575 +13 *756:11 *758:11 0.0109213 +14 *757:8 *758:8 0.00018896 +15 *757:11 *758:11 0.0112357 +*RES +1 *2826:sram_ro_data[24] *758:7 6.41509 +2 *758:7 *758:8 166.479 +3 *758:8 *758:10 4.5 +4 *758:10 *758:11 121.072 +5 *758:11 *2819:sram_ro_data[24] 29.0292 +*END + +*D_NET *759 0.0454371 +*CONN +*I *2819:sram_ro_data[25] I *D housekeeping +*I *2826:sram_ro_data[25] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[25] 0.00157316 +2 *2826:sram_ro_data[25] 0.000858914 +3 *759:11 0.00419019 +4 *759:10 0.00261703 +5 *759:8 0.00178123 +6 *759:7 0.00264014 +7 *2819:sram_ro_data[25] *2819:sram_ro_data[26] 0.000280625 +8 *759:8 *760:8 0.0101674 +9 *759:8 *2543:8 0 +10 *759:11 *760:11 0.0112866 +11 *2819:sram_ro_data[24] *2819:sram_ro_data[25] 0 +12 *69:8 *759:8 0 +13 *756:8 *759:8 0 +14 *757:8 *759:8 0.00974525 +15 *758:8 *759:8 0.000296518 +*RES +1 *2826:sram_ro_data[25] *759:7 6.56807 +2 *759:7 *759:8 173.954 +3 *759:8 *759:10 4.5 +4 *759:10 *759:11 119.409 +5 *759:11 *2819:sram_ro_data[25] 18.3092 +*END + +*D_NET *760 0.0449006 +*CONN +*I *2819:sram_ro_data[26] I *D housekeeping +*I *2826:sram_ro_data[26] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[26] 0.00181254 +2 *2826:sram_ro_data[26] 0.000828542 +3 *760:11 0.00442956 +4 *760:10 0.00261702 +5 *760:8 0.00150152 +6 *760:7 0.00233006 +7 *2819:sram_ro_data[26] *2819:sram_ro_data[27] 0 +8 *760:8 *763:8 0.000504184 +9 *760:8 *2543:8 0 +10 *2819:sram_ro_data[25] *2819:sram_ro_data[26] 0.000280625 +11 *758:8 *760:8 0.00914259 +12 *759:8 *760:8 0.0101674 +13 *759:11 *760:11 0.0112866 +*RES +1 *2826:sram_ro_data[26] *760:7 6.49158 +2 *760:7 *760:8 164.403 +3 *760:8 *760:10 4.5 +4 *760:10 *760:11 119.409 +5 *760:11 *2819:sram_ro_data[26] 24.6144 +*END + +*D_NET *761 0.0446215 +*CONN +*I *2819:sram_ro_data[27] I *D housekeeping +*I *2826:sram_ro_data[27] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[27] 0.00146457 +2 *2826:sram_ro_data[27] 0.00124565 +3 *761:11 0.00392394 +4 *761:10 0.00245937 +5 *761:8 0.001714 +6 *761:7 0.00295965 +7 *2819:sram_ro_data[27] *2819:sram_ro_data[28] 1.9101e-05 +8 *2819:sram_ro_data[27] *2819:sram_ro_data[29] 1.66626e-05 +9 *761:8 *762:8 0.0100453 +10 *761:8 *764:8 0.000222358 +11 *761:8 *765:8 0.00893578 +12 *761:8 *768:8 0.000492159 +13 *761:8 *770:8 0.000153717 +14 *761:11 *762:11 0.0107175 +15 *761:11 *763:11 2.41483e-05 +16 *2819:sram_ro_data[26] *2819:sram_ro_data[27] 0 +17 *69:8 *761:8 1.2693e-05 +18 *753:8 *761:8 9.20633e-05 +19 *756:8 *761:8 0.000122802 +*RES +1 *2826:sram_ro_data[27] *761:7 7.40946 +2 *761:7 *761:8 172.293 +3 *761:8 *761:10 4.5 +4 *761:10 *761:11 113.308 +5 *761:11 *2819:sram_ro_data[27] 13.7414 +*END + +*D_NET *762 0.0508786 +*CONN +*I *2819:sram_ro_data[28] I *D housekeeping +*I *2826:sram_ro_data[28] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[28] 0.00167772 +2 *2826:sram_ro_data[28] 0.0012164 +3 *762:11 0.00241739 +4 *762:10 0.000739667 +5 *762:8 0.00166126 +6 *762:7 0.00287766 +7 *2819:sram_ro_data[28] *2819:sram_ro_data[29] 0.000194296 +8 *762:11 *763:11 0.0107684 +9 *2819:sram_ro_data[27] *2819:sram_ro_data[28] 1.9101e-05 +10 *69:8 *762:8 0.00716285 +11 *756:8 *762:8 0.00138104 +12 *761:8 *762:8 0.0100453 +13 *761:11 *762:11 0.0107175 +*RES +1 *2826:sram_ro_data[28] *762:7 7.33297 +2 *762:7 *762:8 162.742 +3 *762:8 *762:10 4.5 +4 *762:10 *762:11 113.308 +5 *762:11 *2819:sram_ro_data[28] 20.4619 +*END + +*D_NET *763 0.0409398 +*CONN +*I *2819:sram_ro_data[29] I *D housekeeping +*I *2826:sram_ro_data[29] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[29] 0.00185408 +2 *2826:sram_ro_data[29] 0.000776024 +3 *763:11 0.00465278 +4 *763:10 0.0027987 +5 *763:8 0.00226132 +6 *763:7 0.00303734 +7 *2819:sram_ro_data[29] *2819:sram_ro_data[30] 0 +8 *763:8 *2543:8 0 +9 *2819:sram_ro_data[27] *2819:sram_ro_data[29] 1.66626e-05 +10 *2819:sram_ro_data[28] *2819:sram_ro_data[29] 0.000194296 +11 *70:8 *763:8 0.00660921 +12 *758:8 *763:8 0.00744268 +13 *760:8 *763:8 0.000504184 +14 *761:11 *763:11 2.41483e-05 +15 *762:11 *763:11 0.0107684 +*RES +1 *2826:sram_ro_data[29] *763:7 6.3386 +2 *763:7 *763:8 153.191 +3 *763:8 *763:10 4.5 +4 *763:10 *763:11 121.627 +5 *763:11 *2819:sram_ro_data[29] 26.5377 +*END + +*D_NET *764 0.0551709 +*CONN +*I *2819:sram_ro_data[2] I *D housekeeping +*I *2826:sram_ro_data[2] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[2] 0.00152318 +2 *2826:sram_ro_data[2] 0.00116377 +3 *764:11 0.00396007 +4 *764:10 0.00243688 +5 *764:8 0.00238578 +6 *764:7 0.00354955 +7 *2819:sram_ro_data[2] *2819:sram_ro_data[3] 0.000835188 +8 *764:8 *767:10 0.00122332 +9 *764:8 *768:8 0.0135034 +10 *2819:sram_ro_data[1] *2819:sram_ro_data[2] 0.000410855 +11 *732:14 *764:8 0 +12 *738:14 *764:8 0.012485 +13 *740:14 *764:8 0.000516941 +14 *753:8 *764:8 0.00029239 +15 *753:11 *764:11 0.0106622 +16 *761:8 *764:8 0.000222358 +*RES +1 *2826:sram_ro_data[2] *764:7 7.48595 +2 *764:7 *764:8 235.411 +3 *764:8 *764:10 4.5 +4 *764:10 *764:11 112.199 +5 *764:11 *2819:sram_ro_data[2] 26.6907 +*END + +*D_NET *765 0.0433907 +*CONN +*I *2819:sram_ro_data[30] I *D housekeeping +*I *2826:sram_ro_data[30] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[30] 0.00159099 +2 *2826:sram_ro_data[30] 0.00126681 +3 *765:11 0.00403856 +4 *765:10 0.00244757 +5 *765:8 0.0015869 +6 *765:7 0.00285371 +7 *2819:sram_ro_data[30] *2819:sram_ro_data[31] 0.000119662 +8 *765:7 *2819:sram_ro_data[3] 0 +9 *765:8 *766:8 0.00937348 +10 *765:8 *770:8 0.000271132 +11 *765:11 *766:11 3.31745e-05 +12 *2819:sram_ro_data[29] *2819:sram_ro_data[30] 0 +13 *68:10 *2819:sram_ro_data[30] 7.77309e-06 +14 *68:11 *765:11 0.0105245 +15 *69:8 *765:8 0.000340696 +16 *761:8 *765:8 0.00893578 +*RES +1 *2826:sram_ro_data[30] *765:7 7.48595 +2 *765:7 *765:8 161.081 +3 *765:8 *765:10 4.5 +4 *765:10 *765:11 112.199 +5 *765:11 *2819:sram_ro_data[30] 16.3094 +*END + +*D_NET *766 0.0417821 +*CONN +*I *2819:sram_ro_data[31] I *D housekeeping +*I *2826:sram_ro_data[31] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[31] 0.00161999 +2 *2826:sram_ro_data[31] 0.00128433 +3 *766:11 0.00404912 +4 *766:10 0.00242914 +5 *766:8 0.00174797 +6 *766:7 0.0030323 +7 *766:8 *770:8 2.02035e-05 +8 *766:8 *771:8 7.84597e-06 +9 *766:8 *772:8 0 +10 *766:8 *775:14 0.00534136 +11 *2819:sram_ro_data[30] *2819:sram_ro_data[31] 0.000119662 +12 *68:10 *2819:sram_ro_data[31] 0.000476542 +13 *68:11 *766:11 0.0105753 +14 *68:14 *766:8 0.00167161 +15 *69:8 *766:8 0 +16 *765:8 *766:8 0.00937348 +17 *765:11 *766:11 3.31745e-05 +*RES +1 *2826:sram_ro_data[31] *766:7 7.56244 +2 *766:7 *766:8 152.776 +3 *766:8 *766:10 4.5 +4 *766:10 *766:11 112.199 +5 *766:11 *2819:sram_ro_data[31] 21.2159 +*END + +*D_NET *767 0.05846 +*CONN +*I *2819:sram_ro_data[3] I *D housekeeping +*I *2826:sram_ro_data[3] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[3] 0.0055429 +2 *2826:sram_ro_data[3] 0.013475 +3 *767:10 0.0190179 +4 *2819:sram_ro_data[3] *768:11 0.00297733 +5 *2819:sram_ro_data[3] *769:11 0.00148867 +6 *767:10 *768:8 0.00131641 +7 *767:10 *769:8 0.00146267 +8 *767:10 *770:8 0.00131641 +9 *767:10 *771:8 0.00131641 +10 *2819:sram_ro_data[2] *2819:sram_ro_data[3] 0.000835188 +11 *78:16 *767:10 0.000425309 +12 *738:14 *767:10 0.000971166 +13 *740:14 *767:10 0.00117826 +14 *741:14 *767:10 0.000910484 +15 *746:8 *767:10 0.000529343 +16 *750:8 *767:10 0.000804022 +17 *751:8 *767:10 0.000633785 +18 *752:8 *767:10 0.000665834 +19 *753:8 *767:10 0.00138014 +20 *754:8 *767:10 0.000529343 +21 *756:8 *767:10 0.000460046 +22 *764:8 *767:10 0.00122332 +23 *765:7 *2819:sram_ro_data[3] 0 +*RES +1 *2826:sram_ro_data[3] *767:10 38.0299 +2 *767:10 *2819:sram_ro_data[3] 21.6783 +*END + +*D_NET *768 0.0581283 +*CONN +*I *2819:sram_ro_data[4] I *D housekeeping +*I *2826:sram_ro_data[4] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[4] 0.001716 +2 *2826:sram_ro_data[4] 0.0012075 +3 *768:11 0.00415424 +4 *768:10 0.00243824 +5 *768:8 0.00219275 +6 *768:7 0.00340025 +7 *2819:sram_ro_data[4] *2819:sram_ro_data[5] 0.000559832 +8 *768:8 *769:8 0.000271132 +9 *768:8 *770:8 0.013381 +10 *768:11 *769:11 0.010518 +11 *2819:sram_ro_data[3] *768:11 0.00297733 +12 *732:14 *768:8 0 +13 *761:8 *768:8 0.000492159 +14 *764:8 *768:8 0.0135034 +15 *767:10 *768:8 0.00131641 +*RES +1 *2826:sram_ro_data[4] *768:7 7.56244 +2 *768:7 *768:8 233.335 +3 *768:8 *768:10 4.5 +4 *768:10 *768:11 111.644 +5 *768:11 *2819:sram_ro_data[4] 22.9534 +*END + +*D_NET *769 0.056077 +*CONN +*I *2819:sram_ro_data[5] I *D housekeeping +*I *2826:sram_ro_data[5] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[5] 0.00186967 +2 *2826:sram_ro_data[5] 0.00127043 +3 *769:11 0.00427665 +4 *769:10 0.00240698 +5 *769:8 0.00203122 +6 *769:7 0.00330165 +7 *2819:sram_ro_data[5] *2819:sram_ro_data[6] 0 +8 *769:8 *770:8 0.0134017 +9 *769:8 *771:8 0.012833 +10 *2819:sram_ro_data[3] *769:11 0.00148867 +11 *2819:sram_ro_data[4] *2819:sram_ro_data[5] 0.000559832 +12 *732:14 *769:8 0.000385324 +13 *767:10 *769:8 0.00146267 +14 *768:8 *769:8 0.000271132 +15 *768:11 *769:11 0.010518 +*RES +1 *2826:sram_ro_data[5] *769:7 7.71542 +2 *769:7 *769:8 224.615 +3 *769:8 *769:10 4.5 +4 *769:10 *769:11 111.09 +5 *769:11 *2819:sram_ro_data[5] 28.2752 +*END + +*D_NET *770 0.0545288 +*CONN +*I *2819:sram_ro_data[6] I *D housekeeping +*I *2826:sram_ro_data[6] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[6] 0.00158172 +2 *2826:sram_ro_data[6] 0.00124006 +3 *770:11 0.00399857 +4 *770:10 0.00241685 +5 *770:8 0.00210194 +6 *770:7 0.003342 +7 *2819:sram_ro_data[6] *2819:sram_ro_data[7] 0.000275937 +8 *770:8 *771:8 0.000503065 +9 *770:11 *771:11 0.0105245 +10 *2819:sram_ro_data[5] *2819:sram_ro_data[6] 0 +11 *761:8 *770:8 0.000153717 +12 *765:8 *770:8 0.000271132 +13 *766:8 *770:8 2.02035e-05 +14 *767:10 *770:8 0.00131641 +15 *768:8 *770:8 0.013381 +16 *769:8 *770:8 0.0134017 +*RES +1 *2826:sram_ro_data[6] *770:7 7.63893 +2 *770:7 *770:8 232.089 +3 *770:8 *770:10 4.5 +4 *770:10 *770:11 111.644 +5 *770:11 *2819:sram_ro_data[6] 18.3092 +*END + +*D_NET *771 0.0539237 +*CONN +*I *2819:sram_ro_data[7] I *D housekeeping +*I *2826:sram_ro_data[7] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[7] 0.0017475 +2 *2826:sram_ro_data[7] 0.00130517 +3 *771:11 0.0041446 +4 *771:10 0.0023971 +5 *771:8 0.00199727 +6 *771:7 0.00330245 +7 *2819:sram_ro_data[7] *2819:sram_ro_data[8] 0 +8 *771:8 *772:8 0.0132723 +9 *2819:sram_ro_data[6] *2819:sram_ro_data[7] 0.000275937 +10 *732:14 *771:8 0.000296518 +11 *766:8 *771:8 7.84597e-06 +12 *767:10 *771:8 0.00131641 +13 *769:8 *771:8 0.012833 +14 *770:8 *771:8 0.000503065 +15 *770:11 *771:11 0.0105245 +*RES +1 *2826:sram_ro_data[7] *771:7 7.79191 +2 *771:7 *771:8 223.369 +3 *771:8 *771:10 4.5 +4 *771:10 *771:11 111.09 +5 *771:11 *2819:sram_ro_data[7] 23.631 +*END + +*D_NET *772 0.0581153 +*CONN +*I *2819:sram_ro_data[8] I *D housekeeping +*I *2826:sram_ro_data[8] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[8] 0.00147334 +2 *2826:sram_ro_data[8] 0.00134814 +3 *772:11 0.00239682 +4 *772:10 0.000923487 +5 *772:8 0.00223917 +6 *772:7 0.00358731 +7 *2819:sram_ro_data[8] *2819:sram_ro_data[9] 0 +8 *772:11 *773:17 0.00940132 +9 *2819:sram_ro_data[7] *2819:sram_ro_data[8] 0 +10 *68:14 *772:8 0.000222466 +11 *732:14 *772:8 0.00117332 +12 *743:8 *772:8 0.00109865 +13 *743:11 *772:11 0.010119 +14 *747:8 *772:8 0.01086 +15 *766:8 *772:8 0 +16 *771:8 *772:8 0.0132723 +*RES +1 *2826:sram_ro_data[8] *772:7 7.8684 +2 *772:7 *772:8 230.013 +3 *772:8 *772:10 4.5 +4 *772:10 *772:11 109.98 +5 *772:11 *2819:sram_ro_data[8] 13.7414 +*END + +*D_NET *773 0.0367939 +*CONN +*I *2819:sram_ro_data[9] I *D housekeeping +*I *2826:sram_ro_data[9] O *D mgmt_core_wrapper +*CAP +1 *2819:sram_ro_data[9] 0.00163355 +2 *2826:sram_ro_data[9] 0.00180734 +3 *773:17 0.00371049 +4 *773:16 0.00207695 +5 *773:14 0.00747212 +6 *773:13 0.00927946 +7 *2819:sram_ro_data[10] *2819:sram_ro_data[9] 0 +8 *2819:sram_ro_data[8] *2819:sram_ro_data[9] 0 +9 *75:19 *773:14 0.0006605 +10 *89:34 *773:13 2.02035e-05 +11 *734:14 *773:14 0.000731912 +12 *772:11 *773:17 0.00940132 +*RES +1 *2826:sram_ro_data[9] *773:13 39.581 +2 *773:13 *773:14 221.085 +3 *773:14 *773:16 4.5 +4 *773:16 *773:17 98.8882 +5 *773:17 *2819:sram_ro_data[9] 19.0632 +*END + +*D_NET *774 0.0351049 +*CONN +*I *2826:irq[3] I *D mgmt_core_wrapper +*I *2819:irq[0] O *D housekeeping +*CAP +1 *2826:irq[3] 0.00152701 +2 *2819:irq[0] 0.00181899 +3 *774:14 0.00325881 +4 *774:13 0.0017318 +5 *774:11 0.00221828 +6 *774:10 0.00403727 +7 *774:10 *2819:trap 0.000384809 +8 *774:10 *775:10 0 +9 *774:11 *2588:11 0.0101957 +10 *774:14 *2584:8 0.00518855 +11 *774:14 *2585:14 0.00399753 +12 *774:14 *2587:8 0.000746169 +13 *774:14 *2588:8 0 +*RES +1 *2819:irq[0] *774:10 25.4449 +2 *774:10 *774:11 106.653 +3 *774:11 *774:13 4.5 +4 *774:13 *774:14 111.251 +5 *774:14 *2826:irq[3] 8.25085 +*END + +*D_NET *775 0.0377582 +*CONN +*I *2826:irq[4] I *D mgmt_core_wrapper +*I *2819:irq[1] O *D housekeeping +*CAP +1 *2826:irq[4] 0.00135606 +2 *2819:irq[1] 0.00149775 +3 *775:14 0.00326334 +4 *775:13 0.00190728 +5 *775:11 0.00239014 +6 *775:10 0.0038879 +7 *775:10 *2819:spi_sdoenb 6.75696e-05 +8 *775:10 *776:10 9.32067e-05 +9 *775:11 *776:11 0.010495 +10 *775:11 *2587:11 1.92172e-05 +11 *775:14 *776:14 0.00151273 +12 *775:14 *2589:8 0 +13 *68:14 *775:14 0.00531892 +14 *69:8 *775:14 0 +15 *698:14 *775:14 0.000385324 +16 *699:14 *775:14 0.000222466 +17 *766:8 *775:14 0.00534136 +18 *774:10 *775:10 0 +*RES +1 *2819:irq[1] *775:10 15.4024 +2 *775:10 *775:11 111.644 +3 *775:11 *775:13 4.5 +4 *775:13 *775:14 136.997 +5 *775:14 *2826:irq[4] 7.63893 +*END + +*D_NET *776 0.0478446 +*CONN +*I *2826:irq[5] I *D mgmt_core_wrapper +*I *2819:irq[2] O *D housekeeping +*CAP +1 *2826:irq[5] 0.00140496 +2 *2819:irq[2] 0.0016829 +3 *776:14 0.00301488 +4 *776:13 0.00160992 +5 *776:11 0.00068181 +6 *776:10 0.00236471 +7 *776:10 *2819:spi_sdoenb 0.000172802 +8 *776:11 *2587:11 0.0103443 +9 *776:14 *2586:8 0.000524714 +10 *776:14 *2588:8 0.00800223 +11 *68:14 *776:14 0.00594041 +12 *699:14 *776:14 0 +13 *775:10 *776:10 9.32067e-05 +14 *775:11 *776:11 0.010495 +15 *775:14 *776:14 0.00151273 +*RES +1 *2819:irq[2] *776:10 20.8772 +2 *776:10 *776:11 109.98 +3 *776:11 *776:13 4.5 +4 *776:13 *776:14 147.378 +5 *776:14 *2826:irq[5] 7.79191 +*END + +*D_NET *777 0.170778 +*CONN +*I *2826:la_input[0] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[0] O *D mgmt_protect +*CAP +1 *2826:la_input[0] 0.00122511 +2 *2820:la_data_in_mprj[0] 0.00244508 +3 *777:14 0.00858082 +4 *777:13 0.00783452 +5 *777:8 0.00101214 +6 *777:7 0.000533331 +7 *777:5 0.00244508 +8 *2826:la_input[0] *1289:7 0 +9 *2826:la_input[0] *1417:9 0 +10 *777:5 *2820:la_data_out_mprj[0] 0.000510561 +11 *777:5 *1096:7 0 +12 *777:8 *808:8 0.00238617 +13 *777:8 *840:14 0.00048572 +14 *777:8 *1323:10 0.000441684 +15 *777:8 *1340:10 0.00580867 +16 *777:13 *2826:la_input[38] 0 +17 *777:14 *849:14 0 +18 *777:14 *1083:10 0.0686565 +19 *777:14 *1105:10 0.0648399 +20 *777:14 *1339:10 0.000392907 +21 *777:14 *1417:10 0.000102438 +22 *777:14 *1467:10 0.00307725 +*RES +1 *2820:la_data_in_mprj[0] *777:5 49.2929 +2 *777:5 *777:7 4.5 +3 *777:7 *777:8 61.1752 +4 *777:8 *777:13 16.6455 +5 *777:13 *777:14 775.505 +6 *777:14 *2826:la_input[0] 37.2439 +*END + +*D_NET *778 0.0727379 +*CONN +*I *2826:la_input[100] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[100] O *D mgmt_protect +*CAP +1 *2826:la_input[100] 0.00106887 +2 *2820:la_data_in_mprj[100] 0.000526946 +3 *778:17 0.0026466 +4 *778:13 0.0022178 +5 *778:8 0.00390554 +6 *778:7 0.00379241 +7 *2826:la_input[100] *1290:9 0 +8 *2826:la_input[100] *1418:9 0 +9 *778:7 *2820:la_data_out_mprj[100] 0.000332124 +10 *778:7 *2820:la_oenb_mprj[99] 0 +11 *778:8 *779:8 0.0295473 +12 *778:8 *781:8 0.0250665 +13 *778:8 *783:8 0.000356194 +14 *778:8 *806:8 1.65872e-05 +15 *778:8 *895:8 0.000339574 +16 *778:8 *1537:16 0.0010229 +17 *778:8 *1757:24 3.13797e-05 +18 *778:8 *1787:30 0.000380813 +19 *778:13 *2820:mprj_adr_o_core[11] 0 +20 *778:13 *2820:mprj_dat_o_core[10] 0.000128425 +21 *778:13 *779:11 0.000754192 +22 *778:13 *1826:5 2.55661e-06 +23 *778:17 *2820:mprj_adr_o_core[11] 7.10146e-06 +24 *778:17 *779:11 0.000593975 +25 *778:17 *1290:9 0 +*RES +1 *2820:la_data_in_mprj[100] *778:7 21.8185 +2 *778:7 *778:8 326.276 +3 *778:8 *778:13 20.878 +4 *778:13 *778:17 34.3682 +5 *778:17 *2826:la_input[100] 24.3777 +*END + +*D_NET *779 0.0733738 +*CONN +*I *2826:la_input[101] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[101] O *D mgmt_protect +*CAP +1 *2826:la_input[101] 0.00108492 +2 *2820:la_data_in_mprj[101] 0.000687557 +3 *779:16 0.0014064 +4 *779:11 0.00213909 +5 *779:10 0.0018176 +6 *779:8 0.00341097 +7 *779:7 0.00409853 +8 *2826:la_input[101] *1291:7 0 +9 *2826:la_input[101] *1419:9 0 +10 *2826:la_input[101] *1830:5 1.77537e-06 +11 *779:7 *2820:la_data_out_mprj[101] 0 +12 *779:7 *2820:la_oenb_mprj[100] 0 +13 *779:8 *780:8 0.00178597 +14 *779:8 *806:8 0.00950239 +15 *779:8 *895:8 0.0128217 +16 *779:11 *1290:9 0 +17 *779:11 *1826:5 0.000697764 +18 *779:11 *1915:32 8.67307e-05 +19 *779:16 *780:16 0.000479262 +20 *779:16 *1302:10 0.00030473 +21 *779:16 *1424:20 0.00215292 +22 *778:8 *779:8 0.0295473 +23 *778:13 *779:11 0.000754192 +24 *778:17 *779:11 0.000593975 +*RES +1 *2820:la_data_in_mprj[101] *779:7 22.2337 +2 *779:7 *779:8 317.402 +3 *779:8 *779:10 4.5 +4 *779:10 *779:11 47.5097 +5 *779:11 *779:16 31.9075 +6 *779:16 *2826:la_input[101] 24.4388 +*END + +*D_NET *780 0.0702118 +*CONN +*I *2826:la_input[102] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[102] O *D mgmt_protect +*CAP +1 *2826:la_input[102] 0.0010742 +2 *2820:la_data_in_mprj[102] 0.000605237 +3 *780:16 0.00140326 +4 *780:11 0.00216921 +5 *780:10 0.00184015 +6 *780:8 0.00388318 +7 *780:7 0.00448842 +8 *2826:la_input[102] *1292:7 0 +9 *2826:la_input[102] *1420:9 0 +10 *780:7 *2820:la_data_out_mprj[102] 0.000349371 +11 *780:7 *2820:la_oenb_mprj[101] 0 +12 *780:8 *782:8 0.02877 +13 *780:8 *806:8 0.00114706 +14 *780:8 *895:8 0.00342152 +15 *780:8 *1291:10 0.00070333 +16 *780:8 *1539:16 0.00412743 +17 *780:8 *1825:8 0.00855062 +18 *780:11 *2820:mprj_adr_o_core[13] 0.000165314 +19 *780:11 *1034:9 0 +20 *780:11 *1291:7 0.00226077 +21 *780:16 *1294:12 0.000691706 +22 *780:16 *1302:10 0.000441509 +23 *780:16 *1424:20 6.24819e-05 +24 *780:16 *1830:10 0.00179183 +25 *779:8 *780:8 0.00178597 +26 *779:16 *780:16 0.000479262 +*RES +1 *2820:la_data_in_mprj[102] *780:7 23.4795 +2 *780:7 *780:8 326.276 +3 *780:8 *780:10 4.5 +4 *780:10 *780:11 46.6792 +5 *780:11 *780:16 34.6806 +6 *780:16 *2826:la_input[102] 24.0236 +*END + +*D_NET *781 0.0831224 +*CONN +*I *2826:la_input[103] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[103] O *D mgmt_protect +*CAP +1 *2826:la_input[103] 0.00133294 +2 *2820:la_data_in_mprj[103] 0.000651536 +3 *781:14 0.00204448 +4 *781:13 0.000711534 +5 *781:11 0.00185866 +6 *781:8 0.00430468 +7 *781:7 0.00309756 +8 *2826:la_input[103] *1293:12 0 +9 *2826:la_input[103] *1421:11 0 +10 *2826:la_input[103] *1851:13 8.62625e-06 +11 *781:7 *2820:la_data_out_mprj[103] 0 +12 *781:7 *2820:la_oenb_mprj[102] 0 +13 *781:8 *783:8 0.0234782 +14 *781:8 *1536:16 0.000194701 +15 *781:8 *1537:16 0.000884788 +16 *781:11 *2820:mprj_adr_o_core[5] 0 +17 *781:11 *2820:mprj_adr_o_core[6] 0.000100025 +18 *781:11 *2820:mprj_dat_o_core[5] 3.29488e-05 +19 *781:11 *1039:19 0.000886713 +20 *781:11 *1158:9 0 +21 *781:11 *1542:9 0.00044658 +22 *781:11 *1853:5 0 +23 *781:14 *1782:10 0.00894732 +24 *781:14 *1847:8 0.00895152 +25 *781:14 *2499:10 0.00012309 +26 *778:8 *781:8 0.0250665 +*RES +1 *2820:la_data_in_mprj[103] *781:7 21.4032 +2 *781:7 *781:8 269.152 +3 *781:8 *781:11 48.2725 +4 *781:11 *781:13 4.5 +5 *781:13 *781:14 95.006 +6 *781:14 *2826:la_input[103] 33.5066 +*END + +*D_NET *782 0.0846024 +*CONN +*I *2826:la_input[104] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[104] O *D mgmt_protect +*CAP +1 *2826:la_input[104] 0.00144065 +2 *2820:la_data_in_mprj[104] 0.00060738 +3 *782:14 0.00181769 +4 *782:13 0.00200999 +5 *782:8 0.00463122 +6 *782:7 0.00360565 +7 *2826:la_input[104] *1422:12 0 +8 *2826:la_input[104] *1422:15 0 +9 *782:7 *2820:la_data_out_mprj[104] 0.000317098 +10 *782:7 *2820:la_oenb_mprj[103] 0 +11 *782:7 *1537:13 0.000155272 +12 *782:8 *1291:10 0.0288209 +13 *782:8 *1825:8 0.000679181 +14 *782:8 *1850:8 0.000655033 +15 *782:13 *2820:mprj_adr_o_core[16] 0.000294715 +16 *782:13 *2820:mprj_dat_o_core[15] 0.000673289 +17 *782:13 *1035:9 6.62712e-05 +18 *782:13 *1294:15 0.000221481 +19 *782:14 *783:14 0.00476961 +20 *782:14 *1420:10 0.000133887 +21 *782:14 *1786:24 0.00477383 +22 *782:14 *1897:16 0.000159297 +23 *780:8 *782:8 0.02877 +*RES +1 *2820:la_data_in_mprj[104] *782:7 23.8947 +2 *782:7 *782:8 325.721 +3 *782:8 *782:13 47.7894 +4 *782:13 *782:14 50.6377 +5 *782:14 *2826:la_input[104] 34.8745 +*END + +*D_NET *783 0.0813359 +*CONN +*I *2826:la_input[105] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[105] O *D mgmt_protect +*CAP +1 *2826:la_input[105] 0.00149936 +2 *2820:la_data_in_mprj[105] 0.000623278 +3 *783:14 0.00309513 +4 *783:13 0.00159577 +5 *783:11 0.00183193 +6 *783:8 0.00462132 +7 *783:7 0.00341266 +8 *2826:la_input[105] *1295:7 0 +9 *2826:la_input[105] *1423:7 0 +10 *783:7 *2820:la_data_out_mprj[105] 0 +11 *783:7 *2820:la_oenb_mprj[104] 0 +12 *783:8 *1297:20 0.0209066 +13 *783:8 *1757:24 0.000331969 +14 *783:11 *2820:mprj_dat_o_core[6] 0 +15 *783:11 *1415:9 7.09666e-06 +16 *783:11 *1786:27 0.000797848 +17 *783:11 *1853:5 0 +18 *783:14 *1036:10 0.00710789 +19 *783:14 *1419:10 0.00476094 +20 *783:14 *1420:10 0.000252177 +21 *783:14 *1774:16 7.46601e-05 +22 *783:14 *1786:24 0.00107822 +23 *783:14 *1826:8 0.000135103 +24 *783:14 *1851:8 9.48476e-05 +25 *783:14 *1855:8 0 +26 *783:14 *1897:16 0.000341421 +27 *783:14 *1906:10 0.000163617 +28 *778:8 *783:8 0.000356194 +29 *781:8 *783:8 0.0234782 +30 *782:14 *783:14 0.00476961 +*RES +1 *2820:la_data_in_mprj[105] *783:7 20.988 +2 *783:7 *783:8 260.832 +3 *783:8 *783:11 45.781 +4 *783:11 *783:13 4.5 +5 *783:13 *783:14 126.618 +6 *783:14 *2826:la_input[105] 36.4134 +*END + +*D_NET *784 0.0628349 +*CONN +*I *2826:la_input[106] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[106] O *D mgmt_protect +*CAP +1 *2826:la_input[106] 0.000433035 +2 *2820:la_data_in_mprj[106] 0.00312093 +3 *784:8 0.00953833 +4 *784:7 0.0091053 +5 *784:5 0.00312093 +6 *2826:la_input[106] *1296:9 0 +7 *2826:la_input[106] *1424:9 0 +8 *784:5 *2820:la_data_out_mprj[106] 0.000666065 +9 *784:5 *2820:la_oenb_mprj[105] 0 +10 *784:5 *1145:9 0 +11 *784:5 *1529:9 0 +12 *784:8 *785:8 0.0368503 +13 *784:8 *1726:24 0 +*RES +1 *2820:la_data_in_mprj[106] *784:5 77.53 +2 *784:5 *784:7 4.5 +3 *784:7 *784:8 398.374 +4 *784:8 *2826:la_input[106] 16.4812 +*END + +*D_NET *785 0.0894992 +*CONN +*I *2826:la_input[107] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[107] O *D mgmt_protect +*CAP +1 *2826:la_input[107] 0.00044764 +2 *2820:la_data_in_mprj[107] 0.00299776 +3 *785:8 0.00380384 +4 *785:7 0.0033562 +5 *785:5 0.00299776 +6 *2826:la_input[107] *1297:9 0 +7 *785:5 *2820:la_data_out_mprj[107] 0 +8 *785:5 *2820:la_oenb_mprj[106] 0 +9 *785:5 *1145:9 0 +10 *785:5 *1402:9 0.000428789 +11 *785:5 *1539:13 0.000605676 +12 *785:8 *786:8 0.0379591 +13 *785:8 *1726:24 5.21591e-05 +14 *784:8 *785:8 0.0368503 +*RES +1 *2820:la_data_in_mprj[107] *785:5 77.1148 +2 *785:5 *785:7 4.5 +3 *785:7 *785:8 410.575 +4 *785:8 *2826:la_input[107] 16.8965 +*END + +*D_NET *786 0.0915908 +*CONN +*I *2826:la_input[108] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[108] O *D mgmt_protect +*CAP +1 *2826:la_input[108] 0.000462246 +2 *2820:la_data_in_mprj[108] 0.0028554 +3 *786:8 0.00386189 +4 *786:7 0.00339964 +5 *786:5 0.0028554 +6 *2826:la_input[108] *1298:9 0 +7 *2826:la_input[108] *1426:7 0 +8 *786:5 *2820:la_data_out_mprj[108] 0.000491836 +9 *786:5 *2820:la_oenb_mprj[107] 0 +10 *786:5 *2826:la_input[87] 0 +11 *786:5 *1530:9 0.000395615 +12 *786:5 *1538:15 0 +13 *786:5 *1540:15 0.000459291 +14 *786:8 *787:8 0.000371284 +15 *786:8 *789:8 0.0382311 +16 *786:8 *1726:24 0.00013526 +17 *786:8 *1733:11 0.00011282 +18 *785:8 *786:8 0.0379591 +*RES +1 *2820:la_data_in_mprj[108] *786:5 76.6995 +2 *786:5 *786:7 4.5 +3 *786:7 *786:8 421.668 +4 *786:8 *2826:la_input[108] 17.3118 +*END + +*D_NET *787 0.0952145 +*CONN +*I *2826:la_input[109] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[109] O *D mgmt_protect +*CAP +1 *2826:la_input[109] 0.000491363 +2 *2820:la_data_in_mprj[109] 0.00318475 +3 *787:8 0.00367303 +4 *787:7 0.00318167 +5 *787:5 0.00318475 +6 *2826:la_input[109] *1299:7 0 +7 *2826:la_input[109] *1427:9 0 +8 *787:5 *2820:la_data_out_mprj[109] 0 +9 *787:5 *2820:la_iena_mprj[108] 0 +10 *787:5 *2820:la_iena_mprj[109] 0 +11 *787:5 *2820:la_oenb_mprj[108] 0 +12 *787:5 *1146:9 0 +13 *787:5 *1403:9 0 +14 *787:5 *1542:15 0.000440845 +15 *787:8 *789:8 0.0401998 +16 *787:8 *790:8 0.0394138 +17 *787:8 *1726:24 0.000713504 +18 *787:8 *1733:11 0.000359698 +19 *786:8 *787:8 0.000371284 +*RES +1 *2820:la_data_in_mprj[109] *787:5 75.869 +2 *787:5 *787:7 4.5 +3 *787:7 *787:8 434.423 +4 *787:8 *2826:la_input[109] 17.5804 +*END + +*D_NET *788 0.0912316 +*CONN +*I *2826:la_input[10] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[10] O *D mgmt_protect +*CAP +1 *2826:la_input[10] 0.00160982 +2 *2820:la_data_in_mprj[10] 0.0021316 +3 *788:18 0.0162339 +4 *788:17 0.0147217 +5 *788:12 0.00280792 +6 *788:10 0.00274836 +7 *788:8 0.00184094 +8 *788:7 0.00180279 +9 *788:5 0.0021316 +10 *2826:la_input[10] *1300:7 0 +11 *2826:la_input[10] *1428:7 0 +12 *788:5 *2820:la_data_out_mprj[10] 0.00054302 +13 *788:5 *2820:la_oenb_mprj[9] 0 +14 *788:8 *808:8 6.11914e-05 +15 *788:8 *837:8 2.15184e-05 +16 *788:8 *839:8 0.0104552 +17 *788:8 *844:8 0.00042956 +18 *788:8 *882:8 0.000693066 +19 *788:8 *1081:16 0.0014486 +20 *788:8 *1097:16 8.03262e-05 +21 *788:12 *808:8 0.00254609 +22 *788:12 *833:8 0 +23 *788:12 *834:10 0 +24 *788:12 *835:8 0 +25 *788:12 *836:8 0.000131972 +26 *788:12 *837:8 0.000347228 +27 *788:12 *882:8 0.000871419 +28 *788:17 *2826:la_input[35] 0.00017296 +29 *788:18 *882:14 0 +30 *788:18 *1329:10 0 +31 *788:18 *1331:10 0 +32 *788:18 *1333:10 0.00025368 +33 *788:18 *1335:10 0.000179601 +34 *788:18 *1337:10 0.00041958 +35 *788:18 *1340:10 0.00994838 +36 *788:18 *1449:10 0.00301214 +37 *788:18 *1459:10 0 +38 *788:18 *1463:10 0.000746162 +39 *788:18 *1465:10 0.0128413 +*RES +1 *2820:la_data_in_mprj[10] *788:5 46.3861 +2 *788:5 *788:7 4.5 +3 *788:7 *788:8 111.644 +4 *788:8 *788:10 0.988641 +5 *788:10 *788:12 102.77 +6 *788:12 *788:17 12.0778 +7 *788:17 *788:18 505.967 +8 *788:18 *2826:la_input[10] 43.8879 +*END + +*D_NET *789 0.0965508 +*CONN +*I *2826:la_input[110] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[110] O *D mgmt_protect +*CAP +1 *2826:la_input[110] 0.000494527 +2 *2820:la_data_in_mprj[110] 0.00222292 +3 *789:8 0.00436743 +4 *789:7 0.0038729 +5 *789:5 0.00222292 +6 *2826:la_input[110] *1301:9 0 +7 *2826:la_input[110] *1429:7 0 +8 *789:5 *2820:la_data_out_mprj[110] 0.000460632 +9 *789:5 *2820:la_oenb_mprj[109] 0.00149775 +10 *789:5 *891:11 0.00119889 +11 *789:5 *1531:9 0 +12 *789:5 *1543:15 0.000611412 +13 *789:8 *790:8 0.00083916 +14 *789:8 *1733:11 0.000331419 +15 *786:8 *789:8 0.0382311 +16 *787:8 *789:8 0.0401998 +*RES +1 *2820:la_data_in_mprj[110] *789:5 76.2843 +2 *789:5 *789:7 4.5 +3 *789:7 *789:8 445.515 +4 *789:8 *2826:la_input[110] 17.727 +*END + +*D_NET *790 0.0995785 +*CONN +*I *2826:la_input[111] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[111] O *D mgmt_protect +*CAP +1 *2826:la_input[111] 0.000523737 +2 *2820:la_data_in_mprj[111] 0.00317184 +3 *790:8 0.00414236 +4 *790:7 0.00361863 +5 *790:5 0.00317184 +6 *2826:la_input[111] *1302:9 0 +7 *2826:la_input[111] *1430:7 0 +8 *790:5 *2820:la_data_out_mprj[111] 0 +9 *790:5 *2820:la_iena_mprj[110] 0 +10 *790:5 *2820:la_iena_mprj[111] 0 +11 *790:5 *2820:la_oenb_mprj[110] 0 +12 *790:5 *1147:9 0.000865254 +13 *790:5 *1531:9 0 +14 *790:8 *1726:24 0.0431947 +15 *790:8 *1733:11 0.000637172 +16 *787:8 *790:8 0.0394138 +17 *789:8 *790:8 0.00083916 +*RES +1 *2820:la_data_in_mprj[111] *790:5 75.4538 +2 *790:5 *790:7 4.5 +3 *790:7 *790:8 457.162 +4 *790:8 *2826:la_input[111] 18.5575 +*END + +*D_NET *791 0.101659 +*CONN +*I *2826:la_input[112] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[112] O *D mgmt_protect +*CAP +1 *2826:la_input[112] 0.000968893 +2 *2820:la_data_in_mprj[112] 0.00279461 +3 *791:8 0.00459729 +4 *791:7 0.00362839 +5 *791:5 0.00279461 +6 *2826:la_input[112] *1303:7 0 +7 *2826:la_input[112] *1431:7 0 +8 *791:5 *2820:la_data_out_mprj[112] 0.000549702 +9 *791:5 *2820:la_iena_mprj[111] 0 +10 *791:5 *2820:la_oenb_mprj[111] 0 +11 *791:5 *892:11 0 +12 *791:5 *1404:9 0 +13 *791:8 *792:8 0.0434425 +14 *791:8 *1302:10 0.0418026 +15 *791:8 *1826:14 0.00108064 +*RES +1 *2820:la_data_in_mprj[112] *791:5 67.1487 +2 *791:5 *791:7 4.5 +3 *791:7 *791:8 468.809 +4 *791:8 *2826:la_input[112] 26.8626 +*END + +*D_NET *792 0.103802 +*CONN +*I *2826:la_input[113] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[113] O *D mgmt_protect +*CAP +1 *2826:la_input[113] 0.000873658 +2 *2820:la_data_in_mprj[113] 0.00300082 +3 *792:8 0.00471275 +4 *792:7 0.00383909 +5 *792:5 0.00300082 +6 *2826:la_input[113] *1432:7 0 +7 *792:5 *2820:la_data_out_mprj[113] 0 +8 *792:5 *2820:la_iena_mprj[112] 0 +9 *792:5 *2820:la_iena_mprj[113] 0 +10 *792:5 *2820:la_oenb_mprj[112] 0 +11 *792:5 *1148:9 0 +12 *792:5 *1532:9 0.000231363 +13 *792:8 *793:10 0.000301469 +14 *792:8 *794:8 0.0438738 +15 *792:8 *1826:14 0.000526284 +16 *791:8 *792:8 0.0434425 +*RES +1 *2820:la_data_in_mprj[113] *792:5 67.564 +2 *792:5 *792:7 4.5 +3 *792:7 *792:8 482.119 +4 *792:8 *2826:la_input[113] 24.7619 +*END + +*D_NET *793 0.107366 +*CONN +*I *2826:la_input[114] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[114] O *D mgmt_protect +*CAP +1 *2826:la_input[114] 0.000904585 +2 *2820:la_data_in_mprj[114] 4.25268e-05 +3 *793:10 0.00476458 +4 *793:9 0.00386 +5 *793:7 0.00248736 +6 *793:5 0.00252989 +7 *2826:la_input[114] *1305:7 0 +8 *2826:la_input[114] *1433:9 0 +9 *793:7 *2820:la_data_out_mprj[114] 0.0022998 +10 *793:7 *2820:la_iena_mprj[113] 0 +11 *793:7 *2820:la_oenb_mprj[113] 0 +12 *793:7 *1406:9 0.000216312 +13 *793:10 *794:8 0.0456578 +14 *793:10 *795:8 0.000414665 +15 *793:10 *796:10 0.0438867 +16 *792:8 *793:10 0.000301469 +*RES +1 *2820:la_data_in_mprj[114] *793:5 1.20912 +2 *793:5 *793:7 68.48 +3 *793:7 *793:9 4.5 +4 *793:9 *793:10 490.993 +5 *793:10 *2826:la_input[114] 25.6168 +*END + +*D_NET *794 0.107448 +*CONN +*I *2826:la_input[115] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[115] O *D mgmt_protect +*CAP +1 *2826:la_input[115] 0.00091917 +2 *2820:la_data_in_mprj[115] 0.00268411 +3 *794:8 0.00494915 +4 *794:7 0.00402998 +5 *794:5 0.00268411 +6 *2826:la_input[115] *1306:7 0 +7 *2826:la_input[115] *1434:7 0 +8 *794:5 *2820:la_data_out_mprj[115] 0 +9 *794:5 *2820:la_oenb_mprj[114] 0 +10 *794:5 *894:15 0.000986943 +11 *794:5 *1534:9 0.000159754 +12 *794:8 *796:10 0.00083916 +13 *794:8 *1826:14 0.000663933 +14 *792:8 *794:8 0.0438738 +15 *793:10 *794:8 0.0456578 +*RES +1 *2820:la_data_in_mprj[115] *794:5 67.9792 +2 *794:5 *794:7 4.5 +3 *794:7 *794:8 503.749 +4 *794:8 *2826:la_input[115] 26.032 +*END + +*D_NET *795 0.110943 +*CONN +*I *2826:la_input[116] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[116] O *D mgmt_protect +*CAP +1 *2826:la_input[116] 0.000861712 +2 *2820:la_data_in_mprj[116] 0.00288018 +3 *795:8 0.00489654 +4 *795:7 0.00403483 +5 *795:5 0.00288018 +6 *2826:la_input[116] *1307:7 0 +7 *795:5 *2820:la_data_out_mprj[116] 0.000659677 +8 *795:5 *2820:la_iena_mprj[115] 0 +9 *795:5 *2820:la_oenb_mprj[115] 0 +10 *795:5 *1150:9 0 +11 *795:5 *1407:9 0 +12 *795:8 *796:10 0.0477161 +13 *795:8 *797:8 0.000342221 +14 *795:8 *798:8 0.0462571 +15 *795:8 *1721:24 0 +16 *793:10 *795:8 0.000414665 +*RES +1 *2820:la_data_in_mprj[116] *795:5 69.225 +2 *795:5 *795:7 4.5 +3 *795:7 *795:8 515.396 +4 *795:8 *2826:la_input[116] 24.7863 +*END + +*D_NET *796 0.111704 +*CONN +*I *2826:la_input[117] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[117] O *D mgmt_protect +*CAP +1 *2826:la_input[117] 0.000876298 +2 *2820:la_data_in_mprj[117] 6.22868e-05 +3 *796:10 0.00540215 +4 *796:9 0.00452585 +5 *796:7 0.00238687 +6 *796:5 0.00244916 +7 *2826:la_input[117] *1308:7 0 +8 *2826:la_input[117] *1436:7 0 +9 *796:7 *2820:la_data_out_mprj[117] 0.00198723 +10 *796:7 *2820:la_oenb_mprj[116] 0 +11 *796:7 *895:11 0.000708828 +12 *796:7 *1535:9 0 +13 *796:10 *798:8 0.000863309 +14 *796:10 *1826:14 0 +15 *793:10 *796:10 0.0438867 +16 *794:8 *796:10 0.00083916 +17 *795:8 *796:10 0.0477161 +*RES +1 *2820:la_data_in_mprj[117] *796:5 1.77093 +2 *796:5 *796:7 68.8952 +3 *796:7 *796:9 4.5 +4 *796:9 *796:10 525.933 +5 *796:10 *2826:la_input[117] 25.2015 +*END + +*D_NET *797 0.116291 +*CONN +*I *2826:la_input[118] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[118] O *D mgmt_protect +*CAP +1 *2826:la_input[118] 0.00081884 +2 *2820:la_data_in_mprj[118] 0.00284442 +3 *797:8 0.00498203 +4 *797:7 0.00416319 +5 *797:5 0.00284442 +6 *2826:la_input[118] *1309:9 0 +7 *2826:la_input[118] *1437:9 0 +8 *797:5 *2820:la_data_out_mprj[118] 0.000552858 +9 *797:5 *2820:la_iena_mprj[117] 0 +10 *797:5 *2820:la_oenb_mprj[117] 0 +11 *797:5 *1151:9 0.000403904 +12 *797:5 *1535:9 0 +13 *797:8 *798:8 0.0500866 +14 *797:8 *800:8 0.0492521 +15 *797:8 *1721:24 0 +16 *795:8 *797:8 0.000342221 +*RES +1 *2820:la_data_in_mprj[118] *797:5 70.0555 +2 *797:5 *797:7 4.5 +3 *797:7 *797:8 538.689 +4 *797:8 *2826:la_input[118] 23.9558 +*END + +*D_NET *798 0.116492 +*CONN +*I *2826:la_input[119] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[119] O *D mgmt_protect +*CAP +1 *2826:la_input[119] 0.000833425 +2 *2820:la_data_in_mprj[119] 0.00265163 +3 *798:8 0.00551386 +4 *798:7 0.00468044 +5 *798:5 0.00265163 +6 *2826:la_input[119] *1310:7 0 +7 *2826:la_input[119] *1438:7 0 +8 *798:5 *2820:la_data_out_mprj[119] 0 +9 *798:5 *2820:la_iena_mprj[118] 0 +10 *798:5 *2820:la_oenb_mprj[118] 0 +11 *798:5 *896:11 0 +12 *798:5 *1408:9 0.000386896 +13 *798:5 *1433:15 0.00170338 +14 *798:8 *800:8 0.000863309 +15 *798:8 *1826:14 0 +16 *795:8 *798:8 0.0462571 +17 *796:10 *798:8 0.000863309 +18 *797:8 *798:8 0.0500866 +*RES +1 *2820:la_data_in_mprj[119] *798:5 69.6402 +2 *798:5 *798:7 4.5 +3 *798:7 *798:8 550.89 +4 *798:8 *2826:la_input[119] 24.371 +*END + +*D_NET *799 0.148091 +*CONN +*I *2826:la_input[11] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[11] O *D mgmt_protect +*CAP +1 *2826:la_input[11] 7.21667e-05 +2 *2820:la_data_in_mprj[11] 0.000968114 +3 *799:23 0.00208236 +4 *799:22 0.00201019 +5 *799:20 0.00555468 +6 *799:19 0.00567662 +7 *799:14 0.00052173 +8 *799:13 0.00144366 +9 *799:8 0.00168532 +10 *799:7 0.00160957 +11 *799:7 *2820:la_data_out_mprj[11] 0 +12 *799:7 *2820:la_oenb_mprj[10] 0 +13 *799:8 *2820:la_data_out_mprj[7] 0.000207355 +14 *799:8 *1138:10 0.00150051 +15 *799:8 *1452:10 0.00114213 +16 *799:8 *1482:16 0.00411723 +17 *799:8 *1522:10 0.00347993 +18 *799:13 *2820:la_data_out_mprj[3] 1.05272e-06 +19 *799:13 *2820:la_iena_mprj[3] 3.29488e-05 +20 *799:13 *2820:la_oenb_mprj[3] 0 +21 *799:13 *810:19 4.13298e-05 +22 *799:13 *838:7 0 +23 *799:13 *1354:7 4.73692e-05 +24 *799:13 *1354:13 0 +25 *799:14 *816:8 0.000338665 +26 *799:14 *838:8 0.000101365 +27 *799:14 *871:8 0.00514979 +28 *799:14 *1044:14 0.00513492 +29 *799:19 *1064:19 2.46648e-05 +30 *799:20 *810:20 0.0501971 +31 *799:20 *860:8 0.00637173 +32 *799:20 *1044:10 0.000530796 +33 *799:20 *1064:10 0.00075235 +34 *799:20 *1067:10 0.0472956 +35 *799:23 *1311:9 0 +36 *799:23 *1439:9 0 +*RES +1 *2820:la_data_in_mprj[11] *799:7 27.2167 +2 *799:7 *799:8 65.0574 +3 *799:8 *799:13 27.0268 +4 *799:13 *799:14 54.5199 +5 *799:14 *799:19 10.832 +6 *799:19 *799:20 588.603 +7 *799:20 *799:22 4.5 +8 *799:22 *799:23 48.5479 +9 *799:23 *2826:la_input[11] 2.05183 +*END + +*D_NET *800 0.1197 +*CONN +*I *2826:la_input[120] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[120] O *D mgmt_protect +*CAP +1 *2826:la_input[120] 0.000797404 +2 *2820:la_data_in_mprj[120] 0.0029682 +3 *800:8 0.0053103 +4 *800:7 0.0045129 +5 *800:5 0.0029682 +6 *2826:la_input[120] *1312:7 0 +7 *2826:la_input[120] *1440:7 0 +8 *800:5 *2820:la_data_out_mprj[120] 0.000570104 +9 *800:5 *2820:la_iena_mprj[119] 0 +10 *800:5 *2820:la_oenb_mprj[119] 0 +11 *800:5 *1040:19 0 +12 *800:5 *1152:9 0 +13 *800:5 *1536:9 0.00016343 +14 *800:8 *801:8 0.0522936 +15 *800:8 *1721:24 0 +16 *800:8 *1826:14 0 +17 *797:8 *800:8 0.0492521 +18 *798:8 *800:8 0.000863309 +*RES +1 *2820:la_data_in_mprj[120] *800:5 70.4707 +2 *800:5 *800:7 4.5 +3 *800:7 *800:8 561.982 +4 *800:8 *2826:la_input[120] 23.5405 +*END + +*D_NET *801 0.1228 +*CONN +*I *2826:la_input[121] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[121] O *D mgmt_protect +*CAP +1 *2826:la_input[121] 0.000775968 +2 *2820:la_data_in_mprj[121] 0.00316843 +3 *801:8 0.00533717 +4 *801:7 0.0045612 +5 *801:5 0.00316843 +6 *2826:la_input[121] *1313:7 0 +7 *2826:la_input[121] *1441:9 0 +8 *801:5 *2820:la_data_out_mprj[121] 0 +9 *801:5 *2820:la_oenb_mprj[120] 0 +10 *801:5 *1152:9 0 +11 *801:5 *1409:9 0.000367245 +12 *801:8 *802:8 0.000342221 +13 *801:8 *803:8 0.0526251 +14 *801:8 *1721:24 5.36005e-05 +15 *801:8 *1745:10 0.000107152 +16 *800:8 *801:8 0.0522936 +*RES +1 *2820:la_data_in_mprj[121] *801:5 70.886 +2 *801:5 *801:7 4.5 +3 *801:7 *801:8 574.184 +4 *801:8 *2826:la_input[121] 23.1253 +*END + +*D_NET *802 0.12581 +*CONN +*I *2826:la_input[122] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[122] O *D mgmt_protect +*CAP +1 *2826:la_input[122] 0.000659296 +2 *2820:la_data_in_mprj[122] 0.00309596 +3 *802:8 0.00501163 +4 *802:7 0.00435234 +5 *802:5 0.00309596 +6 *2826:la_input[122] *1442:7 0 +7 *802:5 *2820:la_data_out_mprj[122] 0.000564871 +8 *802:5 *2820:la_oenb_mprj[121] 0 +9 *802:5 *2826:la_input[93] 0 +10 *802:5 *1537:9 0 +11 *802:8 *803:8 0.0547279 +12 *802:8 *804:10 0.000301469 +13 *802:8 *805:8 0.0531096 +14 *802:8 *1721:24 0.000441509 +15 *802:8 *1745:10 0.000107595 +16 *801:8 *802:8 0.000342221 +*RES +1 *2820:la_data_in_mprj[122] *802:5 71.7165 +2 *802:5 *802:7 4.5 +3 *802:7 *802:8 587.494 +4 *802:8 *2826:la_input[122] 20.6093 +*END + +*D_NET *803 0.126325 +*CONN +*I *2826:la_input[123] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[123] O *D mgmt_protect +*CAP +1 *2826:la_input[123] 0.00074768 +2 *2820:la_data_in_mprj[123] 0.00320335 +3 *803:8 0.00566864 +4 *803:7 0.00492096 +5 *803:5 0.00320335 +6 *2826:la_input[123] *1315:7 0 +7 *2826:la_input[123] *1443:9 0 +8 *803:5 *2820:la_data_out_mprj[123] 0 +9 *803:5 *2820:la_iena_mprj[122] 0 +10 *803:5 *2820:la_oenb_mprj[122] 0 +11 *803:5 *1153:9 0.000354439 +12 *803:5 *1410:9 0 +13 *803:5 *1424:25 0 +14 *803:8 *805:8 0.000766715 +15 *803:8 *1745:10 0.000107152 +16 *801:8 *803:8 0.0526251 +17 *802:8 *803:8 0.0547279 +*RES +1 *2820:la_data_in_mprj[123] *803:5 71.3012 +2 *803:5 *803:7 4.5 +3 *803:7 *803:8 597.477 +4 *803:8 *2826:la_input[123] 22.71 +*END + +*D_NET *804 0.133359 +*CONN +*I *2826:la_input[124] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[124] O *D mgmt_protect +*CAP +1 *2826:la_input[124] 0.000690223 +2 *2820:la_data_in_mprj[124] 4.25268e-05 +3 *804:10 0.00502271 +4 *804:9 0.00433249 +5 *804:7 0.00240391 +6 *804:5 0.00244643 +7 *2826:la_input[124] *1316:7 0 +8 *2826:la_input[124] *1444:7 0 +9 *804:7 *2820:la_data_out_mprj[124] 0.00217689 +10 *804:7 *2826:la_input[94] 0.000395166 +11 *804:7 *1443:13 0.000767499 +12 *804:7 *1538:9 0 +13 *804:10 *805:8 0.0567797 +14 *804:10 *1721:24 0.0574637 +15 *804:10 *1745:10 0.000536294 +16 *802:8 *804:10 0.000301469 +*RES +1 *2820:la_data_in_mprj[124] *804:5 1.20912 +2 *804:5 *804:7 72.6325 +3 *804:7 *804:9 4.5 +4 *804:9 *804:10 608.014 +5 *804:10 *2826:la_input[124] 21.4643 +*END + +*D_NET *805 0.130251 +*CONN +*I *2826:la_input[125] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[125] O *D mgmt_protect +*CAP +1 *2826:la_input[125] 0.000704808 +2 *2820:la_data_in_mprj[125] 0.00339027 +3 *805:8 0.00582959 +4 *805:7 0.00512478 +5 *805:5 0.00339027 +6 *2826:la_input[125] *1317:7 0 +7 *2826:la_input[125] *1445:7 0 +8 *805:5 *2820:la_data_out_mprj[125] 0 +9 *805:5 *2820:la_oenb_mprj[124] 0 +10 *805:5 *1154:9 0 +11 *805:5 *1538:9 0 +12 *805:8 *1721:24 0.00083916 +13 *805:8 *1745:10 0.000315895 +14 *802:8 *805:8 0.0531096 +15 *803:8 *805:8 0.000766715 +16 *804:10 *805:8 0.0567797 +*RES +1 *2820:la_data_in_mprj[125] *805:5 72.1318 +2 *805:5 *805:7 4.5 +3 *805:7 *805:8 620.77 +4 *805:8 *2826:la_input[125] 21.8795 +*END + +*D_NET *806 0.13865 +*CONN +*I *2826:la_input[126] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[126] O *D mgmt_protect +*CAP +1 *2826:la_input[126] 8.98429e-05 +2 *2820:la_data_in_mprj[126] 0.000679139 +3 *806:17 0.0026235 +4 *806:16 0.00253366 +5 *806:14 0.00080962 +6 *806:13 0.00169489 +7 *806:8 0.00508684 +8 *806:7 0.0048807 +9 *806:7 *2820:la_data_out_mprj[126] 0.000280765 +10 *806:7 *2820:la_oenb_mprj[125] 0 +11 *806:8 *1787:30 9.64752e-05 +12 *806:8 *1825:8 0.0448312 +13 *806:8 *1829:8 0.000207797 +14 *806:8 *1831:8 0.000517688 +15 *806:8 *1833:8 0.0338586 +16 *806:8 *1918:10 0.00353649 +17 *806:13 *1312:7 0 +18 *806:14 *807:14 0.0123109 +19 *806:14 *1762:24 0.00163036 +20 *806:14 *1779:28 0.0123151 +21 *806:17 *1318:9 0 +22 *806:17 *1446:7 0 +23 *778:8 *806:8 1.65872e-05 +24 *779:8 *806:8 0.00950239 +25 *780:8 *806:8 0.00114706 +*RES +1 *2820:la_data_in_mprj[126] *806:7 22.649 +2 *806:7 *806:8 503.194 +3 *806:8 *806:13 25.7811 +4 *806:13 *806:14 129.391 +5 *806:14 *806:16 4.5 +6 *806:16 *806:17 52.7004 +7 *806:17 *2826:la_input[126] 2.05183 +*END + +*D_NET *807 0.123477 +*CONN +*I *2826:la_input[127] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[127] O *D mgmt_protect +*CAP +1 *2826:la_input[127] 6.52431e-05 +2 *2820:la_data_in_mprj[127] 0.00150063 +3 *807:17 0.00261425 +4 *807:16 0.00254901 +5 *807:14 0.00464356 +6 *807:13 0.00475505 +7 *807:8 0.00165708 +8 *807:7 0.00304622 +9 *807:7 *2820:la_data_out_mprj[127] 0 +10 *807:7 *2820:la_iena_mprj[126] 0 +11 *807:7 *2820:la_oenb_mprj[126] 0 +12 *807:8 *1048:10 0.00368713 +13 *807:8 *1318:16 0.0135609 +14 *807:8 *1758:22 0.00511711 +15 *807:13 *2820:mprj_dat_o_core[18] 3.23649e-05 +16 *807:13 *1037:19 7.09666e-06 +17 *807:13 *1834:5 3.02446e-05 +18 *807:14 *1052:10 0.000645974 +19 *807:14 *1053:10 0.000233752 +20 *807:14 *1306:10 0.02369 +21 *807:14 *1308:10 0.0274944 +22 *807:14 *1445:10 0.00909787 +23 *807:14 *1762:24 0.0044242 +24 *807:14 *1779:28 0.00230879 +25 *807:14 *2487:10 5.04829e-06 +26 *807:17 *1319:7 0 +27 *807:17 *1447:9 0 +28 *806:14 *807:14 0.0123109 +*RES +1 *2820:la_data_in_mprj[127] *807:7 36.7675 +2 *807:7 *807:8 145.475 +3 *807:8 *807:13 11.2472 +4 *807:13 *807:14 499.312 +5 *807:14 *807:16 4.5 +6 *807:16 *807:17 53.1156 +7 *807:17 *2826:la_input[127] 1.49002 +*END + +*D_NET *808 0.0881254 +*CONN +*I *2826:la_input[12] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[12] O *D mgmt_protect +*CAP +1 *2826:la_input[12] 0.00112621 +2 *2820:la_data_in_mprj[12] 0.00209164 +3 *808:18 0.0102876 +4 *808:17 0.00986729 +5 *808:12 0.00362049 +6 *808:10 0.00298028 +7 *808:8 0.00363793 +8 *808:7 0.00357226 +9 *808:5 0.00209164 +10 *2826:la_input[12] *1320:7 0 +11 *808:5 *2820:la_data_out_mprj[12] 0.000384266 +12 *808:5 *2820:la_oenb_mprj[11] 0 +13 *808:5 *1101:7 0.000439878 +14 *808:5 *1439:19 0 +15 *808:8 *840:14 0.00875573 +16 *808:8 *845:16 0.000629908 +17 *808:8 *1081:16 0.0111664 +18 *808:8 *1323:10 0.000847564 +19 *808:8 *1340:10 0.00226168 +20 *808:12 *829:8 0 +21 *808:12 *831:8 0 +22 *808:12 *882:8 0.000464675 +23 *808:12 *1340:10 0 +24 *808:17 *2826:la_input[30] 0 +25 *808:17 *1084:7 0 +26 *808:17 *1468:9 2.43314e-05 +27 *808:18 *1339:10 0.0157025 +28 *808:18 *1451:10 0.0031188 +29 *808:18 *1468:10 6.08467e-05 +30 *777:8 *808:8 0.00238617 +31 *788:8 *808:8 6.11914e-05 +32 *788:12 *808:8 0.00254609 +*RES +1 *2820:la_data_in_mprj[12] *808:5 48.4624 +2 *808:5 *808:7 4.5 +3 *808:7 *808:8 247.799 +4 *808:8 *808:10 1.80849 +5 *808:10 *808:12 78.0906 +6 *808:12 *808:17 21.2133 +7 *808:17 *808:18 371.753 +8 *808:18 *2826:la_input[12] 34.044 +*END + +*D_NET *809 0.175888 +*CONN +*I *2826:la_input[13] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[13] O *D mgmt_protect +*CAP +1 *2826:la_input[13] 7.21667e-05 +2 *2820:la_data_in_mprj[13] 0.00156156 +3 *809:11 0.00225886 +4 *809:10 0.0021867 +5 *809:8 0.00689566 +6 *809:7 0.00845722 +7 *809:7 *2820:la_data_out_mprj[13] 0 +8 *809:7 *2820:la_oenb_mprj[12] 0 +9 *809:7 *1358:7 0.000505386 +10 *809:8 *820:8 0.00370733 +11 *809:8 *821:8 0.00434757 +12 *809:8 *1055:10 0.0726882 +13 *809:8 *1065:10 0.0732077 +14 *809:8 *1069:10 0 +15 *809:11 *1321:9 0 +16 *809:11 *1449:9 0 +*RES +1 *2820:la_data_in_mprj[13] *809:7 39.166 +2 *809:7 *809:8 94.4388 +3 *809:8 *809:10 3.36879 +4 *809:10 *809:11 51.6623 +5 *809:11 *2826:la_input[13] 2.05183 +*END + +*D_NET *810 0.147945 +*CONN +*I *2826:la_input[14] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[14] O *D mgmt_protect +*CAP +1 *2826:la_input[14] 7.21667e-05 +2 *2820:la_data_in_mprj[14] 0.00197479 +3 *810:23 0.00210021 +4 *810:22 0.00202805 +5 *810:20 0.0037928 +6 *810:19 0.00389127 +7 *810:10 0.000893756 +8 *810:9 0.00277008 +9 *810:9 *2820:la_data_out_mprj[14] 0 +10 *810:9 *1449:13 0 +11 *810:9 *1486:7 0 +12 *810:10 *811:8 0.0082778 +13 *810:10 *843:8 0.00121705 +14 *810:10 *846:16 0.000171288 +15 *810:10 *1044:18 0.00535955 +16 *810:10 *1069:10 0.000102215 +17 *810:19 *1354:7 2.8923e-05 +18 *810:20 *811:8 0.0534591 +19 *810:20 *838:8 0.00558021 +20 *810:20 *1064:10 0.000846705 +21 *810:20 *1064:20 0.00512622 +22 *810:20 *1067:10 1.41853e-05 +23 *810:23 *1322:7 0 +24 *810:23 *1450:7 0 +25 *799:13 *810:19 4.13298e-05 +26 *799:20 *810:20 0.0501971 +*RES +1 *2820:la_data_in_mprj[14] *810:9 46.5138 +2 *810:9 *810:10 88.3508 +3 *810:10 *810:19 10.9053 +4 *810:19 *810:20 582.503 +5 *810:20 *810:22 4.5 +6 *810:22 *810:23 48.1326 +7 *810:23 *2826:la_input[14] 2.05183 +*END + +*D_NET *811 0.146971 +*CONN +*I *2826:la_input[15] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[15] O *D mgmt_protect +*CAP +1 *2826:la_input[15] 0.0020943 +2 *2820:la_data_in_mprj[15] 0.00186513 +3 *811:10 0.0020943 +4 *811:8 0.00446393 +5 *811:7 0.00632906 +6 *2826:la_input[15] *1323:9 0 +7 *2826:la_input[15] *1451:9 0 +8 *811:7 *2820:la_data_out_mprj[15] 0 +9 *811:7 *2820:la_iena_mprj[15] 0 +10 *811:7 *2820:la_oenb_mprj[14] 0 +11 *811:8 *838:8 0.00590704 +12 *811:8 *842:8 0.000995723 +13 *811:8 *846:10 0.000912545 +14 *811:8 *846:16 1.67988e-05 +15 *811:8 *1044:10 1.67988e-05 +16 *811:8 *1044:14 0.00523666 +17 *811:8 *1044:18 1.67988e-05 +18 *811:8 *1064:10 0.0481984 +19 *811:8 *1064:20 1.15389e-05 +20 *811:8 *1064:24 0.00697366 +21 *811:8 *1069:10 0.000101794 +22 *810:10 *811:8 0.0082778 +23 *810:20 *811:8 0.0534591 +*RES +1 *2820:la_data_in_mprj[15] *811:7 44.2421 +2 *811:7 *811:8 661.256 +3 *811:8 *811:10 4.5 +4 *811:10 *2826:la_input[15] 49.7692 +*END + +*D_NET *812 0.141351 +*CONN +*I *2826:la_input[16] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[16] O *D mgmt_protect +*CAP +1 *2826:la_input[16] 7.21667e-05 +2 *2820:la_data_in_mprj[16] 0.00157322 +3 *812:11 0.00221065 +4 *812:10 0.00213849 +5 *812:8 0.00502901 +6 *812:7 0.00660222 +7 *812:7 *2820:la_data_out_mprj[16] 0.000496994 +8 *812:7 *2820:la_oenb_mprj[15] 0 +9 *812:8 *814:8 0.000878398 +10 *812:8 *815:8 0.0557876 +11 *812:8 *842:8 0.00027469 +12 *812:8 *904:8 0.00530845 +13 *812:8 *1067:10 0.0609792 +14 *812:11 *1324:7 0 +15 *812:11 *1452:7 0 +*RES +1 *2820:la_data_in_mprj[16] *812:7 42.5811 +2 *812:7 *812:8 649.61 +3 *812:8 *812:10 4.5 +4 *812:10 *812:11 49.3784 +5 *812:11 *2826:la_input[16] 2.05183 +*END + +*D_NET *813 0.159694 +*CONN +*I *2826:la_input[17] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[17] O *D mgmt_protect +*CAP +1 *2826:la_input[17] 0.00193084 +2 *2820:la_data_in_mprj[17] 0.00187383 +3 *813:8 0.00775137 +4 *813:7 0.00769436 +5 *2826:la_input[17] *1325:9 0 +6 *2826:la_input[17] *1453:9 0 +7 *813:7 *2820:la_data_out_mprj[17] 0 +8 *813:7 *2820:la_iena_mprj[16] 0 +9 *813:7 *2820:la_oenb_mprj[16] 0 +10 *813:7 *1103:7 0.000417113 +11 *813:8 *829:8 0.0028746 +12 *813:8 *832:8 0.00275659 +13 *813:8 *1033:10 0.000480973 +14 *813:8 *1069:10 0.0680454 +15 *813:8 *1070:10 0.0658688 +16 *813:8 *1323:10 0 +*RES +1 *2820:la_data_in_mprj[17] *813:7 46.6405 +2 *813:7 *813:8 88.0136 +3 *813:8 *2826:la_input[17] 49.6083 +*END + +*D_NET *814 0.135877 +*CONN +*I *2826:la_input[18] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[18] O *D mgmt_protect +*CAP +1 *2826:la_input[18] 7.21667e-05 +2 *2820:la_data_in_mprj[18] 0.00149636 +3 *814:11 0.00229593 +4 *814:10 0.00222376 +5 *814:8 0.00480758 +6 *814:7 0.00630394 +7 *814:7 *2820:la_data_out_mprj[18] 0.00053829 +8 *814:7 *1091:19 8.62625e-06 +9 *814:7 *1360:7 0 +10 *814:7 *1453:13 0 +11 *814:8 *815:8 0.0574059 +12 *814:8 *818:8 0.0535908 +13 *814:8 *893:8 0.00485165 +14 *814:8 *1055:10 0.000114604 +15 *814:8 *1071:10 0.00128892 +16 *814:11 *1454:7 0 +17 *812:8 *814:8 0.000878398 +*RES +1 *2820:la_data_in_mprj[18] *814:7 41.7506 +2 *814:7 *814:8 626.316 +3 *814:8 *814:10 4.5 +4 *814:10 *814:11 50.2089 +5 *814:11 *2826:la_input[18] 2.05183 +*END + +*D_NET *815 0.136958 +*CONN +*I *2826:la_input[19] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[19] O *D mgmt_protect +*CAP +1 *2826:la_input[19] 7.21667e-05 +2 *2820:la_data_in_mprj[19] 0.0015359 +3 *815:11 0.00229499 +4 *815:10 0.00222282 +5 *815:8 0.00433747 +6 *815:7 0.00587337 +7 *815:7 *2820:la_data_out_mprj[19] 0 +8 *815:7 *2820:la_oenb_mprj[18] 0 +9 *815:7 *1488:7 0.00076693 +10 *815:8 *818:8 1.41689e-05 +11 *815:8 *842:8 0.000452674 +12 *815:8 *848:8 0.000536595 +13 *815:8 *904:8 0.0048152 +14 *815:8 *1055:10 0.000103514 +15 *815:8 *1091:20 0.000738355 +16 *815:11 *1327:9 0 +17 *815:11 *1455:9 0 +18 *812:8 *815:8 0.0557876 +19 *814:8 *815:8 0.0574059 +*RES +1 *2820:la_data_in_mprj[19] *815:7 42.1658 +2 *815:7 *815:8 614.115 +3 *815:8 *815:10 4.5 +4 *815:10 *815:11 49.7936 +5 *815:11 *2826:la_input[19] 2.05183 +*END + +*D_NET *816 0.211202 +*CONN +*I *2826:la_input[1] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[1] O *D mgmt_protect +*CAP +1 *2826:la_input[1] 0.00181257 +2 *2820:la_data_in_mprj[1] 0.00162343 +3 *816:10 0.00181257 +4 *816:8 0.00768019 +5 *816:7 0.00930362 +6 *2826:la_input[1] *1328:7 0 +7 *2826:la_input[1] *1456:7 0 +8 *816:7 *2820:la_oenb_mprj[0] 0 +9 *816:7 *1072:13 0.00204838 +10 *816:8 *838:8 0.0853692 +11 *816:8 *1033:10 0.0889079 +12 *816:8 *1044:10 0.0062981 +13 *816:8 *1044:14 0.000311353 +14 *816:8 *1064:10 0.00540894 +15 *816:8 *1069:10 0.000287 +16 *799:14 *816:8 0.000338665 +*RES +1 *2820:la_data_in_mprj[1] *816:7 44.149 +2 *816:7 *816:8 113.791 +3 *816:8 *816:10 3.36879 +4 *816:10 *2826:la_input[1] 48.7311 +*END + +*D_NET *817 0.14979 +*CONN +*I *2826:la_input[20] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[20] O *D mgmt_protect +*CAP +1 *2826:la_input[20] 0.00190778 +2 *2820:la_data_in_mprj[20] 0.00153527 +3 *817:8 0.01183 +4 *817:7 0.0114575 +5 *2826:la_input[20] *882:13 0 +6 *2826:la_input[20] *1329:9 0 +7 *2826:la_input[20] *1457:9 0 +8 *817:7 *2820:la_data_out_mprj[20] 0.000629594 +9 *817:7 *2820:la_oenb_mprj[19] 0 +10 *817:7 *1362:7 0.0011837 +11 *817:8 *834:10 0.00194779 +12 *817:8 *835:8 0.00187449 +13 *817:8 *836:8 0.000606439 +14 *817:8 *837:8 0.000557532 +15 *817:8 *839:8 0.000392402 +16 *817:8 *840:8 0.000337446 +17 *817:8 *844:8 0.000112976 +18 *817:8 *849:8 0.0505341 +19 *817:8 *882:8 0.00111203 +20 *817:8 *1070:10 0.0636529 +21 *817:8 *1085:16 0.000118462 +22 *817:8 *1323:10 0 +*RES +1 *2820:la_data_in_mprj[20] *817:7 48.3015 +2 *817:7 *817:8 83.1947 +3 *817:8 *2826:la_input[20] 47.9473 +*END + +*D_NET *818 0.13354 +*CONN +*I *2826:la_input[21] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[21] O *D mgmt_protect +*CAP +1 *2826:la_input[21] 5.24068e-05 +2 *2820:la_data_in_mprj[21] 0.0012168 +3 *818:11 0.00236993 +4 *818:10 0.00231753 +5 *818:8 0.00412842 +6 *818:7 0.00534522 +7 *818:7 *2820:la_oenb_mprj[20] 0 +8 *818:7 *1074:13 0.00200956 +9 *818:8 *819:8 0.000216414 +10 *818:8 *893:8 0.00436626 +11 *818:8 *1055:10 0.000124658 +12 *818:8 *1071:10 0.0545783 +13 *818:8 *1091:20 0.00233087 +14 *818:8 *1092:16 0.00087833 +15 *818:11 *1330:7 0 +16 *818:11 *1458:7 0 +17 *814:8 *818:8 0.0535908 +18 *815:8 *818:8 1.41689e-05 +*RES +1 *2820:la_data_in_mprj[21] *818:7 41.3353 +2 *818:7 *818:8 591.376 +3 *818:8 *818:10 4.5 +4 *818:10 *818:11 50.6241 +5 *818:11 *2826:la_input[21] 1.49002 +*END + +*D_NET *819 0.127555 +*CONN +*I *2826:la_input[22] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[22] O *D mgmt_protect +*CAP +1 *2826:la_input[22] 7.21667e-05 +2 *2820:la_data_in_mprj[22] 0.00134139 +3 *819:11 0.0024621 +4 *819:10 0.00238994 +5 *819:8 0.00443731 +6 *819:7 0.0057787 +7 *819:7 *2820:la_data_out_mprj[22] 0.000529744 +8 *819:7 *2820:la_iena_mprj[21] 0 +9 *819:7 *2820:la_oenb_mprj[21] 0 +10 *819:7 *1106:7 0.000264352 +11 *819:8 *820:8 0.00085425 +12 *819:8 *821:8 0.0509772 +13 *819:8 *1055:10 0.00390283 +14 *819:8 *1071:10 0.0526155 +15 *819:8 *1092:16 0.00171291 +16 *819:11 *1331:9 0 +17 *819:11 *1459:9 0 +18 *818:8 *819:8 0.000216414 +*RES +1 *2820:la_data_in_mprj[22] *819:7 40.5048 +2 *819:7 *819:8 579.73 +3 *819:8 *819:10 4.5 +4 *819:10 *819:11 51.4546 +5 *819:11 *2826:la_input[22] 2.05183 +*END + +*D_NET *820 0.123711 +*CONN +*I *2826:la_input[23] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[23] O *D mgmt_protect +*CAP +1 *2826:la_input[23] 7.21667e-05 +2 *2820:la_data_in_mprj[23] 0.00161294 +3 *820:11 0.00252048 +4 *820:10 0.00244831 +5 *820:8 0.00467357 +6 *820:7 0.00628652 +7 *820:7 *2820:la_data_out_mprj[23] 0 +8 *820:7 *2820:la_oenb_mprj[22] 0 +9 *820:8 *821:8 0.0517458 +10 *820:8 *822:8 0.0497895 +11 *820:11 *1332:7 0 +12 *820:11 *1460:7 0 +13 *809:8 *820:8 0.00370733 +14 *819:8 *820:8 0.00085425 +*RES +1 *2820:la_data_in_mprj[23] *820:7 39.6743 +2 *820:7 *820:8 567.528 +3 *820:8 *820:10 4.5 +4 *820:10 *820:11 52.2851 +5 *820:11 *2826:la_input[23] 2.05183 +*END + +*D_NET *821 0.125496 +*CONN +*I *2826:la_input[24] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[24] O *D mgmt_protect +*CAP +1 *2826:la_input[24] 7.21667e-05 +2 *2820:la_data_in_mprj[24] 0.0011513 +3 *821:11 0.00251917 +4 *821:10 0.00244701 +5 *821:8 0.00402402 +6 *821:7 0.00517532 +7 *821:7 *2820:la_iena_mprj[23] 0 +8 *821:7 *2820:la_oenb_mprj[23] 0 +9 *821:7 *1077:13 0.00195942 +10 *821:7 *1491:7 0 +11 *821:8 *822:8 0.000366352 +12 *821:8 *1092:16 0.000710858 +13 *821:11 *1333:9 0 +14 *821:11 *1461:9 0 +15 *809:8 *821:8 0.00434757 +16 *819:8 *821:8 0.0509772 +17 *820:8 *821:8 0.0517458 +*RES +1 *2820:la_data_in_mprj[24] *821:7 40.0896 +2 *821:7 *821:8 556.436 +3 *821:8 *821:10 4.5 +4 *821:10 *821:11 51.8699 +5 *821:11 *2826:la_input[24] 2.05183 +*END + +*D_NET *822 0.120736 +*CONN +*I *2826:la_input[25] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[25] O *D mgmt_protect +*CAP +1 *2826:la_input[25] 7.21667e-05 +2 *2820:la_data_in_mprj[25] 0.00150343 +3 *822:11 0.00258589 +4 *822:10 0.00251372 +5 *822:8 0.00434013 +6 *822:7 0.00584356 +7 *822:7 *2820:la_data_out_mprj[25] 0 +8 *822:7 *2820:la_oenb_mprj[24] 0 +9 *822:7 *1364:11 0.000246211 +10 *822:8 *823:8 0.0494257 +11 *822:8 *1065:10 0.00392226 +12 *822:8 *1092:16 0.000127047 +13 *822:11 *1334:7 0 +14 *822:11 *1462:7 0 +15 *820:8 *822:8 0.0497895 +16 *821:8 *822:8 0.000366352 +*RES +1 *2820:la_data_in_mprj[25] *822:7 39.2591 +2 *822:7 *822:8 544.235 +3 *822:8 *822:10 4.5 +4 *822:10 *822:11 52.7004 +5 *822:11 *2826:la_input[25] 2.05183 +*END + +*D_NET *823 0.118584 +*CONN +*I *2826:la_input[26] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[26] O *D mgmt_protect +*CAP +1 *2826:la_input[26] 7.21667e-05 +2 *2820:la_data_in_mprj[26] 0.00116847 +3 *823:11 0.00263102 +4 *823:10 0.00255885 +5 *823:8 0.00429095 +6 *823:7 0.00545942 +7 *823:7 *2820:la_data_out_mprj[26] 0.000516304 +8 *823:7 *2820:la_oenb_mprj[25] 0 +9 *823:7 *1492:7 0.000706586 +10 *823:8 *824:8 0.0483571 +11 *823:8 *1065:10 0.0033308 +12 *823:8 *1092:16 4.30583e-05 +13 *823:8 *1095:20 2.32967e-05 +14 *823:11 *1335:9 0 +15 *823:11 *1463:9 0 +16 *822:8 *823:8 0.0494257 +*RES +1 *2820:la_data_in_mprj[26] *823:7 38.8438 +2 *823:7 *823:8 532.588 +3 *823:8 *823:10 4.5 +4 *823:10 *823:11 53.1156 +5 *823:11 *2826:la_input[26] 2.05183 +*END + +*D_NET *824 0.116101 +*CONN +*I *2826:la_input[27] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[27] O *D mgmt_protect +*CAP +1 *2826:la_input[27] 7.21667e-05 +2 *2820:la_data_in_mprj[27] 0.00154525 +3 *824:11 0.00267036 +4 *824:10 0.00259819 +5 *824:8 0.00425281 +6 *824:7 0.00579806 +7 *824:7 *2820:la_data_out_mprj[27] 0 +8 *824:7 *2820:la_iena_mprj[27] 0 +9 *824:7 *2820:la_oenb_mprj[26] 0 +10 *824:7 *853:11 0 +11 *824:8 *825:8 0.0471758 +12 *824:8 *1066:10 0.0035836 +13 *824:8 *1095:20 4.77612e-05 +14 *824:11 *1336:7 0 +15 *824:11 *1464:7 0 +16 *823:8 *824:8 0.0483571 +*RES +1 *2820:la_data_in_mprj[27] *824:7 38.4285 +2 *824:7 *824:8 520.942 +3 *824:8 *824:10 4.5 +4 *824:10 *824:11 53.5309 +5 *824:11 *2826:la_input[27] 2.05183 +*END + +*D_NET *825 0.113232 +*CONN +*I *2826:la_input[28] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[28] O *D mgmt_protect +*CAP +1 *2826:la_input[28] 7.21667e-05 +2 *2820:la_data_in_mprj[28] 0.0012955 +3 *825:11 0.00270868 +4 *825:10 0.00263651 +5 *825:8 0.00420138 +6 *825:7 0.00549688 +7 *825:7 *2820:la_data_out_mprj[28] 0.000518848 +8 *825:7 *2820:la_oenb_mprj[27] 0 +9 *825:7 *853:11 0 +10 *825:8 *826:8 0.0461137 +11 *825:8 *1066:10 0.00301221 +12 *825:8 *1095:20 0 +13 *825:11 *1337:9 0 +14 *825:11 *1465:9 0 +15 *824:8 *825:8 0.0471758 +*RES +1 *2820:la_data_in_mprj[28] *825:7 38.0133 +2 *825:7 *825:8 509.295 +3 *825:8 *825:10 4.5 +4 *825:10 *825:11 53.9461 +5 *825:11 *2826:la_input[28] 2.05183 +*END + +*D_NET *826 0.0780784 +*CONN +*I *2826:la_input[29] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[29] O *D mgmt_protect +*CAP +1 *2826:la_input[29] 7.21667e-05 +2 *2820:la_data_in_mprj[29] 0.00149004 +3 *826:11 0.00274811 +4 *826:10 0.00267595 +5 *826:8 0.0108103 +6 *826:7 0.0123004 +7 *826:7 *2820:la_data_out_mprj[29] 0 +8 *826:7 *2820:la_oenb_mprj[28] 0 +9 *826:8 *1090:16 0.00111189 +10 *826:8 *1093:10 0 +11 *826:8 *1095:20 0 +12 *826:8 *1096:10 0 +13 *826:8 *1099:10 0.000755873 +14 *826:8 *1348:10 0 +15 *826:8 *1477:10 0 +16 *826:11 *1338:7 0 +17 *826:11 *1466:7 0 +18 *825:8 *826:8 0.0461137 +*RES +1 *2820:la_data_in_mprj[29] *826:7 37.598 +2 *826:7 *826:8 497.648 +3 *826:8 *826:10 4.5 +4 *826:10 *826:11 54.3614 +5 *826:11 *2826:la_input[29] 2.05183 +*END + +*D_NET *827 0.144488 +*CONN +*I *2826:la_input[2] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[2] O *D mgmt_protect +*CAP +1 *2826:la_input[2] 0.00180436 +2 *2820:la_data_in_mprj[2] 0.00194906 +3 *827:10 0.00180436 +4 *827:8 0.0151011 +5 *827:7 0.0170501 +6 *2826:la_input[2] *1467:9 0 +7 *2826:la_input[2] *2687:8 0 +8 *827:7 *2820:la_data_out_mprj[2] 0.000514722 +9 *827:7 *2820:la_oenb_mprj[1] 0 +10 *827:7 *841:11 8.62625e-06 +11 *827:8 *828:8 0.0233501 +12 *827:8 *838:8 0.000367591 +13 *827:8 *871:8 0.0696262 +14 *827:8 *1033:10 0.0129115 +*RES +1 *2820:la_data_in_mprj[2] *827:7 45.9031 +2 *827:7 *827:8 813.218 +3 *827:8 *827:10 4.5 +4 *827:10 *2826:la_input[2] 48.1082 +*END + +*D_NET *828 0.10836 +*CONN +*I *2826:la_input[30] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[30] O *D mgmt_protect +*CAP +1 *2826:la_input[30] 0.00219488 +2 *2820:la_data_in_mprj[30] 0.00149538 +3 *828:10 0.00219488 +4 *828:8 0.00388833 +5 *828:7 0.00538371 +6 *2826:la_input[30] *1340:9 0 +7 *2826:la_input[30] *1468:9 0 +8 *828:7 *2820:la_data_out_mprj[30] 0.000323488 +9 *828:7 *2820:la_iena_mprj[30] 0 +10 *828:7 *2820:la_oenb_mprj[29] 0 +11 *828:7 *854:11 0.000434337 +12 *828:7 *1102:15 0.000264753 +13 *828:8 *829:8 0.000878398 +14 *828:8 *830:8 0.0420126 +15 *828:8 *841:8 0.0224811 +16 *828:8 *871:8 1.41689e-05 +17 *828:8 *1069:10 0.00344413 +18 *808:17 *2826:la_input[30] 0 +19 *827:8 *828:8 0.0233501 +*RES +1 *2820:la_data_in_mprj[30] *828:7 46.3183 +2 *828:7 *828:8 486.002 +3 *828:8 *828:10 4.5 +4 *828:10 *2826:la_input[30] 47.6929 +*END + +*D_NET *829 0.102936 +*CONN +*I *2826:la_input[31] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[31] O *D mgmt_protect +*CAP +1 *2826:la_input[31] 7.21667e-05 +2 *2820:la_data_in_mprj[31] 0.00193533 +3 *829:11 0.00216199 +4 *829:8 0.00629196 +5 *829:7 0.00613747 +6 *829:7 *2820:la_data_out_mprj[31] 0 +7 *829:7 *2820:la_oenb_mprj[30] 0 +8 *829:8 *830:8 0.0427921 +9 *829:8 *831:8 0.000846705 +10 *829:8 *832:8 0.0389453 +11 *829:11 *1341:7 0 +12 *829:11 *1469:7 0 +13 *808:12 *829:8 0 +14 *813:8 *829:8 0.0028746 +15 *828:8 *829:8 0.000878398 +*RES +1 *2820:la_data_in_mprj[31] *829:7 47.1488 +2 *829:7 *829:8 474.355 +3 *829:8 *829:11 49.3106 +4 *829:11 *2826:la_input[31] 2.05183 +*END + +*D_NET *830 0.104372 +*CONN +*I *2826:la_input[32] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[32] O *D mgmt_protect +*CAP +1 *2826:la_input[32] 7.21667e-05 +2 *2820:la_data_in_mprj[32] 0.00172232 +3 *830:11 0.00220825 +4 *830:8 0.00561771 +5 *830:7 0.00520395 +6 *830:7 *2820:la_data_out_mprj[32] 0.000428549 +7 *830:7 *2820:la_oenb_mprj[31] 0 +8 *830:7 *1342:13 0 +9 *830:8 *832:8 0.000378828 +10 *830:8 *841:8 0.000705943 +11 *830:8 *1069:10 0.00322915 +12 *830:11 *1342:9 0 +13 *830:11 *1470:9 0 +14 *828:8 *830:8 0.0420126 +15 *829:8 *830:8 0.0427921 +*RES +1 *2820:la_data_in_mprj[32] *830:7 46.7336 +2 *830:7 *830:8 462.708 +3 *830:8 *830:11 49.7258 +4 *830:11 *2826:la_input[32] 2.05183 +*END + +*D_NET *831 0.0989679 +*CONN +*I *2826:la_input[33] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[33] O *D mgmt_protect +*CAP +1 *2826:la_input[33] 7.21667e-05 +2 *2820:la_data_in_mprj[33] 0.00195771 +3 *831:11 0.00214804 +4 *831:8 0.00606078 +5 *831:7 0.00594262 +6 *831:7 *2820:la_data_out_mprj[33] 0 +7 *831:7 *2820:la_oenb_mprj[32] 0 +8 *831:8 *832:8 0.0405616 +9 *831:8 *833:8 0.0388197 +10 *831:8 *1070:10 0.00255854 +11 *831:11 *1343:7 0 +12 *831:11 *1471:7 0 +13 *808:12 *831:8 0 +14 *829:8 *831:8 0.000846705 +*RES +1 *2820:la_data_in_mprj[33] *831:7 47.9793 +2 *831:7 *831:8 450.507 +3 *831:8 *831:11 48.4801 +4 *831:11 *2826:la_input[33] 2.05183 +*END + +*D_NET *832 0.0987074 +*CONN +*I *2826:la_input[34] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[34] O *D mgmt_protect +*CAP +1 *2826:la_input[34] 7.21667e-05 +2 *2820:la_data_in_mprj[34] 0.0016319 +3 *832:11 0.00218343 +4 *832:8 0.00565192 +5 *832:7 0.00517255 +6 *832:7 *2820:la_data_out_mprj[34] 0.000435932 +7 *832:7 *2820:la_oenb_mprj[33] 0 +8 *832:7 *1110:13 0.000320522 +9 *832:8 *833:8 0.000348633 +10 *832:8 *841:8 0.000248003 +11 *832:11 *1344:7 0 +12 *832:11 *1472:9 0 +13 *813:8 *832:8 0.00275659 +14 *829:8 *832:8 0.0389453 +15 *830:8 *832:8 0.000378828 +16 *831:8 *832:8 0.0405616 +*RES +1 *2820:la_data_in_mprj[34] *832:7 47.5641 +2 *832:7 *832:8 439.415 +3 *832:8 *832:11 48.8953 +4 *832:11 *2826:la_input[34] 2.05183 +*END + +*D_NET *833 0.095818 +*CONN +*I *2826:la_input[35] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[35] O *D mgmt_protect +*CAP +1 *2826:la_input[35] 0.00205441 +2 *2820:la_data_in_mprj[35] 0.00178208 +3 *833:8 0.00581743 +4 *833:7 0.0055451 +5 *2826:la_input[35] *1345:9 0 +6 *833:7 *2820:la_data_out_mprj[35] 0 +7 *833:7 *2820:la_oenb_mprj[34] 0 +8 *833:7 *856:11 0.000535887 +9 *833:8 *834:10 0.038316 +10 *833:8 *841:8 0 +11 *833:8 *1070:10 0.00242581 +12 *788:12 *833:8 0 +13 *788:17 *2826:la_input[35] 0.00017296 +14 *831:8 *833:8 0.0388197 +15 *832:8 *833:8 0.000348633 +*RES +1 *2820:la_data_in_mprj[35] *833:7 48.3946 +2 *833:7 *833:8 429.432 +3 *833:8 *2826:la_input[35] 48.4312 +*END + +*D_NET *834 0.0937141 +*CONN +*I *2826:la_input[36] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[36] O *D mgmt_protect +*CAP +1 *2826:la_input[36] 0.00214122 +2 *2820:la_data_in_mprj[36] 0.00153478 +3 *834:10 0.0057389 +4 *834:9 0.00359767 +5 *834:7 0.00153478 +6 *2826:la_input[36] *1474:9 0 +7 *834:7 *2820:la_data_out_mprj[36] 0 +8 *834:7 *2820:la_iena_mprj[35] 0 +9 *834:7 *2820:la_oenb_mprj[35] 0.00149967 +10 *834:7 *1112:7 0.000368435 +11 *834:10 *835:8 0.0370349 +12 *834:10 *841:8 0 +13 *788:12 *834:10 0 +14 *817:8 *834:10 0.00194779 +15 *833:8 *834:10 0.038316 +*RES +1 *2820:la_data_in_mprj[36] *834:7 46.1663 +2 *834:7 *834:9 4.5 +3 *834:9 *834:10 414.458 +4 *834:10 *2826:la_input[36] 49.7014 +*END + +*D_NET *835 0.0904634 +*CONN +*I *2826:la_input[37] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[37] O *D mgmt_protect +*CAP +1 *2826:la_input[37] 0.00213708 +2 *2820:la_data_in_mprj[37] 0.00183368 +3 *835:8 0.00571604 +4 *835:7 0.00541264 +5 *2826:la_input[37] *1347:9 0 +6 *2826:la_input[37] *1475:7 0 +7 *835:7 *2820:la_data_out_mprj[37] 0 +8 *835:7 *2820:la_oenb_mprj[36] 0 +9 *835:7 *857:11 0.000369026 +10 *835:8 *836:8 0.0360855 +11 *835:8 *841:8 0 +12 *788:12 *835:8 0 +13 *817:8 *835:8 0.00187449 +14 *834:10 *835:8 0.0370349 +*RES +1 *2820:la_data_in_mprj[37] *835:7 49.2251 +2 *835:7 *835:8 403.92 +3 *835:8 *2826:la_input[37] 49.2862 +*END + +*D_NET *836 0.0879537 +*CONN +*I *2826:la_input[38] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[38] O *D mgmt_protect +*CAP +1 *2826:la_input[38] 0.00212192 +2 *2820:la_data_in_mprj[38] 0.00177431 +3 *836:8 0.00558535 +4 *836:7 0.00523773 +5 *2826:la_input[38] *1348:7 0 +6 *2826:la_input[38] *1476:9 0 +7 *836:7 *2820:la_data_out_mprj[38] 0.000582692 +8 *836:7 *2820:la_oenb_mprj[37] 0 +9 *836:7 *1113:7 0 +10 *836:7 *1359:17 0 +11 *836:8 *837:8 0.0349444 +12 *836:8 *841:8 0 +13 *836:8 *849:8 0.000883399 +14 *777:13 *2826:la_input[38] 0 +15 *788:12 *836:8 0.000131972 +16 *817:8 *836:8 0.000606439 +17 *835:8 *836:8 0.0360855 +*RES +1 *2820:la_data_in_mprj[38] *836:7 49.6404 +2 *836:7 *836:8 392.828 +3 *836:8 *2826:la_input[38] 48.8709 +*END + +*D_NET *837 0.0852839 +*CONN +*I *2826:la_input[39] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[39] O *D mgmt_protect +*CAP +1 *2826:la_input[39] 0.00212731 +2 *2820:la_data_in_mprj[39] 0.00202324 +3 *837:8 0.00543769 +4 *837:7 0.00331038 +5 *837:5 0.00202324 +6 *2826:la_input[39] *1349:9 0 +7 *2826:la_input[39] *1477:7 0 +8 *837:5 *2820:la_data_out_mprj[39] 0 +9 *837:5 *2820:la_oenb_mprj[38] 0 +10 *837:5 *1363:15 0 +11 *837:8 *839:8 0.0337825 +12 *837:8 *841:8 0 +13 *837:8 *849:8 0.000708836 +14 *788:8 *837:8 2.15184e-05 +15 *788:12 *837:8 0.000347228 +16 *817:8 *837:8 0.000557532 +17 *836:8 *837:8 0.0349444 +*RES +1 *2820:la_data_in_mprj[39] *837:5 45.5556 +2 *837:5 *837:7 4.5 +3 *837:7 *837:8 380.627 +4 *837:8 *2826:la_input[39] 48.4557 +*END + +*D_NET *838 0.204712 +*CONN +*I *2826:la_input[3] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[3] O *D mgmt_protect +*CAP +1 *2826:la_input[3] 0.00185122 +2 *2820:la_data_in_mprj[3] 0.00208433 +3 *838:10 0.00185122 +4 *838:8 0.0077729 +5 *838:7 0.00985723 +6 *2826:la_input[3] *1722:27 0 +7 *838:7 *2820:la_data_out_mprj[3] 0 +8 *838:7 *2820:la_oenb_mprj[2] 0 +9 *838:8 *860:8 0.0826304 +10 *838:8 *871:8 0.000784804 +11 *838:8 *1044:14 0.000110257 +12 *838:8 *1069:10 0.000444675 +13 *799:13 *838:7 0 +14 *799:14 *838:8 0.000101365 +15 *810:20 *838:8 0.00558021 +16 *811:8 *838:8 0.00590704 +17 *816:8 *838:8 0.0853692 +18 *827:8 *838:8 0.000367591 +*RES +1 *2820:la_data_in_mprj[3] *838:7 43.3185 +2 *838:7 *838:8 110.731 +3 *838:8 *838:10 3.36879 +4 *838:10 *2826:la_input[3] 48.4379 +*END + +*D_NET *839 0.0838974 +*CONN +*I *2826:la_input[40] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[40] O *D mgmt_protect +*CAP +1 *2826:la_input[40] 0.00213607 +2 *2820:la_data_in_mprj[40] 0.00181587 +3 *839:8 0.00526339 +4 *839:7 0.00312732 +5 *839:5 0.00181587 +6 *2826:la_input[40] *1351:9 0 +7 *2826:la_input[40] *1479:9 0 +8 *839:5 *2820:la_data_out_mprj[40] 0.000569193 +9 *839:5 *2820:la_iena_mprj[40] 0 +10 *839:5 *2820:la_oenb_mprj[39] 0 +11 *839:5 *1349:19 0 +12 *839:8 *840:8 0.0239465 +13 *839:8 *844:8 5.00593e-05 +14 *839:8 *882:8 0.000543049 +15 *788:8 *839:8 0.0104552 +16 *817:8 *839:8 0.000392402 +17 *837:8 *839:8 0.0337825 +*RES +1 *2820:la_data_in_mprj[40] *839:5 45.9709 +2 *839:5 *839:7 4.5 +3 *839:7 *839:8 368.98 +4 *839:8 *2826:la_input[40] 48.0404 +*END + +*D_NET *840 0.0725419 +*CONN +*I *2826:la_input[41] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[41] O *D mgmt_protect +*CAP +1 *2826:la_input[41] 0.00200358 +2 *2820:la_data_in_mprj[41] 0.00206616 +3 *840:14 0.00299514 +4 *840:13 0.00112215 +5 *840:8 0.00273304 +6 *840:7 0.00260245 +7 *840:5 0.00206616 +8 *2826:la_input[41] *1361:15 2.78668e-05 +9 *2826:la_input[41] *1480:12 0 +10 *840:5 *2820:la_data_out_mprj[41] 0 +11 *840:5 *1114:7 0 +12 *840:5 *1479:21 0 +13 *840:8 *844:8 0.00396021 +14 *840:8 *1085:16 0.0156852 +15 *840:14 *1323:10 0.000111178 +16 *840:14 *1340:10 0.00364334 +17 *777:8 *840:14 0.00048572 +18 *808:8 *840:14 0.00875573 +19 *817:8 *840:8 0.000337446 +20 *839:8 *840:8 0.0239465 +*RES +1 *2820:la_data_in_mprj[41] *840:5 46.3861 +2 *840:5 *840:7 4.5 +3 *840:7 *840:8 264.16 +4 *840:8 *840:13 11.6625 +5 *840:13 *840:14 93.3422 +6 *840:14 *2826:la_input[41] 45.1336 +*END + +*D_NET *841 0.0732502 +*CONN +*I *2826:la_input[42] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[42] O *D mgmt_protect +*CAP +1 *2826:la_input[42] 0.000185929 +2 *2820:la_data_in_mprj[42] 0.00145372 +3 *841:11 0.00240239 +4 *841:8 0.00646579 +5 *841:7 0.00570304 +6 *2826:la_input[42] *1353:9 0 +7 *2826:la_input[42] *1481:9 0 +8 *841:7 *2820:la_data_out_mprj[42] 0.000645681 +9 *841:7 *2820:la_oenb_mprj[41] 0 +10 *841:7 *859:11 0.000421687 +11 *841:8 *843:8 0.0285817 +12 *841:8 *871:8 0.00318706 +13 *841:8 *1044:18 0.000127366 +14 *841:8 *1069:10 0.000392402 +15 *841:11 *2820:la_data_out_mprj[2] 0.000239726 +16 *841:11 *1481:9 0 +17 *827:7 *841:11 8.62625e-06 +18 *828:8 *841:8 0.0224811 +19 *830:8 *841:8 0.000705943 +20 *832:8 *841:8 0.000248003 +21 *833:8 *841:8 0 +22 *834:10 *841:8 0 +23 *835:8 *841:8 0 +24 *836:8 *841:8 0 +25 *837:8 *841:8 0 +*RES +1 *2820:la_data_in_mprj[42] *841:7 45.9031 +2 *841:7 *841:8 343.468 +3 *841:8 *841:11 49.7258 +4 *841:11 *2826:la_input[42] 5.47156 +*END + +*D_NET *842 0.0704274 +*CONN +*I *2826:la_input[43] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[43] O *D mgmt_protect +*CAP +1 *2826:la_input[43] 7.21667e-05 +2 *2820:la_data_in_mprj[43] 0.00162629 +3 *842:11 0.00226216 +4 *842:10 0.00218999 +5 *842:8 0.00344235 +6 *842:7 0.00506865 +7 *842:7 *2820:la_data_out_mprj[43] 0 +8 *842:7 *2820:la_oenb_mprj[42] 0 +9 *842:7 *1115:7 0.000358846 +10 *842:8 *846:10 0.000193104 +11 *842:8 *847:10 0.00246762 +12 *842:8 *848:8 0.000801404 +13 *842:8 *850:8 0.0178801 +14 *842:8 *851:8 0.015958 +15 *842:8 *1064:24 0.00651081 +16 *842:8 *1067:10 0.00895952 +17 *842:11 *2820:la_data_out_mprj[4] 0.000808041 +18 *842:11 *849:7 0.000105305 +19 *842:11 *1354:7 0 +20 *842:11 *1482:12 0 +21 *811:8 *842:8 0.000995723 +22 *812:8 *842:8 0.00027469 +23 *815:8 *842:8 0.000452674 +*RES +1 *2820:la_data_in_mprj[43] *842:7 43.4116 +2 *842:7 *842:8 334.04 +3 *842:8 *842:10 4.5 +4 *842:10 *842:11 48.5479 +5 *842:11 *2826:la_input[43] 2.05183 +*END + +*D_NET *843 0.0725692 +*CONN +*I *2826:la_input[44] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[44] O *D mgmt_protect +*CAP +1 *2826:la_input[44] 0.00210991 +2 *2820:la_data_in_mprj[44] 0.00148667 +3 *843:10 0.00210991 +4 *843:8 0.00315605 +5 *843:7 0.00464272 +6 *2826:la_input[44] *2820:la_data_out_mprj[6] 0.00013702 +7 *2826:la_input[44] *2820:la_iena_mprj[6] 0.000645434 +8 *2826:la_input[44] *1355:9 0 +9 *2826:la_input[44] *1482:15 0 +10 *843:7 *2820:la_data_out_mprj[44] 0.000362769 +11 *843:7 *2820:la_oenb_mprj[43] 0 +12 *843:7 *1371:15 0.000432018 +13 *843:7 *1494:15 0.000156641 +14 *843:8 *845:8 0.0240854 +15 *843:8 *846:10 1.41689e-05 +16 *843:8 *846:16 0.000224395 +17 *843:8 *1044:18 0.00308083 +18 *843:8 *1069:10 0.000126544 +19 *810:10 *843:8 0.00121705 +20 *841:8 *843:8 0.0285817 +*RES +1 *2820:la_data_in_mprj[44] *843:7 45.4878 +2 *843:7 *843:8 323.503 +3 *843:8 *843:10 4.5 +4 *843:10 *2826:la_input[44] 47.3998 +*END + +*D_NET *844 0.0636233 +*CONN +*I *2826:la_input[45] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[45] O *D mgmt_protect +*CAP +1 *2826:la_input[45] 0.00195546 +2 *2820:la_data_in_mprj[45] 0.00176864 +3 *844:8 0.00575883 +4 *844:7 0.00380336 +5 *844:5 0.00176864 +6 *2826:la_input[45] *2820:la_data_out_mprj[9] 0 +7 *2826:la_input[45] *2820:la_oenb_mprj[8] 0.000103626 +8 *2826:la_input[45] *1097:15 0 +9 *2826:la_input[45] *1356:7 0 +10 *2826:la_input[45] *1484:9 0 +11 *844:5 *2820:la_data_out_mprj[45] 0 +12 *844:5 *2820:la_oenb_mprj[44] 0 +13 *844:5 *1369:15 0.00134487 +14 *844:5 *1487:15 0 +15 *844:8 *1085:16 0.0156894 +16 *844:8 *1097:16 0.0268776 +17 *844:8 *1369:10 0 +18 *788:8 *844:8 0.00042956 +19 *817:8 *844:8 0.000112976 +20 *839:8 *844:8 5.00593e-05 +21 *840:8 *844:8 0.00396021 +*RES +1 *2820:la_data_in_mprj[45] *844:5 47.2166 +2 *844:5 *844:7 4.5 +3 *844:7 *844:8 310.747 +4 *844:8 *2826:la_input[45] 46.7947 +*END + +*D_NET *845 0.0672577 +*CONN +*I *2826:la_input[46] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[46] O *D mgmt_protect +*CAP +1 *2826:la_input[46] 0.00190884 +2 *2820:la_data_in_mprj[46] 0.00156879 +3 *845:16 0.00265936 +4 *845:8 0.00352235 +5 *845:7 0.00434061 +6 *2826:la_input[46] *2820:la_iena_mprj[11] 0 +7 *2826:la_input[46] *1357:9 0 +8 *2826:la_input[46] *1439:19 0 +9 *2826:la_input[46] *1485:9 0 +10 *845:7 *2820:la_data_out_mprj[46] 0.000362101 +11 *845:7 *2820:la_iena_mprj[46] 0 +12 *845:7 *2820:la_oenb_mprj[45] 0 +13 *845:7 *1496:15 0.000633417 +14 *845:7 *1497:15 0 +15 *845:8 *846:10 0.0256478 +16 *845:8 *1069:10 0.000118462 +17 *845:16 *2826:la_input[47] 0 +18 *845:16 *1081:16 0.000681778 +19 *845:16 *1340:10 0.000956273 +20 *845:16 *1486:7 0.000142643 +21 *808:8 *845:16 0.000629908 +22 *843:8 *845:8 0.0240854 +*RES +1 *2820:la_data_in_mprj[46] *845:7 45.0726 +2 *845:7 *845:8 275.807 +3 *845:8 *845:16 45.4382 +4 *845:16 *2826:la_input[46] 40.6336 +*END + +*D_NET *846 0.0672646 +*CONN +*I *2826:la_input[47] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[47] O *D mgmt_protect +*CAP +1 *2826:la_input[47] 0.00220619 +2 *2820:la_data_in_mprj[47] 0.00127081 +3 *846:16 0.00224608 +4 *846:10 0.0027698 +5 *846:9 0.00400072 +6 *2826:la_input[47] *1449:13 0.000498957 +7 *2826:la_input[47] *1486:7 0 +8 *846:9 *2820:la_data_out_mprj[47] 0 +9 *846:9 *2820:la_oenb_mprj[46] 0.00220616 +10 *846:9 *1498:15 0.000246949 +11 *846:10 *847:10 0.0246388 +12 *810:10 *846:16 0.000171288 +13 *811:8 *846:10 0.000912545 +14 *811:8 *846:16 1.67988e-05 +15 *842:8 *846:10 0.000193104 +16 *843:8 *846:10 1.41689e-05 +17 *843:8 *846:16 0.000224395 +18 *845:8 *846:10 0.0256478 +19 *845:16 *2826:la_input[47] 0 +*RES +1 *2820:la_data_in_mprj[47] *846:9 46.5138 +2 *846:9 *846:10 283.017 +3 *846:10 *846:16 7.46592 +4 *846:16 *2826:la_input[47] 48.9387 +*END + +*D_NET *847 0.0621357 +*CONN +*I *2826:la_input[48] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[48] O *D mgmt_protect +*CAP +1 *2826:la_input[48] 0.00208164 +2 *2820:la_data_in_mprj[48] 0.00144508 +3 *847:12 0.00208164 +4 *847:10 0.00282839 +5 *847:9 0.00427347 +6 *2826:la_input[48] *2820:la_oenb_mprj[15] 0.000212541 +7 *2826:la_input[48] *1089:15 0.000736209 +8 *2826:la_input[48] *1359:9 0 +9 *2826:la_input[48] *1487:9 0 +10 *847:9 *2820:la_data_out_mprj[48] 0 +11 *847:9 *2820:la_oenb_mprj[47] 0.00133089 +12 *847:9 *1501:15 0.000244447 +13 *847:10 *851:8 0.019795 +14 *842:8 *847:10 0.00246762 +15 *846:10 *847:10 0.0246388 +*RES +1 *2820:la_data_in_mprj[48] *847:9 46.0985 +2 *847:9 *847:10 274.143 +3 *847:10 *847:12 4.5 +4 *847:12 *2826:la_input[48] 49.7692 +*END + +*D_NET *848 0.0585258 +*CONN +*I *2826:la_input[49] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[49] O *D mgmt_protect +*CAP +1 *2826:la_input[49] 7.21667e-05 +2 *2820:la_data_in_mprj[49] 0.00170392 +3 *848:11 0.00233691 +4 *848:10 0.00226474 +5 *848:8 0.00260846 +6 *848:7 0.00431238 +7 *848:7 *2820:la_data_out_mprj[49] 0 +8 *848:7 *2820:la_oenb_mprj[48] 0 +9 *848:8 *850:8 0.0226621 +10 *848:8 *852:8 0.0188713 +11 *848:8 *1091:20 0.00222976 +12 *848:11 *2820:la_data_out_mprj[18] 0.00012611 +13 *848:11 *1091:19 0 +14 *848:11 *1360:7 0 +15 *848:11 *1488:7 0 +16 *815:8 *848:8 0.000536595 +17 *842:8 *848:8 0.000801404 +*RES +1 *2820:la_data_in_mprj[49] *848:7 42.5811 +2 *848:7 *848:8 263.605 +3 *848:8 *848:10 4.5 +4 *848:10 *848:11 49.3784 +5 *848:11 *2826:la_input[49] 2.05183 +*END + +*D_NET *849 0.151268 +*CONN +*I *2826:la_input[4] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[4] O *D mgmt_protect +*CAP +1 *2826:la_input[4] 0.00137119 +2 *2820:la_data_in_mprj[4] 0.00212126 +3 *849:14 0.00642835 +4 *849:13 0.0053241 +5 *849:8 0.00424316 +6 *849:7 0.00609749 +7 *2826:la_input[4] *1361:9 0 +8 *2826:la_input[4] *1489:9 0 +9 *849:7 *2820:la_data_out_mprj[4] 0.00049962 +10 *849:7 *2820:la_oenb_mprj[3] 0 +11 *849:7 *1354:7 0 +12 *849:7 *1354:13 9.53674e-05 +13 *849:8 *882:8 0.0500987 +14 *849:8 *1070:10 0.00136586 +15 *849:8 *1323:10 0.000442349 +16 *849:13 *1070:9 0.000485569 +17 *849:14 *882:14 0.00986968 +18 *849:14 *1105:10 0.000247042 +19 *849:14 *1127:10 0.000698218 +20 *849:14 *1149:10 0.0085842 +21 *849:14 *1383:10 0.00106437 +22 *777:14 *849:14 0 +23 *817:8 *849:8 0.0505341 +24 *836:8 *849:8 0.000883399 +25 *837:8 *849:8 0.000708836 +26 *842:11 *849:7 0.000105305 +*RES +1 *2820:la_data_in_mprj[4] *849:7 49.132 +2 *849:7 *849:8 68.3556 +3 *849:8 *849:13 16.5524 +4 *849:13 *849:14 294.663 +5 *849:14 *2826:la_input[4] 39.7354 +*END + +*D_NET *850 0.0569793 +*CONN +*I *2826:la_input[50] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[50] O *D mgmt_protect +*CAP +1 *2826:la_input[50] 7.21667e-05 +2 *2820:la_data_in_mprj[50] 0.00152943 +3 *850:11 0.00193283 +4 *850:10 0.00186066 +5 *850:8 0.00245197 +6 *850:7 0.0039814 +7 *850:7 *2820:la_data_out_mprj[50] 0.000368439 +8 *850:7 *2820:la_oenb_mprj[49] 0 +9 *850:8 *851:8 0.00245096 +10 *850:8 *852:8 0.000381442 +11 *850:11 *2820:la_data_out_mprj[20] 0 +12 *850:11 *2820:la_iena_mprj[20] 0.000173831 +13 *850:11 *2820:la_oenb_mprj[20] 0.00123406 +14 *850:11 *1074:13 0 +15 *850:11 *1490:9 0 +16 *842:8 *850:8 0.0178801 +17 *848:8 *850:8 0.0226621 +*RES +1 *2820:la_data_in_mprj[50] *850:7 42.9963 +2 *850:7 *850:8 252.513 +3 *850:8 *850:10 4.5 +4 *850:10 *850:11 48.9631 +5 *850:11 *2826:la_input[50] 2.05183 +*END + +*D_NET *851 0.0518817 +*CONN +*I *2826:la_input[51] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[51] O *D mgmt_protect +*CAP +1 *2826:la_input[51] 7.21667e-05 +2 *2820:la_data_in_mprj[51] 0.00166632 +3 *851:11 0.00208729 +4 *851:10 0.00201512 +5 *851:8 0.00279115 +6 *851:7 0.00445746 +7 *851:7 *2820:la_data_out_mprj[51] 0 +8 *851:7 *2820:la_iena_mprj[51] 0 +9 *851:7 *2820:la_oenb_mprj[50] 0 +10 *851:7 *858:13 4.20662e-05 +11 *851:8 *852:8 0.000147426 +12 *851:11 *2820:la_data_out_mprj[23] 0.00039875 +13 *851:11 *2820:la_oenb_mprj[22] 0 +14 *851:11 *1363:9 0 +15 *851:11 *1491:7 0 +16 *842:8 *851:8 0.015958 +17 *847:10 *851:8 0.019795 +18 *850:8 *851:8 0.00245096 +*RES +1 *2820:la_data_in_mprj[51] *851:7 43.8268 +2 *851:7 *851:8 240.312 +3 *851:8 *851:10 4.5 +4 *851:10 *851:11 48.1326 +5 *851:11 *2826:la_input[51] 2.05183 +*END + +*D_NET *852 0.0462483 +*CONN +*I *2826:la_input[52] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[52] O *D mgmt_protect +*CAP +1 *2826:la_input[52] 7.21667e-05 +2 *2820:la_data_in_mprj[52] 0.00145804 +3 *852:11 0.00207069 +4 *852:10 0.00199852 +5 *852:8 0.00360282 +6 *852:7 0.00506086 +7 *852:7 *2820:la_data_out_mprj[52] 0.000332124 +8 *852:7 *2820:la_iena_mprj[51] 0 +9 *852:7 *2820:la_oenb_mprj[51] 0 +10 *852:8 *1091:20 0.00951946 +11 *852:8 *1098:16 0.00204948 +12 *852:11 *2820:la_data_out_mprj[25] 0.000684036 +13 *852:11 *1095:19 0 +14 *852:11 *1364:9 0 +15 *852:11 *1364:11 0 +16 *852:11 *1492:7 0 +17 *848:8 *852:8 0.0188713 +18 *850:8 *852:8 0.000381442 +19 *851:8 *852:8 0.000147426 +*RES +1 *2820:la_data_in_mprj[52] *852:7 42.1658 +2 *852:7 *852:8 229.22 +3 *852:8 *852:10 4.5 +4 *852:10 *852:11 49.7936 +5 *852:11 *2826:la_input[52] 2.05183 +*END + +*D_NET *853 0.0504291 +*CONN +*I *2826:la_input[53] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[53] O *D mgmt_protect +*CAP +1 *2826:la_input[53] 3.26469e-05 +2 *2820:la_data_in_mprj[53] 0.000851181 +3 *853:11 0.00291149 +4 *853:10 0.00287884 +5 *853:8 0.0022175 +6 *853:7 0.00306869 +7 *853:7 *2820:la_data_out_mprj[53] 0 +8 *853:7 *2820:la_iena_mprj[53] 0 +9 *853:7 *2820:la_oenb_mprj[52] 0 +10 *853:8 *855:8 0.0163609 +11 *853:8 *1364:14 0.019803 +12 *853:8 *1371:16 8.07497e-05 +13 *853:8 *1492:10 0.00166774 +14 *853:11 *2820:la_data_out_mprj[28] 0 +15 *853:11 *1098:15 0.00055632 +16 *853:11 *1365:9 0 +17 *824:7 *853:11 0 +18 *825:7 *853:11 0 +*RES +1 *2820:la_data_in_mprj[53] *853:7 25.5557 +2 *853:7 *853:8 218.128 +3 *853:8 *853:10 4.5 +4 *853:10 *853:11 66.4037 +5 *853:11 *2826:la_input[53] 0.928211 +*END + +*D_NET *854 0.0478078 +*CONN +*I *2826:la_input[54] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[54] O *D mgmt_protect +*CAP +1 *2826:la_input[54] 7.21667e-05 +2 *2820:la_data_in_mprj[54] 0.00064053 +3 *854:11 0.00303978 +4 *854:10 0.00296762 +5 *854:8 0.00241373 +6 *854:7 0.00305426 +7 *854:7 *2820:la_data_out_mprj[54] 0.000341064 +8 *854:7 *2820:la_iena_mprj[54] 0 +9 *854:7 *2820:la_oenb_mprj[53] 0 +10 *854:8 *855:8 0.000460332 +11 *854:8 *1108:16 0 +12 *854:8 *1109:16 7.39264e-05 +13 *854:8 *1111:14 0.0163143 +14 *854:8 *1341:10 0 +15 *854:8 *1492:10 0.0179959 +16 *854:11 *2820:la_iena_mprj[30] 0 +17 *854:11 *1102:15 0 +18 *854:11 *1366:9 0 +19 *854:11 *1494:9 0 +20 *828:7 *854:11 0.000434337 +*RES +1 *2820:la_data_in_mprj[54] *854:7 24.31 +2 *854:7 *854:8 205.372 +3 *854:8 *854:10 4.5 +4 *854:10 *854:11 67.6495 +5 *854:11 *2826:la_input[54] 2.05183 +*END + +*D_NET *855 0.0464661 +*CONN +*I *2826:la_input[55] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[55] O *D mgmt_protect +*CAP +1 *2826:la_input[55] 7.21667e-05 +2 *2820:la_data_in_mprj[55] 0.000805806 +3 *855:11 0.00252914 +4 *855:10 0.00245698 +5 *855:8 0.00211199 +6 *855:7 0.0029178 +7 *855:7 *2820:la_data_out_mprj[55] 0 +8 *855:7 *2820:la_iena_mprj[55] 0 +9 *855:7 *2820:la_oenb_mprj[54] 0 +10 *855:8 *1111:14 0.000195516 +11 *855:8 *1371:16 0.000103574 +12 *855:8 *1492:10 0.0161462 +13 *855:11 *2820:la_oenb_mprj[32] 0 +14 *855:11 *1108:13 0.00230574 +15 *855:11 *1367:9 0 +16 *855:11 *1495:9 0 +17 *853:8 *855:8 0.0163609 +18 *854:8 *855:8 0.000460332 +*RES +1 *2820:la_data_in_mprj[55] *855:7 25.1405 +2 *855:7 *855:8 193.725 +3 *855:8 *855:10 4.5 +4 *855:10 *855:11 66.819 +5 *855:11 *2826:la_input[55] 2.05183 +*END + +*D_NET *856 0.0432864 +*CONN +*I *2826:la_input[56] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[56] O *D mgmt_protect +*CAP +1 *2826:la_input[56] 7.21667e-05 +2 *2820:la_data_in_mprj[56] 0.000581834 +3 *856:11 0.00265955 +4 *856:10 0.00258738 +5 *856:8 0.00192622 +6 *856:7 0.00250805 +7 *856:7 *2820:la_data_out_mprj[56] 0.000327813 +8 *856:7 *2820:la_iena_mprj[56] 0 +9 *856:7 *2820:la_oenb_mprj[55] 0 +10 *856:8 *857:8 0.0150446 +11 *856:8 *1109:16 0.0146664 +12 *856:8 *1110:16 0.000786331 +13 *856:8 *1111:14 0.000698398 +14 *856:8 *1371:16 0 +15 *856:11 *2820:la_data_out_mprj[35] 0 +16 *856:11 *2820:la_iena_mprj[35] 0 +17 *856:11 *2820:la_oenb_mprj[34] 0.000891782 +18 *856:11 *1368:9 0 +19 *856:11 *1496:9 0 +20 *833:7 *856:11 0.000535887 +*RES +1 *2820:la_data_in_mprj[56] *856:7 23.0642 +2 *856:7 *856:8 182.079 +3 *856:8 *856:10 4.5 +4 *856:10 *856:11 68.8952 +5 *856:11 *2826:la_input[56] 2.05183 +*END + +*D_NET *857 0.0411517 +*CONN +*I *2826:la_input[57] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[57] O *D mgmt_protect +*CAP +1 *2826:la_input[57] 7.21667e-05 +2 *2820:la_data_in_mprj[57] 0.000690911 +3 *857:11 0.00273449 +4 *857:10 0.00266233 +5 *857:8 0.00192254 +6 *857:7 0.00261345 +7 *857:7 *2820:la_data_out_mprj[57] 0 +8 *857:7 *2820:la_iena_mprj[57] 0 +9 *857:7 *2820:la_oenb_mprj[56] 0 +10 *857:8 *1110:16 0.0136005 +11 *857:8 *1112:10 0.000394687 +12 *857:8 *1113:10 3.76478e-05 +13 *857:11 *2820:la_data_out_mprj[37] 0.00100936 +14 *857:11 *2820:la_iena_mprj[37] 0 +15 *857:11 *2820:la_oenb_mprj[36] 0 +16 *857:11 *1357:15 0 +17 *857:11 *1369:9 0 +18 *857:11 *1497:9 0 +19 *835:7 *857:11 0.000369026 +20 *856:8 *857:8 0.0150446 +*RES +1 *2820:la_data_in_mprj[57] *857:7 22.649 +2 *857:7 *857:8 170.432 +3 *857:8 *857:10 4.5 +4 *857:10 *857:11 69.3105 +5 *857:11 *2826:la_input[57] 2.05183 +*END + +*D_NET *858 0.0357645 +*CONN +*I *2826:la_input[58] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[58] O *D mgmt_protect +*CAP +1 *2826:la_input[58] 7.21667e-05 +2 *2820:la_data_in_mprj[58] 0.000785432 +3 *858:17 0.00170162 +4 *858:16 0.00162946 +5 *858:14 0.00142646 +6 *858:13 0.00153344 +7 *858:8 0.000681413 +8 *858:7 0.00135986 +9 *858:7 *2820:la_data_out_mprj[58] 0.000483558 +10 *858:7 *2820:la_oenb_mprj[57] 0 +11 *858:8 *1115:10 0.00582535 +12 *858:8 *1367:22 0.00378638 +13 *858:8 *1368:16 0.000349749 +14 *858:8 *1370:16 0.00023007 +15 *858:13 *2820:la_oenb_mprj[50] 1.09738e-05 +16 *858:14 *1363:16 0.000882819 +17 *858:14 *1365:16 0.00186537 +18 *858:14 *1370:16 0.00465051 +19 *858:14 *1495:16 0.000292759 +20 *858:14 *1499:16 0.0046016 +21 *858:17 *1349:19 0.00193565 +22 *858:17 *1365:15 0.00144828 +23 *858:17 *1366:15 0.000169479 +24 *858:17 *1370:9 0 +25 *858:17 *1498:9 0 +26 *851:7 *858:13 4.20662e-05 +*RES +1 *2820:la_data_in_mprj[58] *858:7 30.1235 +2 *858:7 *858:8 61.7298 +3 *858:8 *858:13 11.2472 +4 *858:13 *858:14 97.2244 +5 *858:14 *858:16 4.5 +6 *858:16 *858:17 59.7597 +7 *858:17 *2826:la_input[58] 2.05183 +*END + +*D_NET *859 0.0357245 +*CONN +*I *2826:la_input[59] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[59] O *D mgmt_protect +*CAP +1 *2826:la_input[59] 7.21667e-05 +2 *2820:la_data_in_mprj[59] 0.000920225 +3 *859:11 0.00251252 +4 *859:10 0.00244035 +5 *859:8 0.00126035 +6 *859:7 0.00218058 +7 *859:7 *2820:la_data_out_mprj[59] 0 +8 *859:7 *2820:la_iena_mprj[58] 0 +9 *859:7 *2820:la_oenb_mprj[58] 0 +10 *859:8 *1114:10 0.0131861 +11 *859:8 *1115:10 0.000282235 +12 *859:8 *1354:14 0.00130099 +13 *859:8 *1356:10 0.000524279 +14 *859:8 *1369:16 0.0101006 +15 *859:8 *1501:16 0.000520301 +16 *859:11 *2820:la_data_out_mprj[42] 0 +17 *859:11 *2820:la_iena_mprj[41] 0 +18 *859:11 *2820:la_iena_mprj[42] 0 +19 *859:11 *2820:la_oenb_mprj[41] 2.1203e-06 +20 *859:11 *1367:15 0 +21 *859:11 *1371:9 0 +22 *859:11 *1499:9 0 +23 *841:7 *859:11 0.000421687 +*RES +1 *2820:la_data_in_mprj[59] *859:7 28.8777 +2 *859:7 *859:8 147.139 +3 *859:8 *859:10 4.5 +4 *859:10 *859:11 63.0817 +5 *859:11 *2826:la_input[59] 2.05183 +*END + +*D_NET *860 0.195866 +*CONN +*I *2826:la_input[5] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[5] O *D mgmt_protect +*CAP +1 *2826:la_input[5] 7.21667e-05 +2 *2820:la_data_in_mprj[5] 0.00201815 +3 *860:11 0.00196257 +4 *860:10 0.00189041 +5 *860:8 0.00812152 +6 *860:7 0.0101397 +7 *860:7 *2820:la_data_out_mprj[5] 0 +8 *860:7 *2820:la_oenb_mprj[4] 0 +9 *860:8 *893:8 0.00093626 +10 *860:8 *904:8 0.075663 +11 *860:8 *1064:20 0.000441045 +12 *860:8 *1067:10 0.00529309 +13 *860:8 *1069:10 0.000325783 +14 *860:11 *1372:7 0 +15 *860:11 *1500:7 0 +16 *799:20 *860:8 0.00637173 +17 *838:8 *860:8 0.0826304 +*RES +1 *2820:la_data_in_mprj[5] *860:7 42.488 +2 *860:7 *860:8 107.289 +3 *860:8 *860:10 3.36879 +4 *860:10 *860:11 48.3402 +5 *860:11 *2826:la_input[5] 2.05183 +*END + +*D_NET *861 0.028071 +*CONN +*I *2826:la_input[60] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[60] O *D mgmt_protect +*CAP +1 *2826:la_input[60] 0.00119323 +2 *2820:la_data_in_mprj[60] 0.00168662 +3 *861:8 0.00272081 +4 *861:7 0.00152758 +5 *861:5 0.00168662 +6 *2826:la_input[60] *2820:la_iena_mprj[44] 6.08697e-06 +7 *2826:la_input[60] *1371:15 0.000172527 +8 *2826:la_input[60] *1373:9 0 +9 *2826:la_input[60] *1501:9 0 +10 *861:5 *2820:la_data_out_mprj[60] 0.00110377 +11 *861:5 *2820:la_oenb_mprj[59] 0 +12 *861:8 *862:8 0.00364489 +13 *861:8 *1355:10 0.000167076 +14 *861:8 *1373:10 0 +15 *861:8 *1374:10 0.000363723 +16 *861:8 *1483:10 0.000163928 +17 *861:8 *1484:10 0.000207488 +18 *861:8 *1485:10 3.51801e-05 +19 *861:8 *1502:10 0.0106179 +20 *861:8 *1506:12 0.00277355 +*RES +1 *2820:la_data_in_mprj[60] *861:5 57.1827 +2 *861:5 *861:7 4.5 +3 *861:7 *861:8 135.492 +4 *861:8 *2826:la_input[60] 36.8286 +*END + +*D_NET *862 0.0225719 +*CONN +*I *2826:la_input[61] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[61] O *D mgmt_protect +*CAP +1 *2826:la_input[61] 0.00120513 +2 *2820:la_data_in_mprj[61] 0.00217731 +3 *862:8 0.00324491 +4 *862:7 0.00203978 +5 *862:5 0.00217731 +6 *2826:la_input[61] *1374:9 0 +7 *2826:la_input[61] *1497:15 0 +8 *2826:la_input[61] *1498:15 0 +9 *2826:la_input[61] *1502:9 0 +10 *862:5 *2820:la_data_out_mprj[61] 0 +11 *862:5 *2820:la_iena_mprj[60] 0 +12 *862:5 *2820:la_oenb_mprj[60] 0 +13 *862:5 *2826:la_input[67] 0 +14 *862:5 *866:13 0 +15 *862:8 *866:16 0.0040288 +16 *862:8 *1373:10 0.000500717 +17 *862:8 *1506:12 0.00355306 +18 *861:8 *862:8 0.00364489 +*RES +1 *2820:la_data_in_mprj[61] *862:5 58.0132 +2 *862:5 *862:7 4.5 +3 *862:7 *862:8 123.291 +4 *862:8 *2826:la_input[61] 35.9981 +*END + +*D_NET *863 0.0289509 +*CONN +*I *2826:la_input[62] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[62] O *D mgmt_protect +*CAP +1 *2826:la_input[62] 0.00134831 +2 *2820:la_data_in_mprj[62] 0.00155247 +3 *863:8 0.00210722 +4 *863:7 0.000758912 +5 *863:5 0.00155247 +6 *2826:la_input[62] *1375:9 0 +7 *2826:la_input[62] *1503:9 0 +8 *863:5 *2820:la_data_out_mprj[62] 0.00109515 +9 *863:5 *2820:la_iena_mprj[62] 0 +10 *863:5 *2820:la_oenb_mprj[61] 0 +11 *863:8 *1117:10 0.000636915 +12 *863:8 *1375:10 0.0106623 +13 *863:8 *1376:10 0.00923711 +*RES +1 *2820:la_data_in_mprj[62] *863:5 54.6912 +2 *863:5 *863:7 4.5 +3 *863:7 *863:8 112.199 +4 *863:8 *2826:la_input[62] 39.3201 +*END + +*D_NET *864 0.0199845 +*CONN +*I *2826:la_input[63] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[63] O *D mgmt_protect +*CAP +1 *2826:la_input[63] 0.00106446 +2 *2820:la_data_in_mprj[63] 0.00227358 +3 *864:8 0.00250242 +4 *864:7 0.00143797 +5 *864:5 0.00227358 +6 *2826:la_input[63] *1376:9 0 +7 *2826:la_input[63] *1504:9 0 +8 *864:5 *2820:la_data_out_mprj[63] 0 +9 *864:5 *2820:la_oenb_mprj[62] 0 +10 *864:5 *1381:9 0 +11 *864:8 *867:8 0.00376326 +12 *864:8 *1120:10 0.000482144 +13 *864:8 *1121:10 0.00131458 +14 *864:8 *1373:10 0.00163805 +15 *864:8 *1507:10 0.00323442 +*RES +1 *2820:la_data_in_mprj[63] *864:5 61.3352 +2 *864:5 *864:7 4.5 +3 *864:7 *864:8 99.9974 +4 *864:8 *2826:la_input[63] 32.6761 +*END + +*D_NET *865 0.0171447 +*CONN +*I *2826:la_input[64] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[64] O *D mgmt_protect +*CAP +1 *2826:la_input[64] 0.000956836 +2 *2820:la_data_in_mprj[64] 0.00190123 +3 *865:8 0.00236261 +4 *865:7 0.00140577 +5 *865:5 0.00190123 +6 *2826:la_input[64] *1377:9 0 +7 *2826:la_input[64] *1505:9 0 +8 *865:5 *2820:la_data_out_mprj[64] 0.00125928 +9 *865:5 *2820:la_iena_mprj[63] 0 +10 *865:5 *2820:la_oenb_mprj[63] 0 +11 *865:5 *1125:9 0 +12 *865:5 *1509:9 0 +13 *865:8 *869:8 0.000695917 +14 *865:8 *1120:10 0.000186188 +15 *865:8 *1122:10 0.000498026 +16 *865:8 *1123:10 0.000846705 +17 *865:8 *1124:14 0.0016943 +18 *865:8 *1377:10 0.00343663 +*RES +1 *2820:la_data_in_mprj[64] *865:5 64.6572 +2 *865:5 *865:7 4.5 +3 *865:7 *865:8 88.9054 +4 *865:8 *2826:la_input[64] 29.3541 +*END + +*D_NET *866 0.0191104 +*CONN +*I *2826:la_input[65] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[65] O *D mgmt_protect +*CAP +1 *2826:la_input[65] 0.00120758 +2 *2820:la_data_in_mprj[65] 0.00201559 +3 *866:16 0.00162224 +4 *866:13 0.00111273 +5 *866:5 0.00271367 +6 *2826:la_input[65] *1378:9 0 +7 *2826:la_input[65] *1506:9 0 +8 *866:5 *2820:la_data_out_mprj[65] 0 +9 *866:5 *2820:la_iena_mprj[64] 0 +10 *866:5 *2820:la_oenb_mprj[64] 0 +11 *866:13 *2820:la_data_out_mprj[61] 8.62625e-06 +12 *866:13 *2820:la_iena_mprj[61] 1.03986e-05 +13 *866:13 *1375:10 0.00095501 +14 *866:13 *1376:10 0.000207502 +15 *866:13 *1378:10 0 +16 *866:13 *1503:10 0.00116323 +17 *866:13 *1504:10 1.45065e-05 +18 *866:13 *1506:16 0.000807467 +19 *866:16 *1373:10 0.000260351 +20 *866:16 *1379:10 0.00286688 +21 *866:16 *1506:12 0.00011581 +22 *862:5 *866:13 0 +23 *862:8 *866:16 0.0040288 +*RES +1 *2820:la_data_in_mprj[65] *866:5 55.5217 +2 *866:5 *866:13 48.3589 +3 *866:13 *866:16 49.5917 +4 *866:16 *2826:la_input[65] 31.0828 +*END + +*D_NET *867 0.018709 +*CONN +*I *2826:la_input[66] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[66] O *D mgmt_protect +*CAP +1 *2826:la_input[66] 0.00108393 +2 *2820:la_data_in_mprj[66] 0.00173047 +3 *867:8 0.00164033 +4 *867:7 0.0005564 +5 *867:5 0.00173047 +6 *2826:la_input[66] *1379:9 0 +7 *2826:la_input[66] *1507:9 0 +8 *867:5 *2820:la_data_out_mprj[66] 0.00126877 +9 *867:5 *2820:la_oenb_mprj[65] 0 +10 *867:8 *1121:10 0.00474875 +11 *867:8 *1380:12 4.7835e-05 +12 *867:8 *1380:16 0.0001532 +13 *867:8 *1382:12 0.000908347 +14 *867:8 *1507:10 0.00107721 +15 *864:8 *867:8 0.00376326 +*RES +1 *2820:la_data_in_mprj[66] *867:5 61.7504 +2 *867:5 *867:7 4.5 +3 *867:7 *867:8 65.612 +4 *867:8 *2826:la_input[66] 32.2608 +*END + +*D_NET *868 0.0115699 +*CONN +*I *2826:la_input[67] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[67] O *D mgmt_protect +*CAP +1 *2826:la_input[67] 0.00150061 +2 *2820:la_data_in_mprj[67] 0.00179409 +3 *868:8 0.00346432 +4 *868:7 0.0019637 +5 *868:5 0.00179409 +6 *2826:la_input[67] *2820:la_iena_mprj[60] 0.000245367 +7 *2826:la_input[67] *1380:9 0 +8 *868:5 *2820:la_data_out_mprj[67] 0 +9 *868:5 *2820:la_oenb_mprj[66] 0 +10 *868:8 *1378:10 0 +11 *868:8 *1505:10 0.000807668 +12 *862:5 *2826:la_input[67] 0 +*RES +1 *2820:la_data_in_mprj[67] *868:5 49.7081 +2 *868:5 *868:7 4.5 +3 *868:7 *868:8 55.0746 +4 *868:8 *2826:la_input[67] 42.6177 +*END + +*D_NET *869 0.01377 +*CONN +*I *2826:la_input[68] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[68] O *D mgmt_protect +*CAP +1 *2826:la_input[68] 0.000946661 +2 *2820:la_data_in_mprj[68] 0.00187349 +3 *869:8 0.00136171 +4 *869:5 0.00228853 +5 *2826:la_input[68] *1381:9 0 +6 *2826:la_input[68] *1509:9 0 +7 *869:5 *2820:la_data_out_mprj[68] 0.00123363 +8 *869:5 *2820:la_oenb_mprj[67] 0 +9 *869:5 *1512:10 0 +10 *869:8 *872:11 0.00011818 +11 *869:8 *1124:14 0.00240629 +12 *869:8 *1377:10 0.00105701 +13 *869:8 *1384:12 0.000585491 +14 *869:8 *1508:10 0.00118891 +15 *869:8 *1510:12 1.41689e-05 +16 *865:8 *869:8 0.000695917 +*RES +1 *2820:la_data_in_mprj[68] *869:5 65.0725 +2 *869:5 *869:8 46.2641 +3 *869:8 *2826:la_input[68] 28.9388 +*END + +*D_NET *870 0.0126242 +*CONN +*I *2826:la_input[69] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[69] O *D mgmt_protect +*CAP +1 *2826:la_input[69] 0.00102112 +2 *2820:la_data_in_mprj[69] 0.00182738 +3 *870:10 0.00131258 +4 *870:5 0.00211883 +5 *2826:la_input[69] *1382:9 0 +6 *2826:la_input[69] *1510:9 0 +7 *870:5 *2820:la_data_out_mprj[69] 0 +8 *870:5 *2820:la_iena_mprj[68] 0 +9 *870:5 *2820:la_oenb_mprj[68] 0 +10 *870:5 *1128:7 0.00213273 +11 *870:10 *1123:10 0.000591935 +12 *870:10 *1126:12 0.00190029 +13 *870:10 *1380:16 0.00041958 +14 *870:10 *1510:12 0.000756736 +15 *870:10 *1512:10 0.000543039 +*RES +1 *2820:la_data_in_mprj[69] *870:5 63.4115 +2 *870:5 *870:10 39.1174 +3 *870:10 *2826:la_input[69] 26.0998 +*END + +*D_NET *871 0.164723 +*CONN +*I *2826:la_input[6] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[6] O *D mgmt_protect +*CAP +1 *2826:la_input[6] 0.00189411 +2 *2820:la_data_in_mprj[6] 0.00175583 +3 *871:10 0.00189411 +4 *871:8 0.00633085 +5 *871:7 0.00808668 +6 *2826:la_input[6] *1383:9 0 +7 *2826:la_input[6] *1511:9 0 +8 *871:7 *2820:la_data_out_mprj[6] 0.000529736 +9 *871:7 *2820:la_oenb_mprj[5] 0 +10 *871:7 *1482:15 0.000293898 +11 *871:8 *1033:10 0.0061135 +12 *871:8 *1044:10 0.056888 +13 *871:8 *1044:14 3.35976e-05 +14 *871:8 *1044:18 0.00203802 +15 *871:8 *1069:10 0.000102438 +16 *799:14 *871:8 0.00514979 +17 *827:8 *871:8 0.0696262 +18 *828:8 *871:8 1.41689e-05 +19 *838:8 *871:8 0.000784804 +20 *841:8 *871:8 0.00318706 +*RES +1 *2820:la_data_in_mprj[6] *871:7 45.4878 +2 *871:7 *871:8 766.631 +3 *871:8 *871:10 4.5 +4 *871:10 *2826:la_input[6] 48.5234 +*END + +*D_NET *872 0.00848524 +*CONN +*I *2826:la_input[70] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[70] O *D mgmt_protect +*CAP +1 *2826:la_input[70] 7.21667e-05 +2 *2820:la_data_in_mprj[70] 0.00211181 +3 *872:11 0.00138379 +4 *872:5 0.00342344 +5 *872:5 *2820:la_data_out_mprj[70] 0.000600183 +6 *872:5 *2820:la_iena_mprj[70] 0 +7 *872:5 *2820:la_oenb_mprj[69] 0 +8 *872:5 *2826:la_input[71] 4.01708e-05 +9 *872:5 *1385:10 0 +10 *872:11 *2820:la_oenb_mprj[67] 1.44611e-05 +11 *872:11 *1384:9 0 +12 *872:11 *1384:12 0.000721032 +13 *872:11 *1512:10 0 +14 *869:8 *872:11 0.00011818 +*RES +1 *2820:la_data_in_mprj[70] *872:5 65.4877 +2 *872:5 *872:11 49.4424 +3 *872:11 *2826:la_input[70] 2.05183 +*END + +*D_NET *873 0.00775508 +*CONN +*I *2826:la_input[71] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[71] O *D mgmt_protect +*CAP +1 *2826:la_input[71] 0.00119305 +2 *2820:la_data_in_mprj[71] 0.00185103 +3 *873:5 0.00304408 +4 *2826:la_input[71] *2820:la_iena_mprj[70] 0 +5 *2826:la_input[71] *1385:10 0.000629908 +6 *2826:la_input[71] *1513:7 0 +7 *873:5 *2820:la_data_out_mprj[71] 0 +8 *873:5 *2820:la_oenb_mprj[70] 0 +9 *873:5 *1513:7 0.00099685 +10 *872:5 *2826:la_input[71] 4.01708e-05 +*RES +1 *2820:la_data_in_mprj[71] *873:5 62.9962 +2 *873:5 *2826:la_input[71] 42.3391 +*END + +*D_NET *874 0.00766518 +*CONN +*I *2826:la_input[72] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[72] O *D mgmt_protect +*CAP +1 *2826:la_input[72] 3.26469e-05 +2 *2820:la_data_in_mprj[72] 0.000920683 +3 *874:11 0.00175524 +4 *874:9 0.00264327 +5 *874:9 *2820:la_data_out_mprj[72] 0.00165476 +6 *874:9 *2820:la_iena_mprj[71] 0 +7 *874:9 *2820:la_iena_mprj[72] 0 +8 *874:9 *2820:la_oenb_mprj[71] 0 +9 *874:11 *2820:la_iena_mprj[72] 0.000658569 +10 *874:11 *2820:la_oenb_mprj[72] 0 +11 *874:11 *1386:12 0 +*RES +1 *2820:la_data_in_mprj[72] *874:9 35.3819 +2 *874:9 *874:11 54.6667 +3 *874:11 *2826:la_input[72] 0.928211 +*END + +*D_NET *875 0.00760121 +*CONN +*I *2826:la_input[73] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[73] O *D mgmt_protect +*CAP +1 *2826:la_input[73] 7.21667e-05 +2 *2820:la_data_in_mprj[73] 0.00139484 +3 *875:11 0.00235114 +4 *875:10 0.00367381 +5 *875:10 *2820:la_data_out_mprj[72] 4.95605e-05 +6 *875:10 *2820:la_data_out_mprj[73] 0 +7 *875:10 *2820:la_oenb_mprj[72] 0 +8 *875:10 *876:10 5.96914e-05 +9 *875:11 *2820:la_data_out_mprj[74] 0 +10 *875:11 *2820:la_oenb_mprj[74] 0 +11 *875:11 *877:7 0 +12 *875:11 *1387:7 0 +13 *875:11 *1515:7 0 +*RES +1 *2820:la_data_in_mprj[73] *875:10 47.1329 +2 *875:10 *875:11 62.2512 +3 *875:11 *2826:la_input[73] 2.05183 +*END + +*D_NET *876 0.00890255 +*CONN +*I *2826:la_input[74] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[74] O *D mgmt_protect +*CAP +1 *2826:la_input[74] 7.21667e-05 +2 *2820:la_data_in_mprj[74] 0.000784817 +3 *876:11 0.00232587 +4 *876:10 0.00309264 +5 *876:7 0.00162375 +6 *876:7 *2820:la_data_out_mprj[74] 0.000532814 +7 *876:7 *2820:la_iena_mprj[73] 0 +8 *876:7 *2820:la_oenb_mprj[73] 0 +9 *876:10 *877:10 0 +10 *876:10 *878:8 0 +11 *876:10 *1132:12 0 +12 *876:10 *1133:10 0 +13 *876:10 *1516:12 0.000410811 +14 *876:11 *2820:la_data_out_mprj[77] 0 +15 *876:11 *2820:la_iena_mprj[76] 0 +16 *876:11 *1388:7 0 +17 *876:11 *1516:7 0 +18 *875:10 *876:10 5.96914e-05 +*RES +1 *2820:la_data_in_mprj[74] *876:7 31.3693 +2 *876:7 *876:10 29.0714 +3 *876:10 *876:11 60.5902 +4 *876:11 *2826:la_input[74] 2.05183 +*END + +*D_NET *877 0.0136606 +*CONN +*I *2826:la_input[75] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[75] O *D mgmt_protect +*CAP +1 *2826:la_input[75] 7.21667e-05 +2 *2820:la_data_in_mprj[75] 0.00100274 +3 *877:11 0.00218512 +4 *877:10 0.00246309 +5 *877:7 0.00135288 +6 *877:7 *2820:la_data_out_mprj[75] 0 +7 *877:7 *2820:la_oenb_mprj[74] 0 +8 *877:7 *1515:7 0.000402434 +9 *877:10 *1132:12 0.00250954 +10 *877:10 *1133:10 0.00325304 +11 *877:10 *1517:12 0.00041958 +12 *877:11 *881:7 0 +13 *877:11 *1389:7 0 +14 *875:11 *877:7 0 +15 *876:10 *877:10 0 +*RES +1 *2820:la_data_in_mprj[75] *877:7 34.6913 +2 *877:7 *877:10 41.2726 +3 *877:10 *877:11 57.2682 +4 *877:11 *2826:la_input[75] 2.05183 +*END + +*D_NET *878 0.0127016 +*CONN +*I *2826:la_input[76] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[76] O *D mgmt_protect +*CAP +1 *2826:la_input[76] 7.21667e-05 +2 *2820:la_data_in_mprj[76] 0.000905669 +3 *878:11 0.00220069 +4 *878:10 0.00212852 +5 *878:8 0.00108658 +6 *878:7 0.00199225 +7 *878:7 *2820:la_data_out_mprj[76] 0.000456016 +8 *878:7 *2820:la_oenb_mprj[75] 0 +9 *878:7 *1388:7 0 +10 *878:8 *879:8 0 +11 *878:8 *881:8 0 +12 *878:8 *1133:10 0.00359103 +13 *878:8 *1135:10 0.000238216 +14 *878:8 *1390:12 3.04269e-05 +15 *878:8 *1516:12 0 +16 *878:11 *1390:7 0 +17 *878:11 *1518:7 0 +18 *876:10 *878:8 0 +*RES +1 *2820:la_data_in_mprj[76] *878:7 33.8608 +2 *878:7 *878:8 47.8647 +3 *878:8 *878:10 4.5 +4 *878:10 *878:11 58.0987 +5 *878:11 *2826:la_input[76] 2.05183 +*END + +*D_NET *879 0.0169029 +*CONN +*I *2826:la_input[77] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[77] O *D mgmt_protect +*CAP +1 *2826:la_input[77] 7.21667e-05 +2 *2820:la_data_in_mprj[77] 0.000993231 +3 *879:11 0.00232782 +4 *879:10 0.00225565 +5 *879:8 0.000735753 +6 *879:7 0.00172898 +7 *879:7 *2820:la_data_out_mprj[77] 0 +8 *879:7 *2820:la_iena_mprj[76] 0 +9 *879:7 *2820:la_oenb_mprj[76] 0 +10 *879:8 *883:8 0.00321928 +11 *879:8 *1135:10 0.000180727 +12 *879:8 *1391:10 0.000122506 +13 *879:8 *1516:12 0.000158997 +14 *879:8 *1518:10 0 +15 *879:8 *1519:10 0.0050674 +16 *879:8 *1521:10 4.03749e-05 +17 *879:11 *2820:la_data_out_mprj[84] 0 +18 *879:11 *1391:7 0 +19 *879:11 *1519:7 0 +20 *878:8 *879:8 0 +*RES +1 *2820:la_data_in_mprj[77] *879:7 30.954 +2 *879:7 *879:8 60.066 +3 *879:8 *879:10 4.5 +4 *879:10 *879:11 61.0054 +5 *879:11 *2826:la_input[77] 2.05183 +*END + +*D_NET *880 0.0184748 +*CONN +*I *2826:la_input[78] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[78] O *D mgmt_protect +*CAP +1 *2826:la_input[78] 7.21667e-05 +2 *2820:la_data_in_mprj[78] 0.000476942 +3 *880:11 0.0018709 +4 *880:10 0.00179874 +5 *880:8 0.00188217 +6 *880:7 0.00235911 +7 *880:7 *2820:la_data_out_mprj[78] 0.000314562 +8 *880:7 *2820:la_oenb_mprj[77] 0 +9 *880:8 *1136:10 0 +10 *880:8 *1392:10 0.00587509 +11 *880:8 *1520:10 0.000146388 +12 *880:11 *2820:la_data_out_mprj[86] 0.00279906 +13 *880:11 *2820:la_iena_mprj[86] 0.000879645 +14 *880:11 *1392:7 0 +15 *880:11 *1520:7 0 +*RES +1 *2820:la_data_in_mprj[78] *880:7 20.5727 +2 *880:7 *880:8 71.1581 +3 *880:8 *880:10 4.5 +4 *880:10 *880:11 71.3867 +5 *880:11 *2826:la_input[78] 2.05183 +*END + +*D_NET *881 0.0173129 +*CONN +*I *2826:la_input[79] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[79] O *D mgmt_protect +*CAP +1 *2826:la_input[79] 7.21667e-05 +2 *2820:la_data_in_mprj[79] 0.00128298 +3 *881:11 0.00204722 +4 *881:10 0.00197505 +5 *881:8 0.00230383 +6 *881:7 0.00358681 +7 *881:7 *2820:la_data_out_mprj[79] 0 +8 *881:7 *2820:la_oenb_mprj[78] 0 +9 *881:8 *1390:12 0.000723467 +10 *881:8 *1397:10 0.00493204 +11 *881:11 *2820:la_data_out_mprj[88] 0 +12 *881:11 *2820:la_iena_mprj[88] 0.000389313 +13 *881:11 *1393:7 0 +14 *881:11 *1521:7 0 +15 *877:11 *881:7 0 +16 *878:8 *881:8 0 +*RES +1 *2820:la_data_in_mprj[79] *881:7 36.7675 +2 *881:7 *881:8 83.3593 +3 *881:8 *881:10 4.5 +4 *881:10 *881:11 55.1919 +5 *881:11 *2826:la_input[79] 2.05183 +*END + +*D_NET *882 0.113786 +*CONN +*I *2826:la_input[7] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[7] O *D mgmt_protect +*CAP +1 *2826:la_input[7] 0.00144944 +2 *2820:la_data_in_mprj[7] 0.00242546 +3 *882:14 0.00805066 +4 *882:13 0.00690842 +5 *882:8 0.00820044 +6 *882:7 0.0103187 +7 *2826:la_input[7] *1394:7 0 +8 *2826:la_input[7] *1522:7 0 +9 *882:7 *2820:la_data_out_mprj[7] 0 +10 *882:7 *2820:la_oenb_mprj[6] 0 +11 *882:8 *1323:10 0.00974052 +12 *882:14 *1149:10 7.5555e-05 +13 *882:14 *1327:10 0.000870853 +14 *882:14 *1329:10 0.00085524 +15 *882:14 *1405:10 0.00123814 +16 *2826:la_input[20] *882:13 0 +17 *788:8 *882:8 0.000693066 +18 *788:12 *882:8 0.000871419 +19 *788:18 *882:14 0 +20 *808:12 *882:8 0.000464675 +21 *817:8 *882:8 0.00111203 +22 *839:8 *882:8 0.000543049 +23 *849:8 *882:8 0.0500987 +24 *849:14 *882:14 0.00986968 +*RES +1 *2820:la_data_in_mprj[7] *882:7 49.9625 +2 *882:7 *882:8 67.2083 +3 *882:8 *882:13 14.8914 +4 *882:13 *882:14 267.488 +5 *882:14 *2826:la_input[7] 40.5659 +*END + +*D_NET *883 0.0207328 +*CONN +*I *2826:la_input[80] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[80] O *D mgmt_protect +*CAP +1 *2826:la_input[80] 7.21667e-05 +2 *2820:la_data_in_mprj[80] 0.000887431 +3 *883:11 0.00247695 +4 *883:10 0.00240479 +5 *883:8 0.00154155 +6 *883:7 0.00242898 +7 *883:7 *2820:la_data_out_mprj[80] 0.000339679 +8 *883:7 *2820:la_iena_mprj[80] 0 +9 *883:7 *2820:la_oenb_mprj[79] 0 +10 *883:8 *891:8 0.00237332 +11 *883:8 *892:8 0.0016067 +12 *883:8 *1135:10 0.000108598 +13 *883:8 *1395:10 0.00297626 +14 *883:8 *1519:10 0.000202245 +15 *883:8 *1521:10 9.48729e-05 +16 *883:11 *2820:la_data_out_mprj[91] 0 +17 *883:11 *2820:la_iena_mprj[90] 0 +18 *883:11 *2820:la_oenb_mprj[90] 0 +19 *883:11 *1395:7 0 +20 *883:11 *1523:7 0 +21 *879:8 *883:8 0.00321928 +*RES +1 *2820:la_data_in_mprj[80] *883:7 30.5388 +2 *883:7 *883:8 94.4514 +3 *883:8 *883:10 4.5 +4 *883:10 *883:11 61.4207 +5 *883:11 *2826:la_input[80] 2.05183 +*END + +*D_NET *884 0.0280227 +*CONN +*I *2826:la_input[81] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[81] O *D mgmt_protect +*CAP +1 *2826:la_input[81] 1.28869e-05 +2 *2820:la_data_in_mprj[81] 0.000793723 +3 *884:11 0.00233321 +4 *884:10 0.00232033 +5 *884:8 0.00143261 +6 *884:7 0.00222633 +7 *884:7 *2820:la_data_out_mprj[81] 0 +8 *884:7 *2820:la_iena_mprj[80] 0 +9 *884:7 *2820:la_oenb_mprj[80] 0 +10 *884:8 *885:8 0.00917231 +11 *884:8 *895:8 0.000241889 +12 *884:8 *1134:10 0 +13 *884:8 *1137:10 0.00703205 +14 *884:8 *1140:10 7.67829e-05 +15 *884:8 *1523:10 0.000352299 +16 *884:8 *1524:10 5.24855e-05 +17 *884:11 *2820:la_data_out_mprj[93] 0 +18 *884:11 *2820:la_iena_mprj[93] 0.00197577 +19 *884:11 *1524:7 0 +*RES +1 *2820:la_data_in_mprj[81] *884:7 24.31 +2 *884:7 *884:8 108.316 +3 *884:8 *884:10 4.5 +4 *884:10 *884:11 67.6495 +5 *884:11 *2826:la_input[81] 0.366399 +*END + +*D_NET *885 0.0303569 +*CONN +*I *2826:la_input[82] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[82] O *D mgmt_protect +*CAP +1 *2826:la_input[82] 7.21667e-05 +2 *2820:la_data_in_mprj[82] 0.000672684 +3 *885:11 0.00285211 +4 *885:10 0.00277994 +5 *885:8 0.0014125 +6 *885:7 0.00208518 +7 *885:7 *2820:la_data_out_mprj[82] 0.000357678 +8 *885:7 *2820:la_oenb_mprj[81] 0 +9 *885:8 *895:8 0.000105175 +10 *885:8 *1141:10 0.0107735 +11 *885:8 *1524:10 7.24449e-05 +12 *885:8 *1539:16 1.21461e-06 +13 *885:11 *2820:la_data_out_mprj[95] 0 +14 *885:11 *2820:la_data_out_mprj[96] 0 +15 *885:11 *2820:la_iena_mprj[95] 0 +16 *885:11 *900:7 0 +17 *885:11 *1397:7 0 +18 *885:11 *1525:7 0 +19 *884:8 *885:8 0.00917231 +*RES +1 *2820:la_data_in_mprj[82] *885:7 24.7252 +2 *885:7 *885:8 118.299 +3 *885:8 *885:10 4.5 +4 *885:10 *885:11 67.2342 +5 *885:11 *2826:la_input[82] 2.05183 +*END + +*D_NET *886 0.0346015 +*CONN +*I *2826:la_input[83] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[83] O *D mgmt_protect +*CAP +1 *2826:la_input[83] 7.21667e-05 +2 *2820:la_data_in_mprj[83] 0.000646807 +3 *886:11 0.00218364 +4 *886:10 0.00211148 +5 *886:8 0.00139587 +6 *886:7 0.00204268 +7 *886:7 *2820:la_data_out_mprj[83] 0 +8 *886:7 *2820:la_iena_mprj[82] 0 +9 *886:7 *2820:la_oenb_mprj[82] 0.00129334 +10 *886:8 *887:8 0.0111726 +11 *886:8 *1391:10 5.35646e-05 +12 *886:8 *1398:10 0.000180727 +13 *886:8 *1521:10 5.383e-06 +14 *886:8 *1525:10 0.0106142 +15 *886:8 *1532:16 0.000591614 +16 *886:11 *2820:la_data_out_mprj[98] 0.000815439 +17 *886:11 *2820:la_oenb_mprj[97] 0 +18 *886:11 *902:5 0.00142202 +19 *886:11 *1398:7 0 +20 *886:11 *1413:13 0 +21 *886:11 *1526:7 0 +*RES +1 *2820:la_data_in_mprj[83] *886:7 27.2167 +2 *886:7 *886:8 129.946 +3 *886:8 *886:10 4.5 +4 *886:10 *886:11 64.7427 +5 *886:11 *2826:la_input[83] 2.05183 +*END + +*D_NET *887 0.0342106 +*CONN +*I *2826:la_input[84] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[84] O *D mgmt_protect +*CAP +1 *2826:la_input[84] 7.21667e-05 +2 *2820:la_data_in_mprj[84] 0.000800989 +3 *887:11 0.00258782 +4 *887:10 0.00251565 +5 *887:8 0.00174929 +6 *887:7 0.00255027 +7 *887:7 *2820:la_data_out_mprj[84] 0.000392487 +8 *887:7 *2820:la_oenb_mprj[83] 0 +9 *887:8 *894:12 2.82142e-05 +10 *887:8 *1398:10 0.0107182 +11 *887:8 *1399:10 0.000231312 +12 *887:8 *1526:10 0.000381824 +13 *887:8 *1532:16 0.000467085 +14 *887:11 *2820:la_data_out_mprj[100] 0 +15 *887:11 *2820:la_oenb_mprj[100] 0 +16 *887:11 *1290:13 0.000542699 +17 *887:11 *1399:7 0 +18 *886:8 *887:8 0.0111726 +*RES +1 *2820:la_data_in_mprj[84] *887:7 27.632 +2 *887:7 *887:8 141.593 +3 *887:8 *887:10 4.5 +4 *887:10 *887:11 64.3275 +5 *887:11 *2826:la_input[84] 2.05183 +*END + +*D_NET *888 0.029247 +*CONN +*I *2826:la_input[85] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[85] O *D mgmt_protect +*CAP +1 *2826:la_input[85] 0.00190028 +2 *2820:la_data_in_mprj[85] 0.00153592 +3 *888:10 0.00190028 +4 *888:8 0.00406859 +5 *888:7 0.00560451 +6 *2826:la_input[85] *2820:la_oenb_mprj[102] 0.000882194 +7 *2826:la_input[85] *1400:9 0 +8 *2826:la_input[85] *1528:9 0 +9 *888:7 *2820:la_data_out_mprj[85] 0 +10 *888:7 *2820:la_oenb_mprj[84] 0 +11 *888:7 *1135:7 0.000420032 +12 *888:8 *889:8 0.000324485 +13 *888:8 *890:8 0.0126107 +14 *888:8 *1034:10 0 +*RES +1 *2820:la_data_in_mprj[85] *888:7 45.9031 +2 *888:7 *888:8 153.239 +3 *888:8 *888:10 4.5 +4 *888:10 *2826:la_input[85] 48.1082 +*END + +*D_NET *889 0.0310631 +*CONN +*I *2826:la_input[86] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[86] O *D mgmt_protect +*CAP +1 *2826:la_input[86] 0.00213074 +2 *2820:la_data_in_mprj[86] 0.00115735 +3 *889:10 0.00213074 +4 *889:8 0.00418396 +5 *889:7 0.00534131 +6 *2826:la_input[86] *1529:9 0 +7 *2826:la_input[86] *1536:13 0 +8 *889:7 *2820:la_data_out_mprj[86] 0.000730507 +9 *889:7 *2820:la_oenb_mprj[85] 0 +10 *889:7 *1392:7 0.000412024 +11 *889:8 *890:8 0.0146519 +12 *888:8 *889:8 0.000324485 +*RES +1 *2820:la_data_in_mprj[86] *889:7 45.0726 +2 *889:7 *889:8 165.995 +3 *889:8 *889:10 4.5 +4 *889:10 *2826:la_input[86] 47.8151 +*END + +*D_NET *890 0.0421152 +*CONN +*I *2826:la_input[87] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[87] O *D mgmt_protect +*CAP +1 *2826:la_input[87] 0.00214775 +2 *2820:la_data_in_mprj[87] 0.00142989 +3 *890:10 0.00214775 +4 *890:8 0.00193283 +5 *890:7 0.00336272 +6 *2826:la_input[87] *1402:9 0 +7 *2826:la_input[87] *1530:9 0 +8 *2826:la_input[87] *1539:13 0 +9 *2826:la_input[87] *1540:15 0 +10 *890:7 *2820:la_data_out_mprj[87] 0 +11 *890:7 *2820:la_oenb_mprj[86] 0 +12 *890:7 *1520:7 0.000624848 +13 *890:8 *1040:20 0 +14 *890:8 *1293:16 0 +15 *890:8 *1421:14 0.00304932 +16 *890:8 *1424:26 0.000157429 +17 *786:5 *2826:la_input[87] 0 +18 *888:8 *890:8 0.0126107 +19 *889:8 *890:8 0.0146519 +*RES +1 *2820:la_data_in_mprj[87] *890:7 45.4878 +2 *890:7 *890:8 176.533 +3 *890:8 *890:10 4.5 +4 *890:10 *2826:la_input[87] 48.5234 +*END + +*D_NET *891 0.0368587 +*CONN +*I *2826:la_input[88] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[88] O *D mgmt_protect +*CAP +1 *2826:la_input[88] 7.21667e-05 +2 *2820:la_data_in_mprj[88] 0.000491744 +3 *891:11 0.0023259 +4 *891:10 0.00225373 +5 *891:8 0.00322309 +6 *891:7 0.00371483 +7 *891:7 *2820:la_data_out_mprj[88] 0.000348187 +8 *891:7 *1393:7 0.00044295 +9 *891:7 *1530:13 0.00153194 +10 *891:8 *892:8 0.00561506 +11 *891:8 *1041:16 0.00215069 +12 *891:8 *1531:16 0 +13 *891:8 *1538:16 0.0108767 +14 *891:8 *1542:16 0.000178097 +15 *891:8 *1543:16 5.28017e-05 +16 *891:11 *2820:la_iena_mprj[109] 0 +17 *891:11 *2820:la_oenb_mprj[109] 8.62625e-06 +18 *891:11 *1403:9 0 +19 *891:11 *1531:9 0 +20 *789:5 *891:11 0.00119889 +21 *883:8 *891:8 0.00237332 +*RES +1 *2820:la_data_in_mprj[88] *891:7 30.954 +2 *891:7 *891:8 188.179 +3 *891:8 *891:10 4.5 +4 *891:10 *891:11 61.0054 +5 *891:11 *2826:la_input[88] 2.05183 +*END + +*D_NET *892 0.0420287 +*CONN +*I *2826:la_input[89] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[89] O *D mgmt_protect +*CAP +1 *2826:la_input[89] 7.21667e-05 +2 *2820:la_data_in_mprj[89] 0.00106065 +3 *892:11 0.00258132 +4 *892:10 0.00250915 +5 *892:8 0.00229715 +6 *892:7 0.0033578 +7 *892:7 *2820:la_oenb_mprj[88] 0 +8 *892:7 *1148:13 0 +9 *892:8 *894:10 0.000406586 +10 *892:8 *894:12 0.0165494 +11 *892:8 *1041:16 0.00405344 +12 *892:8 *1395:10 0.00114388 +13 *892:11 *2820:la_data_out_mprj[112] 0.000472951 +14 *892:11 *2820:la_iena_mprj[112] 0.00030246 +15 *892:11 *1404:9 0 +16 *892:11 *1532:9 0 +17 *791:5 *892:11 0 +18 *883:8 *892:8 0.0016067 +19 *891:8 *892:8 0.00561506 +*RES +1 *2820:la_data_in_mprj[89] *892:7 30.1235 +2 *892:7 *892:8 200.381 +3 *892:8 *892:10 4.5 +4 *892:10 *892:11 61.8359 +5 *892:11 *2826:la_input[89] 2.05183 +*END + +*D_NET *893 0.184485 +*CONN +*I *2826:la_input[8] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[8] O *D mgmt_protect +*CAP +1 *2826:la_input[8] 7.21667e-05 +2 *2820:la_data_in_mprj[8] 0.00165696 +3 *893:11 0.00208406 +4 *893:10 0.00201189 +5 *893:8 0.00741546 +6 *893:7 0.00907242 +7 *893:7 *2820:la_data_out_mprj[8] 0.000506128 +8 *893:7 *2820:la_oenb_mprj[7] 0 +9 *893:7 *1099:7 0 +10 *893:8 *904:8 0.0784892 +11 *893:8 *1055:10 0.073023 +12 *893:11 *1405:9 0 +13 *893:11 *1533:9 0 +14 *814:8 *893:8 0.00485165 +15 *818:8 *893:8 0.00436626 +16 *860:8 *893:8 0.00093626 +*RES +1 *2820:la_data_in_mprj[8] *893:7 40.827 +2 *893:7 *893:8 102.547 +3 *893:8 *893:10 3.36879 +4 *893:10 *893:11 50.0013 +5 *893:11 *2826:la_input[8] 2.05183 +*END + +*D_NET *894 0.0446607 +*CONN +*I *2826:la_input[90] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[90] O *D mgmt_protect +*CAP +1 *2826:la_input[90] 1.28869e-05 +2 *2820:la_data_in_mprj[90] 0.000990202 +3 *894:15 0.00239921 +4 *894:14 0.00238632 +5 *894:12 0.00268284 +6 *894:10 0.00367305 +7 *894:10 *2820:la_data_out_mprj[90] 0.000432817 +8 *894:10 *2820:la_oenb_mprj[89] 0 +9 *894:10 *1137:7 6.10832e-05 +10 *894:10 *1395:10 0.000324151 +11 *894:10 *1399:10 0.00127989 +12 *894:12 *901:8 0 +13 *894:12 *1038:20 0.00857486 +14 *894:12 *1041:16 0.000898014 +15 *894:12 *1292:10 0 +16 *894:12 *1399:10 0.00279738 +17 *894:12 *1532:16 0 +18 *894:15 *2820:la_data_out_mprj[114] 0 +19 *894:15 *2820:la_iena_mprj[114] 0 +20 *894:15 *2820:la_oenb_mprj[114] 0.000176813 +21 *894:15 *1534:9 0 +22 *794:5 *894:15 0.000986943 +23 *887:8 *894:12 2.82142e-05 +24 *892:8 *894:10 0.000406586 +25 *892:8 *894:12 0.0165494 +*RES +1 *2820:la_data_in_mprj[90] *894:10 43.6283 +2 *894:10 *894:12 200.103 +3 *894:12 *894:14 4.5 +4 *894:14 *894:15 62.2512 +5 *894:15 *2826:la_input[90] 0.366399 +*END + +*D_NET *895 0.0433538 +*CONN +*I *2826:la_input[91] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[91] O *D mgmt_protect +*CAP +1 *2826:la_input[91] 7.21667e-05 +2 *2820:la_data_in_mprj[91] 0.000695351 +3 *895:11 0.00294589 +4 *895:10 0.00287372 +5 *895:8 0.00335371 +6 *895:7 0.00404907 +7 *895:7 *2820:la_data_out_mprj[91] 0 +8 *895:7 *2820:la_oenb_mprj[90] 0 +9 *895:8 *1139:10 0.000991017 +10 *895:8 *1396:10 0.000194684 +11 *895:8 *1523:10 0.000530137 +12 *895:8 *1536:16 4.5891e-05 +13 *895:8 *1537:16 0.000832927 +14 *895:8 *1539:16 0.00913061 +15 *895:11 *2820:la_iena_mprj[116] 0 +16 *895:11 *2820:la_oenb_mprj[116] 0 +17 *895:11 *1407:9 0 +18 *895:11 *1535:9 0 +19 *778:8 *895:8 0.000339574 +20 *779:8 *895:8 0.0128217 +21 *780:8 *895:8 0.00342152 +22 *796:7 *895:11 0.000708828 +23 *884:8 *895:8 0.000241889 +24 *885:8 *895:8 0.000105175 +*RES +1 *2820:la_data_in_mprj[91] *895:7 22.649 +2 *895:7 *895:8 223.674 +3 *895:8 *895:10 4.5 +4 *895:10 *895:11 69.3105 +5 *895:11 *2826:la_input[91] 2.05183 +*END + +*D_NET *896 0.0379768 +*CONN +*I *2826:la_input[92] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[92] O *D mgmt_protect +*CAP +1 *2826:la_input[92] 7.21667e-05 +2 *2820:la_data_in_mprj[92] 0.00114505 +3 *896:11 0.00260422 +4 *896:10 0.00253206 +5 *896:8 0.00477976 +6 *896:7 0.00592481 +7 *896:7 *2820:la_oenb_mprj[91] 0 +8 *896:7 *1139:7 0.000177635 +9 *896:7 *1152:13 0 +10 *896:8 *1039:20 0.0112822 +11 *896:8 *1309:16 0.000689445 +12 *896:8 *1397:10 0 +13 *896:8 *1427:16 0 +14 *896:8 *1435:16 0.00023598 +15 *896:8 *1531:16 0.00352764 +16 *896:8 *1540:16 0.00297891 +17 *896:8 *1543:16 0.00158142 +18 *896:11 *2820:la_data_out_mprj[119] 0.000348366 +19 *896:11 *2820:la_iena_mprj[119] 9.71019e-05 +20 *896:11 *1040:19 0 +21 *896:11 *1408:9 0 +22 *896:11 *1433:15 0 +23 *896:11 *1536:9 0 +24 *798:5 *896:11 0 +*RES +1 *2820:la_data_in_mprj[92] *896:7 34.276 +2 *896:7 *896:8 234.766 +3 *896:8 *896:10 4.5 +4 *896:10 *896:11 57.6834 +5 *896:11 *2826:la_input[92] 2.05183 +*END + +*D_NET *897 0.057937 +*CONN +*I *2826:la_input[93] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[93] O *D mgmt_protect +*CAP +1 *2826:la_input[93] 0.00156759 +2 *2820:la_data_in_mprj[93] 0.00181859 +3 *897:8 0.00368255 +4 *897:7 0.00211496 +5 *897:5 0.00181859 +6 *2826:la_input[93] *2820:la_oenb_mprj[121] 0.000569697 +7 *2826:la_input[93] *1409:9 0 +8 *2826:la_input[93] *1537:9 0 +9 *897:5 *2820:la_data_out_mprj[93] 0 +10 *897:5 *2820:la_iena_mprj[92] 0 +11 *897:5 *2820:la_oenb_mprj[92] 0 +12 *897:5 *1396:7 0.000621103 +13 *897:8 *1146:10 0.0126694 +14 *897:8 *1152:10 2.68003e-05 +15 *897:8 *1403:10 1.41853e-05 +16 *897:8 *1409:10 0.000183127 +17 *897:8 *1410:10 0.000351263 +18 *897:8 *1411:10 0.0211827 +19 *897:8 *1541:12 0.000850566 +20 *897:8 *1542:10 0.0104659 +21 *802:5 *2826:la_input[93] 0 +*RES +1 *2820:la_data_in_mprj[93] *897:5 52.1996 +2 *897:5 *897:7 4.5 +3 *897:7 *897:8 246.967 +4 *897:8 *2826:la_input[93] 41.8116 +*END + +*D_NET *898 0.0600388 +*CONN +*I *2826:la_input[94] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[94] O *D mgmt_protect +*CAP +1 *2826:la_input[94] 0.00136836 +2 *2820:la_data_in_mprj[94] 0.0012892 +3 *898:8 0.00356849 +4 *898:7 0.00220012 +5 *898:5 0.0012892 +6 *2826:la_input[94] *1410:9 0 +7 *2826:la_input[94] *1443:13 0.000476211 +8 *2826:la_input[94] *1538:9 0 +9 *898:5 *2820:la_data_out_mprj[94] 0.000988525 +10 *898:5 *2820:la_iena_mprj[93] 0 +11 *898:5 *2820:la_oenb_mprj[93] 0 +12 *898:5 *1524:7 0.00193747 +13 *898:8 *1152:10 0.0215457 +14 *898:8 *1154:10 0.00118023 +15 *898:8 *1409:10 0.0219004 +16 *898:8 *1410:10 0.000824055 +17 *898:8 *1411:10 0.000114773 +18 *898:8 *1414:12 0.000960823 +19 *804:7 *2826:la_input[94] 0.000395166 +*RES +1 *2820:la_data_in_mprj[94] *898:5 53.8607 +2 *898:5 *898:7 4.5 +3 *898:7 *898:8 258.059 +4 *898:8 *2826:la_input[94] 40.1506 +*END + +*D_NET *899 0.0617746 +*CONN +*I *2826:la_input[95] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[95] O *D mgmt_protect +*CAP +1 *2826:la_input[95] 0.00150003 +2 *2820:la_data_in_mprj[95] 0.00203308 +3 *899:8 0.00371008 +4 *899:7 0.00221005 +5 *899:5 0.00203308 +6 *2826:la_input[95] *1411:9 0 +7 *2826:la_input[95] *1539:9 0 +8 *899:5 *2820:la_data_out_mprj[95] 0 +9 *899:5 *2820:la_oenb_mprj[94] 0 +10 *899:5 *1140:7 0 +11 *899:5 *1397:7 0.000630429 +12 *899:8 *1142:10 3.42853e-05 +13 *899:8 *1153:10 0.000948957 +14 *899:8 *1155:10 0.000431269 +15 *899:8 *1412:10 0.0242773 +16 *899:8 *1413:10 0.0232854 +17 *899:8 *1418:12 0.000680605 +*RES +1 *2820:la_data_in_mprj[95] *899:5 55.9369 +2 *899:5 *899:7 4.5 +3 *899:7 *899:8 270.815 +4 *899:8 *2826:la_input[95] 37.5126 +*END + +*D_NET *900 0.0636219 +*CONN +*I *2826:la_input[96] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[96] O *D mgmt_protect +*CAP +1 *2826:la_input[96] 7.21667e-05 +2 *2820:la_data_in_mprj[96] 0.00065332 +3 *900:11 0.00272926 +4 *900:10 0.00265709 +5 *900:8 0.00310103 +6 *900:7 0.00375435 +7 *900:7 *2820:la_data_out_mprj[96] 0.000398627 +8 *900:7 *2820:la_iena_mprj[95] 0 +9 *900:7 *2820:la_oenb_mprj[95] 0 +10 *900:8 *901:8 0.0255065 +11 *900:8 *1035:16 0.00035468 +12 *900:8 *1036:16 0.0213057 +13 *900:8 *1141:10 0.000731924 +14 *900:8 *1532:16 0.000332046 +15 *900:8 *1539:16 0 +16 *900:11 *2820:mprj_stb_o_core 0 +17 *900:11 *2820:mprj_we_o_core 0.00202518 +18 *900:11 *1042:21 0 +19 *900:11 *1412:9 0 +20 *900:11 *1540:9 0 +21 *885:11 *900:7 0 +*RES +1 *2820:la_data_in_mprj[96] *900:7 25.5557 +2 *900:7 *900:8 281.907 +3 *900:8 *900:10 4.5 +4 *900:10 *900:11 66.4037 +5 *900:11 *2826:la_input[96] 2.05183 +*END + +*D_NET *901 0.0663917 +*CONN +*I *2826:la_input[97] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[97] O *D mgmt_protect +*CAP +1 *2826:la_input[97] 7.21667e-05 +2 *2820:la_data_in_mprj[97] 0.00082285 +3 *901:11 0.00295822 +4 *901:10 0.00288605 +5 *901:8 0.00276765 +6 *901:7 0.0035905 +7 *901:7 *2820:la_data_out_mprj[97] 0 +8 *901:7 *2820:la_oenb_mprj[96] 0 +9 *901:7 *1141:7 5.41227e-05 +10 *901:8 *1036:16 0.000565984 +11 *901:8 *1291:10 8.66592e-05 +12 *901:8 *1292:10 0.000698415 +13 *901:8 *1294:16 0.0213481 +14 *901:8 *1532:16 0.00416385 +15 *901:11 *2820:mprj_adr_o_core[2] 8.5866e-05 +16 *901:11 *2820:mprj_sel_o_core[1] 0 +17 *901:11 *1413:9 0 +18 *901:11 *1541:9 0 +19 *901:11 *1847:5 0.000784721 +20 *894:12 *901:8 0 +21 *900:8 *901:8 0.0255065 +*RES +1 *2820:la_data_in_mprj[97] *901:7 25.971 +2 *901:7 *901:8 293.554 +3 *901:8 *901:10 4.5 +4 *901:10 *901:11 65.9885 +5 *901:11 *2826:la_input[97] 2.05183 +*END + +*D_NET *902 0.0534763 +*CONN +*I *2826:la_input[98] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[98] O *D mgmt_protect +*CAP +1 *2826:la_input[98] 0.00191922 +2 *2820:la_data_in_mprj[98] 0.00131817 +3 *902:8 0.00851146 +4 *902:7 0.00659224 +5 *902:5 0.00131817 +6 *2826:la_input[98] *2820:mprj_adr_o_core[5] 0 +7 *2826:la_input[98] *1297:19 8.62625e-06 +8 *2826:la_input[98] *1414:9 0 +9 *2826:la_input[98] *1851:5 0 +10 *2826:la_input[98] *1915:33 0.000139486 +11 *902:5 *2820:la_data_out_mprj[98] 0.00042334 +12 *902:5 *2820:la_oenb_mprj[97] 0 +13 *902:8 *903:8 0.0279733 +14 *902:8 *1042:16 0.00338239 +15 *902:8 *1061:10 0.000467946 +16 *902:8 *1151:10 0 +17 *902:8 *1535:10 0 +18 *886:11 *902:5 0.00142202 +*RES +1 *2820:la_data_in_mprj[98] *902:5 46.3861 +2 *902:5 *902:7 4.5 +3 *902:7 *902:8 305.201 +4 *902:8 *2826:la_input[98] 47.6252 +*END + +*D_NET *903 0.0717111 +*CONN +*I *2826:la_input[99] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[99] O *D mgmt_protect +*CAP +1 *2826:la_input[99] 0.00203899 +2 *2820:la_data_in_mprj[99] 0.00179564 +3 *903:8 0.00460876 +4 *903:7 0.00256977 +5 *903:5 0.00179564 +6 *2826:la_input[99] *1038:19 8.62625e-06 +7 *2826:la_input[99] *1415:9 0 +8 *2826:la_input[99] *1543:9 0 +9 *2826:la_input[99] *1786:27 0 +10 *2826:la_input[99] *1855:5 0 +11 *903:5 *2820:la_data_out_mprj[99] 0 +12 *903:5 *2820:la_oenb_mprj[98] 0 +13 *903:5 *1526:7 0 +14 *903:8 *1034:10 0.0288374 +15 *903:8 *1042:16 1.41853e-05 +16 *903:8 *1061:10 0.000253937 +17 *903:8 *1297:14 0.00181493 +18 *902:8 *903:8 0.0279733 +*RES +1 *2820:la_data_in_mprj[99] *903:5 45.9709 +2 *903:5 *903:7 4.5 +3 *903:7 *903:8 316.847 +4 *903:8 *2826:la_input[99] 48.0404 +*END + +*D_NET *904 0.188098 +*CONN +*I *2826:la_input[9] I *D mgmt_core_wrapper +*I *2820:la_data_in_mprj[9] O *D mgmt_protect +*CAP +1 *2826:la_input[9] 7.21667e-05 +2 *2820:la_data_in_mprj[9] 0.00191695 +3 *904:11 0.00207309 +4 *904:10 0.00200092 +5 *904:8 0.00747978 +6 *904:7 0.00939673 +7 *904:7 *2820:la_data_out_mprj[9] 0 +8 *904:7 *2820:la_oenb_mprj[8] 0 +9 *904:8 *1055:10 0.000356986 +10 *904:8 *1067:10 0.00010238 +11 *904:8 *1069:10 0.000423598 +12 *904:11 *1544:7 0 +13 *812:8 *904:8 0.00530845 +14 *815:8 *904:8 0.0048152 +15 *860:8 *904:8 0.075663 +16 *893:8 *904:8 0.0784892 +*RES +1 *2820:la_data_in_mprj[9] *904:7 41.6575 +2 *904:7 *904:8 100.864 +3 *904:8 *904:10 3.36879 +4 *904:10 *904:11 49.1707 +5 *904:11 *2826:la_input[9] 2.05183 +*END + +*D_NET *905 0.0620687 +*CONN +*I *2821:la_data_in[0] I *D user_project_wrapper +*I *2820:la_data_in_core[0] O *D mgmt_protect +*CAP +1 *2821:la_data_in[0] 0.00147474 +2 *2820:la_data_in_core[0] 0.000947957 +3 *905:14 0.00353134 +4 *905:13 0.00283111 +5 *905:10 0.00171733 +6 *905:7 0.00189078 +7 *905:7 *2820:la_data_out_core[0] 0 +8 *905:7 *1545:7 0 +9 *905:7 *1945:7 0 +10 *905:10 *1010:8 0.00404321 +11 *905:10 *1200:12 0 +12 *905:10 *1808:8 0.000220183 +13 *905:13 *1939:7 0.000943126 +14 *905:14 *2821:la_data_in[2] 5.95501e-05 +15 *905:14 *955:8 0.000197716 +16 *905:14 *977:14 0.0154208 +17 *905:14 *1211:8 0.0187575 +18 *905:14 *1795:19 0.00027196 +19 *905:14 *1803:10 9.57557e-05 +20 *905:14 *1857:8 0.00243556 +21 *905:14 *1881:8 0.000667704 +22 *905:14 *1886:18 0.00656241 +*RES +1 *2820:la_data_in_core[0] *905:7 30.5388 +2 *905:7 *905:10 47.3733 +3 *905:10 *905:13 25.8488 +4 *905:13 *905:14 249.186 +5 *905:14 *2821:la_data_in[0] 39.6743 +*END + +*D_NET *906 0.224119 +*CONN +*I *2821:la_data_in[100] I *D user_project_wrapper +*I *2820:la_data_in_core[100] O *D mgmt_protect +*CAP +1 *2821:la_data_in[100] 0.00139807 +2 *2820:la_data_in_core[100] 0.00228426 +3 *906:8 0.00826134 +4 *906:7 0.00686327 +5 *906:5 0.00228426 +6 *906:5 *2820:la_data_out_core[100] 0 +7 *906:5 *2820:la_data_out_core[99] 0 +8 *906:5 *1235:5 0.00079648 +9 *906:5 *1546:13 0 +10 *906:5 *1671:7 0 +11 *906:8 *1188:8 0.0458681 +12 *906:8 *1261:8 0.000549364 +13 *906:8 *1284:8 0.000731073 +14 *906:8 *1546:18 0.0976144 +15 *906:8 *1568:8 0.00609215 +16 *906:8 *1646:8 0.0513758 +*RES +1 *2820:la_data_in_core[100] *906:5 49.7081 +2 *906:5 *906:7 4.5 +3 *906:7 *906:8 1041.16 +4 *906:8 *2821:la_data_in[100] 37.1828 +*END + +*D_NET *907 0.230277 +*CONN +*I *2821:la_data_in[101] I *D user_project_wrapper +*I *2820:la_data_in_core[101] O *D mgmt_protect +*CAP +1 *2821:la_data_in[101] 0.00279819 +2 *2820:la_data_in_core[101] 0.000790986 +3 *907:10 0.00279819 +4 *907:8 0.00684493 +5 *907:7 0.00763591 +6 *907:7 *1163:11 0 +7 *907:7 *1546:13 0 +8 *907:8 *908:8 8.90486e-05 +9 *907:8 *921:8 0.0107751 +10 *907:8 *980:8 0 +11 *907:8 *981:8 0 +12 *907:8 *1162:8 0.0991065 +13 *907:8 *1164:8 0.0994381 +*RES +1 *2820:la_data_in_core[101] *907:7 22.649 +2 *907:7 *907:8 1054.47 +3 *907:8 *907:10 4.5 +4 *907:10 *2821:la_data_in[101] 64.242 +*END + +*D_NET *908 0.23419 +*CONN +*I *2821:la_data_in[102] I *D user_project_wrapper +*I *2820:la_data_in_core[102] O *D mgmt_protect +*CAP +1 *2821:la_data_in[102] 0.00282962 +2 *2820:la_data_in_core[102] 0.000735779 +3 *908:10 0.00282962 +4 *908:8 0.00668755 +5 *908:7 0.00742333 +6 *908:7 *2820:la_data_out_core[102] 0 +7 *908:7 *2821:la_data_in[52] 5.39859e-05 +8 *908:7 *1547:7 0 +9 *908:8 *909:8 0.100978 +10 *908:8 *922:8 0.0110575 +11 *908:8 *981:8 0 +12 *908:8 *1164:8 0.101505 +13 *907:8 *908:8 8.90486e-05 +*RES +1 *2820:la_data_in_core[102] *908:7 21.8185 +2 *908:7 *908:8 1067.78 +3 *908:8 *908:10 4.5 +4 *908:10 *2821:la_data_in[102] 65.0725 +*END + +*D_NET *909 0.236918 +*CONN +*I *2821:la_data_in[103] I *D user_project_wrapper +*I *2820:la_data_in_core[103] O *D mgmt_protect +*CAP +1 *2821:la_data_in[103] 0.00282901 +2 *2820:la_data_in_core[103] 0.000740339 +3 *909:10 0.00282901 +4 *909:8 0.00697391 +5 *909:7 0.00771425 +6 *909:7 *2820:la_data_out_core[103] 0 +7 *909:7 *1548:5 0 +8 *909:8 *910:8 0.102213 +9 *909:8 *922:8 0.0123268 +10 *909:8 *981:8 0 +11 *909:8 *1164:8 0.000313928 +12 *908:8 *909:8 0.100978 +*RES +1 *2820:la_data_in_core[103] *909:7 21.4032 +2 *909:7 *909:8 1081.09 +3 *909:8 *909:10 4.5 +4 *909:10 *2821:la_data_in[103] 65.4877 +*END + +*D_NET *910 0.23899 +*CONN +*I *2821:la_data_in[104] I *D user_project_wrapper +*I *2820:la_data_in_core[104] O *D mgmt_protect +*CAP +1 *2821:la_data_in[104] 0.00283096 +2 *2820:la_data_in_core[104] 0.000725734 +3 *910:10 0.00283096 +4 *910:8 0.0070366 +5 *910:7 0.00776233 +6 *910:7 *2820:la_data_out_core[104] 0 +7 *910:7 *2821:la_data_in[53] 0 +8 *910:7 *1549:9 0 +9 *910:8 *923:8 0.0115137 +10 *910:8 *981:8 1.22938e-05 +11 *910:8 *1166:8 0.104065 +12 *909:8 *910:8 0.102213 +*RES +1 *2820:la_data_in_core[104] *910:7 20.988 +2 *910:7 *910:8 1094.4 +3 *910:8 *910:10 4.5 +4 *910:10 *2821:la_data_in[104] 65.903 +*END + +*D_NET *911 0.241965 +*CONN +*I *2821:la_data_in[105] I *D user_project_wrapper +*I *2820:la_data_in_core[105] O *D mgmt_protect +*CAP +1 *2821:la_data_in[105] 0.00286023 +2 *2820:la_data_in_core[105] 0.000689693 +3 *911:10 0.00286023 +4 *911:8 0.00703848 +5 *911:7 0.00772818 +6 *911:7 *2820:la_data_out_core[105] 0 +7 *911:7 *1550:5 0 +8 *911:8 *912:8 0.000113197 +9 *911:8 *924:8 0.0117889 +10 *911:8 *982:8 0.000133137 +11 *911:8 *1166:8 0.104237 +12 *911:8 *1168:8 0.104515 +*RES +1 *2820:la_data_in_core[105] *911:7 20.1574 +2 *911:7 *911:8 1107.71 +3 *911:8 *911:10 4.5 +4 *911:10 *2821:la_data_in[105] 66.7335 +*END + +*D_NET *912 0.245978 +*CONN +*I *2821:la_data_in[106] I *D user_project_wrapper +*I *2820:la_data_in_core[106] O *D mgmt_protect +*CAP +1 *2821:la_data_in[106] 0.00289032 +2 *2820:la_data_in_core[106] 0.000660482 +3 *912:10 0.00289032 +4 *912:8 0.00684604 +5 *912:7 0.00750652 +6 *912:7 *2820:la_data_out_core[106] 0 +7 *912:7 *1551:7 0 +8 *912:8 *913:8 0.106109 +9 *912:8 *925:8 0.0120713 +10 *912:8 *982:8 0.000315442 +11 *912:8 *1168:8 0.106576 +12 *911:8 *912:8 0.000113197 +*RES +1 *2820:la_data_in_core[106] *912:7 19.3269 +2 *912:7 *912:8 1121.02 +3 *912:8 *912:10 4.5 +4 *912:10 *2821:la_data_in[106] 67.564 +*END + +*D_NET *913 0.24881 +*CONN +*I *2821:la_data_in[107] I *D user_project_wrapper +*I *2820:la_data_in_core[107] O *D mgmt_protect +*CAP +1 *2821:la_data_in[107] 0.00289302 +2 *2820:la_data_in_core[107] 0.000641808 +3 *913:10 0.00289302 +4 *913:8 0.00717319 +5 *913:7 0.007815 +6 *913:7 *2820:la_data_out_core[107] 0 +7 *913:7 *2821:la_data_in[54] 1.09738e-05 +8 *913:7 *1552:5 0 +9 *913:8 *914:8 0.000113197 +10 *913:8 *925:8 0.0134374 +11 *913:8 *982:8 0.000113968 +12 *913:8 *983:8 0.000164845 +13 *913:8 *1168:8 0.000313928 +14 *913:8 *1170:8 0.107131 +15 *912:8 *913:8 0.106109 +*RES +1 *2820:la_data_in_core[107] *913:7 18.9117 +2 *913:7 *913:8 1134.89 +3 *913:8 *913:10 4.5 +4 *913:10 *2821:la_data_in[107] 67.9792 +*END + +*D_NET *914 0.252416 +*CONN +*I *2821:la_data_in[108] I *D user_project_wrapper +*I *2820:la_data_in_core[108] O *D mgmt_protect +*CAP +1 *2821:la_data_in[108] 0.00292223 +2 *2820:la_data_in_core[108] 0.000609866 +3 *914:10 0.00292223 +4 *914:8 0.00705616 +5 *914:7 0.00766602 +6 *914:7 *2820:la_data_out_core[108] 0 +7 *914:7 *1553:7 0 +8 *914:8 *919:8 0.106386 +9 *914:8 *926:8 0.0137367 +10 *914:8 *983:8 0.00136277 +11 *914:8 *985:8 0.00020979 +12 *914:8 *985:14 0.000293761 +13 *914:8 *1170:8 0.109138 +14 *913:8 *914:8 0.000113197 +*RES +1 *2820:la_data_in_core[108] *914:7 18.0812 +2 *914:7 *914:8 1147.64 +3 *914:8 *914:10 4.5 +4 *914:10 *2821:la_data_in[108] 68.8097 +*END + +*D_NET *915 0.238064 +*CONN +*I *2821:la_data_in[109] I *D user_project_wrapper +*I *2820:la_data_in_core[109] O *D mgmt_protect +*CAP +1 *2821:la_data_in[109] 0.00304885 +2 *2820:la_data_in_core[109] 0.000476454 +3 *915:10 0.00304885 +4 *915:8 0.0247437 +5 *915:7 0.0252202 +6 *915:7 *2820:la_data_out_core[109] 0 +7 *915:7 *1554:5 0 +8 *915:8 *917:8 0.12564 +9 *915:8 *1011:8 0.00022447 +10 *915:8 *1012:8 0.000535583 +11 *915:8 *1015:8 0.00649023 +12 *915:8 *1016:8 0.00623473 +13 *915:8 *1181:14 0.00278791 +14 *915:8 *1188:14 0.0396125 +*RES +1 *2820:la_data_in_core[109] *915:7 14.2508 +2 *915:7 *915:8 160.22 +3 *915:8 *915:10 3.36879 +4 *915:10 *2821:la_data_in[109] 71.5089 +*END + +*D_NET *916 0.0304928 +*CONN +*I *2821:la_data_in[10] I *D user_project_wrapper +*I *2820:la_data_in_core[10] O *D mgmt_protect +*CAP +1 *2821:la_data_in[10] 0.00168095 +2 *2820:la_data_in_core[10] 0.00134661 +3 *916:8 0.00319641 +4 *916:7 0.00286207 +5 *2821:la_data_in[10] *1803:7 0 +6 *2821:la_data_in[10] *1871:21 0.000123448 +7 *2821:la_data_in[10] *1935:5 0 +8 *916:7 *2820:la_data_out_core[10] 0 +9 *916:7 *1672:7 0 +10 *916:8 *1172:8 0.0143749 +11 *916:8 *1672:8 0.00661452 +12 *916:8 *1805:8 0.000293924 +*RES +1 *2820:la_data_in_core[10] *916:7 42.5811 +2 *916:7 *916:8 158.785 +3 *916:8 *2821:la_data_in[10] 48.8099 +*END + +*D_NET *917 0.301542 +*CONN +*I *2821:la_data_in[110] I *D user_project_wrapper +*I *2820:la_data_in_core[110] O *D mgmt_protect +*CAP +1 *2821:la_data_in[110] 0.00299433 +2 *2820:la_data_in_core[110] 0.000512116 +3 *917:10 0.00299433 +4 *917:8 0.0111827 +5 *917:7 0.0116948 +6 *917:7 *2820:la_data_out_core[110] 0 +7 *917:7 *986:13 0 +8 *917:7 *1242:13 4.38368e-05 +9 *917:7 *1555:7 0 +10 *917:8 *920:8 0 +11 *917:8 *921:8 0 +12 *917:8 *922:8 0 +13 *917:8 *923:8 9.37208e-05 +14 *917:8 *924:8 0.000151872 +15 *917:8 *925:8 0.000196619 +16 *917:8 *926:8 0.000364076 +17 *917:8 *928:8 0.119348 +18 *917:8 *1019:8 0.00767956 +19 *917:8 *1173:8 0 +20 *917:8 *1175:8 0 +21 *917:8 *1179:8 0.0186465 +22 *915:8 *917:8 0.12564 +*RES +1 *2820:la_data_in_core[110] *917:7 15.0813 +2 *917:7 *917:8 161.98 +3 *917:8 *917:10 3.36879 +4 *917:10 *2821:la_data_in[110] 70.6784 +*END + +*D_NET *918 0.193117 +*CONN +*I *2821:la_data_in[111] I *D user_project_wrapper +*I *2820:la_data_in_core[111] O *D mgmt_protect +*CAP +1 *2821:la_data_in[111] 0.00254127 +2 *2820:la_data_in_core[111] 0.00101734 +3 *918:10 0.00254127 +4 *918:8 0.0201492 +5 *918:7 0.0211665 +6 *918:7 *2820:la_data_out_core[111] 0 +7 *918:7 *1557:5 0 +8 *918:8 *1173:8 0.127839 +9 *918:8 *1175:8 0.000667856 +10 *918:8 *1281:8 0 +11 *918:8 *1547:8 0.00348738 +12 *918:8 *1656:8 0.0131332 +13 *918:8 *1658:8 0.000573548 +14 *918:8 *1660:8 0 +15 *918:8 *1663:8 0 +16 *918:8 *2738:8 0 +*RES +1 *2820:la_data_in_core[111] *918:7 24.2169 +2 *918:7 *918:8 163.892 +3 *918:8 *918:10 3.36879 +4 *918:10 *2821:la_data_in[111] 61.5428 +*END + +*D_NET *919 0.257698 +*CONN +*I *2821:la_data_in[112] I *D user_project_wrapper +*I *2820:la_data_in_core[112] O *D mgmt_protect +*CAP +1 *2821:la_data_in[112] 0.00286542 +2 *2820:la_data_in_core[112] 0.000611663 +3 *919:10 0.00286542 +4 *919:8 0.00843206 +5 *919:7 0.00904372 +6 *919:7 *2820:la_data_out_core[112] 0 +7 *919:7 *1558:7 0 +8 *919:8 *928:8 0.0136354 +9 *919:8 *985:14 0.000760669 +10 *919:8 *1170:8 0.000313928 +11 *919:8 *1177:8 0.112784 +12 *914:8 *919:8 0.106386 +*RES +1 *2820:la_data_in_core[112] *919:7 17.6659 +2 *919:7 *919:8 1200.89 +3 *919:8 *919:10 4.5 +4 *919:10 *2821:la_data_in[112] 69.225 +*END + +*D_NET *920 0.309128 +*CONN +*I *2821:la_data_in[113] I *D user_project_wrapper +*I *2820:la_data_in_core[113] O *D mgmt_protect +*CAP +1 *2821:la_data_in[113] 0.00260145 +2 *2820:la_data_in_core[113] 0.000878677 +3 *920:10 0.00260145 +4 *920:8 0.00909721 +5 *920:7 0.00997589 +6 *920:7 *1176:11 0 +7 *920:7 *1559:5 0 +8 *920:8 *921:8 0.131495 +9 *920:8 *1030:8 0.0100437 +10 *920:8 *1162:8 0.0116336 +11 *920:8 *1175:8 0.130801 +12 *917:8 *920:8 0 +*RES +1 *2820:la_data_in_core[113] *920:7 21.7254 +2 *920:7 *920:8 167.563 +3 *920:8 *920:10 3.36879 +4 *920:10 *2821:la_data_in[113] 64.0343 +*END + +*D_NET *921 0.312733 +*CONN +*I *2821:la_data_in[114] I *D user_project_wrapper +*I *2820:la_data_in_core[114] O *D mgmt_protect +*CAP +1 *2821:la_data_in[114] 0.00261349 +2 *2820:la_data_in_core[114] 0.000835805 +3 *921:10 0.00261349 +4 *921:8 0.00928385 +5 *921:7 0.0101197 +6 *921:7 *2820:la_data_out_core[114] 0 +7 *921:7 *1560:7 0 +8 *921:7 *1561:5 0 +9 *921:8 *922:8 0.13297 +10 *921:8 *1164:8 0.0120275 +11 *907:8 *921:8 0.0107751 +12 *917:8 *921:8 0 +13 *920:8 *921:8 0.131495 +*RES +1 *2820:la_data_in_core[114] *921:7 20.8949 +2 *921:7 *921:8 169.323 +3 *921:8 *921:10 3.36879 +4 *921:10 *2821:la_data_in[114] 64.8648 +*END + +*D_NET *922 0.316068 +*CONN +*I *2821:la_data_in[115] I *D user_project_wrapper +*I *2820:la_data_in_core[115] O *D mgmt_protect +*CAP +1 *2821:la_data_in[115] 0.00263455 +2 *2820:la_data_in_core[115] 0.000769323 +3 *922:10 0.00263455 +4 *922:8 0.00918662 +5 *922:7 0.00995594 +6 *922:7 *2820:la_data_out_core[115] 0 +7 *922:7 *2821:la_data_in[57] 8.16687e-05 +8 *922:7 *1561:5 0 +9 *922:8 *923:8 0.134451 +10 *908:8 *922:8 0.0110575 +11 *909:8 *922:8 0.0123268 +12 *917:8 *922:8 0 +13 *921:8 *922:8 0.13297 +*RES +1 *2820:la_data_in_core[115] *922:7 20.0644 +2 *922:7 *922:8 171.235 +3 *922:8 *922:10 3.36879 +4 *922:10 *2821:la_data_in[115] 65.6953 +*END + +*D_NET *923 0.319671 +*CONN +*I *2821:la_data_in[116] I *D user_project_wrapper +*I *2820:la_data_in_core[116] O *D mgmt_protect +*CAP +1 *2821:la_data_in[116] 0.00264659 +2 *2820:la_data_in_core[116] 0.00075008 +3 *923:10 0.00264659 +4 *923:8 0.00918169 +5 *923:7 0.00993177 +6 *923:7 *2820:la_data_out_core[116] 0 +7 *923:7 *1562:7 0 +8 *923:7 *1563:5 0 +9 *923:8 *924:8 0.135926 +10 *923:8 *1166:8 0.0125289 +11 *910:8 *923:8 0.0115137 +12 *917:8 *923:8 9.37208e-05 +13 *922:8 *923:8 0.134451 +*RES +1 *2820:la_data_in_core[116] *923:7 19.2339 +2 *923:7 *923:8 172.994 +3 *923:8 *923:10 3.36879 +4 *923:10 *2821:la_data_in[116] 66.5258 +*END + +*D_NET *924 0.323421 +*CONN +*I *2821:la_data_in[117] I *D user_project_wrapper +*I *2820:la_data_in_core[117] O *D mgmt_protect +*CAP +1 *2821:la_data_in[117] 0.00266766 +2 *2820:la_data_in_core[117] 0.000714039 +3 *924:10 0.00266766 +4 *924:8 0.00912606 +5 *924:7 0.0098401 +6 *924:7 *2820:la_data_out_core[117] 0 +7 *924:7 *1563:5 0 +8 *924:8 *925:8 0.137408 +9 *924:8 *1168:8 0.0131302 +10 *911:8 *924:8 0.0117889 +11 *917:8 *924:8 0.000151872 +12 *923:8 *924:8 0.135926 +*RES +1 *2820:la_data_in_core[117] *924:7 18.4034 +2 *924:7 *924:8 174.906 +3 *924:8 *924:10 3.36879 +4 *924:10 *2821:la_data_in[117] 67.3563 +*END + +*D_NET *925 0.326622 +*CONN +*I *2821:la_data_in[118] I *D user_project_wrapper +*I *2820:la_data_in_core[118] O *D mgmt_protect +*CAP +1 *2821:la_data_in[118] 0.00267882 +2 *2820:la_data_in_core[118] 0.000646839 +3 *925:10 0.00267882 +4 *925:8 0.00892903 +5 *925:7 0.00957587 +6 *925:7 *2820:la_data_out_core[118] 0 +7 *925:7 *2821:la_data_in[58] 4.86827e-05 +8 *925:7 *1242:5 0 +9 *925:7 *1564:7 0 +10 *925:8 *926:8 0.13895 +11 *912:8 *925:8 0.0120713 +12 *913:8 *925:8 0.0134374 +13 *917:8 *925:8 0.000196619 +14 *924:8 *925:8 0.137408 +*RES +1 *2820:la_data_in_core[118] *925:7 17.5729 +2 *925:7 *925:8 176.742 +3 *925:8 *925:10 3.36879 +4 *925:10 *2821:la_data_in[118] 68.1869 +*END + +*D_NET *926 0.330442 +*CONN +*I *2821:la_data_in[119] I *D user_project_wrapper +*I *2820:la_data_in_core[119] O *D mgmt_protect +*CAP +1 *2821:la_data_in[119] 0.00269305 +2 *2820:la_data_in_core[119] 0.000621463 +3 *926:10 0.00269305 +4 *926:8 0.00888062 +5 *926:7 0.00950208 +6 *926:7 *2820:la_data_out_core[119] 0 +7 *926:7 *1565:8 0 +8 *926:8 *928:8 0.140371 +9 *926:8 *1170:8 0.0126289 +10 *914:8 *926:8 0.0137367 +11 *917:8 *926:8 0.000364076 +12 *925:8 *926:8 0.13895 +*RES +1 *2820:la_data_in_core[119] *926:7 16.7423 +2 *926:7 *926:8 178.578 +3 *926:8 *926:10 3.36879 +4 *926:10 *2821:la_data_in[119] 69.0174 +*END + +*D_NET *927 0.0215416 +*CONN +*I *2821:la_data_in[11] I *D user_project_wrapper +*I *2820:la_data_in_core[11] O *D mgmt_protect +*CAP +1 *2821:la_data_in[11] 0.00121167 +2 *2820:la_data_in_core[11] 0.00180733 +3 *927:8 0.00428089 +4 *927:7 0.00306922 +5 *927:5 0.00180733 +6 *927:5 *2820:la_data_out_core[11] 0 +7 *927:5 *2821:la_data_in[18] 0 +8 *927:5 *2821:la_oenb[17] 0 +9 *927:5 *1556:7 0 +10 *927:8 *937:8 0.00045363 +11 *927:8 *977:14 0.000378828 +12 *927:8 *1567:8 0.000826775 +13 *927:8 *1578:8 0.0028274 +14 *927:8 *1881:8 0.0048785 +*RES +1 *2820:la_data_in_core[11] *927:5 48.4624 +2 *927:5 *927:7 4.5 +3 *927:7 *927:8 144.92 +4 *927:8 *2821:la_data_in[11] 38.4285 +*END + +*D_NET *928 0.323364 +*CONN +*I *2821:la_data_in[120] I *D user_project_wrapper +*I *2820:la_data_in_core[120] O *D mgmt_protect +*CAP +1 *2821:la_data_in[120] 0.00270421 +2 *2820:la_data_in_core[120] 0.000578619 +3 *928:10 0.00270421 +4 *928:8 0.0119863 +5 *928:7 0.0125649 +6 *928:7 *2820:la_data_out_core[120] 0 +7 *928:7 *2821:la_data_in[59] 0 +8 *928:7 *1566:7 0 +9 *928:8 *1177:8 0.0156429 +10 *928:8 *1179:8 0.00382805 +11 *917:8 *928:8 0.119348 +12 *919:8 *928:8 0.0136354 +13 *926:8 *928:8 0.140371 +*RES +1 *2820:la_data_in_core[120] *928:7 15.9118 +2 *928:7 *928:8 180.414 +3 *928:8 *928:10 3.36879 +4 *928:10 *2821:la_data_in[120] 69.8479 +*END + +*D_NET *929 0.256485 +*CONN +*I *2821:la_data_in[121] I *D user_project_wrapper +*I *2820:la_data_in_core[121] O *D mgmt_protect +*CAP +1 *2821:la_data_in[121] 0.000687802 +2 *2820:la_data_in_core[121] 0.00312151 +3 *929:14 0.0123142 +4 *929:13 0.0119805 +5 *929:8 0.00227623 +6 *929:7 0.00192219 +7 *929:5 0.00312151 +8 *929:5 *2821:la_data_in[59] 0 +9 *929:5 *1185:11 0 +10 *929:5 *1565:13 0 +11 *929:5 *1568:5 0 +12 *929:8 *933:8 0.00817273 +13 *929:8 *992:8 0.00135682 +14 *929:8 *994:8 6.11359e-06 +15 *929:8 *1655:14 0.00204744 +16 *929:8 *1668:8 0.000423086 +17 *929:13 *2821:la_data_in[65] 0 +18 *929:13 *933:13 0.000361224 +19 *929:14 *931:12 0.114155 +20 *929:14 *932:10 0.00409553 +21 *929:14 *1171:8 0.0904434 +*RES +1 *2820:la_data_in_core[121] *929:5 55.9369 +2 *929:5 *929:7 4.5 +3 *929:7 *929:8 119.409 +4 *929:8 *929:13 17.476 +5 *929:13 *929:14 1201.99 +6 *929:14 *2821:la_data_in[121] 22.649 +*END + +*D_NET *930 0.340384 +*CONN +*I *2821:la_data_in[122] I *D user_project_wrapper +*I *2820:la_data_in_core[122] O *D mgmt_protect +*CAP +1 *2821:la_data_in[122] 0.000644427 +2 *2820:la_data_in_core[122] 8.20467e-05 +3 *930:10 0.0201607 +4 *930:9 0.0195163 +5 *930:7 0.00325583 +6 *930:5 0.00333788 +7 *930:7 *2820:la_data_out_core[122] 0.000377948 +8 *930:7 *2821:la_oenb[59] 0.000914425 +9 *930:7 *1569:7 0 +10 *930:7 *1570:5 0 +11 *930:10 *932:10 0.142936 +12 *930:10 *1167:8 0.00233155 +13 *930:10 *1169:8 0.00273298 +14 *930:10 *1185:8 0.000371151 +15 *930:10 *1187:8 0.143722 +*RES +1 *2820:la_data_in_core[122] *930:5 2.33274 +2 *930:5 *930:7 64.9503 +3 *930:7 *930:9 3.36879 +4 *930:9 *930:10 183.779 +5 *930:10 *2821:la_data_in[122] 20.8949 +*END + +*D_NET *931 0.280741 +*CONN +*I *2821:la_data_in[123] I *D user_project_wrapper +*I *2820:la_data_in_core[123] O *D mgmt_protect +*CAP +1 *2821:la_data_in[123] 0.000688851 +2 *2820:la_data_in_core[123] 0.00280332 +3 *931:12 0.00942383 +4 *931:10 0.00875801 +5 *931:8 0.000985414 +6 *931:7 0.00096238 +7 *931:5 0.00280332 +8 *931:5 *2820:la_data_out_core[123] 0 +9 *931:5 *2821:la_data_in[60] 0.00275357 +10 *931:5 *1570:5 0 +11 *931:8 *2821:la_data_in[62] 0.000424495 +12 *931:8 *935:10 0.00111433 +13 *931:8 *935:14 0.00203149 +14 *931:8 *935:16 0.00024962 +15 *931:8 *990:8 0.000576142 +16 *931:8 *991:10 9.82896e-06 +17 *931:8 *1171:8 0.00967416 +18 *931:8 *1245:8 0.000738355 +19 *931:12 *933:14 0.118271 +20 *931:12 *1171:8 1.41689e-05 +21 *931:12 *1189:8 0.00430359 +22 *929:14 *931:12 0.114155 +*RES +1 *2820:la_data_in_core[123] *931:5 64.242 +2 *931:5 *931:7 4.5 +3 *931:7 *931:8 102.216 +4 *931:8 *931:10 0.578717 +5 *931:10 *931:12 1245.25 +6 *931:12 *2821:la_data_in[123] 23.0642 +*END + +*D_NET *932 0.348385 +*CONN +*I *2821:la_data_in[124] I *D user_project_wrapper +*I *2820:la_data_in_core[124] O *D mgmt_protect +*CAP +1 *2821:la_data_in[124] 0.000664609 +2 *2820:la_data_in_core[124] 6.22868e-05 +3 *932:10 0.0217123 +4 *932:9 0.0210477 +5 *932:7 0.00347228 +6 *932:5 0.00353457 +7 *932:7 *2820:la_data_out_core[124] 0.000257033 +8 *932:7 *2821:la_oenb[60] 0 +9 *932:7 *1245:7 3.93117e-06 +10 *932:7 *1571:7 0 +11 *932:7 *1572:5 0 +12 *932:10 *1171:8 0.0026936 +13 *932:10 *1187:8 0.0012888 +14 *932:10 *1189:8 0.146616 +15 *929:14 *932:10 0.00409553 +16 *930:10 *932:10 0.142936 +*RES +1 *2820:la_data_in_core[124] *932:5 1.77093 +2 *932:5 *932:7 64.1198 +3 *932:7 *932:9 3.36879 +4 *932:9 *932:10 187.527 +5 *932:10 *2821:la_data_in[124] 21.7254 +*END + +*D_NET *933 0.288191 +*CONN +*I *2821:la_data_in[125] I *D user_project_wrapper +*I *2820:la_data_in_core[125] O *D mgmt_protect +*CAP +1 *2821:la_data_in[125] 0.000689982 +2 *2820:la_data_in_core[125] 0.00308447 +3 *933:14 0.00968523 +4 *933:13 0.00924513 +5 *933:8 0.000980274 +6 *933:7 0.000730397 +7 *933:5 0.00308447 +8 *933:5 *2820:la_data_out_core[125] 0 +9 *933:5 *2821:la_oenb[60] 0.000245836 +10 *933:5 *1572:5 0 +11 *933:8 *992:8 0.0045872 +12 *933:8 *993:14 0.000926694 +13 *933:8 *994:8 0.000393324 +14 *933:8 *1668:8 0.000134497 +15 *933:13 *2821:la_oenb[64] 7.96949e-05 +16 *933:14 *935:16 0.122341 +17 *933:14 *1189:8 0.0051773 +18 *929:8 *933:8 0.00817273 +19 *929:13 *933:13 0.000361224 +20 *931:12 *933:14 0.118271 +*RES +1 *2820:la_data_in_core[125] *933:5 56.3522 +2 *933:5 *933:7 4.5 +3 *933:7 *933:8 86.1323 +4 *933:8 *933:13 16.2303 +5 *933:13 *933:14 1287.96 +6 *933:14 *2821:la_data_in[125] 23.4795 +*END + +*D_NET *934 0.269662 +*CONN +*I *2821:la_data_in[126] I *D user_project_wrapper +*I *2820:la_data_in_core[126] O *D mgmt_protect +*CAP +1 *2821:la_data_in[126] 0.00072984 +2 *2820:la_data_in_core[126] 0.000206688 +3 *934:14 0.0509859 +4 *934:13 0.0502561 +5 *934:11 0.00330263 +6 *934:9 0.00350932 +7 *934:9 *2820:la_data_out_core[126] 0.00012021 +8 *934:9 *1573:7 0 +9 *934:11 *2820:la_data_out_core[126] 0.000295244 +10 *934:11 *1246:5 0 +11 *934:11 *1573:7 0 +12 *934:14 *935:16 0.0101542 +13 *934:14 *1000:10 0.00015065 +14 *934:14 *1001:8 0.000282057 +15 *934:14 *1002:8 0.000163504 +16 *934:14 *1003:8 0.000181021 +17 *934:14 *1006:8 0.000196901 +18 *934:14 *1007:10 0.000196901 +19 *934:14 *1189:8 0.148797 +20 *934:14 *1254:8 0.000134497 +21 *934:14 *1668:8 0 +*RES +1 *2820:la_data_in_core[126] *934:9 7.16921 +2 *934:9 *934:11 58.5261 +3 *934:11 *934:13 3.36879 +4 *934:13 *934:14 191.046 +5 *934:14 *2821:la_data_in[126] 23.3864 +*END + +*D_NET *935 0.227974 +*CONN +*I *2821:la_data_in[127] I *D user_project_wrapper +*I *2820:la_data_in_core[127] O *D mgmt_protect +*CAP +1 *2821:la_data_in[127] 0.000700493 +2 *2820:la_data_in_core[127] 0.00360699 +3 *935:16 0.0264456 +4 *935:14 0.0262241 +5 *935:10 0.000587673 +6 *935:5 0.00371569 +7 *935:5 *2820:la_data_out_core[127] 0 +8 *935:5 *2821:la_oenb[61] 0 +9 *935:5 *1246:5 0 +10 *935:5 *1250:19 0 +11 *935:5 *1565:19 0 +12 *935:5 *1574:5 0 +13 *935:10 *2821:la_data_in[62] 0.00106346 +14 *935:10 *1250:16 1.67988e-05 +15 *935:14 *1007:10 0.000513755 +16 *935:14 *1250:16 0.00337859 +17 *935:16 *1007:10 0.0258299 +18 *931:8 *935:10 0.00111433 +19 *931:8 *935:14 0.00203149 +20 *931:8 *935:16 0.00024962 +21 *933:14 *935:16 0.122341 +22 *934:14 *935:16 0.0101542 +*RES +1 *2820:la_data_in_core[127] *935:5 63.8267 +2 *935:5 *935:10 16.8942 +3 *935:10 *935:14 48.4434 +4 *935:14 *935:16 1341.75 +5 *935:16 *2821:la_data_in[127] 23.8947 +*END + +*D_NET *936 0.0308654 +*CONN +*I *2821:la_data_in[12] I *D user_project_wrapper +*I *2820:la_data_in_core[12] O *D mgmt_protect +*CAP +1 *2821:la_data_in[12] 0.00112404 +2 *2820:la_data_in_core[12] 0.00189595 +3 *936:8 0.002193 +4 *936:7 0.00106896 +5 *936:5 0.00189595 +6 *936:5 *2820:la_data_out_core[12] 0 +7 *936:5 *1567:5 0 +8 *936:8 *1192:8 0.00121647 +9 *936:8 *1567:8 0.0122957 +10 *936:8 *1577:8 0.00917531 +11 *936:8 *1578:8 0 +*RES +1 *2820:la_data_in_core[12] *936:5 50.9539 +2 *936:5 *936:7 4.5 +3 *936:7 *936:8 132.164 +4 *936:8 *2821:la_data_in[12] 35.937 +*END + +*D_NET *937 0.0284686 +*CONN +*I *2821:la_data_in[13] I *D user_project_wrapper +*I *2820:la_data_in_core[13] O *D mgmt_protect +*CAP +1 *2821:la_data_in[13] 0.00130327 +2 *2820:la_data_in_core[13] 0.00175428 +3 *937:8 0.00232835 +4 *937:7 0.00102509 +5 *937:5 0.00175428 +6 *937:5 *2820:la_data_out_core[13] 0 +7 *937:5 *1576:5 0 +8 *937:8 *938:14 0.000186599 +9 *937:8 *939:8 0.00713367 +10 *937:8 *977:14 0.00164119 +11 *937:8 *1211:8 0.0030664 +12 *937:8 *1578:8 0.00782182 +13 *927:8 *937:8 0.00045363 +*RES +1 *2820:la_data_in_core[13] *937:5 47.2166 +2 *937:5 *937:7 4.5 +3 *937:7 *937:8 118.299 +4 *937:8 *2821:la_data_in[13] 39.6743 +*END + +*D_NET *938 0.0246317 +*CONN +*I *2821:la_data_in[14] I *D user_project_wrapper +*I *2820:la_data_in_core[14] O *D mgmt_protect +*CAP +1 *2821:la_data_in[14] 0.00137458 +2 *2820:la_data_in_core[14] 0.00167483 +3 *938:14 0.00182889 +4 *938:8 0.00101459 +5 *938:7 0.000560284 +6 *938:5 0.00167483 +7 *2821:la_data_in[14] *944:5 2.95757e-05 +8 *2821:la_data_in[14] *977:13 0 +9 *938:5 *2820:la_data_out_core[14] 0 +10 *938:5 *2821:la_data_in[19] 3.55296e-05 +11 *938:5 *1577:5 0 +12 *938:8 *1193:8 9.82896e-06 +13 *938:8 *1193:12 0.00602261 +14 *938:8 *1195:12 0.00664701 +15 *938:8 *1579:8 0.000242981 +16 *938:14 *939:8 0.000183679 +17 *938:14 *944:8 6.08467e-05 +18 *938:14 *955:8 0.00035468 +19 *938:14 *966:8 0.00158584 +20 *938:14 *1193:8 0.00079428 +21 *938:14 *1193:10 1.09551e-05 +22 *938:14 *1195:10 4.27168e-05 +23 *938:14 *1195:12 0.000246208 +24 *938:14 *1211:8 5.03285e-05 +25 *937:8 *938:14 0.000186599 +*RES +1 *2820:la_data_in_core[14] *938:5 45.9709 +2 *938:5 *938:7 4.5 +3 *938:7 *938:8 70.6034 +4 *938:8 *938:14 40.1875 +5 *938:14 *2821:la_data_in[14] 36.8353 +*END + +*D_NET *939 0.0236111 +*CONN +*I *2821:la_data_in[15] I *D user_project_wrapper +*I *2820:la_data_in_core[15] O *D mgmt_protect +*CAP +1 *2821:la_data_in[15] 0.00133153 +2 *2820:la_data_in_core[15] 0.00167484 +3 *939:8 0.00213928 +4 *939:7 0.000807744 +5 *939:5 0.00167484 +6 *939:5 *2820:la_data_out_core[15] 0 +7 *939:5 *1199:7 0.00016343 +8 *939:5 *1578:5 0 +9 *939:8 *1195:10 8.29362e-06 +10 *939:8 *1195:12 0.00792784 +11 *939:8 *1578:8 0.000565984 +12 *937:8 *939:8 0.00713367 +13 *938:14 *939:8 0.000183679 +*RES +1 *2820:la_data_in_core[15] *939:5 46.8014 +2 *939:5 *939:7 4.5 +3 *939:7 *939:8 91.6784 +4 *939:8 *2821:la_data_in[15] 40.0896 +*END + +*D_NET *940 0.021035 +*CONN +*I *2821:la_data_in[16] I *D user_project_wrapper +*I *2820:la_data_in_core[16] O *D mgmt_protect +*CAP +1 *2821:la_data_in[16] 0.00143871 +2 *2820:la_data_in_core[16] 0.00164616 +3 *940:8 0.00216918 +4 *940:7 0.00237662 +5 *940:7 *2820:la_data_out_core[16] 0 +6 *940:7 *1579:7 0 +7 *940:8 *941:10 0.00534245 +8 *940:8 *1183:12 0.000926694 +9 *940:8 *1579:8 0.00713521 +*RES +1 *2820:la_data_in_core[16] *940:7 49.2251 +2 *940:7 *940:8 78.9225 +3 *940:8 *2821:la_data_in[16] 42.1658 +*END + +*D_NET *941 0.0191515 +*CONN +*I *2821:la_data_in[17] I *D user_project_wrapper +*I *2820:la_data_in_core[17] O *D mgmt_protect +*CAP +1 *2821:la_data_in[17] 0.00146015 +2 *2820:la_data_in_core[17] 0.00167874 +3 *941:10 0.00216867 +4 *941:9 0.000708521 +5 *941:7 0.00167874 +6 *941:7 *2820:la_data_out_core[17] 0 +7 *941:7 *1580:7 0 +8 *941:7 *1581:7 0 +9 *941:10 *942:8 0.00419342 +10 *941:10 *945:10 5.67722e-05 +11 *941:10 *1183:12 0.00185138 +12 *941:10 *1580:8 1.26362e-05 +13 *940:8 *941:10 0.00534245 +*RES +1 *2820:la_data_in_core[17] *941:7 46.1663 +2 *941:7 *941:9 4.5 +3 *941:9 *941:10 66.7212 +4 *941:10 *2821:la_data_in[17] 42.5811 +*END + +*D_NET *942 0.0153305 +*CONN +*I *2821:la_data_in[18] I *D user_project_wrapper +*I *2820:la_data_in_core[18] O *D mgmt_protect +*CAP +1 *2821:la_data_in[18] 0.00138693 +2 *2820:la_data_in_core[18] 0.00157839 +3 *942:8 0.00202796 +4 *942:7 0.00221942 +5 *2821:la_data_in[18] *1567:5 0.000374412 +6 *942:7 *2820:la_data_out_core[18] 0 +7 *942:7 *1581:7 0 +8 *942:8 *943:10 0.00287711 +9 *942:8 *945:10 0.000115035 +10 *942:8 *1198:8 0.000161084 +11 *942:8 *1580:8 0.000102856 +12 *942:8 *1582:10 0.000293924 +13 *927:5 *2821:la_data_in[18] 0 +14 *941:10 *942:8 0.00419342 +*RES +1 *2820:la_data_in_core[18] *942:7 48.3946 +2 *942:7 *942:8 51.7469 +3 *942:8 *2821:la_data_in[18] 42.9963 +*END + +*D_NET *943 0.0132487 +*CONN +*I *2821:la_data_in[19] I *D user_project_wrapper +*I *2820:la_data_in_core[19] O *D mgmt_protect +*CAP +1 *2821:la_data_in[19] 0.0013462 +2 *2820:la_data_in_core[19] 0.00154329 +3 *943:10 0.00177591 +4 *943:7 0.001973 +5 *2821:la_data_in[19] *2820:la_data_out_core[14] 0.000243131 +6 *2821:la_data_in[19] *1577:5 0 +7 *943:7 *2820:la_data_out_core[19] 0 +8 *943:7 *2821:la_data_in[21] 0 +9 *943:7 *1582:7 0 +10 *943:10 *945:10 4.856e-05 +11 *943:10 *1199:10 0 +12 *943:10 *1582:10 0.00340594 +13 *938:5 *2821:la_data_in[19] 3.55296e-05 +14 *942:8 *943:10 0.00287711 +*RES +1 *2820:la_data_in_core[19] *943:7 47.9793 +2 *943:7 *943:10 42.9364 +3 *943:10 *2821:la_data_in[19] 38.9116 +*END + +*D_NET *944 0.0586181 +*CONN +*I *2821:la_data_in[1] I *D user_project_wrapper +*I *2820:la_data_in_core[1] O *D mgmt_protect +*CAP +1 *2821:la_data_in[1] 0.00196216 +2 *2820:la_data_in_core[1] 0.00182133 +3 *944:14 0.00265722 +4 *944:13 0.00108158 +5 *944:8 0.00222154 +6 *944:7 0.00183502 +7 *944:5 0.00182133 +8 *2821:la_data_in[1] *2820:mprj_dat_i_user[0] 0 +9 *2821:la_data_in[1] *2496:7 0 +10 *944:5 *2820:la_data_out_core[1] 0 +11 *944:5 *977:13 0 +12 *944:5 *1545:7 0 +13 *944:5 *1584:7 0 +14 *944:8 *955:8 0.021054 +15 *944:8 *966:8 0.00382662 +16 *944:8 *1803:10 0.0124684 +17 *944:13 *2821:la_oenb[3] 8.59499e-05 +18 *944:13 *1222:5 0 +19 *944:14 *1809:14 0.0014732 +20 *944:14 *1870:8 0.00524313 +21 *944:14 *1878:8 4.0752e-05 +22 *944:14 *1945:8 0.00093549 +23 *2821:la_data_in[14] *944:5 2.95757e-05 +24 *938:14 *944:8 6.08467e-05 +*RES +1 *2820:la_data_in_core[1] *944:5 45.9709 +2 *944:5 *944:7 4.5 +3 *944:7 *944:8 223.119 +4 *944:8 *944:13 17.0608 +5 *944:13 *944:14 55.6292 +6 *944:14 *2821:la_data_in[1] 48.8099 +*END + +*D_NET *945 0.00780264 +*CONN +*I *2821:la_data_in[20] I *D user_project_wrapper +*I *2820:la_data_in_core[20] O *D mgmt_protect +*CAP +1 *2821:la_data_in[20] 0.00132072 +2 *2820:la_data_in_core[20] 0.00161391 +3 *945:10 0.00217723 +4 *945:5 0.00247042 +5 *945:5 *2820:la_data_out_core[20] 0 +6 *945:5 *1583:5 0 +7 *941:10 *945:10 5.67722e-05 +8 *942:8 *945:10 0.000115035 +9 *943:10 *945:10 4.856e-05 +*RES +1 *2820:la_data_in_core[20] *945:5 45.5556 +2 *945:5 *945:10 34.126 +3 *945:10 *2821:la_data_in[20] 36.8353 +*END + +*D_NET *946 0.00703163 +*CONN +*I *2821:la_data_in[21] I *D user_project_wrapper +*I *2820:la_data_in_core[21] O *D mgmt_protect +*CAP +1 *2821:la_data_in[21] 0.00144379 +2 *2820:la_data_in_core[21] 0.0013296 +3 *946:10 0.00192583 +4 *946:7 0.00181164 +5 *2821:la_data_in[21] *2820:la_data_out_core[19] 4.50467e-05 +6 *2821:la_data_in[21] *1583:5 0.000475724 +7 *946:7 *2820:la_data_out_core[21] 0 +8 *946:7 *1585:5 0 +9 *946:10 *1202:10 0 +10 *943:7 *2821:la_data_in[21] 0 +*RES +1 *2820:la_data_in_core[21] *946:7 42.1658 +2 *946:7 *946:10 16.3155 +3 *946:10 *2821:la_data_in[21] 44.7251 +*END + +*D_NET *947 0.00598558 +*CONN +*I *2821:la_data_in[22] I *D user_project_wrapper +*I *2820:la_data_in_core[22] O *D mgmt_protect +*CAP +1 *2821:la_data_in[22] 8.34852e-05 +2 *2820:la_data_in_core[22] 0.0029093 +3 *947:5 0.00299279 +4 *947:5 *2820:la_data_out_core[22] 0 +5 *947:5 *1586:5 0 +*RES +1 *2820:la_data_in_core[22] *947:5 82.3054 +2 *947:5 *2821:la_data_in[22] 1.77093 +*END + +*D_NET *948 0.00836864 +*CONN +*I *2821:la_data_in[23] I *D user_project_wrapper +*I *2820:la_data_in_core[23] O *D mgmt_protect +*CAP +1 *2821:la_data_in[23] 0.00163351 +2 *2820:la_data_in_core[23] 0.00129798 +3 *948:10 0.00182611 +4 *948:7 0.00149058 +5 *2821:la_data_in[23] *950:7 1.77537e-06 +6 *948:7 *2820:la_data_out_core[23] 0 +7 *948:7 *1587:7 0 +8 *948:10 *1204:10 0.00013491 +9 *948:10 *1205:10 0.000379505 +10 *948:10 *1587:10 0.000695917 +11 *948:10 *1588:10 0.000908347 +*RES +1 *2820:la_data_in_core[23] *948:7 41.3353 +2 *948:7 *948:10 19.0885 +3 *948:10 *2821:la_data_in[23] 45.5556 +*END + +*D_NET *949 0.0102165 +*CONN +*I *2821:la_data_in[24] I *D user_project_wrapper +*I *2820:la_data_in_core[24] O *D mgmt_protect +*CAP +1 *2821:la_data_in[24] 0.00171317 +2 *2820:la_data_in_core[24] 0.00123956 +3 *949:10 0.00219093 +4 *949:7 0.00171732 +5 *949:7 *2820:la_data_out_core[24] 0 +6 *949:7 *1588:7 0 +7 *949:10 *1206:8 0.00148832 +8 *949:10 *1588:10 0.000128915 +9 *949:10 *1589:10 0.000298839 +10 *949:10 *1590:8 0.00143942 +*RES +1 *2820:la_data_in_core[24] *949:7 39.6743 +2 *949:7 *949:10 32.9536 +3 *949:10 *2821:la_data_in[24] 47.2166 +*END + +*D_NET *950 0.0131814 +*CONN +*I *2821:la_data_in[25] I *D user_project_wrapper +*I *2820:la_data_in_core[25] O *D mgmt_protect +*CAP +1 *2821:la_data_in[25] 0.00163428 +2 *2820:la_data_in_core[25] 0.00131909 +3 *950:10 0.00196607 +4 *950:7 0.00165088 +5 *950:7 *2820:la_data_out_core[24] 0 +6 *950:7 *2820:la_data_out_core[25] 0 +7 *950:7 *1589:7 0 +8 *950:10 *951:8 8.66138e-05 +9 *950:10 *1205:10 0.0025949 +10 *950:10 *1210:14 0.000695903 +11 *950:10 *1588:10 0.00122278 +12 *950:10 *1589:10 0.000892567 +13 *950:10 *1593:10 0.00111656 +14 *2821:la_data_in[23] *950:7 1.77537e-06 +*RES +1 *2820:la_data_in_core[25] *950:7 41.3353 +2 *950:7 *950:10 45.7095 +3 *950:10 *2821:la_data_in[25] 45.5556 +*END + +*D_NET *951 0.0129601 +*CONN +*I *2821:la_data_in[26] I *D user_project_wrapper +*I *2820:la_data_in_core[26] O *D mgmt_protect +*CAP +1 *2821:la_data_in[26] 0.00159141 +2 *2820:la_data_in_core[26] 0.00137641 +3 *951:8 0.00284577 +4 *951:7 0.00263078 +5 *2821:la_data_in[26] *2820:la_data_out_core[33] 0 +6 *951:7 *2820:la_data_out_core[25] 0 +7 *951:7 *2820:la_data_out_core[26] 0 +8 *951:7 *1590:7 0 +9 *951:8 *1205:10 0.00185362 +10 *951:8 *1209:8 0.000453708 +11 *951:8 *1593:10 0.00211693 +12 *951:8 *1593:12 4.89898e-06 +13 *950:10 *951:8 8.66138e-05 +*RES +1 *2820:la_data_in_core[26] *951:7 42.1658 +2 *951:7 *951:8 55.0746 +3 *951:8 *2821:la_data_in[26] 49.2251 +*END + +*D_NET *952 0.0174923 +*CONN +*I *2821:la_data_in[27] I *D user_project_wrapper +*I *2820:la_data_in_core[27] O *D mgmt_protect +*CAP +1 *2821:la_data_in[27] 0.00167248 +2 *2820:la_data_in_core[27] 0.00112316 +3 *952:16 0.00188549 +4 *952:11 0.00117523 +5 *952:7 0.00208538 +6 *2821:la_data_in[27] *2820:la_data_out_core[35] 0.000207891 +7 *2821:la_data_in[27] *1601:7 0.000141757 +8 *952:7 *2820:la_data_out_core[26] 0 +9 *952:7 *2820:la_data_out_core[27] 0 +10 *952:7 *1591:7 0 +11 *952:11 *2820:la_data_out_core[32] 1.77537e-06 +12 *952:11 *953:13 0.0027049 +13 *952:11 *954:13 8.62625e-06 +14 *952:11 *957:8 0.000838125 +15 *952:11 *958:8 0.00011818 +16 *952:11 *1209:16 0.000501532 +17 *952:11 *1590:8 0 +18 *952:16 *956:8 0.00251179 +19 *952:16 *1596:8 0.002516 +*RES +1 *2820:la_data_in_core[27] *952:7 35.937 +2 *952:7 *952:11 48.372 +3 *952:11 *952:16 35.7898 +4 *952:16 *2821:la_data_in[27] 48.4624 +*END + +*D_NET *953 0.0213967 +*CONN +*I *2821:la_data_in[28] I *D user_project_wrapper +*I *2820:la_data_in_core[28] O *D mgmt_protect +*CAP +1 *2821:la_data_in[28] 0.0015953 +2 *2820:la_data_in_core[28] 0.00112219 +3 *953:16 0.0015953 +4 *953:14 0.000455257 +5 *953:13 0.00136281 +6 *953:7 0.00202975 +7 *2821:la_data_in[28] *2820:la_data_out_core[38] 0.000159306 +8 *2821:la_data_in[28] *964:7 0.000195183 +9 *953:7 *2820:la_data_out_core[27] 0 +10 *953:7 *2820:la_data_out_core[28] 0 +11 *953:7 *1592:7 0 +12 *953:13 *2820:la_data_out_core[31] 1.47102e-05 +13 *953:13 *1209:16 0.000200784 +14 *953:13 *1597:7 9.60366e-05 +15 *953:14 *1209:8 0.00493048 +16 *953:14 *1593:12 0.00493469 +17 *952:11 *953:13 0.0027049 +*RES +1 *2820:la_data_in_core[28] *953:7 35.5218 +2 *953:7 *953:13 43.5774 +3 *953:13 *953:14 52.8561 +4 *953:14 *953:16 4.5 +5 *953:16 *2821:la_data_in[28] 45.9709 +*END + +*D_NET *954 0.024866 +*CONN +*I *2821:la_data_in[29] I *D user_project_wrapper +*I *2820:la_data_in_core[29] O *D mgmt_protect +*CAP +1 *2821:la_data_in[29] 0.00164535 +2 *2820:la_data_in_core[29] 0.00095337 +3 *954:16 0.00164535 +4 *954:14 0.000602256 +5 *954:13 0.000972968 +6 *954:7 0.00132408 +7 *2821:la_data_in[29] *2820:la_data_out_core[40] 0 +8 *2821:la_data_in[29] *2820:la_data_out_core[41] 0 +9 *2821:la_data_in[29] *968:7 4.04462e-05 +10 *2821:la_data_in[29] *1607:5 0.000460471 +11 *954:7 *2820:la_data_out_core[29] 0.000693779 +12 *954:7 *1593:7 0 +13 *954:13 *2821:la_oenb[25] 1.09738e-05 +14 *954:13 *956:8 0.00158807 +15 *954:13 *1209:16 0.000243701 +16 *954:13 *1212:8 0.000113197 +17 *954:13 *1590:8 0.000315442 +18 *954:13 *1596:8 0.00106121 +19 *954:14 *1210:8 0.00659125 +20 *954:14 *1594:12 0.00659546 +21 *952:11 *954:13 8.62625e-06 +*RES +1 *2820:la_data_in_core[29] *954:7 38.4285 +2 *954:7 *954:13 34.9881 +3 *954:13 *954:14 70.6034 +4 *954:14 *954:16 4.5 +5 *954:16 *2821:la_data_in[29] 47.2166 +*END + +*D_NET *955 0.0584551 +*CONN +*I *2821:la_data_in[2] I *D user_project_wrapper +*I *2820:la_data_in_core[2] O *D mgmt_protect +*CAP +1 *2821:la_data_in[2] 0.00158281 +2 *2820:la_data_in_core[2] 0.00182988 +3 *955:8 0.00361071 +4 *955:7 0.0020279 +5 *955:5 0.00182988 +6 *2821:la_data_in[2] *1803:10 0.000255147 +7 *2821:la_data_in[2] *1943:7 0 +8 *955:5 *2820:la_data_out_core[2] 0 +9 *955:5 *1584:7 0 +10 *955:8 *1211:8 0.0243589 +11 *955:8 *1803:10 0.00129404 +12 *905:14 *2821:la_data_in[2] 5.95501e-05 +13 *905:14 *955:8 0.000197716 +14 *938:14 *955:8 0.00035468 +15 *944:8 *955:8 0.021054 +*RES +1 *2820:la_data_in_core[2] *955:5 46.3861 +2 *955:5 *955:7 4.5 +3 *955:7 *955:8 262.774 +4 *955:8 *2821:la_data_in[2] 44.1633 +*END + +*D_NET *956 0.0249951 +*CONN +*I *2821:la_data_in[30] I *D user_project_wrapper +*I *2820:la_data_in_core[30] O *D mgmt_protect +*CAP +1 *2821:la_data_in[30] 0.00186149 +2 *2820:la_data_in_core[30] 0.0012649 +3 *956:10 0.00186149 +4 *956:8 0.00116722 +5 *956:7 0.00243213 +6 *2821:la_data_in[30] *2820:la_data_out_core[43] 0.000128678 +7 *2821:la_data_in[30] *970:7 0 +8 *2821:la_data_in[30] *971:7 0 +9 *956:7 *2820:la_data_out_core[30] 0 +10 *956:7 *1594:7 0 +11 *956:7 *1596:7 0 +12 *956:8 *968:8 0 +13 *956:8 *1590:8 0.00148607 +14 *956:8 *1594:10 1.67988e-05 +15 *956:8 *1594:12 0.00794823 +16 *956:8 *1596:8 0.00263427 +17 *956:8 *1609:8 9.39635e-05 +18 *952:16 *956:8 0.00251179 +19 *954:13 *956:8 0.00158807 +*RES +1 *2820:la_data_in_core[30] *956:7 38.8438 +2 *956:7 *956:8 108.316 +3 *956:8 *956:10 4.5 +4 *956:10 *2821:la_data_in[30] 48.0471 +*END + +*D_NET *957 0.0309904 +*CONN +*I *2821:la_data_in[31] I *D user_project_wrapper +*I *2820:la_data_in_core[31] O *D mgmt_protect +*CAP +1 *2821:la_data_in[31] 0.00189619 +2 *2820:la_data_in_core[31] 0.00116365 +3 *957:10 0.00189619 +4 *957:8 0.00101309 +5 *957:7 0.00217674 +6 *2821:la_data_in[31] *2820:la_data_out_core[46] 0.00029511 +7 *2821:la_data_in[31] *1612:7 0 +8 *957:7 *2820:la_data_out_core[31] 0 +9 *957:7 *1596:7 0 +10 *957:8 *958:8 6.24655e-05 +11 *957:8 *1209:16 0.000113197 +12 *957:8 *1213:8 0.0111278 +13 *957:8 *1214:8 0.0104079 +14 *952:11 *957:8 0.000838125 +*RES +1 *2820:la_data_in_core[31] *957:7 36.3523 +2 *957:7 *957:8 121.627 +3 *957:8 *957:10 4.5 +4 *957:10 *2821:la_data_in[31] 50.5386 +*END + +*D_NET *958 0.0333767 +*CONN +*I *2821:la_data_in[32] I *D user_project_wrapper +*I *2820:la_data_in_core[32] O *D mgmt_protect +*CAP +1 *2821:la_data_in[32] 0.00194531 +2 *2820:la_data_in_core[32] 0.00112079 +3 *958:10 0.00194531 +4 *958:8 0.00119309 +5 *958:7 0.00231388 +6 *2821:la_data_in[32] *2820:la_data_out_core[48] 0 +7 *2821:la_data_in[32] *1610:13 0.000312343 +8 *958:7 *2820:la_data_out_core[32] 0 +9 *958:7 *1597:7 0 +10 *958:8 *959:8 0.0118626 +11 *958:8 *1214:8 0.0123895 +12 *958:8 *1598:8 0.000113197 +13 *952:11 *958:8 0.00011818 +14 *957:8 *958:8 6.24655e-05 +*RES +1 *2820:la_data_in_core[32] *958:7 35.5218 +2 *958:7 *958:8 134.937 +3 *958:8 *958:10 4.5 +4 *958:10 *2821:la_data_in[32] 51.3691 +*END + +*D_NET *959 0.0352189 +*CONN +*I *2821:la_data_in[33] I *D user_project_wrapper +*I *2820:la_data_in_core[33] O *D mgmt_protect +*CAP +1 *2821:la_data_in[33] 0.00209629 +2 *2820:la_data_in_core[33] 0.00111201 +3 *959:10 0.00209629 +4 *959:8 0.00148813 +5 *959:7 0.00260014 +6 *2821:la_data_in[33] *2820:la_data_out_core[51] 0.000159821 +7 *2821:la_data_in[33] *1227:13 0 +8 *2821:la_data_in[33] *1619:7 0 +9 *959:7 *2820:la_data_out_core[32] 0 +10 *959:7 *2820:la_data_out_core[33] 0 +11 *959:7 *1598:7 0 +12 *959:8 *960:8 0.000291294 +13 *959:8 *1214:8 0.000298839 +14 *959:8 *1232:8 0 +15 *959:8 *1234:8 0 +16 *959:8 *1598:8 0.0132134 +17 *959:8 *1618:8 0 +18 *958:8 *959:8 0.0118626 +*RES +1 *2820:la_data_in_core[33] *959:7 35.1065 +2 *959:7 *959:8 148.248 +3 *959:8 *959:10 4.5 +4 *959:10 *2821:la_data_in[33] 51.7844 +*END + +*D_NET *960 0.0379156 +*CONN +*I *2821:la_data_in[34] I *D user_project_wrapper +*I *2820:la_data_in_core[34] O *D mgmt_protect +*CAP +1 *2821:la_data_in[34] 0.00195058 +2 *2820:la_data_in_core[34] 0.00108038 +3 *960:10 0.00195058 +4 *960:8 0.0016765 +5 *960:7 0.00275688 +6 *2821:la_data_in[34] *2820:la_data_out_core[54] 0 +7 *2821:la_data_in[34] *1621:7 0.000954803 +8 *960:7 *2820:la_data_out_core[34] 0 +9 *960:7 *1207:5 0 +10 *960:7 *1599:7 0 +11 *960:8 *967:8 0.000298839 +12 *960:8 *1235:12 0 +13 *960:8 *1236:8 0 +14 *960:8 *1237:8 0 +15 *960:8 *1598:8 0.012487 +16 *960:8 *1599:8 0.0144687 +17 *959:8 *960:8 0.000291294 +*RES +1 *2820:la_data_in_core[34] *960:7 34.276 +2 *960:7 *960:8 161.558 +3 *960:8 *960:10 4.5 +4 *960:10 *2821:la_data_in[34] 52.6149 +*END + +*D_NET *961 0.0413119 +*CONN +*I *2821:la_data_in[35] I *D user_project_wrapper +*I *2820:la_data_in_core[35] O *D mgmt_protect +*CAP +1 *2821:la_data_in[35] 0.00167172 +2 *2820:la_data_in_core[35] 0.00160787 +3 *961:8 0.00324131 +4 *961:7 0.00317746 +5 *961:7 *2820:la_data_out_core[35] 0 +6 *961:7 *2821:la_oenb[26] 0 +7 *961:7 *1600:7 0 +8 *961:8 *962:8 0.0156772 +9 *961:8 *1217:8 0.000218849 +10 *961:8 *1231:14 0 +11 *961:8 *1600:8 0.0157175 +*RES +1 *2820:la_data_in_core[35] *961:7 45.9031 +2 *961:7 *961:8 174.869 +3 *961:8 *2821:la_data_in[35] 45.4878 +*END + +*D_NET *962 0.0433695 +*CONN +*I *2821:la_data_in[36] I *D user_project_wrapper +*I *2820:la_data_in_core[36] O *D mgmt_protect +*CAP +1 *2821:la_data_in[36] 0.00133561 +2 *2820:la_data_in_core[36] 0.00162345 +3 *962:8 0.00316479 +4 *962:7 0.00345262 +5 *2821:la_data_in[36] *1243:11 0.00127094 +6 *962:7 *2820:la_data_out_core[36] 0 +7 *962:7 *1208:5 0 +8 *962:7 *1601:7 0 +9 *962:8 *1217:8 0.0163654 +10 *962:8 *1218:8 0.000165505 +11 *962:8 *1231:14 0 +12 *962:8 *1601:8 0.000313928 +13 *961:8 *962:8 0.0156772 +*RES +1 *2820:la_data_in_core[36] *962:7 46.3183 +2 *962:7 *962:8 188.179 +3 *962:8 *2821:la_data_in[36] 45.0726 +*END + +*D_NET *963 0.0465356 +*CONN +*I *2821:la_data_in[37] I *D user_project_wrapper +*I *2820:la_data_in_core[37] O *D mgmt_protect +*CAP +1 *2821:la_data_in[37] 0.00139943 +2 *2820:la_data_in_core[37] 0.00159847 +3 *963:8 0.00308291 +4 *963:7 0.00328196 +5 *2821:la_data_in[37] *991:7 0.000287038 +6 *963:7 *2820:la_data_out_core[37] 0 +7 *963:7 *2821:la_oenb[27] 0.000363864 +8 *963:7 *1208:5 0 +9 *963:7 *1602:7 0 +10 *963:8 *964:8 0.0182242 +11 *963:8 *1216:8 1.00763e-05 +12 *963:8 *1219:8 0.000264018 +13 *963:8 *1602:8 0.000315426 +14 *963:8 *1604:8 0.0177082 +*RES +1 *2820:la_data_in_core[37] *963:7 49.2251 +2 *963:7 *963:8 201.49 +3 *963:8 *2821:la_data_in[37] 42.1658 +*END + +*D_NET *964 0.0479601 +*CONN +*I *2821:la_data_in[38] I *D user_project_wrapper +*I *2820:la_data_in_core[38] O *D mgmt_protect +*CAP +1 *2821:la_data_in[38] 0.00155577 +2 *2820:la_data_in_core[38] 0.00166921 +3 *964:8 0.00342648 +4 *964:7 0.00353992 +5 *2821:la_data_in[38] *2820:la_data_out_core[65] 0 +6 *2821:la_data_in[38] *993:11 0 +7 *2821:la_data_in[38] *994:5 0 +8 *964:7 *2820:la_data_out_core[37] 0 +9 *964:7 *2820:la_data_out_core[38] 0 +10 *964:7 *1603:7 0 +11 *964:8 *1602:8 0.017536 +12 *964:8 *1603:8 0.000914219 +13 *964:8 *1604:8 0.000899129 +14 *2821:la_data_in[28] *964:7 0.000195183 +15 *963:8 *964:8 0.0182242 +*RES +1 *2820:la_data_in_core[38] *964:7 48.8099 +2 *964:7 *964:8 215.355 +3 *964:8 *2821:la_data_in[38] 42.5811 +*END + +*D_NET *965 0.0524338 +*CONN +*I *2821:la_data_in[39] I *D user_project_wrapper +*I *2820:la_data_in_core[39] O *D mgmt_protect +*CAP +1 *2821:la_data_in[39] 0.00109691 +2 *2820:la_data_in_core[39] 2.27669e-05 +3 *965:10 0.00293592 +4 *965:9 0.00183902 +5 *965:7 0.00152474 +6 *965:5 0.00154751 +7 *2821:la_data_in[39] *996:5 0 +8 *2821:la_data_in[39] *997:5 0 +9 *965:7 *2820:la_data_out_core[39] 0.000856498 +10 *965:7 *1209:5 0.00099861 +11 *965:7 *1604:7 0 +12 *965:7 *1605:5 0 +13 *965:10 *1223:8 0.0205723 +14 *965:10 *1605:8 0.0210396 +*RES +1 *2820:la_data_in_core[39] *965:5 0.647305 +2 *965:5 *965:7 53.9461 +3 *965:7 *965:9 4.5 +4 *965:9 *965:10 227.556 +5 *965:10 *2821:la_data_in[39] 33.0303 +*END + +*D_NET *966 0.0457494 +*CONN +*I *2821:la_data_in[3] I *D user_project_wrapper +*I *2820:la_data_in_core[3] O *D mgmt_protect +*CAP +1 *2821:la_data_in[3] 0.0015465 +2 *2820:la_data_in_core[3] 0.00176659 +3 *966:8 0.00409467 +4 *966:7 0.00431476 +5 *2821:la_data_in[3] *1815:5 0 +6 *2821:la_data_in[3] *1884:13 0 +7 *966:7 *2820:la_data_out_core[2] 0 +8 *966:7 *2820:la_data_out_core[3] 0 +9 *966:7 *1595:7 0 +10 *966:8 *1183:8 0.00184696 +11 *966:8 *1193:8 0.00289644 +12 *966:8 *1803:10 0.0136576 +13 *966:8 *1805:14 0.00371169 +14 *966:8 *1873:8 0.00447706 +15 *966:8 *1873:14 0.00181194 +16 *966:8 *1937:8 3.61993e-05 +17 *966:8 *1939:8 0.000176505 +18 *938:14 *966:8 0.00158584 +19 *944:8 *966:8 0.00382662 +*RES +1 *2820:la_data_in_core[3] *966:7 49.6404 +2 *966:7 *966:8 251.959 +3 *966:8 *2821:la_data_in[3] 41.7506 +*END + +*D_NET *967 0.0494407 +*CONN +*I *2821:la_data_in[40] I *D user_project_wrapper +*I *2820:la_data_in_core[40] O *D mgmt_protect +*CAP +1 *2821:la_data_in[40] 0.00230103 +2 *2820:la_data_in_core[40] 0.00102048 +3 *967:10 0.00230103 +4 *967:8 0.00281587 +5 *967:7 0.00383635 +6 *2821:la_data_in[40] *2820:la_data_out_core[69] 0 +7 *2821:la_data_in[40] *1000:7 0 +8 *967:7 *2820:la_data_out_core[40] 0 +9 *967:7 *1605:5 0 +10 *967:8 *969:8 0.0194077 +11 *967:8 *1237:8 0 +12 *967:8 *1238:8 0 +13 *967:8 *1239:8 0 +14 *967:8 *1240:8 1.22938e-05 +15 *967:8 *1599:8 0.00998149 +16 *967:8 *1620:8 0.000342204 +17 *967:8 *1624:8 0.000286628 +18 *967:8 *1626:8 0.000391181 +19 *967:8 *1629:8 0.000677667 +20 *967:8 *1631:8 0.00557424 +21 *967:8 *1635:10 0.000193699 +22 *960:8 *967:8 0.000298839 +*RES +1 *2820:la_data_in_core[40] *967:7 33.4455 +2 *967:7 *967:8 241.976 +3 *967:8 *967:10 4.5 +4 *967:10 *2821:la_data_in[40] 53.4454 +*END + +*D_NET *968 0.0539442 +*CONN +*I *2821:la_data_in[41] I *D user_project_wrapper +*I *2820:la_data_in_core[41] O *D mgmt_protect +*CAP +1 *2821:la_data_in[41] 0.00182655 +2 *2820:la_data_in_core[41] 0.00140142 +3 *968:8 0.0048322 +4 *968:7 0.00440708 +5 *2821:la_data_in[41] *1002:5 0 +6 *2821:la_data_in[41] *1003:5 0 +7 *2821:la_data_in[41] *1642:7 0.00029479 +8 *968:7 *2820:la_data_out_core[41] 0 +9 *968:7 *1607:5 0 +10 *968:8 *970:8 0.0225066 +11 *968:8 *1210:8 7.3776e-05 +12 *968:8 *1231:14 0.0184969 +13 *968:8 *1594:12 0 +14 *968:8 *1600:8 0 +15 *968:8 *1609:8 6.44576e-05 +16 *2821:la_data_in[29] *968:7 4.04462e-05 +17 *956:8 *968:8 0 +*RES +1 *2820:la_data_in_core[41] *968:7 41.7506 +2 *968:7 *968:8 254.732 +3 *968:8 *2821:la_data_in[41] 49.6404 +*END + +*D_NET *969 0.0554008 +*CONN +*I *2821:la_data_in[42] I *D user_project_wrapper +*I *2820:la_data_in_core[42] O *D mgmt_protect +*CAP +1 *2821:la_data_in[42] 0.00176227 +2 *2820:la_data_in_core[42] 0.00100587 +3 *969:14 0.00241039 +4 *969:13 0.00116167 +5 *969:8 0.00337596 +6 *969:7 0.00386828 +7 *2821:la_data_in[42] *1006:5 0 +8 *2821:la_data_in[42] *1226:13 0 +9 *2821:la_data_in[42] *1261:11 0 +10 *969:7 *2820:la_data_out_core[42] 0 +11 *969:7 *1608:7 0 +12 *969:8 *1620:8 0.011322 +13 *969:13 *996:5 0 +14 *969:13 *997:5 0 +15 *969:13 *1636:7 0.000239138 +16 *969:14 *1634:8 4.0752e-05 +17 *969:14 *1636:8 0.00579731 +18 *969:14 *1637:8 0.00500937 +19 *967:8 *969:8 0.0194077 +*RES +1 *2820:la_data_in_core[42] *969:7 33.0303 +2 *969:7 *969:8 205.927 +3 *969:8 *969:13 21.2133 +4 *969:13 *969:14 62.839 +5 *969:14 *2821:la_data_in[42] 46.3183 +*END + +*D_NET *970 0.0611691 +*CONN +*I *2821:la_data_in[43] I *D user_project_wrapper +*I *2820:la_data_in_core[43] O *D mgmt_protect +*CAP +1 *2821:la_data_in[43] 0.00134437 +2 *2820:la_data_in_core[43] 0.00139939 +3 *970:16 0.00199839 +4 *970:13 0.000873948 +5 *970:8 0.00204188 +6 *970:7 0.00322134 +7 *2821:la_data_in[43] *1007:7 0 +8 *2821:la_data_in[43] *1008:5 0.000471141 +9 *2821:la_data_in[43] *1231:13 0.000467497 +10 *970:7 *2820:la_data_out_core[43] 0 +11 *970:7 *2821:la_oenb[29] 0 +12 *970:7 *1609:7 0 +13 *970:8 *1226:14 0.0225999 +14 *970:8 *1231:14 0.000149001 +15 *970:8 *1609:8 0.000113197 +16 *970:13 *2820:la_data_out_core[73] 7.03521e-05 +17 *970:13 *1003:5 0.000419953 +18 *970:16 *998:8 0.000934007 +19 *970:16 *1226:13 0.00231003 +20 *970:16 *1642:8 0.000226066 +21 *970:16 *1644:8 2.20702e-05 +22 *2821:la_data_in[30] *970:7 0 +23 *968:8 *970:8 0.0225066 +*RES +1 *2820:la_data_in_core[43] *970:7 41.3353 +2 *970:7 *970:8 242.531 +3 *970:8 *970:13 16.6455 +4 *970:13 *970:16 42.9364 +5 *970:16 *2821:la_data_in[43] 38.9849 +*END + +*D_NET *971 0.0648412 +*CONN +*I *2821:la_data_in[44] I *D user_project_wrapper +*I *2820:la_data_in_core[44] O *D mgmt_protect +*CAP +1 *2821:la_data_in[44] 0.00189497 +2 *2820:la_data_in_core[44] 0.00135217 +3 *971:10 0.00189497 +4 *971:8 0.00266345 +5 *971:7 0.00401561 +6 *2821:la_data_in[44] *2820:la_data_out_core[80] 0 +7 *2821:la_data_in[44] *1651:7 0.000487883 +8 *971:7 *2820:la_data_out_core[43] 0 +9 *971:7 *2820:la_data_out_core[44] 0 +10 *971:7 *1212:5 0 +11 *971:7 *1610:7 0 +12 *971:7 *1611:7 0 +13 *971:8 *1231:14 4.27158e-05 +14 *971:8 *1267:8 1.27226e-05 +15 *971:8 *1596:8 3.18408e-05 +16 *971:8 *1609:8 0.0251233 +17 *971:8 *1611:8 0.0273215 +18 *971:8 *1620:14 0 +19 *2821:la_data_in[30] *971:7 0 +*RES +1 *2820:la_data_in_core[44] *971:7 40.0896 +2 *971:7 *971:8 295.218 +3 *971:8 *971:10 4.5 +4 *971:10 *2821:la_data_in[44] 46.8014 +*END + +*D_NET *972 0.0507752 +*CONN +*I *2821:la_data_in[45] I *D user_project_wrapper +*I *2820:la_data_in_core[45] O *D mgmt_protect +*CAP +1 *2821:la_data_in[45] 0.00219376 +2 *2820:la_data_in_core[45] 0.000626138 +3 *972:10 0.00219376 +4 *972:8 0.00734725 +5 *972:7 0.00797339 +6 *2821:la_data_in[45] *2820:la_data_out_core[83] 0.000606262 +7 *2821:la_data_in[45] *1014:5 0.000450585 +8 *2821:la_data_in[45] *1265:13 0.00120168 +9 *972:7 *2820:la_data_out_core[45] 0 +10 *972:7 *1611:7 0 +11 *972:8 *973:8 0.0281824 +12 *972:8 *1265:16 0 +13 *972:8 *1615:8 0 +14 *972:8 *1633:11 0 +*RES +1 *2820:la_data_in_core[45] *972:7 22.2337 +2 *972:7 *972:8 307.974 +3 *972:8 *972:10 4.5 +4 *972:10 *2821:la_data_in[45] 64.6572 +*END + +*D_NET *973 0.0722607 +*CONN +*I *2821:la_data_in[46] I *D user_project_wrapper +*I *2820:la_data_in_core[46] O *D mgmt_protect +*CAP +1 *2821:la_data_in[46] 0.00225205 +2 *2820:la_data_in_core[46] 0.000456125 +3 *973:10 0.00225205 +4 *973:8 0.00301266 +5 *973:7 0.00346879 +6 *2821:la_data_in[46] *2820:la_data_out_core[85] 0 +7 *2821:la_data_in[46] *1017:5 0.00283316 +8 *2821:la_data_in[46] *1656:7 0 +9 *973:7 *2820:la_data_out_core[46] 0 +10 *973:7 *1612:7 0.000372253 +11 *973:8 *974:8 0.0294312 +12 *972:8 *973:8 0.0281824 +*RES +1 *2820:la_data_in_core[46] *973:7 21.8185 +2 *973:7 *973:8 321.839 +3 *973:8 *973:10 4.5 +4 *973:10 *2821:la_data_in[46] 65.0725 +*END + +*D_NET *974 0.0744963 +*CONN +*I *2821:la_data_in[47] I *D user_project_wrapper +*I *2820:la_data_in_core[47] O *D mgmt_protect +*CAP +1 *2821:la_data_in[47] 0.00252989 +2 *2820:la_data_in_core[47] 0.000596928 +3 *974:10 0.00252989 +4 *974:8 0.00312864 +5 *974:7 0.00372557 +6 *2821:la_data_in[47] *2820:la_data_out_core[88] 0 +7 *2821:la_data_in[47] *1020:5 0 +8 *2821:la_data_in[47] *1659:5 0.00188068 +9 *974:7 *2820:la_data_out_core[47] 0 +10 *974:7 *1613:5 0 +11 *974:8 *975:8 0.0306735 +12 *973:8 *974:8 0.0294312 +*RES +1 *2820:la_data_in_core[47] *974:7 21.4032 +2 *974:7 *974:8 335.149 +3 *974:8 *974:10 4.5 +4 *974:10 *2821:la_data_in[47] 65.4877 +*END + +*D_NET *975 0.0765052 +*CONN +*I *2821:la_data_in[48] I *D user_project_wrapper +*I *2820:la_data_in_core[48] O *D mgmt_protect +*CAP +1 *2821:la_data_in[48] 0.00271723 +2 *2820:la_data_in_core[48] 0.000582322 +3 *975:10 0.00271723 +4 *975:8 0.00323312 +5 *975:7 0.00381545 +6 *2821:la_data_in[48] *2820:la_data_out_core[91] 0.000182352 +7 *2821:la_data_in[48] *1023:5 0.000674491 +8 *2821:la_data_in[48] *1663:7 0 +9 *975:7 *2820:la_data_out_core[48] 0 +10 *975:7 *1614:7 0 +11 *975:8 *976:8 0.0319094 +12 *975:8 *1022:8 0 +13 *974:8 *975:8 0.0306735 +*RES +1 *2820:la_data_in_core[48] *975:7 20.988 +2 *975:7 *975:8 347.905 +3 *975:8 *975:10 4.5 +4 *975:10 *2821:la_data_in[48] 65.903 +*END + +*D_NET *976 0.0793817 +*CONN +*I *2821:la_data_in[49] I *D user_project_wrapper +*I *2820:la_data_in_core[49] O *D mgmt_protect +*CAP +1 *2821:la_data_in[49] 0.00298206 +2 *2820:la_data_in_core[49] 0.000567717 +3 *976:10 0.00298206 +4 *976:8 0.00337552 +5 *976:7 0.00394324 +6 *2821:la_data_in[49] *2820:la_data_out_core[94] 0 +7 *2821:la_data_in[49] *1025:7 0 +8 *2821:la_data_in[49] *1026:7 0.000241556 +9 *2821:la_data_in[49] *1665:7 0.00023478 +10 *976:7 *2820:la_data_out_core[49] 0 +11 *976:7 *1615:7 0 +12 *976:8 *978:8 0.0331454 +13 *976:8 *1024:8 0 +14 *975:8 *976:8 0.0319094 +*RES +1 *2820:la_data_in_core[49] *976:7 20.5727 +2 *976:7 *976:8 361.77 +3 *976:8 *976:10 4.5 +4 *976:10 *2821:la_data_in[49] 66.3182 +*END + +*D_NET *977 0.0516166 +*CONN +*I *2821:la_data_in[4] I *D user_project_wrapper +*I *2820:la_data_in_core[4] O *D mgmt_protect +*CAP +1 *2821:la_data_in[4] 0.00139081 +2 *2820:la_data_in_core[4] 0.000941125 +3 *977:14 0.00298764 +4 *977:13 0.00254623 +5 *977:10 0.00165491 +6 *977:7 0.00164663 +7 *2821:la_data_in[4] *1817:5 0 +8 *2821:la_data_in[4] *1923:13 1.87469e-05 +9 *2821:la_data_in[4] *1949:5 0 +10 *977:7 *2820:la_data_out_core[4] 0 +11 *977:7 *1606:7 0 +12 *977:10 *1010:8 0.00270909 +13 *977:10 *1200:12 0 +14 *977:10 *1595:8 0 +15 *977:13 *1545:7 7.28919e-05 +16 *977:14 *1211:8 0.00124575 +17 *977:14 *1881:8 0.0189619 +18 *2821:la_data_in[14] *977:13 0 +19 *905:14 *977:14 0.0154208 +20 *927:8 *977:14 0.000378828 +21 *937:8 *977:14 0.00164119 +22 *944:5 *977:13 0 +*RES +1 *2820:la_data_in_core[4] *977:7 30.5388 +2 *977:7 *977:10 33.5082 +3 *977:10 *977:13 26.2641 +4 *977:13 *977:14 209.809 +5 *977:14 *2821:la_data_in[4] 39.2591 +*END + +*D_NET *978 0.0828185 +*CONN +*I *2821:la_data_in[50] I *D user_project_wrapper +*I *2820:la_data_in_core[50] O *D mgmt_protect +*CAP +1 *2821:la_data_in[50] 0.00276225 +2 *2820:la_data_in_core[50] 0.000338555 +3 *978:10 0.00276225 +4 *978:8 0.00347838 +5 *978:7 0.00381693 +6 *2821:la_data_in[50] *2820:la_data_out_core[96] 0.000402827 +7 *2821:la_data_in[50] *1029:5 0 +8 *2821:la_data_in[50] *1668:5 0.000748623 +9 *978:7 *2820:la_data_out_core[50] 0 +10 *978:7 *1616:7 0.000982087 +11 *978:8 *979:8 0.0343813 +12 *978:8 *1026:8 0 +13 *978:8 *1028:8 0 +14 *976:8 *978:8 0.0331454 +*RES +1 *2820:la_data_in_core[50] *978:7 20.1574 +2 *978:7 *978:8 374.526 +3 *978:8 *978:10 4.5 +4 *978:10 *2821:la_data_in[50] 66.7335 +*END + +*D_NET *979 0.0850887 +*CONN +*I *2821:la_data_in[51] I *D user_project_wrapper +*I *2820:la_data_in_core[51] O *D mgmt_protect +*CAP +1 *2821:la_data_in[51] 0.00286955 +2 *2820:la_data_in_core[51] 0.000538507 +3 *979:10 0.00286955 +4 *979:8 0.0036208 +5 *979:7 0.0041593 +6 *2821:la_data_in[51] *2820:la_data_out_core[99] 0.000420522 +7 *2821:la_data_in[51] *1031:5 0.000612065 +8 *979:7 *2820:la_data_out_core[51] 0 +9 *979:7 *1618:7 0 +10 *979:8 *980:8 0.0356172 +11 *979:8 *1028:8 0 +12 *979:8 *1030:8 0 +13 *978:8 *979:8 0.0343813 +*RES +1 *2820:la_data_in_core[51] *979:7 19.7422 +2 *979:7 *979:8 388.391 +3 *979:8 *979:10 4.5 +4 *979:10 *2821:la_data_in[51] 67.1487 +*END + +*D_NET *980 0.088352 +*CONN +*I *2821:la_data_in[52] I *D user_project_wrapper +*I *2820:la_data_in_core[52] O *D mgmt_protect +*CAP +1 *2821:la_data_in[52] 0.0030785 +2 *2820:la_data_in_core[52] 0.000320726 +3 *980:10 0.0030785 +4 *980:8 0.00372365 +5 *980:7 0.00404438 +6 *2821:la_data_in[52] *2820:la_data_out_core[102] 0 +7 *2821:la_data_in[52] *1163:11 0 +8 *2821:la_data_in[52] *1546:13 6.04912e-06 +9 *2821:la_data_in[52] *1546:17 0.000440932 +10 *2821:la_data_in[52] *1547:7 0.000205038 +11 *980:7 *2820:la_data_out_core[52] 0 +12 *980:7 *1619:7 0.000929995 +13 *980:8 *981:8 0.0368531 +14 *980:8 *1030:8 0 +15 *980:8 *1162:8 0 +16 *907:8 *980:8 0 +17 *908:7 *2821:la_data_in[52] 5.39859e-05 +18 *979:8 *980:8 0.0356172 +*RES +1 *2820:la_data_in_core[52] *980:7 19.3269 +2 *980:7 *980:8 401.147 +3 *980:8 *980:10 4.5 +4 *980:10 *2821:la_data_in[52] 67.564 +*END + +*D_NET *981 0.0906939 +*CONN +*I *2821:la_data_in[53] I *D user_project_wrapper +*I *2820:la_data_in_core[53] O *D mgmt_protect +*CAP +1 *2821:la_data_in[53] 0.00314436 +2 *2820:la_data_in_core[53] 0.000509296 +3 *981:10 0.00314436 +4 *981:8 0.00384978 +5 *981:7 0.00435907 +6 *2821:la_data_in[53] *2820:la_data_out_core[104] 3.28776e-05 +7 *2821:la_data_in[53] *1550:5 0.000674349 +8 *981:7 *2820:la_data_out_core[53] 0 +9 *981:7 *1620:7 0 +10 *981:8 *982:8 0.038089 +11 *981:8 *1166:8 2.54062e-05 +12 *907:8 *981:8 0 +13 *908:8 *981:8 0 +14 *909:8 *981:8 0 +15 *910:7 *2821:la_data_in[53] 0 +16 *910:8 *981:8 1.22938e-05 +17 *980:8 *981:8 0.0368531 +*RES +1 *2820:la_data_in_core[53] *981:7 18.9117 +2 *981:7 *981:8 415.012 +3 *981:8 *981:10 4.5 +4 *981:10 *2821:la_data_in[53] 67.9792 +*END + +*D_NET *982 0.0744403 +*CONN +*I *2821:la_data_in[54] I *D user_project_wrapper +*I *2820:la_data_in_core[54] O *D mgmt_protect +*CAP +1 *2821:la_data_in[54] 0.0032308 +2 *2820:la_data_in_core[54] 0.000302896 +3 *982:10 0.0032308 +4 *982:8 0.00501405 +5 *982:7 0.00531695 +6 *2821:la_data_in[54] *2820:la_data_out_core[107] 0.000541588 +7 *2821:la_data_in[54] *1552:5 0 +8 *982:7 *2820:la_data_out_core[54] 0 +9 *982:7 *1621:7 0.000877903 +10 *982:8 *983:8 0.0172415 +11 *982:8 *1166:8 2.12986e-05 +12 *911:8 *982:8 0.000133137 +13 *912:8 *982:8 0.000315442 +14 *913:7 *2821:la_data_in[54] 1.09738e-05 +15 *913:8 *982:8 0.000113968 +16 *981:8 *982:8 0.038089 +*RES +1 *2820:la_data_in_core[54] *982:7 18.4964 +2 *982:7 *982:8 427.768 +3 *982:8 *982:10 4.5 +4 *982:10 *2821:la_data_in[54] 68.3945 +*END + +*D_NET *983 0.077022 +*CONN +*I *2821:la_data_in[55] I *D user_project_wrapper +*I *2820:la_data_in_core[55] O *D mgmt_protect +*CAP +1 *2821:la_data_in[55] 0.00340794 +2 *2820:la_data_in_core[55] 0.000465481 +3 *983:10 0.00340794 +4 *983:8 0.0051641 +5 *983:7 0.00562958 +6 *2821:la_data_in[55] *2820:la_data_out_core[109] 0 +7 *2821:la_data_in[55] *1555:7 0.000341235 +8 *983:7 *2820:la_data_out_core[55] 0 +9 *983:7 *1622:7 0 +10 *983:8 *985:8 0.0398366 +11 *913:8 *983:8 0.000164845 +12 *914:8 *983:8 0.00136277 +13 *982:8 *983:8 0.0172415 +*RES +1 *2820:la_data_in_core[55] *983:7 17.6659 +2 *983:7 *983:8 441.633 +3 *983:8 *983:10 4.5 +4 *983:10 *2821:la_data_in[55] 69.225 +*END + +*D_NET *984 0.0929802 +*CONN +*I *2821:la_data_in[56] I *D user_project_wrapper +*I *2820:la_data_in_core[56] O *D mgmt_protect +*CAP +1 *2821:la_data_in[56] 0.00353252 +2 *2820:la_data_in_core[56] 0.000178087 +3 *984:10 0.00353252 +4 *984:8 0.00515319 +5 *984:7 0.00533128 +6 *2821:la_data_in[56] *2820:la_data_out_core[112] 0.000549616 +7 *2821:la_data_in[56] *1559:5 0.00041279 +8 *984:7 *2820:la_data_out_core[56] 0 +9 *984:7 *1623:7 0.000513259 +10 *984:8 *986:8 0 +11 *984:8 *987:8 0.000110029 +12 *984:8 *1242:14 0.00298084 +13 *984:8 *1246:8 0.000133137 +14 *984:8 *1247:8 0.000179244 +15 *984:8 *1248:8 0.000363723 +16 *984:8 *1249:8 0.0360934 +17 *984:8 *1252:8 0.0339166 +*RES +1 *2820:la_data_in_core[56] *984:7 12.6829 +2 *984:7 *984:8 454.389 +3 *984:8 *984:10 4.5 +4 *984:10 *2821:la_data_in[56] 74.208 +*END + +*D_NET *985 0.101449 +*CONN +*I *2821:la_data_in[57] I *D user_project_wrapper +*I *2820:la_data_in_core[57] O *D mgmt_protect +*CAP +1 *2821:la_data_in[57] 0.00340893 +2 *2820:la_data_in_core[57] 0.000457687 +3 *985:14 0.00391735 +4 *985:8 0.00420517 +5 *985:7 0.00415445 +6 *2821:la_data_in[57] *2820:la_data_out_core[115] 0.000561894 +7 *2821:la_data_in[57] *1561:5 0 +8 *985:7 *2820:la_data_out_core[57] 0 +9 *985:7 *1624:7 0 +10 *985:8 *986:8 0.0394205 +11 *985:8 *1019:8 3.07726e-05 +12 *985:14 *1019:8 0.00353079 +13 *985:14 *1177:8 0.000579046 +14 *914:8 *985:8 0.00020979 +15 *914:8 *985:14 0.000293761 +16 *919:8 *985:14 0.000760669 +17 *922:7 *2821:la_data_in[57] 8.16687e-05 +18 *983:8 *985:8 0.0398366 +*RES +1 *2820:la_data_in_core[57] *985:7 17.2507 +2 *985:7 *985:8 430.541 +3 *985:8 *985:14 42.9606 +4 *985:14 *2821:la_data_in[57] 70.0555 +*END + +*D_NET *986 0.0929696 +*CONN +*I *2821:la_data_in[58] I *D user_project_wrapper +*I *2820:la_data_in_core[58] O *D mgmt_protect +*CAP +1 *2821:la_data_in[58] 0.003764 +2 *2820:la_data_in_core[58] 0.000443082 +3 *986:16 0.003764 +4 *986:14 0.000536453 +5 *986:13 0.000690284 +6 *986:8 0.0068854 +7 *986:7 0.00717465 +8 *2821:la_data_in[58] *2820:la_data_out_core[117] 0 +9 *2821:la_data_in[58] *1564:7 0.00039406 +10 *986:7 *2820:la_data_out_core[58] 0 +11 *986:7 *1625:7 0 +12 *986:7 *1626:7 0 +13 *986:8 *1004:8 0 +14 *986:8 *1005:8 0 +15 *986:8 *1011:8 4.77612e-05 +16 *986:8 *1012:8 0.000379223 +17 *986:8 *1015:8 0.000193373 +18 *986:8 *1016:8 0.000970475 +19 *986:8 *1019:8 0.0167957 +20 *986:8 *1242:14 0 +21 *986:13 *2820:la_data_out_core[110] 0.000161404 +22 *986:13 *1242:13 8.62625e-06 +23 *986:14 *1004:8 0.00564177 +24 *986:14 *1252:8 0.0056502 +25 *917:7 *986:13 0 +26 *925:7 *2821:la_data_in[58] 4.86827e-05 +27 *984:8 *986:8 0 +28 *985:8 *986:8 0.0394205 +*RES +1 *2820:la_data_in_core[58] *986:7 16.8354 +2 *986:7 *986:8 421.113 +3 *986:8 *986:13 12.493 +4 *986:13 *986:14 60.6206 +5 *986:14 *986:16 4.5 +6 *986:16 *2821:la_data_in[58] 73.3775 +*END + +*D_NET *987 0.107968 +*CONN +*I *2821:la_data_in[59] I *D user_project_wrapper +*I *2820:la_data_in_core[59] O *D mgmt_protect +*CAP +1 *2821:la_data_in[59] 0.00411089 +2 *2820:la_data_in_core[59] 0.000217193 +3 *987:10 0.00411089 +4 *987:8 0.00463016 +5 *987:7 0.00484736 +6 *2821:la_data_in[59] *2820:la_data_out_core[120] 0.000113374 +7 *2821:la_data_in[59] *1568:5 0 +8 *987:7 *1243:11 0 +9 *987:7 *1626:7 0 +10 *987:8 *989:8 0.0454078 +11 *987:8 *1246:8 0.0444203 +12 *928:7 *2821:la_data_in[59] 0 +13 *929:5 *2821:la_data_in[59] 0 +14 *984:8 *987:8 0.000110029 +*RES +1 *2820:la_data_in_core[59] *987:7 10.6067 +2 *987:7 *987:8 494.875 +3 *987:8 *987:10 4.5 +4 *987:10 *2821:la_data_in[59] 76.2843 +*END + +*D_NET *988 0.0514544 +*CONN +*I *2821:la_data_in[5] I *D user_project_wrapper +*I *2820:la_data_in_core[5] O *D mgmt_protect +*CAP +1 *2821:la_data_in[5] 8.34852e-05 +2 *2820:la_data_in_core[5] 0.00120203 +3 *988:11 0.00194772 +4 *988:10 0.00186424 +5 *988:8 0.00181628 +6 *988:7 0.00301831 +7 *988:7 *2820:la_data_out_core[5] 0 +8 *988:7 *1617:7 0 +9 *988:7 *1628:7 0 +10 *988:8 *2820:mprj_dat_i_user[11] 0.000195605 +11 *988:8 *999:8 0.000605222 +12 *988:8 *1233:8 0.0205287 +13 *988:8 *1244:8 1.59204e-05 +14 *988:8 *1617:8 0.000113197 +15 *988:8 *1628:8 7.83311e-05 +16 *988:8 *1639:8 0.0178251 +17 *988:8 *1859:8 7.68538e-06 +18 *988:8 *1922:8 0.00109722 +19 *988:11 *2820:mprj_dat_i_user[9] 0.00105355 +20 *988:11 *1244:5 0 +21 *988:11 *1820:7 1.77537e-06 +22 *988:11 *1951:5 0 +*RES +1 *2820:la_data_in_core[5] *988:7 37.598 +2 *988:7 *988:8 223.674 +3 *988:8 *988:10 4.5 +4 *988:10 *988:11 49.3784 +5 *988:11 *2821:la_data_in[5] 1.77093 +*END + +*D_NET *989 0.0857488 +*CONN +*I *2821:la_data_in[60] I *D user_project_wrapper +*I *2820:la_data_in_core[60] O *D mgmt_protect +*CAP +1 *2821:la_data_in[60] 0.00321977 +2 *2820:la_data_in_core[60] 0.000202588 +3 *989:10 0.00321977 +4 *989:8 0.0113309 +5 *989:7 0.0115335 +6 *2821:la_data_in[60] *2820:la_data_out_core[123] 0.000547682 +7 *989:7 *2820:la_data_out_core[60] 0 +8 *989:7 *1627:7 0 +9 *989:8 *1186:14 0.000579032 +10 *989:8 *1242:8 0.00632575 +11 *989:8 *1246:8 0.000628433 +12 *931:5 *2821:la_data_in[60] 0.00275357 +13 *987:8 *989:8 0.0454078 +*RES +1 *2820:la_data_in_core[60] *989:7 10.1914 +2 *989:7 *989:8 508.186 +3 *989:8 *989:10 4.5 +4 *989:10 *2821:la_data_in[60] 76.6995 +*END + +*D_NET *990 0.112092 +*CONN +*I *2821:la_data_in[61] I *D user_project_wrapper +*I *2820:la_data_in_core[61] O *D mgmt_protect +*CAP +1 *2821:la_data_in[61] 0.000916325 +2 *2820:la_data_in_core[61] 0.00255119 +3 *990:8 0.00480147 +4 *990:7 0.00388515 +5 *990:5 0.00255119 +6 *990:5 *2820:la_data_out_core[60] 0 +7 *990:5 *2820:la_data_out_core[61] 0 +8 *990:5 *2821:la_oenb[36] 0.000471869 +9 *990:5 *1629:7 0 +10 *990:5 *1630:10 0 +11 *990:8 *991:10 0.0483518 +12 *990:8 *1245:8 0.0479865 +13 *931:8 *990:8 0.000576142 +*RES +1 *2820:la_data_in_core[61] *990:5 63.4115 +2 *990:5 *990:7 4.5 +3 *990:7 *990:8 521.496 +4 *990:8 *2821:la_data_in[61] 23.4795 +*END + +*D_NET *991 0.107438 +*CONN +*I *2821:la_data_in[62] I *D user_project_wrapper +*I *2820:la_data_in_core[62] O *D mgmt_protect +*CAP +1 *2821:la_data_in[62] 0.00115895 +2 *2820:la_data_in_core[62] 6.22868e-05 +3 *991:10 0.00624369 +4 *991:9 0.00508473 +5 *991:7 0.00252026 +6 *991:5 0.00258254 +7 *2821:la_data_in[62] *1007:10 0.000546946 +8 *2821:la_data_in[62] *1250:16 0.000749011 +9 *991:7 *2820:la_data_out_core[62] 0.000197303 +10 *991:7 *1219:7 0 +11 *991:7 *1630:10 0 +12 *991:7 *1631:7 0 +13 *991:10 *995:8 0 +14 *991:10 *1000:10 8.40274e-05 +15 *991:10 *1002:8 0.000139227 +16 *991:10 *1003:8 0.000582801 +17 *991:10 *1006:8 0.000412036 +18 *991:10 *1007:10 0.0369371 +19 *991:10 *1254:8 0 +20 *2821:la_data_in[37] *991:7 0.000287038 +21 *931:8 *2821:la_data_in[62] 0.000424495 +22 *931:8 *991:10 9.82896e-06 +23 *935:10 *2821:la_data_in[62] 0.00106346 +24 *990:8 *991:10 0.0483518 +*RES +1 *2820:la_data_in_core[62] *991:5 1.77093 +2 *991:5 *991:7 63.0817 +3 *991:7 *991:9 4.5 +4 *991:9 *991:10 512.068 +5 *991:10 *2821:la_data_in[62] 45.3019 +*END + +*D_NET *992 0.0926435 +*CONN +*I *2821:la_data_in[63] I *D user_project_wrapper +*I *2820:la_data_in_core[63] O *D mgmt_protect +*CAP +1 *2821:la_data_in[63] 0.00127162 +2 *2820:la_data_in_core[63] 0.00234894 +3 *992:8 0.0114859 +4 *992:7 0.0102142 +5 *992:5 0.00234894 +6 *992:5 *2820:la_data_out_core[63] 0 +7 *992:5 *1219:7 0.000582407 +8 *992:5 *1630:13 0 +9 *992:5 *1631:7 0 +10 *992:5 *1632:7 0 +11 *992:8 *993:14 0.050681 +12 *992:8 *997:8 0.00763254 +13 *992:8 *1231:8 0 +14 *992:8 *1605:8 0 +15 *992:8 *1655:14 0 +16 *992:8 *1657:8 0 +17 *992:8 *1668:8 0.000133943 +18 *929:8 *992:8 0.00135682 +19 *933:8 *992:8 0.0045872 +*RES +1 *2820:la_data_in_core[63] *992:5 56.7674 +2 *992:5 *992:7 4.5 +3 *992:7 *992:8 548.117 +4 *992:8 *2821:la_data_in[63] 30.1235 +*END + +*D_NET *993 0.120268 +*CONN +*I *2821:la_data_in[64] I *D user_project_wrapper +*I *2820:la_data_in_core[64] O *D mgmt_protect +*CAP +1 *2821:la_data_in[64] 0.00124876 +2 *2820:la_data_in_core[64] 0.000211046 +3 *993:14 0.00541698 +4 *993:13 0.00416822 +5 *993:11 0.00233072 +6 *993:9 0.00254176 +7 *993:9 *2820:la_data_out_core[64] 0.00012021 +8 *993:9 *1632:7 0 +9 *993:11 *2820:la_data_out_core[64] 0.000220437 +10 *993:11 *2821:la_oenb[37] 0 +11 *993:11 *994:5 0 +12 *993:11 *1632:7 0 +13 *993:11 *1633:7 0 +14 *993:14 *994:8 0.0522678 +15 *993:14 *1668:8 0.000133943 +16 *2821:la_data_in[38] *993:11 0 +17 *933:8 *993:14 0.000926694 +18 *992:8 *993:14 0.050681 +*RES +1 *2820:la_data_in_core[64] *993:9 7.16921 +2 *993:9 *993:11 53.3355 +3 *993:11 *993:13 4.5 +4 *993:13 *993:14 558.655 +5 *993:14 *2821:la_data_in[64] 29.7083 +*END + +*D_NET *994 0.123046 +*CONN +*I *2821:la_data_in[65] I *D user_project_wrapper +*I *2820:la_data_in_core[65] O *D mgmt_protect +*CAP +1 *2821:la_data_in[65] 0.00124388 +2 *2820:la_data_in_core[65] 0.002339 +3 *994:8 0.00564143 +4 *994:7 0.00439755 +5 *994:5 0.002339 +6 *994:5 *2820:la_data_out_core[65] 0 +7 *994:5 *1625:13 0.000864425 +8 *994:5 *1633:7 0 +9 *994:8 *996:8 0.000359405 +10 *994:8 *996:12 0.00597519 +11 *994:8 *1250:20 0.0472188 +12 *994:8 *1655:14 0 +13 *2821:la_data_in[38] *994:5 0 +14 *929:8 *994:8 6.11359e-06 +15 *929:13 *2821:la_data_in[65] 0 +16 *933:8 *994:8 0.000393324 +17 *993:11 *994:5 0 +18 *993:14 *994:8 0.0522678 +*RES +1 *2820:la_data_in_core[65] *994:5 57.5979 +2 *994:5 *994:7 4.5 +3 *994:7 *994:8 574.738 +4 *994:8 *2821:la_data_in[65] 29.293 +*END + +*D_NET *995 0.118863 +*CONN +*I *2821:la_data_in[66] I *D user_project_wrapper +*I *2820:la_data_in_core[66] O *D mgmt_protect +*CAP +1 *2821:la_data_in[66] 0.00119415 +2 *2820:la_data_in_core[66] 0.00245534 +3 *995:12 0.00156943 +4 *995:10 0.000395903 +5 *995:8 0.0049188 +6 *995:7 0.00489816 +7 *995:5 0.00245534 +8 *995:5 *2820:la_data_out_core[65] 0 +9 *995:5 *2820:la_data_out_core[66] 0.000360068 +10 *995:5 *2821:la_oenb[38] 0 +11 *995:5 *1220:7 0 +12 *995:5 *1627:13 0 +13 *995:5 *1634:7 0 +14 *995:5 *1635:7 0 +15 *995:8 *996:8 0.0467802 +16 *995:8 *996:12 0.00146911 +17 *995:8 *997:14 0.041661 +18 *995:8 *1250:20 0.000322987 +19 *995:8 *1254:8 0.001683 +20 *995:12 *996:12 0.00474651 +21 *995:12 *997:14 0.000629565 +22 *995:12 *1250:13 0.00332325 +23 *991:10 *995:8 0 +*RES +1 *2820:la_data_in_core[66] *995:5 58.8437 +2 *995:5 *995:7 4.5 +3 *995:7 *995:8 538.134 +4 *995:8 *995:10 0.578717 +5 *995:10 *995:12 50.0831 +6 *995:12 *2821:la_data_in[66] 28.4625 +*END + +*D_NET *996 0.123898 +*CONN +*I *2821:la_data_in[67] I *D user_project_wrapper +*I *2820:la_data_in_core[67] O *D mgmt_protect +*CAP +1 *2821:la_data_in[67] 0.00120876 +2 *2820:la_data_in_core[67] 0.00259927 +3 *996:12 0.00279266 +4 *996:10 0.00160453 +5 *996:8 0.00364153 +6 *996:7 0.0036209 +7 *996:5 0.00259927 +8 *996:5 *2820:la_data_out_core[67] 0 +9 *996:5 *2821:la_oenb[38] 0 +10 *996:5 *1627:13 0 +11 *996:5 *1635:7 0 +12 *996:5 *1636:7 0 +13 *996:8 *1250:20 0.0459658 +14 *996:12 *997:14 0.000534616 +15 *996:12 *1655:14 0 +16 *2821:la_data_in[39] *996:5 0 +17 *969:13 *996:5 0 +18 *994:8 *996:8 0.000359405 +19 *994:8 *996:12 0.00597519 +20 *995:8 *996:8 0.0467802 +21 *995:8 *996:12 0.00146911 +22 *995:12 *996:12 0.00474651 +*RES +1 *2820:la_data_in_core[67] *996:5 58.4284 +2 *996:5 *996:7 4.5 +3 *996:7 *996:8 495.707 +4 *996:8 *996:10 0.578717 +5 *996:10 *996:12 106.375 +6 *996:12 *2821:la_data_in[67] 28.8777 +*END + +*D_NET *997 0.124344 +*CONN +*I *2821:la_data_in[68] I *D user_project_wrapper +*I *2820:la_data_in_core[68] O *D mgmt_protect +*CAP +1 *2821:la_data_in[68] 0.00115813 +2 *2820:la_data_in_core[68] 0.00244623 +3 *997:14 0.00546087 +4 *997:13 0.00437909 +5 *997:8 0.00192747 +6 *997:7 0.00185112 +7 *997:5 0.00244623 +8 *997:5 *2820:la_data_out_core[68] 0 +9 *997:5 *1221:7 0.000136975 +10 *997:5 *1620:13 0 +11 *997:5 *1636:7 0 +12 *997:5 *1637:7 0 +13 *997:8 *1223:8 0 +14 *997:8 *1231:8 0 +15 *997:8 *1605:8 5.40294e-05 +16 *997:8 *1607:8 0 +17 *997:8 *1610:14 0 +18 *997:13 *998:13 0.000155272 +19 *997:13 *1008:5 0.000158885 +20 *997:14 *998:14 0.0503801 +21 *997:14 *1250:13 0.00331484 +22 *997:14 *1254:8 1.65872e-05 +23 *2821:la_data_in[39] *997:5 0 +24 *969:13 *997:5 0 +25 *992:8 *997:8 0.00763254 +26 *995:8 *997:14 0.041661 +27 *995:12 *997:14 0.000629565 +28 *996:12 *997:14 0.000534616 +*RES +1 *2820:la_data_in_core[68] *997:5 56.3522 +2 *997:5 *997:7 4.5 +3 *997:7 *997:8 81.1409 +4 *997:8 *997:13 12.0778 +5 *997:13 *997:14 533.697 +6 *997:14 *2821:la_data_in[68] 27.632 +*END + +*D_NET *998 0.129775 +*CONN +*I *2821:la_data_in[69] I *D user_project_wrapper +*I *2820:la_data_in_core[69] O *D mgmt_protect +*CAP +1 *2821:la_data_in[69] 0.0011367 +2 *2820:la_data_in_core[69] 0.00199626 +3 *998:14 0.00540068 +4 *998:13 0.00481003 +5 *998:8 0.00191451 +6 *998:7 0.00136847 +7 *998:5 0.00199626 +8 *998:5 *2820:la_data_out_core[69] 0 +9 *998:5 *1637:7 0 +10 *998:8 *1226:13 2.81824e-05 +11 *998:8 *1257:18 0 +12 *998:8 *1630:14 0.0069679 +13 *998:8 *1640:8 0 +14 *998:8 *1642:8 0 +15 *998:13 *1008:5 0.000254182 +16 *998:13 *1226:7 0 +17 *998:13 *1648:5 0 +18 *998:14 *1254:8 0.052432 +19 *970:16 *998:8 0.000934007 +20 *997:13 *998:13 0.000155272 +21 *997:14 *998:14 0.0503801 +*RES +1 *2820:la_data_in_core[69] *998:5 45.5556 +2 *998:5 *998:7 4.5 +3 *998:7 *998:8 73.9311 +4 *998:8 *998:13 23.2896 +5 *998:13 *998:14 554.772 +6 *998:14 *2821:la_data_in[69] 27.2167 +*END + +*D_NET *999 0.0489077 +*CONN +*I *2821:la_data_in[6] I *D user_project_wrapper +*I *2820:la_data_in_core[6] O *D mgmt_protect +*CAP +1 *2821:la_data_in[6] 0.00207239 +2 *2820:la_data_in_core[6] 0.00122543 +3 *999:10 0.00207239 +4 *999:8 0.0016378 +5 *999:7 0.00286324 +6 *2821:la_data_in[6] *2820:mprj_dat_i_user[12] 0 +7 *999:7 *2820:la_data_out_core[6] 0 +8 *999:7 *1628:7 0 +9 *999:8 *1255:8 0.000113197 +10 *999:8 *1628:8 0.019707 +11 *999:8 *1639:8 0.0186111 +12 *988:8 *999:8 0.000605222 +*RES +1 *2820:la_data_in_core[6] *999:7 38.4285 +2 *999:7 *999:8 212.027 +3 *999:8 *999:10 4.5 +4 *999:10 *2821:la_data_in[6] 48.4624 +*END + +*D_NET *1000 0.136732 +*CONN +*I *2821:la_data_in[70] I *D user_project_wrapper +*I *2820:la_data_in_core[70] O *D mgmt_protect +*CAP +1 *2821:la_data_in[70] 0.00107239 +2 *2820:la_data_in_core[70] 8.20467e-05 +3 *1000:10 0.00580319 +4 *1000:9 0.0047308 +5 *1000:7 0.0027697 +6 *1000:5 0.00285174 +7 *1000:7 *2820:la_data_out_core[70] 0 +8 *1000:7 *1001:5 0 +9 *1000:7 *1223:7 0 +10 *1000:7 *1251:16 0 +11 *1000:7 *1633:11 0 +12 *1000:7 *1638:10 0 +13 *1000:7 *1640:7 0 +14 *1000:10 *1001:8 0.0598743 +15 *1000:10 *1002:8 0.0590864 +16 *1000:10 *1254:8 0.000226394 +17 *2821:la_data_in[40] *1000:7 0 +18 *934:14 *1000:10 0.00015065 +19 *991:10 *1000:10 8.40274e-05 +*RES +1 *2820:la_data_in_core[70] *1000:5 2.33274 +2 *1000:5 *1000:7 61.0054 +3 *1000:7 *1000:9 4.5 +4 *1000:9 *1000:10 639.072 +5 *1000:10 *2821:la_data_in[70] 25.971 +*END + +*D_NET *1001 0.137716 +*CONN +*I *2821:la_data_in[71] I *D user_project_wrapper +*I *2820:la_data_in_core[71] O *D mgmt_protect +*CAP +1 *2821:la_data_in[71] 0.00108697 +2 *2820:la_data_in_core[71] 0.00265808 +3 *1001:8 0.00632057 +4 *1001:7 0.0052336 +5 *1001:5 0.00265808 +6 *1001:5 *2820:la_data_out_core[71] 0 +7 *1001:5 *1223:7 0.000178219 +8 *1001:5 *1640:7 0 +9 *1001:8 *1002:8 0.000921763 +10 *1001:8 *1254:8 0.0585022 +11 *934:14 *1001:8 0.000282057 +12 *1000:7 *1001:5 0 +13 *1000:10 *1001:8 0.0598743 +*RES +1 *2820:la_data_in_core[71] *1001:5 60.5047 +2 *1001:5 *1001:7 4.5 +3 *1001:7 *1001:8 655.156 +4 *1001:8 *2821:la_data_in[71] 26.3862 +*END + +*D_NET *1002 0.140629 +*CONN +*I *2821:la_data_in[72] I *D user_project_wrapper +*I *2820:la_data_in_core[72] O *D mgmt_protect +*CAP +1 *2821:la_data_in[72] 0.00105095 +2 *2820:la_data_in_core[72] 0.00276882 +3 *1002:8 0.00621377 +4 *1002:7 0.00516282 +5 *1002:5 0.00276882 +6 *1002:5 *2820:la_data_out_core[71] 0 +7 *1002:5 *2820:la_data_out_core[72] 0 +8 *1002:5 *2821:la_oenb[40] 0 +9 *1002:5 *1641:7 0 +10 *1002:5 *1642:7 0 +11 *1002:8 *1003:8 0.0623533 +12 *2821:la_data_in[41] *1002:5 0 +13 *934:14 *1002:8 0.000163504 +14 *991:10 *1002:8 0.000139227 +15 *1000:10 *1002:8 0.0590864 +16 *1001:8 *1002:8 0.000921763 +*RES +1 *2820:la_data_in_core[72] *1002:5 61.3352 +2 *1002:5 *1002:7 4.5 +3 *1002:7 *1002:8 667.911 +4 *1002:8 *2821:la_data_in[72] 25.5557 +*END + +*D_NET *1003 0.143719 +*CONN +*I *2821:la_data_in[73] I *D user_project_wrapper +*I *2820:la_data_in_core[73] O *D mgmt_protect +*CAP +1 *2821:la_data_in[73] 0.00102952 +2 *2820:la_data_in_core[73] 0.00269339 +3 *1003:8 0.00632417 +4 *1003:7 0.00529466 +5 *1003:5 0.00269339 +6 *1003:5 *2820:la_data_out_core[73] 0 +7 *1003:5 *1224:7 0 +8 *1003:5 *1642:7 0 +9 *1003:8 *1006:8 0.0621473 +10 *2821:la_data_in[41] *1003:5 0 +11 *934:14 *1003:8 0.000181021 +12 *970:13 *1003:5 0.000419953 +13 *991:10 *1003:8 0.000582801 +14 *1002:8 *1003:8 0.0623533 +*RES +1 *2820:la_data_in_core[73] *1003:5 61.7504 +2 *1003:5 *1003:7 4.5 +3 *1003:7 *1003:8 681.222 +4 *1003:8 *2821:la_data_in[73] 25.1405 +*END + +*D_NET *1004 0.152728 +*CONN +*I *2821:la_data_in[74] I *D user_project_wrapper +*I *2820:la_data_in_core[74] O *D mgmt_protect +*CAP +1 *2821:la_data_in[74] 0.00394612 +2 *2820:la_data_in_core[74] 0.000395504 +3 *1004:10 0.00394612 +4 *1004:8 0.00539654 +5 *1004:7 0.00579204 +6 *1004:7 *2820:la_data_out_core[74] 0 +7 *1004:7 *1643:7 0 +8 *1004:8 *1005:8 0.0646124 +9 *1004:8 *1181:14 0.0320563 +10 *1004:8 *1190:16 0.00350507 +11 *1004:8 *1242:14 0.0272462 +12 *1004:8 *1252:8 0.000189848 +13 *986:8 *1004:8 0 +14 *986:14 *1004:8 0.00564177 +*RES +1 *2820:la_data_in_core[74] *1004:7 13.9287 +2 *1004:7 *1004:8 694.532 +3 *1004:8 *1004:10 4.5 +4 *1004:10 *2821:la_data_in[74] 72.9623 +*END + +*D_NET *1005 0.152575 +*CONN +*I *2821:la_data_in[75] I *D user_project_wrapper +*I *2820:la_data_in_core[75] O *D mgmt_protect +*CAP +1 *2821:la_data_in[75] 0.00390252 +2 *2820:la_data_in_core[75] 0.00041694 +3 *1005:10 0.00390252 +4 *1005:8 0.00596722 +5 *1005:7 0.00638416 +6 *1005:7 *1261:11 0 +7 *1005:7 *1644:7 0 +8 *1005:8 *1011:8 0.0629501 +9 *1005:8 *1181:14 0.000941784 +10 *1005:8 *1190:16 0.00349756 +11 *986:8 *1005:8 0 +12 *1004:8 *1005:8 0.0646124 +*RES +1 *2820:la_data_in_core[75] *1005:7 14.3439 +2 *1005:7 *1005:8 707.843 +3 *1005:8 *1005:10 4.5 +4 *1005:10 *2821:la_data_in[75] 72.547 +*END + +*D_NET *1006 0.149884 +*CONN +*I *2821:la_data_in[76] I *D user_project_wrapper +*I *2820:la_data_in_core[76] O *D mgmt_protect +*CAP +1 *2821:la_data_in[76] 0.00100639 +2 *2820:la_data_in_core[76] 0.00278138 +3 *1006:8 0.00707246 +4 *1006:7 0.00606607 +5 *1006:5 0.00278138 +6 *1006:5 *2820:la_data_out_core[76] 0 +7 *1006:5 *1225:7 0.000160045 +8 *1006:5 *1261:11 0 +9 *1006:5 *1645:7 0 +10 *1006:5 *1646:5 0 +11 *1006:8 *1007:10 0.06726 +12 *2821:la_data_in[42] *1006:5 0 +13 *934:14 *1006:8 0.000196901 +14 *991:10 *1006:8 0.000412036 +15 *1003:8 *1006:8 0.0621473 +*RES +1 *2820:la_data_in_core[76] *1006:5 62.1657 +2 *1006:5 *1006:7 4.5 +3 *1006:7 *1006:8 721.153 +4 *1006:8 *2821:la_data_in[76] 24.7252 +*END + +*D_NET *1007 0.155624 +*CONN +*I *2821:la_data_in[77] I *D user_project_wrapper +*I *2820:la_data_in_core[77] O *D mgmt_protect +*CAP +1 *2821:la_data_in[77] 0.000979125 +2 *2820:la_data_in_core[77] 6.22868e-05 +3 *1007:10 0.00649903 +4 *1007:9 0.0055199 +5 *1007:7 0.00214642 +6 *1007:5 0.0022087 +7 *1007:7 *2820:la_data_out_core[77] 0.00239095 +8 *1007:7 *2821:la_oenb[42] 0.000368764 +9 *1007:7 *1231:13 0 +10 *1007:7 *1646:5 0 +11 *1007:10 *1250:16 0.00416455 +12 *2821:la_data_in[43] *1007:7 0 +13 *2821:la_data_in[62] *1007:10 0.000546946 +14 *934:14 *1007:10 0.000196901 +15 *935:14 *1007:10 0.000513755 +16 *935:16 *1007:10 0.0258299 +17 *991:10 *1007:10 0.0369371 +18 *1006:8 *1007:10 0.06726 +*RES +1 *2820:la_data_in_core[77] *1007:5 1.77093 +2 *1007:5 *1007:7 62.6664 +3 *1007:7 *1007:9 4.5 +4 *1007:9 *1007:10 732.8 +5 *1007:10 *2821:la_data_in[77] 24.31 +*END + +*D_NET *1008 0.111312 +*CONN +*I *2821:la_data_in[78] I *D user_project_wrapper +*I *2820:la_data_in_core[78] O *D mgmt_protect +*CAP +1 *2821:la_data_in[78] 0.000408389 +2 *2820:la_data_in_core[78] 0.00269521 +3 *1008:8 0.0169735 +4 *1008:7 0.0165651 +5 *1008:5 0.00269521 +6 *1008:5 *2820:la_data_out_core[77] 0 +7 *1008:5 *2820:la_data_out_core[78] 0 +8 *1008:5 *1231:13 0.000814381 +9 *1008:5 *1635:17 8.87047e-05 +10 *1008:5 *1647:7 0 +11 *1008:5 *1648:5 0 +12 *1008:8 *1009:8 0.0701871 +13 *2821:la_data_in[43] *1008:5 0.000471141 +14 *997:13 *1008:5 0.000158885 +15 *998:13 *1008:5 0.000254182 +*RES +1 *2820:la_data_in_core[78] *1008:5 71.3012 +2 *1008:5 *1008:7 4.5 +3 *1008:7 *1008:8 748.329 +4 *1008:8 *2821:la_data_in[78] 15.5897 +*END + +*D_NET *1009 0.158972 +*CONN +*I *2821:la_data_in[79] I *D user_project_wrapper +*I *2820:la_data_in_core[79] O *D mgmt_protect +*CAP +1 *2821:la_data_in[79] 0.000427089 +2 *2820:la_data_in_core[79] 0.00304267 +3 *1009:8 0.00618075 +4 *1009:7 0.00575366 +5 *1009:5 0.00304267 +6 *1009:5 *2820:la_data_out_core[79] 0 +7 *1009:5 *2821:la_oenb[43] 0 +8 *1009:5 *1226:7 0.000409907 +9 *1009:5 *1648:5 0 +10 *1009:5 *1649:7 0 +11 *1009:8 *1013:8 0.069928 +12 *1008:8 *1009:8 0.0701871 +*RES +1 *2820:la_data_in_core[79] *1009:5 70.886 +2 *1009:5 *1009:7 4.5 +3 *1009:7 *1009:8 761.085 +4 *1009:8 *2821:la_data_in[79] 16.0049 +*END + +*D_NET *1010 0.0395761 +*CONN +*I *2821:la_data_in[7] I *D user_project_wrapper +*I *2820:la_data_in_core[7] O *D mgmt_protect +*CAP +1 *2821:la_data_in[7] 0.00235858 +2 *2820:la_data_in_core[7] 0.000919689 +3 *1010:10 0.00235858 +4 *1010:8 0.00340318 +5 *1010:7 0.00432287 +6 *2821:la_data_in[7] *1862:11 0.000262548 +7 *2821:la_data_in[7] *1926:7 0 +8 *1010:7 *2820:la_data_out_core[7] 0 +9 *1010:7 *1639:7 0 +10 *1010:8 *1200:12 0 +11 *1010:8 *1650:8 0 +12 *1010:8 *1808:8 0.000435263 +13 *1010:8 *1812:8 1.59204e-05 +14 *1010:8 *1874:8 0.00829871 +15 *1010:8 *1940:8 0.0100634 +16 *1010:8 *1942:8 0.000384979 +17 *905:10 *1010:8 0.00404321 +18 *977:10 *1010:8 0.00270909 +*RES +1 *2820:la_data_in_core[7] *1010:7 30.1235 +2 *1010:7 *1010:8 198.717 +3 *1010:8 *1010:10 4.5 +4 *1010:10 *2821:la_data_in[7] 56.7674 +*END + +*D_NET *1011 0.164026 +*CONN +*I *2821:la_data_in[80] I *D user_project_wrapper +*I *2820:la_data_in_core[80] O *D mgmt_protect +*CAP +1 *2821:la_data_in[80] 0.00378279 +2 *2820:la_data_in_core[80] 0.000438376 +3 *1011:10 0.00378279 +4 *1011:8 0.00635323 +5 *1011:7 0.00679161 +6 *1011:7 *2820:la_data_out_core[80] 0 +7 *1011:7 *1649:7 0 +8 *1011:8 *1012:8 0.0723968 +9 *1011:8 *1181:14 0.00272074 +10 *1011:8 *1188:14 0.00453687 +11 *915:8 *1011:8 0.00022447 +12 *986:8 *1011:8 4.77612e-05 +13 *1005:8 *1011:8 0.0629501 +*RES +1 *2820:la_data_in_core[80] *1011:7 14.7592 +2 *1011:7 *1011:8 774.95 +3 *1011:8 *1011:10 4.5 +4 *1011:10 *2821:la_data_in[80] 72.1318 +*END + +*D_NET *1012 0.17047 +*CONN +*I *2821:la_data_in[81] I *D user_project_wrapper +*I *2820:la_data_in_core[81] O *D mgmt_protect +*CAP +1 *2821:la_data_in[81] 0.00374332 +2 *2820:la_data_in_core[81] 0.000459812 +3 *1012:10 0.00374332 +4 *1012:8 0.00600436 +5 *1012:7 0.00646417 +6 *1012:7 *2820:la_data_out_core[81] 0 +7 *1012:7 *1651:7 0 +8 *1012:8 *1015:8 0.0721892 +9 *1012:8 *1181:14 0.000383561 +10 *1012:8 *1188:14 0.00417099 +11 *915:8 *1012:8 0.000535583 +12 *986:8 *1012:8 0.000379223 +13 *1011:8 *1012:8 0.0723968 +*RES +1 *2820:la_data_in_core[81] *1012:7 15.1744 +2 *1012:7 *1012:8 787.706 +3 *1012:8 *1012:10 4.5 +4 *1012:10 *2821:la_data_in[81] 71.7165 +*END + +*D_NET *1013 0.165593 +*CONN +*I *2821:la_data_in[82] I *D user_project_wrapper +*I *2820:la_data_in_core[82] O *D mgmt_protect +*CAP +1 *2821:la_data_in[82] 0.000446153 +2 *2820:la_data_in_core[82] 0.00323357 +3 *1013:8 0.00689217 +4 *1013:7 0.00644602 +5 *1013:5 0.00323357 +6 *1013:5 *2820:la_data_out_core[81] 0 +7 *1013:5 *2820:la_data_out_core[82] 0 +8 *1013:5 *2821:la_oenb[44] 0 +9 *1013:5 *1227:7 0 +10 *1013:5 *1652:7 0 +11 *1013:8 *1014:8 0.0752402 +12 *1013:8 *1176:8 0.00017378 +13 *1009:8 *1013:8 0.069928 +*RES +1 *2820:la_data_in_core[82] *1013:5 70.4707 +2 *1013:5 *1013:7 4.5 +3 *1013:7 *1013:8 801.571 +4 *1013:8 *2821:la_data_in[82] 16.4202 +*END + +*D_NET *1014 0.170739 +*CONN +*I *2821:la_data_in[83] I *D user_project_wrapper +*I *2820:la_data_in_core[83] O *D mgmt_protect +*CAP +1 *2821:la_data_in[83] 0.000465272 +2 *2820:la_data_in_core[83] 0.00276952 +3 *1014:8 0.00657078 +4 *1014:7 0.0061055 +5 *1014:5 0.00276952 +6 *1014:5 *2820:la_data_out_core[83] 0 +7 *1014:5 *2821:la_oenb[44] 0 +8 *1014:5 *1241:13 0.000859862 +9 *1014:5 *1265:13 0.000134238 +10 *1014:5 *1653:7 0 +11 *1014:8 *1017:8 0.0749876 +12 *1014:8 *1176:8 0.000385925 +13 *2821:la_data_in[45] *1014:5 0.000450585 +14 *1013:8 *1014:8 0.0752402 +*RES +1 *2820:la_data_in_core[83] *1014:5 70.0555 +2 *1014:5 *1014:7 4.5 +3 *1014:7 *1014:8 814.327 +4 *1014:8 *2821:la_data_in[83] 16.8354 +*END + +*D_NET *1015 0.178369 +*CONN +*I *2821:la_data_in[84] I *D user_project_wrapper +*I *2820:la_data_in_core[84] O *D mgmt_protect +*CAP +1 *2821:la_data_in[84] 0.00365093 +2 *2820:la_data_in_core[84] 0.000481249 +3 *1015:10 0.00365093 +4 *1015:8 0.00662268 +5 *1015:7 0.00710393 +6 *1015:7 *2820:la_data_out_core[84] 0 +7 *1015:7 *1654:7 0 +8 *1015:8 *1016:8 0.077566 +9 *1015:8 *1181:14 0.000420688 +10 *915:8 *1015:8 0.00649023 +11 *986:8 *1015:8 0.000193373 +12 *1012:8 *1015:8 0.0721892 +*RES +1 *2820:la_data_in_core[84] *1015:7 15.5897 +2 *1015:7 *1015:8 828.192 +3 *1015:8 *1015:10 4.5 +4 *1015:10 *2821:la_data_in[84] 71.3012 +*END + +*D_NET *1016 0.182785 +*CONN +*I *2821:la_data_in[85] I *D user_project_wrapper +*I *2820:la_data_in_core[85] O *D mgmt_protect +*CAP +1 *2821:la_data_in[85] 0.00360733 +2 *2820:la_data_in_core[85] 0.000502685 +3 *1016:10 0.00360733 +4 *1016:8 0.00621757 +5 *1016:7 0.00672026 +6 *1016:7 *2820:la_data_out_core[85] 0 +7 *1016:7 *1655:5 0 +8 *1016:8 *1019:8 0.0773585 +9 *1016:8 *1181:14 0 +10 *915:8 *1016:8 0.00623473 +11 *986:8 *1016:8 0.000970475 +12 *1015:8 *1016:8 0.077566 +*RES +1 *2820:la_data_in_core[85] *1016:7 16.0049 +2 *1016:7 *1016:8 840.948 +3 *1016:8 *1016:10 4.5 +4 *1016:10 *2821:la_data_in[85] 70.886 +*END + +*D_NET *1017 0.178307 +*CONN +*I *2821:la_data_in[86] I *D user_project_wrapper +*I *2820:la_data_in_core[86] O *D mgmt_protect +*CAP +1 *2821:la_data_in[86] 0.000484392 +2 *2820:la_data_in_core[86] 0.00255273 +3 *1017:8 0.00727239 +4 *1017:7 0.006788 +5 *1017:5 0.00255273 +6 *1017:5 *2820:la_data_out_core[86] 0 +7 *1017:5 *1656:7 0 +8 *1017:5 *1657:5 0 +9 *1017:8 *1018:8 0.0802999 +10 *1017:8 *1178:8 0.000535823 +11 *2821:la_data_in[46] *1017:5 0.00283316 +12 *1014:8 *1017:8 0.0749876 +*RES +1 *2820:la_data_in_core[86] *1017:5 69.6402 +2 *1017:5 *1017:7 4.5 +3 *1017:7 *1017:8 854.813 +4 *1017:8 *2821:la_data_in[86] 17.2507 +*END + +*D_NET *1018 0.182113 +*CONN +*I *2821:la_data_in[87] I *D user_project_wrapper +*I *2820:la_data_in_core[87] O *D mgmt_protect +*CAP +1 *2821:la_data_in[87] 0.000503511 +2 *2820:la_data_in_core[87] 0.00311999 +3 *1018:8 0.0068421 +4 *1018:7 0.00633859 +5 *1018:5 0.00311999 +6 *1018:5 *2820:la_data_out_core[87] 0 +7 *1018:5 *2821:la_oenb[46] 0 +8 *1018:5 *1229:7 0.000278455 +9 *1018:5 *1622:17 0 +10 *1018:5 *1657:5 0 +11 *1018:8 *1020:8 0.080831 +12 *1018:8 *1178:8 0.000779727 +13 *1017:8 *1018:8 0.0802999 +*RES +1 *2820:la_data_in_core[87] *1018:5 69.225 +2 *1018:5 *1018:7 4.5 +3 *1018:7 *1018:8 868.123 +4 *1018:8 *2821:la_data_in[87] 17.6659 +*END + +*D_NET *1019 0.190056 +*CONN +*I *2821:la_data_in[88] I *D user_project_wrapper +*I *2820:la_data_in_core[88] O *D mgmt_protect +*CAP +1 *2821:la_data_in[88] 0.0035213 +2 *2820:la_data_in_core[88] 0.000524121 +3 *1019:10 0.0035213 +4 *1019:8 0.00699374 +5 *1019:7 0.00751786 +6 *1019:7 *2820:la_data_out_core[88] 0 +7 *1019:7 *1658:7 0 +8 *1019:8 *1177:8 0.000402056 +9 *1019:8 *1179:8 0.06218 +10 *1019:8 *1181:14 0 +11 *917:8 *1019:8 0.00767956 +12 *985:8 *1019:8 3.07726e-05 +13 *985:14 *1019:8 0.00353079 +14 *986:8 *1019:8 0.0167957 +15 *1016:8 *1019:8 0.0773585 +*RES +1 *2820:la_data_in_core[88] *1019:7 16.4202 +2 *1019:7 *1019:8 881.434 +3 *1019:8 *1019:10 4.5 +4 *1019:10 *2821:la_data_in[88] 70.4707 +*END + +*D_NET *1020 0.18629 +*CONN +*I *2821:la_data_in[89] I *D user_project_wrapper +*I *2820:la_data_in_core[89] O *D mgmt_protect +*CAP +1 *2821:la_data_in[89] 0.000522631 +2 *2820:la_data_in_core[89] 0.00324031 +3 *1020:8 0.00735895 +4 *1020:7 0.00683632 +5 *1020:5 0.00324031 +6 *1020:5 *2820:la_data_out_core[89] 0 +7 *1020:5 *1230:7 0 +8 *1020:5 *1275:24 8.24277e-06 +9 *1020:5 *1659:5 0 +10 *1020:8 *1023:8 0.0833543 +11 *1020:8 *1180:8 0.000897866 +12 *2821:la_data_in[47] *1020:5 0 +13 *1018:8 *1020:8 0.080831 +*RES +1 *2820:la_data_in_core[89] *1020:5 68.8097 +2 *1020:5 *1020:7 4.5 +3 *1020:7 *1020:8 894.744 +4 *1020:8 *2821:la_data_in[89] 18.0812 +*END + +*D_NET *1021 0.0429342 +*CONN +*I *2821:la_data_in[8] I *D user_project_wrapper +*I *2820:la_data_in_core[8] O *D mgmt_protect +*CAP +1 *2821:la_data_in[8] 0.00169764 +2 *2820:la_data_in_core[8] 0.00129064 +3 *1021:10 0.00169764 +4 *1021:8 0.00153393 +5 *1021:7 0.00282456 +6 *2821:la_data_in[8] *2820:mprj_dat_i_user[17] 0 +7 *2821:la_data_in[8] *1797:7 0.000760226 +8 *2821:la_data_in[8] *1929:5 0 +9 *1021:7 *2820:la_data_out_core[7] 0 +10 *1021:7 *2820:la_data_out_core[8] 0 +11 *1021:7 *1650:7 0 +12 *1021:8 *1032:8 0.0155046 +13 *1021:8 *1266:8 0.0169867 +14 *1021:8 *1277:8 0.000233938 +15 *1021:8 *1798:8 0.000108585 +16 *1021:8 *1805:8 0 +17 *1021:8 *1865:12 0.000118245 +18 *1021:8 *1867:12 0.000177516 +*RES +1 *2820:la_data_in_core[8] *1021:7 40.5048 +2 *1021:7 *1021:8 185.406 +3 *1021:8 *1021:10 4.5 +4 *1021:10 *2821:la_data_in[8] 46.3861 +*END + +*D_NET *1022 0.141078 +*CONN +*I *2821:la_data_in[90] I *D user_project_wrapper +*I *2820:la_data_in_core[90] O *D mgmt_protect +*CAP +1 *2821:la_data_in[90] 0.00290619 +2 *2820:la_data_in_core[90] 0.000905941 +3 *1022:10 0.00290619 +4 *1022:8 0.0172378 +5 *1022:7 0.0181438 +6 *1022:7 *1278:17 0 +7 *1022:7 *1660:7 0 +8 *1022:8 *1024:8 0.0847384 +9 *1022:8 *1173:8 0.0078133 +10 *1022:8 *1279:14 0.000351996 +11 *1022:8 *1546:13 0.000134328 +12 *1022:8 *1547:8 0.00502529 +13 *1022:8 *1565:8 0.000342125 +14 *1022:8 *1615:8 0.000572433 +15 *1022:8 *1633:14 0 +16 *975:8 *1022:8 0 +*RES +1 *2820:la_data_in_core[90] *1022:7 25.1405 +2 *1022:7 *1022:8 908.055 +3 *1022:8 *1022:10 4.5 +4 *1022:10 *2821:la_data_in[90] 61.7504 +*END + +*D_NET *1023 0.192035 +*CONN +*I *2821:la_data_in[91] I *D user_project_wrapper +*I *2820:la_data_in_core[91] O *D mgmt_protect +*CAP +1 *2821:la_data_in[91] 0.000541751 +2 *2820:la_data_in_core[91] 0.00297252 +3 *1023:8 0.00758187 +4 *1023:7 0.00704012 +5 *1023:5 0.00297252 +6 *1023:5 *2820:la_data_out_core[91] 0 +7 *1023:5 *2821:la_oenb[47] 0 +8 *1023:5 *1662:5 0 +9 *1023:8 *1025:10 0.0857313 +10 *1023:8 *1180:8 0.00116565 +11 *1023:8 *1243:8 0 +12 *2821:la_data_in[48] *1023:5 0.000674491 +13 *1020:8 *1023:8 0.0833543 +*RES +1 *2820:la_data_in_core[91] *1023:5 68.3945 +2 *1023:5 *1023:7 4.5 +3 *1023:7 *1023:8 921.365 +4 *1023:8 *2821:la_data_in[91] 18.4964 +*END + +*D_NET *1024 0.202533 +*CONN +*I *2821:la_data_in[92] I *D user_project_wrapper +*I *2820:la_data_in_core[92] O *D mgmt_protect +*CAP +1 *2821:la_data_in[92] 0.00289186 +2 *2820:la_data_in_core[92] 0.000884505 +3 *1024:10 0.00289186 +4 *1024:8 0.00691544 +5 *1024:7 0.00779995 +6 *1024:7 *1280:11 0 +7 *1024:7 *1663:7 0 +8 *1024:8 *1026:8 0.0873133 +9 *1024:8 *1173:8 0.0090973 +10 *1024:8 *1547:8 0 +11 *976:8 *1024:8 0 +12 *1022:8 *1024:8 0.0847384 +*RES +1 *2820:la_data_in_core[92] *1024:7 24.7252 +2 *1024:7 *1024:8 934.676 +3 *1024:8 *1024:10 4.5 +4 *1024:10 *2821:la_data_in[92] 62.1657 +*END + +*D_NET *1025 0.197747 +*CONN +*I *2821:la_data_in[93] I *D user_project_wrapper +*I *2820:la_data_in_core[93] O *D mgmt_protect +*CAP +1 *2821:la_data_in[93] 0.00056087 +2 *2820:la_data_in_core[93] 6.22868e-05 +3 *1025:10 0.0077028 +4 *1025:9 0.00714193 +5 *1025:7 0.00281616 +6 *1025:5 0.00287845 +7 *1025:7 *2820:la_data_out_core[93] 0.000636851 +8 *1025:7 *2821:la_oenb[48] 0.000550387 +9 *1025:7 *1280:11 0 +10 *1025:7 *1664:7 0 +11 *1025:7 *1665:7 0 +12 *1025:10 *1027:8 0.0884204 +13 *1025:10 *1182:8 0.00124543 +14 *1025:10 *1243:8 0 +15 *2821:la_data_in[49] *1025:7 0 +16 *1023:8 *1025:10 0.0857313 +*RES +1 *2820:la_data_in_core[93] *1025:5 1.77093 +2 *1025:5 *1025:7 68.0647 +3 *1025:7 *1025:9 4.5 +4 *1025:9 *1025:10 946.323 +5 *1025:10 *2821:la_data_in[93] 18.9117 +*END + +*D_NET *1026 0.207766 +*CONN +*I *2821:la_data_in[94] I *D user_project_wrapper +*I *2820:la_data_in_core[94] O *D mgmt_protect +*CAP +1 *2821:la_data_in[94] 0.00286836 +2 *2820:la_data_in_core[94] 0.00081314 +3 *1026:10 0.00286836 +4 *1026:8 0.00701921 +5 *1026:7 0.00783235 +6 *1026:7 *2820:la_data_out_core[94] 0 +7 *1026:7 *1665:7 0 +8 *1026:8 *1028:8 0.0898883 +9 *1026:8 *1175:8 0.00892123 +10 *1026:8 *1547:8 0 +11 *2821:la_data_in[49] *1026:7 0.000241556 +12 *978:8 *1026:8 0 +13 *1024:8 *1026:8 0.0873133 +*RES +1 *2820:la_data_in_core[94] *1026:7 24.31 +2 *1026:7 *1026:8 961.297 +3 *1026:8 *1026:10 4.5 +4 *1026:10 *2821:la_data_in[94] 62.5809 +*END + +*D_NET *1027 0.203364 +*CONN +*I *2821:la_data_in[95] I *D user_project_wrapper +*I *2820:la_data_in_core[95] O *D mgmt_protect +*CAP +1 *2821:la_data_in[95] 0.00057999 +2 *2820:la_data_in_core[95] 0.0032928 +3 *1027:8 0.00793126 +4 *1027:7 0.00735127 +5 *1027:5 0.0032928 +6 *1027:5 *2820:la_data_out_core[95] 0 +7 *1027:5 *2821:la_oenb[49] 0 +8 *1027:5 *1232:5 0 +9 *1027:5 *1666:7 0 +10 *1027:8 *1029:8 0.0909438 +11 *1027:8 *1182:8 0.00155158 +12 *1027:8 *1243:8 0 +13 *1025:10 *1027:8 0.0884204 +*RES +1 *2820:la_data_in_core[95] *1027:5 67.564 +2 *1027:5 *1027:7 4.5 +3 *1027:7 *1027:8 974.607 +4 *1027:8 *2821:la_data_in[95] 19.3269 +*END + +*D_NET *1028 0.214279 +*CONN +*I *2821:la_data_in[96] I *D user_project_wrapper +*I *2820:la_data_in_core[96] O *D mgmt_protect +*CAP +1 *2821:la_data_in[96] 0.00284411 +2 *2820:la_data_in_core[96] 0.000848463 +3 *1028:10 0.00284411 +4 *1028:8 0.00713629 +5 *1028:7 0.00798476 +6 *1028:7 *2820:la_data_out_core[96] 0 +7 *1028:7 *1667:7 0 +8 *1028:8 *1030:8 0.092423 +9 *1028:8 *1175:8 0.0103103 +10 *1028:8 *1547:8 0 +11 *978:8 *1028:8 0 +12 *979:8 *1028:8 0 +13 *1026:8 *1028:8 0.0898883 +*RES +1 *2820:la_data_in_core[96] *1028:7 23.8947 +2 *1028:7 *1028:8 987.918 +3 *1028:8 *1028:10 4.5 +4 *1028:10 *2821:la_data_in[96] 62.9962 +*END + +*D_NET *1029 0.208881 +*CONN +*I *2821:la_data_in[97] I *D user_project_wrapper +*I *2820:la_data_in_core[97] O *D mgmt_protect +*CAP +1 *2821:la_data_in[97] 0.00059911 +2 *2820:la_data_in_core[97] 0.00330254 +3 *1029:8 0.00812549 +4 *1029:7 0.00752638 +5 *1029:5 0.00330254 +6 *1029:5 *2820:la_data_out_core[97] 0 +7 *1029:5 *1234:5 0 +8 *1029:5 *1668:5 0 +9 *1029:8 *1031:8 0.0934736 +10 *1029:8 *1185:8 0.00160747 +11 *1029:8 *1243:8 0 +12 *2821:la_data_in[50] *1029:5 0 +13 *1027:8 *1029:8 0.0909438 +*RES +1 *2820:la_data_in_core[97] *1029:5 67.1487 +2 *1029:5 *1029:7 4.5 +3 *1029:7 *1029:8 1001.23 +4 *1029:8 *2821:la_data_in[97] 19.7422 +*END + +*D_NET *1030 0.219158 +*CONN +*I *2821:la_data_in[98] I *D user_project_wrapper +*I *2820:la_data_in_core[98] O *D mgmt_protect +*CAP +1 *2821:la_data_in[98] 0.0028208 +2 *2820:la_data_in_core[98] 0.000827027 +3 *1030:10 0.0028208 +4 *1030:8 0.0072722 +5 *1030:7 0.00809922 +6 *1030:7 *1286:11 0 +7 *1030:7 *1669:7 0 +8 *1030:8 *1162:8 0.0948515 +9 *1030:8 *1547:8 0 +10 *920:8 *1030:8 0.0100437 +11 *979:8 *1030:8 0 +12 *980:8 *1030:8 0 +13 *1028:8 *1030:8 0.092423 +*RES +1 *2820:la_data_in_core[98] *1030:7 23.4795 +2 *1030:7 *1030:8 1014.54 +3 *1030:8 *1030:10 4.5 +4 *1030:10 *2821:la_data_in[98] 63.4115 +*END + +*D_NET *1031 0.214507 +*CONN +*I *2821:la_data_in[99] I *D user_project_wrapper +*I *2820:la_data_in_core[99] O *D mgmt_protect +*CAP +1 *2821:la_data_in[99] 0.000618229 +2 *2820:la_data_in_core[99] 0.00306053 +3 *1031:8 0.00838824 +4 *1031:7 0.00777001 +5 *1031:5 0.00306053 +6 *1031:5 *2820:la_data_out_core[99] 0 +7 *1031:5 *2821:la_oenb[50] 0 +8 *1031:5 *1286:11 0 +9 *1031:5 *1670:5 0 +10 *1031:8 *1163:8 0.0955786 +11 *1031:8 *1185:8 0.00194538 +12 *1031:8 *1243:8 0 +13 *2821:la_data_in[51] *1031:5 0.000612065 +14 *1029:8 *1031:8 0.0934736 +*RES +1 *2820:la_data_in_core[99] *1031:5 66.7335 +2 *1031:5 *1031:7 4.5 +3 *1031:7 *1031:8 1027.85 +4 *1031:8 *2821:la_data_in[99] 20.1574 +*END + +*D_NET *1032 0.0411013 +*CONN +*I *2821:la_data_in[9] I *D user_project_wrapper +*I *2820:la_data_in_core[9] O *D mgmt_protect +*CAP +1 *2821:la_data_in[9] 0.00139523 +2 *2820:la_data_in_core[9] 0.0012916 +3 *1032:10 0.00139523 +4 *1032:8 0.0013816 +5 *1032:7 0.0026732 +6 *2821:la_data_in[9] *1801:7 0.000944062 +7 *2821:la_data_in[9] *1931:5 0.000827593 +8 *1032:7 *2820:la_data_out_core[8] 0 +9 *1032:7 *2820:la_data_out_core[9] 0 +10 *1032:7 *1661:7 0 +11 *1032:8 *1277:8 6.44576e-05 +12 *1032:8 *1288:8 0.0156237 +13 *1032:8 *1805:8 0 +14 *1021:8 *1032:8 0.0155046 +*RES +1 *2820:la_data_in_core[9] *1032:7 40.9201 +2 *1032:7 *1032:8 171.541 +3 *1032:8 *1032:10 4.5 +4 *1032:10 *2821:la_data_in[9] 45.9709 +*END + +*D_NET *1033 0.196299 +*CONN +*I *2820:la_data_out_mprj[0] I *D mgmt_protect +*I *2826:la_output[0] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[0] 0.00199587 +2 *2826:la_output[0] 0.0017573 +3 *1033:10 0.0156535 +4 *1033:9 0.0136576 +5 *1033:7 0.0017573 +6 *2820:la_data_out_mprj[0] *2820:la_iena_mprj[0] 0 +7 *2820:la_data_out_mprj[0] *1096:7 0 +8 *2820:la_data_out_mprj[0] *1480:15 0 +9 *1033:7 *1328:7 0 +10 *1033:7 *1417:9 0 +11 *1033:10 *1069:10 0.0525532 +12 *1033:10 *1323:10 0 +13 *777:5 *2820:la_data_out_mprj[0] 0.000510561 +14 *813:8 *1033:10 0.000480973 +15 *816:8 *1033:10 0.0889079 +16 *827:8 *1033:10 0.0129115 +17 *871:8 *1033:10 0.0061135 +*RES +1 *2826:la_output[0] *1033:7 47.3387 +2 *1033:7 *1033:9 3.36879 +3 *1033:9 *1033:10 114.326 +4 *1033:10 *2820:la_data_out_mprj[0] 44.9795 +*END + +*D_NET *1034 0.0725951 +*CONN +*I *2820:la_data_out_mprj[100] I *D mgmt_protect +*I *2826:la_output[100] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[100] 0.00165209 +2 *2826:la_output[100] 0.00198546 +3 *1034:12 0.00165209 +4 *1034:10 0.00330508 +5 *1034:9 0.00529054 +6 *2820:la_data_out_mprj[100] *2820:la_oenb_mprj[99] 0 +7 *2820:la_data_out_mprj[100] *1290:13 0 +8 *2820:la_data_out_mprj[100] *1399:7 0 +9 *1034:9 *1291:7 0 +10 *1034:9 *1418:9 0 +11 *1034:9 *1828:5 0.000267777 +12 *1034:10 *1038:14 0.002824 +13 *1034:10 *1061:10 0.000389749 +14 *1034:10 *1293:16 0.00102606 +15 *1034:10 *1297:14 1.41853e-05 +16 *1034:10 *1298:10 0.0250186 +17 *778:7 *2820:la_data_out_mprj[100] 0.000332124 +18 *780:11 *1034:9 0 +19 *887:11 *2820:la_data_out_mprj[100] 0 +20 *888:8 *1034:10 0 +21 *903:8 *1034:10 0.0288374 +*RES +1 *2826:la_output[100] *1034:9 48.4557 +2 *1034:9 *1034:10 336.813 +3 *1034:10 *1034:12 4.5 +4 *1034:12 *2820:la_data_out_mprj[100] 45.5556 +*END + +*D_NET *1035 0.0751094 +*CONN +*I *2820:la_data_out_mprj[101] I *D mgmt_protect +*I *2826:la_output[101] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[101] 0.000794657 +2 *2826:la_output[101] 0.00141602 +3 *1035:16 0.00283388 +4 *1035:15 0.00358149 +5 *1035:10 0.00312995 +6 *1035:9 0.0030037 +7 *2820:la_data_out_mprj[101] *2820:la_iena_mprj[101] 0 +8 *2820:la_data_out_mprj[101] *2820:la_oenb_mprj[100] 0 +9 *2820:la_data_out_mprj[101] *2820:la_oenb_mprj[101] 0 +10 *1035:9 *1292:7 0 +11 *1035:9 *1294:15 0.000160138 +12 *1035:10 *1036:10 0.00933349 +13 *1035:10 *1156:10 0.00167914 +14 *1035:10 *1412:10 0.000426168 +15 *1035:10 *1413:10 0.000422918 +16 *1035:10 *1415:10 0.000143969 +17 *1035:10 *1420:10 0.000216928 +18 *1035:10 *1826:8 0.00340591 +19 *1035:10 *1851:8 0.00160736 +20 *1035:15 *2820:la_oenb_mprj[127] 0.000753338 +21 *1035:15 *2820:mprj_cyc_o_core 0 +22 *1035:16 *1036:16 0.0205838 +23 *1035:16 *1291:10 0.0211593 +24 *1035:16 *1539:16 3.6408e-05 +25 *779:7 *2820:la_data_out_mprj[101] 0 +26 *782:13 *1035:9 6.62712e-05 +27 *900:8 *1035:16 0.00035468 +*RES +1 *2826:la_output[101] *1035:9 35.5584 +2 *1035:9 *1035:10 121.072 +3 *1035:10 *1035:15 45.7132 +4 *1035:15 *1035:16 229.22 +5 *1035:16 *2820:la_data_out_mprj[101] 24.7252 +*END + +*D_NET *1036 0.0801042 +*CONN +*I *2820:la_data_out_mprj[102] I *D mgmt_protect +*I *2826:la_output[102] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[102] 0.000683126 +2 *2826:la_output[102] 0.00150612 +3 *1036:16 0.00291912 +4 *1036:15 0.00359082 +5 *1036:10 0.00234408 +6 *1036:9 0.00249536 +7 *2820:la_data_out_mprj[102] *2820:la_iena_mprj[102] 0 +8 *2820:la_data_out_mprj[102] *2820:la_oenb_mprj[101] 0 +9 *1036:9 *1037:19 7.37279e-05 +10 *1036:9 *1293:12 0 +11 *1036:9 *1293:15 0 +12 *1036:9 *1420:9 0 +13 *1036:9 *1826:13 0 +14 *1036:10 *1415:10 0.0039112 +15 *1036:10 *1419:10 1.41853e-05 +16 *1036:10 *1826:8 0.000751626 +17 *1036:15 *2820:mprj_dat_o_core[1] 0.000374708 +18 *1036:15 *2820:mprj_sel_o_core[1] 0 +19 *1036:15 *1041:15 0.00106787 +20 *1036:15 *1413:9 2.96378e-05 +21 *1036:15 *1836:5 0.000215045 +22 *1036:16 *1291:10 0.000881427 +23 *780:7 *2820:la_data_out_mprj[102] 0.000349371 +24 *783:14 *1036:10 0.00710789 +25 *900:8 *1036:16 0.0213057 +26 *901:8 *1036:16 0.000565984 +27 *1035:10 *1036:10 0.00933349 +28 *1035:16 *1036:16 0.0205838 +*RES +1 *2826:la_output[102] *1036:9 36.8286 +2 *1036:9 *1036:10 117.745 +3 *1036:10 *1036:15 45.7132 +4 *1036:15 *1036:16 242.531 +5 *1036:16 *2820:la_data_out_mprj[102] 25.1405 +*END + +*D_NET *1037 0.0707371 +*CONN +*I *2820:la_data_out_mprj[103] I *D mgmt_protect +*I *2826:la_output[103] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[103] 0.00140041 +2 *2826:la_output[103] 0.00109936 +3 *1037:20 0.00748543 +4 *1037:19 0.00754583 +5 *1037:12 0.00162468 +6 *1037:9 0.00126324 +7 *2820:la_data_out_mprj[103] *2820:la_iena_mprj[103] 0 +8 *2820:la_data_out_mprj[103] *2820:la_oenb_mprj[102] 0 +9 *1037:9 *1294:9 0 +10 *1037:9 *1421:11 0 +11 *1037:12 *1424:20 0.00210627 +12 *1037:12 *1830:12 0.00211047 +13 *1037:19 *2820:mprj_dat_o_core[18] 0.000322279 +14 *1037:19 *1293:15 0 +15 *1037:19 *1834:5 0.000365113 +16 *1037:20 *1039:20 0 +17 *1037:20 *1040:20 0 +18 *1037:20 *1042:22 0 +19 *1037:20 *1047:10 0.0011561 +20 *1037:20 *1052:10 9.16785e-05 +21 *1037:20 *1306:10 0.0227911 +22 *1037:20 *1308:10 0.0211857 +23 *1037:20 *1540:16 0 +24 *1037:20 *1762:24 0.000108607 +25 *781:7 *2820:la_data_out_mprj[103] 0 +26 *807:13 *1037:19 7.09666e-06 +27 *1036:9 *1037:19 7.37279e-05 +*RES +1 *2826:la_output[103] *1037:9 28.9388 +2 *1037:9 *1037:12 26.8529 +3 *1037:12 *1037:19 36.3034 +4 *1037:19 *1037:20 349.014 +5 *1037:20 *2820:la_data_out_mprj[103] 38.8438 +*END + +*D_NET *1038 0.0746976 +*CONN +*I *2820:la_data_out_mprj[104] I *D mgmt_protect +*I *2826:la_output[104] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[104] 0.00081354 +2 *2826:la_output[104] 0.00195937 +3 *1038:20 0.00443635 +4 *1038:19 0.00475814 +5 *1038:14 0.00214312 +6 *1038:12 0.00111784 +7 *1038:9 0.00206942 +8 *2820:la_data_out_mprj[104] *2820:la_iena_mprj[104] 0 +9 *2820:la_data_out_mprj[104] *1536:13 0 +10 *2820:la_data_out_mprj[104] *1537:13 0.000191669 +11 *1038:9 *2820:mprj_adr_o_core[25] 0.000456946 +12 *1038:9 *1422:12 0 +13 *1038:9 *1842:5 8.7252e-05 +14 *1038:12 *1297:10 0.000948538 +15 *1038:12 *1297:12 1.09551e-05 +16 *1038:12 *1298:10 2.39581e-05 +17 *1038:12 *1309:10 0.000842323 +18 *1038:14 *1061:10 0.00024852 +19 *1038:14 *1297:10 1.67988e-05 +20 *1038:14 *1297:14 0.00943526 +21 *1038:14 *1298:10 0.00292992 +22 *1038:19 *1786:27 0 +23 *1038:19 *1855:5 0 +24 *1038:20 *1041:16 0.00306298 +25 *1038:20 *1292:10 0 +26 *1038:20 *1422:16 0.00015541 +27 *1038:20 *1423:10 0.0241557 +28 *1038:20 *1427:16 7.74604e-05 +29 *1038:20 *1779:34 0.000698415 +30 *1038:20 *1783:30 0.00233313 +31 *2826:la_input[99] *1038:19 8.62625e-06 +32 *782:7 *2820:la_data_out_mprj[104] 0.000317098 +33 *894:12 *1038:20 0.00857486 +34 *1034:10 *1038:14 0.002824 +*RES +1 *2826:la_output[104] *1038:9 47.6252 +2 *1038:9 *1038:12 10.7304 +3 *1038:12 *1038:14 101.107 +4 *1038:14 *1038:19 30.3488 +5 *1038:19 *1038:20 272.479 +6 *1038:20 *2820:la_data_out_mprj[104] 29.293 +*END + +*D_NET *1039 0.0772897 +*CONN +*I *2820:la_data_out_mprj[105] I *D mgmt_protect +*I *2826:la_output[105] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[105] 0.00126706 +2 *2826:la_output[105] 0.0019305 +3 *1039:20 0.00389692 +4 *1039:19 0.00331446 +5 *1039:14 0.00304615 +6 *1039:12 0.00307702 +7 *1039:9 0.00264596 +8 *2820:la_data_out_mprj[105] *2820:la_iena_mprj[105] 0 +9 *2820:la_data_out_mprj[105] *2820:la_oenb_mprj[104] 0 +10 *2820:la_data_out_mprj[105] *2820:la_oenb_mprj[105] 0 +11 *2820:la_data_out_mprj[105] *1536:13 0 +12 *1039:9 *2820:mprj_dat_o_core[27] 0 +13 *1039:9 *1296:9 0 +14 *1039:9 *1423:7 0 +15 *1039:9 *1845:5 0.000440386 +16 *1039:12 *1041:10 0.00124346 +17 *1039:12 *1042:10 0.00302351 +18 *1039:12 *1301:10 0.00147963 +19 *1039:12 *1893:24 0 +20 *1039:12 *1902:16 0 +21 *1039:14 *1041:10 0.00934396 +22 *1039:14 *1543:10 0 +23 *1039:14 *1889:10 0.000118356 +24 *1039:14 *1893:24 0 +25 *1039:19 *2820:mprj_adr_o_core[5] 0 +26 *1039:20 *1042:22 0.016942 +27 *1039:20 *1309:16 0.00111295 +28 *1039:20 *1314:16 0.00946479 +29 *1039:20 *1318:16 0.000669662 +30 *1039:20 *1540:16 0.00210403 +31 *781:11 *1039:19 0.000886713 +32 *783:7 *2820:la_data_out_mprj[105] 0 +33 *896:8 *1039:20 0.0112822 +34 *1037:20 *1039:20 0 +*RES +1 *2826:la_output[105] *1039:9 45.9642 +2 *1039:9 *1039:12 47.0569 +3 *1039:12 *1039:14 99.7201 +4 *1039:14 *1039:19 26.6116 +5 *1039:19 *1039:20 249.186 +6 *1039:20 *2820:la_data_out_mprj[105] 34.6913 +*END + +*D_NET *1040 0.0765253 +*CONN +*I *2820:la_data_out_mprj[106] I *D mgmt_protect +*I *2826:la_output[106] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[106] 0.00131513 +2 *2826:la_output[106] 0.00109031 +3 *1040:20 0.00464749 +4 *1040:19 0.00462974 +5 *1040:14 0.00355995 +6 *1040:12 0.00230478 +7 *1040:10 0.000527252 +8 *1040:9 0.00157535 +9 *2820:la_data_out_mprj[106] *2820:la_iena_mprj[106] 0 +10 *2820:la_data_out_mprj[106] *2820:la_oenb_mprj[106] 0 +11 *1040:9 *1297:9 0 +12 *1040:9 *1424:9 0 +13 *1040:9 *1788:15 8.62625e-06 +14 *1040:10 *1424:10 0.00462741 +15 *1040:10 *1433:10 0.000144932 +16 *1040:10 *1828:14 0.000904135 +17 *1040:10 *1830:12 0.0022209 +18 *1040:10 *1830:16 0.000928404 +19 *1040:14 *1302:10 0 +20 *1040:14 *1424:20 0.0193144 +21 *1040:14 *1433:10 0.0223279 +22 *1040:14 *1830:12 9.82896e-06 +23 *1040:20 *1052:10 0 +24 *1040:20 *1053:10 0 +25 *1040:20 *1054:10 0 +26 *1040:20 *1305:14 0.004179 +27 *1040:20 *1310:10 6.91078e-06 +28 *1040:20 *1424:26 0.00153674 +29 *784:5 *2820:la_data_out_mprj[106] 0.000666065 +30 *800:5 *1040:19 0 +31 *890:8 *1040:20 0 +32 *896:11 *1040:19 0 +33 *1037:20 *1040:20 0 +*RES +1 *2826:la_output[106] *1040:9 28.9388 +2 *1040:9 *1040:10 55.0746 +3 *1040:10 *1040:12 0.988641 +4 *1040:12 *1040:14 236.985 +5 *1040:14 *1040:19 34.9166 +6 *1040:19 *1040:20 115.526 +7 *1040:20 *2820:la_data_out_mprj[106] 42.9963 +*END + +*D_NET *1041 0.0822253 +*CONN +*I *2820:la_data_out_mprj[107] I *D mgmt_protect +*I *2826:la_output[107] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[107] 0.00100628 +2 *2826:la_output[107] 0.00210811 +3 *1041:16 0.00382583 +4 *1041:15 0.00351242 +5 *1041:10 0.00316973 +6 *1041:9 0.00458498 +7 *2820:la_data_out_mprj[107] *2820:la_iena_mprj[107] 0 +8 *2820:la_data_out_mprj[107] *2820:la_oenb_mprj[107] 0 +9 *2820:la_data_out_mprj[107] *1539:13 0.000394426 +10 *1041:9 *1298:9 0 +11 *1041:9 *1425:9 0 +12 *1041:10 *1042:16 0.0137783 +13 *1041:10 *1061:10 0.000258234 +14 *1041:10 *1297:10 0.00531933 +15 *1041:10 *1297:14 3.07726e-05 +16 *1041:10 *1301:10 0.0053007 +17 *1041:10 *1309:10 0.000375299 +18 *1041:10 *1543:10 0 +19 *1041:15 *1836:5 0.00040193 +20 *1041:16 *1427:16 0.0163385 +21 *1041:16 *1543:16 0 +22 *785:5 *2820:la_data_out_mprj[107] 0 +23 *891:8 *1041:16 0.00215069 +24 *892:8 *1041:16 0.00405344 +25 *894:12 *1041:16 0.000898014 +26 *1036:15 *1041:15 0.00106787 +27 *1038:20 *1041:16 0.00306298 +28 *1039:12 *1041:10 0.00124346 +29 *1039:14 *1041:10 0.00934396 +*RES +1 *2826:la_output[107] *1041:9 46.7947 +2 *1041:9 *1041:10 219.792 +3 *1041:10 *1041:15 30.3488 +4 *1041:15 *1041:16 198.717 +5 *1041:16 *2820:la_data_out_mprj[107] 30.5388 +*END + +*D_NET *1042 0.0830916 +*CONN +*I *2820:la_data_out_mprj[108] I *D mgmt_protect +*I *2826:la_output[108] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[108] 0.00106526 +2 *2826:la_output[108] 0.00127743 +3 *1042:22 0.00496176 +4 *1042:21 0.00467896 +5 *1042:16 0.00221312 +6 *1042:15 0.00147683 +7 *1042:10 0.000992746 +8 *1042:9 0.00222399 +9 *2820:la_data_out_mprj[108] *2820:la_iena_mprj[108] 0 +10 *2820:la_data_out_mprj[108] *1538:15 0.000217587 +11 *1042:9 *1753:8 0.000696135 +12 *1042:9 *1754:12 0.00201436 +13 *1042:9 *2498:8 0 +14 *1042:10 *1296:12 0.00317639 +15 *1042:10 *1301:10 0.00255818 +16 *1042:10 *1425:12 0.000578655 +17 *1042:10 *1427:10 0.00399878 +18 *1042:10 *1840:8 1.66917e-05 +19 *1042:10 *1889:10 0.000265141 +20 *1042:10 *1902:16 0 +21 *1042:15 *1422:15 4.27003e-05 +22 *1042:15 *1840:5 9.75356e-05 +23 *1042:16 *1061:10 0.000124104 +24 *1042:16 *1297:14 0.0111851 +25 *1042:21 *2820:mprj_dat_o_core[0] 0.000173332 +26 *1042:22 *1047:10 0 +27 *1042:22 *1048:10 0 +28 *1042:22 *1318:16 0.000568389 +29 *1042:22 *1433:18 0.000856217 +30 *786:5 *2820:la_data_out_mprj[108] 0.000491836 +31 *900:11 *1042:21 0 +32 *902:8 *1042:16 0.00338239 +33 *903:8 *1042:16 1.41853e-05 +34 *1037:20 *1042:22 0 +35 *1039:12 *1042:10 0.00302351 +36 *1039:20 *1042:22 0.016942 +37 *1041:10 *1042:16 0.0137783 +*RES +1 *2826:la_output[108] *1042:9 45.5489 +2 *1042:9 *1042:10 93.3422 +3 *1042:10 *1042:15 10.832 +4 *1042:15 *1042:16 156.012 +5 *1042:16 *1042:21 25.3658 +6 *1042:21 *1042:22 181.524 +7 *1042:22 *2820:la_data_out_mprj[108] 35.1065 +*END + +*D_NET *1043 0.0951368 +*CONN +*I *2820:la_data_out_mprj[109] I *D mgmt_protect +*I *2826:la_output[109] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[109] 0.00181929 +2 *2826:la_output[109] 0.00229827 +3 *1043:14 0.00480213 +4 *1043:12 0.00300467 +5 *1043:10 0.000867857 +6 *1043:9 0.000846024 +7 *1043:7 0.00229827 +8 *2820:la_data_out_mprj[109] *2820:la_iena_mprj[109] 0 +9 *1043:7 *1427:9 0 +10 *1043:10 *1063:10 0.00010238 +11 *1043:10 *1299:10 0.00597172 +12 *1043:10 *1299:14 0.000414735 +13 *1043:10 *1304:14 0.00906415 +14 *1043:10 *1318:10 0.000183223 +15 *1043:10 *1440:10 0.00101679 +16 *1043:10 *1758:16 0.000405492 +17 *1043:14 *1045:10 4.03749e-05 +18 *1043:14 *1061:10 0.00019736 +19 *1043:14 *1063:10 0.000492358 +20 *1043:14 *1293:16 0.0277688 +21 *1043:14 *1299:14 0.00206661 +22 *1043:14 *1301:16 0.0314621 +23 *1043:14 *1304:14 1.41853e-05 +24 *1043:14 *1421:14 0 +25 *787:5 *2820:la_data_out_mprj[109] 0 +*RES +1 *2826:la_output[109] *1043:7 46.0319 +2 *1043:7 *1043:9 4.5 +3 *1043:9 *1043:10 96.1152 +4 *1043:10 *1043:12 0.578717 +5 *1043:12 *1043:14 345.687 +6 *1043:14 *2820:la_data_out_mprj[109] 48.3946 +*END + +*D_NET *1044 0.156491 +*CONN +*I *2820:la_data_out_mprj[10] I *D mgmt_protect +*I *2826:la_output[10] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[10] 0.00177558 +2 *2826:la_output[10] 0.00198593 +3 *1044:18 0.00226644 +4 *1044:16 0.000512494 +5 *1044:14 0.000440512 +6 *1044:12 0.000441915 +7 *1044:10 0.00419448 +8 *1044:9 0.00417144 +9 *1044:7 0.00198593 +10 *2820:la_data_out_mprj[10] *2820:la_iena_mprj[10] 0 +11 *1044:7 *1311:9 0 +12 *1044:7 *1428:7 0 +13 *1044:10 *1064:10 0.0529903 +14 *788:5 *2820:la_data_out_mprj[10] 0.00054302 +15 *799:14 *1044:14 0.00513492 +16 *799:20 *1044:10 0.000530796 +17 *810:10 *1044:18 0.00535955 +18 *811:8 *1044:10 1.67988e-05 +19 *811:8 *1044:14 0.00523666 +20 *811:8 *1044:18 1.67988e-05 +21 *816:8 *1044:10 0.0062981 +22 *816:8 *1044:14 0.000311353 +23 *838:8 *1044:14 0.000110257 +24 *841:8 *1044:18 0.000127366 +25 *843:8 *1044:18 0.00308083 +26 *871:8 *1044:10 0.056888 +27 *871:8 *1044:14 3.35976e-05 +28 *871:8 *1044:18 0.00203802 +*RES +1 *2826:la_output[10] *1044:7 48.9387 +2 *1044:7 *1044:9 4.5 +3 *1044:9 *1044:10 598.031 +4 *1044:10 *1044:12 0.578717 +5 *1044:12 *1044:14 55.6292 +6 *1044:14 *1044:16 0.578717 +7 *1044:16 *1044:18 57.8476 +8 *1044:18 *2820:la_data_out_mprj[10] 45.0726 +*END + +*D_NET *1045 0.101576 +*CONN +*I *2820:la_data_out_mprj[110] I *D mgmt_protect +*I *2826:la_output[110] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[110] 0.00137539 +2 *2826:la_output[110] 0.00229022 +3 *1045:10 0.00496827 +4 *1045:9 0.00359288 +5 *1045:7 0.00229022 +6 *2820:la_data_out_mprj[110] *2820:la_iena_mprj[110] 0 +7 *2820:la_data_out_mprj[110] *1543:15 0.000831044 +8 *1045:7 *1302:9 0 +9 *1045:10 *1046:12 1.67988e-05 +10 *1045:10 *1046:14 0.0405079 +11 *1045:10 *1050:10 0.00154142 +12 *1045:10 *1062:10 0.00161454 +13 *1045:10 *1301:16 0.000159331 +14 *1045:10 *1303:10 0.041092 +15 *1045:10 *1421:14 0.000795008 +16 *789:5 *2820:la_data_out_mprj[110] 0.000460632 +17 *1043:14 *1045:10 4.03749e-05 +*RES +1 *2826:la_output[110] *1045:7 45.5922 +2 *1045:7 *1045:9 4.5 +3 *1045:9 *1045:10 456.053 +4 *1045:10 *2820:la_data_out_mprj[110] 46.7336 +*END + +*D_NET *1046 0.10322 +*CONN +*I *2820:la_data_out_mprj[111] I *D mgmt_protect +*I *2826:la_output[111] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[111] 0.00178232 +2 *2826:la_output[111] 0.00229583 +3 *1046:14 0.00511503 +4 *1046:12 0.0035743 +5 *1046:7 0.00253742 +6 *2820:la_data_out_mprj[111] *2820:la_iena_mprj[111] 0 +7 *1046:7 *1303:7 0 +8 *1046:7 *1430:7 0 +9 *1046:12 *1050:10 0.00323217 +10 *1046:12 *1063:10 0.000296541 +11 *1046:12 *1304:10 0.00328528 +12 *1046:12 *1304:12 9.95922e-06 +13 *1046:14 *1062:10 0.000124641 +14 *1046:14 *1063:10 0.000982245 +15 *1046:14 *1301:16 0.000815012 +16 *1046:14 *1304:10 1.67988e-05 +17 *1046:14 *1304:14 0.0386282 +18 *790:5 *2820:la_data_out_mprj[111] 0 +19 *1045:10 *1046:12 1.67988e-05 +20 *1045:10 *1046:14 0.0405079 +*RES +1 *2826:la_output[111] *1046:7 46.4472 +2 *1046:7 *1046:12 39.6329 +3 *1046:12 *1046:14 430.541 +4 *1046:14 *2820:la_data_out_mprj[111] 47.1488 +*END + +*D_NET *1047 0.105737 +*CONN +*I *2820:la_data_out_mprj[112] I *D mgmt_protect +*I *2826:la_output[112] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[112] 0.0010935 +2 *2826:la_output[112] 8.98429e-05 +3 *1047:10 0.00510923 +4 *1047:9 0.00401573 +5 *1047:7 0.00280961 +6 *1047:5 0.00289945 +7 *2820:la_data_out_mprj[112] *2820:la_iena_mprj[112] 0 +8 *1047:7 *1304:7 0 +9 *1047:7 *1431:7 0 +10 *1047:10 *1048:10 0.043945 +11 *1047:10 *1306:10 0.0421336 +12 *1047:10 *1760:24 0.00146265 +13 *791:5 *2820:la_data_out_mprj[112] 0.000549702 +14 *892:11 *2820:la_data_out_mprj[112] 0.000472951 +15 *1037:20 *1047:10 0.0011561 +16 *1042:22 *1047:10 0 +*RES +1 *2826:la_output[112] *1047:5 2.05183 +2 *1047:5 *1047:7 53.9461 +3 *1047:7 *1047:9 4.5 +4 *1047:9 *1047:10 477.128 +5 *1047:10 *2820:la_data_out_mprj[112] 38.0133 +*END + +*D_NET *1048 0.0896491 +*CONN +*I *2820:la_data_out_mprj[113] I *D mgmt_protect +*I *2826:la_output[113] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[113] 0.00141913 +2 *2826:la_output[113] 8.98429e-05 +3 *1048:10 0.00824563 +4 *1048:9 0.0068265 +5 *1048:7 0.00283004 +6 *1048:5 0.00291988 +7 *2820:la_data_out_mprj[113] *2820:la_iena_mprj[113] 0 +8 *1048:7 *1305:7 0 +9 *1048:7 *1432:7 0 +10 *1048:10 *1306:10 0.000473003 +11 *1048:10 *1318:16 0.000113033 +12 *1048:10 *1433:18 0.000860248 +13 *1048:10 *1445:10 0.000897677 +14 *1048:10 *1758:22 0.0128474 +15 *1048:10 *1759:24 0.00201625 +16 *1048:10 *1769:30 0.00247834 +17 *792:5 *2820:la_data_out_mprj[113] 0 +18 *807:8 *1048:10 0.00368713 +19 *1042:22 *1048:10 0 +20 *1047:10 *1048:10 0.043945 +*RES +1 *2826:la_output[113] *1048:5 2.05183 +2 *1048:5 *1048:7 54.3614 +3 *1048:7 *1048:9 4.5 +4 *1048:9 *1048:10 488.775 +5 *1048:10 *2820:la_data_out_mprj[113] 37.598 +*END + +*D_NET *1049 0.108131 +*CONN +*I *2820:la_data_out_mprj[114] I *D mgmt_protect +*I *2826:la_output[114] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[114] 0.00117098 +2 *2826:la_output[114] 0.00242618 +3 *1049:14 0.00512164 +4 *1049:12 0.00405084 +5 *1049:7 0.00252637 +6 *2820:la_data_out_mprj[114] *2820:la_iena_mprj[114] 0 +7 *1049:7 *1306:7 0 +8 *1049:7 *1433:9 0 +9 *1049:12 *1051:10 0.00123342 +10 *1049:12 *1060:10 1.67988e-05 +11 *1049:12 *1769:24 0.00118031 +12 *1049:12 *1776:24 0.000170531 +13 *1049:14 *1050:16 0.0372899 +14 *1049:14 *1051:10 0.00348852 +15 *1049:14 *1060:10 0.0378214 +16 *1049:14 *1062:10 0.000118356 +17 *1049:14 *1307:16 0.000313024 +18 *1049:14 *1421:14 0.00021369 +19 *1049:14 *1424:26 0.00738633 +20 *1049:14 *1776:24 0.00130336 +21 *793:7 *2820:la_data_out_mprj[114] 0.0022998 +22 *894:15 *2820:la_data_out_mprj[114] 0 +*RES +1 *2826:la_output[114] *1049:7 48.9387 +2 *1049:7 *1049:12 18.0034 +3 *1049:12 *1049:14 487.665 +4 *1049:14 *2820:la_data_out_mprj[114] 44.6573 +*END + +*D_NET *1050 0.113383 +*CONN +*I *2820:la_data_out_mprj[115] I *D mgmt_protect +*I *2826:la_output[115] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[115] 0.0017366 +2 *2826:la_output[115] 0.00225604 +3 *1050:16 0.00482084 +4 *1050:15 0.00323177 +5 *1050:10 0.000929436 +6 *1050:9 0.000781904 +7 *1050:7 0.00225604 +8 *2820:la_data_out_mprj[115] *2820:la_iena_mprj[115] 0 +9 *1050:7 *1307:7 0 +10 *1050:7 *1434:7 0 +11 *1050:10 *1063:10 0.00096773 +12 *1050:10 *1303:10 0.000183223 +13 *1050:10 *1304:10 0.000272272 +14 *1050:10 *1307:10 0.00717881 +15 *1050:10 *1442:10 0.00907554 +16 *1050:16 *1051:10 0.0365126 +17 *1050:16 *1062:10 0.000124104 +18 *1050:16 *1421:14 0.00035468 +19 *1050:16 *1776:24 0.000637963 +20 *794:5 *2820:la_data_out_mprj[115] 0 +21 *1045:10 *1050:10 0.00154142 +22 *1046:12 *1050:10 0.00323217 +23 *1049:14 *1050:16 0.0372899 +*RES +1 *2826:la_output[115] *1050:7 46.3006 +2 *1050:7 *1050:9 4.5 +3 *1050:9 *1050:10 116.081 +4 *1050:10 *1050:15 11.2472 +5 *1050:15 *1050:16 396.71 +6 *1050:16 *2820:la_data_out_mprj[115] 45.0726 +*END + +*D_NET *1051 0.109402 +*CONN +*I *2820:la_data_out_mprj[116] I *D mgmt_protect +*I *2826:la_output[116] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[116] 0.00149339 +2 *2826:la_output[116] 0.00236123 +3 *1051:10 0.00573836 +4 *1051:9 0.00424497 +5 *1051:7 0.00236123 +6 *2820:la_data_out_mprj[116] *2820:la_iena_mprj[116] 0 +7 *1051:7 *1308:7 0 +8 *1051:7 *1435:9 0 +9 *1051:10 *1062:10 0.00013257 +10 *1051:10 *1295:12 0.00312974 +11 *1051:10 *1303:10 0.00144253 +12 *1051:10 *1421:14 0.0238775 +13 *1051:10 *1769:24 0.00174019 +14 *1051:10 *1781:24 0.00190182 +15 *1051:10 *1913:16 0.0190844 +16 *795:5 *2820:la_data_out_mprj[116] 0.000659677 +17 *1049:12 *1051:10 0.00123342 +18 *1049:14 *1051:10 0.00348852 +19 *1050:16 *1051:10 0.0365126 +*RES +1 *2826:la_output[116] *1051:7 48.5234 +2 *1051:7 *1051:9 4.5 +3 *1051:9 *1051:10 523.715 +4 *1051:10 *2820:la_data_out_mprj[116] 45.4878 +*END + +*D_NET *1052 0.119423 +*CONN +*I *2820:la_data_out_mprj[117] I *D mgmt_protect +*I *2826:la_output[117] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[117] 0.00106401 +2 *2826:la_output[117] 8.98429e-05 +3 *1052:10 0.00528484 +4 *1052:9 0.00422082 +5 *1052:7 0.00264336 +6 *1052:5 0.0027332 +7 *2820:la_data_out_mprj[117] *2820:la_iena_mprj[117] 0 +8 *1052:7 *1309:9 0 +9 *1052:7 *1436:7 0 +10 *1052:10 *1053:10 0.0496153 +11 *1052:10 *1308:10 0.0487296 +12 *1052:10 *1761:24 0.00231709 +13 *796:7 *2820:la_data_out_mprj[117] 0.00198723 +14 *807:14 *1052:10 0.000645974 +15 *1037:20 *1052:10 9.16785e-05 +16 *1040:20 *1052:10 0 +*RES +1 *2826:la_output[117] *1052:5 2.05183 +2 *1052:5 *1052:7 52.2851 +3 *1052:7 *1052:9 4.5 +4 *1052:9 *1052:10 535.361 +5 *1052:10 *2820:la_data_out_mprj[117] 39.6743 +*END + +*D_NET *1053 0.121853 +*CONN +*I *2820:la_data_out_mprj[118] I *D mgmt_protect +*I *2826:la_output[118] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[118] 0.0013346 +2 *2826:la_output[118] 8.98429e-05 +3 *1053:10 0.00562785 +4 *1053:9 0.00429326 +5 *1053:7 0.00259712 +6 *1053:5 0.00268696 +7 *2820:la_data_out_mprj[118] *2820:la_iena_mprj[118] 0 +8 *1053:7 *1437:9 0 +9 *1053:10 *1054:10 0.0506968 +10 *1053:10 *1761:24 0.00310315 +11 *1053:10 *1779:28 0.00102099 +12 *797:5 *2820:la_data_out_mprj[118] 0.000552858 +13 *807:14 *1053:10 0.000233752 +14 *1040:20 *1053:10 0 +15 *1052:10 *1053:10 0.0496153 +*RES +1 *2826:la_output[118] *1053:5 2.05183 +2 *1053:5 *1053:7 51.8699 +3 *1053:7 *1053:9 4.5 +4 *1053:9 *1053:10 547.008 +5 *1053:10 *2820:la_data_out_mprj[118] 40.0896 +*END + +*D_NET *1054 0.124311 +*CONN +*I *2820:la_data_out_mprj[119] I *D mgmt_protect +*I *2826:la_output[119] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[119] 0.0014909 +2 *2826:la_output[119] 8.98429e-05 +3 *1054:10 0.00571094 +4 *1054:9 0.00422004 +5 *1054:7 0.0025602 +6 *1054:5 0.00265004 +7 *2820:la_data_out_mprj[119] *2820:la_iena_mprj[119] 0 +8 *2820:la_data_out_mprj[119] *1433:15 5.73901e-05 +9 *1054:7 *1312:7 0 +10 *1054:7 *1438:7 0 +11 *1054:10 *1057:14 0.000352262 +12 *1054:10 *1310:10 0.0511282 +13 *1054:10 *1761:24 0.000118134 +14 *1054:10 *1764:24 0.00260421 +15 *1054:10 *1779:28 0.000159075 +16 *1054:10 *1783:24 0.00159227 +17 *1054:10 *1785:24 0.000532369 +18 *798:5 *2820:la_data_out_mprj[119] 0 +19 *896:11 *2820:la_data_out_mprj[119] 0.000348366 +20 *1040:20 *1054:10 0 +21 *1053:10 *1054:10 0.0506968 +*RES +1 *2826:la_output[119] *1054:5 2.05183 +2 *1054:5 *1054:7 51.4546 +3 *1054:7 *1054:9 4.5 +4 *1054:9 *1054:10 558.655 +5 *1054:10 *2820:la_data_out_mprj[119] 40.5048 +*END + +*D_NET *1055 0.177853 +*CONN +*I *2820:la_data_out_mprj[11] I *D mgmt_protect +*I *2826:la_output[11] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[11] 0.00176859 +2 *2826:la_output[11] 7.21667e-05 +3 *1055:10 0.00919044 +4 *1055:9 0.00742185 +5 *1055:7 0.00212447 +6 *1055:5 0.00219664 +7 *2820:la_data_out_mprj[11] *2820:la_iena_mprj[11] 0 +8 *1055:7 *1320:7 0 +9 *1055:7 *1439:9 0 +10 *1055:10 *1069:10 0 +11 *1055:10 *1071:10 0.004765 +12 *799:7 *2820:la_data_out_mprj[11] 0 +13 *809:8 *1055:10 0.0726882 +14 *814:8 *1055:10 0.000114604 +15 *815:8 *1055:10 0.000103514 +16 *818:8 *1055:10 0.000124658 +17 *819:8 *1055:10 0.00390283 +18 *893:8 *1055:10 0.073023 +19 *904:8 *1055:10 0.000356986 +*RES +1 *2826:la_output[11] *1055:5 2.05183 +2 *1055:5 *1055:7 50.8318 +3 *1055:7 *1055:9 3.36879 +4 *1055:9 *1055:10 96.5805 +5 *1055:10 *2820:la_data_out_mprj[11] 39.9965 +*END + +*D_NET *1056 0.125857 +*CONN +*I *2820:la_data_out_mprj[120] I *D mgmt_protect +*I *2826:la_output[120] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[120] 0.00141289 +2 *2826:la_output[120] 6.52431e-05 +3 *1056:10 0.00579645 +4 *1056:9 0.00438356 +5 *1056:7 0.00246344 +6 *1056:5 0.00252869 +7 *2820:la_data_out_mprj[120] *2820:la_iena_mprj[120] 0 +8 *2820:la_data_out_mprj[120] *2820:la_oenb_mprj[120] 0 +9 *1056:7 *1313:7 0 +10 *1056:10 *1057:14 0.0530507 +11 *1056:10 *1058:10 0.000462962 +12 *1056:10 *1305:14 0.000294636 +13 *1056:10 *1310:10 0.000373913 +14 *1056:10 *1315:10 0.0512328 +15 *1056:10 *1766:24 0.0032213 +16 *800:5 *2820:la_data_out_mprj[120] 0.000570104 +*RES +1 *2826:la_output[120] *1056:5 1.49002 +2 *1056:5 *1056:7 50.2089 +3 *1056:7 *1056:9 4.5 +4 *1056:9 *1056:10 571.411 +5 *1056:10 *2820:la_data_out_mprj[120] 41.7506 +*END + +*D_NET *1057 0.128584 +*CONN +*I *2820:la_data_out_mprj[121] I *D mgmt_protect +*I *2826:la_output[121] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[121] 0.00165303 +2 *2826:la_output[121] 8.98429e-05 +3 *1057:14 0.00590558 +4 *1057:12 0.00433086 +5 *1057:7 0.00253049 +6 *1057:5 0.00254203 +7 *2820:la_data_out_mprj[121] *2820:la_iena_mprj[121] 0 +8 *2820:la_data_out_mprj[121] *2820:la_oenb_mprj[121] 0 +9 *1057:7 *1314:9 0 +10 *1057:7 *1441:9 0 +11 *1057:12 *1315:10 0.000755455 +12 *1057:12 *1785:24 0.00013754 +13 *1057:12 *1787:24 0.000436811 +14 *1057:14 *1310:10 0.0497265 +15 *1057:14 *1315:10 0.000489607 +16 *1057:14 *1764:24 0.00010238 +17 *1057:14 *1766:24 0.00282649 +18 *1057:14 *1785:24 0.0036548 +19 *801:5 *2820:la_data_out_mprj[121] 0 +20 *1054:10 *1057:14 0.000352262 +21 *1056:10 *1057:14 0.0530507 +*RES +1 *2826:la_output[121] *1057:5 2.05183 +2 *1057:5 *1057:7 50.2089 +3 *1057:7 *1057:12 13.012 +4 *1057:12 *1057:14 574.184 +5 *1057:14 *2820:la_data_out_mprj[121] 41.3353 +*END + +*D_NET *1058 0.131982 +*CONN +*I *2820:la_data_out_mprj[122] I *D mgmt_protect +*I *2826:la_output[122] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[122] 0.00147815 +2 *2826:la_output[122] 8.98429e-05 +3 *1058:10 0.005818 +4 *1058:9 0.00433984 +5 *1058:7 0.0023763 +6 *1058:5 0.00246614 +7 *2820:la_data_out_mprj[122] *2820:la_iena_mprj[122] 0 +8 *1058:7 *1315:7 0 +9 *1058:7 *1442:7 0 +10 *1058:10 *1059:10 0.0552561 +11 *1058:10 *1305:14 0.000378828 +12 *1058:10 *1315:10 0.0550414 +13 *1058:10 *1767:24 0.00370963 +14 *802:5 *2820:la_data_out_mprj[122] 0.000564871 +15 *1056:10 *1058:10 0.000462962 +*RES +1 *2826:la_output[122] *1058:5 2.05183 +2 *1058:5 *1058:7 49.3784 +3 *1058:7 *1058:9 4.5 +4 *1058:9 *1058:10 594.149 +5 *1058:10 *2820:la_data_out_mprj[122] 42.5811 +*END + +*D_NET *1059 0.133764 +*CONN +*I *2820:la_data_out_mprj[123] I *D mgmt_protect +*I *2826:la_output[123] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[123] 0.00175242 +2 *2826:la_output[123] 8.98429e-05 +3 *1059:10 0.00616032 +4 *1059:9 0.0044079 +5 *1059:7 0.00233496 +6 *1059:5 0.0024248 +7 *2820:la_data_out_mprj[123] *2820:la_iena_mprj[123] 0 +8 *1059:7 *1316:7 0 +9 *1059:7 *1443:9 0 +10 *1059:10 *1305:12 1.67988e-05 +11 *1059:10 *1305:14 0.03739 +12 *1059:10 *1315:10 0.00020979 +13 *1059:10 *1765:16 0.0197346 +14 *1059:10 *1767:24 0.000274281 +15 *1059:10 *1773:16 0.00313281 +16 *1059:10 *1787:24 4.52871e-05 +17 *1059:10 *1827:14 0.000533681 +18 *803:5 *2820:la_data_out_mprj[123] 0 +19 *1058:10 *1059:10 0.0552561 +*RES +1 *2826:la_output[123] *1059:5 2.05183 +2 *1059:5 *1059:7 48.9631 +3 *1059:7 *1059:9 4.5 +4 *1059:9 *1059:10 605.241 +5 *1059:10 *2820:la_data_out_mprj[123] 42.9963 +*END + +*D_NET *1060 0.135804 +*CONN +*I *2820:la_data_out_mprj[124] I *D mgmt_protect +*I *2826:la_output[124] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[124] 0.00129761 +2 *2826:la_output[124] 0.00227642 +3 *1060:10 0.00584494 +4 *1060:9 0.00454733 +5 *1060:7 0.00227642 +6 *2820:la_data_out_mprj[124] *2820:la_iena_mprj[124] 0 +7 *2820:la_data_out_mprj[124] *2820:la_oenb_mprj[124] 0 +8 *1060:7 *1317:7 0 +9 *1060:10 *1305:12 0.0010081 +10 *1060:10 *1305:14 0.0012231 +11 *1060:10 *1307:16 0.0338686 +12 *1060:10 *1765:16 0.00153704 +13 *1060:10 *1767:24 0.000119469 +14 *1060:10 *1769:24 0.0205972 +15 *1060:10 *1771:24 0.0174278 +16 *1060:10 *1772:24 0.00376478 +17 *804:7 *2820:la_data_out_mprj[124] 0.00217689 +18 *1049:12 *1060:10 1.67988e-05 +19 *1049:14 *1060:10 0.0378214 +*RES +1 *2826:la_output[124] *1060:7 48.6456 +2 *1060:7 *1060:9 4.5 +3 *1060:9 *1060:10 618.552 +4 *1060:10 *2820:la_data_out_mprj[124] 44.2421 +*END + +*D_NET *1061 0.128539 +*CONN +*I *2820:la_data_out_mprj[125] I *D mgmt_protect +*I *2826:la_output[125] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[125] 0.0021111 +2 *2826:la_output[125] 0.00203355 +3 *1061:10 0.0275777 +4 *1061:9 0.0275002 +5 *2820:la_data_out_mprj[125] *2820:la_iena_mprj[125] 0 +6 *2820:la_data_out_mprj[125] *2820:la_oenb_mprj[125] 0 +7 *1061:9 *1318:9 0 +8 *1061:9 *1445:7 0 +9 *1061:10 *1062:10 0.000244081 +10 *1061:10 *1063:10 0.00992377 +11 *1061:10 *1293:16 0.000492374 +12 *1061:10 *1297:14 0.00013257 +13 *1061:10 *1298:10 0.00133754 +14 *1061:10 *1299:14 0.000937227 +15 *1061:10 *1301:16 0.000181844 +16 *1061:10 *1309:10 0.00253755 +17 *1061:10 *1314:10 0.00294699 +18 *1061:10 *1318:10 0.00354074 +19 *1061:10 *1435:10 0.00148343 +20 *1061:10 *1437:10 0.00176421 +21 *1061:10 *1541:12 0 +22 *1061:10 *1758:16 0.0418538 +23 *1061:10 *1889:10 0 +24 *805:5 *2820:la_data_out_mprj[125] 0 +25 *902:8 *1061:10 0.000467946 +26 *903:8 *1061:10 0.000253937 +27 *1034:10 *1061:10 0.000389749 +28 *1038:14 *1061:10 0.00024852 +29 *1041:10 *1061:10 0.000258234 +30 *1042:16 *1061:10 0.000124104 +31 *1043:14 *1061:10 0.00019736 +*RES +1 *2826:la_output[125] *1061:9 47.9473 +2 *1061:9 *1061:10 86.7133 +3 *1061:10 *2820:la_data_out_mprj[125] 48.3015 +*END + +*D_NET *1062 0.161744 +*CONN +*I *2820:la_data_out_mprj[126] I *D mgmt_protect +*I *2826:la_output[126] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[126] 0.00188684 +2 *2826:la_output[126] 0.00213924 +3 *1062:10 0.0166951 +4 *1062:9 0.0148082 +5 *1062:7 0.00213924 +6 *2820:la_data_out_mprj[126] *2820:la_iena_mprj[126] 0 +7 *2820:la_data_out_mprj[126] *2820:la_oenb_mprj[125] 0 +8 *1062:7 *1319:7 0 +9 *1062:7 *1446:7 0 +10 *1062:10 *1063:10 0.0682838 +11 *1062:10 *1295:12 0.000104638 +12 *1062:10 *1303:10 0.00157847 +13 *1062:10 *1304:14 0.000114589 +14 *1062:10 *1421:14 0.000143882 +15 *1062:10 *1442:10 0.00244432 +16 *1062:10 *1444:10 0.00229536 +17 *1062:10 *1760:24 0 +18 *1062:10 *1761:24 0 +19 *1062:10 *1764:24 0 +20 *1062:10 *1766:24 0 +21 *1062:10 *1767:24 0.000329875 +22 *1062:10 *1772:24 0.000645255 +23 *1062:10 *1776:24 0.00115774 +24 *1062:10 *1781:24 0.044338 +25 *806:7 *2820:la_data_out_mprj[126] 0.000280765 +26 *1045:10 *1062:10 0.00161454 +27 *1046:14 *1062:10 0.000124641 +28 *1049:14 *1062:10 0.000118356 +29 *1050:16 *1062:10 0.000124104 +30 *1051:10 *1062:10 0.00013257 +31 *1061:10 *1062:10 0.000244081 +*RES +1 *2826:la_output[126] *1062:7 47.0701 +2 *1062:7 *1062:9 3.36879 +3 *1062:9 *1062:10 88.396 +4 *1062:10 *2820:la_data_out_mprj[126] 45.81 +*END + +*D_NET *1063 0.159227 +*CONN +*I *2820:la_data_out_mprj[127] I *D mgmt_protect +*I *2826:la_output[127] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[127] 0.00208148 +2 *2826:la_output[127] 0.00209658 +3 *1063:10 0.0120287 +4 *1063:9 0.0120438 +5 *2820:la_data_out_mprj[127] *2820:la_iena_mprj[127] 0 +6 *2820:la_data_out_mprj[127] *2820:la_oenb_mprj[127] 0 +7 *1063:9 *1447:9 0 +8 *1063:10 *1301:16 0.000637929 +9 *1063:10 *1304:14 0.00136767 +10 *1063:10 *1307:10 0.000677329 +11 *1063:10 *1758:16 0.0463152 +12 *1063:10 *1781:24 0.000929185 +13 *807:7 *2820:la_data_out_mprj[127] 0 +14 *1043:10 *1063:10 0.00010238 +15 *1043:14 *1063:10 0.000492358 +16 *1046:12 *1063:10 0.000296541 +17 *1046:14 *1063:10 0.000982245 +18 *1050:10 *1063:10 0.00096773 +19 *1061:10 *1063:10 0.00992377 +20 *1062:10 *1063:10 0.0682838 +*RES +1 *2826:la_output[127] *1063:9 49.6083 +2 *1063:9 *1063:10 90.0023 +3 *1063:10 *2820:la_data_out_mprj[127] 46.6405 +*END + +*D_NET *1064 0.151346 +*CONN +*I *2820:la_data_out_mprj[12] I *D mgmt_protect +*I *2826:la_output[12] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[12] 0.00152059 +2 *2826:la_output[12] 0.00203584 +3 *1064:24 0.00214948 +4 *1064:22 0.000651923 +5 *1064:20 0.00045167 +6 *1064:19 0.000736772 +7 *1064:10 0.00406609 +8 *1064:9 0.00375796 +9 *1064:7 0.00203584 +10 *2820:la_data_out_mprj[12] *2820:la_iena_mprj[12] 0 +11 *2820:la_data_out_mprj[12] *2820:la_oenb_mprj[12] 0 +12 *2820:la_data_out_mprj[12] *1101:7 0.00079789 +13 *1064:7 *1321:9 0 +14 *1064:7 *1448:7 0 +15 *1064:20 *1067:10 0.00517935 +16 *1064:24 *1067:10 0.000192266 +17 *1064:24 *1069:10 0.000101794 +18 *799:19 *1064:19 2.46648e-05 +19 *799:20 *1064:10 0.00075235 +20 *808:5 *2820:la_data_out_mprj[12] 0.000384266 +21 *810:20 *1064:10 0.000846705 +22 *810:20 *1064:20 0.00512622 +23 *811:8 *1064:10 0.0481984 +24 *811:8 *1064:20 1.15389e-05 +25 *811:8 *1064:24 0.00697366 +26 *816:8 *1064:10 0.00540894 +27 *842:8 *1064:24 0.00651081 +28 *860:8 *1064:20 0.000441045 +29 *1044:10 *1064:10 0.0529903 +*RES +1 *2826:la_output[12] *1064:7 49.3539 +2 *1064:7 *1064:9 4.5 +3 *1064:9 *1064:10 556.991 +4 *1064:10 *1064:19 13.6899 +5 *1064:19 *1064:20 55.0746 +6 *1064:20 *1064:22 0.578717 +7 *1064:22 *1064:24 74.4857 +8 *1064:24 *2820:la_data_out_mprj[12] 43.8268 +*END + +*D_NET *1065 0.173441 +*CONN +*I *2820:la_data_out_mprj[13] I *D mgmt_protect +*I *2826:la_output[13] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[13] 0.00118788 +2 *2826:la_output[13] 7.21667e-05 +3 *1065:10 0.00753244 +4 *1065:9 0.00634456 +5 *1065:7 0.00223396 +6 *1065:5 0.00230613 +7 *2820:la_data_out_mprj[13] *1321:13 0.00188888 +8 *1065:7 *1322:7 0 +9 *1065:7 *1449:9 0 +10 *1065:10 *1066:10 0.0714146 +11 *1065:10 *1069:10 0 +12 *1065:10 *1322:10 0 +13 *1065:10 *1450:10 0 +14 *809:7 *2820:la_data_out_mprj[13] 0 +15 *809:8 *1065:10 0.0732077 +16 *822:8 *1065:10 0.00392226 +17 *823:8 *1065:10 0.0033308 +*RES +1 *2826:la_output[13] *1065:5 2.05183 +2 *1065:5 *1065:7 52.4928 +3 *1065:7 *1065:9 3.36879 +4 *1065:9 *1065:10 93.3679 +5 *1065:10 *2820:la_data_out_mprj[13] 38.3355 +*END + +*D_NET *1066 0.167116 +*CONN +*I *2820:la_data_out_mprj[14] I *D mgmt_protect +*I *2826:la_output[14] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[14] 0.00154584 +2 *2826:la_output[14] 1.28869e-05 +3 *1066:10 0.0083184 +4 *1066:9 0.00677255 +5 *1066:7 0.00227762 +6 *1066:5 0.0022905 +7 *2820:la_data_out_mprj[14] *2820:la_iena_mprj[14] 0 +8 *2820:la_data_out_mprj[14] *1486:7 0.000166447 +9 *1066:7 *1450:7 0 +10 *1066:10 *1068:10 0.0677208 +11 *1066:10 *1069:10 0 +12 *1066:10 *1324:10 0 +13 *1066:10 *1450:10 0 +14 *810:9 *2820:la_data_out_mprj[14] 0 +15 *824:8 *1066:10 0.0035836 +16 *825:8 *1066:10 0.00301221 +17 *1065:10 *1066:10 0.0714146 +*RES +1 *2826:la_output[14] *1066:5 0.366399 +2 *1066:5 *1066:7 53.3233 +3 *1066:7 *1066:9 3.36879 +4 *1066:9 *1066:10 91.9146 +5 *1066:10 *2820:la_data_out_mprj[14] 37.505 +*END + +*D_NET *1067 0.145062 +*CONN +*I *2820:la_data_out_mprj[15] I *D mgmt_protect +*I *2826:la_output[15] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[15] 0.00180418 +2 *2826:la_output[15] 7.21667e-05 +3 *1067:10 0.00633668 +4 *1067:9 0.00453249 +5 *1067:7 0.00211414 +6 *1067:5 0.00218631 +7 *2820:la_data_out_mprj[15] *2820:la_iena_mprj[15] 0 +8 *1067:7 *1324:7 0 +9 *1067:7 *1451:9 0 +10 *799:20 *1067:10 0.0472956 +11 *810:20 *1067:10 1.41853e-05 +12 *811:7 *2820:la_data_out_mprj[15] 0 +13 *812:8 *1067:10 0.0609792 +14 *842:8 *1067:10 0.00895952 +15 *860:8 *1067:10 0.00529309 +16 *904:8 *1067:10 0.00010238 +17 *1064:20 *1067:10 0.00517935 +18 *1064:24 *1067:10 0.000192266 +*RES +1 *2826:la_output[15] *1067:5 2.05183 +2 *1067:5 *1067:7 48.9631 +3 *1067:7 *1067:9 4.5 +4 *1067:9 *1067:10 652.937 +5 *1067:10 *2820:la_data_out_mprj[15] 42.9963 +*END + +*D_NET *1068 0.108279 +*CONN +*I *2820:la_data_out_mprj[16] I *D mgmt_protect +*I *2826:la_output[16] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[16] 0.00132209 +2 *2826:la_output[16] 7.21667e-05 +3 *1068:10 0.0114497 +4 *1068:9 0.0101276 +5 *1068:7 0.00236086 +6 *1068:5 0.00243303 +7 *2820:la_data_out_mprj[16] *2820:la_iena_mprj[16] 0 +8 *1068:7 *1325:9 0 +9 *1068:7 *1452:7 0 +10 *1068:10 *1324:10 0 +11 *1068:10 *1326:10 0.0001462 +12 *1068:10 *1454:10 0.0121496 +13 *812:7 *2820:la_data_out_mprj[16] 0.000496994 +14 *1066:10 *1068:10 0.0677208 +*RES +1 *2826:la_output[16] *1068:5 2.05183 +2 *1068:5 *1068:7 54.1538 +3 *1068:7 *1068:9 3.36879 +4 *1068:9 *1068:10 88.4725 +5 *1068:10 *2820:la_data_out_mprj[16] 36.6745 +*END + +*D_NET *1069 0.155728 +*CONN +*I *2820:la_data_out_mprj[17] I *D mgmt_protect +*I *2826:la_output[17] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[17] 0.00184497 +2 *2826:la_output[17] 0.0019781 +3 *1069:10 0.0107397 +4 *1069:9 0.00889473 +5 *1069:7 0.0019781 +6 *2820:la_data_out_mprj[17] *2820:la_iena_mprj[17] 0 +7 *2820:la_data_out_mprj[17] *1103:7 0.000398393 +8 *1069:7 *1326:7 0 +9 *1069:7 *1453:9 0 +10 *1069:10 *1070:10 9.5672e-05 +11 *809:8 *1069:10 0 +12 *810:10 *1069:10 0.000102215 +13 *811:8 *1069:10 0.000101794 +14 *813:7 *2820:la_data_out_mprj[17] 0 +15 *813:8 *1069:10 0.0680454 +16 *816:8 *1069:10 0.000287 +17 *828:8 *1069:10 0.00344413 +18 *830:8 *1069:10 0.00322915 +19 *838:8 *1069:10 0.000444675 +20 *841:8 *1069:10 0.000392402 +21 *843:8 *1069:10 0.000126544 +22 *845:8 *1069:10 0.000118462 +23 *860:8 *1069:10 0.000325783 +24 *871:8 *1069:10 0.000102438 +25 *904:8 *1069:10 0.000423598 +26 *1033:10 *1069:10 0.0525532 +27 *1055:10 *1069:10 0 +28 *1064:24 *1069:10 0.000101794 +29 *1065:10 *1069:10 0 +30 *1066:10 *1069:10 0 +*RES +1 *2826:la_output[17] *1069:7 47.0701 +2 *1069:7 *1069:9 3.36879 +3 *1069:9 *1069:10 86.8662 +4 *1069:10 *2820:la_data_out_mprj[17] 45.81 +*END + +*D_NET *1070 0.155903 +*CONN +*I *2820:la_data_out_mprj[18] I *D mgmt_protect +*I *2826:la_output[18] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[18] 0.00175684 +2 *2826:la_output[18] 0.00179221 +3 *1070:10 0.00755274 +4 *1070:9 0.00758811 +5 *2820:la_data_out_mprj[18] *2820:la_iena_mprj[18] 0 +6 *2820:la_data_out_mprj[18] *1091:19 9.51365e-05 +7 *1070:9 *1327:9 0 +8 *1070:9 *1454:7 0 +9 *813:8 *1070:10 0.0658688 +10 *814:7 *2820:la_data_out_mprj[18] 0.00053829 +11 *817:8 *1070:10 0.0636529 +12 *831:8 *1070:10 0.00255854 +13 *833:8 *1070:10 0.00242581 +14 *848:11 *2820:la_data_out_mprj[18] 0.00012611 +15 *849:8 *1070:10 0.00136586 +16 *849:13 *1070:9 0.000485569 +17 *1069:10 *1070:10 9.5672e-05 +*RES +1 *2826:la_output[18] *1070:9 48.7778 +2 *1070:9 *1070:10 85.2599 +3 *1070:10 *2820:la_data_out_mprj[18] 47.471 +*END + +*D_NET *1071 0.131292 +*CONN +*I *2820:la_data_out_mprj[19] I *D mgmt_protect +*I *2826:la_output[19] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[19] 0.00167382 +2 *2826:la_output[19] 7.21667e-05 +3 *1071:10 0.00664436 +4 *1071:9 0.00497055 +5 *1071:7 0.00230536 +6 *1071:5 0.00237752 +7 *2820:la_data_out_mprj[19] *2820:la_iena_mprj[19] 0 +8 *1071:7 *1329:9 0 +9 *1071:7 *1455:9 0 +10 *814:8 *1071:10 0.00128892 +11 *815:7 *2820:la_data_out_mprj[19] 0 +12 *818:8 *1071:10 0.0545783 +13 *819:8 *1071:10 0.0526155 +14 *1055:10 *1071:10 0.004765 +*RES +1 *2826:la_output[19] *1071:5 2.05183 +2 *1071:5 *1071:7 51.0394 +3 *1071:7 *1071:9 4.5 +4 *1071:9 *1071:10 606.351 +5 *1071:10 *2820:la_data_out_mprj[19] 40.9201 +*END + +*D_NET *1072 0.151506 +*CONN +*I *2820:la_data_out_mprj[1] I *D mgmt_protect +*I *2826:la_output[1] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[1] 6.22868e-05 +2 *2826:la_output[1] 0.000672547 +3 *1072:13 0.00339019 +4 *1072:12 0.0033279 +5 *1072:10 0.0117799 +6 *1072:9 0.0124524 +7 *1072:9 *1339:9 0 +8 *1072:10 *1073:10 0.0406247 +9 *1072:10 *1721:24 0.0769634 +10 *1072:13 *2820:la_iena_mprj[1] 0 +11 *1072:13 *2820:la_oenb_mprj[0] 0 +12 *1072:13 *1096:7 0 +13 *1072:13 *1353:9 0.000184616 +14 *1072:13 *1480:15 0 +15 *816:7 *1072:13 0.00204838 +*RES +1 *2826:la_output[1] *1072:9 21.4643 +2 *1072:9 *1072:10 814.881 +3 *1072:10 *1072:12 4.5 +4 *1072:12 *1072:13 72.6325 +5 *1072:13 *2820:la_data_out_mprj[1] 1.77093 +*END + +*D_NET *1073 0.120095 +*CONN +*I *2820:la_data_out_mprj[20] I *D mgmt_protect +*I *2826:la_output[20] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[20] 0.00316083 +2 *2826:la_output[20] 0.000693983 +3 *1073:12 0.00316083 +4 *1073:10 0.00498459 +5 *1073:9 0.00567857 +6 *2820:la_data_out_mprj[20] *2820:la_iena_mprj[20] 0 +7 *2820:la_data_out_mprj[20] *1362:7 0 +8 *1073:9 *1330:7 0 +9 *1073:10 *1074:10 0.0542393 +10 *1073:10 *1721:24 0.00692307 +11 *817:7 *2820:la_data_out_mprj[20] 0.000629594 +12 *850:11 *2820:la_data_out_mprj[20] 0 +13 *1072:10 *1073:10 0.0406247 +*RES +1 *2826:la_output[20] *1073:9 21.8795 +2 *1073:9 *1073:10 594.704 +3 *1073:10 *1073:12 4.5 +4 *1073:12 *2820:la_data_out_mprj[20] 72.1318 +*END + +*D_NET *1074 0.125846 +*CONN +*I *2820:la_data_out_mprj[21] I *D mgmt_protect +*I *2826:la_output[21] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[21] 6.22868e-05 +2 *2826:la_output[21] 0.000712998 +3 *1074:13 0.0028655 +4 *1074:12 0.00280321 +5 *1074:10 0.0045219 +6 *1074:9 0.00523489 +7 *1074:9 *1331:9 0 +8 *1074:9 *1458:7 0 +9 *1074:10 *1075:10 0.0529776 +10 *1074:10 *1721:24 0.000177491 +11 *1074:13 *2820:la_iena_mprj[21] 0 +12 *1074:13 *2820:la_oenb_mprj[20] 0 +13 *1074:13 *1490:9 0.000241556 +14 *818:7 *1074:13 0.00200956 +15 *850:11 *1074:13 0 +16 *1073:10 *1074:10 0.0542393 +*RES +1 *2826:la_output[21] *1074:9 22.2948 +2 *1074:9 *1074:10 581.393 +3 *1074:10 *1074:12 4.5 +4 *1074:12 *1074:13 71.802 +5 *1074:13 *2820:la_data_out_mprj[21] 1.77093 +*END + +*D_NET *1075 0.123948 +*CONN +*I *2820:la_data_out_mprj[22] I *D mgmt_protect +*I *2826:la_output[22] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[22] 0.00242844 +2 *2826:la_output[22] 0.000734434 +3 *1075:12 0.00242844 +4 *1075:10 0.00446639 +5 *1075:9 0.00520083 +6 *2820:la_data_out_mprj[22] *1106:7 0 +7 *2820:la_data_out_mprj[22] *1331:13 0.00287826 +8 *2820:la_data_out_mprj[22] *1363:9 0 +9 *1075:9 *1332:7 0 +10 *1075:9 *1459:9 0 +11 *1075:10 *1076:10 0.0521343 +12 *1075:10 *1721:24 0.000169508 +13 *819:7 *2820:la_data_out_mprj[22] 0.000529744 +14 *1074:10 *1075:10 0.0529776 +*RES +1 *2826:la_output[22] *1075:9 22.71 +2 *1075:9 *1075:10 570.856 +3 *1075:10 *1075:12 4.5 +4 *1075:12 *2820:la_data_out_mprj[22] 71.3012 +*END + +*D_NET *1076 0.120227 +*CONN +*I *2820:la_data_out_mprj[23] I *D mgmt_protect +*I *2826:la_output[23] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[23] 0.00312462 +2 *2826:la_output[23] 0.00071635 +3 *1076:12 0.00312462 +4 *1076:10 0.00447389 +5 *1076:9 0.00519024 +6 *2820:la_data_out_mprj[23] *2820:la_iena_mprj[23] 0 +7 *2820:la_data_out_mprj[23] *2820:la_oenb_mprj[22] 0 +8 *2820:la_data_out_mprj[23] *1090:15 7.37782e-05 +9 *2820:la_data_out_mprj[23] *1346:15 1.72464e-05 +10 *2820:la_data_out_mprj[23] *1491:7 0 +11 *1076:9 *1460:7 0 +12 *1076:10 *1077:10 0.0509193 +13 *1076:10 *1721:24 5.36005e-05 +14 *820:7 *2820:la_data_out_mprj[23] 0 +15 *851:11 *2820:la_data_out_mprj[23] 0.00039875 +16 *1075:10 *1076:10 0.0521343 +*RES +1 *2826:la_output[23] *1076:9 22.0017 +2 *1076:9 *1076:10 560.873 +3 *1076:10 *1076:12 4.5 +4 *1076:12 *2820:la_data_out_mprj[23] 70.886 +*END + +*D_NET *1077 0.11766 +*CONN +*I *2820:la_data_out_mprj[24] I *D mgmt_protect +*I *2826:la_output[24] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[24] 4.25268e-05 +2 *2826:la_output[24] 0.000777306 +3 *1077:13 0.00281593 +4 *1077:12 0.0027734 +5 *1077:10 0.00440258 +6 *1077:9 0.00517989 +7 *1077:9 *1334:7 0 +8 *1077:9 *1461:9 0 +9 *1077:10 *1078:10 0.000863309 +10 *1077:10 *1079:10 0.0477715 +11 *1077:10 *1439:10 0 +12 *1077:10 *1721:24 0 +13 *1077:13 *2820:la_iena_mprj[24] 0 +14 *1077:13 *1107:9 0.000154885 +15 *1077:13 *1476:20 0 +16 *1077:13 *1491:7 0 +17 *821:7 *1077:13 0.00195942 +18 *1076:10 *1077:10 0.0509193 +*RES +1 *2826:la_output[24] *1077:9 23.5405 +2 *1077:9 *1077:10 546.453 +3 *1077:10 *1077:12 4.5 +4 *1077:12 *1077:13 70.5562 +5 *1077:13 *2820:la_data_out_mprj[24] 1.20912 +*END + +*D_NET *1078 0.0822655 +*CONN +*I *2820:la_data_out_mprj[25] I *D mgmt_protect +*I *2826:la_output[25] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[25] 0.00300235 +2 *2826:la_output[25] 0.000813338 +3 *1078:12 0.00300235 +4 *1078:10 0.0121872 +5 *1078:9 0.0130006 +6 *2820:la_data_out_mprj[25] *2820:la_iena_mprj[25] 0 +7 *2820:la_data_out_mprj[25] *1095:19 0 +8 *2820:la_data_out_mprj[25] *1364:11 0 +9 *1078:9 *1335:9 0 +10 *1078:9 *1462:7 0 +11 *1078:10 *1079:10 0.0487123 +12 *1078:10 *1347:10 0 +13 *1078:10 *1347:16 0 +14 *1078:10 *1347:18 0 +15 *1078:10 *1439:10 0 +16 *1078:10 *1439:14 0 +17 *1078:10 *1482:12 0 +18 *822:7 *2820:la_data_out_mprj[25] 0 +19 *852:11 *2820:la_data_out_mprj[25] 0.000684036 +20 *1077:10 *1078:10 0.000863309 +*RES +1 *2826:la_output[25] *1078:9 24.371 +2 *1078:9 *1078:10 536.47 +3 *1078:10 *1078:12 4.5 +4 *1078:12 *2820:la_data_out_mprj[25] 69.6402 +*END + +*D_NET *1079 0.113071 +*CONN +*I *2820:la_data_out_mprj[26] I *D mgmt_protect +*I *2826:la_output[26] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[26] 0.00303871 +2 *2826:la_output[26] 0.000798743 +3 *1079:12 0.00303871 +4 *1079:10 0.00419805 +5 *1079:9 0.00499679 +6 *2820:la_data_out_mprj[26] *2820:la_iena_mprj[26] 0 +7 *2820:la_data_out_mprj[26] *1108:9 0 +8 *2820:la_data_out_mprj[26] *1492:7 0 +9 *1079:9 *1336:7 0 +10 *1079:9 *1463:9 0 +11 *1079:10 *1347:18 0 +12 *1079:10 *1721:24 0 +13 *823:7 *2820:la_data_out_mprj[26] 0.000516304 +14 *1077:10 *1079:10 0.0477715 +15 *1078:10 *1079:10 0.0487123 +*RES +1 *2826:la_output[26] *1079:9 23.9558 +2 *1079:9 *1079:10 524.269 +3 *1079:10 *1079:12 4.5 +4 *1079:12 *2820:la_data_out_mprj[26] 70.0555 +*END + +*D_NET *1080 0.11338 +*CONN +*I *2820:la_data_out_mprj[27] I *D mgmt_protect +*I *2826:la_output[27] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[27] 0.000718255 +2 *2826:la_output[27] 7.21667e-05 +3 *1080:10 0.00491039 +4 *1080:9 0.00419213 +5 *1080:7 0.00343068 +6 *1080:5 0.00350285 +7 *2820:la_data_out_mprj[27] *2820:la_iena_mprj[27] 0 +8 *1080:7 *1337:9 0 +9 *1080:7 *1464:7 0 +10 *1080:10 *1336:10 0.000517688 +11 *1080:10 *1338:10 0.000378828 +12 *1080:10 *1428:10 0.00329243 +13 *1080:10 *1462:10 0.0472339 +14 *1080:10 *1466:10 0.0451305 +15 *824:7 *2820:la_data_out_mprj[27] 0 +*RES +1 *2826:la_output[27] *1080:5 2.05183 +2 *1080:5 *1080:7 69.3105 +3 *1080:7 *1080:9 4.5 +4 *1080:9 *1080:10 513.177 +5 *1080:10 *2820:la_data_out_mprj[27] 22.649 +*END + +*D_NET *1081 0.078139 +*CONN +*I *2820:la_data_out_mprj[28] I *D mgmt_protect +*I *2826:la_output[28] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[28] 0.00200477 +2 *2826:la_output[28] 0.00103828 +3 *1081:18 0.00200477 +4 *1081:16 0.00304376 +5 *1081:15 0.00402103 +6 *1081:10 0.00688339 +7 *1081:9 0.00694441 +8 *2820:la_data_out_mprj[28] *2820:la_iena_mprj[28] 0 +9 *1081:9 *1338:7 0 +10 *1081:9 *1465:9 0 +11 *1081:10 *1085:10 0.000688125 +12 *1081:10 *1087:10 0.000981922 +13 *1081:10 *1089:10 0.00427178 +14 *1081:10 *1091:10 0.000863309 +15 *1081:10 *1092:10 0.00379059 +16 *1081:10 *1439:10 0 +17 *1081:10 *1439:14 0.00117138 +18 *1081:10 *1457:10 0.000319366 +19 *1081:15 *1095:9 8.62625e-06 +20 *1081:15 *1352:7 0 +21 *1081:15 *1479:9 0 +22 *1081:16 *1089:16 0.00981722 +23 *1081:16 *1097:16 0.0159572 +24 *1081:16 *1323:10 0.000219999 +25 *1081:16 *1340:10 0.000293433 +26 *788:8 *1081:16 0.0014486 +27 *808:8 *1081:16 0.0111664 +28 *825:7 *2820:la_data_out_mprj[28] 0.000518848 +29 *845:16 *1081:16 0.000681778 +30 *853:11 *2820:la_data_out_mprj[28] 0 +*RES +1 *2826:la_output[28] *1081:9 30.1846 +2 *1081:9 *1081:10 243.085 +3 *1081:10 *1081:15 24.9506 +4 *1081:15 *1081:16 258.059 +5 *1081:16 *1081:18 4.5 +6 *1081:18 *2820:la_data_out_mprj[28] 48.0471 +*END + +*D_NET *1082 0.0790536 +*CONN +*I *2820:la_data_out_mprj[29] I *D mgmt_protect +*I *2826:la_output[29] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[29] 0.000611074 +2 *2826:la_output[29] 7.21667e-05 +3 *1082:10 0.0119743 +4 *1082:9 0.0113632 +5 *1082:7 0.00358607 +6 *1082:5 0.00365824 +7 *2820:la_data_out_mprj[29] *2820:la_iena_mprj[29] 0 +8 *1082:7 *1340:9 0 +9 *1082:10 *1084:10 0.0441868 +10 *1082:10 *1320:10 0.00309934 +11 *1082:10 *1338:10 0.000502461 +12 *826:7 *2820:la_data_out_mprj[29] 0 +*RES +1 *2826:la_output[29] *1082:5 2.05183 +2 *1082:5 *1082:7 71.3867 +3 *1082:7 *1082:9 4.5 +4 *1082:9 *1082:10 489.329 +5 *1082:10 *2820:la_data_out_mprj[29] 20.5727 +*END + +*D_NET *1083 0.174614 +*CONN +*I *2820:la_data_out_mprj[2] I *D mgmt_protect +*I *2826:la_output[2] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[2] 0.00281424 +2 *2826:la_output[2] 0.000833306 +3 *1083:12 0.00281424 +4 *1083:10 0.00567385 +5 *1083:9 0.00650716 +6 *2820:la_data_out_mprj[2] *2820:la_iena_mprj[2] 0 +7 *2820:la_data_out_mprj[2] *1481:9 1.05272e-06 +8 *1083:9 *1467:9 0 +9 *1083:9 *1729:27 0.0018205 +10 *1083:10 *1105:10 0.00129554 +11 *1083:10 *1339:10 0.00106723 +12 *1083:10 *1339:16 0.00231421 +13 *1083:10 *1351:10 0.00466986 +14 *1083:10 *1361:10 0.071467 +15 *1083:10 *1467:10 0.00392487 +16 *777:14 *1083:10 0.0686565 +17 *827:7 *2820:la_data_out_mprj[2] 0.000514722 +18 *841:11 *2820:la_data_out_mprj[2] 0.000239726 +*RES +1 *2826:la_output[2] *1083:9 36.8286 +2 *1083:9 *1083:10 804.899 +3 *1083:10 *1083:12 4.5 +4 *1083:12 *2820:la_data_out_mprj[2] 57.1827 +*END + +*D_NET *1084 0.108157 +*CONN +*I *2820:la_data_out_mprj[30] I *D mgmt_protect +*I *2826:la_output[30] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[30] 0.000490222 +2 *2826:la_output[30] 7.21667e-05 +3 *1084:10 0.00425666 +4 *1084:9 0.00376644 +5 *1084:7 0.00359963 +6 *1084:5 0.00367179 +7 *2820:la_data_out_mprj[30] *2820:la_iena_mprj[30] 0 +8 *1084:7 *1341:7 0 +9 *1084:7 *1468:9 0 +10 *1084:10 *1320:10 0.00308766 +11 *1084:10 *1338:10 0.00020979 +12 *1084:10 *1341:10 0.0444925 +13 *808:17 *1084:7 0 +14 *828:7 *2820:la_data_out_mprj[30] 0.000323488 +15 *1082:10 *1084:10 0.0441868 +*RES +1 *2826:la_output[30] *1084:5 2.05183 +2 *1084:5 *1084:7 70.9715 +3 *1084:7 *1084:9 4.5 +4 *1084:9 *1084:10 477.682 +5 *1084:10 *2820:la_data_out_mprj[30] 20.988 +*END + +*D_NET *1085 0.0919438 +*CONN +*I *2820:la_data_out_mprj[31] I *D mgmt_protect +*I *2826:la_output[31] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[31] 0.00214627 +2 *2826:la_output[31] 0.00111165 +3 *1085:18 0.00214627 +4 *1085:16 0.00139127 +5 *1085:15 0.00195685 +6 *1085:10 0.00479153 +7 *1085:9 0.0053376 +8 *2820:la_data_out_mprj[31] *2820:la_iena_mprj[31] 0 +9 *2820:la_data_out_mprj[31] *2820:la_oenb_mprj[31] 0 +10 *1085:9 *1342:9 0 +11 *1085:9 *1469:7 0 +12 *1085:10 *1087:10 0.0244104 +13 *1085:10 *1342:10 0 +14 *1085:10 *1457:10 0.001268 +15 *1085:10 *1468:10 0 +16 *1085:10 *1470:10 0 +17 *1085:10 *1472:10 0.000249254 +18 *1085:10 *1474:10 0.00069021 +19 *1085:10 *1476:10 0.00175831 +20 *1085:10 *1479:10 0.0114369 +21 *1085:15 *1101:7 0 +22 *1085:15 *1439:19 0.00075292 +23 *1085:15 *1485:9 0.000315116 +24 *817:8 *1085:16 0.000118462 +25 *829:7 *2820:la_data_out_mprj[31] 0 +26 *840:8 *1085:16 0.0156852 +27 *844:8 *1085:16 0.0156894 +28 *1081:10 *1085:10 0.000688125 +*RES +1 *2826:la_output[31] *1085:9 31.8456 +2 *1085:9 *1085:10 298.546 +3 *1085:10 *1085:15 24.5353 +4 *1085:15 *1085:16 167.659 +5 *1085:16 *1085:18 4.5 +6 *1085:18 *2820:la_data_out_mprj[31] 46.8014 +*END + +*D_NET *1086 0.103654 +*CONN +*I *2820:la_data_out_mprj[32] I *D mgmt_protect +*I *2826:la_output[32] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[32] 0.000593139 +2 *2826:la_output[32] 5.24068e-05 +3 *1086:10 0.00417582 +4 *1086:9 0.00358268 +5 *1086:7 0.00306908 +6 *1086:5 0.00312149 +7 *2820:la_data_out_mprj[32] *1342:13 0.00145149 +8 *1086:7 *1343:7 0 +9 *1086:7 *1470:9 0 +10 *1086:10 *1322:10 0.000183126 +11 *1086:10 *1343:10 0.0422877 +12 *1086:10 *1448:10 0.00274101 +13 *1086:10 *1469:10 0.000692756 +14 *1086:10 *1471:10 0.0412745 +15 *830:7 *2820:la_data_out_mprj[32] 0.000428549 +*RES +1 *2826:la_output[32] *1086:5 1.49002 +2 *1086:5 *1086:7 61.8359 +3 *1086:7 *1086:9 4.5 +4 *1086:9 *1086:10 454.944 +5 *1086:10 *2820:la_data_out_mprj[32] 30.1235 +*END + +*D_NET *1087 0.0895172 +*CONN +*I *2820:la_data_out_mprj[33] I *D mgmt_protect +*I *2826:la_output[33] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[33] 0.00180414 +2 *2826:la_output[33] 0.00109256 +3 *1087:18 0.00180414 +4 *1087:16 0.00190617 +5 *1087:15 0.00246332 +6 *1087:10 0.00299322 +7 *1087:9 0.00352863 +8 *2820:la_data_out_mprj[33] *2820:la_iena_mprj[33] 0 +9 *2820:la_data_out_mprj[33] *2820:la_oenb_mprj[32] 0 +10 *2820:la_data_out_mprj[33] *1107:13 4.78738e-05 +11 *2820:la_data_out_mprj[33] *1109:15 0.00155855 +12 *1087:9 *1344:7 0 +13 *1087:9 *1471:7 0 +14 *1087:10 *1089:10 0.0240345 +15 *1087:10 *1095:14 2.41483e-05 +16 *1087:10 *1359:12 0.000579018 +17 *1087:10 *1457:10 0.00128375 +18 *1087:10 *1479:10 0.0013046 +19 *1087:15 *1089:15 0.000690291 +20 *1087:15 *1487:9 0 +21 *1087:16 *1089:16 0.0138249 +22 *1087:16 *1340:10 0.0050656 +23 *1087:16 *1367:10 6.69658e-05 +24 *1087:16 *1468:16 5.2472e-05 +25 *1087:16 *1474:16 0 +26 *831:7 *2820:la_data_out_mprj[33] 0 +27 *1081:10 *1087:10 0.000981922 +28 *1085:10 *1087:10 0.0244104 +*RES +1 *2826:la_output[33] *1087:9 31.4303 +2 *1087:9 *1087:10 295.218 +3 *1087:10 *1087:15 22.8743 +4 *1087:15 *1087:16 147.693 +5 *1087:16 *1087:18 4.5 +6 *1087:18 *2820:la_data_out_mprj[33] 48.8776 +*END + +*D_NET *1088 0.091403 +*CONN +*I *2820:la_data_out_mprj[34] I *D mgmt_protect +*I *2826:la_output[34] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[34] 0.00104284 +2 *2826:la_output[34] 7.21667e-05 +3 *1088:14 0.00209525 +4 *1088:12 0.0010706 +5 *1088:10 0.0033631 +6 *1088:9 0.00334491 +7 *1088:7 0.00300472 +8 *1088:5 0.00307689 +9 *2820:la_data_out_mprj[34] *2820:la_iena_mprj[34] 0 +10 *1088:7 *1345:9 0 +11 *1088:7 *1472:9 0 +12 *1088:10 *1090:10 0.000644459 +13 *1088:10 *1324:10 0.00240658 +14 *1088:10 *1344:10 0.000389428 +15 *1088:10 *1473:10 0.0304257 +16 *1088:10 *1473:14 1.67988e-05 +17 *1088:10 *1475:10 0.0266084 +18 *1088:12 *1473:12 1.09551e-05 +19 *1088:14 *1473:14 0.00851685 +20 *1088:14 *1475:10 0.00278783 +21 *1088:14 *1475:14 0.00208965 +22 *832:7 *2820:la_data_out_mprj[34] 0.000435932 +*RES +1 *2826:la_output[34] *1088:5 2.05183 +2 *1088:5 *1088:7 59.7597 +3 *1088:7 *1088:9 4.5 +4 *1088:9 *1088:10 339.586 +5 *1088:10 *1088:12 0.578717 +6 *1088:12 *1088:14 91.6784 +7 *1088:14 *2820:la_data_out_mprj[34] 31.7845 +*END + +*D_NET *1089 0.0856934 +*CONN +*I *2820:la_data_out_mprj[35] I *D mgmt_protect +*I *2826:la_output[35] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[35] 0.00219238 +2 *2826:la_output[35] 0.0010743 +3 *1089:18 0.00219238 +4 *1089:16 0.00182516 +5 *1089:15 0.00222801 +6 *1089:10 0.00248637 +7 *1089:9 0.00315782 +8 *2820:la_data_out_mprj[35] *2820:la_iena_mprj[35] 0 +9 *1089:9 *1346:7 0 +10 *1089:9 *1473:7 0 +11 *1089:10 *1092:10 7.6719e-06 +12 *1089:10 *1095:12 0.000670317 +13 *1089:10 *1095:14 0.0124946 +14 *1089:10 *1457:10 0.00107161 +15 *1089:10 *1480:12 0.000426154 +16 *1089:15 *1487:9 0 +17 *1089:16 *1097:16 0.00248382 +18 *1089:16 *1340:10 7.92757e-06 +19 *1089:16 *1367:10 0 +20 *2826:la_input[48] *1089:15 0.000736209 +21 *833:7 *2820:la_data_out_mprj[35] 0 +22 *856:11 *2820:la_data_out_mprj[35] 0 +23 *1081:10 *1089:10 0.00427178 +24 *1081:16 *1089:16 0.00981722 +25 *1087:10 *1089:10 0.0240345 +26 *1087:15 *1089:15 0.000690291 +27 *1087:16 *1089:16 0.0138249 +*RES +1 *2826:la_output[35] *1089:9 31.0151 +2 *1089:9 *1089:10 254.177 +3 *1089:10 *1089:15 23.7048 +4 *1089:15 *1089:16 165.441 +5 *1089:16 *1089:18 4.5 +6 *1089:18 *2820:la_data_out_mprj[35] 48.4624 +*END + +*D_NET *1090 0.0827506 +*CONN +*I *2820:la_data_out_mprj[36] I *D mgmt_protect +*I *2826:la_output[36] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[36] 0.0013763 +2 *2826:la_output[36] 7.21667e-05 +3 *1090:16 0.00390388 +4 *1090:15 0.00266982 +5 *1090:10 0.00288325 +6 *1090:9 0.00274101 +7 *1090:7 0.00300453 +8 *1090:5 0.0030767 +9 *2820:la_data_out_mprj[36] *2820:la_iena_mprj[36] 0 +10 *2820:la_data_out_mprj[36] *1112:7 0.000166578 +11 *1090:7 *1347:9 0 +12 *1090:7 *1474:9 0 +13 *1090:10 *1326:10 0.00168247 +14 *1090:10 *1348:10 0.0255018 +15 *1090:10 *1475:10 0.0264578 +16 *1090:15 *1346:15 8.62625e-06 +17 *1090:15 *1491:7 0 +18 *1090:16 *1095:20 0 +19 *1090:16 *1099:10 0.00222465 +20 *1090:16 *1100:16 0.0051509 +21 *2820:la_data_out_mprj[23] *1090:15 7.37782e-05 +22 *826:8 *1090:16 0.00111189 +23 *834:7 *2820:la_data_out_mprj[36] 0 +24 *1088:10 *1090:10 0.000644459 +*RES +1 *2826:la_output[36] *1090:5 2.05183 +2 *1090:5 *1090:7 58.9292 +3 *1090:7 *1090:9 4.5 +4 *1090:9 *1090:10 296.882 +5 *1090:10 *1090:15 12.493 +6 *1090:15 *1090:16 110.535 +7 *1090:16 *2820:la_data_out_mprj[36] 36.3523 +*END + +*D_NET *1091 0.0777574 +*CONN +*I *2820:la_data_out_mprj[37] I *D mgmt_protect +*I *2826:la_output[37] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[37] 0.00136288 +2 *2826:la_output[37] 0.0010159 +3 *1091:20 0.00314189 +4 *1091:19 0.00320557 +5 *1091:10 0.00343001 +6 *1091:9 0.00301934 +7 *2820:la_data_out_mprj[37] *2820:la_iena_mprj[37] 0 +8 *2820:la_data_out_mprj[37] *1357:15 9.36977e-05 +9 *1091:9 *1348:7 0 +10 *1091:9 *1475:7 0 +11 *1091:10 *1092:10 0.0198712 +12 *1091:10 *1097:10 0.00441076 +13 *1091:10 *1100:10 0.0064604 +14 *1091:10 *1439:14 0.00486349 +15 *1091:10 *1457:10 0.000102215 +16 *1091:19 *1360:7 0 +17 *1091:20 *1092:16 0.00238977 +18 *1091:20 *1098:16 0.00759537 +19 *2820:la_data_out_mprj[18] *1091:19 9.51365e-05 +20 *814:7 *1091:19 8.62625e-06 +21 *815:8 *1091:20 0.000738355 +22 *818:8 *1091:20 0.00233087 +23 *835:7 *2820:la_data_out_mprj[37] 0 +24 *848:8 *1091:20 0.00222976 +25 *848:11 *1091:19 0 +26 *852:8 *1091:20 0.00951946 +27 *857:11 *2820:la_data_out_mprj[37] 0.00100936 +28 *1081:10 *1091:10 0.000863309 +*RES +1 *2826:la_output[37] *1091:9 29.3541 +2 *1091:9 *1091:10 230.884 +3 *1091:10 *1091:19 37.4814 +4 *1091:19 *1091:20 164.886 +5 *1091:20 *2820:la_data_out_mprj[37] 41.7506 +*END + +*D_NET *1092 0.080491 +*CONN +*I *2820:la_data_out_mprj[38] I *D mgmt_protect +*I *2826:la_output[38] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[38] 0.00126919 +2 *2826:la_output[38] 0.00103975 +3 *1092:16 0.00305029 +4 *1092:15 0.00265746 +5 *1092:10 0.00264063 +6 *1092:9 0.00280403 +7 *2820:la_data_out_mprj[38] *2820:la_iena_mprj[38] 0 +8 *2820:la_data_out_mprj[38] *1113:7 0.000268562 +9 *1092:9 *1349:9 0 +10 *1092:10 *1095:12 0.00195116 +11 *1092:10 *1095:14 0.00170758 +12 *1092:10 *1098:10 0.0117894 +13 *1092:10 *1100:10 0.000677667 +14 *1092:10 *1457:10 0.000310253 +15 *1092:15 *2820:la_oenb_mprj[19] 0.000493279 +16 *1092:15 *1104:9 0 +17 *1092:15 *1362:7 0.00143298 +18 *1092:16 *1095:20 0.00992073 +19 *1092:16 *1098:16 0.00836394 +20 *818:8 *1092:16 0.00087833 +21 *819:8 *1092:16 0.00171291 +22 *821:8 *1092:16 0.000710858 +23 *822:8 *1092:16 0.000127047 +24 *823:8 *1092:16 4.30583e-05 +25 *836:7 *2820:la_data_out_mprj[38] 0.000582692 +26 *1081:10 *1092:10 0.00379059 +27 *1089:10 *1092:10 7.6719e-06 +28 *1091:10 *1092:10 0.0198712 +29 *1091:20 *1092:16 0.00238977 +*RES +1 *2826:la_output[38] *1092:9 29.7693 +2 *1092:9 *1092:10 226.447 +3 *1092:10 *1092:15 36.9929 +4 *1092:15 *1092:16 157.676 +5 *1092:16 *2820:la_data_out_mprj[38] 40.9201 +*END + +*D_NET *1093 0.081793 +*CONN +*I *2820:la_data_out_mprj[39] I *D mgmt_protect +*I *2826:la_output[39] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[39] 0.00135601 +2 *2826:la_output[39] 7.21667e-05 +3 *1093:10 0.00523077 +4 *1093:9 0.00387476 +5 *1093:7 0.00298497 +6 *1093:5 0.00305713 +7 *2820:la_data_out_mprj[39] *1349:19 0 +8 *2820:la_data_out_mprj[39] *1363:15 3.00073e-05 +9 *2820:la_data_out_mprj[39] *1365:15 0 +10 *1093:7 *1351:9 0 +11 *1093:7 *1477:7 0 +12 *1093:10 *1096:10 0.03104 +13 *1093:10 *1352:10 0.0325099 +14 *1093:10 *1454:10 0.000606422 +15 *1093:10 *1477:10 0.00103083 +16 *826:8 *1093:10 0 +17 *837:5 *2820:la_data_out_mprj[39] 0 +*RES +1 *2826:la_output[39] *1093:5 2.05183 +2 *1093:5 *1093:7 57.2682 +3 *1093:7 *1093:9 4.5 +4 *1093:9 *1093:10 372.862 +5 *1093:10 *2820:la_data_out_mprj[39] 34.6913 +*END + +*D_NET *1094 0.168385 +*CONN +*I *2820:la_data_out_mprj[3] I *D mgmt_protect +*I *2826:la_output[3] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[3] 0.00104506 +2 *2826:la_output[3] 7.21667e-05 +3 *1094:10 0.0072298 +4 *1094:9 0.00618474 +5 *1094:7 0.00247903 +6 *1094:5 0.0025512 +7 *2820:la_data_out_mprj[3] *2820:la_iena_mprj[3] 0 +8 *1094:7 *1361:9 0 +9 *1094:7 *1478:7 0 +10 *1094:10 *1116:10 0.00174019 +11 *1094:10 *1138:10 0.0679892 +12 *1094:10 *1350:10 0.00961635 +13 *1094:10 *1478:10 0.00101286 +14 *1094:10 *1522:10 0.068463 +15 *799:13 *2820:la_data_out_mprj[3] 1.05272e-06 +16 *838:7 *2820:la_data_out_mprj[3] 0 +*RES +1 *2826:la_output[3] *1094:5 2.05183 +2 *1094:5 *1094:7 64.7427 +3 *1094:7 *1094:9 4.5 +4 *1094:9 *1094:10 793.252 +5 *1094:10 *2820:la_data_out_mprj[3] 27.2167 +*END + +*D_NET *1095 0.0672141 +*CONN +*I *2820:la_data_out_mprj[40] I *D mgmt_protect +*I *2826:la_output[40] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[40] 0.00138 +2 *2826:la_output[40] 0.00105897 +3 *1095:20 0.00449729 +4 *1095:19 0.00428 +5 *1095:14 0.00316081 +6 *1095:12 0.00221096 +7 *1095:9 0.00127184 +8 *2820:la_data_out_mprj[40] *2820:la_iena_mprj[40] 0 +9 *1095:9 *1352:7 0 +10 *1095:9 *1479:9 0 +11 *1095:12 *1480:12 0.00043038 +12 *1095:14 *1098:10 0.0165763 +13 *1095:14 *1359:12 0.000402816 +14 *1095:14 *1359:14 0.00276779 +15 *1095:14 *1457:10 0.000523202 +16 *1095:19 *1492:7 0.000555671 +17 *1095:20 *1098:16 0.00068063 +18 *1095:20 *1357:16 0 +19 *2820:la_data_out_mprj[25] *1095:19 0 +20 *823:8 *1095:20 2.32967e-05 +21 *824:8 *1095:20 4.77612e-05 +22 *825:8 *1095:20 0 +23 *826:8 *1095:20 0 +24 *839:5 *2820:la_data_out_mprj[40] 0.000569193 +25 *852:11 *1095:19 0 +26 *1081:15 *1095:9 8.62625e-06 +27 *1087:10 *1095:14 2.41483e-05 +28 *1089:10 *1095:12 0.000670317 +29 *1089:10 *1095:14 0.0124946 +30 *1090:16 *1095:20 0 +31 *1092:10 *1095:12 0.00195116 +32 *1092:10 *1095:14 0.00170758 +33 *1092:16 *1095:20 0.00992073 +*RES +1 *2826:la_output[40] *1095:9 30.1846 +2 *1095:9 *1095:12 21.2678 +3 *1095:12 *1095:14 216.464 +4 *1095:14 *1095:19 36.5776 +5 *1095:19 *1095:20 123.845 +6 *1095:20 *2820:la_data_out_mprj[40] 40.5048 +*END + +*D_NET *1096 0.0774822 +*CONN +*I *2820:la_data_out_mprj[41] I *D mgmt_protect +*I *2826:la_output[41] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[41] 0.00141 +2 *2826:la_output[41] 3.26469e-05 +3 *1096:10 0.00555882 +4 *1096:9 0.00414881 +5 *1096:7 0.00257938 +6 *1096:5 0.00261203 +7 *2820:la_data_out_mprj[41] *2820:la_iena_mprj[41] 0 +8 *1096:7 *1480:12 0 +9 *1096:7 *1480:15 0.00148126 +10 *1096:10 *1099:10 0.0268091 +11 *1096:10 *1352:10 5.49044e-05 +12 *1096:10 *1366:16 0.00143671 +13 *1096:10 *1454:10 0.000318578 +14 *2820:la_data_out_mprj[0] *1096:7 0 +15 *777:5 *1096:7 0 +16 *826:8 *1096:10 0 +17 *840:5 *2820:la_data_out_mprj[41] 0 +18 *1072:13 *1096:7 0 +19 *1093:10 *1096:10 0.03104 +*RES +1 *2826:la_output[41] *1096:5 0.928211 +2 *1096:5 *1096:7 56.8529 +3 *1096:7 *1096:9 4.5 +4 *1096:9 *1096:10 350.678 +5 *1096:10 *2820:la_data_out_mprj[41] 35.1065 +*END + +*D_NET *1097 0.0707185 +*CONN +*I *2820:la_data_out_mprj[42] I *D mgmt_protect +*I *2826:la_output[42] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[42] 0.00166531 +2 *2826:la_output[42] 0.001024 +3 *1097:18 0.00166531 +4 *1097:16 0.0034006 +5 *1097:15 0.00420377 +6 *1097:10 0.00114237 +7 *1097:9 0.00136318 +8 *2820:la_data_out_mprj[42] *2820:la_iena_mprj[42] 0 +9 *2820:la_data_out_mprj[42] *1367:15 0.000390548 +10 *1097:9 *1354:7 0 +11 *1097:9 *1481:9 0 +12 *1097:10 *1439:14 0.00441498 +13 *1097:15 *1356:7 0.000298642 +14 *1097:15 *1405:15 0.000301647 +15 *1097:16 *1323:10 0.000112976 +16 *1097:16 *1367:10 0 +17 *1097:16 *1369:10 0.000279741 +18 *2826:la_input[45] *1097:15 0 +19 *788:8 *1097:16 8.03262e-05 +20 *841:7 *2820:la_data_out_mprj[42] 0.000645681 +21 *844:8 *1097:16 0.0268776 +22 *859:11 *2820:la_data_out_mprj[42] 0 +23 *1081:16 *1097:16 0.0159572 +24 *1089:16 *1097:16 0.00248382 +25 *1091:10 *1097:10 0.00441076 +*RES +1 *2826:la_output[42] *1097:9 28.9388 +2 *1097:9 *1097:10 46.7555 +3 *1097:10 *1097:15 26.6116 +4 *1097:15 *1097:16 290.781 +5 *1097:16 *1097:18 4.5 +6 *1097:18 *2820:la_data_out_mprj[42] 47.6319 +*END + +*D_NET *1098 0.0665589 +*CONN +*I *2820:la_data_out_mprj[43] I *D mgmt_protect +*I *2826:la_output[43] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[43] 0.00151044 +2 *2826:la_output[43] 0.00106802 +3 *1098:16 0.00340383 +4 *1098:15 0.00302941 +5 *1098:10 0.00303785 +6 *1098:9 0.00296985 +7 *2820:la_data_out_mprj[43] *2820:la_iena_mprj[43] 0 +8 *2820:la_data_out_mprj[43] *1115:7 2.78486e-05 +9 *2820:la_data_out_mprj[43] *1368:15 0.000619094 +10 *2820:la_data_out_mprj[43] *1494:15 0 +11 *1098:9 *1355:9 0 +12 *1098:9 *1482:12 0 +13 *1098:10 *1100:10 0.000865938 +14 *1098:10 *1107:10 0.000848219 +15 *1098:10 *1108:10 0.00095501 +16 *1098:10 *1359:14 0.000395077 +17 *1098:10 *1457:10 0.000216928 +18 *1098:15 *1493:9 0 +19 *842:7 *2820:la_data_out_mprj[43] 0 +20 *852:8 *1098:16 0.00204948 +21 *853:11 *1098:15 0.00055632 +22 *1091:20 *1098:16 0.00759537 +23 *1092:10 *1098:10 0.0117894 +24 *1092:16 *1098:16 0.00836394 +25 *1095:14 *1098:10 0.0165763 +26 *1095:20 *1098:16 0.00068063 +*RES +1 *2826:la_output[43] *1098:9 30.1846 +2 *1098:9 *1098:10 192.616 +3 *1098:10 *1098:15 36.1624 +4 *1098:15 *1098:16 133.274 +5 *1098:16 *2820:la_data_out_mprj[43] 41.3353 +*END + +*D_NET *1099 0.0612584 +*CONN +*I *2820:la_data_out_mprj[44] I *D mgmt_protect +*I *2826:la_output[44] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[44] 0.00113025 +2 *2826:la_output[44] 7.21667e-05 +3 *1099:10 0.00605418 +4 *1099:9 0.00492393 +5 *1099:7 0.00286337 +6 *1099:5 0.00293554 +7 *2820:la_data_out_mprj[44] *2820:la_iena_mprj[44] 0 +8 *2820:la_data_out_mprj[44] *1371:15 0.000443279 +9 *2820:la_data_out_mprj[44] *1495:15 0.000153255 +10 *1099:7 *2820:la_data_out_mprj[8] 0 +11 *1099:7 *1356:7 0 +12 *1099:7 *1483:9 0 +13 *1099:10 *1100:16 0.0115147 +14 *1099:10 *1366:16 0.00101529 +15 *826:8 *1099:10 0.000755873 +16 *843:7 *2820:la_data_out_mprj[44] 0.000362769 +17 *893:7 *1099:7 0 +18 *1090:16 *1099:10 0.00222465 +19 *1096:10 *1099:10 0.0268091 +*RES +1 *2826:la_output[44] *1099:5 2.05183 +2 *1099:5 *1099:7 56.4377 +3 *1099:7 *1099:9 4.5 +4 *1099:9 *1099:10 314.074 +5 *1099:10 *2820:la_data_out_mprj[44] 35.5218 +*END + +*D_NET *1100 0.0644409 +*CONN +*I *2820:la_data_out_mprj[45] I *D mgmt_protect +*I *2826:la_output[45] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[45] 0.00113772 +2 *2826:la_output[45] 0.000994458 +3 *1100:16 0.00287109 +4 *1100:15 0.00253935 +5 *1100:10 0.00245726 +6 *1100:9 0.00264574 +7 *2820:la_data_out_mprj[45] *2820:la_iena_mprj[45] 0.000364658 +8 *2820:la_data_out_mprj[45] *2820:la_oenb_mprj[45] 0 +9 *2820:la_data_out_mprj[45] *1487:15 0.000701475 +10 *2820:la_data_out_mprj[45] *1490:15 7.49661e-05 +11 *1100:9 *1357:9 0 +12 *1100:9 *1484:9 0 +13 *1100:10 *1102:10 0.0121267 +14 *1100:10 *1107:10 0.0045041 +15 *1100:10 *1347:18 0.00111142 +16 *1100:10 *1439:14 0.00132255 +17 *1100:15 *1102:15 0.00180758 +18 *1100:15 *1104:15 0.00145557 +19 *1100:15 *1366:9 0 +20 *1100:16 *1357:16 0.00217172 +21 *1100:16 *1366:16 1.55995e-05 +22 *1100:16 *1493:16 0.000306834 +23 *1100:16 *1494:16 0.00116248 +24 *844:5 *2820:la_data_out_mprj[45] 0 +25 *1090:16 *1100:16 0.0051509 +26 *1091:10 *1100:10 0.0064604 +27 *1092:10 *1100:10 0.000677667 +28 *1098:10 *1100:10 0.000865938 +29 *1099:10 *1100:16 0.0115147 +*RES +1 *2826:la_output[45] *1100:9 28.9388 +2 *1100:9 *1100:10 169.323 +3 *1100:10 *1100:15 42.8064 +4 *1100:15 *1100:16 133.828 +5 *1100:16 *2820:la_data_out_mprj[45] 36.6698 +*END + +*D_NET *1101 0.0667816 +*CONN +*I *2820:la_data_out_mprj[46] I *D mgmt_protect +*I *2826:la_output[46] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[46] 0.000909214 +2 *2826:la_output[46] 1.28869e-05 +3 *1101:14 0.00335821 +4 *1101:12 0.00298986 +5 *1101:7 0.00345545 +6 *1101:5 0.00292748 +7 *2820:la_data_out_mprj[46] *2820:la_iena_mprj[46] 0 +8 *1101:7 *1485:9 0 +9 *1101:12 *1356:10 0.00169452 +10 *1101:12 *1482:22 0.00394306 +11 *1101:14 *1103:14 0.000127366 +12 *1101:14 *1356:10 0.0226394 +13 *1101:14 *1369:16 0.000250542 +14 *1101:14 *1371:16 0.00164916 +15 *1101:14 *1482:22 1.41689e-05 +16 *1101:14 *1482:24 0.0212104 +17 *2820:la_data_out_mprj[12] *1101:7 0.00079789 +18 *808:5 *1101:7 0.000439878 +19 *845:7 *2820:la_data_out_mprj[46] 0.000362101 +20 *1085:15 *1101:7 0 +*RES +1 *2826:la_output[46] *1101:5 0.366399 +2 *1101:5 *1101:7 64.7427 +3 *1101:7 *1101:12 47.3974 +4 *1101:12 *1101:14 250.85 +5 *1101:14 *2820:la_data_out_mprj[46] 27.632 +*END + +*D_NET *1102 0.0632765 +*CONN +*I *2820:la_data_out_mprj[47] I *D mgmt_protect +*I *2826:la_output[47] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[47] 0.00496509 +2 *2826:la_output[47] 0.000975443 +3 *1102:15 0.00665048 +4 *1102:12 0.00168539 +5 *1102:10 0.000985841 +6 *1102:9 0.00196128 +7 *2820:la_data_out_mprj[47] *2820:la_data_out_mprj[49] 0.0158903 +8 *2820:la_data_out_mprj[47] *2820:la_iena_mprj[47] 0 +9 *2820:la_data_out_mprj[47] *2820:la_iena_mprj[48] 0.003575 +10 *1102:9 *1359:9 0 +11 *1102:10 *1107:10 1.92172e-05 +12 *1102:10 *1347:18 0.0121798 +13 *1102:15 *1104:15 0.000189648 +14 *828:7 *1102:15 0.000264753 +15 *846:9 *2820:la_data_out_mprj[47] 0 +16 *854:11 *1102:15 0 +17 *1100:10 *1102:10 0.0121267 +18 *1100:15 *1102:15 0.00180758 +*RES +1 *2826:la_output[47] *1102:9 28.5236 +2 *1102:9 *1102:10 129.391 +3 *1102:10 *1102:12 4.5 +4 *1102:12 *1102:15 49.8404 +5 *1102:15 *2820:la_data_out_mprj[47] 43.2581 +*END + +*D_NET *1103 0.0614509 +*CONN +*I *2820:la_data_out_mprj[48] I *D mgmt_protect +*I *2826:la_output[48] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[48] 0.000994885 +2 *2826:la_output[48] 7.21667e-05 +3 *1103:14 0.0036971 +4 *1103:12 0.00274204 +5 *1103:7 0.00296985 +6 *1103:5 0.00300219 +7 *2820:la_data_out_mprj[48] *2820:la_iena_mprj[48] 0 +8 *1103:7 *2820:la_iena_mprj[17] 0 +9 *1103:7 *1360:7 0 +10 *1103:7 *1487:9 0 +11 *1103:12 *1330:10 0.000113968 +12 *1103:12 *1482:22 1.90039e-05 +13 *1103:12 *1482:24 3.57037e-05 +14 *1103:14 *1106:10 0.02096 +15 *1103:14 *1330:10 0.00145531 +16 *1103:14 *1332:10 7.83176e-05 +17 *1103:14 *1371:16 0.00325457 +18 *1103:14 *1482:24 0.0211129 +19 *2820:la_data_out_mprj[17] *1103:7 0.000398393 +20 *813:7 *1103:7 0.000417113 +21 *847:9 *2820:la_data_out_mprj[48] 0 +22 *1101:14 *1103:14 0.000127366 +*RES +1 *2826:la_output[48] *1103:5 2.05183 +2 *1103:5 *1103:7 65.5732 +3 *1103:7 *1103:12 6.35672 +4 *1103:12 *1103:14 266.379 +5 *1103:14 *2820:la_data_out_mprj[48] 26.8015 +*END + +*D_NET *1104 0.0677525 +*CONN +*I *2820:la_data_out_mprj[49] I *D mgmt_protect +*I *2826:la_output[49] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[49] 0.00424017 +2 *2826:la_output[49] 0.00133892 +3 *1104:15 0.00574751 +4 *1104:10 0.00221229 +5 *1104:9 0.00204387 +6 *2820:la_data_out_mprj[49] *2820:la_iena_mprj[48] 0.000267248 +7 *2820:la_data_out_mprj[49] *2820:la_iena_mprj[49] 0 +8 *2820:la_data_out_mprj[49] *2820:la_oenb_mprj[48] 4.61116e-05 +9 *2820:la_data_out_mprj[49] *1491:12 0.0178457 +10 *1104:9 *2820:la_oenb_mprj[19] 0 +11 *1104:9 *1362:7 0 +12 *1104:9 *1468:15 8.62625e-06 +13 *1104:9 *1488:7 0 +14 *1104:10 *1342:10 0.00823534 +15 *1104:10 *1353:10 0.00823113 +16 *2820:la_data_out_mprj[47] *2820:la_data_out_mprj[49] 0.0158903 +17 *848:7 *2820:la_data_out_mprj[49] 0 +18 *1092:15 *1104:9 0 +19 *1100:15 *1104:15 0.00145557 +20 *1102:15 *1104:15 0.000189648 +*RES +1 *2826:la_output[49] *1104:9 35.5828 +2 *1104:9 *1104:10 87.7962 +3 *1104:10 *1104:15 46.4506 +4 *1104:15 *2820:la_data_out_mprj[49] 46.6128 +*END + +*D_NET *1105 0.16788 +*CONN +*I *2820:la_data_out_mprj[4] I *D mgmt_protect +*I *2826:la_output[4] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[4] 0.00259107 +2 *2826:la_output[4] 0.00130728 +3 *1105:12 0.00259107 +4 *1105:10 0.00594331 +5 *1105:9 0.00725059 +6 *2820:la_data_out_mprj[4] *2820:la_iena_mprj[4] 0 +7 *1105:9 *1372:7 0 +8 *1105:9 *1489:9 0 +9 *1105:10 *1127:10 0.0701859 +10 *1105:10 *1351:10 0.00628818 +11 *1105:10 *1489:10 0.00403238 +12 *777:14 *1105:10 0.0648399 +13 *842:11 *2820:la_data_out_mprj[4] 0.000808041 +14 *849:7 *2820:la_data_out_mprj[4] 0.00049962 +15 *849:14 *1105:10 0.000247042 +16 *1083:10 *1105:10 0.00129554 +*RES +1 *2826:la_output[4] *1105:9 37.6591 +2 *1105:9 *1105:10 781.605 +3 *1105:10 *1105:12 4.5 +4 *1105:12 *2820:la_data_out_mprj[4] 56.3522 +*END + +*D_NET *1106 0.0559482 +*CONN +*I *2820:la_data_out_mprj[50] I *D mgmt_protect +*I *2826:la_output[50] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[50] 0.000777848 +2 *2826:la_output[50] 7.21667e-05 +3 *1106:10 0.00331017 +4 *1106:9 0.00253233 +5 *1106:7 0.00300506 +6 *1106:5 0.00307722 +7 *2820:la_data_out_mprj[50] *2820:la_iena_mprj[50] 0 +8 *1106:7 *1363:9 0 +9 *1106:7 *1490:9 0 +10 *1106:10 *1332:10 0.000560858 +11 *1106:10 *1334:10 5.09219e-05 +12 *1106:10 *1364:14 0.0202086 +13 *1106:10 *1371:16 0.000725963 +14 *1106:10 *1460:10 3.42853e-05 +15 *2820:la_data_out_mprj[22] *1106:7 0 +16 *819:7 *1106:7 0.000264352 +17 *850:7 *2820:la_data_out_mprj[50] 0.000368439 +18 *1103:14 *1106:10 0.02096 +*RES +1 *2826:la_output[50] *1106:5 2.05183 +2 *1106:5 *1106:7 65.5732 +3 *1106:7 *1106:9 4.5 +4 *1106:9 *1106:10 243.64 +5 *1106:10 *2820:la_data_out_mprj[50] 26.3862 +*END + +*D_NET *1107 0.0493111 +*CONN +*I *2820:la_data_out_mprj[51] I *D mgmt_protect +*I *2826:la_output[51] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[51] 0.00063251 +2 *2826:la_output[51] 0.000931781 +3 *1107:16 0.00284065 +4 *1107:15 0.00220814 +5 *1107:13 0.00155248 +6 *1107:12 0.00155248 +7 *1107:10 0.000938163 +8 *1107:9 0.00186994 +9 *2820:la_data_out_mprj[51] *2820:la_iena_mprj[51] 0 +10 *1107:9 *1364:9 0 +11 *1107:9 *1364:11 0 +12 *1107:9 *1491:7 0 +13 *1107:10 *1108:10 0.00472073 +14 *1107:10 *1110:12 0.000209646 +15 *1107:10 *1347:18 0.000754103 +16 *1107:13 *2820:la_iena_mprj[33] 0.000466183 +17 *1107:13 *1109:15 0.000925816 +18 *1107:13 *1111:11 0.000865749 +19 *1107:13 *1495:9 0 +20 *1107:16 *1108:16 0.000875364 +21 *1107:16 *1112:10 0.0121521 +22 *1107:16 *1113:10 0.010241 +23 *2820:la_data_out_mprj[33] *1107:13 4.78738e-05 +24 *851:7 *2820:la_data_out_mprj[51] 0 +25 *1077:13 *1107:9 0.000154885 +26 *1098:10 *1107:10 0.000848219 +27 *1100:10 *1107:10 0.0045041 +28 *1102:10 *1107:10 1.92172e-05 +*RES +1 *2826:la_output[51] *1107:9 29.3541 +2 *1107:9 *1107:10 79.4771 +3 *1107:10 *1107:12 4.5 +4 *1107:12 *1107:13 48.3402 +5 *1107:13 *1107:15 4.5 +6 *1107:15 *1107:16 153.239 +7 *1107:16 *2820:la_data_out_mprj[51] 20.988 +*END + +*D_NET *1108 0.0498981 +*CONN +*I *2820:la_data_out_mprj[52] I *D mgmt_protect +*I *2826:la_output[52] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[52] 0.000529347 +2 *2826:la_output[52] 0.000992939 +3 *1108:16 0.00253739 +4 *1108:15 0.00200804 +5 *1108:13 0.00140285 +6 *1108:12 0.00140285 +7 *1108:10 0.000628314 +8 *1108:9 0.00162125 +9 *2820:la_data_out_mprj[52] *2820:la_iena_mprj[52] 0 +10 *1108:9 *1365:9 0 +11 *1108:9 *1492:7 0 +12 *1108:10 *1109:12 0.000485636 +13 *1108:10 *1110:12 0.00040048 +14 *1108:10 *1359:14 0.000170403 +15 *1108:13 *2820:la_oenb_mprj[32] 0 +16 *1108:13 *1342:13 0.000883888 +17 *1108:13 *1367:9 0 +18 *1108:16 *1109:16 5.63648e-05 +19 *1108:16 *1110:16 0.0146706 +20 *1108:16 *1112:10 0.0129188 +21 *2820:la_data_out_mprj[26] *1108:9 0 +22 *852:7 *2820:la_data_out_mprj[52] 0.000332124 +23 *854:8 *1108:16 0 +24 *855:11 *1108:13 0.00230574 +25 *1098:10 *1108:10 0.00095501 +26 *1107:10 *1108:10 0.00472073 +27 *1107:16 *1108:16 0.000875364 +*RES +1 *2826:la_output[52] *1108:9 29.7693 +2 *1108:9 *1108:10 50.0831 +3 *1108:10 *1108:12 4.5 +4 *1108:12 *1108:13 47.0945 +5 *1108:13 *1108:15 4.5 +6 *1108:15 *1108:16 170.432 +7 *1108:16 *2820:la_data_out_mprj[52] 21.8185 +*END + +*D_NET *1109 0.0497369 +*CONN +*I *2820:la_data_out_mprj[53] I *D mgmt_protect +*I *2826:la_output[53] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[53] 0.000747425 +2 *2826:la_output[53] 0.00104017 +3 *1109:16 0.0025167 +4 *1109:15 0.00321341 +5 *1109:12 0.00188691 +6 *1109:9 0.00148294 +7 *2820:la_data_out_mprj[53] *2820:la_iena_mprj[53] 0 +8 *1109:9 *1366:9 0 +9 *1109:9 *1493:9 0 +10 *1109:12 *1110:12 0.0016325 +11 *1109:12 *1359:14 0.00356103 +12 *1109:15 *2820:la_oenb_mprj[32] 0 +13 *1109:15 *1495:9 0 +14 *1109:16 *1110:16 0.000195126 +15 *1109:16 *1111:14 0.0156941 +16 *2820:la_data_out_mprj[33] *1109:15 0.00155855 +17 *853:7 *2820:la_data_out_mprj[53] 0 +18 *854:8 *1109:16 7.39264e-05 +19 *856:8 *1109:16 0.0146664 +20 *1107:13 *1109:15 0.000925816 +21 *1108:10 *1109:12 0.000485636 +22 *1108:16 *1109:16 5.63648e-05 +*RES +1 *2826:la_output[53] *1109:9 31.0151 +2 *1109:9 *1109:12 42.3818 +3 *1109:12 *1109:15 48.6877 +4 *1109:15 *1109:16 171.541 +5 *1109:16 *2820:la_data_out_mprj[53] 23.4795 +*END + +*D_NET *1110 0.0448373 +*CONN +*I *2820:la_data_out_mprj[54] I *D mgmt_protect +*I *2826:la_output[54] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[54] 0.0005402 +2 *2826:la_output[54] 0.00101188 +3 *1110:16 0.00238715 +4 *1110:15 0.00184695 +5 *1110:13 0.001998 +6 *1110:12 0.00233625 +7 *1110:9 0.00135013 +8 *2820:la_data_out_mprj[54] *2820:la_iena_mprj[54] 0 +9 *1110:9 *1367:9 0 +10 *1110:9 *1494:9 0 +11 *1110:12 *1347:18 0 +12 *1110:12 *1359:14 0.000173166 +13 *1110:13 *2820:la_oenb_mprj[33] 0.000345998 +14 *1110:13 *1111:11 0 +15 *1110:13 *1368:9 0 +16 *1110:16 *1112:10 0.000690854 +17 *832:7 *1110:13 0.000320522 +18 *854:7 *2820:la_data_out_mprj[54] 0.000341064 +19 *856:8 *1110:16 0.000786331 +20 *857:8 *1110:16 0.0136005 +21 *1107:10 *1110:12 0.000209646 +22 *1108:10 *1110:12 0.00040048 +23 *1108:16 *1110:16 0.0146706 +24 *1109:12 *1110:12 0.0016325 +25 *1109:16 *1110:16 0.000195126 +*RES +1 *2826:la_output[54] *1110:9 30.5998 +2 *1110:9 *1110:12 26.2983 +3 *1110:12 *1110:13 45.8487 +4 *1110:13 *1110:15 4.5 +5 *1110:15 *1110:16 175.424 +6 *1110:16 *2820:la_data_out_mprj[54] 22.2337 +*END + +*D_NET *1111 0.0448571 +*CONN +*I *2820:la_data_out_mprj[55] I *D mgmt_protect +*I *2826:la_output[55] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[55] 0.00075518 +2 *2826:la_output[55] 0.000889147 +3 *1111:14 0.00264207 +4 *1111:13 0.00188689 +5 *1111:11 0.00184234 +6 *1111:9 0.00273149 +7 *2820:la_data_out_mprj[55] *2820:la_iena_mprj[55] 0 +8 *1111:9 *1495:9 0 +9 *1111:11 *2820:la_iena_mprj[33] 0.000302534 +10 *1111:11 *2820:la_oenb_mprj[33] 3.94834e-05 +11 *1111:11 *1495:9 0 +12 *1111:14 *1371:16 0 +13 *854:8 *1111:14 0.0163143 +14 *855:7 *2820:la_data_out_mprj[55] 0 +15 *855:8 *1111:14 0.000195516 +16 *856:8 *1111:14 0.000698398 +17 *1107:13 *1111:11 0.000865749 +18 *1109:16 *1111:14 0.0156941 +19 *1110:13 *1111:11 0 +*RES +1 *2826:la_output[55] *1111:9 23.2175 +2 *1111:9 *1111:11 46.6792 +3 *1111:11 *1111:13 4.5 +4 *1111:13 *1111:14 186.516 +5 *1111:14 *2820:la_data_out_mprj[55] 23.8947 +*END + +*D_NET *1112 0.0396458 +*CONN +*I *2820:la_data_out_mprj[56] I *D mgmt_protect +*I *2826:la_output[56] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[56] 0.000509772 +2 *2826:la_output[56] 7.21667e-05 +3 *1112:10 0.00246078 +4 *1112:9 0.00195101 +5 *1112:7 0.00292473 +6 *1112:5 0.0029969 +7 *2820:la_data_out_mprj[56] *2820:la_iena_mprj[56] 0 +8 *1112:7 *2820:la_iena_mprj[35] 0 +9 *1112:7 *1369:9 0 +10 *1112:7 *1496:9 0 +11 *1112:10 *1113:10 0.00171114 +12 *2820:la_data_out_mprj[36] *1112:7 0.000166578 +13 *834:7 *1112:7 0.000368435 +14 *856:7 *2820:la_data_out_mprj[56] 0.000327813 +15 *857:8 *1112:10 0.000394687 +16 *1107:16 *1112:10 0.0121521 +17 *1108:16 *1112:10 0.0129188 +18 *1110:16 *1112:10 0.000690854 +*RES +1 *2826:la_output[56] *1112:5 2.05183 +2 *1112:5 *1112:7 70.5562 +3 *1112:7 *1112:9 4.5 +4 *1112:9 *1112:10 173.76 +5 *1112:10 *2820:la_data_out_mprj[56] 21.4032 +*END + +*D_NET *1113 0.0287144 +*CONN +*I *2820:la_data_out_mprj[57] I *D mgmt_protect +*I *2826:la_output[57] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[57] 0.000611074 +2 *2826:la_output[57] 7.21667e-05 +3 *1113:10 0.00496294 +4 *1113:9 0.00435187 +5 *1113:7 0.00287202 +6 *1113:5 0.00294418 +7 *2820:la_data_out_mprj[57] *2820:la_iena_mprj[57] 0 +8 *1113:7 *2820:la_iena_mprj[38] 0.000641831 +9 *1113:7 *2820:la_oenb_mprj[38] 0 +10 *1113:7 *1370:9 0 +11 *1113:7 *1497:9 0 +12 *2820:la_data_out_mprj[38] *1113:7 0.000268562 +13 *836:7 *1113:7 0 +14 *857:7 *2820:la_data_out_mprj[57] 0 +15 *857:8 *1113:10 3.76478e-05 +16 *1107:16 *1113:10 0.010241 +17 *1112:10 *1113:10 0.00171114 +*RES +1 *2826:la_output[57] *1113:5 2.05183 +2 *1113:5 *1113:7 71.3867 +3 *1113:7 *1113:9 4.5 +4 *1113:9 *1113:10 162.113 +5 *1113:10 *2820:la_data_out_mprj[57] 20.5727 +*END + +*D_NET *1114 0.0367886 +*CONN +*I *2820:la_data_out_mprj[58] I *D mgmt_protect +*I *2826:la_output[58] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[58] 0.00074256 +2 *2826:la_output[58] 7.21667e-05 +3 *1114:10 0.00216379 +4 *1114:9 0.00142123 +5 *1114:7 0.00230938 +6 *1114:5 0.00238155 +7 *2820:la_data_out_mprj[58] *2820:la_iena_mprj[58] 0 +8 *1114:7 *1371:9 0 +9 *1114:7 *1479:21 0.000613374 +10 *1114:7 *1498:9 0 +11 *1114:10 *1115:10 0.0122199 +12 *1114:10 *1354:14 3.83172e-05 +13 *1114:10 *1365:16 7.88032e-05 +14 *1114:10 *1367:16 0.000235436 +15 *1114:10 *1480:16 0.000842351 +16 *840:5 *1114:7 0 +17 *858:7 *2820:la_data_out_mprj[58] 0.000483558 +18 *859:8 *1114:10 0.0131861 +*RES +1 *2826:la_output[58] *1114:5 2.05183 +2 *1114:5 *1114:7 62.6664 +3 *1114:7 *1114:9 4.5 +4 *1114:9 *1114:10 150.466 +5 *1114:10 *2820:la_data_out_mprj[58] 29.293 +*END + +*D_NET *1115 0.0352898 +*CONN +*I *2820:la_data_out_mprj[59] I *D mgmt_protect +*I *2826:la_output[59] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[59] 0.000948411 +2 *2826:la_output[59] 7.21667e-05 +3 *1115:10 0.00219581 +4 *1115:9 0.0012474 +5 *1115:7 0.00194929 +6 *1115:5 0.00202145 +7 *2820:la_data_out_mprj[59] *2820:la_iena_mprj[59] 0 +8 *2820:la_data_out_mprj[59] *2820:la_oenb_mprj[59] 0 +9 *1115:7 *2820:la_oenb_mprj[42] 0 +10 *1115:7 *1368:15 0.00187023 +11 *1115:7 *1373:9 0 +12 *1115:7 *1499:9 0 +13 *1115:10 *1367:16 0.00619636 +14 *1115:10 *1367:22 1.41689e-05 +15 *1115:10 *1370:16 2.39401e-05 +16 *1115:10 *1499:16 0 +17 *1115:10 *1501:16 3.63947e-05 +18 *2820:la_data_out_mprj[43] *1115:7 2.78486e-05 +19 *842:7 *1115:7 0.000358846 +20 *858:8 *1115:10 0.00582535 +21 *859:7 *2820:la_data_out_mprj[59] 0 +22 *859:8 *1115:10 0.000282235 +23 *1114:10 *1115:10 0.0122199 +*RES +1 *2826:la_output[59] *1115:5 2.05183 +2 *1115:5 *1115:7 62.2512 +3 *1115:7 *1115:9 4.5 +4 *1115:9 *1115:10 138.82 +5 *1115:10 *2820:la_data_out_mprj[59] 29.7083 +*END + +*D_NET *1116 0.17043 +*CONN +*I *2820:la_data_out_mprj[5] I *D mgmt_protect +*I *2826:la_output[5] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[5] 0.00110076 +2 *2826:la_output[5] 7.21667e-05 +3 *1116:10 0.00578672 +4 *1116:9 0.00468596 +5 *1116:7 0.0024847 +6 *1116:5 0.00255687 +7 *2820:la_data_out_mprj[5] *2820:la_iena_mprj[5] 0 +8 *1116:7 *1383:9 0 +9 *1116:7 *1500:7 0 +10 *1116:10 *1138:10 0.0695862 +11 *1116:10 *1328:10 0.00858812 +12 *1116:10 *1354:14 0.00114811 +13 *1116:10 *1478:10 0.0722609 +14 *1116:10 *1480:16 1.41689e-05 +15 *1116:10 *1500:10 0.000405497 +16 *860:7 *2820:la_data_out_mprj[5] 0 +17 *1094:10 *1116:10 0.00174019 +*RES +1 *2826:la_output[5] *1116:5 2.05183 +2 *1116:5 *1116:7 63.9122 +3 *1116:7 *1116:9 4.5 +4 *1116:9 *1116:10 769.959 +5 *1116:10 *2820:la_data_out_mprj[5] 28.0472 +*END + +*D_NET *1117 0.0268791 +*CONN +*I *2820:la_data_out_mprj[60] I *D mgmt_protect +*I *2826:la_output[60] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[60] 0.00151273 +2 *2826:la_output[60] 0.00137154 +3 *1117:12 0.00151273 +4 *1117:10 0.00180116 +5 *1117:9 0.0031727 +6 *2820:la_data_out_mprj[60] *2820:la_iena_mprj[60] 0 +7 *1117:9 *2820:la_oenb_mprj[45] 0 +8 *1117:9 *1374:9 0 +9 *1117:9 *1487:15 2.26084e-05 +10 *1117:9 *1490:15 2.95757e-05 +11 *1117:9 *1499:15 0 +12 *1117:9 *1501:9 0 +13 *1117:10 *1118:10 7.86643e-05 +14 *1117:10 *1119:10 0.000668608 +15 *1117:10 *1374:10 0 +16 *1117:10 *1375:10 0.000120974 +17 *1117:10 *1376:10 0.00779298 +18 *1117:10 *1485:10 0.000175621 +19 *1117:10 *1490:10 6.08467e-05 +20 *1117:10 *1496:10 3.14983e-05 +21 *1117:10 *1504:10 0.00678617 +22 *861:5 *2820:la_data_out_mprj[60] 0.00110377 +23 *863:8 *1117:10 0.000636915 +*RES +1 *2826:la_output[60] *1117:9 40.1506 +2 *1117:9 *1117:10 127.173 +3 *1117:10 *1117:12 4.5 +4 *1117:12 *2820:la_data_out_mprj[60] 53.8607 +*END + +*D_NET *1118 0.0292007 +*CONN +*I *2820:la_data_out_mprj[61] I *D mgmt_protect +*I *2826:la_output[61] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[61] 0.00205091 +2 *2826:la_output[61] 0.00125558 +3 *1118:12 0.00205091 +4 *1118:10 0.000832874 +5 *1118:9 0.00208845 +6 *2820:la_data_out_mprj[61] *2820:la_iena_mprj[61] 0 +7 *1118:9 *1375:9 0 +8 *1118:9 *1501:15 0.000134807 +9 *1118:10 *1374:10 0.000621826 +10 *1118:10 *1375:10 0.0105117 +11 *1118:10 *1503:10 0.00956641 +12 *862:5 *2820:la_data_out_mprj[61] 0 +13 *866:13 *2820:la_data_out_mprj[61] 8.62625e-06 +14 *1117:10 *1118:10 7.86643e-05 +*RES +1 *2826:la_output[61] *1118:9 38.4896 +2 *1118:9 *1118:10 115.526 +3 *1118:10 *1118:12 4.5 +4 *1118:12 *2820:la_data_out_mprj[61] 55.5217 +*END + +*D_NET *1119 0.0214971 +*CONN +*I *2820:la_data_out_mprj[62] I *D mgmt_protect +*I *2826:la_output[62] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[62] 0.00147358 +2 *2826:la_output[62] 0.0014272 +3 *1119:12 0.00147358 +4 *1119:10 0.00151947 +5 *1119:9 0.00294667 +6 *2820:la_data_out_mprj[62] *2820:la_iena_mprj[62] 0 +7 *1119:9 *1376:9 0 +8 *1119:9 *1503:9 0 +9 *1119:10 *1378:10 0.00244103 +10 *1119:10 *1504:10 0.00838516 +11 *1119:10 *1505:10 6.66931e-05 +12 *863:5 *2820:la_data_out_mprj[62] 0.00109515 +13 *1117:10 *1119:10 0.000668608 +*RES +1 *2826:la_output[62] *1119:9 40.9811 +2 *1119:9 *1119:10 103.88 +3 *1119:10 *1119:12 4.5 +4 *1119:12 *2820:la_data_out_mprj[62] 53.0301 +*END + +*D_NET *1120 0.0205459 +*CONN +*I *2820:la_data_out_mprj[63] I *D mgmt_protect +*I *2826:la_output[63] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[63] 0.00233104 +2 *2826:la_output[63] 0.00102064 +3 *1120:12 0.00233104 +4 *1120:10 0.00134257 +5 *1120:9 0.00236321 +6 *2820:la_data_out_mprj[63] *2820:la_iena_mprj[63] 0 +7 *2820:la_data_out_mprj[63] *1509:9 0 +8 *1120:9 *1377:9 0 +9 *1120:9 *1504:9 0 +10 *1120:10 *1121:10 0.00691327 +11 *1120:10 *1122:10 0.00108064 +12 *1120:10 *1377:10 0 +13 *1120:10 *1380:12 0.00249513 +14 *864:5 *2820:la_data_out_mprj[63] 0 +15 *864:8 *1120:10 0.000482144 +16 *865:8 *1120:10 0.000186188 +*RES +1 *2826:la_output[63] *1120:9 31.4303 +2 *1120:9 *1120:10 92.233 +3 *1120:10 *1120:12 4.5 +4 *1120:12 *2820:la_data_out_mprj[63] 62.5809 +*END + +*D_NET *1121 0.0215421 +*CONN +*I *2820:la_data_out_mprj[64] I *D mgmt_protect +*I *2826:la_output[64] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[64] 0.0017323 +2 *2826:la_output[64] 0.00101617 +3 *1121:12 0.0017323 +4 *1121:10 0.000641407 +5 *1121:9 0.00165757 +6 *2820:la_data_out_mprj[64] *2820:la_iena_mprj[64] 0 +7 *2820:la_data_out_mprj[64] *1125:9 0.000179286 +8 *1121:9 *1505:9 0 +9 *1121:10 *1380:12 0.000347135 +10 *864:8 *1121:10 0.00131458 +11 *865:5 *2820:la_data_out_mprj[64] 0.00125928 +12 *867:8 *1121:10 0.00474875 +13 *1120:10 *1121:10 0.00691327 +*RES +1 *2826:la_output[64] *1121:9 30.722 +2 *1121:9 *1121:10 81.1409 +3 *1121:10 *1121:12 4.5 +4 *1121:12 *2820:la_data_out_mprj[64] 62.1657 +*END + +*D_NET *1122 0.0180527 +*CONN +*I *2820:la_data_out_mprj[65] I *D mgmt_protect +*I *2826:la_output[65] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[65] 0.00235347 +2 *2826:la_output[65] 0.00100505 +3 *1122:12 0.00235347 +4 *1122:10 0.000703052 +5 *1122:9 0.0017081 +6 *2820:la_data_out_mprj[65] *2820:la_iena_mprj[65] 0 +7 *2820:la_data_out_mprj[65] *2820:la_oenb_mprj[65] 0 +8 *2820:la_data_out_mprj[65] *1382:9 0 +9 *1122:9 *1379:9 0 +10 *1122:9 *1506:9 0 +11 *1122:10 *1123:10 0.00458076 +12 *1122:10 *1380:12 0.00350494 +13 *1122:10 *1380:16 0.00026523 +14 *865:8 *1122:10 0.000498026 +15 *866:5 *2820:la_data_out_mprj[65] 0 +16 *1120:10 *1122:10 0.00108064 +*RES +1 *2826:la_output[65] *1122:9 30.5998 +2 *1122:9 *1122:10 68.9396 +3 *1122:10 *1122:12 4.5 +4 *1122:12 *2820:la_data_out_mprj[65] 63.4115 +*END + +*D_NET *1123 0.0167252 +*CONN +*I *2820:la_data_out_mprj[66] I *D mgmt_protect +*I *2826:la_output[66] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[66] 0.00182397 +2 *2826:la_output[66] 0.000990446 +3 *1123:12 0.00182397 +4 *1123:10 0.000497936 +5 *1123:9 0.00148838 +6 *2820:la_data_out_mprj[66] *2820:la_iena_mprj[66] 0 +7 *2820:la_data_out_mprj[66] *2820:la_oenb_mprj[66] 0 +8 *2820:la_data_out_mprj[66] *1126:9 0 +9 *1123:9 *1380:9 0 +10 *1123:9 *1507:9 0 +11 *1123:10 *1124:14 0.00264405 +12 *1123:10 *1380:16 5.00593e-05 +13 *1123:10 *1510:12 0.00011818 +14 *865:8 *1123:10 0.000846705 +15 *867:5 *2820:la_data_out_mprj[66] 0.00126877 +16 *870:10 *1123:10 0.000591935 +17 *1122:10 *1123:10 0.00458076 +*RES +1 *2826:la_output[66] *1123:9 30.1846 +2 *1123:9 *1123:10 56.7384 +3 *1123:10 *1123:12 4.5 +4 *1123:12 *2820:la_data_out_mprj[66] 63.8267 +*END + +*D_NET *1124 0.0152367 +*CONN +*I *2820:la_data_out_mprj[67] I *D mgmt_protect +*I *2826:la_output[67] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[67] 0.00237803 +2 *2826:la_output[67] 0.000985103 +3 *1124:16 0.00237803 +4 *1124:14 0.000399934 +5 *1124:9 0.00138504 +6 *2820:la_data_out_mprj[67] *2820:la_iena_mprj[67] 0 +7 *2820:la_data_out_mprj[67] *2820:la_oenb_mprj[66] 0 +8 *2820:la_data_out_mprj[67] *2820:la_oenb_mprj[67] 0 +9 *2820:la_data_out_mprj[67] *1126:9 0 +10 *2820:la_data_out_mprj[67] *1384:9 8.62625e-06 +11 *1124:9 *1381:9 0 +12 *1124:9 *1508:9 0 +13 *1124:14 *1510:12 0.000957243 +14 *865:8 *1124:14 0.0016943 +15 *868:5 *2820:la_data_out_mprj[67] 0 +16 *869:8 *1124:14 0.00240629 +17 *1123:10 *1124:14 0.00264405 +*RES +1 *2826:la_output[67] *1124:9 29.7693 +2 *1124:9 *1124:14 46.3938 +3 *1124:14 *1124:16 4.5 +4 *1124:16 *2820:la_data_out_mprj[67] 64.6572 +*END + +*D_NET *1125 0.01099 +*CONN +*I *2820:la_data_out_mprj[68] I *D mgmt_protect +*I *2826:la_output[68] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[68] 0.00162809 +2 *2826:la_output[68] 0.00110284 +3 *1125:12 0.00238999 +4 *1125:9 0.00186474 +5 *2820:la_data_out_mprj[68] *2820:la_iena_mprj[68] 0 +6 *1125:9 *2820:la_iena_mprj[64] 9.88022e-05 +7 *1125:9 *1382:9 0 +8 *1125:9 *1509:9 0 +9 *1125:12 *1379:10 0.00179184 +10 *1125:12 *1381:10 0.000346502 +11 *1125:12 *1382:12 0 +12 *1125:12 *1507:10 0.000354328 +13 *2820:la_data_out_mprj[64] *1125:9 0.000179286 +14 *865:5 *1125:9 0 +15 *869:5 *2820:la_data_out_mprj[68] 0.00123363 +*RES +1 *2826:la_output[68] *1125:9 34.1905 +2 *1125:9 *1125:12 38.4996 +3 *1125:12 *2820:la_data_out_mprj[68] 59.2589 +*END + +*D_NET *1126 0.0104951 +*CONN +*I *2820:la_data_out_mprj[69] I *D mgmt_protect +*I *2826:la_output[69] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[69] 0.00197521 +2 *2826:la_output[69] 0.00104255 +3 *1126:12 0.00219616 +4 *1126:9 0.0012635 +5 *2820:la_data_out_mprj[69] *2820:la_iena_mprj[69] 0 +6 *2820:la_data_out_mprj[69] *2820:la_oenb_mprj[69] 0 +7 *2820:la_data_out_mprj[69] *1128:7 0.000715554 +8 *1126:9 *1384:9 0 +9 *1126:9 *1510:9 0 +10 *1126:12 *1380:16 0.000695917 +11 *1126:12 *1382:12 0.000616878 +12 *1126:12 *1512:10 8.90486e-05 +13 *2820:la_data_out_mprj[66] *1126:9 0 +14 *2820:la_data_out_mprj[67] *1126:9 0 +15 *870:5 *2820:la_data_out_mprj[69] 0 +16 *870:10 *1126:12 0.00190029 +*RES +1 *2826:la_output[69] *1126:9 31.0151 +2 *1126:9 *1126:12 26.8529 +3 *1126:12 *2820:la_data_out_mprj[69] 62.9962 +*END + +*D_NET *1127 0.161311 +*CONN +*I *2820:la_data_out_mprj[6] I *D mgmt_protect +*I *2826:la_output[6] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[6] 0.0026763 +2 *2826:la_output[6] 0.0013325 +3 *1127:12 0.0026763 +4 *1127:10 0.00572302 +5 *1127:9 0.00705552 +6 *2820:la_data_out_mprj[6] *2820:la_iena_mprj[6] 0 +7 *2820:la_data_out_mprj[6] *1482:15 0 +8 *1127:9 *1394:7 0 +9 *1127:9 *1511:9 0 +10 *1127:10 *1149:10 0.00083916 +11 *1127:10 *1160:10 0.0604137 +12 *1127:10 *1160:14 0.000372738 +13 *1127:10 *1351:10 0.000741053 +14 *1127:10 *1361:18 0.0041832 +15 *1127:10 *1489:10 0.00361306 +16 *1127:10 *1511:10 0.000133887 +17 *2826:la_input[44] *2820:la_data_out_mprj[6] 0.00013702 +18 *849:14 *1127:10 0.000698218 +19 *871:7 *2820:la_data_out_mprj[6] 0.000529736 +20 *1105:10 *1127:10 0.0701859 +*RES +1 *2826:la_output[6] *1127:9 38.0744 +2 *1127:9 *1127:10 758.312 +3 *1127:10 *1127:12 4.5 +4 *1127:12 *2820:la_data_out_mprj[6] 55.9369 +*END + +*D_NET *1128 0.00887958 +*CONN +*I *2820:la_data_out_mprj[70] I *D mgmt_protect +*I *2826:la_output[70] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[70] 0.00125019 +2 *2826:la_output[70] 7.21667e-05 +3 *1128:7 0.00264339 +4 *1128:5 0.00146536 +5 *2820:la_data_out_mprj[70] *2820:la_iena_mprj[70] 0 +6 *1128:7 *1385:10 0 +7 *2820:la_data_out_mprj[69] *1128:7 0.000715554 +8 *870:5 *1128:7 0.00213273 +9 *872:5 *2820:la_data_out_mprj[70] 0.000600183 +*RES +1 *2826:la_output[70] *1128:5 2.05183 +2 *1128:5 *1128:7 58.0987 +3 *1128:7 *2820:la_data_out_mprj[70] 48.5124 +*END + +*D_NET *1129 0.00642405 +*CONN +*I *2820:la_data_out_mprj[71] I *D mgmt_protect +*I *2826:la_output[71] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[71] 0.00319914 +2 *2826:la_output[71] 1.28869e-05 +3 *1129:5 0.00321202 +4 *2820:la_data_out_mprj[71] *2820:la_iena_mprj[71] 0 +5 *2820:la_data_out_mprj[71] *1513:7 0 +6 *873:5 *2820:la_data_out_mprj[71] 0 +*RES +1 *2826:la_output[71] *1129:5 0.366399 +2 *1129:5 *2820:la_data_out_mprj[71] 87.2885 +*END + +*D_NET *1130 0.00822594 +*CONN +*I *2820:la_data_out_mprj[72] I *D mgmt_protect +*I *2826:la_output[72] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[72] 0.000981714 +2 *2826:la_output[72] 7.21667e-05 +3 *1130:7 0.00318864 +4 *1130:5 0.0022791 +5 *2820:la_data_out_mprj[72] *2820:la_iena_mprj[72] 0 +6 *1130:7 *2820:la_iena_mprj[73] 0 +7 *1130:7 *2820:la_oenb_mprj[72] 0 +8 *1130:7 *1387:7 0 +9 *874:9 *2820:la_data_out_mprj[72] 0.00165476 +10 *875:10 *2820:la_data_out_mprj[72] 4.95605e-05 +*RES +1 *2826:la_output[72] *1130:5 2.05183 +2 *1130:5 *1130:7 60.5902 +3 *1130:7 *2820:la_data_out_mprj[72] 45.4663 +*END + +*D_NET *1131 0.00967438 +*CONN +*I *2820:la_data_out_mprj[73] I *D mgmt_protect +*I *2826:la_output[73] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[73] 0.00125461 +2 *2826:la_output[73] 7.21667e-05 +3 *1131:7 0.00352667 +4 *1131:5 0.00234423 +5 *2820:la_data_out_mprj[73] *2820:la_iena_mprj[73] 0 +6 *2820:la_data_out_mprj[73] *2820:la_iena_mprj[74] 0.000194701 +7 *2820:la_data_out_mprj[73] *2820:la_oenb_mprj[73] 0.00110143 +8 *1131:7 *2820:la_iena_mprj[75] 0.000313321 +9 *1131:7 *2820:la_oenb_mprj[75] 0.000867253 +10 *1131:7 *1388:7 0 +11 *1131:7 *1515:7 0 +12 *875:10 *2820:la_data_out_mprj[73] 0 +*RES +1 *2826:la_output[73] *1131:5 2.05183 +2 *1131:5 *1131:7 70.5562 +3 *1131:7 *2820:la_data_out_mprj[73] 47.1469 +*END + +*D_NET *1132 0.012237 +*CONN +*I *2820:la_data_out_mprj[74] I *D mgmt_protect +*I *2826:la_output[74] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[74] 0.000923084 +2 *2826:la_output[74] 5.24068e-05 +3 *1132:12 0.00149661 +4 *1132:7 0.00266949 +5 *1132:5 0.00214836 +6 *2820:la_data_out_mprj[74] *2820:la_iena_mprj[73] 0 +7 *2820:la_data_out_mprj[74] *2820:la_iena_mprj[74] 0 +8 *2820:la_data_out_mprj[74] *2820:la_oenb_mprj[74] 0 +9 *1132:7 *1389:7 0 +10 *1132:7 *1516:7 0 +11 *1132:12 *1389:12 1.08524e-05 +12 *1132:12 *1517:12 0.00189384 +13 *875:11 *2820:la_data_out_mprj[74] 0 +14 *876:7 *2820:la_data_out_mprj[74] 0.000532814 +15 *876:10 *1132:12 0 +16 *877:10 *1132:12 0.00250954 +*RES +1 *2826:la_output[74] *1132:5 1.49002 +2 *1132:5 *1132:7 56.8529 +3 *1132:7 *1132:12 42.445 +4 *1132:12 *2820:la_data_out_mprj[74] 30.6065 +*END + +*D_NET *1133 0.0148342 +*CONN +*I *2820:la_data_out_mprj[75] I *D mgmt_protect +*I *2826:la_output[75] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[75] 0.000989003 +2 *2826:la_output[75] 7.21667e-05 +3 *1133:10 0.00149664 +4 *1133:7 0.00262838 +5 *1133:5 0.0021929 +6 *2820:la_data_out_mprj[75] *2820:la_iena_mprj[75] 0 +7 *2820:la_data_out_mprj[75] *1515:7 0.000393199 +8 *1133:7 *1390:7 0 +9 *1133:7 *1517:7 0 +10 *1133:10 *1390:12 5.44727e-05 +11 *1133:10 *1517:12 0.000163362 +12 *876:10 *1133:10 0 +13 *877:7 *2820:la_data_out_mprj[75] 0 +14 *877:10 *1133:10 0.00325304 +15 *878:8 *1133:10 0.00359103 +*RES +1 *2826:la_output[75] *1133:5 2.05183 +2 *1133:5 *1133:7 57.6834 +3 *1133:7 *1133:10 49.0371 +4 *1133:10 *2820:la_data_out_mprj[75] 34.276 +*END + +*D_NET *1134 0.0121647 +*CONN +*I *2820:la_data_out_mprj[76] I *D mgmt_protect +*I *2826:la_output[76] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[76] 0.0005654 +2 *2826:la_output[76] 7.21667e-05 +3 *1134:10 0.00197697 +4 *1134:9 0.00141157 +5 *1134:7 0.0024134 +6 *1134:5 0.00248556 +7 *2820:la_data_out_mprj[76] *2820:la_iena_mprj[76] 0 +8 *2820:la_data_out_mprj[76] *1388:7 0.00042028 +9 *1134:7 *2820:la_data_out_mprj[83] 0 +10 *1134:7 *2820:la_iena_mprj[82] 0 +11 *1134:7 *1391:7 0 +12 *1134:7 *1518:7 0 +13 *1134:10 *1136:10 0 +14 *1134:10 *1137:10 0 +15 *1134:10 *1140:10 0.000319038 +16 *1134:10 *1391:10 7.86643e-05 +17 *1134:10 *1516:12 0 +18 *1134:10 *1518:10 0.0019656 +19 *878:7 *2820:la_data_out_mprj[76] 0.000456016 +20 *884:8 *1134:10 0 +*RES +1 *2826:la_output[76] *1134:5 2.05183 +2 *1134:5 *1134:7 64.7427 +3 *1134:7 *1134:9 4.5 +4 *1134:9 *1134:10 56.1838 +5 *1134:10 *2820:la_data_out_mprj[76] 27.2167 +*END + +*D_NET *1135 0.0161763 +*CONN +*I *2820:la_data_out_mprj[77] I *D mgmt_protect +*I *2826:la_output[77] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[77] 0.00102072 +2 *2826:la_output[77] 7.21667e-05 +3 *1135:10 0.00249577 +4 *1135:9 0.00147505 +5 *1135:7 0.00173592 +6 *1135:5 0.00180809 +7 *2820:la_data_out_mprj[77] *2820:la_iena_mprj[77] 0 +8 *2820:la_data_out_mprj[77] *2820:la_oenb_mprj[77] 0 +9 *2820:la_data_out_mprj[77] *1516:7 2.82771e-05 +10 *1135:7 *2820:la_data_out_mprj[85] 0.0010561 +11 *1135:7 *2820:la_iena_mprj[85] 0 +12 *1135:7 *2820:la_oenb_mprj[84] 0 +13 *1135:7 *1519:7 0 +14 *1135:10 *1519:10 0.00553668 +15 *876:11 *2820:la_data_out_mprj[77] 0 +16 *878:8 *1135:10 0.000238216 +17 *879:7 *2820:la_data_out_mprj[77] 0 +18 *879:8 *1135:10 0.000180727 +19 *883:8 *1135:10 0.000108598 +20 *888:7 *1135:7 0.000420032 +*RES +1 *2826:la_output[77] *1135:5 2.05183 +2 *1135:5 *1135:7 60.1749 +3 *1135:7 *1135:9 4.5 +4 *1135:9 *1135:10 67.8304 +5 *1135:10 *2820:la_data_out_mprj[77] 31.7845 +*END + +*D_NET *1136 0.0220486 +*CONN +*I *2820:la_data_out_mprj[78] I *D mgmt_protect +*I *2826:la_output[78] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[78] 0.000584985 +2 *2826:la_output[78] 1.28869e-05 +3 *1136:10 0.00164293 +4 *1136:9 0.00105794 +5 *1136:7 0.0023586 +6 *1136:5 0.00237149 +7 *2820:la_data_out_mprj[78] *2820:la_iena_mprj[78] 0 +8 *2820:la_data_out_mprj[78] *2820:la_oenb_mprj[77] 0 +9 *2820:la_data_out_mprj[78] *2820:la_oenb_mprj[78] 0 +10 *1136:7 *2820:la_iena_mprj[87] 0 +11 *1136:7 *1393:7 0 +12 *1136:7 *1530:13 0.000804506 +13 *1136:10 *1137:10 0.00681168 +14 *1136:10 *1392:10 0 +15 *1136:10 *1393:10 0.000436184 +16 *1136:10 *1520:10 3.9094e-05 +17 *1136:10 *1523:10 0.00561374 +18 *880:7 *2820:la_data_out_mprj[78] 0.000314562 +19 *880:8 *1136:10 0 +20 *1134:10 *1136:10 0 +*RES +1 *2826:la_output[78] *1136:5 0.366399 +2 *1136:5 *1136:7 68.48 +3 *1136:7 *1136:9 4.5 +4 *1136:9 *1136:10 82.2501 +5 *1136:10 *2820:la_data_out_mprj[78] 23.4795 +*END + +*D_NET *1137 0.0240164 +*CONN +*I *2820:la_data_out_mprj[79] I *D mgmt_protect +*I *2826:la_output[79] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[79] 0.000752809 +2 *2826:la_output[79] 7.21667e-05 +3 *1137:10 0.00191206 +4 *1137:9 0.00115925 +5 *1137:7 0.00265956 +6 *1137:5 0.00273172 +7 *2820:la_data_out_mprj[79] *2820:la_iena_mprj[79] 0 +8 *2820:la_data_out_mprj[79] *2820:la_oenb_mprj[79] 0 +9 *1137:7 *2820:la_data_out_mprj[90] 0 +10 *1137:7 *2820:la_iena_mprj[89] 0 +11 *1137:7 *2820:la_oenb_mprj[89] 0.000134323 +12 *1137:7 *1395:7 0 +13 *1137:7 *1521:7 0 +14 *1137:10 *1523:10 0.000689689 +15 *881:7 *2820:la_data_out_mprj[79] 0 +16 *884:8 *1137:10 0.00703205 +17 *894:10 *1137:7 6.10832e-05 +18 *1134:10 *1137:10 0 +19 *1136:10 *1137:10 0.00681168 +*RES +1 *2826:la_output[79] *1137:5 2.05183 +2 *1137:5 *1137:7 68.0647 +3 *1137:7 *1137:9 4.5 +4 *1137:9 *1137:10 91.1238 +5 *1137:10 *2820:la_data_out_mprj[79] 23.8947 +*END + +*D_NET *1138 0.167823 +*CONN +*I *2820:la_data_out_mprj[7] I *D mgmt_protect +*I *2826:la_output[7] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[7] 0.00124253 +2 *2826:la_output[7] 7.21667e-05 +3 *1138:10 0.00560328 +4 *1138:9 0.00436075 +5 *1138:7 0.00255306 +6 *1138:5 0.00262523 +7 *2820:la_data_out_mprj[7] *2820:la_iena_mprj[7] 0 +8 *2820:la_data_out_mprj[7] *2820:la_oenb_mprj[7] 0 +9 *2820:la_data_out_mprj[7] *1354:14 0.00157072 +10 *2820:la_data_out_mprj[7] *1482:16 0.00109079 +11 *1138:7 *1405:9 0 +12 *1138:7 *1522:7 0 +13 *1138:10 *1350:10 0.00934148 +14 *1138:10 *1354:14 1.67988e-05 +15 *1138:10 *1522:10 6.24655e-05 +16 *799:8 *2820:la_data_out_mprj[7] 0.000207355 +17 *799:8 *1138:10 0.00150051 +18 *882:7 *2820:la_data_out_mprj[7] 0 +19 *1094:10 *1138:10 0.0679892 +20 *1116:10 *1138:10 0.0695862 +*RES +1 *2826:la_output[7] *1138:5 2.05183 +2 *1138:5 *1138:7 64.3275 +3 *1138:7 *1138:9 4.5 +4 *1138:9 *1138:10 730.027 +5 *1138:10 *2820:la_data_out_mprj[7] 45.4329 +*END + +*D_NET *1139 0.0268081 +*CONN +*I *2820:la_data_out_mprj[80] I *D mgmt_protect +*I *2826:la_output[80] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[80] 0.00054521 +2 *2826:la_output[80] 7.21667e-05 +3 *1139:10 0.00164473 +4 *1139:9 0.00109952 +5 *1139:7 0.00247349 +6 *1139:5 0.00254566 +7 *2820:la_data_out_mprj[80] *2820:la_iena_mprj[80] 0 +8 *1139:7 *2820:la_oenb_mprj[91] 0 +9 *1139:7 *1152:13 0.00066142 +10 *1139:7 *1396:7 0 +11 *1139:7 *1523:7 0 +12 *1139:10 *1393:10 0.00627833 +13 *1139:10 *1396:10 0.00853772 +14 *1139:10 *1520:10 0.000447873 +15 *1139:10 *1523:10 0.000993703 +16 *883:7 *2820:la_data_out_mprj[80] 0.000339679 +17 *895:8 *1139:10 0.000991017 +18 *896:7 *1139:7 0.000177635 +*RES +1 *2826:la_output[80] *1139:5 2.05183 +2 *1139:5 *1139:7 69.7257 +3 *1139:7 *1139:9 4.5 +4 *1139:9 *1139:10 103.325 +5 *1139:10 *2820:la_data_out_mprj[80] 22.2337 +*END + +*D_NET *1140 0.0276784 +*CONN +*I *2820:la_data_out_mprj[81] I *D mgmt_protect +*I *2826:la_output[81] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[81] 0.000862381 +2 *2826:la_output[81] 7.21667e-05 +3 *1140:10 0.00234776 +4 *1140:9 0.00148538 +5 *1140:7 0.00225132 +6 *1140:5 0.00232349 +7 *2820:la_data_out_mprj[81] *2820:la_iena_mprj[81] 0 +8 *2820:la_data_out_mprj[81] *2820:la_oenb_mprj[81] 0 +9 *1140:7 *2820:la_data_out_mprj[94] 0 +10 *1140:7 *2820:la_iena_mprj[94] 0.00186592 +11 *1140:7 *2820:la_oenb_mprj[94] 7.03385e-05 +12 *1140:7 *1397:7 0 +13 *1140:7 *1524:7 0 +14 *1140:10 *1141:10 0.000187123 +15 *1140:10 *1391:10 0 +16 *1140:10 *1524:10 0.00970758 +17 *1140:10 *1525:10 0.00231328 +18 *1140:10 *1532:16 0.00379586 +19 *884:7 *2820:la_data_out_mprj[81] 0 +20 *884:8 *1140:10 7.67829e-05 +21 *899:5 *1140:7 0 +22 *1134:10 *1140:10 0.000319038 +*RES +1 *2826:la_output[81] *1140:5 2.05183 +2 *1140:5 *1140:7 65.9885 +3 *1140:7 *1140:9 4.5 +4 *1140:9 *1140:10 114.417 +5 *1140:10 *2820:la_data_out_mprj[81] 25.971 +*END + +*D_NET *1141 0.0318642 +*CONN +*I *2820:la_data_out_mprj[82] I *D mgmt_protect +*I *2826:la_output[82] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[82] 0.000693116 +2 *2826:la_output[82] 7.21667e-05 +3 *1141:10 0.00219153 +4 *1141:9 0.00149841 +5 *1141:7 0.00270167 +6 *1141:5 0.00277384 +7 *2820:la_data_out_mprj[82] *2820:la_iena_mprj[82] 0 +8 *2820:la_data_out_mprj[82] *2820:la_oenb_mprj[81] 0 +9 *1141:7 *2820:la_data_out_mprj[97] 0 +10 *1141:7 *2820:la_iena_mprj[96] 0 +11 *1141:7 *2820:la_oenb_mprj[96] 0.00015149 +12 *1141:7 *1398:7 0 +13 *1141:7 *1525:7 0 +14 *1141:10 *1524:10 0.00933583 +15 *1141:10 *1532:16 0.000287586 +16 *1141:10 *1539:16 5.42157e-05 +17 *885:7 *2820:la_data_out_mprj[82] 0.000357678 +18 *885:8 *1141:10 0.0107735 +19 *900:8 *1141:10 0.000731924 +20 *901:7 *1141:7 5.41227e-05 +21 *1140:10 *1141:10 0.000187123 +*RES +1 *2826:la_output[82] *1141:5 2.05183 +2 *1141:5 *1141:7 66.819 +3 *1141:7 *1141:9 4.5 +4 *1141:9 *1141:10 126.618 +5 *1141:10 *2820:la_data_out_mprj[82] 25.1405 +*END + +*D_NET *1142 0.0280317 +*CONN +*I *2820:la_data_out_mprj[83] I *D mgmt_protect +*I *2826:la_output[83] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[83] 0.00191191 +2 *2826:la_output[83] 0.00127136 +3 *1142:12 0.00191191 +4 *1142:10 0.00294973 +5 *1142:9 0.00422108 +6 *2820:la_data_out_mprj[83] *2820:la_iena_mprj[83] 0 +7 *2820:la_data_out_mprj[83] *2820:la_oenb_mprj[83] 0 +8 *2820:la_data_out_mprj[83] *1391:7 0.000974406 +9 *1142:9 *2820:la_iena_mprj[99] 2.1203e-06 +10 *1142:9 *1399:7 0 +11 *1142:10 *1152:10 0 +12 *1142:10 *1153:10 0 +13 *1142:10 *1155:10 0.00035468 +14 *1142:10 *1156:10 0.00258199 +15 *1142:10 *1527:10 0.0118182 +16 *886:7 *2820:la_data_out_mprj[83] 0 +17 *899:8 *1142:10 3.42853e-05 +18 *1134:7 *2820:la_data_out_mprj[83] 0 +*RES +1 *2826:la_output[83] *1142:9 35.2897 +2 *1142:9 *1142:10 138.82 +3 *1142:10 *1142:12 4.5 +4 *1142:12 *2820:la_data_out_mprj[83] 57.5979 +*END + +*D_NET *1143 0.0356282 +*CONN +*I *2820:la_data_out_mprj[84] I *D mgmt_protect +*I *2826:la_output[84] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[84] 0.00230873 +2 *2826:la_output[84] 0.000986066 +3 *1143:12 0.00230873 +4 *1143:10 0.00145789 +5 *1143:9 0.00244395 +6 *2820:la_data_out_mprj[84] *2820:la_iena_mprj[84] 0 +7 *2820:la_data_out_mprj[84] *2820:la_oenb_mprj[83] 0 +8 *2820:la_data_out_mprj[84] *2820:la_oenb_mprj[84] 0 +9 *2820:la_data_out_mprj[84] *1519:7 0 +10 *1143:9 *1400:9 0 +11 *1143:9 *1527:9 0 +12 *1143:10 *1144:10 0.000199561 +13 *1143:10 *1145:10 8.90486e-05 +14 *1143:10 *1400:10 0.0131106 +15 *1143:10 *1401:10 0.0123311 +16 *879:11 *2820:la_data_out_mprj[84] 0 +17 *887:7 *2820:la_data_out_mprj[84] 0.000392487 +*RES +1 *2826:la_output[84] *1143:9 30.1846 +2 *1143:9 *1143:10 149.912 +3 *1143:10 *1143:12 4.5 +4 *1143:12 *2820:la_data_out_mprj[84] 63.8267 +*END + +*D_NET *1144 0.0389769 +*CONN +*I *2820:la_data_out_mprj[85] I *D mgmt_protect +*I *2826:la_output[85] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[85] 0.00208489 +2 *2826:la_output[85] 0.00104816 +3 *1144:12 0.00208489 +4 *1144:10 0.00136797 +5 *1144:9 0.00241612 +6 *2820:la_data_out_mprj[85] *2820:la_iena_mprj[85] 0 +7 *1144:9 *1401:9 0 +8 *1144:9 *1528:9 0 +9 *1144:9 *1537:13 8.62625e-06 +10 *1144:10 *1145:10 0.0144656 +11 *1144:10 *1528:10 0.000187171 +12 *1144:10 *1529:10 0.0140579 +13 *888:7 *2820:la_data_out_mprj[85] 0 +14 *1135:7 *2820:la_data_out_mprj[85] 0.0010561 +15 *1143:10 *1144:10 0.000199561 +*RES +1 *2826:la_output[85] *1144:9 31.4303 +2 *1144:9 *1144:10 161.558 +3 *1144:10 *1144:12 4.5 +4 *1144:12 *2820:la_data_out_mprj[85] 62.5809 +*END + +*D_NET *1145 0.0437105 +*CONN +*I *2820:la_data_out_mprj[86] I *D mgmt_protect +*I *2826:la_output[86] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[86] 0.00151974 +2 *2826:la_output[86] 0.00103474 +3 *1145:12 0.00151974 +4 *1145:10 0.00126858 +5 *1145:9 0.00230332 +6 *2820:la_data_out_mprj[86] *2820:la_iena_mprj[86] 0 +7 *2820:la_data_out_mprj[86] *1392:7 0 +8 *1145:9 *1529:9 0 +9 *1145:10 *1401:10 0.0147376 +10 *1145:10 *1529:10 1.41853e-05 +11 *1145:10 *1532:10 1.41853e-05 +12 *1145:10 *1536:10 0.00136921 +13 *1145:10 *1537:10 0.00184493 +14 *784:5 *1145:9 0 +15 *785:5 *1145:9 0 +16 *880:11 *2820:la_data_out_mprj[86] 0.00279906 +17 *889:7 *2820:la_data_out_mprj[86] 0.000730507 +18 *1143:10 *1145:10 8.90486e-05 +19 *1144:10 *1145:10 0.0144656 +*RES +1 *2826:la_output[86] *1145:9 31.0151 +2 *1145:9 *1145:10 173.205 +3 *1145:10 *1145:12 4.5 +4 *1145:12 *2820:la_data_out_mprj[86] 62.9962 +*END + +*D_NET *1146 0.0418263 +*CONN +*I *2820:la_data_out_mprj[87] I *D mgmt_protect +*I *2826:la_output[87] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[87] 0.00203438 +2 *2826:la_output[87] 0.00170541 +3 *1146:12 0.00203438 +4 *1146:10 0.00251332 +5 *1146:9 0.00421873 +6 *2820:la_data_out_mprj[87] *2820:la_iena_mprj[87] 0 +7 *2820:la_data_out_mprj[87] *1520:7 0 +8 *1146:9 *1403:9 0 +9 *1146:9 *1530:9 0 +10 *1146:9 *1538:15 0 +11 *1146:9 *1542:15 8.62625e-06 +12 *1146:10 *1152:10 0 +13 *1146:10 *1403:10 0.0162945 +14 *1146:10 *1541:12 0.000347544 +15 *787:5 *1146:9 0 +16 *890:7 *2820:la_data_out_mprj[87] 0 +17 *897:8 *1146:10 0.0126694 +*RES +1 *2826:la_output[87] *1146:9 42.2269 +2 *1146:9 *1146:10 184.852 +3 *1146:10 *1146:12 4.5 +4 *1146:12 *2820:la_data_out_mprj[87] 51.7844 +*END + +*D_NET *1147 0.0466389 +*CONN +*I *2820:la_data_out_mprj[88] I *D mgmt_protect +*I *2826:la_output[88] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[88] 0.00181402 +2 *2826:la_output[88] 0.000973932 +3 *1147:12 0.00181402 +4 *1147:10 0.00163518 +5 *1147:9 0.00260911 +6 *2820:la_data_out_mprj[88] *2820:la_iena_mprj[88] 0 +7 *2820:la_data_out_mprj[88] *1393:7 0.00117354 +8 *1147:9 *2820:la_iena_mprj[111] 0 +9 *1147:9 *1404:9 0 +10 *1147:9 *1531:9 0 +11 *1147:10 *1148:10 1.41291e-05 +12 *1147:10 *1402:10 0.0153791 +13 *1147:10 *1404:10 0.000347135 +14 *1147:10 *1406:10 0.0167148 +15 *1147:10 *1420:10 0.000102215 +16 *1147:10 *1527:10 0 +17 *1147:10 *1530:10 7.68538e-06 +18 *1147:10 *1539:10 0.000229024 +19 *1147:10 *1540:10 0.00261156 +20 *790:5 *1147:9 0.000865254 +21 *881:11 *2820:la_data_out_mprj[88] 0 +22 *891:7 *2820:la_data_out_mprj[88] 0.000348187 +*RES +1 *2826:la_output[88] *1147:9 33.5066 +2 *1147:9 *1147:10 196.498 +3 *1147:10 *1147:12 4.5 +4 *1147:12 *2820:la_data_out_mprj[88] 60.5047 +*END + +*D_NET *1148 0.0502802 +*CONN +*I *2820:la_data_out_mprj[89] I *D mgmt_protect +*I *2826:la_output[89] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[89] 6.22868e-05 +2 *2826:la_output[89] 0.00124123 +3 *1148:13 0.00130051 +4 *1148:12 0.00123822 +5 *1148:10 0.00161239 +6 *1148:9 0.00285362 +7 *1148:9 *1406:9 0 +8 *1148:9 *1532:9 0 +9 *1148:10 *1150:10 0.0188535 +10 *1148:10 *1404:10 0.0179594 +11 *1148:10 *1406:10 0.000645974 +12 *1148:10 *1420:10 0.00012426 +13 *1148:10 *1527:10 0.000153129 +14 *1148:13 *2820:la_iena_mprj[89] 0.00354022 +15 *1148:13 *1521:7 0.000681334 +16 *792:5 *1148:9 0 +17 *892:7 *1148:13 0 +18 *1147:10 *1148:10 1.41291e-05 +*RES +1 *2826:la_output[89] *1148:9 34.7523 +2 *1148:9 *1148:10 206.481 +3 *1148:10 *1148:12 4.5 +4 *1148:12 *1148:13 59.3444 +5 *1148:13 *2820:la_data_out_mprj[89] 1.77093 +*END + +*D_NET *1149 0.130276 +*CONN +*I *2820:la_data_out_mprj[8] I *D mgmt_protect +*I *2826:la_output[8] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[8] 0.00184007 +2 *2826:la_output[8] 0.00137881 +3 *1149:22 0.00184007 +4 *1149:20 0.000600599 +5 *1149:19 0.000911903 +6 *1149:14 0.00153045 +7 *1149:12 0.00124218 +8 *1149:10 0.00490789 +9 *1149:9 0.00626367 +10 *2820:la_data_out_mprj[8] *2820:la_iena_mprj[8] 0.000378862 +11 *2820:la_data_out_mprj[8] *1356:7 0.00126877 +12 *1149:9 *1416:7 0 +13 *1149:9 *1533:9 0 +14 *1149:10 *1160:10 0.0473261 +15 *1149:10 *1327:10 0.0132239 +16 *1149:10 *1511:10 0.00236768 +17 *1149:14 *1160:10 0.00584845 +18 *1149:14 *1327:10 0.0130465 +19 *1149:14 *1383:10 0.00122194 +20 *1149:20 *1340:10 0.00731386 +21 *1149:20 *1449:10 0.000441668 +22 *1149:20 *1465:10 0.00731808 +23 *849:14 *1149:10 0.0085842 +24 *882:14 *1149:10 7.5555e-05 +25 *893:7 *2820:la_data_out_mprj[8] 0.000506128 +26 *1099:7 *2820:la_data_out_mprj[8] 0 +27 *1127:10 *1149:10 0.00083916 +*RES +1 *2826:la_output[8] *1149:9 38.9049 +2 *1149:9 *1149:10 519.555 +3 *1149:10 *1149:12 0.578717 +4 *1149:12 *1149:14 137.433 +5 *1149:14 *1149:19 13.7388 +6 *1149:19 *1149:20 77.8133 +7 *1149:20 *1149:22 4.5 +8 *1149:22 *2820:la_data_out_mprj[8] 50.1234 +*END + +*D_NET *1150 0.050828 +*CONN +*I *2820:la_data_out_mprj[90] I *D mgmt_protect +*I *2826:la_output[90] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[90] 0.00184954 +2 *2826:la_output[90] 0.00126369 +3 *1150:12 0.00184954 +4 *1150:10 0.00187002 +5 *1150:9 0.00313372 +6 *2820:la_data_out_mprj[90] *2820:la_iena_mprj[90] 0 +7 *2820:la_data_out_mprj[90] *1395:7 0.000664854 +8 *1150:9 *1407:9 0 +9 *1150:9 *1534:9 0 +10 *1150:10 *1406:10 0.000120974 +11 *1150:10 *1419:10 0.00020979 +12 *1150:10 *1420:10 0.000463392 +13 *1150:10 *1527:10 0.000173166 +14 *1150:10 *1534:10 0.0198561 +15 *1150:10 *1540:10 8.68552e-05 +16 *795:5 *1150:9 0 +17 *894:10 *2820:la_data_out_mprj[90] 0.000432817 +18 *1137:7 *2820:la_data_out_mprj[90] 0 +19 *1148:10 *1150:10 0.0188535 +*RES +1 *2826:la_output[90] *1150:9 35.1676 +2 *1150:9 *1150:10 219.792 +3 *1150:10 *1150:12 4.5 +4 *1150:12 *2820:la_data_out_mprj[90] 58.8437 +*END + +*D_NET *1151 0.0534941 +*CONN +*I *2820:la_data_out_mprj[91] I *D mgmt_protect +*I *2826:la_output[91] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[91] 0.00198712 +2 *2826:la_output[91] 0.00164615 +3 *1151:12 0.00198712 +4 *1151:10 0.00203479 +5 *1151:9 0.00368095 +6 *2820:la_data_out_mprj[91] *2820:la_iena_mprj[91] 0 +7 *2820:la_data_out_mprj[91] *2820:la_oenb_mprj[90] 0 +8 *2820:la_data_out_mprj[91] *1523:7 0 +9 *1151:9 *1535:9 0 +10 *1151:10 *1403:10 0.000436184 +11 *1151:10 *1407:10 0.020086 +12 *1151:10 *1408:10 0.0207127 +13 *1151:10 *1535:10 0.000412036 +14 *1151:10 *1541:12 0.000107179 +15 *797:5 *1151:9 0.000403904 +16 *883:11 *2820:la_data_out_mprj[91] 0 +17 *895:7 *2820:la_data_out_mprj[91] 0 +18 *902:8 *1151:10 0 +*RES +1 *2826:la_output[91] *1151:9 43.4726 +2 *1151:9 *1151:10 231.438 +3 *1151:10 *1151:12 4.5 +4 *1151:12 *2820:la_data_out_mprj[91] 50.5386 +*END + +*D_NET *1152 0.0577815 +*CONN +*I *2820:la_data_out_mprj[92] I *D mgmt_protect +*I *2826:la_output[92] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[92] 6.22868e-05 +2 *2826:la_output[92] 0.00154829 +3 *1152:13 0.00124568 +4 *1152:12 0.00118339 +5 *1152:10 0.0021685 +6 *1152:9 0.00371679 +7 *1152:9 *1409:9 0 +8 *1152:10 *1153:10 0.000342221 +9 *1152:10 *1154:10 0.0213887 +10 *1152:10 *1290:12 0.000680605 +11 *1152:10 *1409:10 0.000178097 +12 *1152:13 *2820:la_iena_mprj[92] 0.00303307 +13 *1152:13 *1396:7 0 +14 *800:5 *1152:9 0 +15 *801:5 *1152:9 0 +16 *896:7 *1152:13 0 +17 *897:8 *1152:10 2.68003e-05 +18 *898:8 *1152:10 0.0215457 +19 *1139:7 *1152:13 0.00066142 +20 *1142:10 *1152:10 0 +21 *1146:10 *1152:10 0 +*RES +1 *2826:la_output[92] *1152:9 38.6117 +2 *1152:9 *1152:10 243.085 +3 *1152:10 *1152:12 4.5 +4 *1152:12 *1152:13 54.3614 +5 *1152:13 *2820:la_data_out_mprj[92] 1.77093 +*END + +*D_NET *1153 0.0576702 +*CONN +*I *2820:la_data_out_mprj[93] I *D mgmt_protect +*I *2826:la_output[93] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[93] 0.0021921 +2 *2826:la_output[93] 0.00142386 +3 *1153:12 0.0021921 +4 *1153:10 0.00228709 +5 *1153:9 0.00371096 +6 *2820:la_data_out_mprj[93] *2820:la_iena_mprj[93] 0 +7 *2820:la_data_out_mprj[93] *1396:7 0 +8 *1153:9 *1410:9 0 +9 *1153:9 *1537:9 0 +10 *1153:10 *1154:10 0.0231663 +11 *1153:10 *1157:12 0.000680605 +12 *1153:10 *1413:10 0.0203716 +13 *803:5 *1153:9 0.000354439 +14 *884:11 *2820:la_data_out_mprj[93] 0 +15 *897:5 *2820:la_data_out_mprj[93] 0 +16 *899:8 *1153:10 0.000948957 +17 *1142:10 *1153:10 0 +18 *1152:10 *1153:10 0.000342221 +*RES +1 *2826:la_output[93] *1153:9 38.9049 +2 *1153:9 *1153:10 254.732 +3 *1153:10 *1153:12 4.5 +4 *1153:12 *2820:la_data_out_mprj[93] 55.1064 +*END + +*D_NET *1154 0.0599333 +*CONN +*I *2820:la_data_out_mprj[94] I *D mgmt_protect +*I *2826:la_output[94] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[94] 0.00176938 +2 *2826:la_output[94] 0.00159228 +3 *1154:12 0.00176938 +4 *1154:10 0.00237015 +5 *1154:9 0.00396243 +6 *2820:la_data_out_mprj[94] *2820:la_iena_mprj[94] 0 +7 *2820:la_data_out_mprj[94] *1524:7 0 +8 *1154:9 *1411:9 0 +9 *1154:9 *1538:9 0 +10 *1154:10 *1290:12 0.000850566 +11 *1154:10 *1411:10 6.22677e-05 +12 *1154:10 *1413:10 0.000833114 +13 *805:5 *1154:9 0 +14 *898:5 *2820:la_data_out_mprj[94] 0.000988525 +15 *898:8 *1154:10 0.00118023 +16 *1140:7 *2820:la_data_out_mprj[94] 0 +17 *1152:10 *1154:10 0.0213887 +18 *1153:10 *1154:10 0.0231663 +*RES +1 *2826:la_output[94] *1154:9 39.3201 +2 *1154:9 *1154:10 266.933 +3 *1154:10 *1154:12 4.5 +4 *1154:12 *2820:la_data_out_mprj[94] 54.6912 +*END + +*D_NET *1155 0.0641991 +*CONN +*I *2820:la_data_out_mprj[95] I *D mgmt_protect +*I *2826:la_output[95] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[95] 0.00205863 +2 *2826:la_output[95] 0.00142039 +3 *1155:12 0.00205863 +4 *1155:10 0.00215404 +5 *1155:9 0.00357443 +6 *2820:la_data_out_mprj[95] *2820:la_iena_mprj[95] 0 +7 *2820:la_data_out_mprj[95] *1397:7 0.000654368 +8 *1155:9 *2820:la_oenb_mprj[127] 9.67078e-05 +9 *1155:9 *1539:9 0 +10 *1155:10 *1156:10 0.0253861 +11 *1155:10 *1159:12 0.000825419 +12 *1155:10 *1412:10 0.0251843 +13 *885:11 *2820:la_data_out_mprj[95] 0 +14 *899:5 *2820:la_data_out_mprj[95] 0 +15 *899:8 *1155:10 0.000431269 +16 *1142:10 *1155:10 0.00035468 +*RES +1 *2826:la_output[95] *1155:9 37.2439 +2 *1155:9 *1155:10 278.025 +3 *1155:10 *1155:12 4.5 +4 *1155:12 *2820:la_data_out_mprj[95] 56.7674 +*END + +*D_NET *1156 0.0679321 +*CONN +*I *2820:la_data_out_mprj[96] I *D mgmt_protect +*I *2826:la_output[96] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[96] 0.0018305 +2 *2826:la_output[96] 0.00139575 +3 *1156:12 0.0018305 +4 *1156:10 0.00222348 +5 *1156:9 0.00361923 +6 *2820:la_data_out_mprj[96] *2820:la_iena_mprj[96] 0 +7 *2820:la_data_out_mprj[96] *1525:7 0.000917012 +8 *1156:9 *2820:mprj_adr_o_core[1] 0.000253887 +9 *1156:9 *1413:9 0 +10 *1156:9 *1540:9 0 +11 *1156:10 *1159:12 0.00103171 +12 *1156:10 *1412:10 0.000103234 +13 *1156:10 *1415:10 0.0246426 +14 *1156:10 *1527:10 3.83336e-05 +15 *885:11 *2820:la_data_out_mprj[96] 0 +16 *900:7 *2820:la_data_out_mprj[96] 0.000398627 +17 *1035:10 *1156:10 0.00167914 +18 *1142:10 *1156:10 0.00258199 +19 *1155:10 *1156:10 0.0253861 +*RES +1 *2826:la_output[96] *1156:9 36.8286 +2 *1156:9 *1156:10 290.226 +3 *1156:10 *1156:12 4.5 +4 *1156:12 *2820:la_data_out_mprj[96] 57.1827 +*END + +*D_NET *1157 0.081049 +*CONN +*I *2820:la_data_out_mprj[97] I *D mgmt_protect +*I *2826:la_output[97] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[97] 0.00224996 +2 *2826:la_output[97] 0.0015225 +3 *1157:12 0.0079791 +4 *1157:9 0.00725164 +5 *2820:la_data_out_mprj[97] *1398:7 0 +6 *2820:la_data_out_mprj[97] *1413:13 0 +7 *1157:9 *1414:9 0 +8 *1157:12 *1158:12 6.10203e-05 +9 *1157:12 *1159:12 0.000562495 +10 *1157:12 *1290:12 0.0293925 +11 *1157:12 *1413:10 0.00111048 +12 *1157:12 *1414:12 0.000837974 +13 *1157:12 *1418:12 0.0293253 +14 *1157:12 *1541:12 7.54336e-05 +15 *901:7 *2820:la_data_out_mprj[97] 0 +16 *1141:7 *2820:la_data_out_mprj[97] 0 +17 *1153:10 *1157:12 0.000680605 +*RES +1 *2826:la_output[97] *1157:9 36.0271 +2 *1157:9 *1157:12 45.1058 +3 *1157:12 *2820:la_data_out_mprj[97] 55.7293 +*END + +*D_NET *1158 0.0844805 +*CONN +*I *2820:la_data_out_mprj[98] I *D mgmt_protect +*I *2826:la_output[98] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[98] 0.00168134 +2 *2826:la_output[98] 0.00160308 +3 *1158:12 0.00600191 +4 *1158:9 0.00592365 +5 *2820:la_data_out_mprj[98] *2820:la_iena_mprj[98] 0 +6 *1158:9 *1415:9 0 +7 *1158:9 *1542:9 0 +8 *1158:9 *1853:5 0.000330478 +9 *1158:12 *1290:12 0.000643986 +10 *1158:12 *1410:10 0.000960823 +11 *1158:12 *1411:10 0.000883336 +12 *1158:12 *1414:12 0.031921 +13 *1158:12 *1541:12 0.031031 +14 *1158:12 *1911:16 0.000385943 +15 *1158:12 *2490:24 0.00181411 +16 *781:11 *1158:9 0 +17 *886:11 *2820:la_data_out_mprj[98] 0.000815439 +18 *902:5 *2820:la_data_out_mprj[98] 0.00042334 +19 *1157:12 *1158:12 6.10203e-05 +*RES +1 *2826:la_output[98] *1158:9 39.6423 +2 *1158:9 *1158:12 46.6356 +3 *1158:12 *2820:la_data_out_mprj[98] 53.2378 +*END + +*D_NET *1159 0.0886651 +*CONN +*I *2820:la_data_out_mprj[99] I *D mgmt_protect +*I *2826:la_output[99] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[99] 0.00238967 +2 *2826:la_output[99] 0.00150227 +3 *1159:12 0.00886224 +4 *1159:9 0.00797484 +5 *2820:la_data_out_mprj[99] *2820:la_iena_mprj[99] 0 +6 *2820:la_data_out_mprj[99] *1526:7 0 +7 *1159:9 *1290:9 0 +8 *1159:9 *1543:9 0 +9 *1159:12 *1415:10 0.000149641 +10 *1159:12 *1418:12 0.033613 +11 *1159:12 *1420:10 0.0317539 +12 *903:5 *2820:la_data_out_mprj[99] 0 +13 *1155:10 *1159:12 0.000825419 +14 *1156:10 *1159:12 0.00103171 +15 *1157:12 *1159:12 0.000562495 +*RES +1 *2826:la_output[99] *1159:9 35.4898 +2 *1159:9 *1159:12 48.2419 +3 *1159:12 *2820:la_data_out_mprj[99] 57.3903 +*END + +*D_NET *1160 0.148505 +*CONN +*I *2820:la_data_out_mprj[9] I *D mgmt_protect +*I *2826:la_output[9] O *D mgmt_core_wrapper +*CAP +1 *2820:la_data_out_mprj[9] 0.00283262 +2 *2826:la_output[9] 0.00135976 +3 *1160:16 0.00283262 +4 *1160:14 0.0011503 +5 *1160:12 0.00118965 +6 *1160:10 0.00466269 +7 *1160:9 0.0059831 +8 *2820:la_data_out_mprj[9] *2820:la_iena_mprj[9] 0 +9 *2820:la_data_out_mprj[9] *2820:la_oenb_mprj[8] 0 +10 *1160:9 *1300:7 0 +11 *1160:9 *1544:7 0 +12 *1160:10 *1327:10 9.82896e-06 +13 *1160:10 *1511:10 0.00366103 +14 *1160:14 *1327:10 0.00809534 +15 *1160:14 *1351:10 4.66849e-05 +16 *1160:14 *1361:18 0.0018388 +17 *1160:14 *1383:10 0.000472535 +18 *1160:14 *1405:10 0.000101365 +19 *1160:14 *1483:10 0.000307839 +20 *2826:la_input[45] *2820:la_data_out_mprj[9] 0 +21 *904:7 *2820:la_data_out_mprj[9] 0 +22 *1127:10 *1160:10 0.0604137 +23 *1127:10 *1160:14 0.000372738 +24 *1149:10 *1160:10 0.0473261 +25 *1149:14 *1160:10 0.00584845 +*RES +1 *2826:la_output[9] *1160:9 38.4896 +2 *1160:9 *1160:10 637.408 +3 *1160:10 *1160:12 0.988641 +4 *1160:12 *1160:14 86.1323 +5 *1160:14 *1160:16 4.5 +6 *1160:16 *2820:la_data_out_mprj[9] 54.6912 +*END + +*D_NET *1161 0.0477639 +*CONN +*I *2820:la_data_out_core[0] I *D mgmt_protect +*I *2821:la_data_out[0] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[0] 0.000774601 +2 *2821:la_data_out[0] 0.0015913 +3 *1161:14 0.00642372 +4 *1161:13 0.00690743 +5 *1161:10 0.00155179 +6 *1161:7 0.00188479 +7 *2820:la_data_out_core[0] *1545:7 0 +8 *1161:7 *1795:19 4.15661e-05 +9 *1161:7 *2486:7 1.75682e-05 +10 *1161:10 *1803:10 0.00361417 +11 *1161:10 *1805:14 0.00361836 +12 *1161:10 *1858:8 0.00010238 +13 *1161:13 *2820:mprj_dat_i_user[2] 0 +14 *1161:13 *1811:7 0.000425016 +15 *1161:13 *1943:7 0 +16 *1161:14 *2820:mprj_dat_i_user[5] 0.000633287 +17 *1161:14 *2820:mprj_dat_i_user[7] 0.000698415 +18 *1161:14 *1650:8 0.000881427 +19 *1161:14 *1661:8 0.0101632 +20 *1161:14 *1795:8 0.00058136 +21 *1161:14 *1870:14 0.00249162 +22 *1161:14 *1870:20 3.89637e-05 +23 *1161:14 *1923:10 0.00386037 +24 *1161:14 *1946:8 0.00146253 +25 *905:7 *2820:la_data_out_core[0] 0 +*RES +1 *2821:la_data_out[0] *1161:7 41.7506 +2 *1161:7 *1161:10 42.9364 +3 *1161:10 *1161:13 27.9251 +4 *1161:13 *1161:14 249.186 +5 *1161:14 *2820:la_data_out_core[0] 26.3862 +*END + +*D_NET *1162 0.227362 +*CONN +*I *2820:la_data_out_core[100] I *D mgmt_protect +*I *2821:la_data_out[100] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[100] 0.000805591 +2 *2821:la_data_out[100] 0.00278722 +3 *1162:8 0.00809814 +4 *1162:7 0.00729255 +5 *1162:5 0.00278722 +6 *2820:la_data_out_core[100] *1546:13 0 +7 *1162:8 *1547:8 0 +8 *906:5 *2820:la_data_out_core[100] 0 +9 *907:8 *1162:8 0.0991065 +10 *920:8 *1162:8 0.0116336 +11 *980:8 *1162:8 0 +12 *1030:8 *1162:8 0.0948515 +*RES +1 *2821:la_data_out[100] *1162:5 63.8267 +2 *1162:5 *1162:7 4.5 +3 *1162:7 *1162:8 1046.15 +4 *1162:8 *2820:la_data_out_core[100] 23.0642 +*END + +*D_NET *1163 0.220854 +*CONN +*I *2820:la_data_out_core[101] I *D mgmt_protect +*I *2821:la_data_out[101] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[101] 6.22868e-05 +2 *2821:la_data_out[101] 0.000637349 +3 *1163:11 0.00303703 +4 *1163:10 0.00297474 +5 *1163:8 0.00794203 +6 *1163:7 0.00857938 +7 *1163:8 *1165:8 0.0989452 +8 *1163:8 *1187:8 0.00196951 +9 *1163:8 *1243:8 8.7654e-05 +10 *1163:11 *2821:la_oenb[51] 0 +11 *1163:11 *1546:13 6.61114e-05 +12 *1163:11 *1546:17 0.000441219 +13 *1163:11 *1547:7 0.000532382 +14 *2821:la_data_in[52] *1163:11 0 +15 *907:7 *1163:11 0 +16 *1031:8 *1163:8 0.0955786 +*RES +1 *2821:la_data_out[101] *1163:7 20.5727 +2 *1163:7 *1163:8 1057.24 +3 *1163:8 *1163:10 4.5 +4 *1163:10 *1163:11 66.4037 +5 *1163:11 *2820:la_data_out_core[101] 1.77093 +*END + +*D_NET *1164 0.234496 +*CONN +*I *2820:la_data_out_core[102] I *D mgmt_protect +*I *2821:la_data_out[102] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[102] 0.000775376 +2 *2821:la_data_out[102] 0.00280236 +3 *1164:8 0.00780343 +4 *1164:7 0.00702806 +5 *1164:5 0.00280236 +6 *2820:la_data_out_core[102] *1548:5 0 +7 *2821:la_data_in[52] *2820:la_data_out_core[102] 0 +8 *907:8 *1164:8 0.0994381 +9 *908:7 *2820:la_data_out_core[102] 0 +10 *908:8 *1164:8 0.101505 +11 *909:8 *1164:8 0.000313928 +12 *921:8 *1164:8 0.0120275 +*RES +1 *2821:la_data_out[102] *1164:5 64.6572 +2 *1164:5 *1164:7 4.5 +3 *1164:7 *1164:8 1072.77 +4 *1164:8 *2820:la_data_out_core[102] 22.2337 +*END + +*D_NET *1165 0.227049 +*CONN +*I *2820:la_data_out_core[103] I *D mgmt_protect +*I *2821:la_data_out[103] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[103] 0.00315694 +2 *2821:la_data_out[103] 0.000656468 +3 *1165:10 0.00315694 +4 *1165:8 0.00796784 +5 *1165:7 0.0086243 +6 *2820:la_data_out_core[103] *2821:la_oenb[52] 0 +7 *2820:la_data_out_core[103] *1236:5 0 +8 *2820:la_data_out_core[103] *1548:5 0 +9 *2820:la_data_out_core[103] *1549:9 0.000452827 +10 *1165:8 *1167:8 0.101475 +11 *1165:8 *1187:8 0.00233918 +12 *1165:8 *1243:8 0.000274449 +13 *909:7 *2820:la_data_out_core[103] 0 +14 *1163:8 *1165:8 0.0989452 +*RES +1 *2821:la_data_out[103] *1165:7 20.988 +2 *1165:7 *1165:8 1085.53 +3 *1165:8 *1165:10 4.5 +4 *1165:10 *2820:la_data_out_core[103] 65.903 +*END + +*D_NET *1166 0.24178 +*CONN +*I *2820:la_data_out_core[104] I *D mgmt_protect +*I *2821:la_data_out[104] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[104] 0.000697317 +2 *2821:la_data_out[104] 0.00285008 +3 *1166:8 0.00758467 +4 *1166:7 0.00688735 +5 *1166:5 0.00285008 +6 *2820:la_data_out_core[104] *1550:5 0 +7 *2821:la_data_in[53] *2820:la_data_out_core[104] 3.28776e-05 +8 *910:7 *2820:la_data_out_core[104] 0 +9 *910:8 *1166:8 0.104065 +10 *911:8 *1166:8 0.104237 +11 *923:8 *1166:8 0.0125289 +12 *981:8 *1166:8 2.54062e-05 +13 *982:8 *1166:8 2.12986e-05 +*RES +1 *2821:la_data_out[104] *1166:5 66.3182 +2 *1166:5 *1166:7 4.5 +3 *1166:7 *1166:8 1099.39 +4 *1166:8 *2820:la_data_out_core[104] 20.5727 +*END + +*D_NET *1167 0.233303 +*CONN +*I *2820:la_data_out_core[105] I *D mgmt_protect +*I *2821:la_data_out[105] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[105] 0.00292725 +2 *2821:la_data_out[105] 0.000675588 +3 *1167:10 0.00292725 +4 *1167:8 0.00812194 +5 *1167:7 0.00879753 +6 *2820:la_data_out_core[105] *1237:5 0.00165677 +7 *2820:la_data_out_core[105] *1550:5 0 +8 *2820:la_data_out_core[105] *1551:7 0 +9 *1167:8 *1169:8 0.104005 +10 *1167:8 *1243:8 0.000384979 +11 *911:7 *2820:la_data_out_core[105] 0 +12 *930:10 *1167:8 0.00233155 +13 *1165:8 *1167:8 0.101475 +*RES +1 *2821:la_data_out[105] *1167:7 21.4032 +2 *1167:7 *1167:8 1112.15 +3 *1167:8 *1167:10 4.5 +4 *1167:10 *2820:la_data_out_core[105] 65.4877 +*END + +*D_NET *1168 0.246184 +*CONN +*I *2820:la_data_out_core[106] I *D mgmt_protect +*I *2821:la_data_out[106] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[106] 0.000680914 +2 *2821:la_data_out[106] 0.00286306 +3 *1168:8 0.00796125 +4 *1168:7 0.00728033 +5 *1168:5 0.00286306 +6 *2820:la_data_out_core[106] *1551:7 0 +7 *2820:la_data_out_core[106] *1552:5 0 +8 *911:8 *1168:8 0.104515 +9 *912:7 *2820:la_data_out_core[106] 0 +10 *912:8 *1168:8 0.106576 +11 *913:8 *1168:8 0.000313928 +12 *924:8 *1168:8 0.0131302 +*RES +1 *2821:la_data_out[106] *1168:5 67.1487 +2 *1168:5 *1168:7 4.5 +3 *1168:7 *1168:8 1125.46 +4 *1168:8 *2820:la_data_out_core[106] 19.7422 +*END + +*D_NET *1169 0.23858 +*CONN +*I *2820:la_data_out_core[107] I *D mgmt_protect +*I *2821:la_data_out[107] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[107] 0.00313383 +2 *2821:la_data_out[107] 0.000694708 +3 *1169:10 0.00313383 +4 *1169:8 0.00821542 +5 *1169:7 0.00891013 +6 *2820:la_data_out_core[107] *1238:5 0 +7 *2820:la_data_out_core[107] *1553:7 0 +8 *1169:8 *1171:8 0.106535 +9 *1169:8 *1243:8 0.000677667 +10 *2821:la_data_in[54] *2820:la_data_out_core[107] 0.000541588 +11 *913:7 *2820:la_data_out_core[107] 0 +12 *930:10 *1169:8 0.00273298 +13 *1167:8 *1169:8 0.104005 +*RES +1 *2821:la_data_out[107] *1169:7 21.8185 +2 *1169:7 *1169:8 1138.77 +3 *1169:8 *1169:10 4.5 +4 *1169:10 *2820:la_data_out_core[107] 65.0725 +*END + +*D_NET *1170 0.251066 +*CONN +*I *2820:la_data_out_core[108] I *D mgmt_protect +*I *2821:la_data_out[108] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[108] 0.000630308 +2 *2821:la_data_out[108] 0.00289497 +3 *1170:8 0.008032 +4 *1170:7 0.0074017 +5 *1170:5 0.00289497 +6 *2820:la_data_out_core[108] *1553:7 0 +7 *2820:la_data_out_core[108] *1554:5 0 +8 *913:8 *1170:8 0.107131 +9 *914:7 *2820:la_data_out_core[108] 0 +10 *914:8 *1170:8 0.109138 +11 *919:8 *1170:8 0.000313928 +12 *926:8 *1170:8 0.0126289 +*RES +1 *2821:la_data_out[108] *1170:5 68.3945 +2 *1170:5 *1170:7 4.5 +3 *1170:7 *1170:8 1152.08 +4 *1170:8 *2820:la_data_out_core[108] 18.4964 +*END + +*D_NET *1171 0.244029 +*CONN +*I *2820:la_data_out_core[109] I *D mgmt_protect +*I *2821:la_data_out[109] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[109] 0.00337779 +2 *2821:la_data_out[109] 0.000713827 +3 *1171:10 0.00337779 +4 *1171:8 0.00839121 +5 *1171:7 0.00910504 +6 *2820:la_data_out_core[109] *2821:la_oenb[54] 0 +7 *2820:la_data_out_core[109] *1554:5 0 +8 *2820:la_data_out_core[109] *1555:7 0 +9 *1171:8 *1243:8 0.00913243 +10 *1171:8 *1245:8 0.000571078 +11 *2821:la_data_in[55] *2820:la_data_out_core[109] 0 +12 *915:7 *2820:la_data_out_core[109] 0 +13 *929:14 *1171:8 0.0904434 +14 *931:8 *1171:8 0.00967416 +15 *931:12 *1171:8 1.41689e-05 +16 *932:10 *1171:8 0.0026936 +17 *1169:8 *1171:8 0.106535 +*RES +1 *2821:la_data_out[109] *1171:7 22.2337 +2 *1171:7 *1171:8 1165.39 +3 *1171:8 *1171:10 4.5 +4 *1171:10 *2820:la_data_out_core[109] 64.6572 +*END + +*D_NET *1172 0.0352126 +*CONN +*I *2820:la_data_out_core[10] I *D mgmt_protect +*I *2821:la_data_out[10] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[10] 0.00136122 +2 *2821:la_data_out[10] 0.00170561 +3 *1172:8 0.00252314 +4 *1172:7 0.00286753 +5 *2820:la_data_out_core[10] *1556:7 0 +6 *1172:7 *1935:5 0 +7 *1172:8 *1197:8 0.000436811 +8 *1172:8 *1556:10 0.00184 +9 *1172:8 *1556:12 0.00947314 +10 *1172:8 *1805:8 0.000591935 +11 *1172:8 *1945:8 3.83336e-05 +12 *916:7 *2820:la_data_out_core[10] 0 +13 *916:8 *1172:8 0.0143749 +*RES +1 *2821:la_data_out[10] *1172:7 48.3946 +2 *1172:7 *1172:8 154.349 +3 *1172:8 *2820:la_data_out_core[10] 42.9963 +*END + +*D_NET *1173 0.297538 +*CONN +*I *2820:la_data_out_core[110] I *D mgmt_protect +*I *2821:la_data_out[110] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[110] 0.000909748 +2 *2821:la_data_out[110] 0.00258765 +3 *1173:8 0.010332 +4 *1173:7 0.00942225 +5 *1173:5 0.00258765 +6 *2820:la_data_out_core[110] *1242:13 6.99579e-05 +7 *2820:la_data_out_core[110] *1557:5 0 +8 *1173:8 *1175:8 0.126658 +9 *1173:8 *1656:8 5.99814e-05 +10 *917:7 *2820:la_data_out_core[110] 0 +11 *917:8 *1173:8 0 +12 *918:8 *1173:8 0.127839 +13 *986:13 *2820:la_data_out_core[110] 0.000161404 +14 *1022:8 *1173:8 0.0078133 +15 *1024:8 *1173:8 0.0090973 +*RES +1 *2821:la_data_out[110] *1173:5 62.3733 +2 *1173:5 *1173:7 3.36879 +3 *1173:7 *1173:8 162.592 +4 *1173:8 *2820:la_data_out_core[110] 23.3864 +*END + +*D_NET *1174 0.202745 +*CONN +*I *2820:la_data_out_core[111] I *D mgmt_protect +*I *2821:la_data_out[111] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[111] 0.00372858 +2 *2821:la_data_out[111] 0.000430926 +3 *1174:10 0.00372858 +4 *1174:8 0.0334379 +5 *1174:7 0.0338689 +6 *2820:la_data_out_core[111] *2821:la_oenb[55] 0 +7 *2820:la_data_out_core[111] *1239:5 0 +8 *2820:la_data_out_core[111] *1557:5 0 +9 *2820:la_data_out_core[111] *1558:7 0 +10 *1174:8 *1176:8 0.12755 +11 *918:7 *2820:la_data_out_core[111] 0 +*RES +1 *2821:la_data_out[111] *1174:7 15.0813 +2 *1174:7 *1174:8 164.504 +3 *1174:8 *1174:10 3.36879 +4 *1174:10 *2820:la_data_out_core[111] 70.6784 +*END + +*D_NET *1175 0.303066 +*CONN +*I *2820:la_data_out_core[112] I *D mgmt_protect +*I *2821:la_data_out[112] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[112] 0.000782207 +2 *2821:la_data_out[112] 0.00258252 +3 *1175:8 0.00999644 +4 *1175:7 0.00921423 +5 *1175:5 0.00258252 +6 *2820:la_data_out_core[112] *1558:7 0 +7 *2820:la_data_out_core[112] *1559:5 0 +8 *2821:la_data_in[56] *2820:la_data_out_core[112] 0.000549616 +9 *917:8 *1175:8 0 +10 *918:8 *1175:8 0.000667856 +11 *919:7 *2820:la_data_out_core[112] 0 +12 *920:8 *1175:8 0.130801 +13 *1026:8 *1175:8 0.00892123 +14 *1028:8 *1175:8 0.0103103 +15 *1173:8 *1175:8 0.126658 +*RES +1 *2821:la_data_out[112] *1175:5 63.2038 +2 *1175:5 *1175:7 3.36879 +3 *1175:7 *1175:8 166.263 +4 *1175:8 *2820:la_data_out_core[112] 22.5559 +*END + +*D_NET *1176 0.300429 +*CONN +*I *2820:la_data_out_core[113] I *D mgmt_protect +*I *2821:la_data_out[113] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[113] 2.27669e-05 +2 *2821:la_data_out[113] 0.000460136 +3 *1176:11 0.00323205 +4 *1176:10 0.00320929 +5 *1176:8 0.0162526 +6 *1176:7 0.0167127 +7 *1176:8 *1178:8 0.130515 +8 *1176:11 *1240:5 0.00191452 +9 *1176:11 *1560:7 0 +10 *920:7 *1176:11 0 +11 *1013:8 *1176:8 0.00017378 +12 *1014:8 *1176:8 0.000385925 +13 *1174:8 *1176:8 0.12755 +*RES +1 *2821:la_data_out[113] *1176:7 15.9118 +2 *1176:7 *1176:8 168.099 +3 *1176:8 *1176:10 3.36879 +4 *1176:10 *1176:11 69.9334 +5 *1176:11 *2820:la_data_out_core[113] 0.647305 +*END + +*D_NET *1177 0.268456 +*CONN +*I *2820:la_data_out_core[114] I *D mgmt_protect +*I *2821:la_data_out[114] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[114] 0.000590216 +2 *2821:la_data_out[114] 0.00283 +3 *1177:8 0.00866251 +4 *1177:7 0.00807229 +5 *1177:5 0.00283 +6 *2820:la_data_out_core[114] *1561:5 0 +7 *1177:8 *1179:8 0.116062 +8 *919:8 *1177:8 0.112784 +9 *921:7 *2820:la_data_out_core[114] 0 +10 *928:8 *1177:8 0.0156429 +11 *985:14 *1177:8 0.000579046 +12 *1019:8 *1177:8 0.000402056 +*RES +1 *2821:la_data_out[114] *1177:5 69.6402 +2 *1177:5 *1177:7 4.5 +3 *1177:7 *1177:8 1231.94 +4 *1177:8 *2820:la_data_out_core[114] 17.2507 +*END + +*D_NET *1178 0.307628 +*CONN +*I *2820:la_data_out_core[115] I *D mgmt_protect +*I *2821:la_data_out[115] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[115] 0.00346347 +2 *2821:la_data_out[115] 0.000489347 +3 *1178:10 0.00346347 +4 *1178:8 0.0169549 +5 *1178:7 0.0174443 +6 *2820:la_data_out_core[115] *1241:7 0 +7 *2820:la_data_out_core[115] *1562:7 0 +8 *1178:8 *1180:8 0.13342 +9 *2821:la_data_in[57] *2820:la_data_out_core[115] 0.000561894 +10 *922:7 *2820:la_data_out_core[115] 0 +11 *1017:8 *1178:8 0.000535823 +12 *1018:8 *1178:8 0.000779727 +13 *1176:8 *1178:8 0.130515 +*RES +1 *2821:la_data_out[115] *1178:7 16.7423 +2 *1178:7 *1178:8 171.847 +3 *1178:8 *1178:10 3.36879 +4 *1178:10 *2820:la_data_out_core[115] 69.0174 +*END + +*D_NET *1179 0.241803 +*CONN +*I *2820:la_data_out_core[116] I *D mgmt_protect +*I *2821:la_data_out[116] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[116] 0.00056879 +2 *2821:la_data_out[116] 0.00280575 +3 *1179:8 0.017737 +4 *1179:7 0.0171683 +5 *1179:5 0.00280575 +6 *2820:la_data_out_core[116] *1563:5 0 +7 *1179:8 *1181:14 0 +8 *917:8 *1179:8 0.0186465 +9 *923:7 *2820:la_data_out_core[116] 0 +10 *928:8 *1179:8 0.00382805 +11 *1019:8 *1179:8 0.06218 +12 *1177:8 *1179:8 0.116062 +*RES +1 *2821:la_data_out[116] *1179:5 70.0555 +2 *1179:5 *1179:7 4.5 +3 *1179:7 *1179:8 1258.56 +4 *1179:8 *2820:la_data_out_core[116] 16.8354 +*END + +*D_NET *1180 0.315216 +*CONN +*I *2820:la_data_out_core[117] I *D mgmt_protect +*I *2821:la_data_out[117] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[117] 0.00368786 +2 *2821:la_data_out[117] 0.000518557 +3 *1180:10 0.00368786 +4 *1180:8 0.017498 +5 *1180:7 0.0180165 +6 *2820:la_data_out_core[117] *2821:la_oenb[57] 0 +7 *2820:la_data_out_core[117] *1563:5 0 +8 *2820:la_data_out_core[117] *1564:7 0 +9 *1180:8 *1182:8 0.136324 +10 *2821:la_data_in[58] *2820:la_data_out_core[117] 0 +11 *924:7 *2820:la_data_out_core[117] 0 +12 *1020:8 *1180:8 0.000897866 +13 *1023:8 *1180:8 0.00116565 +14 *1178:8 *1180:8 0.13342 +*RES +1 *2821:la_data_out[117] *1180:7 17.5729 +2 *1180:7 *1180:8 175.518 +3 *1180:8 *1180:10 3.36879 +4 *1180:10 *2820:la_data_out_core[117] 68.1869 +*END + +*D_NET *1181 0.194809 +*CONN +*I *2820:la_data_out_core[118] I *D mgmt_protect +*I *2821:la_data_out[118] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[118] 0.000374047 +2 *2821:la_data_out[118] 0.00119726 +3 *1181:14 0.0102033 +4 *1181:13 0.00982922 +5 *1181:11 0.00224041 +6 *1181:8 0.0108576 +7 *1181:7 0.00981442 +8 *2820:la_data_out_core[118] *1242:5 0 +9 *2820:la_data_out_core[118] *1565:8 0 +10 *1181:8 *1184:8 0.0103601 +11 *1181:8 *1186:8 0.0568493 +12 *1181:8 *1548:8 0.00148346 +13 *1181:8 *1559:8 0.000406161 +14 *1181:8 *1568:8 0.000267885 +15 *1181:8 *1662:12 0.000649254 +16 *1181:11 *1278:7 7.09666e-06 +17 *1181:14 *1184:14 0.0180746 +18 *1181:14 *1188:14 0.000457852 +19 *1181:14 *1190:16 0.00401571 +20 *1181:14 *1252:8 0.01841 +21 *915:8 *1181:14 0.00278791 +22 *925:7 *2820:la_data_out_core[118] 0 +23 *1004:8 *1181:14 0.0320563 +24 *1005:8 *1181:14 0.000941784 +25 *1011:8 *1181:14 0.00272074 +26 *1012:8 *1181:14 0.000383561 +27 *1015:8 *1181:14 0.000420688 +28 *1016:8 *1181:14 0 +29 *1019:8 *1181:14 0 +30 *1179:8 *1181:14 0 +*RES +1 *2821:la_data_out[118] *1181:7 35.1065 +2 *1181:7 *1181:8 598.031 +3 *1181:8 *1181:11 47.442 +4 *1181:11 *1181:13 4.5 +5 *1181:13 *1181:14 687.323 +6 *1181:14 *2820:la_data_out_core[118] 13.5134 +*END + +*D_NET *1182 0.323163 +*CONN +*I *2820:la_data_out_core[119] I *D mgmt_protect +*I *2821:la_data_out[119] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[119] 0.00351402 +2 *2821:la_data_out[119] 0.000547767 +3 *1182:10 0.00351402 +4 *1182:8 0.0181827 +5 *1182:7 0.0187305 +6 *2820:la_data_out_core[119] *2821:la_oenb[58] 0.000379149 +7 *2820:la_data_out_core[119] *1242:5 0 +8 *2820:la_data_out_core[119] *1565:8 0 +9 *2820:la_data_out_core[119] *1566:7 0 +10 *1182:8 *1185:8 0.139174 +11 *926:7 *2820:la_data_out_core[119] 0 +12 *1025:10 *1182:8 0.00124543 +13 *1027:8 *1182:8 0.00155158 +14 *1180:8 *1182:8 0.136324 +*RES +1 *2821:la_data_out[119] *1182:7 18.4034 +2 *1182:7 *1182:8 179.19 +3 *1182:8 *1182:10 3.36879 +4 *1182:10 *2820:la_data_out_core[119] 67.3563 +*END + +*D_NET *1183 0.0218732 +*CONN +*I *2820:la_data_out_core[11] I *D mgmt_protect +*I *2821:la_data_out[11] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[11] 0.00157596 +2 *2821:la_data_out[11] 0.00141233 +3 *1183:12 0.00265428 +4 *1183:10 0.00109896 +5 *1183:8 0.00184753 +6 *1183:7 0.00323923 +7 *2820:la_data_out_core[11] *1567:5 0 +8 *1183:8 *1193:8 0.00409332 +9 *1183:8 *1813:8 0 +10 *1183:8 *1877:12 9.71151e-05 +11 *1183:8 *1939:8 0.00026805 +12 *1183:8 *1944:8 0 +13 *1183:12 *1193:8 0.000277705 +14 *1183:12 *1193:12 7.02172e-06 +15 *1183:12 *1196:8 4.57047e-05 +16 *1183:12 *1579:8 0.00016955 +17 *1183:12 *1580:8 0.000461355 +18 *927:5 *2820:la_data_out_core[11] 0 +19 *940:8 *1183:12 0.000926694 +20 *941:10 *1183:12 0.00185138 +21 *966:8 *1183:8 0.00184696 +*RES +1 *2821:la_data_out[11] *1183:7 42.5811 +2 *1183:7 *1183:8 85.855 +3 *1183:8 *1183:10 0.578717 +4 *1183:10 *1183:12 54.7972 +5 *1183:12 *2820:la_data_out_core[11] 48.3946 +*END + +*D_NET *1184 0.139976 +*CONN +*I *2820:la_data_out_core[120] I *D mgmt_protect +*I *2821:la_data_out[120] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[120] 0.000302027 +2 *2821:la_data_out[120] 0.001123 +3 *1184:14 0.0109806 +4 *1184:13 0.0106786 +5 *1184:11 0.00243919 +6 *1184:8 0.0236509 +7 *1184:7 0.0223347 +8 *2820:la_data_out_core[120] *1568:5 0 +9 *1184:8 *1186:8 0.000274026 +10 *1184:8 *1275:8 0.00322797 +11 *1184:8 *1286:8 0.00124442 +12 *1184:8 *1548:8 0.000141029 +13 *1184:8 *1550:8 0.000133943 +14 *1184:8 *1659:8 0.000308997 +15 *1184:8 *1662:12 0.00105915 +16 *1184:14 *1186:14 0.00207298 +17 *1184:14 *1188:14 0.000394914 +18 *1184:14 *1190:16 0.00334115 +19 *1184:14 *1249:8 0.0108076 +20 *1184:14 *1252:8 0.0169127 +21 *2821:la_data_in[59] *2820:la_data_out_core[120] 0.000113374 +22 *928:7 *2820:la_data_out_core[120] 0 +23 *1181:8 *1184:8 0.0103601 +24 *1181:14 *1184:14 0.0180746 +*RES +1 *2821:la_data_out[120] *1184:7 33.4455 +2 *1184:7 *1184:8 718.38 +3 *1184:8 *1184:11 49.9335 +4 *1184:11 *1184:13 4.5 +5 *1184:13 *1184:14 594.149 +6 *1184:14 *2820:la_data_out_core[120] 12.6829 +*END + +*D_NET *1185 0.330905 +*CONN +*I *2820:la_data_out_core[121] I *D mgmt_protect +*I *2821:la_data_out[121] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[121] 2.27669e-05 +2 *2821:la_data_out[121] 0.000576978 +3 *1185:11 0.0035586 +4 *1185:10 0.00353584 +5 *1185:8 0.0184981 +6 *1185:7 0.0190751 +7 *1185:8 *1187:8 0.142139 +8 *1185:11 *1243:7 0.000123848 +9 *1185:11 *1565:13 0.000276886 +10 *1185:11 *1569:7 0 +11 *929:5 *1185:11 0 +12 *930:10 *1185:8 0.000371151 +13 *1029:8 *1185:8 0.00160747 +14 *1031:8 *1185:8 0.00194538 +15 *1182:8 *1185:8 0.139174 +*RES +1 *2821:la_data_out[121] *1185:7 19.2339 +2 *1185:7 *1185:8 182.785 +3 *1185:8 *1185:10 3.36879 +4 *1185:10 *1185:11 66.6113 +5 *1185:11 *2820:la_data_out_core[121] 0.647305 +*END + +*D_NET *1186 0.191425 +*CONN +*I *2820:la_data_out_core[122] I *D mgmt_protect +*I *2821:la_data_out[122] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[122] 0.000140633 +2 *2821:la_data_out[122] 0.00116982 +3 *1186:14 0.0130508 +4 *1186:13 0.0129102 +5 *1186:11 0.0025208 +6 *1186:8 0.0134363 +7 *1186:7 0.0120853 +8 *2820:la_data_out_core[122] *1570:5 0 +9 *1186:8 *1188:8 0.0138312 +10 *1186:8 *1278:8 0.0226935 +11 *1186:8 *1280:8 0.0267528 +12 *1186:8 *1284:8 0.00364601 +13 *1186:8 *1550:8 0.00314983 +14 *1186:8 *1559:8 0.00043387 +15 *1186:8 *1568:8 0.000403492 +16 *1186:8 *1662:12 1.41689e-05 +17 *1186:14 *1246:8 0.00322082 +18 *1186:14 *1247:8 0.00088141 +19 *1186:14 *1248:8 0.000536907 +20 *1186:14 *1249:8 0.000393655 +21 *930:7 *2820:la_data_out_core[122] 0.000377948 +22 *989:8 *1186:14 0.000579032 +23 *1181:8 *1186:8 0.0568493 +24 *1184:8 *1186:8 0.000274026 +25 *1184:14 *1186:14 0.00207298 +*RES +1 *2821:la_data_out[122] *1186:7 35.5218 +2 *1186:7 *1186:8 923.029 +3 *1186:8 *1186:11 49.9335 +4 *1186:11 *1186:13 4.5 +5 *1186:13 *1186:14 416.121 +6 *1186:14 *2820:la_data_out_core[122] 10.6067 +*END + +*D_NET *1187 0.337826 +*CONN +*I *2820:la_data_out_core[123] I *D mgmt_protect +*I *2821:la_data_out[123] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[123] 0.00342055 +2 *2821:la_data_out[123] 0.000606188 +3 *1187:10 0.00342055 +4 *1187:8 0.0188831 +5 *1187:7 0.0194892 +6 *2820:la_data_out_core[123] *1245:7 0 +7 *2820:la_data_out_core[123] *1571:7 0 +8 *2821:la_data_in[60] *2820:la_data_out_core[123] 0.000547682 +9 *930:10 *1187:8 0.143722 +10 *931:5 *2820:la_data_out_core[123] 0 +11 *932:10 *1187:8 0.0012888 +12 *1163:8 *1187:8 0.00196951 +13 *1165:8 *1187:8 0.00233918 +14 *1185:8 *1187:8 0.142139 +*RES +1 *2821:la_data_out[123] *1187:7 20.0644 +2 *1187:7 *1187:8 186.533 +3 *1187:8 *1187:10 3.36879 +4 *1187:10 *2820:la_data_out_core[123] 65.6953 +*END + +*D_NET *1188 0.24374 +*CONN +*I *2820:la_data_out_core[124] I *D mgmt_protect +*I *2821:la_data_out[124] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[124] 0.000337956 +2 *2821:la_data_out[124] 0.0011875 +3 *1188:14 0.00427122 +4 *1188:13 0.0062381 +5 *1188:8 0.0163011 +6 *1188:7 0.0151837 +7 *2820:la_data_out_core[124] *1572:5 0 +8 *1188:8 *1190:8 0.0126091 +9 *1188:8 *1284:8 0.0383633 +10 *1188:8 *1546:18 0.000591232 +11 *1188:8 *1559:8 0.00512221 +12 *1188:8 *1568:8 0.00080444 +13 *1188:13 *2821:la_oenb[77] 0 +14 *1188:14 *1190:16 0.0336006 +15 *906:8 *1188:8 0.0458681 +16 *915:8 *1188:14 0.0396125 +17 *932:7 *2820:la_data_out_core[124] 0.000257033 +18 *1011:8 *1188:14 0.00453687 +19 *1012:8 *1188:14 0.00417099 +20 *1181:14 *1188:14 0.000457852 +21 *1184:14 *1188:14 0.000394914 +22 *1186:8 *1188:8 0.0138312 +*RES +1 *2821:la_data_out[124] *1188:7 36.7675 +2 *1188:7 *1188:8 1002.34 +3 *1188:8 *1188:13 48.1116 +4 *1188:13 *1188:14 50.151 +5 *1188:14 *2820:la_data_out_core[124] 13.4203 +*END + +*D_NET *1189 0.3568 +*CONN +*I *2820:la_data_out_core[125] I *D mgmt_protect +*I *2821:la_data_out[125] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[125] 0.00354364 +2 *2821:la_data_out[125] 0.000695415 +3 *1189:10 0.00354364 +4 *1189:8 0.0217145 +5 *1189:7 0.0224099 +6 *2820:la_data_out_core[125] *2821:la_oenb[60] 0 +7 *2820:la_data_out_core[125] *1573:7 0 +8 *931:12 *1189:8 0.00430359 +9 *932:10 *1189:8 0.146616 +10 *933:5 *2820:la_data_out_core[125] 0 +11 *933:14 *1189:8 0.0051773 +12 *934:14 *1189:8 0.148797 +*RES +1 *2821:la_data_out[125] *1189:7 22.5559 +2 *1189:7 *1189:8 190.204 +3 *1189:8 *1189:10 3.36879 +4 *1189:10 *2820:la_data_out_core[125] 63.2038 +*END + +*D_NET *1190 0.242647 +*CONN +*I *2820:la_data_out_core[126] I *D mgmt_protect +*I *2821:la_data_out[126] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[126] 0.000286442 +2 *2821:la_data_out[126] 0.00120388 +3 *1190:16 0.00770116 +4 *1190:13 0.00941709 +5 *1190:8 0.0174865 +6 *1190:7 0.016688 +7 *2820:la_data_out_core[126] *1246:5 2.7698e-05 +8 *2820:la_data_out_core[126] *1574:5 0 +9 *1190:8 *1546:18 0.0508853 +10 *1190:8 *1552:8 0.0631247 +11 *1190:8 *1554:8 0.00182431 +12 *1190:8 *1557:8 0.00105915 +13 *1190:8 *1561:8 0.00150174 +14 *1190:8 *1563:8 0.000268953 +15 *1190:8 *1565:20 0 +16 *1190:8 *1570:8 0.00713959 +17 *1190:8 *1574:12 0 +18 *1190:13 *2821:la_oenb[75] 0.00101873 +19 *1190:16 *1248:8 0.000208728 +20 *1190:16 *1249:8 0.000451963 +21 *1190:16 *1252:8 0.00136859 +22 *934:9 *2820:la_data_out_core[126] 0.00012021 +23 *934:11 *2820:la_data_out_core[126] 0.000295244 +24 *1004:8 *1190:16 0.00350507 +25 *1005:8 *1190:16 0.00349756 +26 *1181:14 *1190:16 0.00401571 +27 *1184:14 *1190:16 0.00334115 +28 *1188:8 *1190:8 0.0126091 +29 *1188:14 *1190:16 0.0336006 +*RES +1 *2821:la_data_out[126] *1190:7 38.0133 +2 *1190:7 *1190:8 1083.86 +3 *1190:8 *1190:13 47.6964 +4 *1190:13 *1190:16 45.8707 +5 *1190:16 *2820:la_data_out_core[126] 9.95384 +*END + +*D_NET *1191 0.35272 +*CONN +*I *2820:la_data_out_core[127] I *D mgmt_protect +*I *2821:la_data_out[127] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[127] 0.00170756 +2 *2821:la_data_out[127] 0.00185107 +3 *1191:8 0.0130801 +4 *1191:7 0.0113725 +5 *1191:5 0.00185107 +6 *2820:la_data_out_core[127] *1575:7 0 +7 *1191:8 *1281:8 7.06288e-05 +8 *1191:8 *1558:8 0.0118005 +9 *1191:8 *1560:8 0.0134342 +10 *1191:8 *1571:8 0.144649 +11 *1191:8 *1575:8 0.152903 +12 *935:5 *2820:la_data_out_core[127] 0 +*RES +1 *2821:la_data_out[127] *1191:5 51.5768 +2 *1191:5 *1191:7 3.36879 +3 *1191:7 *1191:8 193.876 +4 *1191:8 *2820:la_data_out_core[127] 34.1829 +*END + +*D_NET *1192 0.0304281 +*CONN +*I *2820:la_data_out_core[12] I *D mgmt_protect +*I *2821:la_data_out[12] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[12] 0.00180698 +2 *2821:la_data_out[12] 0.00109483 +3 *1192:10 0.00180698 +4 *1192:8 0.00100385 +5 *1192:7 0.00209868 +6 *2820:la_data_out_core[12] *1198:7 0.000524092 +7 *2820:la_data_out_core[12] *1576:5 0 +8 *1192:8 *1576:8 0.0114396 +9 *1192:8 *1577:8 0.00943665 +10 *936:5 *2820:la_data_out_core[12] 0 +11 *936:8 *1192:8 0.00121647 +*RES +1 *2821:la_data_out[12] *1192:7 35.1065 +2 *1192:7 *1192:8 127.728 +3 *1192:8 *1192:10 4.5 +4 *1192:10 *2820:la_data_out_core[12] 51.7844 +*END + +*D_NET *1193 0.0281976 +*CONN +*I *2820:la_data_out_core[13] I *D mgmt_protect +*I *2821:la_data_out[13] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[13] 0.00165924 +2 *2821:la_data_out[13] 0.00139937 +3 *1193:14 0.00165924 +4 *1193:12 0.000481543 +5 *1193:10 0.00051435 +6 *1193:8 0.000410021 +7 *1193:7 0.00177659 +8 *2820:la_data_out_core[13] *2821:la_oenb[18] 0.000143017 +9 *2820:la_data_out_core[13] *1577:5 0 +10 *1193:7 *2820:mprj_dat_i_user[31] 7.03198e-05 +11 *1193:12 *1579:8 0.00597175 +12 *937:5 *2820:la_data_out_core[13] 0 +13 *938:8 *1193:8 9.82896e-06 +14 *938:8 *1193:12 0.00602261 +15 *938:14 *1193:8 0.00079428 +16 *938:14 *1193:10 1.09551e-05 +17 *966:8 *1193:8 0.00289644 +18 *1183:8 *1193:8 0.00409332 +19 *1183:12 *1193:8 0.000277705 +20 *1183:12 *1193:12 7.02172e-06 +*RES +1 *2821:la_data_out[13] *1193:7 42.1658 +2 *1193:7 *1193:8 49.5285 +3 *1193:8 *1193:10 0.988641 +4 *1193:10 *1193:12 63.9482 +5 *1193:12 *1193:14 4.5 +6 *1193:14 *2820:la_data_out_core[13] 46.2884 +*END + +*D_NET *1194 0.019339 +*CONN +*I *2820:la_data_out_core[14] I *D mgmt_protect +*I *2821:la_data_out[14] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[14] 0.0018663 +2 *2821:la_data_out[14] 0.00106562 +3 *1194:10 0.0018663 +4 *1194:8 0.00237999 +5 *1194:7 0.00344561 +6 *2820:la_data_out_core[14] *1578:5 0 +7 *1194:8 *1576:8 0.00827248 +8 *1194:8 *1577:8 0.000199561 +9 *2821:la_data_in[19] *2820:la_data_out_core[14] 0.000243131 +10 *938:5 *2820:la_data_out_core[14] 0 +*RES +1 *2821:la_data_out[14] *1194:7 34.276 +2 *1194:7 *1194:8 101.107 +3 *1194:8 *1194:10 4.5 +4 *1194:10 *2820:la_data_out_core[14] 52.6149 +*END + +*D_NET *1195 0.022992 +*CONN +*I *2820:la_data_out_core[15] I *D mgmt_protect +*I *2821:la_data_out[15] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[15] 0.00167733 +2 *2821:la_data_out[15] 0.00140902 +3 *1195:14 0.00167733 +4 *1195:12 0.000811001 +5 *1195:10 0.00222002 +6 *2820:la_data_out_core[15] *1199:7 9.38585e-05 +7 *2820:la_data_out_core[15] *1579:7 0 +8 *1195:12 *1579:8 0.000231325 +9 *938:8 *1195:12 0.00664701 +10 *938:14 *1195:10 4.27168e-05 +11 *938:14 *1195:12 0.000246208 +12 *939:5 *2820:la_data_out_core[15] 0 +13 *939:8 *1195:10 8.29362e-06 +14 *939:8 *1195:12 0.00792784 +*RES +1 *2821:la_data_out[15] *1195:10 41.9449 +2 *1195:10 *1195:12 86.9643 +3 *1195:12 *1195:14 4.5 +4 *1195:14 *2820:la_data_out_core[15] 46.3861 +*END + +*D_NET *1196 0.018924 +*CONN +*I *2820:la_data_out_core[16] I *D mgmt_protect +*I *2821:la_data_out[16] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[16] 0.0014961 +2 *2821:la_data_out[16] 0.00155457 +3 *1196:8 0.00260033 +4 *1196:7 0.0026588 +5 *2820:la_data_out_core[16] *1580:7 0 +6 *1196:8 *1197:8 0 +7 *1196:8 *1556:10 0 +8 *1196:8 *1580:8 0.00631551 +9 *1196:8 *1581:8 0.00425301 +10 *940:7 *2820:la_data_out_core[16] 0 +11 *1183:12 *1196:8 4.57047e-05 +*RES +1 *2821:la_data_out[16] *1196:7 45.0726 +2 *1196:7 *1196:8 74.4857 +3 *1196:8 *2820:la_data_out_core[16] 46.3183 +*END + +*D_NET *1197 0.0122609 +*CONN +*I *2820:la_data_out_core[17] I *D mgmt_protect +*I *2821:la_data_out[17] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[17] 0.00107109 +2 *2821:la_data_out[17] 0.00168413 +3 *1197:8 0.00309972 +4 *1197:7 0.00371276 +5 *2820:la_data_out_core[17] *1581:7 0.000833131 +6 *1197:8 *1199:10 0.000778193 +7 *1197:8 *1556:10 0.000645042 +8 *1197:8 *1581:8 0 +9 *941:7 *2820:la_data_out_core[17] 0 +10 *1172:8 *1197:8 0.000436811 +11 *1196:8 *1197:8 0 +*RES +1 *2821:la_data_out[17] *1197:7 47.9793 +2 *1197:7 *1197:8 61.7298 +3 *1197:8 *2820:la_data_out_core[17] 44.7062 +*END + +*D_NET *1198 0.014912 +*CONN +*I *2820:la_data_out_core[18] I *D mgmt_protect +*I *2821:la_data_out[18] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[18] 0.00151167 +2 *2821:la_data_out[18] 0.00131679 +3 *1198:8 0.00210545 +4 *1198:7 0.00191057 +5 *2820:la_data_out_core[18] *1582:7 0 +6 *1198:7 *1576:5 0.000151738 +7 *1198:8 *1199:10 0 +8 *1198:8 *1580:8 0.00322728 +9 *1198:8 *1581:8 0.000185432 +10 *1198:8 *1582:10 0.00381791 +11 *2820:la_data_out_core[12] *1198:7 0.000524092 +12 *942:7 *2820:la_data_out_core[18] 0 +13 *942:8 *1198:8 0.000161084 +*RES +1 *2821:la_data_out[18] *1198:7 44.2421 +2 *1198:7 *1198:8 47.8647 +3 *1198:8 *2820:la_data_out_core[18] 47.1488 +*END + +*D_NET *1199 0.00912864 +*CONN +*I *2820:la_data_out_core[19] I *D mgmt_protect +*I *2821:la_data_out[19] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[19] 0.00138377 +2 *2821:la_data_out[19] 0.00149903 +3 *1199:10 0.002359 +4 *1199:7 0.00247425 +5 *2820:la_data_out_core[19] *1583:5 0 +6 *1199:7 *1578:5 0 +7 *1199:10 *1581:8 0.000332055 +8 *1199:10 *1582:10 0 +9 *2820:la_data_out_core[15] *1199:7 9.38585e-05 +10 *2821:la_data_in[21] *2820:la_data_out_core[19] 4.50467e-05 +11 *939:5 *1199:7 0.00016343 +12 *943:7 *2820:la_data_out_core[19] 0 +13 *943:10 *1199:10 0 +14 *1197:8 *1199:10 0.000778193 +15 *1198:8 *1199:10 0 +*RES +1 *2821:la_data_out[19] *1199:7 47.1488 +2 *1199:7 *1199:10 38.4996 +3 *1199:10 *2820:la_data_out_core[19] 39.7421 +*END + +*D_NET *1200 0.0570671 +*CONN +*I *2820:la_data_out_core[1] I *D mgmt_protect +*I *2821:la_data_out[1] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[1] 0.00106478 +2 *2821:la_data_out[1] 0.00231811 +3 *1200:12 0.0045742 +4 *1200:11 0.00373644 +5 *1200:5 0.00254513 +6 *2820:la_data_out_core[1] *1584:7 0 +7 *1200:5 *2820:mprj_dat_i_user[0] 0 +8 *1200:5 *2491:7 0 +9 *1200:11 *1921:7 9.32704e-05 +10 *1200:12 *1545:8 0.0252427 +11 *1200:12 *1595:8 0.000226394 +12 *1200:12 *1802:8 0.0164007 +13 *1200:12 *1804:8 0.000670122 +14 *1200:12 *1806:8 6.0055e-05 +15 *1200:12 *1808:8 0 +16 *1200:12 *1936:8 0.000135103 +17 *1200:12 *1938:8 0 +18 *905:10 *1200:12 0 +19 *944:5 *2820:la_data_out_core[1] 0 +20 *977:10 *1200:12 0 +21 *1010:8 *1200:12 0 +*RES +1 *2821:la_data_out[1] *1200:5 49.9158 +2 *1200:5 *1200:11 8.51818 +3 *1200:11 *1200:12 274.698 +4 *1200:12 *2820:la_data_out_core[1] 33.8608 +*END + +*D_NET *1201 0.00893657 +*CONN +*I *2820:la_data_out_core[20] I *D mgmt_protect +*I *2821:la_data_out[20] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[20] 0.00175503 +2 *2821:la_data_out[20] 0.0010072 +3 *1201:10 0.0022349 +4 *1201:7 0.00148706 +5 *2820:la_data_out_core[20] *1202:7 0.000809456 +6 *2820:la_data_out_core[20] *1583:5 0 +7 *2820:la_data_out_core[20] *1585:5 0 +8 *1201:10 *1583:10 0.000313928 +9 *1201:10 *1585:10 0.00132899 +10 *945:5 *2820:la_data_out_core[20] 0 +*RES +1 *2821:la_data_out[20] *1201:7 32.615 +2 *1201:7 *1201:10 25.7437 +3 *1201:10 *2820:la_data_out_core[20] 54.2759 +*END + +*D_NET *1202 0.00689447 +*CONN +*I *2820:la_data_out_core[21] I *D mgmt_protect +*I *2821:la_data_out[21] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[21] 0.00146445 +2 *2821:la_data_out[21] 0.00128856 +3 *1202:10 0.00175394 +4 *1202:7 0.00157806 +5 *2820:la_data_out_core[21] *1585:5 0 +6 *2820:la_data_out_core[21] *1586:5 0 +7 *1202:7 *1585:5 0 +8 *2820:la_data_out_core[20] *1202:7 0.000809456 +9 *946:7 *2820:la_data_out_core[21] 0 +10 *946:10 *1202:10 0 +*RES +1 *2821:la_data_out[21] *1202:7 45.4878 +2 *1202:7 *1202:10 11.8786 +3 *1202:10 *2820:la_data_out_core[21] 41.4031 +*END + +*D_NET *1203 0.00653743 +*CONN +*I *2820:la_data_out_core[22] I *D mgmt_protect +*I *2821:la_data_out[22] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[22] 0.00151188 +2 *2821:la_data_out[22] 0.00159064 +3 *1203:7 0.00310252 +4 *2820:la_data_out_core[22] *1587:7 0 +5 *2820:la_data_out_core[22] *1587:10 0.00033061 +6 *1203:7 *2820:la_data_out_core[23] 1.77537e-06 +7 *947:5 *2820:la_data_out_core[22] 0 +*RES +1 *2821:la_data_out[22] *1203:7 49.2251 +2 *1203:7 *2820:la_data_out_core[22] 48.4352 +*END + +*D_NET *1204 0.0084412 +*CONN +*I *2820:la_data_out_core[23] I *D mgmt_protect +*I *2821:la_data_out[23] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[23] 0.00134149 +2 *2821:la_data_out[23] 0.00159141 +3 *1204:10 0.00178415 +4 *1204:7 0.00203407 +5 *2820:la_data_out_core[23] *1587:7 0 +6 *2820:la_data_out_core[23] *1588:7 0 +7 *1204:10 *1205:10 0.00106767 +8 *1204:10 *1587:10 0.00048572 +9 *948:7 *2820:la_data_out_core[23] 0 +10 *948:10 *1204:10 0.00013491 +11 *1203:7 *2820:la_data_out_core[23] 1.77537e-06 +*RES +1 *2821:la_data_out[23] *1204:7 49.2251 +2 *1204:7 *1204:10 24.0799 +3 *1204:10 *2820:la_data_out_core[23] 37.6658 +*END + +*D_NET *1205 0.0123725 +*CONN +*I *2820:la_data_out_core[24] I *D mgmt_protect +*I *2821:la_data_out[24] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[24] 0.00133447 +2 *2821:la_data_out[24] 0.00161284 +3 *1205:10 0.00159435 +4 *1205:7 0.00187272 +5 *2820:la_data_out_core[24] *1588:7 0 +6 *2820:la_data_out_core[24] *1589:7 0 +7 *1205:10 *1588:10 6.24655e-05 +8 *948:10 *1205:10 0.000379505 +9 *949:7 *2820:la_data_out_core[24] 0 +10 *950:7 *2820:la_data_out_core[24] 0 +11 *950:10 *1205:10 0.0025949 +12 *951:8 *1205:10 0.00185362 +13 *1204:10 *1205:10 0.00106767 +*RES +1 *2821:la_data_out[24] *1205:7 49.6404 +2 *1205:7 *1205:10 37.3904 +3 *1205:10 *2820:la_data_out_core[24] 37.2506 +*END + +*D_NET *1206 0.014739 +*CONN +*I *2820:la_data_out_core[25] I *D mgmt_protect +*I *2821:la_data_out[25] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[25] 0.000869726 +2 *2821:la_data_out[25] 0.00168489 +3 *1206:8 0.00122517 +4 *1206:5 0.00204033 +5 *2820:la_data_out_core[25] *1590:7 0.0021802 +6 *1206:5 *1209:16 0 +7 *1206:8 *1210:14 0.000598776 +8 *1206:8 *1589:10 0.00285399 +9 *1206:8 *1590:8 0.000723529 +10 *1206:8 *1594:10 0.00107411 +11 *949:10 *1206:8 0.00148832 +12 *950:7 *2820:la_data_out_core[25] 0 +13 *951:7 *2820:la_data_out_core[25] 0 +*RES +1 *2821:la_data_out[25] *1206:5 46.8014 +2 *1206:5 *1206:8 48.4825 +3 *1206:8 *2820:la_data_out_core[25] 42.5078 +*END + +*D_NET *1207 0.0145114 +*CONN +*I *2820:la_data_out_core[26] I *D mgmt_protect +*I *2821:la_data_out[26] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[26] 0.000859821 +2 *2821:la_data_out[26] 0.00211731 +3 *1207:8 0.00232711 +4 *1207:7 0.00146729 +5 *1207:5 0.00211731 +6 *2820:la_data_out_core[26] *1591:7 0 +7 *1207:5 *2820:la_data_out_core[33] 0 +8 *1207:5 *2820:la_data_out_core[34] 0 +9 *1207:5 *1599:7 0.000316096 +10 *1207:8 *1591:8 0.00530648 +11 *951:7 *2820:la_data_out_core[26] 0 +12 *952:7 *2820:la_data_out_core[26] 0 +13 *960:7 *1207:5 0 +*RES +1 *2821:la_data_out[26] *1207:5 58.0132 +2 *1207:5 *1207:7 4.5 +3 *1207:7 *1207:8 59.5114 +4 *1207:8 *2820:la_data_out_core[26] 28.8777 +*END + +*D_NET *1208 0.017566 +*CONN +*I *2820:la_data_out_core[27] I *D mgmt_protect +*I *2821:la_data_out[27] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[27] 0.000639205 +2 *2821:la_data_out[27] 0.00201284 +3 *1208:8 0.00190909 +4 *1208:7 0.00126988 +5 *1208:5 0.00201284 +6 *2820:la_data_out_core[27] *1592:7 0.00068169 +7 *1208:5 *2820:la_data_out_core[36] 0.00116622 +8 *1208:5 *1601:7 0 +9 *1208:5 *1602:7 0 +10 *1208:8 *1591:8 0.00133181 +11 *1208:8 *1592:8 0.00654239 +12 *952:7 *2820:la_data_out_core[27] 0 +13 *953:7 *2820:la_data_out_core[27] 0 +14 *962:7 *1208:5 0 +15 *963:7 *1208:5 0 +*RES +1 *2821:la_data_out[27] *1208:5 59.6742 +2 *1208:5 *1208:7 4.5 +3 *1208:7 *1208:8 71.1581 +4 *1208:8 *2820:la_data_out_core[27] 29.0732 +*END + +*D_NET *1209 0.0183347 +*CONN +*I *2820:la_data_out_core[28] I *D mgmt_protect +*I *2821:la_data_out[28] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[28] 0.00119526 +2 *2821:la_data_out[28] 0.00149112 +3 *1209:16 0.00190766 +4 *1209:8 0.00207516 +5 *1209:7 0.00136277 +6 *1209:5 0.00149112 +7 *2820:la_data_out_core[28] *1593:7 0 +8 *1209:5 *2820:la_data_out_core[38] 0 +9 *1209:5 *1604:7 0 +10 *1209:5 *1605:5 0 +11 *1209:8 *1593:12 0.000315426 +12 *1209:8 *1600:8 0 +13 *1209:16 *2821:la_oenb[25] 0 +14 *1209:16 *1212:8 0.000904135 +15 *1209:16 *1213:8 0.00011818 +16 *1209:16 *1590:8 3.18408e-05 +17 *951:8 *1209:8 0.000453708 +18 *952:11 *1209:16 0.000501532 +19 *953:7 *2820:la_data_out_core[28] 0 +20 *953:13 *1209:16 0.000200784 +21 *953:14 *1209:8 0.00493048 +22 *954:13 *1209:16 0.000243701 +23 *957:8 *1209:16 0.000113197 +24 *965:7 *1209:5 0.00099861 +25 *1206:5 *1209:16 0 +*RES +1 *2821:la_data_out[28] *1209:5 45.5556 +2 *1209:5 *1209:7 4.5 +3 *1209:7 *1209:8 60.6206 +4 *1209:8 *1209:16 43.5041 +5 *1209:16 *2820:la_data_out_core[28] 32.6828 +*END + +*D_NET *1210 0.024922 +*CONN +*I *2820:la_data_out_core[29] I *D mgmt_protect +*I *2821:la_data_out[29] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[29] 0.00108545 +2 *2821:la_data_out[29] 0.00164155 +3 *1210:14 0.00129488 +4 *1210:8 0.0011494 +5 *1210:7 0.000939964 +6 *1210:5 0.00164155 +7 *2820:la_data_out_core[29] *2821:la_oenb[24] 2.95757e-05 +8 *2820:la_data_out_core[29] *1593:7 0 +9 *2820:la_data_out_core[29] *1594:7 0 +10 *1210:5 *2820:la_data_out_core[41] 0 +11 *1210:5 *2820:la_data_out_core[42] 0 +12 *1210:5 *1608:7 0.000750405 +13 *1210:8 *1593:10 7.02172e-06 +14 *1210:8 *1593:12 0.00638077 +15 *1210:8 *1594:10 0.000474783 +16 *1210:8 *1594:12 0.000281315 +17 *1210:14 *1589:10 6.08467e-05 +18 *1210:14 *1593:10 0.000464685 +19 *1210:14 *1594:10 6.63455e-05 +20 *950:10 *1210:14 0.000695903 +21 *954:7 *2820:la_data_out_core[29] 0.000693779 +22 *954:14 *1210:8 0.00659125 +23 *968:8 *1210:8 7.3776e-05 +24 *1206:8 *1210:14 0.000598776 +*RES +1 *2821:la_data_out[29] *1210:5 46.8014 +2 *1210:5 *1210:7 4.5 +3 *1210:7 *1210:8 82.8047 +4 *1210:8 *1210:14 22.8501 +5 *1210:14 *2820:la_data_out_core[29] 37.1529 +*END + +*D_NET *1211 0.0580682 +*CONN +*I *2820:la_data_out_core[2] I *D mgmt_protect +*I *2821:la_data_out[2] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[2] 0.00184447 +2 *2821:la_data_out[2] 0.00148732 +3 *1211:10 0.00184447 +4 *1211:8 0.00196288 +5 *1211:7 0.0034502 +6 *2820:la_data_out_core[2] *1595:7 0 +7 *1211:7 *1943:7 0 +8 *1211:7 *2493:5 0 +9 *905:14 *1211:8 0.0187575 +10 *937:8 *1211:8 0.0030664 +11 *938:14 *1211:8 5.03285e-05 +12 *955:5 *2820:la_data_out_core[2] 0 +13 *955:8 *1211:8 0.0243589 +14 *966:7 *2820:la_data_out_core[2] 0 +15 *977:14 *1211:8 0.00124575 +*RES +1 *2821:la_data_out[2] *1211:7 40.0896 +2 *1211:7 *1211:8 260.278 +3 *1211:8 *1211:10 4.5 +4 *1211:10 *2820:la_data_out_core[2] 46.8014 +*END + +*D_NET *1212 0.0291278 +*CONN +*I *2820:la_data_out_core[30] I *D mgmt_protect +*I *2821:la_data_out[30] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[30] 0.00121428 +2 *2821:la_data_out[30] 0.00195005 +3 *1212:8 0.00215559 +4 *1212:7 0.000941317 +5 *1212:5 0.00195005 +6 *2820:la_data_out_core[30] *1596:7 0 +7 *1212:5 *1611:7 0 +8 *1212:8 *1213:8 3.07561e-05 +9 *1212:8 *1596:8 0.0102974 +10 *1212:8 *1597:8 0.00957103 +11 *954:13 *1212:8 0.000113197 +12 *956:7 *2820:la_data_out_core[30] 0 +13 *971:7 *1212:5 0 +14 *1209:16 *1212:8 0.000904135 +*RES +1 *2821:la_data_out[30] *1212:5 49.2929 +2 *1212:5 *1212:7 4.5 +3 *1212:7 *1212:8 112.753 +4 *1212:8 *2820:la_data_out_core[30] 37.598 +*END + +*D_NET *1213 0.0316964 +*CONN +*I *2820:la_data_out_core[31] I *D mgmt_protect +*I *2821:la_data_out[31] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[31] 0.00117774 +2 *2821:la_data_out[31] 0.00201243 +3 *1213:8 0.00224098 +4 *1213:7 0.00106324 +5 *1213:5 0.00201243 +6 *2820:la_data_out_core[31] *1596:7 0 +7 *2820:la_data_out_core[31] *1597:7 0 +8 *1213:5 *2820:la_data_out_core[46] 0 +9 *1213:5 *2820:la_data_out_core[47] 0 +10 *1213:5 *1613:5 0 +11 *1213:8 *1214:8 0.000298839 +12 *1213:8 *1597:8 0.0115993 +13 *953:13 *2820:la_data_out_core[31] 1.47102e-05 +14 *957:7 *2820:la_data_out_core[31] 0 +15 *957:8 *1213:8 0.0111278 +16 *1209:16 *1213:8 0.00011818 +17 *1212:8 *1213:8 3.07561e-05 +*RES +1 *2821:la_data_out[31] *1213:5 50.1234 +2 *1213:5 *1213:7 4.5 +3 *1213:7 *1213:8 126.064 +4 *1213:8 *2820:la_data_out_core[31] 36.7675 +*END + +*D_NET *1214 0.0328583 +*CONN +*I *2820:la_data_out_core[32] I *D mgmt_protect +*I *2821:la_data_out[32] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[32] 0.00114286 +2 *2821:la_data_out[32] 0.00206897 +3 *1214:8 0.00258317 +4 *1214:7 0.0014403 +5 *1214:5 0.00206897 +6 *2820:la_data_out_core[32] *1597:7 0 +7 *2820:la_data_out_core[32] *1598:7 0 +8 *1214:5 *2820:la_data_out_core[49] 0 +9 *1214:5 *1610:13 0 +10 *1214:5 *1616:7 0 +11 *1214:8 *1232:8 0 +12 *1214:8 *1597:8 0.000157173 +13 *1214:8 *1611:8 0 +14 *952:11 *2820:la_data_out_core[32] 1.77537e-06 +15 *957:8 *1214:8 0.0104079 +16 *958:7 *2820:la_data_out_core[32] 0 +17 *958:8 *1214:8 0.0123895 +18 *959:7 *2820:la_data_out_core[32] 0 +19 *959:8 *1214:8 0.000298839 +20 *1213:8 *1214:8 0.000298839 +*RES +1 *2821:la_data_out[32] *1214:5 50.9539 +2 *1214:5 *1214:7 4.5 +3 *1214:7 *1214:8 139.374 +4 *1214:8 *2820:la_data_out_core[32] 35.937 +*END + +*D_NET *1215 0.0281149 +*CONN +*I *2820:la_data_out_core[33] I *D mgmt_protect +*I *2821:la_data_out[33] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[33] 0.00157865 +2 *2821:la_data_out[33] 0.00137162 +3 *1215:10 0.00157865 +4 *1215:8 0.00288973 +5 *1215:7 0.00426135 +6 *2820:la_data_out_core[33] *1599:7 0.000612669 +7 *1215:8 *1216:8 0.0136345 +8 *1215:8 *1224:8 0 +9 *1215:8 *1608:10 0.000823167 +10 *1215:8 *1614:10 0.000421178 +11 *1215:8 *1616:10 0.000670122 +12 *1215:8 *1619:10 0.00027329 +13 *2821:la_data_in[26] *2820:la_data_out_core[33] 0 +14 *959:7 *2820:la_data_out_core[33] 0 +15 *1207:5 *2820:la_data_out_core[33] 0 +*RES +1 *2821:la_data_out[33] *1215:7 39.6743 +2 *1215:7 *1215:8 152.13 +3 *1215:8 *1215:10 4.5 +4 *1215:10 *2820:la_data_out_core[33] 47.9494 +*END + +*D_NET *1216 0.0376543 +*CONN +*I *2820:la_data_out_core[34] I *D mgmt_protect +*I *2821:la_data_out[34] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[34] 0.00179772 +2 *2821:la_data_out[34] 0.00139305 +3 *1216:10 0.00179772 +4 *1216:8 0.00169446 +5 *1216:7 0.00308751 +6 *2820:la_data_out_core[34] *2821:la_oenb[26] 0 +7 *2820:la_data_out_core[34] *1600:7 0 +8 *1216:8 *1218:8 0 +9 *1216:8 *1219:8 0.000347135 +10 *1216:8 *1220:8 0.0125852 +11 *1216:8 *1601:8 0 +12 *1216:8 *1602:8 0 +13 *1216:8 *1619:10 0.000562035 +14 *1216:8 *1621:10 0.000744799 +15 *960:7 *2820:la_data_out_core[34] 0 +16 *963:8 *1216:8 1.00763e-05 +17 *1207:5 *2820:la_data_out_core[34] 0 +18 *1215:8 *1216:8 0.0136345 +*RES +1 *2821:la_data_out[34] *1216:7 40.0896 +2 *1216:7 *1216:8 165.995 +3 *1216:8 *1216:10 4.5 +4 *1216:10 *2820:la_data_out_core[34] 46.8014 +*END + +*D_NET *1217 0.0428589 +*CONN +*I *2820:la_data_out_core[35] I *D mgmt_protect +*I *2821:la_data_out[35] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[35] 0.00159722 +2 *2821:la_data_out[35] 0.00162885 +3 *1217:8 0.00309117 +4 *1217:7 0.0031228 +5 *2820:la_data_out_core[35] *2821:la_oenb[26] 0 +6 *2820:la_data_out_core[35] *1601:7 0 +7 *1217:8 *1601:8 0.0166267 +8 *2821:la_data_in[27] *2820:la_data_out_core[35] 0.000207891 +9 *961:7 *2820:la_data_out_core[35] 0 +10 *961:8 *1217:8 0.000218849 +11 *962:8 *1217:8 0.0163654 +*RES +1 *2821:la_data_out[35] *1217:7 44.6573 +2 *1217:7 *1217:8 179.86 +3 *1217:8 *2820:la_data_out_core[35] 47.4664 +*END + +*D_NET *1218 0.0451344 +*CONN +*I *2820:la_data_out_core[36] I *D mgmt_protect +*I *2821:la_data_out[36] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[36] 0.00143607 +2 *2821:la_data_out[36] 0.00141654 +3 *1218:8 0.00314737 +4 *1218:7 0.00312784 +5 *2820:la_data_out_core[36] *1602:7 0 +6 *1218:7 *2820:la_data_out_core[60] 0.000503581 +7 *1218:8 *1601:8 0.0167394 +8 *1218:8 *1602:8 0.000307881 +9 *1218:8 *1603:8 0.017124 +10 *962:7 *2820:la_data_out_core[36] 0 +11 *962:8 *1218:8 0.000165505 +12 *1208:5 *2820:la_data_out_core[36] 0.00116622 +13 *1216:8 *1218:8 0 +*RES +1 *2821:la_data_out[36] *1218:7 43.8268 +2 *1218:7 *1218:8 192.062 +3 *1218:8 *2820:la_data_out_core[36] 48.2969 +*END + +*D_NET *1219 0.048356 +*CONN +*I *2820:la_data_out_core[37] I *D mgmt_protect +*I *2821:la_data_out[37] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[37] 0.00150731 +2 *2821:la_data_out[37] 0.00131916 +3 *1219:10 0.00150731 +4 *1219:8 0.00168321 +5 *1219:7 0.00300238 +6 *2820:la_data_out_core[37] *2821:la_oenb[27] 0.00135933 +7 *2820:la_data_out_core[37] *1603:7 0 +8 *1219:8 *1220:8 0.0186577 +9 *1219:8 *1221:8 0.0179313 +10 *1219:8 *1604:8 0.000194684 +11 *963:7 *2820:la_data_out_core[37] 0 +12 *963:8 *1219:8 0.000264018 +13 *964:7 *2820:la_data_out_core[37] 0 +14 *991:7 *1219:7 0 +15 *992:5 *1219:7 0.000582407 +16 *1216:8 *1219:8 0.000347135 +*RES +1 *2821:la_data_out[37] *1219:7 40.9201 +2 *1219:7 *1219:8 206.481 +3 *1219:8 *1219:10 4.5 +4 *1219:10 *2820:la_data_out_core[37] 46.7037 +*END + +*D_NET *1220 0.0506271 +*CONN +*I *2820:la_data_out_core[38] I *D mgmt_protect +*I *2821:la_data_out[38] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[38] 0.00176122 +2 *2821:la_data_out[38] 0.00140689 +3 *1220:10 0.00176122 +4 *1220:8 0.00170249 +5 *1220:7 0.00310938 +6 *2820:la_data_out_core[38] *1604:7 0 +7 *1220:7 *2820:la_data_out_core[65] 0.000145584 +8 *1220:8 *1221:8 0.000199811 +9 *1220:8 *1621:10 0.0003447 +10 *1220:8 *1623:10 0.00727366 +11 *1220:8 *1630:14 0.00151986 +12 *2821:la_data_in[28] *2820:la_data_out_core[38] 0.000159306 +13 *964:7 *2820:la_data_out_core[38] 0 +14 *995:5 *1220:7 0 +15 *1209:5 *2820:la_data_out_core[38] 0 +16 *1216:8 *1220:8 0.0125852 +17 *1219:8 *1220:8 0.0186577 +*RES +1 *2821:la_data_out[38] *1220:7 40.5048 +2 *1220:7 *1220:8 219.237 +3 *1220:8 *1220:10 4.5 +4 *1220:10 *2820:la_data_out_core[38] 46.3861 +*END + +*D_NET *1221 0.0531135 +*CONN +*I *2820:la_data_out_core[39] I *D mgmt_protect +*I *2821:la_data_out[39] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[39] 0.00145563 +2 *2821:la_data_out[39] 0.00146712 +3 *1221:10 0.00145563 +4 *1221:8 0.0020056 +5 *1221:7 0.00347272 +6 *2820:la_data_out_core[39] *1605:5 0 +7 *1221:8 *1604:8 0.0205776 +8 *1221:8 *1630:14 0.0035546 +9 *965:7 *2820:la_data_out_core[39] 0.000856498 +10 *997:5 *1221:7 0.000136975 +11 *1219:8 *1221:8 0.0179313 +12 *1220:8 *1221:8 0.000199811 +*RES +1 *2821:la_data_out[39] *1221:7 41.3353 +2 *1221:7 *1221:8 233.102 +3 *1221:8 *1221:10 4.5 +4 *1221:10 *2820:la_data_out_core[39] 46.2884 +*END + +*D_NET *1222 0.0559277 +*CONN +*I *2820:la_data_out_core[3] I *D mgmt_protect +*I *2821:la_data_out[3] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[3] 0.00116607 +2 *2821:la_data_out[3] 0.00220768 +3 *1222:12 0.00317429 +4 *1222:10 0.00206172 +5 *1222:5 0.00226119 +6 *2820:la_data_out_core[3] *1606:7 0 +7 *1222:5 *1815:5 0 +8 *1222:5 *1886:23 0.000150416 +9 *1222:10 *1584:8 4.91225e-06 +10 *1222:10 *1816:8 0.000330596 +11 *1222:10 *1818:8 0.000383717 +12 *1222:12 *1233:8 0.000298839 +13 *1222:12 *1584:8 8.47748e-05 +14 *1222:12 *1606:8 0.0225714 +15 *1222:12 *1617:8 0.020556 +16 *1222:12 *1818:8 0.000625954 +17 *1222:12 *1820:8 5.00728e-05 +18 *944:13 *1222:5 0 +19 *966:7 *2820:la_data_out_core[3] 0 +*RES +1 *2821:la_data_out[3] *1222:5 50.1234 +2 *1222:5 *1222:10 9.12973 +3 *1222:10 *1222:12 243.64 +4 *1222:12 *2820:la_data_out_core[3] 36.3523 +*END + +*D_NET *1223 0.0547284 +*CONN +*I *2820:la_data_out_core[40] I *D mgmt_protect +*I *2821:la_data_out[40] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[40] 0.00210313 +2 *2821:la_data_out[40] 0.00106309 +3 *1223:10 0.00210313 +4 *1223:8 0.00202484 +5 *1223:7 0.00308793 +6 *2820:la_data_out_core[40] *2821:la_oenb[28] 0 +7 *2820:la_data_out_core[40] *1605:5 0 +8 *2820:la_data_out_core[40] *1607:5 0 +9 *1223:8 *1605:8 0.000612767 +10 *1223:8 *1607:8 0.022983 +11 *2821:la_data_in[29] *2820:la_data_out_core[40] 0 +12 *965:10 *1223:8 0.0205723 +13 *967:7 *2820:la_data_out_core[40] 0 +14 *997:8 *1223:8 0 +15 *1000:7 *1223:7 0 +16 *1001:5 *1223:7 0.000178219 +*RES +1 *2821:la_data_out[40] *1223:7 33.4455 +2 *1223:7 *1223:8 245.858 +3 *1223:8 *1223:10 4.5 +4 *1223:10 *2820:la_data_out_core[40] 53.4454 +*END + +*D_NET *1224 0.0549865 +*CONN +*I *2820:la_data_out_core[41] I *D mgmt_protect +*I *2821:la_data_out[41] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[41] 0.0016039 +2 *2821:la_data_out[41] 0.00130348 +3 *1224:10 0.0016039 +4 *1224:8 0.00250468 +5 *1224:7 0.00380815 +6 *2820:la_data_out_core[41] *1608:7 0.000903022 +7 *1224:8 *1225:8 0.000590742 +8 *1224:8 *1228:8 0.000137345 +9 *1224:8 *1607:8 0 +10 *1224:8 *1608:10 0.000977474 +11 *1224:8 *1612:10 0.0211136 +12 *1224:8 *1613:8 0.0204403 +13 *2821:la_data_in[29] *2820:la_data_out_core[41] 0 +14 *968:7 *2820:la_data_out_core[41] 0 +15 *1003:5 *1224:7 0 +16 *1210:5 *2820:la_data_out_core[41] 0 +17 *1215:8 *1224:8 0 +*RES +1 *2821:la_data_out[41] *1224:7 36.7675 +2 *1224:7 *1224:8 259.169 +3 *1224:8 *1224:10 4.5 +4 *1224:10 *2820:la_data_out_core[41] 50.1234 +*END + +*D_NET *1225 0.0588077 +*CONN +*I *2820:la_data_out_core[42] I *D mgmt_protect +*I *2821:la_data_out[42] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[42] 0.00193115 +2 *2821:la_data_out[42] 0.00117818 +3 *1225:10 0.00193115 +4 *1225:8 0.00239695 +5 *1225:7 0.00357513 +6 *2820:la_data_out_core[42] *2821:la_oenb[29] 0.000266589 +7 *2820:la_data_out_core[42] *1609:7 0 +8 *1225:8 *1228:8 0.0234818 +9 *1225:8 *1229:8 0.022747 +10 *1225:8 *1607:8 0.000548898 +11 *969:7 *2820:la_data_out_core[42] 0 +12 *1006:5 *1225:7 0.000160045 +13 *1210:5 *2820:la_data_out_core[42] 0 +14 *1224:8 *1225:8 0.000590742 +*RES +1 *2821:la_data_out[42] *1225:7 35.5218 +2 *1225:7 *1225:8 273.034 +3 *1225:8 *1225:10 4.5 +4 *1225:10 *2820:la_data_out_core[42] 51.3691 +*END + +*D_NET *1226 0.0629588 +*CONN +*I *2820:la_data_out_core[43] I *D mgmt_protect +*I *2821:la_data_out[43] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[43] 0.00135572 +2 *2821:la_data_out[43] 0.00139883 +3 *1226:14 0.00332307 +4 *1226:13 0.00270313 +5 *1226:7 0.00213461 +6 *2820:la_data_out_core[43] *1610:7 0 +7 *1226:7 *1648:5 0.000162811 +8 *1226:13 *1263:8 0.00116098 +9 *1226:13 *1630:14 3.26073e-05 +10 *1226:13 *1644:7 0.000142359 +11 *1226:13 *1644:8 0.00081651 +12 *1226:14 *1231:14 0.000336808 +13 *1226:14 *1609:8 0.0239147 +14 *2821:la_data_in[30] *2820:la_data_out_core[43] 0.000128678 +15 *2821:la_data_in[42] *1226:13 0 +16 *970:7 *2820:la_data_out_core[43] 0 +17 *970:8 *1226:14 0.0225999 +18 *970:16 *1226:13 0.00231003 +19 *971:7 *2820:la_data_out_core[43] 0 +20 *998:8 *1226:13 2.81824e-05 +21 *998:13 *1226:7 0 +22 *1009:5 *1226:7 0.000409907 +*RES +1 *2821:la_data_out[43] *1226:7 42.9963 +2 *1226:7 *1226:13 48.9813 +3 *1226:13 *1226:14 253.623 +4 *1226:14 *2820:la_data_out_core[43] 40.9201 +*END + +*D_NET *1227 0.0627201 +*CONN +*I *2820:la_data_out_core[44] I *D mgmt_protect +*I *2821:la_data_out[44] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[44] 0.000808221 +2 *2821:la_data_out[44] 0.00123045 +3 *1227:14 0.00212479 +4 *1227:13 0.00256166 +5 *1227:8 0.00305192 +6 *1227:7 0.00303728 +7 *2820:la_data_out_core[44] *1611:7 0 +8 *1227:8 *1229:8 0.0231036 +9 *1227:8 *1231:8 0.00061538 +10 *1227:8 *1610:14 0.0217293 +11 *1227:13 *2820:la_data_out_core[51] 0.000200531 +12 *1227:13 *2821:la_oenb[32] 0 +13 *1227:13 *1618:7 0 +14 *1227:14 *1610:10 0.0035144 +15 *1227:14 *1615:8 0.000742567 +16 *2821:la_data_in[33] *1227:13 0 +17 *971:7 *2820:la_data_out_core[44] 0 +18 *1013:5 *1227:7 0 +*RES +1 *2821:la_data_out[44] *1227:7 34.6913 +2 *1227:7 *1227:8 244.749 +3 *1227:8 *1227:13 38.6539 +4 *1227:13 *1227:14 55.0746 +5 *1227:14 *2820:la_data_out_core[44] 27.2167 +*END + +*D_NET *1228 0.0692584 +*CONN +*I *2820:la_data_out_core[45] I *D mgmt_protect +*I *2821:la_data_out[45] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[45] 0.00190999 +2 *2821:la_data_out[45] 0.00130159 +3 *1228:10 0.00190999 +4 *1228:8 0.00232336 +5 *1228:7 0.00362495 +6 *2820:la_data_out_core[45] *2821:la_oenb[30] 0.000416855 +7 *2820:la_data_out_core[45] *1611:7 0 +8 *2820:la_data_out_core[45] *1612:7 0 +9 *1228:8 *1229:8 0.000814059 +10 *1228:8 *1612:10 0.0291803 +11 *1228:8 *1648:8 0.00415813 +12 *972:7 *2820:la_data_out_core[45] 0 +13 *1224:8 *1228:8 0.000137345 +14 *1225:8 *1228:8 0.0234818 +*RES +1 *2821:la_data_out[45] *1228:7 35.937 +2 *1228:7 *1228:8 312.411 +3 *1228:8 *1228:10 4.5 +4 *1228:10 *2820:la_data_out_core[45] 50.9539 +*END + +*D_NET *1229 0.0678233 +*CONN +*I *2820:la_data_out_core[46] I *D mgmt_protect +*I *2821:la_data_out[46] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[46] 0.00198109 +2 *2821:la_data_out[46] 0.0011351 +3 *1229:10 0.00198109 +4 *1229:8 0.00290199 +5 *1229:7 0.00403709 +6 *2820:la_data_out_core[46] *1612:7 0 +7 *2820:la_data_out_core[46] *1613:5 0 +8 *1229:7 *1657:5 5.68549e-05 +9 *1229:8 *1231:8 0.00102819 +10 *1229:8 *1607:8 0.000512155 +11 *1229:8 *1610:14 0.000750112 +12 *1229:8 *1648:8 0.0062013 +13 *2821:la_data_in[31] *2820:la_data_out_core[46] 0.00029511 +14 *973:7 *2820:la_data_out_core[46] 0 +15 *1018:5 *1229:7 0.000278455 +16 *1213:5 *2820:la_data_out_core[46] 0 +17 *1225:8 *1229:8 0.022747 +18 *1227:8 *1229:8 0.0231036 +19 *1228:8 *1229:8 0.000814059 +*RES +1 *2821:la_data_out[46] *1229:7 35.1065 +2 *1229:7 *1229:8 326.276 +3 *1229:8 *1229:10 4.5 +4 *1229:10 *2820:la_data_out_core[46] 51.7844 +*END + +*D_NET *1230 0.0746648 +*CONN +*I *2820:la_data_out_core[47] I *D mgmt_protect +*I *2821:la_data_out[47] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[47] 0.00199116 +2 *2821:la_data_out[47] 0.0013795 +3 *1230:10 0.00199116 +4 *1230:8 0.00252215 +5 *1230:7 0.00390165 +6 *2820:la_data_out_core[47] *2821:la_oenb[31] 0 +7 *2820:la_data_out_core[47] *1613:5 0 +8 *2820:la_data_out_core[47] *1614:7 0 +9 *1230:7 *1275:24 9.57203e-05 +10 *1230:8 *1261:8 0.0105189 +11 *1230:8 *1608:10 0.0206018 +12 *1230:8 *1613:8 0.0307011 +13 *1230:8 *1614:10 0.000392997 +14 *1230:8 *1646:8 0.000568581 +15 *974:7 *2820:la_data_out_core[47] 0 +16 *1020:5 *1230:7 0 +17 *1213:5 *2820:la_data_out_core[47] 0 +*RES +1 *2821:la_data_out[47] *1230:7 37.598 +2 *1230:7 *1230:8 339.032 +3 *1230:8 *1230:10 4.5 +4 *1230:10 *2820:la_data_out_core[47] 49.2929 +*END + +*D_NET *1231 0.0541919 +*CONN +*I *2820:la_data_out_core[48] I *D mgmt_protect +*I *2821:la_data_out[48] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[48] 0.00146614 +2 *2821:la_data_out[48] 0.00123826 +3 *1231:14 0.00579778 +4 *1231:13 0.00475661 +5 *1231:8 0.00288199 +6 *1231:7 0.00369528 +7 *2820:la_data_out_core[48] *2821:la_oenb[31] 0 +8 *2820:la_data_out_core[48] *1610:13 0 +9 *2820:la_data_out_core[48] *1614:7 0 +10 *2820:la_data_out_core[48] *1615:7 0 +11 *1231:7 *1655:13 3.00073e-05 +12 *1231:8 *1275:14 0.00215938 +13 *1231:8 *1610:14 0.00136276 +14 *1231:8 *1648:8 0.000249054 +15 *1231:8 *1657:8 0.000872368 +16 *1231:8 *1659:8 0.00262867 +17 *1231:13 *2820:la_data_out_core[77] 0 +18 *1231:13 *1632:13 7.14746e-05 +19 *1231:14 *1600:8 0 +20 *1231:14 *1609:8 0.00030075 +21 *1231:14 *1620:14 1.00846e-05 +22 *1231:14 *1632:8 0.0047204 +23 *2821:la_data_in[32] *2820:la_data_out_core[48] 0 +24 *2821:la_data_in[43] *1231:13 0.000467497 +25 *961:8 *1231:14 0 +26 *962:8 *1231:14 0 +27 *968:8 *1231:14 0.0184969 +28 *970:8 *1231:14 0.000149001 +29 *971:8 *1231:14 4.27158e-05 +30 *975:7 *2820:la_data_out_core[48] 0 +31 *992:8 *1231:8 0 +32 *997:8 *1231:8 0 +33 *1007:7 *1231:13 0 +34 *1008:5 *1231:13 0.000814381 +35 *1226:14 *1231:14 0.000336808 +36 *1227:8 *1231:8 0.00061538 +37 *1229:8 *1231:8 0.00102819 +*RES +1 *2821:la_data_out[48] *1231:7 33.8608 +2 *1231:7 *1231:8 114.417 +3 *1231:8 *1231:13 24.5353 +4 *1231:13 *1231:14 238.648 +5 *1231:14 *2820:la_data_out_core[48] 42.1658 +*END + +*D_NET *1232 0.0638899 +*CONN +*I *2820:la_data_out_core[49] I *D mgmt_protect +*I *2821:la_data_out[49] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[49] 0.00130729 +2 *2821:la_data_out[49] 0.00210378 +3 *1232:8 0.00543494 +4 *1232:7 0.00412765 +5 *1232:5 0.00210378 +6 *2820:la_data_out_core[49] *1610:13 0 +7 *2820:la_data_out_core[49] *1615:7 0 +8 *2820:la_data_out_core[49] *1616:7 0 +9 *1232:5 *2820:la_data_out_core[94] 0 +10 *1232:5 *1666:7 0.000489045 +11 *1232:8 *1234:8 0.0337055 +12 *1232:8 *1269:8 0 +13 *1232:8 *1271:8 0.000139227 +14 *1232:8 *1272:8 0.000372603 +15 *1232:8 *1274:8 0.00211597 +16 *1232:8 *1611:8 0.0111711 +17 *1232:8 *1654:8 3.40535e-05 +18 *1232:8 *1665:8 0.000785018 +19 *959:8 *1232:8 0 +20 *976:7 *2820:la_data_out_core[49] 0 +21 *1027:5 *1232:5 0 +22 *1214:5 *2820:la_data_out_core[49] 0 +23 *1214:8 *1232:8 0 +*RES +1 *2821:la_data_out[49] *1232:5 48.0471 +2 *1232:5 *1232:7 4.5 +3 *1232:7 *1232:8 365.653 +4 *1232:8 *2820:la_data_out_core[49] 38.8438 +*END + +*D_NET *1233 0.0539277 +*CONN +*I *2820:la_data_out_core[4] I *D mgmt_protect +*I *2821:la_data_out[4] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[4] 0.00119427 +2 *2821:la_data_out[4] 0.00220274 +3 *1233:8 0.00302008 +4 *1233:7 0.00182581 +5 *1233:5 0.00220274 +6 *2820:la_data_out_core[4] *1606:7 0 +7 *2820:la_data_out_core[4] *1617:7 0 +8 *1233:5 *1819:5 0 +9 *1233:5 *1949:5 0 +10 *1233:5 *1950:7 0 +11 *1233:8 *1617:8 0.0212953 +12 *1233:8 *1820:8 0.00129676 +13 *1233:8 *1922:8 6.24819e-05 +14 *977:7 *2820:la_data_out_core[4] 0 +15 *988:8 *1233:8 0.0205287 +16 *1222:12 *1233:8 0.000298839 +*RES +1 *2821:la_data_out[4] *1233:5 49.7081 +2 *1233:5 *1233:7 4.5 +3 *1233:7 *1233:8 233.657 +4 *1233:8 *2820:la_data_out_core[4] 37.1828 +*END + +*D_NET *1234 0.0839466 +*CONN +*I *2820:la_data_out_core[50] I *D mgmt_protect +*I *2821:la_data_out[50] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[50] 0.00125971 +2 *2821:la_data_out[50] 0.00226531 +3 *1234:8 0.00420755 +4 *1234:7 0.00294784 +5 *1234:5 0.00226531 +6 *2820:la_data_out_core[50] *2821:la_oenb[32] 7.28919e-05 +7 *2820:la_data_out_core[50] *1616:7 0 +8 *2820:la_data_out_core[50] *1618:7 0 +9 *1234:5 *2820:la_data_out_core[97] 0.000140333 +10 *1234:8 *1618:8 0.035466 +11 *1234:8 *1665:8 0.000313008 +12 *1234:8 *1667:10 0.0013032 +13 *959:8 *1234:8 0 +14 *978:7 *2820:la_data_out_core[50] 0 +15 *1029:5 *1234:5 0 +16 *1232:8 *1234:8 0.0337055 +*RES +1 *2821:la_data_out[50] *1234:5 48.4624 +2 *1234:5 *1234:7 4.5 +3 *1234:7 *1234:8 379.518 +4 *1234:8 *2820:la_data_out_core[50] 38.4285 +*END + +*D_NET *1235 0.0867438 +*CONN +*I *2820:la_data_out_core[51] I *D mgmt_protect +*I *2821:la_data_out[51] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[51] 0.00113753 +2 *2821:la_data_out[51] 0.00216573 +3 *1235:12 0.00425333 +4 *1235:10 0.00317714 +5 *1235:5 0.00222707 +6 *2820:la_data_out_core[51] *1618:7 0 +7 *2820:la_data_out_core[51] *1619:7 0 +8 *1235:10 *1236:8 2.6243e-05 +9 *1235:10 *1669:10 0.000403799 +10 *1235:10 *1671:8 0.000324166 +11 *1235:12 *1236:8 0.035845 +12 *1235:12 *1618:8 0.0356253 +13 *1235:12 *1669:10 0.000401654 +14 *2821:la_data_in[33] *2820:la_data_out_core[51] 0.000159821 +15 *906:5 *1235:5 0.00079648 +16 *960:8 *1235:12 0 +17 *979:7 *2820:la_data_out_core[51] 0 +18 *1227:13 *2820:la_data_out_core[51] 0.000200531 +*RES +1 *2821:la_data_out[51] *1235:5 48.8776 +2 *1235:5 *1235:10 9.40704 +3 *1235:10 *1235:12 388.669 +4 *1235:12 *2820:la_data_out_core[51] 37.598 +*END + +*D_NET *1236 0.0898523 +*CONN +*I *2820:la_data_out_core[52] I *D mgmt_protect +*I *2821:la_data_out[52] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[52] 0.00123966 +2 *2821:la_data_out[52] 0.00218833 +3 *1236:8 0.00447218 +4 *1236:7 0.00323251 +5 *1236:5 0.00218833 +6 *2820:la_data_out_core[52] *1619:7 0 +7 *2820:la_data_out_core[52] *1620:7 0 +8 *1236:5 *1548:5 0.000928172 +9 *1236:8 *1237:8 0.0374261 +10 *1236:8 *1671:8 0.0023058 +11 *2820:la_data_out_core[103] *1236:5 0 +12 *960:8 *1236:8 0 +13 *980:7 *2820:la_data_out_core[52] 0 +14 *1235:10 *1236:8 2.6243e-05 +15 *1235:12 *1236:8 0.035845 +*RES +1 *2821:la_data_out[52] *1236:5 49.7081 +2 *1236:5 *1236:7 4.5 +3 *1236:7 *1236:8 406.139 +4 *1236:8 *2820:la_data_out_core[52] 37.1828 +*END + +*D_NET *1237 0.0920572 +*CONN +*I *2820:la_data_out_core[53] I *D mgmt_protect +*I *2821:la_data_out[53] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[53] 0.00120984 +2 *2821:la_data_out[53] 0.0020447 +3 *1237:8 0.00459653 +4 *1237:7 0.00338669 +5 *1237:5 0.0020447 +6 *2820:la_data_out_core[53] *2821:la_oenb[33] 5.82975e-05 +7 *2820:la_data_out_core[53] *1620:7 0 +8 *2820:la_data_out_core[53] *1621:7 0 +9 *1237:8 *1238:8 0.0387344 +10 *1237:8 *1671:8 0.000899113 +11 *2820:la_data_out_core[105] *1237:5 0.00165677 +12 *960:8 *1237:8 0 +13 *967:8 *1237:8 0 +14 *981:7 *2820:la_data_out_core[53] 0 +15 *1236:8 *1237:8 0.0374261 +*RES +1 *2821:la_data_out[53] *1237:5 50.1234 +2 *1237:5 *1237:7 4.5 +3 *1237:7 *1237:8 419.449 +4 *1237:8 *2820:la_data_out_core[53] 36.7675 +*END + +*D_NET *1238 0.0948675 +*CONN +*I *2820:la_data_out_core[54] I *D mgmt_protect +*I *2821:la_data_out[54] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[54] 0.00122069 +2 *2821:la_data_out[54] 0.00251856 +3 *1238:8 0.00466727 +4 *1238:7 0.00344658 +5 *1238:5 0.00251856 +6 *2820:la_data_out_core[54] *1621:7 0 +7 *2820:la_data_out_core[54] *1622:7 0 +8 *1238:5 *1553:7 0 +9 *1238:5 *1554:5 0 +10 *1238:8 *1239:8 0.0399237 +11 *1238:8 *1551:8 0.00178763 +12 *1238:8 *1671:8 5.00593e-05 +13 *2820:la_data_out_core[107] *1238:5 0 +14 *2821:la_data_in[34] *2820:la_data_out_core[54] 0 +15 *967:8 *1238:8 0 +16 *982:7 *2820:la_data_out_core[54] 0 +17 *1237:8 *1238:8 0.0387344 +*RES +1 *2821:la_data_out[54] *1238:5 50.5386 +2 *1238:5 *1238:7 4.5 +3 *1238:7 *1238:8 432.76 +4 *1238:8 *2820:la_data_out_core[54] 36.3523 +*END + +*D_NET *1239 0.0975148 +*CONN +*I *2820:la_data_out_core[55] I *D mgmt_protect +*I *2821:la_data_out[55] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[55] 0.00121872 +2 *2821:la_data_out[55] 0.00234288 +3 *1239:8 0.00480012 +4 *1239:7 0.0035814 +5 *1239:5 0.00234288 +6 *2820:la_data_out_core[55] *1622:7 0 +7 *2820:la_data_out_core[55] *1623:7 0 +8 *1239:5 *1557:5 0.000786733 +9 *1239:8 *1240:8 0.0411725 +10 *1239:8 *1551:8 0.000554491 +11 *1239:8 *1555:8 0.000791462 +12 *2820:la_data_out_core[111] *1239:5 0 +13 *967:8 *1239:8 0 +14 *983:7 *2820:la_data_out_core[55] 0 +15 *1238:8 *1239:8 0.0399237 +*RES +1 *2821:la_data_out[55] *1239:5 50.9539 +2 *1239:5 *1239:7 4.5 +3 *1239:7 *1239:8 446.07 +4 *1239:8 *2820:la_data_out_core[55] 35.937 +*END + +*D_NET *1240 0.101356 +*CONN +*I *2820:la_data_out_core[56] I *D mgmt_protect +*I *2821:la_data_out[56] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[56] 0.00120852 +2 *2821:la_data_out[56] 0.00208555 +3 *1240:8 0.00477169 +4 *1240:7 0.00356318 +5 *1240:5 0.00208555 +6 *2820:la_data_out_core[56] *1623:7 0 +7 *2820:la_data_out_core[56] *1624:7 0 +8 *1240:5 *1559:5 0 +9 *1240:8 *1555:8 0.000320552 +10 *1240:8 *1558:8 0.00126944 +11 *1240:8 *1624:8 0.0429524 +12 *967:8 *1240:8 1.22938e-05 +13 *984:7 *2820:la_data_out_core[56] 0 +14 *1176:11 *1240:5 0.00191452 +15 *1239:8 *1240:8 0.0411725 +*RES +1 *2821:la_data_out[56] *1240:5 51.3691 +2 *1240:5 *1240:7 4.5 +3 *1240:7 *1240:8 458.826 +4 *1240:8 *2820:la_data_out_core[56] 35.5218 +*END + +*D_NET *1241 0.0939339 +*CONN +*I *2820:la_data_out_core[57] I *D mgmt_protect +*I *2821:la_data_out[57] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[57] 0.000939765 +2 *2821:la_data_out[57] 0.00219362 +3 *1241:18 0.00216179 +4 *1241:16 0.00126628 +5 *1241:14 0.000958644 +6 *1241:13 0.00128713 +7 *1241:8 0.00290817 +8 *1241:7 0.00472905 +9 *2820:la_data_out_core[57] *1624:7 0 +10 *2820:la_data_out_core[57] *1625:7 0 +11 *1241:7 *1563:5 0 +12 *1241:8 *1267:8 0.00383501 +13 *1241:8 *1283:8 0.000241979 +14 *1241:8 *1620:14 0.0155543 +15 *1241:8 *1622:18 0.0162936 +16 *1241:8 *1625:14 0.00416819 +17 *1241:13 *1265:13 0.000856264 +18 *1241:14 *1615:8 0.0101294 +19 *1241:14 *1622:8 1.75637e-06 +20 *1241:14 *1622:12 0.0100763 +21 *1241:16 *1622:10 9.07708e-05 +22 *1241:18 *1615:8 0.000170421 +23 *1241:18 *1622:8 0.00935286 +24 *1241:18 *1625:8 0.0056129 +25 *1241:18 *1627:8 0.000245845 +26 *2820:la_data_out_core[115] *1241:7 0 +27 *985:7 *2820:la_data_out_core[57] 0 +28 *1014:5 *1241:13 0.000859862 +*RES +1 *2821:la_data_out[57] *1241:7 48.3946 +2 *1241:7 *1241:8 265.269 +3 *1241:8 *1241:13 25.3658 +4 *1241:13 *1241:14 108.316 +5 *1241:14 *1241:16 1.80849 +6 *1241:16 *1241:18 99.4428 +7 *1241:18 *2820:la_data_out_core[57] 28.4625 +*END + +*D_NET *1242 0.0932283 +*CONN +*I *2820:la_data_out_core[58] I *D mgmt_protect +*I *2821:la_data_out[58] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[58] 0.00032624 +2 *2821:la_data_out[58] 0.0039072 +3 *1242:14 0.00602311 +4 *1242:13 0.00584915 +5 *1242:8 0.00180699 +6 *1242:7 0.00165471 +7 *1242:5 0.0039072 +8 *2820:la_data_out_core[58] *1626:7 0 +9 *1242:5 *1565:8 0.000809673 +10 *1242:14 *1252:8 0.0322687 +11 *2820:la_data_out_core[110] *1242:13 6.99579e-05 +12 *2820:la_data_out_core[118] *1242:5 0 +13 *2820:la_data_out_core[119] *1242:5 0 +14 *917:7 *1242:13 4.38368e-05 +15 *925:7 *1242:5 0 +16 *984:8 *1242:14 0.00298084 +17 *986:7 *2820:la_data_out_core[58] 0 +18 *986:8 *1242:14 0 +19 *986:13 *1242:13 8.62625e-06 +20 *989:8 *1242:8 0.00632575 +21 *1004:8 *1242:14 0.0272462 +*RES +1 *2821:la_data_out[58] *1242:5 77.1148 +2 *1242:5 *1242:7 4.5 +3 *1242:7 *1242:8 67.8304 +4 *1242:8 *1242:13 12.9083 +5 *1242:13 *1242:14 417.785 +6 *1242:14 *2820:la_data_out_core[58] 13.5134 +*END + +*D_NET *1243 0.0835189 +*CONN +*I *2820:la_data_out_core[59] I *D mgmt_protect +*I *2821:la_data_out[59] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[59] 2.27669e-05 +2 *2821:la_data_out[59] 0.000799135 +3 *1243:11 0.00246022 +4 *1243:10 0.00243745 +5 *1243:8 0.00930812 +6 *1243:7 0.0101073 +7 *1243:8 *1245:8 0.046432 +8 *1243:11 *1627:7 0 +9 *2821:la_data_in[36] *1243:11 0.00127094 +10 *987:7 *1243:11 0 +11 *1023:8 *1243:8 0 +12 *1025:10 *1243:8 0 +13 *1027:8 *1243:8 0 +14 *1029:8 *1243:8 0 +15 *1031:8 *1243:8 0 +16 *1163:8 *1243:8 8.7654e-05 +17 *1165:8 *1243:8 0.000274449 +18 *1167:8 *1243:8 0.000384979 +19 *1169:8 *1243:8 0.000677667 +20 *1171:8 *1243:8 0.00913243 +21 *1185:11 *1243:7 0.000123848 +*RES +1 *2821:la_data_out[59] *1243:7 22.649 +2 *1243:7 *1243:8 498.757 +3 *1243:8 *1243:10 4.5 +4 *1243:10 *1243:11 64.3275 +5 *1243:11 *2820:la_data_out_core[59] 0.647305 +*END + +*D_NET *1244 0.0491205 +*CONN +*I *2820:la_data_out_core[5] I *D mgmt_protect +*I *2821:la_data_out[5] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[5] 0.00111637 +2 *2821:la_data_out[5] 0.00221778 +3 *1244:8 0.00331079 +4 *1244:7 0.00219442 +5 *1244:5 0.00221778 +6 *2820:la_data_out_core[5] *1628:7 0 +7 *1244:5 *2820:mprj_dat_i_user[10] 0 +8 *1244:5 *2820:mprj_dat_i_user[9] 0 +9 *1244:5 *1952:7 0 +10 *1244:8 *1584:8 0.0180182 +11 *1244:8 *1595:8 0.000315442 +12 *1244:8 *1606:8 0.0195283 +13 *1244:8 *1617:8 0.000185432 +14 *1244:8 *1639:8 0 +15 *988:7 *2820:la_data_out_core[5] 0 +16 *988:8 *1244:8 1.59204e-05 +17 *988:11 *1244:5 0 +*RES +1 *2821:la_data_out[5] *1244:5 51.3691 +2 *1244:5 *1244:7 4.5 +3 *1244:7 *1244:8 220.901 +4 *1244:8 *2820:la_data_out_core[5] 35.5218 +*END + +*D_NET *1245 0.110572 +*CONN +*I *2820:la_data_out_core[60] I *D mgmt_protect +*I *2821:la_data_out[60] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[60] 0.00255041 +2 *2821:la_data_out[60] 0.000885688 +3 *1245:10 0.00255041 +4 *1245:8 0.00373198 +5 *1245:7 0.00461767 +6 *2820:la_data_out_core[60] *1627:7 0 +7 *2820:la_data_out_core[60] *1629:7 0 +8 *2820:la_data_out_core[123] *1245:7 0 +9 *931:8 *1245:8 0.000738355 +10 *932:7 *1245:7 3.93117e-06 +11 *989:7 *2820:la_data_out_core[60] 0 +12 *990:5 *2820:la_data_out_core[60] 0 +13 *990:8 *1245:8 0.0479865 +14 *1171:8 *1245:8 0.000571078 +15 *1218:7 *2820:la_data_out_core[60] 0.000503581 +16 *1243:8 *1245:8 0.046432 +*RES +1 *2821:la_data_out[60] *1245:7 23.0642 +2 *1245:7 *1245:8 512.622 +3 *1245:8 *1245:10 4.5 +4 *1245:10 *2820:la_data_out_core[60] 63.8267 +*END + +*D_NET *1246 0.115802 +*CONN +*I *2820:la_data_out_core[61] I *D mgmt_protect +*I *2821:la_data_out[61] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[61] 0.00024545 +2 *2821:la_data_out[61] 0.00372252 +3 *1246:8 0.00490029 +4 *1246:7 0.00465484 +5 *1246:5 0.00372252 +6 *2820:la_data_out_core[61] *1630:10 0 +7 *1246:5 *1574:5 0.00182605 +8 *1246:8 *1247:8 0.0483002 +9 *2820:la_data_out_core[126] *1246:5 2.7698e-05 +10 *934:11 *1246:5 0 +11 *935:5 *1246:5 0 +12 *984:8 *1246:8 0.000133137 +13 *987:8 *1246:8 0.0444203 +14 *989:8 *1246:8 0.000628433 +15 *990:5 *2820:la_data_out_core[61] 0 +16 *1186:14 *1246:8 0.00322082 +*RES +1 *2821:la_data_out[61] *1246:5 75.869 +2 *1246:5 *1246:7 4.5 +3 *1246:7 *1246:8 525.933 +4 *1246:8 *2820:la_data_out_core[61] 11.0219 +*END + +*D_NET *1247 0.117702 +*CONN +*I *2820:la_data_out_core[62] I *D mgmt_protect +*I *2821:la_data_out[62] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[62] 0.000200157 +2 *2821:la_data_out[62] 0.00430085 +3 *1247:8 0.00497662 +4 *1247:7 0.00477646 +5 *1247:5 0.00430085 +6 *2820:la_data_out_core[62] *1631:7 0 +7 *1247:5 *2820:user_irq_core[2] 0 +8 *1247:5 *1631:16 0 +9 *1247:8 *1248:8 0.0495892 +10 *984:8 *1247:8 0.000179244 +11 *991:7 *2820:la_data_out_core[62] 0.000197303 +12 *1186:14 *1247:8 0.00088141 +13 *1246:8 *1247:8 0.0483002 +*RES +1 *2821:la_data_out[62] *1247:5 75.4538 +2 *1247:5 *1247:7 4.5 +3 *1247:7 *1247:8 539.243 +4 *1247:8 *2820:la_data_out_core[62] 11.4372 +*END + +*D_NET *1248 0.120319 +*CONN +*I *2820:la_data_out_core[63] I *D mgmt_protect +*I *2821:la_data_out[63] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[63] 0.000288323 +2 *2821:la_data_out[63] 0.00426899 +3 *1248:8 0.00515615 +4 *1248:7 0.00486783 +5 *1248:5 0.00426899 +6 *2820:la_data_out_core[63] *1632:7 0 +7 *1248:8 *1249:8 0.0507705 +8 *984:8 *1248:8 0.000363723 +9 *992:5 *2820:la_data_out_core[63] 0 +10 *1186:14 *1248:8 0.000536907 +11 *1190:16 *1248:8 0.000208728 +12 *1247:8 *1248:8 0.0495892 +*RES +1 *2821:la_data_out[63] *1248:5 75.0385 +2 *1248:5 *1248:7 4.5 +3 *1248:7 *1248:8 552.554 +4 *1248:8 *2820:la_data_out_core[63] 11.8524 +*END + +*D_NET *1249 0.120653 +*CONN +*I *2820:la_data_out_core[64] I *D mgmt_protect +*I *2821:la_data_out[64] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[64] 0.000243196 +2 *2821:la_data_out[64] 0.00423718 +3 *1249:8 0.00536757 +4 *1249:7 0.00512438 +5 *1249:5 0.00423718 +6 *2820:la_data_out_core[64] *1633:7 0 +7 *1249:8 *1252:8 0.00258599 +8 *984:8 *1249:8 0.0360934 +9 *993:9 *2820:la_data_out_core[64] 0.00012021 +10 *993:11 *2820:la_data_out_core[64] 0.000220437 +11 *1184:14 *1249:8 0.0108076 +12 *1186:14 *1249:8 0.000393655 +13 *1190:16 *1249:8 0.000451963 +14 *1248:8 *1249:8 0.0507705 +*RES +1 *2821:la_data_out[64] *1249:5 74.6233 +2 *1249:5 *1249:7 4.5 +3 *1249:7 *1249:8 565.31 +4 *1249:8 *2820:la_data_out_core[64] 13.0005 +*END + +*D_NET *1250 0.125836 +*CONN +*I *2820:la_data_out_core[65] I *D mgmt_protect +*I *2821:la_data_out[65] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[65] 0.00250778 +2 *2821:la_data_out[65] 0.00117957 +3 *1250:22 0.00250778 +4 *1250:20 0.00375622 +5 *1250:19 0.00396829 +6 *1250:16 0.00051476 +7 *1250:13 0.000733349 +8 *1250:7 0.00161024 +9 *2820:la_data_out_core[65] *1625:13 0 +10 *2820:la_data_out_core[65] *1634:7 0 +11 *1250:13 *2821:la_oenb[63] 0.000208084 +12 *1250:19 *2821:la_oenb[61] 0.000249983 +13 *2821:la_data_in[38] *2820:la_data_out_core[65] 0 +14 *2821:la_data_in[62] *1250:16 0.000749011 +15 *935:5 *1250:19 0 +16 *935:10 *1250:16 1.67988e-05 +17 *935:14 *1250:16 0.00337859 +18 *994:5 *2820:la_data_out_core[65] 0 +19 *994:8 *1250:20 0.0472188 +20 *995:5 *2820:la_data_out_core[65] 0 +21 *995:8 *1250:20 0.000322987 +22 *995:12 *1250:13 0.00332325 +23 *996:8 *1250:20 0.0459658 +24 *997:14 *1250:13 0.00331484 +25 *1007:10 *1250:16 0.00416455 +26 *1220:7 *2820:la_data_out_core[65] 0.000145584 +*RES +1 *2821:la_data_out[65] *1250:7 28.0472 +2 *1250:7 *1250:13 48.4323 +3 *1250:13 *1250:16 48.4825 +4 *1250:16 *1250:19 9.65401 +5 *1250:19 *1250:20 500.421 +6 *1250:20 *1250:22 4.5 +7 *1250:22 *2820:la_data_out_core[65] 58.0132 +*END + +*D_NET *1251 0.128257 +*CONN +*I *2820:la_data_out_core[66] I *D mgmt_protect +*I *2821:la_data_out[66] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[66] 0.00080165 +2 *2821:la_data_out[66] 0.00322192 +3 *1251:16 0.00111712 +4 *1251:8 0.00493151 +5 *1251:7 0.00461604 +6 *1251:5 0.00322192 +7 *2820:la_data_out_core[66] *1635:7 0 +8 *1251:8 *1253:8 0.0530591 +9 *1251:8 *1622:12 7.92757e-06 +10 *1251:8 *1633:14 0.00263026 +11 *1251:8 *1635:18 0.0469851 +12 *1251:8 *1656:8 0.00171697 +13 *1251:16 *1615:8 0.00271134 +14 *1251:16 *1633:11 0.00281159 +15 *1251:16 *1638:10 6.46135e-05 +16 *995:5 *2820:la_data_out_core[66] 0.000360068 +17 *1000:7 *1251:16 0 +*RES +1 *2821:la_data_out[66] *1251:5 58.4284 +2 *1251:5 *1251:7 4.5 +3 *1251:7 *1251:8 564.755 +4 *1251:8 *1251:16 45.1707 +5 *1251:16 *2820:la_data_out_core[66] 22.7656 +*END + +*D_NET *1252 0.130541 +*CONN +*I *2820:la_data_out_core[67] I *D mgmt_protect +*I *2821:la_data_out[67] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[67] 0.000352631 +2 *2821:la_data_out[67] 0.00413409 +3 *1252:8 0.00548533 +4 *1252:7 0.0051327 +5 *1252:5 0.00413409 +6 *2820:la_data_out_core[67] *1636:7 0 +7 *984:8 *1252:8 0.0339166 +8 *986:14 *1252:8 0.0056502 +9 *996:5 *2820:la_data_out_core[67] 0 +10 *1004:8 *1252:8 0.000189848 +11 *1181:14 *1252:8 0.01841 +12 *1184:14 *1252:8 0.0169127 +13 *1190:16 *1252:8 0.00136859 +14 *1242:14 *1252:8 0.0322687 +15 *1249:8 *1252:8 0.00258599 +*RES +1 *2821:la_data_out[67] *1252:5 73.7928 +2 *1252:5 *1252:7 4.5 +3 *1252:7 *1252:8 605.796 +4 *1252:8 *2820:la_data_out_core[67] 13.0982 +*END + +*D_NET *1253 0.133009 +*CONN +*I *2820:la_data_out_core[68] I *D mgmt_protect +*I *2821:la_data_out[68] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[68] 0.00138231 +2 *2821:la_data_out[68] 0.00317421 +3 *1253:8 0.00633781 +4 *1253:7 0.0049555 +5 *1253:5 0.00317421 +6 *2820:la_data_out_core[68] *1622:8 0.000455222 +7 *2820:la_data_out_core[68] *1622:10 1.09551e-05 +8 *2820:la_data_out_core[68] *1622:12 0 +9 *2820:la_data_out_core[68] *1635:10 6.0055e-05 +10 *2820:la_data_out_core[68] *1637:7 0 +11 *2820:la_data_out_core[68] *1638:10 0.00015574 +12 *1253:8 *1547:8 0.000639908 +13 *1253:8 *1635:18 0.000308997 +14 *1253:8 *1638:10 9.82896e-06 +15 *1253:8 *1638:12 0.0570802 +16 *1253:8 *1656:8 0.00220529 +17 *997:5 *2820:la_data_out_core[68] 0 +18 *1251:8 *1253:8 0.0530591 +*RES +1 *2821:la_data_out[68] *1253:5 58.0132 +2 *1253:5 *1253:7 4.5 +3 *1253:7 *1253:8 607.46 +4 *1253:8 *2820:la_data_out_core[68] 42.5124 +*END + +*D_NET *1254 0.131142 +*CONN +*I *2820:la_data_out_core[69] I *D mgmt_protect +*I *2821:la_data_out[69] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[69] 0.00265249 +2 *2821:la_data_out[69] 0.00111526 +3 *1254:10 0.00265249 +4 *1254:8 0.0052596 +5 *1254:7 0.00637486 +6 *2820:la_data_out_core[69] *2821:la_oenb[39] 9.31064e-05 +7 *2820:la_data_out_core[69] *1638:10 0 +8 *2821:la_data_in[40] *2820:la_data_out_core[69] 0 +9 *934:14 *1254:8 0.000134497 +10 *991:10 *1254:8 0 +11 *995:8 *1254:8 0.001683 +12 *997:14 *1254:8 1.65872e-05 +13 *998:5 *2820:la_data_out_core[69] 0 +14 *998:14 *1254:8 0.052432 +15 *1000:10 *1254:8 0.000226394 +16 *1001:8 *1254:8 0.0585022 +*RES +1 *2821:la_data_out[69] *1254:7 26.8015 +2 *1254:7 *1254:8 632.417 +3 *1254:8 *1254:10 4.5 +4 *1254:10 *2820:la_data_out_core[69] 60.0894 +*END + +*D_NET *1255 0.0468481 +*CONN +*I *2820:la_data_out_core[6] I *D mgmt_protect +*I *2821:la_data_out[6] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[6] 0.00126046 +2 *2821:la_data_out[6] 0.00199493 +3 *1255:8 0.00296781 +4 *1255:7 0.00170735 +5 *1255:5 0.00199493 +6 *2820:la_data_out_core[6] *1639:7 0 +7 *1255:5 *2820:mprj_dat_i_user[12] 0 +8 *1255:5 *1924:7 9.84343e-05 +9 *1255:8 *2820:mprj_dat_i_user[13] 0.000585477 +10 *1255:8 *1266:8 0.000881427 +11 *1255:8 *1277:8 0.0155722 +12 *1255:8 *1628:8 0.0190272 +13 *1255:8 *1639:8 6.44576e-05 +14 *1255:8 *1796:8 2.18145e-05 +15 *1255:8 *1863:18 0.00055844 +16 *999:7 *2820:la_data_out_core[6] 0 +17 *999:8 *1255:8 0.000113197 +*RES +1 *2821:la_data_out[6] *1255:5 47.6319 +2 *1255:5 *1255:7 4.5 +3 *1255:7 *1255:8 207.591 +4 *1255:8 *2820:la_data_out_core[6] 39.2591 +*END + +*D_NET *1256 0.141518 +*CONN +*I *2820:la_data_out_core[70] I *D mgmt_protect +*I *2821:la_data_out[70] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[70] 0.000835586 +2 *2821:la_data_out[70] 0.00309236 +3 *1256:8 0.0060387 +4 *1256:7 0.00520311 +5 *1256:5 0.00309236 +6 *2820:la_data_out_core[70] *1640:7 0.00142981 +7 *1256:8 *1547:8 0 +8 *1256:8 *1635:12 0 +9 *1256:8 *1638:12 0.0592132 +10 *1256:8 *1641:8 0.0598167 +11 *1256:8 *1658:8 0.00279601 +12 *1000:7 *2820:la_data_out_core[70] 0 +*RES +1 *2821:la_data_out[70] *1256:5 57.1827 +2 *1256:5 *1256:7 4.5 +3 *1256:7 *1256:8 643.509 +4 *1256:8 *2820:la_data_out_core[70] 32.1265 +*END + +*D_NET *1257 0.12991 +*CONN +*I *2820:la_data_out_core[71] I *D mgmt_protect +*I *2821:la_data_out[71] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[71] 0.0018761 +2 *2821:la_data_out[71] 0.00212679 +3 *1257:18 0.0057758 +4 *1257:17 0.0039514 +5 *1257:12 0.000964239 +6 *1257:10 0.000933173 +7 *1257:8 0.00157849 +8 *1257:7 0.00368464 +9 *2820:la_data_out_core[71] *1641:7 0 +10 *1257:8 *1259:8 0.000622925 +11 *1257:8 *1267:8 0 +12 *1257:8 *1637:12 0.000626455 +13 *1257:8 *1640:18 0.0064896 +14 *1257:8 *1664:8 0.000633776 +15 *1257:12 *1259:8 2.39581e-05 +16 *1257:12 *1637:12 0.00394354 +17 *1257:12 *1640:8 0.00311953 +18 *1257:12 *1640:18 0.00249332 +19 *1257:12 *1664:8 0.00057916 +20 *1257:18 *1259:8 0.0441695 +21 *1257:18 *1640:8 0.0456709 +22 *1257:18 *1642:8 0.000194684 +23 *1257:18 *1664:8 0.000451936 +24 *998:8 *1257:18 0 +25 *1001:5 *2820:la_data_out_core[71] 0 +26 *1002:5 *2820:la_data_out_core[71] 0 +*RES +1 *2821:la_data_out[71] *1257:7 45.4878 +2 *1257:7 *1257:8 82.5274 +3 *1257:8 *1257:10 0.578717 +4 *1257:10 *1257:12 91.9557 +5 *1257:12 *1257:17 10.0015 +6 *1257:17 *1257:18 485.447 +7 *1257:18 *2820:la_data_out_core[71] 46.3183 +*END + +*D_NET *1258 0.146783 +*CONN +*I *2820:la_data_out_core[72] I *D mgmt_protect +*I *2821:la_data_out[72] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[72] 0.00112991 +2 *2821:la_data_out[72] 0.00301053 +3 *1258:8 0.00645245 +4 *1258:7 0.00532253 +5 *1258:5 0.00301053 +6 *2820:la_data_out_core[72] *1642:7 0 +7 *1258:8 *1547:8 0 +8 *1258:8 *1635:12 6.0055e-05 +9 *1258:8 *1641:8 0.062007 +10 *1258:8 *1643:8 0.0624045 +11 *1258:8 *1660:8 0.00338536 +12 *1002:5 *2820:la_data_out_core[72] 0 +*RES +1 *2821:la_data_out[72] *1258:5 56.3522 +2 *1258:5 *1258:7 4.5 +3 *1258:7 *1258:8 672.348 +4 *1258:8 *2820:la_data_out_core[72] 30.5388 +*END + +*D_NET *1259 0.144368 +*CONN +*I *2820:la_data_out_core[73] I *D mgmt_protect +*I *2821:la_data_out[73] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[73] 0.00187091 +2 *2821:la_data_out[73] 0.00207029 +3 *1259:8 0.00757699 +4 *1259:7 0.00777637 +5 *2820:la_data_out_core[73] *1643:7 0 +6 *1259:8 *1640:8 0.00138703 +7 *1259:8 *1640:18 0.0120051 +8 *1259:8 *1642:8 0.0634484 +9 *1259:8 *1644:8 0.000622925 +10 *1259:8 *1664:8 0.002723 +11 *970:13 *2820:la_data_out_core[73] 7.03521e-05 +12 *1003:5 *2820:la_data_out_core[73] 0 +13 *1257:8 *1259:8 0.000622925 +14 *1257:12 *1259:8 2.39581e-05 +15 *1257:18 *1259:8 0.0441695 +*RES +1 *2821:la_data_out[73] *1259:7 44.6573 +2 *1259:7 *1259:8 686.213 +3 *1259:8 *2820:la_data_out_core[73] 46.7336 +*END + +*D_NET *1260 0.152661 +*CONN +*I *2820:la_data_out_core[74] I *D mgmt_protect +*I *2821:la_data_out[74] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[74] 0.00118104 +2 *2821:la_data_out[74] 0.00293556 +3 *1260:8 0.00655584 +4 *1260:7 0.0053748 +5 *1260:5 0.00293556 +6 *2820:la_data_out_core[74] *1643:7 0 +7 *2820:la_data_out_core[74] *1644:7 0 +8 *1260:8 *1276:8 0.00382644 +9 *1260:8 *1547:8 0 +10 *1260:8 *1635:12 0.000249876 +11 *1260:8 *1643:8 0.064635 +12 *1260:8 *1645:8 0.0649666 +13 *1004:7 *2820:la_data_out_core[74] 0 +*RES +1 *2821:la_data_out[74] *1260:5 55.5217 +2 *1260:5 *1260:7 4.5 +3 *1260:7 *1260:8 698.969 +4 *1260:8 *2820:la_data_out_core[74] 31.3693 +*END + +*D_NET *1261 0.143153 +*CONN +*I *2820:la_data_out_core[75] I *D mgmt_protect +*I *2821:la_data_out[75] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[75] 8.20467e-05 +2 *2821:la_data_out[75] 0.0016509 +3 *1261:11 0.001958 +4 *1261:10 0.00187595 +5 *1261:8 0.00638567 +6 *1261:7 0.00803657 +7 *1261:8 *1278:16 0.000672541 +8 *1261:8 *1546:18 0.0474208 +9 *1261:8 *1552:8 0.0436593 +10 *1261:8 *1570:8 0.00244018 +11 *1261:8 *1614:10 0.0112051 +12 *1261:8 *1616:10 0.00177903 +13 *1261:8 *1619:10 0.00100962 +14 *1261:8 *1621:10 0.000716318 +15 *1261:8 *1623:10 1.6258e-05 +16 *1261:8 *1646:8 0.00164802 +17 *1261:11 *1645:7 0.00152797 +18 *2821:la_data_in[42] *1261:11 0 +19 *906:8 *1261:8 0.000549364 +20 *1005:7 *1261:11 0 +21 *1006:5 *1261:11 0 +22 *1230:8 *1261:8 0.0105189 +*RES +1 *2821:la_data_out[75] *1261:7 38.0133 +2 *1261:7 *1261:8 710.616 +3 *1261:8 *1261:10 4.5 +4 *1261:10 *1261:11 48.9631 +5 *1261:11 *2820:la_data_out_core[75] 2.33274 +*END + +*D_NET *1262 0.15916 +*CONN +*I *2820:la_data_out_core[76] I *D mgmt_protect +*I *2821:la_data_out[76] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[76] 0.00122932 +2 *2821:la_data_out[76] 0.00286464 +3 *1262:8 0.00667844 +4 *1262:7 0.00544912 +5 *1262:5 0.00286464 +6 *2820:la_data_out_core[76] *1646:5 0 +7 *1262:8 *1264:8 0.0670169 +8 *1262:8 *1276:8 0.000116582 +9 *1262:8 *1635:12 0.00115033 +10 *1262:8 *1645:8 0.0671906 +11 *1262:8 *1647:8 0.000148901 +12 *1262:8 *1663:8 0.00445002 +13 *1006:5 *2820:la_data_out_core[76] 0 +*RES +1 *2821:la_data_out[76] *1262:5 54.6912 +2 *1262:5 *1262:7 4.5 +3 *1262:7 *1262:8 725.59 +4 *1262:8 *2820:la_data_out_core[76] 32.1998 +*END + +*D_NET *1263 0.158294 +*CONN +*I *2820:la_data_out_core[77] I *D mgmt_protect +*I *2821:la_data_out[77] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[77] 0.00140634 +2 *2821:la_data_out[77] 0.00198068 +3 *1263:8 0.00775888 +4 *1263:7 0.00833322 +5 *2820:la_data_out_core[77] *1632:13 0 +6 *2820:la_data_out_core[77] *1647:7 0 +7 *1263:8 *1265:8 0.0654865 +8 *1263:8 *1630:14 0 +9 *1263:8 *1644:8 0.064479 +10 *1263:8 *1651:8 0.000824071 +11 *1263:8 *1664:8 0.00447358 +12 *1007:7 *2820:la_data_out_core[77] 0.00239095 +13 *1008:5 *2820:la_data_out_core[77] 0 +14 *1226:13 *1263:8 0.00116098 +15 *1231:13 *2820:la_data_out_core[77] 0 +*RES +1 *2821:la_data_out[77] *1263:7 43.4116 +2 *1263:7 *1263:8 739.455 +3 *1263:8 *2820:la_data_out_core[77] 47.9793 +*END + +*D_NET *1264 0.1623 +*CONN +*I *2820:la_data_out_core[78] I *D mgmt_protect +*I *2821:la_data_out[78] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[78] 0.00125761 +2 *2821:la_data_out[78] 0.00280601 +3 *1264:8 0.00726134 +4 *1264:7 0.00600373 +5 *1264:5 0.00280601 +6 *2820:la_data_out_core[78] *1648:5 0 +7 *1264:8 *1276:8 0.000107416 +8 *1264:8 *1647:8 0.0697762 +9 *1264:8 *1649:8 0.000598776 +10 *1264:8 *1663:8 0.00466574 +11 *1008:5 *2820:la_data_out_core[78] 0 +12 *1262:8 *1264:8 0.0670169 +*RES +1 *2821:la_data_out[78] *1264:5 54.2759 +2 *1264:5 *1264:7 4.5 +3 *1264:7 *1264:8 752.211 +4 *1264:8 *2820:la_data_out_core[78] 32.615 +*END + +*D_NET *1265 0.162843 +*CONN +*I *2820:la_data_out_core[79] I *D mgmt_protect +*I *2821:la_data_out[79] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[79] 0.000906864 +2 *2821:la_data_out[79] 0.00194669 +3 *1265:16 0.00173846 +4 *1265:13 0.00140046 +5 *1265:8 0.00633388 +6 *1265:7 0.0077117 +7 *2820:la_data_out_core[79] *1649:7 0 +8 *1265:8 *1651:8 0.0695436 +9 *1265:8 *1664:8 0.00430107 +10 *1265:16 *1615:8 0.00128191 +11 *2821:la_data_in[45] *1265:13 0.00120168 +12 *972:8 *1265:16 0 +13 *1009:5 *2820:la_data_out_core[79] 0 +14 *1014:5 *1265:13 0.000134238 +15 *1241:13 *1265:13 0.000856264 +16 *1263:8 *1265:8 0.0654865 +*RES +1 *2821:la_data_out[79] *1265:7 42.9963 +2 *1265:7 *1265:8 735.019 +3 *1265:8 *1265:13 32.0099 +4 *1265:13 *1265:16 35.7266 +5 *1265:16 *2820:la_data_out_core[79] 21.0557 +*END + +*D_NET *1266 0.0445298 +*CONN +*I *2820:la_data_out_core[7] I *D mgmt_protect +*I *2821:la_data_out[7] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[7] 0.00128286 +2 *2821:la_data_out[7] 0.0019331 +3 *1266:8 0.00280925 +4 *1266:7 0.00152639 +5 *1266:5 0.0019331 +6 *2820:la_data_out_core[7] *1639:7 0 +7 *2820:la_data_out_core[7] *1650:7 0 +8 *1266:5 *2820:mprj_dat_i_user[15] 3.20069e-06 +9 *1266:5 *1926:7 0 +10 *1266:5 *1927:5 0 +11 *1266:8 *1277:8 0.0163114 +12 *1266:8 *1796:8 0.000585491 +13 *1266:8 *1865:12 5.80138e-05 +14 *1266:8 *1928:8 0.000218849 +15 *1010:7 *2820:la_data_out_core[7] 0 +16 *1021:7 *2820:la_data_out_core[7] 0 +17 *1021:8 *1266:8 0.0169867 +18 *1255:8 *1266:8 0.000881427 +*RES +1 *2821:la_data_out[7] *1266:5 46.8014 +2 *1266:5 *1266:7 4.5 +3 *1266:7 *1266:8 194.28 +4 *1266:8 *2820:la_data_out_core[7] 40.0896 +*END + +*D_NET *1267 0.134224 +*CONN +*I *2820:la_data_out_core[80] I *D mgmt_protect +*I *2821:la_data_out[80] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[80] 0.00173151 +2 *2821:la_data_out[80] 0.00217921 +3 *1267:8 0.0137044 +4 *1267:7 0.0141521 +5 *2820:la_data_out_core[80] *1649:7 0 +6 *2820:la_data_out_core[80] *1651:7 0 +7 *1267:8 *1283:8 0.00492668 +8 *1267:8 *1565:14 0.00084645 +9 *1267:8 *1611:8 0 +10 *1267:8 *1620:14 0.000515634 +11 *1267:8 *1622:18 0.0162978 +12 *1267:8 *1625:14 0.000125129 +13 *1267:8 *1632:14 0.000248699 +14 *1267:8 *1632:20 0.00149398 +15 *1267:8 *1634:16 0.00107557 +16 *1267:8 *1636:16 0.000272612 +17 *1267:8 *1637:12 0 +18 *1267:8 *1652:8 0.0728066 +19 *2821:la_data_in[44] *2820:la_data_out_core[80] 0 +20 *971:8 *1267:8 1.27226e-05 +21 *1011:7 *2820:la_data_out_core[80] 0 +22 *1241:8 *1267:8 0.00383501 +23 *1257:8 *1267:8 0 +*RES +1 *2821:la_data_out[80] *1267:7 49.2251 +2 *1267:7 *1267:8 779.387 +3 *1267:8 *2820:la_data_out_core[80] 42.1658 +*END + +*D_NET *1268 0.171229 +*CONN +*I *2820:la_data_out_core[81] I *D mgmt_protect +*I *2821:la_data_out[81] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[81] 0.0020754 +2 *2821:la_data_out[81] 0.00189216 +3 *1268:8 0.00787292 +4 *1268:7 0.00768969 +5 *2820:la_data_out_core[81] *1651:7 0 +6 *2820:la_data_out_core[81] *1652:7 0 +7 *1268:8 *1630:14 0.000249889 +8 *1268:8 *1651:8 0.0735878 +9 *1268:8 *1653:8 0.073966 +10 *1268:8 *1666:8 0.00389557 +11 *1012:7 *2820:la_data_out_core[81] 0 +12 *1013:5 *2820:la_data_out_core[81] 0 +*RES +1 *2821:la_data_out[81] *1268:7 42.1658 +2 *1268:7 *1268:8 792.143 +3 *1268:8 *2820:la_data_out_core[81] 49.2251 +*END + +*D_NET *1269 0.176256 +*CONN +*I *2820:la_data_out_core[82] I *D mgmt_protect +*I *2821:la_data_out[82] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[82] 0.00167621 +2 *2821:la_data_out[82] 0.00219297 +3 *1269:8 0.00754991 +4 *1269:7 0.00587371 +5 *1269:5 0.00219297 +6 *2820:la_data_out_core[82] *2821:la_oenb[44] 0.000107729 +7 *2820:la_data_out_core[82] *1653:7 0 +8 *1269:8 *1285:8 0.00628516 +9 *1269:8 *1611:8 5.20546e-06 +10 *1269:8 *1652:8 0.0749776 +11 *1269:8 *1654:8 0.0753945 +12 *1013:5 *2820:la_data_out_core[82] 0 +13 *1232:8 *1269:8 0 +*RES +1 *2821:la_data_out[82] *1269:5 45.5556 +2 *1269:5 *1269:7 4.5 +3 *1269:7 *1269:8 806.008 +4 *1269:8 *2820:la_data_out_core[82] 41.3353 +*END + +*D_NET *1270 0.177834 +*CONN +*I *2820:la_data_out_core[83] I *D mgmt_protect +*I *2821:la_data_out[83] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[83] 0.00190299 +2 *2821:la_data_out[83] 0.00182136 +3 *1270:10 0.00190299 +4 *1270:8 0.00584646 +5 *1270:7 0.00766782 +6 *2820:la_data_out_core[83] *1654:7 0 +7 *1270:8 *1282:8 0.00443864 +8 *1270:8 *1574:10 1.67988e-05 +9 *1270:8 *1574:12 0.0440006 +10 *1270:8 *1630:14 0.0334866 +11 *1270:8 *1653:8 0.0761433 +12 *2821:la_data_in[45] *2820:la_data_out_core[83] 0.000606262 +13 *1014:5 *2820:la_data_out_core[83] 0 +*RES +1 *2821:la_data_out[83] *1270:7 41.3353 +2 *1270:7 *1270:8 818.764 +3 *1270:8 *1270:10 4.5 +4 *1270:10 *2820:la_data_out_core[83] 45.5556 +*END + +*D_NET *1271 0.182029 +*CONN +*I *2820:la_data_out_core[84] I *D mgmt_protect +*I *2821:la_data_out[84] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[84] 0.00165021 +2 *2821:la_data_out[84] 0.00220679 +3 *1271:8 0.00753465 +4 *1271:7 0.00588445 +5 *1271:5 0.00220679 +6 *2820:la_data_out_core[84] *1654:7 0 +7 *2820:la_data_out_core[84] *1655:5 0 +8 *1271:8 *1272:8 0.0781496 +9 *1271:8 *1287:8 0.00669203 +10 *1271:8 *1654:8 0.0775654 +11 *1015:7 *2820:la_data_out_core[84] 0 +12 *1232:8 *1271:8 0.000139227 +*RES +1 *2821:la_data_out[84] *1271:5 46.3861 +2 *1271:5 *1271:7 4.5 +3 *1271:7 *1271:8 832.629 +4 *1271:8 *2820:la_data_out_core[84] 40.5048 +*END + +*D_NET *1272 0.18352 +*CONN +*I *2820:la_data_out_core[85] I *D mgmt_protect +*I *2821:la_data_out[85] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[85] 0.00164243 +2 *2821:la_data_out[85] 0.00221771 +3 *1272:8 0.0077502 +4 *1272:7 0.00610776 +5 *1272:5 0.00221771 +6 *2820:la_data_out_core[85] *1655:5 0 +7 *2820:la_data_out_core[85] *1656:7 0 +8 *1272:8 *1274:8 0.0786469 +9 *1272:8 *1287:8 0.000157517 +10 *1272:8 *1549:10 0.00625748 +11 *2821:la_data_in[46] *2820:la_data_out_core[85] 0 +12 *1016:7 *2820:la_data_out_core[85] 0 +13 *1232:8 *1272:8 0.000372603 +14 *1271:8 *1272:8 0.0781496 +*RES +1 *2821:la_data_out[85] *1272:5 46.8014 +2 *1272:5 *1272:7 4.5 +3 *1272:7 *1272:8 845.385 +4 *1272:8 *2820:la_data_out_core[85] 40.0896 +*END + +*D_NET *1273 0.186412 +*CONN +*I *2820:la_data_out_core[86] I *D mgmt_protect +*I *2821:la_data_out[86] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[86] 0.00241303 +2 *2821:la_data_out[86] 0.00128706 +3 *1273:16 0.00241303 +4 *1273:14 0.00040737 +5 *1273:13 0.000541011 +6 *1273:8 0.00552212 +7 *1273:7 0.00667553 +8 *2820:la_data_out_core[86] *1657:5 0 +9 *1273:8 *1275:8 0.0766548 +10 *1273:8 *1286:8 0.00420581 +11 *1273:8 *1657:8 0.0766506 +12 *1273:13 *1278:16 7.14746e-05 +13 *1273:13 *1655:13 0 +14 *1273:14 *1280:8 0.000107496 +15 *1273:14 *1646:8 0.0048076 +16 *1273:14 *1648:8 1.67988e-05 +17 *1273:14 *1648:14 0.000122378 +18 *1273:14 *1648:16 1.41689e-05 +19 *1273:14 *1655:8 0.00450186 +20 *1017:5 *2820:la_data_out_core[86] 0 +*RES +1 *2821:la_data_out[86] *1273:7 33.4455 +2 *1273:7 *1273:8 808.226 +3 *1273:8 *1273:13 12.0778 +4 *1273:13 *1273:14 51.1923 +5 *1273:14 *1273:16 4.5 +6 *1273:16 *2820:la_data_out_core[86] 50.5386 +*END + +*D_NET *1274 0.187364 +*CONN +*I *2820:la_data_out_core[87] I *D mgmt_protect +*I *2821:la_data_out[87] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[87] 0.00146943 +2 *2821:la_data_out[87] 0.0022124 +3 *1274:8 0.00805748 +4 *1274:7 0.00658805 +5 *1274:5 0.0022124 +6 *2820:la_data_out_core[87] *1622:17 0.000634833 +7 *2820:la_data_out_core[87] *1658:7 0 +8 *1274:8 *1279:8 0.0725801 +9 *1274:8 *1287:8 0.000144814 +10 *1274:8 *1549:10 0.00738786 +11 *1274:8 *1665:8 0.00529998 +12 *1274:8 *1665:12 1.41689e-05 +13 *1018:5 *2820:la_data_out_core[87] 0 +14 *1232:8 *1274:8 0.00211597 +15 *1272:8 *1274:8 0.0786469 +*RES +1 *2821:la_data_out[87] *1274:5 47.2166 +2 *1274:5 *1274:7 4.5 +3 *1274:7 *1274:8 872.006 +4 *1274:8 *2820:la_data_out_core[87] 39.6743 +*END + +*D_NET *1275 0.190826 +*CONN +*I *2820:la_data_out_core[88] I *D mgmt_protect +*I *2821:la_data_out[88] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[88] 0.000991604 +2 *2821:la_data_out[88] 0.00129803 +3 *1275:24 0.00263717 +4 *1275:14 0.00186827 +5 *1275:8 0.00593637 +6 *1275:7 0.00701169 +7 *2820:la_data_out_core[88] *1658:7 0 +8 *2820:la_data_out_core[88] *1659:5 0 +9 *1275:8 *1657:8 0.000376393 +10 *1275:8 *1659:8 0.0808689 +11 *1275:8 *1670:8 0.00402342 +12 *1275:14 *1657:8 0.00241624 +13 *1275:14 *1659:8 0.000105847 +14 *1275:24 *2820:la_data_out_core[89] 0.000173064 +15 *1275:24 *1615:8 0.000293712 +16 *1275:24 *1633:14 0.000678803 +17 *2821:la_data_in[47] *2820:la_data_out_core[88] 0 +18 *1019:7 *2820:la_data_out_core[88] 0 +19 *1020:5 *1275:24 8.24277e-06 +20 *1184:8 *1275:8 0.00322797 +21 *1230:7 *1275:24 9.57203e-05 +22 *1231:8 *1275:14 0.00215938 +23 *1273:8 *1275:8 0.0766548 +*RES +1 *2821:la_data_out[88] *1275:7 33.8608 +2 *1275:7 *1275:8 852.595 +3 *1275:8 *1275:14 30.7593 +4 *1275:14 *1275:24 48.1821 +5 *1275:24 *2820:la_data_out_core[88] 22.7167 +*END + +*D_NET *1276 0.229083 +*CONN +*I *2820:la_data_out_core[89] I *D mgmt_protect +*I *2821:la_data_out[89] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[89] 0.00116561 +2 *2821:la_data_out[89] 0.0026797 +3 *1276:8 0.0113382 +4 *1276:7 0.0101726 +5 *1276:5 0.0026797 +6 *2820:la_data_out_core[89] *1660:7 0 +7 *1276:8 *1645:8 0.00394508 +8 *1276:8 *1647:8 0.000100556 +9 *1276:8 *1658:8 0.000121359 +10 *1276:8 *1660:8 0.0972003 +11 *1276:8 *1663:8 0.0954559 +12 *1020:5 *2820:la_data_out_core[89] 0 +13 *1260:8 *1276:8 0.00382644 +14 *1262:8 *1276:8 0.000116582 +15 *1264:8 *1276:8 0.000107416 +16 *1275:24 *2820:la_data_out_core[89] 0.000173064 +*RES +1 *2821:la_data_out[89] *1276:5 55.7293 +2 *1276:5 *1276:7 3.36879 +3 *1276:7 *1276:8 123.964 +4 *1276:8 *2820:la_data_out_core[89] 30.0304 +*END + +*D_NET *1277 0.0417333 +*CONN +*I *2820:la_data_out_core[8] I *D mgmt_protect +*I *2821:la_data_out[8] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[8] 0.00124878 +2 *2821:la_data_out[8] 0.00188144 +3 *1277:8 0.0028153 +4 *1277:7 0.00156652 +5 *1277:5 0.00188144 +6 *2820:la_data_out_core[8] *1661:7 0 +7 *1277:5 *2820:mprj_dat_i_user[18] 0 +8 *1277:5 *1798:7 0.000123582 +9 *1277:5 *1929:5 0 +10 *1277:8 *1639:8 3.42853e-05 +11 *1021:7 *2820:la_data_out_core[8] 0 +12 *1021:8 *1277:8 0.000233938 +13 *1032:7 *2820:la_data_out_core[8] 0 +14 *1032:8 *1277:8 6.44576e-05 +15 *1255:8 *1277:8 0.0155722 +16 *1266:8 *1277:8 0.0163114 +*RES +1 *2821:la_data_out[8] *1277:5 47.2166 +2 *1277:5 *1277:7 4.5 +3 *1277:7 *1277:8 180.97 +4 *1277:8 *2820:la_data_out_core[8] 39.6743 +*END + +*D_NET *1278 0.197768 +*CONN +*I *2820:la_data_out_core[90] I *D mgmt_protect +*I *2821:la_data_out[90] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[90] 8.20467e-05 +2 *2821:la_data_out[90] 0.00135305 +3 *1278:17 0.00183861 +4 *1278:16 0.00201432 +5 *1278:8 0.00622648 +6 *1278:7 0.00732176 +7 *1278:8 *1280:8 0.000472987 +8 *1278:8 *1548:8 0.00489105 +9 *1278:8 *1648:16 0.0610805 +10 *1278:8 *1662:12 0.0848177 +11 *1278:16 *1280:11 7.14746e-05 +12 *1278:16 *1646:8 0.00152206 +13 *1278:17 *2821:la_oenb[47] 0 +14 *1278:17 *1662:5 0.0026311 +15 *1022:7 *1278:17 0 +16 *1181:11 *1278:7 7.09666e-06 +17 *1186:8 *1278:8 0.0226935 +18 *1261:8 *1278:16 0.000672541 +19 *1273:13 *1278:16 7.14746e-05 +*RES +1 *2821:la_data_out[90] *1278:7 35.1065 +2 *1278:7 *1278:8 894.19 +3 *1278:8 *1278:16 31.9995 +4 *1278:16 *1278:17 49.7936 +5 *1278:17 *2820:la_data_out_core[90] 2.33274 +*END + +*D_NET *1279 0.193438 +*CONN +*I *2820:la_data_out_core[91] I *D mgmt_protect +*I *2821:la_data_out[91] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[91] 0.000927522 +2 *2821:la_data_out[91] 0.00219068 +3 *1279:14 0.00241343 +4 *1279:13 0.00219797 +5 *1279:8 0.00746688 +6 *1279:7 0.00675482 +7 *1279:5 0.00219068 +8 *2820:la_data_out_core[91] *1663:7 0 +9 *1279:8 *1549:10 0.000236267 +10 *1279:8 *1553:8 0.00739059 +11 *1279:8 *1665:12 0.0807667 +12 *1279:14 *1546:13 0.00011818 +13 *1279:14 *1615:8 0.000554429 +14 *1279:14 *1633:14 0.00711591 +15 *2821:la_data_in[48] *2820:la_data_out_core[91] 0.000182352 +16 *1022:8 *1279:14 0.000351996 +17 *1023:5 *2820:la_data_out_core[91] 0 +18 *1274:8 *1279:8 0.0725801 +*RES +1 *2821:la_data_out[91] *1279:5 47.6319 +2 *1279:5 *1279:7 4.5 +3 *1279:7 *1279:8 849.822 +4 *1279:8 *1279:13 21.2133 +5 *1279:13 *1279:14 76.1495 +6 *1279:14 *2820:la_data_out_core[91] 27.2167 +*END + +*D_NET *1280 0.201839 +*CONN +*I *2820:la_data_out_core[92] I *D mgmt_protect +*I *2821:la_data_out[92] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[92] 6.22868e-05 +2 *2821:la_data_out[92] 0.00139349 +3 *1280:11 0.00221018 +4 *1280:10 0.0021479 +5 *1280:8 0.00633207 +6 *1280:7 0.00772556 +7 *1280:8 *1284:8 0.0860563 +8 *1280:8 *1550:8 0.00524033 +9 *1280:8 *1646:8 0.00122461 +10 *1280:8 *1648:16 0.0611249 +11 *1280:11 *2821:la_oenb[48] 0 +12 *1280:11 *1664:7 0.000916711 +13 *1024:7 *1280:11 0 +14 *1025:7 *1280:11 0 +15 *1186:8 *1280:8 0.0267528 +16 *1273:14 *1280:8 0.000107496 +17 *1278:8 *1280:8 0.000472987 +18 *1278:16 *1280:11 7.14746e-05 +*RES +1 *2821:la_data_out[92] *1280:7 35.937 +2 *1280:7 *1280:8 937.449 +3 *1280:8 *1280:10 4.5 +4 *1280:10 *1280:11 51.0394 +5 *1280:11 *2820:la_data_out_core[92] 1.77093 +*END + +*D_NET *1281 0.231317 +*CONN +*I *2820:la_data_out_core[93] I *D mgmt_protect +*I *2821:la_data_out[93] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[93] 0.00111476 +2 *2821:la_data_out[93] 0.00255334 +3 *1281:8 0.0212302 +4 *1281:7 0.0201155 +5 *1281:5 0.00255334 +6 *2820:la_data_out_core[93] *1665:7 0 +7 *1281:8 *1285:8 0 +8 *1281:8 *1287:8 0 +9 *1281:8 *1549:10 0 +10 *1281:8 *1553:8 0 +11 *1281:8 *1558:8 0.000101794 +12 *1281:8 *1560:8 0.000101794 +13 *1281:8 *1564:8 0 +14 *1281:8 *1566:8 0.00038987 +15 *1281:8 *1571:8 0.00055835 +16 *1281:8 *1575:8 0.000485419 +17 *1281:8 *1647:8 0.00459968 +18 *1281:8 *1649:8 0.00484422 +19 *1281:8 *1663:8 0.0994518 +20 *1281:8 *2738:8 0.0725097 +21 *918:8 *1281:8 0 +22 *1025:7 *2820:la_data_out_core[93] 0.000636851 +23 *1191:8 *1281:8 7.06288e-05 +*RES +1 *2821:la_data_out[93] *1281:5 54.0683 +2 *1281:5 *1281:7 3.36879 +3 *1281:7 *1281:8 131.384 +4 *1281:8 *2820:la_data_out_core[93] 31.6914 +*END + +*D_NET *1282 0.237092 +*CONN +*I *2820:la_data_out_core[94] I *D mgmt_protect +*I *2821:la_data_out[94] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[94] 0.00221896 +2 *2821:la_data_out[94] 0.00175435 +3 *1282:8 0.0212558 +4 *1282:7 0.0207912 +5 *2820:la_data_out_core[94] *1666:7 0 +6 *1282:8 *1548:8 0 +7 *1282:8 *1550:8 0 +8 *1282:8 *1559:8 0 +9 *1282:8 *1568:8 0.000202434 +10 *1282:8 *1570:8 0.000307989 +11 *1282:8 *1572:8 0.000727177 +12 *1282:8 *1623:10 0.000214358 +13 *1282:8 *1627:14 0.000331419 +14 *1282:8 *1630:14 0.000359698 +15 *1282:8 *1653:8 0.0046311 +16 *1282:8 *1664:8 0.000121359 +17 *1282:8 *1666:8 0.104427 +18 *1282:8 *1670:8 0 +19 *1282:8 *1822:10 1.85963e-05 +20 *1282:8 *2736:8 0.0752925 +21 *2821:la_data_in[49] *2820:la_data_out_core[94] 0 +22 *1026:7 *2820:la_data_out_core[94] 0 +23 *1232:5 *2820:la_data_out_core[94] 0 +24 *1270:8 *1282:8 0.00443864 +*RES +1 *2821:la_data_out[94] *1282:7 40.827 +2 *1282:7 *1282:8 133.219 +3 *1282:8 *2820:la_data_out_core[94] 48.3015 +*END + +*D_NET *1283 0.180933 +*CONN +*I *2820:la_data_out_core[95] I *D mgmt_protect +*I *2821:la_data_out[95] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[95] 0.00181567 +2 *2821:la_data_out[95] 0.00200909 +3 *1283:8 0.0320336 +4 *1283:7 0.032227 +5 *2820:la_data_out_core[95] *1667:7 0 +6 *1283:8 *1285:8 0.10459 +7 *1283:8 *1620:14 0.000120517 +8 *1283:8 *1622:18 0.000483857 +9 *1283:8 *1625:14 0.00011282 +10 *1283:8 *1632:14 0.000107595 +11 *1283:8 *1632:20 0.000135066 +12 *1283:8 *1634:12 0.000107152 +13 *1283:8 *1634:16 0.000486012 +14 *1283:8 *1636:12 0.000107152 +15 *1283:8 *1636:16 0.000597173 +16 *1283:8 *1637:12 0.000728653 +17 *1283:8 *1652:8 0.00010238 +18 *1283:8 *1664:8 0 +19 *1283:8 *1666:8 0 +20 *1283:8 *2736:8 0 +21 *1027:5 *2820:la_data_out_core[95] 0 +22 *1241:8 *1283:8 0.000241979 +23 *1267:8 *1283:8 0.00492668 +*RES +1 *2821:la_data_out[95] *1283:7 48.3015 +2 *1283:7 *1283:8 135.055 +3 *1283:8 *2820:la_data_out_core[95] 40.827 +*END + +*D_NET *1284 0.209609 +*CONN +*I *2820:la_data_out_core[96] I *D mgmt_protect +*I *2821:la_data_out[96] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[96] 0.00234789 +2 *2821:la_data_out[96] 0.00139619 +3 *1284:10 0.00234789 +4 *1284:8 0.00677823 +5 *1284:7 0.00817442 +6 *2820:la_data_out_core[96] *2821:la_oenb[49] 0 +7 *2820:la_data_out_core[96] *1667:7 0 +8 *2820:la_data_out_core[96] *1668:5 0 +9 *1284:8 *1559:8 0.00517726 +10 *1284:8 *1646:8 0.0541879 +11 *2821:la_data_in[50] *2820:la_data_out_core[96] 0.000402827 +12 *906:8 *1284:8 0.000731073 +13 *1028:7 *2820:la_data_out_core[96] 0 +14 *1186:8 *1284:8 0.00364601 +15 *1188:8 *1284:8 0.0383633 +16 *1280:8 *1284:8 0.0860563 +*RES +1 *2821:la_data_out[96] *1284:7 36.3523 +2 *1284:7 *1284:8 992.355 +3 *1284:8 *1284:10 4.5 +4 *1284:10 *2820:la_data_out_core[96] 50.5386 +*END + +*D_NET *1285 0.252493 +*CONN +*I *2820:la_data_out_core[97] I *D mgmt_protect +*I *2821:la_data_out[97] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[97] 0.00174243 +2 *2821:la_data_out[97] 0.00201304 +3 *1285:8 0.012206 +4 *1285:7 0.0124766 +5 *2820:la_data_out_core[97] *1669:7 0 +6 *1285:8 *1287:8 0.107541 +7 *1285:8 *1652:8 0.00549838 +8 *1029:5 *2820:la_data_out_core[97] 0 +9 *1234:5 *2820:la_data_out_core[97] 0.000140333 +10 *1269:8 *1285:8 0.00628516 +11 *1281:8 *1285:8 0 +12 *1283:8 *1285:8 0.10459 +*RES +1 *2821:la_data_out[97] *1285:7 49.132 +2 *1285:7 *1285:8 138.727 +3 *1285:8 *2820:la_data_out_core[97] 39.9965 +*END + +*D_NET *1286 0.263132 +*CONN +*I *2820:la_data_out_core[98] I *D mgmt_protect +*I *2821:la_data_out[98] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[98] 8.20467e-05 +2 *2821:la_data_out[98] 0.00123299 +3 *1286:11 0.00206645 +4 *1286:10 0.00198441 +5 *1286:8 0.0139473 +6 *1286:7 0.0151803 +7 *1286:8 *1657:8 0.00369284 +8 *1286:8 *1668:8 0.10648 +9 *1286:8 *1670:8 0.110294 +10 *1286:11 *2821:la_oenb[50] 0 +11 *1286:11 *1670:5 0.00272043 +12 *1030:7 *1286:11 0 +13 *1031:5 *1286:11 0 +14 *1184:8 *1286:8 0.00124442 +15 *1273:8 *1286:8 0.00420581 +*RES +1 *2821:la_data_out[98] *1286:7 32.5219 +2 *1286:7 *1286:8 140.256 +3 *1286:8 *1286:10 3.36879 +4 *1286:10 *1286:11 53.3233 +5 *1286:11 *2820:la_data_out_core[98] 2.33274 +*END + +*D_NET *1287 0.25906 +*CONN +*I *2820:la_data_out_core[99] I *D mgmt_protect +*I *2821:la_data_out[99] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[99] 0.00158229 +2 *2821:la_data_out[99] 0.00201486 +3 *1287:8 0.0126821 +4 *1287:7 0.0131147 +5 *2820:la_data_out_core[99] *1671:7 0 +6 *1287:8 *1549:10 0.108563 +7 *1287:8 *1654:8 0.0061476 +8 *2821:la_data_in[51] *2820:la_data_out_core[99] 0.000420522 +9 *906:5 *2820:la_data_out_core[99] 0 +10 *1031:5 *2820:la_data_out_core[99] 0 +11 *1271:8 *1287:8 0.00669203 +12 *1272:8 *1287:8 0.000157517 +13 *1274:8 *1287:8 0.000144814 +14 *1281:8 *1287:8 0 +15 *1285:8 *1287:8 0.107541 +*RES +1 *2821:la_data_out[99] *1287:7 49.9625 +2 *1287:7 *1287:8 142.398 +3 *1287:8 *2820:la_data_out_core[99] 39.166 +*END + +*D_NET *1288 0.0397526 +*CONN +*I *2820:la_data_out_core[9] I *D mgmt_protect +*I *2821:la_data_out[9] O *D user_project_wrapper +*CAP +1 *2820:la_data_out_core[9] 0.0013028 +2 *2821:la_data_out[9] 0.00156203 +3 *1288:8 0.00257566 +4 *1288:7 0.00127286 +5 *1288:5 0.00156203 +6 *2820:la_data_out_core[9] *1672:7 0 +7 *1288:5 *2820:mprj_dat_i_user[20] 0.000224572 +8 *1288:5 *1933:5 0.000380194 +9 *1288:8 *1672:8 0.0152053 +10 *1288:8 *1805:8 4.3492e-05 +11 *1032:7 *2820:la_data_out_core[9] 0 +12 *1032:8 *1288:8 0.0156237 +*RES +1 *2821:la_data_out[9] *1288:5 45.5556 +2 *1288:5 *1288:7 4.5 +3 *1288:7 *1288:8 167.659 +4 *1288:8 *2820:la_data_out_core[9] 41.3353 +*END + +*D_NET *1289 0.149749 +*CONN +*I *2820:la_iena_mprj[0] I *D mgmt_protect +*I *2826:la_iena[0] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[0] 0.00115234 +2 *2826:la_iena[0] 7.21667e-05 +3 *1289:10 0.016887 +4 *1289:9 0.0157347 +5 *1289:7 0.00229215 +6 *1289:5 0.00236432 +7 *2820:la_iena_mprj[0] *2820:la_oenb_mprj[0] 0 +8 *2820:la_iena_mprj[0] *1480:15 7.09666e-06 +9 *1289:10 *1328:10 0.000254795 +10 *1289:10 *1456:10 0.0172702 +11 *1289:10 *1469:10 0.019755 +12 *1289:10 *1478:10 0.0739588 +13 *2820:la_data_out_mprj[0] *2820:la_iena_mprj[0] 0 +14 *2826:la_input[0] *1289:7 0 +15 *66:39 *1289:7 0 +*RES +1 *2826:la_iena[0] *1289:5 2.05183 +2 *1289:5 *1289:7 63.0817 +3 *1289:7 *1289:9 4.5 +4 *1289:9 *1289:10 845.385 +5 *1289:10 *2820:la_iena_mprj[0] 28.8777 +*END + +*D_NET *1290 0.0853411 +*CONN +*I *2820:la_iena_mprj[100] I *D mgmt_protect +*I *2826:la_iena[100] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[100] 6.22868e-05 +2 *2826:la_iena[100] 0.00163174 +3 *1290:13 0.00135865 +4 *1290:12 0.00541364 +5 *1290:9 0.00574902 +6 *1290:9 *1826:5 2.60141e-05 +7 *1290:12 *1414:12 0.0298908 +8 *1290:12 *1418:12 0.000481615 +9 *1290:12 *1915:32 0.00367441 +10 *1290:12 *1917:24 0.00055542 +11 *1290:12 *1919:22 0.00112571 +12 *1290:12 *2490:24 5.96957e-05 +13 *1290:13 *2820:la_oenb_mprj[100] 0.00320178 +14 *2820:la_data_out_mprj[100] *1290:13 0 +15 *2826:la_input[100] *1290:9 0 +16 *778:17 *1290:9 0 +17 *779:11 *1290:9 0 +18 *887:11 *1290:13 0.000542699 +19 *1152:10 *1290:12 0.000680605 +20 *1154:10 *1290:12 0.000850566 +21 *1157:12 *1290:12 0.0293925 +22 *1158:12 *1290:12 0.000643986 +23 *1159:9 *1290:9 0 +*RES +1 *2826:la_iena[100] *1290:9 37.9813 +2 *1290:9 *1290:12 47.2475 +3 *1290:12 *1290:13 54.9843 +4 *1290:13 *2820:la_iena_mprj[100] 1.77093 +*END + +*D_NET *1291 0.07426 +*CONN +*I *2820:la_iena_mprj[101] I *D mgmt_protect +*I *2826:la_iena[101] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[101] 0.000781066 +2 *2826:la_iena[101] 5.24068e-05 +3 *1291:10 0.00406303 +4 *1291:9 0.00328197 +5 *1291:7 0.00269474 +6 *1291:5 0.00274715 +7 *2820:la_iena_mprj[101] *2820:la_oenb_mprj[101] 0 +8 *1291:7 *2820:mprj_dat_o_core[13] 0.000337386 +9 *1291:10 *1294:16 5.31442e-05 +10 *1291:10 *1539:16 0.000102814 +11 *1291:10 *1850:8 0.00623392 +12 *2820:la_data_out_mprj[101] *2820:la_iena_mprj[101] 0 +13 *2826:la_input[101] *1291:7 0 +14 *780:8 *1291:10 0.00070333 +15 *780:11 *1291:7 0.00226077 +16 *782:8 *1291:10 0.0288209 +17 *901:8 *1291:10 8.66592e-05 +18 *1034:9 *1291:7 0 +19 *1035:16 *1291:10 0.0211593 +20 *1036:16 *1291:10 0.000881427 +*RES +1 *2826:la_iena[101] *1291:5 1.49002 +2 *1291:5 *1291:7 67.6495 +3 *1291:7 *1291:9 4.5 +4 *1291:9 *1291:10 331.267 +5 *1291:10 *2820:la_iena_mprj[101] 24.31 +*END + +*D_NET *1292 0.0763059 +*CONN +*I *2820:la_iena_mprj[102] I *D mgmt_protect +*I *2826:la_iena[102] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[102] 0.000912074 +2 *2826:la_iena[102] 7.21667e-05 +3 *1292:10 0.00432293 +4 *1292:9 0.00341085 +5 *1292:7 0.00313393 +6 *1292:5 0.0032061 +7 *2820:la_iena_mprj[102] *2820:la_oenb_mprj[102] 0 +8 *2820:la_iena_mprj[102] *1532:13 0 +9 *1292:7 *2820:mprj_adr_o_core[17] 0 +10 *1292:7 *2820:mprj_dat_o_core[16] 7.08723e-06 +11 *1292:7 *1294:15 0 +12 *1292:7 *1832:5 0.000540791 +13 *1292:10 *1294:16 0.0301357 +14 *1292:10 *1295:16 0.0295071 +15 *1292:10 *1422:16 0.00027732 +16 *1292:10 *1856:8 8.15039e-05 +17 *2820:la_data_out_mprj[102] *2820:la_iena_mprj[102] 0 +18 *2826:la_input[102] *1292:7 0 +19 *894:12 *1292:10 0 +20 *901:8 *1292:10 0.000698415 +21 *1035:9 *1292:7 0 +22 *1038:20 *1292:10 0 +*RES +1 *2826:la_iena[102] *1292:5 2.05183 +2 *1292:5 *1292:7 65.158 +3 *1292:7 *1292:9 4.5 +4 *1292:9 *1292:10 342.914 +5 *1292:10 *2820:la_iena_mprj[102] 26.8015 +*END + +*D_NET *1293 0.0747941 +*CONN +*I *2820:la_iena_mprj[103] I *D mgmt_protect +*I *2826:la_iena[103] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[103] 0.0017748 +2 *2826:la_iena[103] 0.00110189 +3 *1293:16 0.00550746 +4 *1293:15 0.00474322 +5 *1293:12 0.00211245 +6 *2820:la_iena_mprj[103] *2820:la_oenb_mprj[103] 0 +7 *2820:la_iena_mprj[103] *1537:13 0 +8 *1293:12 *1294:12 0.000904135 +9 *1293:12 *1302:10 0.000908333 +10 *1293:12 *1826:13 8.62625e-06 +11 *1293:15 *2820:mprj_dat_o_core[17] 0 +12 *1293:15 *1420:9 0.000406526 +13 *1293:15 *1834:5 0.000114465 +14 *1293:16 *1298:10 0.000366369 +15 *1293:16 *1299:14 0.0275586 +16 *1293:16 *1421:14 0 +17 *2820:la_data_out_mprj[103] *2820:la_iena_mprj[103] 0 +18 *2826:la_input[103] *1293:12 0 +19 *890:8 *1293:16 0 +20 *1034:10 *1293:16 0.00102606 +21 *1036:9 *1293:12 0 +22 *1036:9 *1293:15 0 +23 *1037:19 *1293:15 0 +24 *1043:14 *1293:16 0.0277688 +25 *1061:10 *1293:16 0.000492374 +*RES +1 *2826:la_iena[103] *1293:12 41.7901 +2 *1293:12 *1293:15 26.6794 +3 *1293:15 *1293:16 344.578 +4 *1293:16 *2820:la_iena_mprj[103] 48.8099 +*END + +*D_NET *1294 0.0805314 +*CONN +*I *2820:la_iena_mprj[104] I *D mgmt_protect +*I *2826:la_iena[104] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[104] 0.000873229 +2 *2826:la_iena[104] 0.00105649 +3 *1294:16 0.00413137 +4 *1294:15 0.00509441 +5 *1294:12 0.00219279 +6 *1294:9 0.00141301 +7 *2820:la_iena_mprj[104] *2820:la_oenb_mprj[104] 0 +8 *2820:la_iena_mprj[104] *1536:13 6.67654e-05 +9 *1294:12 *1302:10 0.000546962 +10 *1294:12 *1826:14 0.00190029 +11 *1294:12 *1830:10 1.41853e-05 +12 *1294:12 *1830:12 0.00331259 +13 *1294:15 *2820:mprj_adr_o_core[16] 0.000713748 +14 *1294:15 *1832:5 0.000549158 +15 *1294:16 *1850:8 0.000239984 +16 *1294:16 *1852:8 0.000287842 +17 *1294:16 *1854:8 0.000532777 +18 *1294:16 *1856:8 0.0040914 +19 *2820:la_data_out_mprj[104] *2820:la_iena_mprj[104] 0 +20 *780:16 *1294:12 0.000691706 +21 *782:13 *1294:15 0.000221481 +22 *901:8 *1294:16 0.0213481 +23 *1035:9 *1294:15 0.000160138 +24 *1037:9 *1294:9 0 +25 *1291:10 *1294:16 5.31442e-05 +26 *1292:7 *1294:15 0 +27 *1292:10 *1294:16 0.0301357 +28 *1293:12 *1294:12 0.000904135 +*RES +1 *2826:la_iena[104] *1294:9 28.1083 +2 *1294:9 *1294:12 47.3733 +3 *1294:12 *1294:15 48.6877 +4 *1294:15 *1294:16 323.503 +5 *1294:16 *2820:la_iena_mprj[104] 26.3862 +*END + +*D_NET *1295 0.0849071 +*CONN +*I *2820:la_iena_mprj[105] I *D mgmt_protect +*I *2826:la_iena[105] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[105] 0.000944812 +2 *2826:la_iena[105] 0.00235141 +3 *1295:16 0.00420534 +4 *1295:15 0.0041571 +5 *1295:12 0.00117091 +6 *1295:7 0.00262575 +7 *2820:la_iena_mprj[105] *2820:la_oenb_mprj[105] 0 +8 *1295:7 *2820:mprj_dat_o_core[25] 0 +9 *1295:7 *1301:15 0 +10 *1295:7 *1314:15 0 +11 *1295:12 *1303:10 0.00313394 +12 *1295:15 *1421:11 8.62625e-06 +13 *1295:15 *1770:27 0.000305127 +14 *1295:15 *1838:5 0.000169929 +15 *1295:16 *1422:16 0.0323082 +16 *1295:16 *1837:8 0.000204664 +17 *1295:16 *1856:8 0.000579863 +18 *2820:la_data_out_mprj[105] *2820:la_iena_mprj[105] 0 +19 *2826:la_input[105] *1295:7 0 +20 *1051:10 *1295:12 0.00312974 +21 *1062:10 *1295:12 0.000104638 +22 *1292:10 *1295:16 0.0295071 +*RES +1 *2826:la_iena[105] *1295:7 46.4228 +2 *1295:7 *1295:12 42.445 +3 *1295:12 *1295:15 23.3573 +4 *1295:15 *1295:16 346.796 +5 *1295:16 *2820:la_iena_mprj[105] 27.2167 +*END + +*D_NET *1296 0.086206 +*CONN +*I *2820:la_iena_mprj[106] I *D mgmt_protect +*I *2826:la_iena[106] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[106] 0.000987684 +2 *2826:la_iena[106] 0.00200253 +3 *1296:16 0.00419512 +4 *1296:15 0.00428232 +5 *1296:12 0.00163982 +6 *1296:9 0.00256746 +7 *2820:la_iena_mprj[106] *2820:la_oenb_mprj[106] 0 +8 *1296:9 *1778:13 5.98105e-05 +9 *1296:9 *1845:5 0 +10 *1296:12 *1425:12 0.000220183 +11 *1296:12 *1840:8 0.000514419 +12 *1296:15 *1309:15 0.000785461 +13 *1296:15 *1840:5 0 +14 *1296:15 *1904:19 0.000426605 +15 *1296:16 *1422:16 0.0327224 +16 *1296:16 *1423:10 0.000452771 +17 *1296:16 *1425:16 0.0321044 +18 *1296:16 *1837:8 6.85706e-05 +19 *2820:la_data_out_mprj[106] *2820:la_iena_mprj[106] 0 +20 *2826:la_input[106] *1296:9 0 +21 *1039:9 *1296:9 0 +22 *1042:10 *1296:12 0.00317639 +*RES +1 *2826:la_iena[106] *1296:9 45.1336 +2 *1296:9 *1296:12 38.4996 +3 *1296:12 *1296:15 30.0014 +4 *1296:15 *1296:16 355.67 +5 *1296:16 *2820:la_iena_mprj[106] 28.0472 +*END + +*D_NET *1297 0.0754941 +*CONN +*I *2820:la_iena_mprj[107] I *D mgmt_protect +*I *2826:la_iena[107] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[107] 0.000608673 +2 *2826:la_iena[107] 0.00203539 +3 *1297:20 0.00544953 +4 *1297:19 0.00622745 +5 *1297:14 0.00238427 +6 *1297:12 0.00101588 +7 *1297:10 0.000607668 +8 *1297:9 0.00262486 +9 *2820:la_iena_mprj[107] *2820:la_oenb_mprj[107] 0 +10 *1297:9 *2820:mprj_iena_wb 0.000280319 +11 *1297:9 *1788:15 0 +12 *1297:10 *1309:10 0.00189867 +13 *1297:19 *1851:5 0 +14 *1297:19 *1915:33 0.000572025 +15 *1297:20 *1312:10 0 +16 *1297:20 *1313:10 0 +17 *1297:20 *1316:10 0 +18 *1297:20 *1438:10 0 +19 *1297:20 *1446:10 0.000183606 +20 *1297:20 *1755:8 0.000193373 +21 *1297:20 *1757:24 0.00158869 +22 *2820:la_data_out_mprj[107] *2820:la_iena_mprj[107] 0 +23 *2826:la_input[107] *1297:9 0 +24 *2826:la_input[98] *1297:19 8.62625e-06 +25 *783:8 *1297:20 0.0209066 +26 *903:8 *1297:14 0.00181493 +27 *1034:10 *1297:14 1.41853e-05 +28 *1038:12 *1297:10 0.000948538 +29 *1038:12 *1297:12 1.09551e-05 +30 *1038:14 *1297:10 1.67988e-05 +31 *1038:14 *1297:14 0.00943526 +32 *1040:9 *1297:9 0 +33 *1041:10 *1297:10 0.00531933 +34 *1041:10 *1297:14 3.07726e-05 +35 *1042:16 *1297:14 0.0111851 +36 *1061:10 *1297:14 0.00013257 +*RES +1 *2826:la_iena[107] *1297:9 47.2099 +2 *1297:9 *1297:10 56.7384 +3 *1297:10 *1297:12 0.578717 +4 *1297:12 *1297:14 120.518 +5 *1297:14 *1297:19 39.4844 +6 *1297:19 *1297:20 224.229 +7 *1297:20 *2820:la_iena_mprj[107] 20.5727 +*END + +*D_NET *1298 0.0868127 +*CONN +*I *2820:la_iena_mprj[108] I *D mgmt_protect +*I *2826:la_iena[108] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[108] 0.00172779 +2 *2826:la_iena[108] 0.00219667 +3 *1298:10 0.0052755 +4 *1298:9 0.00574438 +5 *2820:la_iena_mprj[108] *2820:la_oenb_mprj[108] 0 +6 *2820:la_iena_mprj[108] *1538:15 0.000347102 +7 *2820:la_iena_mprj[108] *1542:15 0 +8 *1298:9 *1435:15 7.09666e-06 +9 *1298:10 *1299:10 7.68538e-06 +10 *1298:10 *1299:14 0.0339532 +11 *1298:10 *1309:10 0.000763299 +12 *1298:10 *1314:10 0.00531359 +13 *1298:10 *1318:10 0.00179995 +14 *2820:la_data_out_mprj[108] *2820:la_iena_mprj[108] 0 +15 *2826:la_input[108] *1298:9 0 +16 *787:5 *2820:la_iena_mprj[108] 0 +17 *1034:10 *1298:10 0.0250186 +18 *1038:12 *1298:10 2.39581e-05 +19 *1038:14 *1298:10 0.00292992 +20 *1041:9 *1298:9 0 +21 *1061:10 *1298:10 0.00133754 +22 *1293:16 *1298:10 0.000366369 +*RES +1 *2826:la_iena[108] *1298:9 48.8709 +2 *1298:9 *1298:10 412.794 +3 *1298:10 *2820:la_iena_mprj[108] 49.6404 +*END + +*D_NET *1299 0.0928257 +*CONN +*I *2820:la_iena_mprj[109] I *D mgmt_protect +*I *2826:la_iena[109] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[109] 0.00186114 +2 *2826:la_iena[109] 0.00204883 +3 *1299:14 0.0049433 +4 *1299:12 0.0031186 +5 *1299:10 0.000508716 +6 *1299:9 0.000472273 +7 *1299:7 0.00204883 +8 *2820:la_iena_mprj[109] *2820:la_oenb_mprj[109] 0 +9 *1299:7 *1753:16 0.000104724 +10 *1299:7 *2497:8 0.000476259 +11 *1299:10 *1318:10 0.00593153 +12 *1299:10 *1758:16 0.000401678 +13 *2820:la_data_out_mprj[109] *2820:la_iena_mprj[109] 0 +14 *2826:la_input[109] *1299:7 0 +15 *787:5 *2820:la_iena_mprj[109] 0 +16 *891:11 *2820:la_iena_mprj[109] 0 +17 *1043:10 *1299:10 0.00597172 +18 *1043:10 *1299:14 0.000414735 +19 *1043:14 *1299:14 0.00206661 +20 *1061:10 *1299:14 0.000937227 +21 *1293:16 *1299:14 0.0275586 +22 *1298:10 *1299:10 7.68538e-06 +23 *1298:10 *1299:14 0.0339532 +*RES +1 *2826:la_iena[109] *1299:7 45.6167 +2 *1299:7 *1299:9 4.5 +3 *1299:9 *1299:10 63.3936 +4 *1299:10 *1299:12 0.988641 +5 *1299:12 *1299:14 361.216 +6 *1299:14 *2820:la_iena_mprj[109] 49.2251 +*END + +*D_NET *1300 0.183807 +*CONN +*I *2820:la_iena_mprj[10] I *D mgmt_protect +*I *2826:la_iena[10] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[10] 0.000802076 +2 *2826:la_iena[10] 7.21667e-05 +3 *1300:10 0.00670856 +4 *1300:9 0.00590649 +5 *1300:7 0.002811 +6 *1300:5 0.00288316 +7 *2820:la_iena_mprj[10] *2820:la_oenb_mprj[10] 0 +8 *1300:10 *1336:10 0.00397766 +9 *1300:10 *1416:10 0.0784758 +10 *1300:10 *1428:10 0.0782278 +11 *1300:10 *1448:10 0 +12 *1300:10 *1462:10 0.00346137 +13 *1300:10 *1544:10 0.000480973 +14 *2820:la_data_out_mprj[10] *2820:la_iena_mprj[10] 0 +15 *2826:la_input[10] *1300:7 0 +16 *1160:9 *1300:7 0 +*RES +1 *2826:la_iena[10] *1300:5 2.05183 +2 *1300:5 *1300:7 68.2723 +3 *1300:7 *1300:9 3.36879 +4 *1300:9 *1300:10 100.558 +5 *1300:10 *2820:la_iena_mprj[10] 22.5559 +*END + +*D_NET *1301 0.0896721 +*CONN +*I *2820:la_iena_mprj[110] I *D mgmt_protect +*I *2826:la_iena[110] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[110] 0.00180368 +2 *2826:la_iena[110] 0.00202541 +3 *1301:16 0.00448139 +4 *1301:15 0.00287167 +5 *1301:10 0.00177501 +6 *1301:9 0.00360647 +7 *2820:la_iena_mprj[110] *2820:la_oenb_mprj[110] 0 +8 *2820:la_iena_mprj[110] *1543:15 0 +9 *1301:10 *1309:10 0.000793053 +10 *1301:10 *1427:10 0.000350533 +11 *1301:10 *1889:10 0.000279755 +12 *1301:10 *1893:24 0 +13 *1301:15 *2820:mprj_dat_o_core[25] 9.21302e-05 +14 *1301:16 *1304:14 0.0289983 +15 *2820:la_data_out_mprj[110] *2820:la_iena_mprj[110] 0 +16 *2826:la_input[110] *1301:9 0 +17 *790:5 *2820:la_iena_mprj[110] 0 +18 *1039:12 *1301:10 0.00147963 +19 *1041:10 *1301:10 0.0053007 +20 *1042:10 *1301:10 0.00255818 +21 *1043:14 *1301:16 0.0314621 +22 *1045:10 *1301:16 0.000159331 +23 *1046:14 *1301:16 0.000815012 +24 *1061:10 *1301:16 0.000181844 +25 *1063:10 *1301:16 0.000637929 +26 *1295:7 *1301:15 0 +*RES +1 *2826:la_iena[110] *1301:9 45.2558 +2 *1301:9 *1301:10 102.77 +3 *1301:10 *1301:15 13.3235 +4 *1301:15 *1301:16 334.595 +5 *1301:16 *2820:la_iena_mprj[110] 47.9793 +*END + +*D_NET *1302 0.0818718 +*CONN +*I *2820:la_iena_mprj[111] I *D mgmt_protect +*I *2826:la_iena[111] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[111] 0.0029425 +2 *2826:la_iena[111] 0.000997141 +3 *1302:12 0.0029425 +4 *1302:10 0.00686146 +5 *1302:9 0.0078586 +6 *2820:la_iena_mprj[111] *2820:la_oenb_mprj[111] 0 +7 *2820:la_iena_mprj[111] *1404:9 0.00017968 +8 *1302:10 *1424:20 0.000759873 +9 *1302:10 *1433:10 0 +10 *1302:10 *1532:10 0 +11 *1302:10 *1536:10 0 +12 *1302:10 *1826:14 0.015326 +13 *2820:la_data_out_mprj[111] *2820:la_iena_mprj[111] 0 +14 *2826:la_input[111] *1302:9 0 +15 *779:16 *1302:10 0.00030473 +16 *780:16 *1302:10 0.000441509 +17 *790:5 *2820:la_iena_mprj[111] 0 +18 *791:5 *2820:la_iena_mprj[111] 0 +19 *791:8 *1302:10 0.0418026 +20 *1040:14 *1302:10 0 +21 *1045:7 *1302:9 0 +22 *1147:9 *2820:la_iena_mprj[111] 0 +23 *1293:12 *1302:10 0.000908333 +24 *1294:12 *1302:10 0.000546962 +*RES +1 *2826:la_iena[111] *1302:9 27.2778 +2 *1302:9 *1302:10 447.734 +3 *1302:10 *1302:12 4.5 +4 *1302:12 *2820:la_iena_mprj[111] 66.7335 +*END + +*D_NET *1303 0.101594 +*CONN +*I *2820:la_iena_mprj[112] I *D mgmt_protect +*I *2826:la_iena[112] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[112] 0.00162959 +2 *2826:la_iena[112] 0.00236479 +3 *1303:10 0.00521213 +4 *1303:9 0.00358253 +5 *1303:7 0.00236479 +6 *2820:la_iena_mprj[112] *2820:la_oenb_mprj[112] 0 +7 *1303:7 *1307:15 1.99996e-05 +8 *1303:10 *1421:14 0.0269149 +9 *1303:10 *1442:10 0.00180696 +10 *1303:10 *1913:16 0.00996546 +11 *2820:la_data_out_mprj[112] *2820:la_iena_mprj[112] 0 +12 *2826:la_input[112] *1303:7 0 +13 *792:5 *2820:la_iena_mprj[112] 0 +14 *892:11 *2820:la_iena_mprj[112] 0.00030246 +15 *1045:10 *1303:10 0.041092 +16 *1046:7 *1303:7 0 +17 *1050:10 *1303:10 0.000183223 +18 *1051:10 *1303:10 0.00144253 +19 *1062:10 *1303:10 0.00157847 +20 *1295:12 *1303:10 0.00313394 +*RES +1 *2826:la_iena[112] *1303:7 47.6929 +2 *1303:7 *1303:9 4.5 +3 *1303:9 *1303:10 459.935 +4 *1303:10 *2820:la_iena_mprj[112] 46.3183 +*END + +*D_NET *1304 0.105309 +*CONN +*I *2820:la_iena_mprj[113] I *D mgmt_protect +*I *2826:la_iena[113] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[113] 0.00183003 +2 *2826:la_iena[113] 0.00226174 +3 *1304:14 0.00498004 +4 *1304:12 0.00317088 +5 *1304:10 0.000434517 +6 *1304:9 0.000413653 +7 *1304:7 0.00226174 +8 *2820:la_iena_mprj[113] *2820:la_oenb_mprj[113] 0 +9 *1304:10 *1307:10 0.00180471 +10 *1304:10 *1440:10 0.00575555 +11 *1304:10 *1758:16 0.000393197 +12 *1304:14 *1440:10 0.00023152 +13 *2820:la_data_out_mprj[113] *2820:la_iena_mprj[113] 0 +14 *792:5 *2820:la_iena_mprj[113] 0 +15 *793:7 *2820:la_iena_mprj[113] 0 +16 *1043:10 *1304:14 0.00906415 +17 *1043:14 *1304:14 1.41853e-05 +18 *1046:12 *1304:10 0.00328528 +19 *1046:12 *1304:12 9.95922e-06 +20 *1046:14 *1304:10 1.67988e-05 +21 *1046:14 *1304:14 0.0386282 +22 *1047:7 *1304:7 0 +23 *1050:10 *1304:10 0.000272272 +24 *1062:10 *1304:14 0.000114589 +25 *1063:10 *1304:14 0.00136767 +26 *1301:16 *1304:14 0.0289983 +*RES +1 *2826:la_iena[113] *1304:7 46.0319 +2 *1304:7 *1304:9 4.5 +3 *1304:9 *1304:10 60.6206 +4 *1304:10 *1304:12 0.578717 +5 *1304:12 *1304:14 410.575 +6 *1304:14 *2820:la_iena_mprj[113] 47.5641 +*END + +*D_NET *1305 0.104484 +*CONN +*I *2820:la_iena_mprj[114] I *D mgmt_protect +*I *2826:la_iena[114] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[114] 0.00165772 +2 *2826:la_iena[114] 8.98429e-05 +3 *1305:14 0.00559116 +4 *1305:12 0.00403795 +5 *1305:7 0.00252563 +6 *1305:5 0.00251097 +7 *2820:la_iena_mprj[114] *2820:la_oenb_mprj[114] 0 +8 *1305:12 *1765:16 0.000954996 +9 *1305:14 *1307:16 0.0401448 +10 *1305:14 *1310:10 0 +11 *1305:14 *1424:26 0.000710874 +12 *1305:14 *1767:24 0.000252161 +13 *1305:14 *1773:16 0.00151736 +14 *2820:la_data_out_mprj[114] *2820:la_iena_mprj[114] 0 +15 *2826:la_input[114] *1305:7 0 +16 *894:15 *2820:la_iena_mprj[114] 0 +17 *1040:20 *1305:14 0.004179 +18 *1048:7 *1305:7 0 +19 *1056:10 *1305:14 0.000294636 +20 *1058:10 *1305:14 0.000378828 +21 *1059:10 *1305:12 1.67988e-05 +22 *1059:10 *1305:14 0.03739 +23 *1060:10 *1305:12 0.0010081 +24 *1060:10 *1305:14 0.0012231 +*RES +1 *2826:la_iena[114] *1305:5 2.05183 +2 *1305:5 *1305:7 48.1326 +3 *1305:7 *1305:12 15.785 +4 *1305:12 *1305:14 472.691 +5 *1305:14 *2820:la_iena_mprj[114] 43.4116 +*END + +*D_NET *1306 0.11104 +*CONN +*I *2820:la_iena_mprj[115] I *D mgmt_protect +*I *2826:la_iena[115] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[115] 0.00146882 +2 *2826:la_iena[115] 6.52431e-05 +3 *1306:10 0.00533515 +4 *1306:9 0.00386633 +5 *1306:7 0.00275645 +6 *1306:5 0.00282169 +7 *2820:la_iena_mprj[115] *2820:la_oenb_mprj[115] 0 +8 *1306:10 *1308:10 1.15389e-05 +9 *1306:10 *1445:10 0.00333193 +10 *1306:10 *1760:24 0.00229466 +11 *2820:la_data_out_mprj[115] *2820:la_iena_mprj[115] 0 +12 *2826:la_input[115] *1306:7 0 +13 *795:5 *2820:la_iena_mprj[115] 0 +14 *807:14 *1306:10 0.02369 +15 *1037:20 *1306:10 0.0227911 +16 *1047:10 *1306:10 0.0421336 +17 *1048:10 *1306:10 0.000473003 +18 *1049:7 *1306:7 0 +*RES +1 *2826:la_iena[115] *1306:5 1.49002 +2 *1306:5 *1306:7 53.5309 +3 *1306:7 *1306:9 4.5 +4 *1306:9 *1306:10 494.875 +5 *1306:10 *2820:la_iena_mprj[115] 38.4285 +*END + +*D_NET *1307 0.109755 +*CONN +*I *2820:la_iena_mprj[116] I *D mgmt_protect +*I *2826:la_iena[116] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[116] 0.00169282 +2 *2826:la_iena[116] 0.00225338 +3 *1307:16 0.00496872 +4 *1307:15 0.00350847 +5 *1307:10 0.000914571 +6 *1307:9 0.000681997 +7 *1307:7 0.00225338 +8 *2820:la_iena_mprj[116] *2820:la_oenb_mprj[116] 0 +9 *1307:10 *1440:10 0.00259596 +10 *1307:10 *1442:10 0.000185642 +11 *1307:16 *1424:26 0.00556399 +12 *1307:16 *1767:24 0.000129663 +13 *1307:16 *1772:24 0.000999247 +14 *2820:la_data_out_mprj[116] *2820:la_iena_mprj[116] 0 +15 *2826:la_input[116] *1307:7 0 +16 *895:11 *2820:la_iena_mprj[116] 0 +17 *1049:14 *1307:16 0.000313024 +18 *1050:7 *1307:7 0 +19 *1050:10 *1307:10 0.00717881 +20 *1060:10 *1307:16 0.0338686 +21 *1063:10 *1307:10 0.000677329 +22 *1303:7 *1307:15 1.99996e-05 +23 *1304:10 *1307:10 0.00180471 +24 *1305:14 *1307:16 0.0401448 +*RES +1 *2826:la_iena[116] *1307:7 46.4472 +2 *1307:7 *1307:9 4.5 +3 *1307:9 *1307:10 80.0317 +4 *1307:10 *1307:15 12.9083 +5 *1307:15 *1307:16 426.659 +6 *1307:16 *2820:la_iena_mprj[116] 43.8268 +*END + +*D_NET *1308 0.116648 +*CONN +*I *2820:la_iena_mprj[117] I *D mgmt_protect +*I *2826:la_iena[117] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[117] 0.0015185 +2 *2826:la_iena[117] 8.98429e-05 +3 *1308:10 0.0054457 +4 *1308:9 0.0039272 +5 *1308:7 0.00266392 +6 *1308:5 0.00275377 +7 *2820:la_iena_mprj[117] *2820:la_oenb_mprj[117] 0 +8 *1308:10 *1762:24 0.00282748 +9 *2820:la_data_out_mprj[117] *2820:la_iena_mprj[117] 0 +10 *2826:la_input[117] *1308:7 0 +11 *797:5 *2820:la_iena_mprj[117] 0 +12 *807:14 *1308:10 0.0274944 +13 *1037:20 *1308:10 0.0211857 +14 *1051:7 *1308:7 0 +15 *1052:10 *1308:10 0.0487296 +16 *1306:10 *1308:10 1.15389e-05 +*RES +1 *2826:la_iena[117] *1308:5 2.05183 +2 *1308:5 *1308:7 52.7004 +3 *1308:7 *1308:9 4.5 +4 *1308:9 *1308:10 517.614 +5 *1308:10 *2820:la_iena_mprj[117] 39.2591 +*END + +*D_NET *1309 0.10005 +*CONN +*I *2820:la_iena_mprj[118] I *D mgmt_protect +*I *2826:la_iena[118] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[118] 0.00128087 +2 *2826:la_iena[118] 0.00206472 +3 *1309:16 0.00355209 +4 *1309:15 0.00302186 +5 *1309:10 0.00582081 +6 *1309:9 0.00713489 +7 *2820:la_iena_mprj[118] *2820:la_oenb_mprj[118] 0 +8 *1309:10 *1314:10 0.0245682 +9 *1309:15 *1840:5 0 +10 *1309:16 *1314:16 0.0203231 +11 *1309:16 *1435:16 5.24855e-05 +12 *1309:16 *1437:16 0.000710874 +13 *1309:16 *1440:16 0.0217225 +14 *2820:la_data_out_mprj[118] *2820:la_iena_mprj[118] 0 +15 *2826:la_input[118] *1309:9 0 +16 *798:5 *2820:la_iena_mprj[118] 0 +17 *896:8 *1309:16 0.000689445 +18 *1038:12 *1309:10 0.000842323 +19 *1039:20 *1309:16 0.00111295 +20 *1041:10 *1309:10 0.000375299 +21 *1052:7 *1309:9 0 +22 *1061:10 *1309:10 0.00253755 +23 *1296:15 *1309:15 0.000785461 +24 *1297:10 *1309:10 0.00189867 +25 *1298:10 *1309:10 0.000763299 +26 *1301:10 *1309:10 0.000793053 +*RES +1 *2826:la_iena[118] *1309:9 48.0404 +2 *1309:9 *1309:10 278.025 +3 *1309:10 *1309:15 25.7811 +4 *1309:15 *1309:16 251.959 +5 *1309:16 *2820:la_iena_mprj[118] 33.8608 +*END + +*D_NET *1310 0.12103 +*CONN +*I *2820:la_iena_mprj[119] I *D mgmt_protect +*I *2826:la_iena[119] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[119] 0.00157332 +2 *2826:la_iena[119] 4.06432e-05 +3 *1310:10 0.0057216 +4 *1310:9 0.00414828 +5 *1310:7 0.00253975 +6 *1310:5 0.00258039 +7 *2820:la_iena_mprj[119] *2820:la_oenb_mprj[119] 0 +8 *1310:10 *1761:24 0.000108607 +9 *1310:10 *1764:24 0.00298502 +10 *2820:la_data_out_mprj[119] *2820:la_iena_mprj[119] 0 +11 *2826:la_input[119] *1310:7 0 +12 *800:5 *2820:la_iena_mprj[119] 0 +13 *896:11 *2820:la_iena_mprj[119] 9.71019e-05 +14 *1040:20 *1310:10 6.91078e-06 +15 *1054:10 *1310:10 0.0511282 +16 *1056:10 *1310:10 0.000373913 +17 *1057:14 *1310:10 0.0497265 +18 *1305:14 *1310:10 0 +*RES +1 *2826:la_iena[119] *1310:5 0.928211 +2 *1310:5 *1310:7 51.0394 +3 *1310:7 *1310:9 4.5 +4 *1310:9 *1310:10 542.571 +5 *1310:10 *2820:la_iena_mprj[119] 40.9201 +*END + +*D_NET *1311 0.18048 +*CONN +*I *2820:la_iena_mprj[11] I *D mgmt_protect +*I *2826:la_iena[11] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[11] 0.00255891 +2 *2826:la_iena[11] 0.00152981 +3 *1311:12 0.00255891 +4 *1311:10 0.00874057 +5 *1311:9 0.0102704 +6 *2820:la_iena_mprj[11] *2820:la_oenb_mprj[11] 0 +7 *2820:la_iena_mprj[11] *1439:19 0.000183335 +8 *1311:10 *1321:10 0.0732866 +9 *1311:10 *1327:10 0.000169237 +10 *1311:10 *1329:10 0.000180782 +11 *1311:10 *1331:10 0.000196208 +12 *1311:10 *1333:10 0.00279589 +13 *1311:10 *1335:10 0.00247629 +14 *1311:10 *1451:10 0 +15 *1311:10 *1459:10 0.000217229 +16 *1311:10 *1461:10 0.000236284 +17 *1311:10 *1533:10 0.0750797 +18 *2820:la_data_out_mprj[11] *2820:la_iena_mprj[11] 0 +19 *2826:la_input[46] *2820:la_iena_mprj[11] 0 +20 *799:23 *1311:9 0 +21 *1044:7 *1311:9 0 +*RES +1 *2826:la_iena[11] *1311:9 40.4728 +2 *1311:9 *1311:10 98.9517 +3 *1311:10 *1311:12 3.36879 +4 *1311:12 *2820:la_iena_mprj[11] 52.4073 +*END + +*D_NET *1312 0.120242 +*CONN +*I *2820:la_iena_mprj[120] I *D mgmt_protect +*I *2826:la_iena[120] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[120] 0.000531865 +2 *2826:la_iena[120] 8.98429e-05 +3 *1312:10 0.00507244 +4 *1312:9 0.00454058 +5 *1312:7 0.00383038 +6 *1312:5 0.00392023 +7 *2820:la_iena_mprj[120] *2820:la_oenb_mprj[120] 0 +8 *1312:10 *1313:10 0.0511909 +9 *1312:10 *1438:10 0.0510654 +10 *2820:la_data_out_mprj[120] *2820:la_iena_mprj[120] 0 +11 *2826:la_input[120] *1312:7 0 +12 *806:13 *1312:7 0 +13 *1054:7 *1312:7 0 +14 *1297:20 *1312:10 0 +*RES +1 *2826:la_iena[120] *1312:5 2.05183 +2 *1312:5 *1312:7 75.124 +3 *1312:7 *1312:9 4.5 +4 *1312:9 *1312:10 553.109 +5 *1312:10 *2820:la_iena_mprj[120] 16.8354 +*END + +*D_NET *1313 0.12042 +*CONN +*I *2820:la_iena_mprj[121] I *D mgmt_protect +*I *2826:la_iena[121] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[121] 0.000553301 +2 *2826:la_iena[121] 8.98429e-05 +3 *1313:10 0.00558229 +4 *1313:9 0.00502899 +5 *1313:7 0.00378278 +6 *1313:5 0.00387263 +7 *2820:la_iena_mprj[121] *2820:la_oenb_mprj[121] 0 +8 *1313:10 *1316:10 0.000358824 +9 *1313:10 *1317:10 0.0499599 +10 *2820:la_data_out_mprj[121] *2820:la_iena_mprj[121] 0 +11 *2826:la_input[121] *1313:7 0 +12 *1056:7 *1313:7 0 +13 *1297:20 *1313:10 0 +14 *1312:10 *1313:10 0.0511909 +*RES +1 *2826:la_iena[121] *1313:5 2.05183 +2 *1313:5 *1313:7 74.7088 +3 *1313:7 *1313:9 4.5 +4 *1313:9 *1313:10 564.755 +5 *1313:10 *2820:la_iena_mprj[121] 17.2507 +*END + +*D_NET *1314 0.110631 +*CONN +*I *2820:la_iena_mprj[122] I *D mgmt_protect +*I *2826:la_iena[122] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[122] 0.00133647 +2 *2826:la_iena[122] 0.00204517 +3 *1314:16 0.00461647 +4 *1314:15 0.00421571 +5 *1314:10 0.00502163 +6 *1314:9 0.0061311 +7 *2820:la_iena_mprj[122] *2820:la_oenb_mprj[122] 0 +8 *1314:10 *1318:10 0.00175433 +9 *1314:10 *1435:10 0.0165394 +10 *1314:10 *1437:10 0.00162851 +11 *1314:15 *2820:mprj_adr_o_core[27] 0 +12 *1314:15 *1423:7 0 +13 *1314:16 *1318:16 0.000412656 +14 *1314:16 *1440:16 0.000760652 +15 *1314:16 *1765:22 0.00074739 +16 *1314:16 *1771:30 0.00280463 +17 *2820:la_data_out_mprj[122] *2820:la_iena_mprj[122] 0 +18 *803:5 *2820:la_iena_mprj[122] 0 +19 *1039:20 *1314:16 0.00946479 +20 *1057:7 *1314:9 0 +21 *1061:10 *1314:10 0.00294699 +22 *1295:7 *1314:15 0 +23 *1298:10 *1314:10 0.00531359 +24 *1309:10 *1314:10 0.0245682 +25 *1309:16 *1314:16 0.0203231 +*RES +1 *2826:la_iena[122] *1314:9 48.4557 +2 *1314:9 *1314:10 340.141 +3 *1314:10 *1314:15 24.9506 +4 *1314:15 *1314:16 236.43 +5 *1314:16 *2820:la_iena_mprj[122] 34.276 +*END + +*D_NET *1315 0.12902 +*CONN +*I *2820:la_iena_mprj[123] I *D mgmt_protect +*I *2826:la_iena[123] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[123] 0.0017164 +2 *2826:la_iena[123] 8.98429e-05 +3 *1315:10 0.00605895 +4 *1315:9 0.00434255 +5 *1315:7 0.00239535 +6 *1315:5 0.00248519 +7 *2820:la_iena_mprj[123] *1443:13 0 +8 *1315:10 *1767:24 0.00309912 +9 *1315:10 *1787:24 0.00110328 +10 *2820:la_data_out_mprj[123] *2820:la_iena_mprj[123] 0 +11 *2826:la_input[123] *1315:7 0 +12 *1056:10 *1315:10 0.0512328 +13 *1057:12 *1315:10 0.000755455 +14 *1057:14 *1315:10 0.000489607 +15 *1058:7 *1315:7 0 +16 *1058:10 *1315:10 0.0550414 +17 *1059:10 *1315:10 0.00020979 +*RES +1 *2826:la_iena[123] *1315:5 2.05183 +2 *1315:5 *1315:7 49.7936 +3 *1315:7 *1315:9 4.5 +4 *1315:9 *1315:10 588.049 +5 *1315:10 *2820:la_iena_mprj[123] 42.1658 +*END + +*D_NET *1316 0.131774 +*CONN +*I *2820:la_iena_mprj[124] I *D mgmt_protect +*I *2826:la_iena[124] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[124] 0.000589333 +2 *2826:la_iena[124] 8.98429e-05 +3 *1316:10 0.00532251 +4 *1316:9 0.00473318 +5 *1316:7 0.00365955 +6 *1316:5 0.00374939 +7 *2820:la_iena_mprj[124] *2820:la_oenb_mprj[124] 0 +8 *1316:7 *1829:13 0 +9 *1316:10 *1317:10 0.0557464 +10 *1316:10 *1319:10 0.0541474 +11 *1316:10 *1446:10 0.000269776 +12 *1316:10 *2489:24 0.00310762 +13 *2820:la_data_out_mprj[124] *2820:la_iena_mprj[124] 0 +14 *2826:la_input[124] *1316:7 0 +15 *1059:7 *1316:7 0 +16 *1297:20 *1316:10 0 +17 *1313:10 *1316:10 0.000358824 +*RES +1 *2826:la_iena[124] *1316:5 2.05183 +2 *1316:5 *1316:7 73.8783 +3 *1316:7 *1316:9 4.5 +4 *1316:9 *1316:10 599.695 +5 *1316:10 *2820:la_iena_mprj[124] 18.0812 +*END + +*D_NET *1317 0.126615 +*CONN +*I *2820:la_iena_mprj[125] I *D mgmt_protect +*I *2826:la_iena[125] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[125] 0.000574737 +2 *2826:la_iena[125] 8.98429e-05 +3 *1317:10 0.0062678 +4 *1317:9 0.00569306 +5 *1317:7 0.00365372 +6 *1317:5 0.00374356 +7 *2820:la_iena_mprj[125] *2820:la_oenb_mprj[125] 0 +8 *1317:7 *1831:13 0 +9 *1317:10 *1319:10 0.000885943 +10 *2820:la_data_out_mprj[125] *2820:la_iena_mprj[125] 0 +11 *2826:la_input[125] *1317:7 0 +12 *1060:7 *1317:7 0 +13 *1313:10 *1317:10 0.0499599 +14 *1316:10 *1317:10 0.0557464 +*RES +1 *2826:la_iena[125] *1317:5 2.05183 +2 *1317:5 *1317:7 74.2935 +3 *1317:7 *1317:9 4.5 +4 *1317:9 *1317:10 611.342 +5 *1317:10 *2820:la_iena_mprj[125] 17.6659 +*END + +*D_NET *1318 0.107531 +*CONN +*I *2820:la_iena_mprj[126] I *D mgmt_protect +*I *2826:la_iena[126] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[126] 0.00147099 +2 *2826:la_iena[126] 0.00206167 +3 *1318:16 0.00513926 +4 *1318:15 0.0042798 +5 *1318:10 0.00674565 +6 *1318:9 0.0081958 +7 *2820:la_iena_mprj[126] *2820:la_oenb_mprj[126] 0 +8 *1318:10 *1435:10 0.000333162 +9 *1318:10 *1437:10 0.0194304 +10 *1318:10 *1440:10 0.0217247 +11 *1318:10 *1442:10 0.000259519 +12 *1318:10 *1444:10 0 +13 *1318:10 *1913:16 0 +14 *1318:15 *1425:15 0.000561844 +15 *1318:15 *1778:13 0 +16 *1318:16 *1758:22 0.00269251 +17 *1318:16 *1765:22 0.000807467 +18 *1318:16 *1769:30 0.00529352 +19 *2820:la_data_out_mprj[126] *2820:la_iena_mprj[126] 0 +20 *806:17 *1318:9 0 +21 *807:7 *2820:la_iena_mprj[126] 0 +22 *807:8 *1318:16 0.0135609 +23 *1039:20 *1318:16 0.000669662 +24 *1042:22 *1318:16 0.000568389 +25 *1043:10 *1318:10 0.000183223 +26 *1048:10 *1318:16 0.000113033 +27 *1061:9 *1318:9 0 +28 *1061:10 *1318:10 0.00354074 +29 *1298:10 *1318:10 0.00179995 +30 *1299:10 *1318:10 0.00593153 +31 *1314:10 *1318:10 0.00175433 +32 *1314:16 *1318:16 0.000412656 +*RES +1 *2826:la_iena[126] *1318:9 49.7014 +2 *1318:9 *1318:10 407.802 +3 *1318:10 *1318:15 21.6286 +4 *1318:15 *1318:16 215.91 +5 *1318:16 *2820:la_iena_mprj[126] 36.3523 +*END + +*D_NET *1319 0.137072 +*CONN +*I *2820:la_iena_mprj[127] I *D mgmt_protect +*I *2826:la_iena[127] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[127] 0.00061761 +2 *2826:la_iena[127] 8.98429e-05 +3 *1319:10 0.00580133 +4 *1319:9 0.00518372 +5 *1319:7 0.00355912 +6 *1319:5 0.00364896 +7 *2820:la_iena_mprj[127] *2820:la_oenb_mprj[127] 0 +8 *1319:7 *2487:15 0 +9 *1319:10 *1446:10 0.0590246 +10 *1319:10 *1755:8 0.000427125 +11 *1319:10 *2489:24 0.00368628 +12 *2820:la_data_out_mprj[127] *2820:la_iena_mprj[127] 0 +13 *807:17 *1319:7 0 +14 *1062:7 *1319:7 0 +15 *1316:10 *1319:10 0.0541474 +16 *1317:10 *1319:10 0.000885943 +*RES +1 *2826:la_iena[127] *1319:5 2.05183 +2 *1319:5 *1319:7 73.463 +3 *1319:7 *1319:9 4.5 +4 *1319:9 *1319:10 634.635 +5 *1319:10 *2820:la_iena_mprj[127] 18.4964 +*END + +*D_NET *1320 0.122387 +*CONN +*I *2820:la_iena_mprj[12] I *D mgmt_protect +*I *2826:la_iena[12] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[12] 0.000650216 +2 *2826:la_iena[12] 7.21667e-05 +3 *1320:10 0.0177093 +4 *1320:9 0.0170591 +5 *1320:7 0.00297354 +6 *1320:5 0.00304571 +7 *2820:la_iena_mprj[12] *2820:la_oenb_mprj[12] 0 +8 *1320:10 *1428:10 0.000358219 +9 *1320:10 *1544:10 0.0743318 +10 *2820:la_data_out_mprj[12] *2820:la_iena_mprj[12] 0 +11 *2826:la_input[12] *1320:7 0 +12 *1055:7 *1320:7 0 +13 *1082:10 *1320:10 0.00309934 +14 *1084:10 *1320:10 0.00308766 +*RES +1 *2826:la_iena[12] *1320:5 2.05183 +2 *1320:5 *1320:7 70.7639 +3 *1320:7 *1320:9 3.36879 +4 *1320:9 *1320:10 97.3454 +5 *1320:10 *2820:la_iena_mprj[12] 20.0644 +*END + +*D_NET *1321 0.177344 +*CONN +*I *2820:la_iena_mprj[13] I *D mgmt_protect +*I *2826:la_iena[13] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[13] 6.22868e-05 +2 *2826:la_iena[13] 0.00158167 +3 *1321:13 0.0021564 +4 *1321:12 0.00209411 +5 *1321:10 0.00788486 +6 *1321:9 0.00946653 +7 *1321:10 *1337:10 0.00236044 +8 *1321:10 *1449:10 0.0738919 +9 *1321:10 *1451:10 0 +10 *1321:10 *1463:10 0.00266988 +11 *1321:13 *1358:7 0 +12 *1321:13 *1449:13 0 +13 *2820:la_data_out_mprj[13] *1321:13 0.00188888 +14 *809:11 *1321:9 0 +15 *1064:7 *1321:9 0 +16 *1311:10 *1321:10 0.0732866 +*RES +1 *2826:la_iena[13] *1321:9 41.3033 +2 *1321:9 *1321:10 95.5096 +3 *1321:10 *1321:12 3.36879 +4 *1321:12 *1321:13 51.6623 +5 *1321:13 *2820:la_iena_mprj[13] 1.77093 +*END + +*D_NET *1322 0.170686 +*CONN +*I *2820:la_iena_mprj[14] I *D mgmt_protect +*I *2826:la_iena[14] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[14] 0.00118108 +2 *2826:la_iena[14] 7.21667e-05 +3 *1322:10 0.0067711 +4 *1322:9 0.00559003 +5 *1322:7 0.00253508 +6 *1322:5 0.00260725 +7 *2820:la_iena_mprj[14] *2820:la_oenb_mprj[14] 0 +8 *1322:10 *1343:10 0.00281212 +9 *1322:10 *1344:10 0.00280677 +10 *1322:10 *1358:12 0.000577836 +11 *1322:10 *1448:10 0.0725345 +12 *1322:10 *1450:10 0.0730147 +13 *2820:la_data_out_mprj[14] *2820:la_iena_mprj[14] 0 +14 *810:23 *1322:7 0 +15 *1065:7 *1322:7 0 +16 *1065:10 *1322:10 0 +17 *1086:10 *1322:10 0.000183126 +*RES +1 *2826:la_iena[14] *1322:5 2.05183 +2 *1322:5 *1322:7 60.7978 +3 *1322:7 *1322:9 3.36879 +4 *1322:9 *1322:10 94.0563 +5 *1322:10 *2820:la_iena_mprj[14] 30.0304 +*END + +*D_NET *1323 0.119154 +*CONN +*I *2820:la_iena_mprj[15] I *D mgmt_protect +*I *2826:la_iena[15] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[15] 0.00241028 +2 *2826:la_iena[15] 0.00166888 +3 *1323:12 0.00241028 +4 *1323:10 0.0133259 +5 *1323:9 0.0149947 +6 *2820:la_iena_mprj[15] *2820:la_oenb_mprj[15] 0 +7 *1323:10 *1333:10 0.000117432 +8 *1323:10 *1335:10 0.000123135 +9 *1323:10 *1337:10 0.000142759 +10 *1323:10 *1340:10 0.00106837 +11 *1323:10 *1449:10 0.0708449 +12 *1323:10 *1463:10 0.000131535 +13 *2820:la_data_out_mprj[15] *2820:la_iena_mprj[15] 0 +14 *2826:la_input[15] *1323:9 0 +15 *777:8 *1323:10 0.000441684 +16 *808:8 *1323:10 0.000847564 +17 *811:7 *2820:la_iena_mprj[15] 0 +18 *813:8 *1323:10 0 +19 *817:8 *1323:10 0 +20 *840:14 *1323:10 0.000111178 +21 *849:8 *1323:10 0.000442349 +22 *882:8 *1323:10 0.00974052 +23 *1033:10 *1323:10 0 +24 *1081:16 *1323:10 0.000219999 +25 *1097:16 *1323:10 0.000112976 +*RES +1 *2826:la_iena[15] *1323:9 42.9643 +2 *1323:9 *1323:10 92.5265 +3 *1323:10 *1323:12 3.36879 +4 *1323:12 *2820:la_iena_mprj[15] 49.9158 +*END + +*D_NET *1324 0.161718 +*CONN +*I *2820:la_iena_mprj[16] I *D mgmt_protect +*I *2826:la_iena[16] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[16] 0.00126682 +2 *2826:la_iena[16] 7.21667e-05 +3 *1324:10 0.00756593 +4 *1324:9 0.00629911 +5 *1324:7 0.0025307 +6 *1324:5 0.00260287 +7 *2820:la_iena_mprj[16] *2820:la_oenb_mprj[16] 0 +8 *1324:10 *1326:10 0.0671947 +9 *1324:10 *1358:12 0.000279683 +10 *1324:10 *1450:10 0.0699088 +11 *1324:10 *1475:10 0.00159101 +12 *2820:la_data_out_mprj[16] *2820:la_iena_mprj[16] 0 +13 *812:11 *1324:7 0 +14 *813:7 *2820:la_iena_mprj[16] 0 +15 *1066:10 *1324:10 0 +16 *1067:7 *1324:7 0 +17 *1068:10 *1324:10 0 +18 *1088:10 *1324:10 0.00240658 +*RES +1 *2826:la_iena[16] *1324:5 2.05183 +2 *1324:5 *1324:7 59.1368 +3 *1324:7 *1324:9 3.36879 +4 *1324:9 *1324:10 90.8437 +5 *1324:10 *2820:la_iena_mprj[16] 31.6914 +*END + +*D_NET *1325 0.165157 +*CONN +*I *2820:la_iena_mprj[17] I *D mgmt_protect +*I *2826:la_iena[17] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[17] 0.00210065 +2 *2826:la_iena[17] 0.00112953 +3 *1325:12 0.00210065 +4 *1325:10 0.00717368 +5 *1325:9 0.00830321 +6 *2820:la_iena_mprj[17] *1453:13 0.0031863 +7 *1325:10 *1345:10 0.00175632 +8 *1325:10 *1451:10 0.0684995 +9 *1325:10 *1453:10 0.0689453 +10 *1325:10 *1470:10 0.00196222 +11 *2820:la_data_out_mprj[17] *2820:la_iena_mprj[17] 0 +12 *2826:la_input[17] *1325:9 0 +13 *1068:7 *1325:9 0 +14 *1103:7 *2820:la_iena_mprj[17] 0 +*RES +1 *2826:la_iena[17] *1325:9 32.9983 +2 *1325:9 *1325:10 89.3139 +3 *1325:10 *1325:12 3.36879 +4 *1325:12 *2820:la_iena_mprj[17] 59.8818 +*END + +*D_NET *1326 0.158532 +*CONN +*I *2820:la_iena_mprj[18] I *D mgmt_protect +*I *2826:la_iena[18] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[18] 0.00132134 +2 *2826:la_iena[18] 3.26469e-05 +3 *1326:10 0.00744474 +4 *1326:9 0.0061234 +5 *1326:7 0.00255477 +6 *1326:5 0.00258742 +7 *2820:la_iena_mprj[18] *2820:la_oenb_mprj[18] 0 +8 *1326:10 *1348:10 0.00144923 +9 *1326:10 *1358:12 0.000199328 +10 *1326:10 *1454:10 0.0677963 +11 *2820:la_data_out_mprj[18] *2820:la_iena_mprj[18] 0 +12 *1068:10 *1326:10 0.0001462 +13 *1069:7 *1326:7 0 +14 *1090:10 *1326:10 0.00168247 +15 *1324:10 *1326:10 0.0671947 +*RES +1 *2826:la_iena[18] *1326:5 0.928211 +2 *1326:5 *1326:7 58.3063 +3 *1326:7 *1326:9 3.36879 +4 *1326:9 *1326:10 87.7841 +5 *1326:10 *2820:la_iena_mprj[18] 32.5219 +*END + +*D_NET *1327 0.121682 +*CONN +*I *2820:la_iena_mprj[19] I *D mgmt_protect +*I *2826:la_iena[19] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[19] 0.00252264 +2 *2826:la_iena[19] 0.00147808 +3 *1327:12 0.00252264 +4 *1327:10 0.00524776 +5 *1327:9 0.00672584 +6 *2820:la_iena_mprj[19] *2820:la_oenb_mprj[19] 0 +7 *2820:la_iena_mprj[19] *1468:15 0.000218121 +8 *2820:la_iena_mprj[19] *1488:7 0 +9 *1327:10 *1329:10 0.0571119 +10 *1327:10 *1357:10 0.00716518 +11 *1327:10 *1383:10 0.00297589 +12 *1327:10 *1405:10 0.000110257 +13 *1327:10 *1483:10 1.26314e-05 +14 *1327:10 *1484:10 0.000175597 +15 *2820:la_data_out_mprj[19] *2820:la_iena_mprj[19] 0 +16 *815:11 *1327:9 0 +17 *882:14 *1327:10 0.000870853 +18 *1070:9 *1327:9 0 +19 *1149:10 *1327:10 0.0132239 +20 *1149:14 *1327:10 0.0130465 +21 *1160:10 *1327:10 9.82896e-06 +22 *1160:14 *1327:10 0.00809534 +23 *1311:10 *1327:10 0.000169237 +*RES +1 *2826:la_iena[19] *1327:9 39.7354 +2 *1327:9 *1327:10 624.098 +3 *1327:10 *1327:12 4.5 +4 *1327:12 *2820:la_iena_mprj[19] 54.2759 +*END + +*D_NET *1328 0.214527 +*CONN +*I *2820:la_iena_mprj[1] I *D mgmt_protect +*I *2826:la_iena[1] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[1] 0.00114092 +2 *2826:la_iena[1] 7.21667e-05 +3 *1328:10 0.00717414 +4 *1328:9 0.00603323 +5 *1328:7 0.00233539 +6 *1328:5 0.00240756 +7 *2820:la_iena_mprj[1] *2820:la_oenb_mprj[1] 0 +8 *1328:10 *1350:10 0.0867555 +9 *1328:10 *1456:10 0.0899357 +10 *1328:10 *1478:10 0.0098298 +11 *2826:la_input[1] *1328:7 0 +12 *1033:7 *1328:7 0 +13 *1072:13 *2820:la_iena_mprj[1] 0 +14 *1116:10 *1328:10 0.00858812 +15 *1289:10 *1328:10 0.000254795 +*RES +1 *2826:la_iena[1] *1328:5 2.05183 +2 *1328:5 *1328:7 63.2893 +3 *1328:7 *1328:9 3.36879 +4 *1328:9 *1328:10 115.091 +5 *1328:10 *2820:la_iena_mprj[1] 27.5389 +*END + +*D_NET *1329 0.133344 +*CONN +*I *2820:la_iena_mprj[20] I *D mgmt_protect +*I *2826:la_iena[20] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[20] 0.00248225 +2 *2826:la_iena[20] 0.00151146 +3 *1329:12 0.00248225 +4 *1329:10 0.00478724 +5 *1329:9 0.0062987 +6 *2820:la_iena_mprj[20] *2820:la_oenb_mprj[20] 0 +7 *1329:10 *1331:10 0.0540252 +8 *1329:10 *1357:10 0.00033203 +9 *1329:10 *1405:10 0.00310303 +10 *2820:la_data_out_mprj[20] *2820:la_iena_mprj[20] 0 +11 *2826:la_input[20] *1329:9 0 +12 *788:18 *1329:10 0 +13 *850:11 *2820:la_iena_mprj[20] 0.000173831 +14 *882:14 *1329:10 0.00085524 +15 *1071:7 *1329:9 0 +16 *1311:10 *1329:10 0.000180782 +17 *1327:10 *1329:10 0.0571119 +*RES +1 *2826:la_iena[20] *1329:9 40.1506 +2 *1329:9 *1329:10 611.897 +3 *1329:10 *1329:12 4.5 +4 *1329:12 *2820:la_iena_mprj[20] 53.8607 +*END + +*D_NET *1330 0.131036 +*CONN +*I *2820:la_iena_mprj[21] I *D mgmt_protect +*I *2826:la_iena[21] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[21] 0.00089382 +2 *2826:la_iena[21] 7.21667e-05 +3 *1330:10 0.00532828 +4 *1330:9 0.00443446 +5 *1330:7 0.00306563 +6 *1330:5 0.00313779 +7 *2820:la_iena_mprj[21] *2820:la_oenb_mprj[21] 0 +8 *1330:10 *1332:10 0.0530002 +9 *1330:10 *1372:10 0.00501731 +10 *1330:10 *1452:10 0.0530696 +11 *1330:10 *1458:10 0.00132061 +12 *1330:10 *1482:22 0.000127366 +13 *818:11 *1330:7 0 +14 *819:7 *2820:la_iena_mprj[21] 0 +15 *1073:9 *1330:7 0 +16 *1074:13 *2820:la_iena_mprj[21] 0 +17 *1103:12 *1330:10 0.000113968 +18 *1103:14 *1330:10 0.00145531 +*RES +1 *2826:la_iena[21] *1330:5 2.05183 +2 *1330:5 *1330:7 65.9885 +3 *1330:7 *1330:9 4.5 +4 *1330:9 *1330:10 600.25 +5 *1330:10 *2820:la_iena_mprj[21] 25.971 +*END + +*D_NET *1331 0.130578 +*CONN +*I *2820:la_iena_mprj[22] I *D mgmt_protect +*I *2826:la_iena[22] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[22] 6.22868e-05 +2 *2826:la_iena[22] 0.00156433 +3 *1331:13 0.0018811 +4 *1331:12 0.00181881 +5 *1331:10 0.00438998 +6 *1331:9 0.00595431 +7 *1331:10 *1357:10 0.000144515 +8 *1331:10 *1405:10 0.00275866 +9 *1331:10 *1459:10 0.0545304 +10 *1331:10 *1490:10 0.000373718 +11 *1331:13 *2820:la_oenb_mprj[22] 0 +12 *2820:la_data_out_mprj[22] *1331:13 0.00287826 +13 *788:18 *1331:10 0 +14 *819:11 *1331:9 0 +15 *1074:9 *1331:9 0 +16 *1311:10 *1331:10 0.000196208 +17 *1329:10 *1331:10 0.0540252 +*RES +1 *2826:la_iena[22] *1331:9 40.5659 +2 *1331:9 *1331:10 586.939 +3 *1331:10 *1331:12 4.5 +4 *1331:12 *1331:13 53.5309 +5 *1331:13 *2820:la_iena_mprj[22] 1.77093 +*END + +*D_NET *1332 0.128437 +*CONN +*I *2820:la_iena_mprj[23] I *D mgmt_protect +*I *2826:la_iena[23] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[23] 0.000858542 +2 *2826:la_iena[23] 7.21667e-05 +3 *1332:10 0.00496789 +4 *1332:9 0.00410935 +5 *1332:7 0.00313925 +6 *1332:5 0.00321142 +7 *2820:la_iena_mprj[23] *2820:la_oenb_mprj[23] 0 +8 *2820:la_iena_mprj[23] *1491:7 8.11463e-06 +9 *1332:10 *1372:10 0.00461269 +10 *1332:10 *1458:10 0.0532169 +11 *1332:10 *1460:10 0.000601789 +12 *2820:la_data_out_mprj[23] *2820:la_iena_mprj[23] 0 +13 *820:11 *1332:7 0 +14 *821:7 *2820:la_iena_mprj[23] 0 +15 *1075:9 *1332:7 0 +16 *1103:14 *1332:10 7.83176e-05 +17 *1106:10 *1332:10 0.000560858 +18 *1330:10 *1332:10 0.0530002 +*RES +1 *2826:la_iena[23] *1332:5 2.05183 +2 *1332:5 *1332:7 66.4037 +3 *1332:7 *1332:9 4.5 +4 *1332:9 *1332:10 576.957 +5 *1332:10 *2820:la_iena_mprj[23] 25.5557 +*END + +*D_NET *1333 0.122917 +*CONN +*I *2820:la_iena_mprj[24] I *D mgmt_protect +*I *2826:la_iena[24] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[24] 0.00244611 +2 *2826:la_iena[24] 0.0016515 +3 *1333:12 0.00244611 +4 *1333:10 0.00457817 +5 *1333:9 0.00622966 +6 *2820:la_iena_mprj[24] *2820:la_oenb_mprj[24] 0 +7 *1333:10 *1335:10 0.0495512 +8 *1333:10 *1459:10 0.000443728 +9 *1333:10 *1461:10 0.0524039 +10 *788:18 *1333:10 0.00025368 +11 *821:11 *1333:9 0 +12 *1077:13 *2820:la_iena_mprj[24] 0 +13 *1311:10 *1333:10 0.00279589 +14 *1323:10 *1333:10 0.000117432 +*RES +1 *2826:la_iena[24] *1333:9 41.8116 +2 *1333:9 *1333:10 565.31 +3 *1333:10 *1333:12 4.5 +4 *1333:12 *2820:la_iena_mprj[24] 52.1996 +*END + +*D_NET *1334 0.120794 +*CONN +*I *2820:la_iena_mprj[25] I *D mgmt_protect +*I *2826:la_iena[25] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[25] 0.000804 +2 *2826:la_iena[25] 7.21667e-05 +3 *1334:10 0.0052802 +4 *1334:9 0.0044762 +5 *1334:7 0.00326727 +6 *1334:5 0.00333943 +7 *2820:la_iena_mprj[25] *2820:la_oenb_mprj[25] 0 +8 *1334:10 *1336:10 0.000451273 +9 *1334:10 *1364:14 8.78631e-05 +10 *1334:10 *1416:10 0.003765 +11 *1334:10 *1460:10 0.050937 +12 *1334:10 *1462:10 0.000756785 +13 *1334:10 *1464:10 0.0475059 +14 *2820:la_data_out_mprj[25] *2820:la_iena_mprj[25] 0 +15 *822:11 *1334:7 0 +16 *1077:9 *1334:7 0 +17 *1106:10 *1334:10 5.09219e-05 +*RES +1 *2826:la_iena[25] *1334:5 2.05183 +2 *1334:5 *1334:7 67.6495 +3 *1334:7 *1334:9 4.5 +4 *1334:9 *1334:10 553.663 +5 *1334:10 *2820:la_iena_mprj[25] 24.31 +*END + +*D_NET *1335 0.12027 +*CONN +*I *2820:la_iena_mprj[26] I *D mgmt_protect +*I *2826:la_iena[26] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[26] 0.00242596 +2 *2826:la_iena[26] 0.00170068 +3 *1335:12 0.00242596 +4 *1335:10 0.00404449 +5 *1335:9 0.00574516 +6 *2820:la_iena_mprj[26] *2820:la_oenb_mprj[26] 0 +7 *1335:10 *1363:10 1.75155e-06 +8 *1335:10 *1461:10 9.16621e-05 +9 *1335:10 *1463:10 0.0501498 +10 *1335:10 *1474:16 0.00135408 +11 *2820:la_data_out_mprj[26] *2820:la_iena_mprj[26] 0 +12 *788:18 *1335:10 0.000179601 +13 *823:11 *1335:9 0 +14 *1078:9 *1335:9 0 +15 *1311:10 *1335:10 0.00247629 +16 *1323:10 *1335:10 0.000123135 +17 *1333:10 *1335:10 0.0495512 +*RES +1 *2826:la_iena[26] *1335:9 42.2269 +2 *1335:9 *1335:10 542.016 +3 *1335:10 *1335:12 4.5 +4 *1335:12 *2820:la_iena_mprj[26] 51.7844 +*END + +*D_NET *1336 0.119001 +*CONN +*I *2820:la_iena_mprj[27] I *D mgmt_protect +*I *2826:la_iena[27] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[27] 0.000750861 +2 *2826:la_iena[27] 5.24068e-05 +3 *1336:10 0.00467083 +4 *1336:9 0.00391996 +5 *1336:7 0.00335974 +6 *1336:5 0.00341215 +7 *2820:la_iena_mprj[27] *2820:la_oenb_mprj[27] 0 +8 *1336:10 *1462:10 0.0487187 +9 *1336:10 *1464:10 0.0491178 +10 *1336:10 *1466:10 5.2472e-05 +11 *2820:la_data_out_mprj[27] *2820:la_iena_mprj[27] 0 +12 *824:7 *2820:la_iena_mprj[27] 0 +13 *824:11 *1336:7 0 +14 *1079:9 *1336:7 0 +15 *1080:10 *1336:10 0.000517688 +16 *1300:10 *1336:10 0.00397766 +17 *1334:10 *1336:10 0.000451273 +*RES +1 *2826:la_iena[27] *1336:5 1.49002 +2 *1336:5 *1336:7 68.48 +3 *1336:7 *1336:9 4.5 +4 *1336:9 *1336:10 530.924 +5 *1336:10 *2820:la_iena_mprj[27] 23.4795 +*END + +*D_NET *1337 0.114762 +*CONN +*I *2820:la_iena_mprj[28] I *D mgmt_protect +*I *2826:la_iena[28] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[28] 0.0023595 +2 *2826:la_iena[28] 0.00175914 +3 *1337:12 0.0023595 +4 *1337:10 0.00392006 +5 *1337:9 0.0056792 +6 *2820:la_iena_mprj[28] *2820:la_oenb_mprj[28] 0 +7 *1337:10 *1463:10 0.0474607 +8 *1337:10 *1465:10 0.0479322 +9 *1337:10 *1474:16 0.000369111 +10 *2820:la_data_out_mprj[28] *2820:la_iena_mprj[28] 0 +11 *788:18 *1337:10 0.00041958 +12 *825:11 *1337:9 0 +13 *1080:7 *1337:9 0 +14 *1321:10 *1337:10 0.00236044 +15 *1323:10 *1337:10 0.000142759 +*RES +1 *2826:la_iena[28] *1337:9 43.0574 +2 *1337:9 *1337:10 518.169 +3 *1337:10 *1337:12 4.5 +4 *1337:12 *2820:la_iena_mprj[28] 50.9539 +*END + +*D_NET *1338 0.111825 +*CONN +*I *2820:la_iena_mprj[29] I *D mgmt_protect +*I *2826:la_iena[29] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[29] 0.000671967 +2 *2826:la_iena[29] 7.21667e-05 +3 *1338:10 0.00484545 +4 *1338:9 0.00417349 +5 *1338:7 0.0035083 +6 *1338:5 0.00358047 +7 *2820:la_iena_mprj[29] *2820:la_oenb_mprj[29] 0 +8 *1338:10 *1341:10 0.0439235 +9 *1338:10 *1466:10 0.0469419 +10 *1338:10 *1544:10 0.00301677 +11 *2820:la_data_out_mprj[29] *2820:la_iena_mprj[29] 0 +12 *826:11 *1338:7 0 +13 *1080:10 *1338:10 0.000378828 +14 *1081:9 *1338:7 0 +15 *1082:10 *1338:10 0.000502461 +16 *1084:10 *1338:10 0.00020979 +*RES +1 *2826:la_iena[29] *1338:5 2.05183 +2 *1338:5 *1338:7 70.141 +3 *1338:7 *1338:9 4.5 +4 *1338:9 *1338:10 507.076 +5 *1338:10 *2820:la_iena_mprj[29] 21.8185 +*END + +*D_NET *1339 0.147088 +*CONN +*I *2820:la_iena_mprj[2] I *D mgmt_protect +*I *2826:la_iena[2] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[2] 0.00314003 +2 *2826:la_iena[2] 0.000739353 +3 *1339:16 0.00341823 +4 *1339:10 0.0100787 +5 *1339:9 0.0105398 +6 *2820:la_iena_mprj[2] *2820:la_oenb_mprj[2] 0 +7 *2820:la_iena_mprj[2] *1481:9 2.43314e-05 +8 *1339:9 *2649:16 0.00178122 +9 *1339:10 *1361:10 0.0714712 +10 *1339:10 *1417:10 0.00375675 +11 *1339:10 *1468:10 0.0206432 +12 *1339:16 *1351:10 6.24655e-05 +13 *1339:16 *1353:10 0.00100812 +14 *1339:16 *1417:10 0.000108607 +15 *1339:16 *1467:10 0.000118134 +16 *1339:16 *1468:10 0.000660126 +17 *1339:16 *1481:10 6.08467e-05 +18 *2820:la_data_out_mprj[2] *2820:la_iena_mprj[2] 0 +19 *777:14 *1339:10 0.000392907 +20 *808:18 *1339:10 0.0157025 +21 *1072:9 *1339:9 0 +22 *1083:10 *1339:10 0.00106723 +23 *1083:10 *1339:16 0.00231421 +*RES +1 *2826:la_iena[2] *1339:9 34.3127 +2 *1339:9 *1339:10 797.134 +3 *1339:10 *1339:16 31.8685 +4 *1339:16 *2820:la_iena_mprj[2] 57.5979 +*END + +*D_NET *1340 0.0767325 +*CONN +*I *2820:la_iena_mprj[30] I *D mgmt_protect +*I *2826:la_iena[30] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[30] 0.0022883 +2 *2826:la_iena[30] 0.00184773 +3 *1340:12 0.0022883 +4 *1340:10 0.00704799 +5 *1340:9 0.00889572 +6 *2820:la_iena_mprj[30] *2820:la_oenb_mprj[30] 0 +7 *1340:10 *1465:10 0.0091292 +8 *1340:10 *1468:16 0.0088677 +9 *2820:la_data_out_mprj[30] *2820:la_iena_mprj[30] 0 +10 *2826:la_input[30] *1340:9 0 +11 *777:8 *1340:10 0.00580867 +12 *788:18 *1340:10 0.00994838 +13 *808:8 *1340:10 0.00226168 +14 *808:12 *1340:10 0 +15 *828:7 *2820:la_iena_mprj[30] 0 +16 *840:14 *1340:10 0.00364334 +17 *845:16 *1340:10 0.000956273 +18 *854:11 *2820:la_iena_mprj[30] 0 +19 *1081:16 *1340:10 0.000293433 +20 *1082:7 *1340:9 0 +21 *1087:16 *1340:10 0.0050656 +22 *1089:16 *1340:10 7.92757e-06 +23 *1149:20 *1340:10 0.00731386 +24 *1323:10 *1340:10 0.00106837 +*RES +1 *2826:la_iena[30] *1340:9 44.3031 +2 *1340:9 *1340:10 494.875 +3 *1340:10 *1340:12 4.5 +4 *1340:12 *2820:la_iena_mprj[30] 49.7081 +*END + +*D_NET *1341 0.108061 +*CONN +*I *2820:la_iena_mprj[31] I *D mgmt_protect +*I *2826:la_iena[31] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[31] 0.000640285 +2 *2826:la_iena[31] 7.21667e-05 +3 *1341:10 0.00474433 +4 *1341:9 0.00410404 +5 *1341:7 0.00357366 +6 *1341:5 0.00364583 +7 *2820:la_iena_mprj[31] *2820:la_oenb_mprj[31] 0 +8 *1341:10 *1466:10 9.16621e-05 +9 *1341:10 *1492:10 0 +10 *1341:10 *1544:10 0.00277347 +11 *2820:la_data_out_mprj[31] *2820:la_iena_mprj[31] 0 +12 *829:11 *1341:7 0 +13 *854:8 *1341:10 0 +14 *1084:7 *1341:7 0 +15 *1084:10 *1341:10 0.0444925 +16 *1338:10 *1341:10 0.0439235 +*RES +1 *2826:la_iena[31] *1341:5 2.05183 +2 *1341:5 *1341:7 70.5562 +3 *1341:7 *1341:9 4.5 +4 *1341:9 *1341:10 483.783 +5 *1341:10 *2820:la_iena_mprj[31] 21.4032 +*END + +*D_NET *1342 0.105104 +*CONN +*I *2820:la_iena_mprj[32] I *D mgmt_protect +*I *2826:la_iena[32] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[32] 6.22868e-05 +2 *2826:la_iena[32] 0.00127546 +3 *1342:13 0.00208573 +4 *1342:12 0.00202344 +5 *1342:10 0.00358919 +6 *1342:9 0.00486465 +7 *1342:10 *1353:10 0.00093743 +8 *1342:10 *1451:10 0.00223673 +9 *1342:10 *1468:10 0.0340195 +10 *1342:10 *1470:10 0.0434385 +11 *1342:13 *2820:la_oenb_mprj[32] 0 +12 *1342:13 *1367:9 0 +13 *2820:la_data_out_mprj[32] *1342:13 0.00145149 +14 *830:7 *1342:13 0 +15 *830:11 *1342:9 0 +16 *1085:9 *1342:9 0 +17 *1085:10 *1342:10 0 +18 *1104:10 *1342:10 0.00823534 +19 *1108:13 *1342:13 0.000883888 +*RES +1 *2826:la_iena[32] *1342:9 35.1676 +2 *1342:9 *1342:10 469.918 +3 *1342:10 *1342:12 4.5 +4 *1342:12 *1342:13 58.9292 +5 *1342:13 *2820:la_iena_mprj[32] 1.77093 +*END + +*D_NET *1343 0.103582 +*CONN +*I *2820:la_iena_mprj[33] I *D mgmt_protect +*I *2826:la_iena[33] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[33] 0.000944468 +2 *2826:la_iena[33] 7.21667e-05 +3 *1343:10 0.00485864 +4 *1343:9 0.00391417 +5 *1343:7 0.00304712 +6 *1343:5 0.00311929 +7 *2820:la_iena_mprj[33] *2820:la_oenb_mprj[33] 0 +8 *1343:10 *1344:10 0.0413398 +9 *1343:10 *1471:10 0.000417629 +10 *2820:la_data_out_mprj[33] *2820:la_iena_mprj[33] 0 +11 *831:11 *1343:7 0 +12 *1086:7 *1343:7 0 +13 *1086:10 *1343:10 0.0422877 +14 *1107:13 *2820:la_iena_mprj[33] 0.000466183 +15 *1111:11 *2820:la_iena_mprj[33] 0.000302534 +16 *1322:10 *1343:10 0.00281212 +*RES +1 *2826:la_iena[33] *1343:5 2.05183 +2 *1343:5 *1343:7 61.4207 +3 *1343:7 *1343:9 4.5 +4 *1343:9 *1343:10 460.49 +5 *1343:10 *2820:la_iena_mprj[33] 30.5388 +*END + +*D_NET *1344 0.0998644 +*CONN +*I *2820:la_iena_mprj[34] I *D mgmt_protect +*I *2826:la_iena[34] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[34] 0.00118278 +2 *2826:la_iena[34] 7.21667e-05 +3 *1344:10 0.00515482 +4 *1344:9 0.00397204 +5 *1344:7 0.00304705 +6 *1344:5 0.00311921 +7 *2820:la_iena_mprj[34] *2820:la_oenb_mprj[34] 0 +8 *1344:10 *1346:10 0.0295251 +9 *1344:10 *1471:10 5.03285e-05 +10 *1344:10 *1473:10 0.000393013 +11 *1344:10 *1473:14 0.00878015 +12 *1344:10 *1480:16 3.18085e-05 +13 *2820:la_data_out_mprj[34] *2820:la_iena_mprj[34] 0 +14 *832:11 *1344:7 0 +15 *1087:9 *1344:7 0 +16 *1088:10 *1344:10 0.000389428 +17 *1322:10 *1344:10 0.00280677 +18 *1343:10 *1344:10 0.0413398 +*RES +1 *2826:la_iena[34] *1344:5 2.05183 +2 *1344:5 *1344:7 61.0054 +3 *1344:7 *1344:9 4.5 +4 *1344:9 *1344:10 448.289 +5 *1344:10 *2820:la_iena_mprj[34] 30.954 +*END + +*D_NET *1345 0.0969911 +*CONN +*I *2820:la_iena_mprj[35] I *D mgmt_protect +*I *2826:la_iena[35] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[35] 0.00261025 +2 *2826:la_iena[35] 0.00125064 +3 *1345:12 0.00261025 +4 *1345:10 0.0034228 +5 *1345:9 0.00467345 +6 *2820:la_iena_mprj[35] *2820:la_oenb_mprj[35] 0 +7 *2820:la_iena_mprj[35] *1496:9 0.000416874 +8 *1345:10 *1353:10 0.000206607 +9 *1345:10 *1366:10 0.00027469 +10 *1345:10 *1368:10 0.000246101 +11 *1345:10 *1470:10 0.0389566 +12 *1345:10 *1472:10 0.0405665 +13 *2820:la_data_out_mprj[35] *2820:la_iena_mprj[35] 0 +14 *2826:la_input[35] *1345:9 0 +15 *834:7 *2820:la_iena_mprj[35] 0 +16 *856:11 *2820:la_iena_mprj[35] 0 +17 *1088:7 *1345:9 0 +18 *1112:7 *2820:la_iena_mprj[35] 0 +19 *1325:10 *1345:10 0.00175632 +*RES +1 *2826:la_iena[35] *1345:9 34.3371 +2 *1345:9 *1345:10 436.642 +3 *1345:10 *1345:12 4.5 +4 *1345:12 *2820:la_iena_mprj[35] 59.6742 +*END + +*D_NET *1346 0.0956606 +*CONN +*I *2820:la_iena_mprj[36] I *D mgmt_protect +*I *2826:la_iena[36] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[36] 0.00127628 +2 *2826:la_iena[36] 3.26469e-05 +3 *1346:16 0.00243597 +4 *1346:15 0.00124709 +5 *1346:10 0.00251673 +6 *1346:9 0.00242934 +7 *1346:7 0.00308101 +8 *1346:5 0.00311365 +9 *2820:la_iena_mprj[36] *2820:la_oenb_mprj[36] 0 +10 *1346:10 *1450:10 0.00178134 +11 *1346:10 *1473:10 0.0295251 +12 *1346:15 *1491:7 1.90218e-05 +13 *1346:16 *1348:10 0.0104345 +14 *1346:16 *1475:10 0.00654959 +15 *1346:16 *1475:14 0.00166734 +16 *2820:la_data_out_mprj[23] *1346:15 1.72464e-05 +17 *2820:la_data_out_mprj[36] *2820:la_iena_mprj[36] 0 +18 *1089:9 *1346:7 0 +19 *1090:15 *1346:15 8.62625e-06 +20 *1344:10 *1346:10 0.0295251 +*RES +1 *2826:la_iena[36] *1346:5 0.928211 +2 *1346:5 *1346:7 60.5902 +3 *1346:7 *1346:9 4.5 +4 *1346:9 *1346:10 314.074 +5 *1346:10 *1346:15 10.832 +6 *1346:15 *1346:16 112.199 +7 *1346:16 *2820:la_iena_mprj[36] 33.0303 +*END + +*D_NET *1347 0.0629774 +*CONN +*I *2820:la_iena_mprj[37] I *D mgmt_protect +*I *2826:la_iena[37] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[37] 0.00204217 +2 *2826:la_iena[37] 0.000958447 +3 *1347:20 0.00204217 +4 *1347:18 0.00743581 +5 *1347:16 0.0075193 +6 *1347:10 0.00269027 +7 *1347:9 0.00356522 +8 *2820:la_iena_mprj[37] *2820:la_oenb_mprj[37] 0 +9 *2820:la_iena_mprj[37] *1357:15 0.00126742 +10 *2820:la_iena_mprj[37] *1359:17 0.00243097 +11 *2820:la_iena_mprj[37] *1497:9 0 +12 *1347:10 *1439:14 0.0109101 +13 *1347:16 *1439:14 2.1801e-05 +14 *1347:18 *1359:14 0 +15 *1347:18 *1439:14 0.00709123 +16 *1347:18 *1482:12 0.000957243 +17 *2820:la_data_out_mprj[37] *2820:la_iena_mprj[37] 0 +18 *2826:la_input[37] *1347:9 0 +19 *857:11 *2820:la_iena_mprj[37] 0 +20 *1078:10 *1347:10 0 +21 *1078:10 *1347:16 0 +22 *1078:10 *1347:18 0 +23 *1079:10 *1347:18 0 +24 *1090:7 *1347:9 0 +25 *1100:10 *1347:18 0.00111142 +26 *1102:10 *1347:18 0.0121798 +27 *1107:10 *1347:18 0.000754103 +28 *1110:12 *1347:18 0 +*RES +1 *2826:la_iena[37] *1347:9 28.1083 +2 *1347:9 *1347:10 115.526 +3 *1347:10 *1347:16 3.25528 +4 *1347:16 *1347:18 296.882 +5 *1347:18 *1347:20 4.5 +6 *1347:20 *2820:la_iena_mprj[37] 65.903 +*END + +*D_NET *1348 0.0894052 +*CONN +*I *2820:la_iena_mprj[38] I *D mgmt_protect +*I *2826:la_iena[38] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[38] 0.00113707 +2 *2826:la_iena[38] 7.21667e-05 +3 *1348:10 0.0051573 +4 *1348:9 0.00402024 +5 *1348:7 0.00300719 +6 *1348:5 0.00307936 +7 *2820:la_iena_mprj[38] *2820:la_oenb_mprj[38] 0 +8 *1348:10 *1475:10 1.41853e-05 +9 *1348:10 *1475:14 0.000245427 +10 *1348:10 *1477:10 0.0346449 +11 *2820:la_data_out_mprj[38] *2820:la_iena_mprj[38] 0 +12 *2826:la_input[38] *1348:7 0 +13 *826:8 *1348:10 0 +14 *1090:10 *1348:10 0.0255018 +15 *1091:9 *1348:7 0 +16 *1113:7 *2820:la_iena_mprj[38] 0.000641831 +17 *1326:10 *1348:10 0.00144923 +18 *1346:16 *1348:10 0.0104345 +*RES +1 *2826:la_iena[38] *1348:5 2.05183 +2 *1348:5 *1348:7 58.5139 +3 *1348:7 *1348:9 4.5 +4 *1348:9 *1348:10 401.702 +5 *1348:10 *2820:la_iena_mprj[38] 33.4455 +*END + +*D_NET *1349 0.0866102 +*CONN +*I *2820:la_iena_mprj[39] I *D mgmt_protect +*I *2826:la_iena[39] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[39] 4.25268e-05 +2 *2826:la_iena[39] 0.0012235 +3 *1349:19 0.0020911 +4 *1349:18 0.00204857 +5 *1349:16 0.00122211 +6 *1349:15 0.00125166 +7 *1349:10 0.00197824 +8 *1349:9 0.00317219 +9 *1349:10 *1455:10 0.000868884 +10 *1349:10 *1474:10 0.0248003 +11 *1349:10 *1476:10 0.0247472 +12 *1349:10 *1479:14 7.68538e-06 +13 *1349:15 *1364:11 9.22013e-06 +14 *1349:15 *1476:20 6.2764e-05 +15 *1349:16 *1366:10 0.00015542 +16 *1349:16 *1368:10 0 +17 *1349:16 *1474:10 5.51483e-06 +18 *1349:16 *1476:22 0.00242953 +19 *1349:16 *1476:26 0.00577495 +20 *1349:16 *1479:14 0.0120648 +21 *1349:19 *2820:la_oenb_mprj[39] 0.000696399 +22 *1349:19 *1365:15 2.19131e-05 +23 *2820:la_data_out_mprj[39] *1349:19 0 +24 *2826:la_input[39] *1349:9 0 +25 *839:5 *1349:19 0 +26 *858:17 *1349:19 0.00193565 +27 *1092:9 *1349:9 0 +*RES +1 *2826:la_iena[39] *1349:9 33.0913 +2 *1349:9 *1349:10 263.051 +3 *1349:10 *1349:15 10.0015 +4 *1349:15 *1349:16 128.282 +5 *1349:16 *1349:18 4.5 +6 *1349:18 *1349:19 61.8359 +7 *1349:19 *2820:la_iena_mprj[39] 1.20912 +*END + +*D_NET *1350 0.211497 +*CONN +*I *2820:la_iena_mprj[3] I *D mgmt_protect +*I *2826:la_iena[3] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[3] 0.00107615 +2 *2826:la_iena[3] 1.28869e-05 +3 *1350:10 0.00734758 +4 *1350:9 0.00627142 +5 *1350:7 0.00102439 +6 *1350:5 0.00103728 +7 *2820:la_iena_mprj[3] *2820:la_oenb_mprj[3] 0 +8 *1350:7 *1722:27 0.00388974 +9 *1350:7 *1750:13 0.00127456 +10 *1350:10 *1372:10 0.000480973 +11 *1350:10 *1448:10 0.000378008 +12 *1350:10 *1456:10 9.68897e-05 +13 *1350:10 *1500:10 0.0828612 +14 *2820:la_data_out_mprj[3] *2820:la_iena_mprj[3] 0 +15 *799:13 *2820:la_iena_mprj[3] 3.29488e-05 +16 *1094:10 *1350:10 0.00961635 +17 *1138:10 *1350:10 0.00934148 +18 *1328:10 *1350:10 0.0867555 +*RES +1 *2826:la_iena[3] *1350:5 0.366399 +2 *1350:5 *1350:7 64.1198 +3 *1350:7 *1350:9 3.36879 +4 *1350:9 *1350:10 112.108 +5 *1350:10 *2820:la_iena_mprj[3] 26.7084 +*END + +*D_NET *1351 0.0858893 +*CONN +*I *2820:la_iena_mprj[40] I *D mgmt_protect +*I *2826:la_iena[40] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[40] 0.00176733 +2 *2826:la_iena[40] 0.0014932 +3 *1351:12 0.00176733 +4 *1351:10 0.00305179 +5 *1351:9 0.00454499 +6 *2820:la_iena_mprj[40] *1479:21 0.0031792 +7 *1351:10 *1451:10 0.000134497 +8 *1351:10 *1467:10 0.000333061 +9 *1351:10 *1481:10 0.0307716 +10 *1351:10 *1483:10 0.0269357 +11 *1351:10 *1489:10 0.00010238 +12 *2820:la_data_out_mprj[40] *2820:la_iena_mprj[40] 0 +13 *2826:la_input[40] *1351:9 0 +14 *839:5 *2820:la_iena_mprj[40] 0 +15 *1083:10 *1351:10 0.00466986 +16 *1093:7 *1351:9 0 +17 *1105:10 *1351:10 0.00628818 +18 *1127:10 *1351:10 0.000741053 +19 *1160:14 *1351:10 4.66849e-05 +20 *1339:16 *1351:10 6.24655e-05 +*RES +1 *2826:la_iena[40] *1351:9 37.2439 +2 *1351:9 *1351:10 378.408 +3 *1351:10 *1351:12 4.5 +4 *1351:12 *2820:la_iena_mprj[40] 56.7674 +*END + +*D_NET *1352 0.0838719 +*CONN +*I *2820:la_iena_mprj[41] I *D mgmt_protect +*I *2826:la_iena[41] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[41] 0.00136713 +2 *2826:la_iena[41] 1.28869e-05 +3 *1352:10 0.00471875 +4 *1352:9 0.00335162 +5 *1352:7 0.00304796 +6 *1352:5 0.00306085 +7 *2820:la_iena_mprj[41] *2820:la_oenb_mprj[41] 0 +8 *1352:10 *1363:16 0.000594244 +9 *1352:10 *1366:16 0.0016469 +10 *1352:10 *1454:10 0.000606422 +11 *1352:10 *1477:10 0.0329003 +12 *2820:la_data_out_mprj[41] *2820:la_iena_mprj[41] 0 +13 *859:11 *2820:la_iena_mprj[41] 0 +14 *1081:15 *1352:7 0 +15 *1093:10 *1352:10 0.0325099 +16 *1095:9 *1352:7 0 +17 *1096:10 *1352:10 5.49044e-05 +*RES +1 *2826:la_iena[41] *1352:5 0.366399 +2 *1352:5 *1352:7 57.6834 +3 *1352:7 *1352:9 4.5 +4 *1352:9 *1352:10 368.426 +5 *1352:10 *2820:la_iena_mprj[41] 34.276 +*END + +*D_NET *1353 0.0777074 +*CONN +*I *2820:la_iena_mprj[42] I *D mgmt_protect +*I *2826:la_iena[42] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[42] 0.00221039 +2 *2826:la_iena[42] 0.00132772 +3 *1353:12 0.00221039 +4 *1353:10 0.00301075 +5 *1353:9 0.00433847 +6 *2820:la_iena_mprj[42] *2820:la_oenb_mprj[42] 0 +7 *2820:la_iena_mprj[42] *1367:15 0.00149567 +8 *1353:10 *1355:10 0.0295057 +9 *1353:10 *1368:10 0.00664701 +10 *1353:10 *1451:10 0.000274683 +11 *1353:10 *1468:10 0.0147649 +12 *1353:10 *1470:10 8.24698e-05 +13 *1353:10 *1481:10 0.00127138 +14 *2820:la_data_out_mprj[42] *2820:la_iena_mprj[42] 0 +15 *2826:la_input[42] *1353:9 0 +16 *859:11 *2820:la_iena_mprj[42] 0 +17 *1072:13 *1353:9 0.000184616 +18 *1104:10 *1353:10 0.00823113 +19 *1339:16 *1353:10 0.00100812 +20 *1342:10 *1353:10 0.00093743 +21 *1345:10 *1353:10 0.000206607 +*RES +1 *2826:la_iena[42] *1353:9 35.9981 +2 *1353:9 *1353:10 354.56 +3 *1353:10 *1353:12 4.5 +4 *1353:12 *2820:la_iena_mprj[42] 58.0132 +*END + +*D_NET *1354 0.0785835 +*CONN +*I *2820:la_iena_mprj[43] I *D mgmt_protect +*I *2826:la_iena[43] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[43] 0.00110393 +2 *2826:la_iena[43] 9.19267e-05 +3 *1354:14 0.00431691 +4 *1354:13 0.00377238 +5 *1354:7 0.00340259 +6 *1354:5 0.00293511 +7 *2820:la_iena_mprj[43] *2820:la_oenb_mprj[43] 0 +8 *1354:13 *2820:la_oenb_mprj[3] 8.62625e-06 +9 *1354:14 *1356:10 0.0280826 +10 *1354:14 *1480:16 0.0302615 +11 *1354:14 *1482:16 0.000361288 +12 *2820:la_data_out_mprj[43] *2820:la_iena_mprj[43] 0 +13 *2820:la_data_out_mprj[7] *1354:14 0.00157072 +14 *799:13 *1354:7 4.73692e-05 +15 *799:13 *1354:13 0 +16 *810:19 *1354:7 2.8923e-05 +17 *842:11 *1354:7 0 +18 *849:7 *1354:7 0 +19 *849:7 *1354:13 9.53674e-05 +20 *859:8 *1354:14 0.00130099 +21 *1097:9 *1354:7 0 +22 *1114:10 *1354:14 3.83172e-05 +23 *1116:10 *1354:14 0.00114811 +24 *1138:10 *1354:14 1.67988e-05 +*RES +1 *2826:la_iena[43] *1354:5 2.61365 +2 *1354:5 *1354:7 54.6667 +3 *1354:7 *1354:13 15.3576 +4 *1354:13 *1354:14 341.25 +5 *1354:14 *2820:la_iena_mprj[43] 28.4625 +*END + +*D_NET *1355 0.0742458 +*CONN +*I *2820:la_iena_mprj[44] I *D mgmt_protect +*I *2826:la_iena[44] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[44] 0.00227819 +2 *2826:la_iena[44] 0.00132661 +3 *1355:12 0.00227819 +4 *1355:10 0.0028707 +5 *1355:9 0.00419731 +6 *2820:la_iena_mprj[44] *2820:la_oenb_mprj[44] 0 +7 *2820:la_iena_mprj[44] *1370:15 0 +8 *2820:la_iena_mprj[44] *1371:15 0 +9 *2820:la_iena_mprj[44] *1493:15 0.000632539 +10 *2820:la_iena_mprj[44] *1495:15 3.21568e-05 +11 *1355:9 *1482:15 0.000415071 +12 *1355:10 *1368:10 0.000253139 +13 *1355:10 *1373:10 0 +14 *1355:10 *1451:10 0.000253087 +15 *1355:10 *1481:10 0.0297159 +16 *1355:10 *1483:10 0.000314064 +17 *2820:la_data_out_mprj[44] *2820:la_iena_mprj[44] 0 +18 *2826:la_input[44] *1355:9 0 +19 *2826:la_input[60] *2820:la_iena_mprj[44] 6.08697e-06 +20 *861:8 *1355:10 0.000167076 +21 *1098:9 *1355:9 0 +22 *1353:10 *1355:10 0.0295057 +*RES +1 *2826:la_iena[44] *1355:9 36.4134 +2 *1355:9 *1355:10 331.267 +3 *1355:10 *1355:12 4.5 +4 *1355:12 *2820:la_iena_mprj[44] 57.5979 +*END + +*D_NET *1356 0.0725115 +*CONN +*I *2820:la_iena_mprj[45] I *D mgmt_protect +*I *2826:la_iena[45] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[45] 0.000935399 +2 *2826:la_iena[45] 7.21667e-05 +3 *1356:10 0.00414403 +4 *1356:9 0.00320863 +5 *1356:7 0.00272727 +6 *1356:5 0.00279943 +7 *2820:la_iena_mprj[45] *2820:la_oenb_mprj[45] 0 +8 *1356:7 *2820:la_iena_mprj[8] 0.000164352 +9 *1356:7 *1405:15 0.000128019 +10 *1356:10 *1369:16 0.000370815 +11 *1356:10 *1482:16 0.00308086 +12 *1356:10 *1482:22 7.6719e-06 +13 *2820:la_data_out_mprj[45] *2820:la_iena_mprj[45] 0.000364658 +14 *2820:la_data_out_mprj[8] *1356:7 0.00126877 +15 *2826:la_input[45] *1356:7 0 +16 *859:8 *1356:10 0.000524279 +17 *1097:15 *1356:7 0.000298642 +18 *1099:7 *1356:7 0 +19 *1101:12 *1356:10 0.00169452 +20 *1101:14 *1356:10 0.0226394 +21 *1354:14 *1356:10 0.0280826 +*RES +1 *2826:la_iena[45] *1356:5 2.05183 +2 *1356:5 *1356:7 63.9122 +3 *1356:7 *1356:9 4.5 +4 *1356:9 *1356:10 320.175 +5 *1356:10 *2820:la_iena_mprj[45] 28.0472 +*END + +*D_NET *1357 0.0614324 +*CONN +*I *2820:la_iena_mprj[46] I *D mgmt_protect +*I *2826:la_iena[46] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[46] 0.00149589 +2 *2826:la_iena[46] 0.0015773 +3 *1357:16 0.00374489 +4 *1357:15 0.00290536 +5 *1357:10 0.00275198 +6 *1357:9 0.00367292 +7 *2820:la_iena_mprj[46] *2820:la_oenb_mprj[46] 0 +8 *2820:la_iena_mprj[46] *1497:15 0.000165481 +9 *1357:10 *1484:10 0.000229545 +10 *1357:10 *1485:10 0.00169489 +11 *1357:10 *1487:10 0.0168578 +12 *1357:10 *1490:10 0.0130799 +13 *1357:16 *1494:16 0.00208195 +14 *2820:la_data_out_mprj[37] *1357:15 9.36977e-05 +15 *2820:la_data_out_mprj[46] *2820:la_iena_mprj[46] 0 +16 *2820:la_iena_mprj[37] *1357:15 0.00126742 +17 *2826:la_input[46] *1357:9 0 +18 *845:7 *2820:la_iena_mprj[46] 0 +19 *857:11 *1357:15 0 +20 *1095:20 *1357:16 0 +21 *1100:9 *1357:9 0 +22 *1100:16 *1357:16 0.00217172 +23 *1327:10 *1357:10 0.00716518 +24 *1329:10 *1357:10 0.00033203 +25 *1331:10 *1357:10 0.000144515 +*RES +1 *2826:la_iena[46] *1357:9 39.3201 +2 *1357:9 *1357:10 230.329 +3 *1357:10 *1357:15 31.5946 +4 *1357:15 *1357:16 77.8133 +5 *1357:16 *2820:la_iena_mprj[46] 36.7675 +*END + +*D_NET *1358 0.0710333 +*CONN +*I *2820:la_iena_mprj[47] I *D mgmt_protect +*I *2826:la_iena[47] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[47] 0.0011673 +2 *2826:la_iena[47] 5.24068e-05 +3 *1358:12 0.0163761 +4 *1358:7 0.018222 +5 *1358:5 0.00306557 +6 *2820:la_iena_mprj[47] *2820:la_oenb_mprj[47] 0 +7 *1358:7 *2820:la_oenb_mprj[12] 8.30099e-06 +8 *1358:12 *1450:10 6.10203e-05 +9 *1358:12 *1454:10 0 +10 *1358:12 *1486:12 0.0305183 +11 *2820:la_data_out_mprj[47] *2820:la_iena_mprj[47] 0 +12 *809:7 *1358:7 0.000505386 +13 *1321:13 *1358:7 0 +14 *1322:10 *1358:12 0.000577836 +15 *1324:10 *1358:12 0.000279683 +16 *1326:10 *1358:12 0.000199328 +*RES +1 *2826:la_iena[47] *1358:5 1.49002 +2 *1358:5 *1358:7 62.4588 +3 *1358:7 *1358:12 47.7862 +4 *1358:12 *2820:la_iena_mprj[47] 25.0006 +*END + +*D_NET *1359 0.0539611 +*CONN +*I *2820:la_iena_mprj[48] I *D mgmt_protect +*I *2826:la_iena[48] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[48] 0.00543998 +2 *2826:la_iena[48] 0.00115685 +3 *1359:17 0.00694553 +4 *1359:14 0.00387372 +5 *1359:12 0.00269725 +6 *1359:9 0.00148593 +7 *2820:la_iena_mprj[48] *2820:la_oenb_mprj[48] 0.00297571 +8 *1359:12 *1479:10 0.00217079 +9 *1359:14 *1479:10 0.00234712 +10 *1359:14 *1479:14 0.0104401 +11 *1359:17 *2820:la_oenb_mprj[37] 0.000105636 +12 *2820:la_data_out_mprj[47] *2820:la_iena_mprj[48] 0.003575 +13 *2820:la_data_out_mprj[48] *2820:la_iena_mprj[48] 0 +14 *2820:la_data_out_mprj[49] *2820:la_iena_mprj[48] 0.000267248 +15 *2820:la_iena_mprj[37] *1359:17 0.00243097 +16 *2826:la_input[48] *1359:9 0 +17 *836:7 *1359:17 0 +18 *1087:10 *1359:12 0.000579018 +19 *1095:14 *1359:12 0.000402816 +20 *1095:14 *1359:14 0.00276779 +21 *1098:10 *1359:14 0.000395077 +22 *1102:9 *1359:9 0 +23 *1108:10 *1359:14 0.000170403 +24 *1109:12 *1359:14 0.00356103 +25 *1110:12 *1359:14 0.000173166 +26 *1347:18 *1359:14 0 +*RES +1 *2826:la_iena[48] *1359:9 31.8456 +2 *1359:9 *1359:12 23.7636 +3 *1359:12 *1359:14 167.936 +4 *1359:14 *1359:17 49.7258 +5 *1359:17 *2820:la_iena_mprj[48] 37.2404 +*END + +*D_NET *1360 0.076578 +*CONN +*I *2820:la_iena_mprj[49] I *D mgmt_protect +*I *2826:la_iena[49] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[49] 0.00102569 +2 *2826:la_iena[49] 7.21667e-05 +3 *1360:12 0.00723126 +4 *1360:7 0.00913559 +5 *1360:5 0.00300219 +6 *2820:la_iena_mprj[49] *2820:la_oenb_mprj[49] 0 +7 *1360:7 *1453:13 0.000408354 +8 *1360:12 *1369:16 0.000101365 +9 *1360:12 *1486:12 0.0274024 +10 *1360:12 *1488:12 0.0279548 +11 *1360:12 *1498:16 0.000133887 +12 *1360:12 *1501:16 0.000110257 +13 *2820:la_data_out_mprj[49] *2820:la_iena_mprj[49] 0 +14 *814:7 *1360:7 0 +15 *848:11 *1360:7 0 +16 *1091:19 *1360:7 0 +17 *1103:7 *1360:7 0 +*RES +1 *2826:la_iena[49] *1360:5 2.05183 +2 *1360:5 *1360:7 64.1198 +3 *1360:7 *1360:12 44.4206 +4 *1360:12 *2820:la_iena_mprj[49] 23.3396 +*END + +*D_NET *1361 0.172561 +*CONN +*I *2820:la_iena_mprj[4] I *D mgmt_protect +*I *2826:la_iena[4] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[4] 0.00297628 +2 *2826:la_iena[4] 0.00122346 +3 *1361:18 0.00345445 +4 *1361:15 0.000618005 +5 *1361:10 0.005274 +6 *1361:9 0.00635762 +7 *2820:la_iena_mprj[4] *2820:la_oenb_mprj[4] 0 +8 *1361:10 *1417:10 0.00333069 +9 *1361:18 *1511:10 0.000338648 +10 *2820:la_data_out_mprj[4] *2820:la_iena_mprj[4] 0 +11 *2826:la_input[41] *1361:15 2.78668e-05 +12 *2826:la_input[4] *1361:9 0 +13 *1083:10 *1361:10 0.071467 +14 *1094:7 *1361:9 0 +15 *1127:10 *1361:18 0.0041832 +16 *1160:14 *1361:18 0.0018388 +17 *1339:10 *1361:10 0.0714712 +*RES +1 *2826:la_iena[4] *1361:9 36.4134 +2 *1361:9 *1361:10 754.43 +3 *1361:10 *1361:15 11.2472 +4 *1361:15 *1361:18 49.0371 +5 *1361:18 *2820:la_iena_mprj[4] 55.5217 +*END + +*D_NET *1362 0.0733162 +*CONN +*I *2820:la_iena_mprj[50] I *D mgmt_protect +*I *2826:la_iena[50] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[50] 0.000918234 +2 *2826:la_iena[50] 3.26469e-05 +3 *1362:12 0.00693343 +4 *1362:7 0.00841717 +5 *1362:5 0.00243462 +6 *2820:la_iena_mprj[50] *2820:la_oenb_mprj[50] 0 +7 *1362:7 *2820:la_oenb_mprj[19] 0.000163495 +8 *1362:12 *1488:12 0.0272587 +9 *1362:12 *1491:12 0.0245412 +10 *2820:la_data_out_mprj[20] *1362:7 0 +11 *2820:la_data_out_mprj[50] *2820:la_iena_mprj[50] 0 +12 *817:7 *1362:7 0.0011837 +13 *1092:15 *1362:7 0.00143298 +14 *1104:9 *1362:7 0 +*RES +1 *2826:la_iena[50] *1362:5 0.928211 +2 *1362:5 *1362:7 65.7808 +3 *1362:7 *1362:12 42.9673 +4 *1362:12 *2820:la_iena_mprj[50] 21.6786 +*END + +*D_NET *1363 0.0514729 +*CONN +*I *2820:la_iena_mprj[51] I *D mgmt_protect +*I *2826:la_iena[51] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[51] 0.00126323 +2 *2826:la_iena[51] 0.00152877 +3 *1363:16 0.00297562 +4 *1363:15 0.00246842 +5 *1363:10 0.0022555 +6 *1363:9 0.00302823 +7 *2820:la_iena_mprj[51] *2820:la_oenb_mprj[51] 0 +8 *1363:10 *1365:10 0.000412036 +9 *1363:10 *1459:10 9.9028e-05 +10 *1363:10 *1461:10 0.000413251 +11 *1363:10 *1474:16 0.000330827 +12 *1363:10 *1490:10 0.0136554 +13 *1363:10 *1493:10 0.00891504 +14 *1363:15 *2820:la_oenb_mprj[38] 0 +15 *1363:15 *1365:15 2.47663e-05 +16 *1363:15 *1366:15 0.00135429 +17 *1363:16 *1365:16 1.4091e-06 +18 *1363:16 *1366:16 0.000841861 +19 *1363:16 *1477:10 0.000307037 +20 *1363:16 *1495:16 0.00582682 +21 *1363:16 *1496:16 0.000113197 +22 *1363:16 *1497:16 0.00414943 +23 *2820:la_data_out_mprj[22] *1363:9 0 +24 *2820:la_data_out_mprj[39] *1363:15 3.00073e-05 +25 *2820:la_data_out_mprj[51] *2820:la_iena_mprj[51] 0 +26 *837:5 *1363:15 0 +27 *851:7 *2820:la_iena_mprj[51] 0 +28 *851:11 *1363:9 0 +29 *852:7 *2820:la_iena_mprj[51] 0 +30 *858:14 *1363:16 0.000882819 +31 *1106:7 *1363:9 0 +32 *1335:10 *1363:10 1.75155e-06 +33 *1352:10 *1363:16 0.000594244 +*RES +1 *2826:la_iena[51] *1363:9 40.1506 +2 *1363:9 *1363:10 145.475 +3 *1363:10 *1363:15 34.0861 +4 *1363:15 *1363:16 104.434 +5 *1363:16 *2820:la_iena_mprj[51] 33.4455 +*END + +*D_NET *1364 0.054659 +*CONN +*I *2820:la_iena_mprj[52] I *D mgmt_protect +*I *2826:la_iena[52] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[52] 0.000879468 +2 *2826:la_iena[52] 0.000246404 +3 *1364:14 0.00343945 +4 *1364:13 0.00255998 +5 *1364:11 0.00249921 +6 *1364:9 0.00274561 +7 *2820:la_iena_mprj[52] *2820:la_oenb_mprj[52] 0 +8 *1364:11 *2820:la_oenb_mprj[24] 0.000602946 +9 *1364:11 *1474:15 0.00044292 +10 *1364:11 *1476:20 6.46124e-05 +11 *1364:14 *1371:16 0.000469767 +12 *1364:14 *1464:10 2.35113e-05 +13 *1364:14 *1492:10 0.000330216 +14 *2820:la_data_out_mprj[25] *1364:11 0 +15 *2820:la_data_out_mprj[52] *2820:la_iena_mprj[52] 0 +16 *822:7 *1364:11 0.000246211 +17 *852:11 *1364:9 0 +18 *852:11 *1364:11 0 +19 *853:8 *1364:14 0.019803 +20 *1106:10 *1364:14 0.0202086 +21 *1107:9 *1364:9 0 +22 *1107:9 *1364:11 0 +23 *1334:10 *1364:14 8.78631e-05 +24 *1349:15 *1364:11 9.22013e-06 +*RES +1 *2826:la_iena[52] *1364:9 6.8883 +2 *1364:9 *1364:11 62.0558 +3 *1364:11 *1364:13 4.5 +4 *1364:13 *1364:14 237.539 +5 *1364:14 *2820:la_iena_mprj[52] 25.971 +*END + +*D_NET *1365 0.0492669 +*CONN +*I *2820:la_iena_mprj[53] I *D mgmt_protect +*I *2826:la_iena[53] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[53] 0.0011195 +2 *2826:la_iena[53] 0.0015365 +3 *1365:16 0.00276545 +4 *1365:15 0.00211073 +5 *1365:10 0.0014247 +6 *1365:9 0.00249642 +7 *2820:la_iena_mprj[53] *2820:la_oenb_mprj[53] 0 +8 *1365:10 *1474:16 0.00127531 +9 *1365:10 *1493:10 0.00901257 +10 *1365:10 *1494:10 0.00707562 +11 *1365:15 *1366:15 0.00130878 +12 *1365:16 *1367:16 0.000138582 +13 *1365:16 *1368:16 0.00834376 +14 *1365:16 *1370:16 0.00680635 +15 *1365:16 *1480:16 0 +16 *2820:la_data_out_mprj[39] *1365:15 0 +17 *2820:la_data_out_mprj[53] *2820:la_iena_mprj[53] 0 +18 *853:7 *2820:la_iena_mprj[53] 0 +19 *853:11 *1365:9 0 +20 *858:14 *1365:16 0.00186537 +21 *858:17 *1365:15 0.00144828 +22 *1108:9 *1365:9 0 +23 *1114:10 *1365:16 7.88032e-05 +24 *1349:19 *1365:15 2.19131e-05 +25 *1363:10 *1365:10 0.000412036 +26 *1363:15 *1365:15 2.47663e-05 +27 *1363:16 *1365:16 1.4091e-06 +*RES +1 *2826:la_iena[53] *1365:9 40.9811 +2 *1365:9 *1365:10 106.098 +3 *1365:10 *1365:15 35.3319 +4 *1365:15 *1365:16 120.518 +5 *1365:16 *2820:la_iena_mprj[53] 31.3693 +*END + +*D_NET *1366 0.0434075 +*CONN +*I *2820:la_iena_mprj[54] I *D mgmt_protect +*I *2826:la_iena[54] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[54] 0.00125677 +2 *2826:la_iena[54] 0.00116435 +3 *1366:16 0.00284266 +4 *1366:15 0.00223438 +5 *1366:10 0.00196723 +6 *1366:9 0.00248309 +7 *2820:la_iena_mprj[54] *2820:la_oenb_mprj[54] 0 +8 *1366:10 *1368:10 0.000204284 +9 *1366:10 *1472:10 0.00422986 +10 *1366:10 *1476:22 0.00171217 +11 *1366:10 *1476:26 0.00253516 +12 *1366:16 *1493:16 0.00761311 +13 *1366:16 *1494:16 0.000111031 +14 *1366:16 *1496:16 0.00683436 +15 *2820:la_data_out_mprj[54] *2820:la_iena_mprj[54] 0 +16 *854:7 *2820:la_iena_mprj[54] 0 +17 *854:11 *1366:9 0 +18 *858:17 *1366:15 0.000169479 +19 *1096:10 *1366:16 0.00143671 +20 *1099:10 *1366:16 0.00101529 +21 *1100:15 *1366:9 0 +22 *1100:16 *1366:16 1.55995e-05 +23 *1109:9 *1366:9 0 +24 *1345:10 *1366:10 0.00027469 +25 *1349:16 *1366:10 0.00015542 +26 *1352:10 *1366:16 0.0016469 +27 *1363:15 *1366:15 0.00135429 +28 *1363:16 *1366:16 0.000841861 +29 *1365:15 *1366:15 0.00130878 +*RES +1 *2826:la_iena[54] *1366:9 33.5066 +2 *1366:9 *1366:10 85.5777 +3 *1366:10 *1366:15 39.4844 +4 *1366:15 *1366:16 129.391 +5 *1366:16 *2820:la_iena_mprj[54] 34.6913 +*END + +*D_NET *1367 0.042338 +*CONN +*I *2820:la_iena_mprj[55] I *D mgmt_protect +*I *2826:la_iena[55] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[55] 0.00104932 +2 *2826:la_iena[55] 0.00163 +3 *1367:22 0.00136373 +4 *1367:16 0.0010824 +5 *1367:15 0.00129762 +6 *1367:10 0.00232876 +7 *1367:9 0.00342912 +8 *2820:la_iena_mprj[55] *2820:la_oenb_mprj[55] 0 +9 *1367:10 *1369:10 0.00116874 +10 *1367:10 *1474:16 0.000223371 +11 *1367:10 *1495:10 0.00755134 +12 *1367:16 *1368:16 0.000803081 +13 *1367:16 *1490:18 0.004245 +14 *1367:22 *1368:16 0.00384145 +15 *2820:la_data_out_mprj[42] *1367:15 0.000390548 +16 *2820:la_data_out_mprj[55] *2820:la_iena_mprj[55] 0 +17 *2820:la_iena_mprj[42] *1367:15 0.00149567 +18 *855:7 *2820:la_iena_mprj[55] 0 +19 *855:11 *1367:9 0 +20 *858:8 *1367:22 0.00378638 +21 *859:11 *1367:15 0 +22 *1087:16 *1367:10 6.69658e-05 +23 *1089:16 *1367:10 0 +24 *1097:16 *1367:10 0 +25 *1108:13 *1367:9 0 +26 *1110:9 *1367:9 0 +27 *1114:10 *1367:16 0.000235436 +28 *1115:10 *1367:16 0.00619636 +29 *1115:10 *1367:22 1.41689e-05 +30 *1342:13 *1367:9 0 +31 *1365:16 *1367:16 0.000138582 +*RES +1 *2826:la_iena[55] *1367:9 43.0574 +2 *1367:9 *1367:10 90.5692 +3 *1367:10 *1367:15 34.5014 +4 *1367:15 *1367:16 72.2673 +5 *1367:16 *1367:22 45.7336 +6 *1367:22 *2820:la_iena_mprj[55] 26.0388 +*END + +*D_NET *1368 0.0398306 +*CONN +*I *2820:la_iena_mprj[56] I *D mgmt_protect +*I *2826:la_iena[56] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[56] 0.00105027 +2 *2826:la_iena[56] 0.00122368 +3 *1368:16 0.00208815 +4 *1368:15 0.00179269 +5 *1368:10 0.00234958 +6 *1368:9 0.00281846 +7 *2820:la_iena_mprj[56] *2820:la_oenb_mprj[56] 0 +8 *1368:9 *2820:la_oenb_mprj[34] 0 +9 *1368:10 *1479:14 0 +10 *1368:10 *1479:20 0 +11 *1368:10 *1498:10 0 +12 *1368:15 *1494:15 0 +13 *1368:16 *1370:16 0.00108064 +14 *1368:16 *1490:18 0.00424921 +15 *2820:la_data_out_mprj[43] *1368:15 0.000619094 +16 *2820:la_data_out_mprj[56] *2820:la_iena_mprj[56] 0 +17 *856:7 *2820:la_iena_mprj[56] 0 +18 *856:11 *1368:9 0 +19 *858:8 *1368:16 0.000349749 +20 *1110:13 *1368:9 0 +21 *1115:7 *1368:15 0.00187023 +22 *1345:10 *1368:10 0.000246101 +23 *1349:16 *1368:10 0 +24 *1353:10 *1368:10 0.00664701 +25 *1355:10 *1368:10 0.000253139 +26 *1365:16 *1368:16 0.00834376 +27 *1366:10 *1368:10 0.000204284 +28 *1367:16 *1368:16 0.000803081 +29 *1367:22 *1368:16 0.00384145 +*RES +1 *2826:la_iena[56] *1368:9 35.5828 +2 *1368:9 *1368:10 76.7041 +3 *1368:10 *1368:15 41.1454 +4 *1368:15 *1368:16 114.417 +5 *1368:16 *2820:la_iena_mprj[56] 30.954 +*END + +*D_NET *1369 0.0358613 +*CONN +*I *2820:la_iena_mprj[57] I *D mgmt_protect +*I *2826:la_iena[57] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[57] 0.000914872 +2 *2826:la_iena[57] 0.00162287 +3 *1369:16 0.00182056 +4 *1369:15 0.00135871 +5 *1369:10 0.00270636 +6 *1369:9 0.00387621 +7 *2820:la_iena_mprj[57] *2820:la_oenb_mprj[57] 0 +8 *1369:9 *2820:la_oenb_mprj[36] 5.96936e-05 +9 *1369:10 *1495:10 0.000252187 +10 *1369:10 *1496:10 3.68813e-05 +11 *1369:15 *2820:la_oenb_mprj[44] 0 +12 *1369:15 *1370:15 0.00133727 +13 *1369:15 *1487:15 3.39873e-05 +14 *1369:16 *1371:16 0.000144515 +15 *1369:16 *1498:16 0.000356178 +16 *1369:16 *1501:16 0.00772432 +17 *2820:la_data_out_mprj[57] *2820:la_iena_mprj[57] 0 +18 *844:5 *1369:15 0.00134487 +19 *844:8 *1369:10 0 +20 *857:7 *2820:la_iena_mprj[57] 0 +21 *857:11 *1369:9 0 +22 *859:8 *1369:16 0.0101006 +23 *1097:16 *1369:10 0.000279741 +24 *1101:14 *1369:16 0.000250542 +25 *1112:7 *1369:9 0 +26 *1356:10 *1369:16 0.000370815 +27 *1360:12 *1369:16 0.000101365 +28 *1367:10 *1369:10 0.00116874 +*RES +1 *2826:la_iena[57] *1369:9 44.3031 +2 *1369:9 *1369:10 72.8219 +3 *1369:10 *1369:15 34.9166 +4 *1369:15 *1369:16 107.207 +5 *1369:16 *2820:la_iena_mprj[57] 28.4625 +*END + +*D_NET *1370 0.034637 +*CONN +*I *2820:la_iena_mprj[58] I *D mgmt_protect +*I *2826:la_iena[58] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[58] 0.00104535 +2 *2826:la_iena[58] 0.00102745 +3 *1370:16 0.00225019 +4 *1370:15 0.00184675 +5 *1370:10 0.00137175 +6 *1370:9 0.0017573 +7 *2820:la_iena_mprj[58] *2820:la_oenb_mprj[58] 0 +8 *1370:10 *1371:12 0.00106154 +9 *1370:10 *1479:14 0.000589703 +10 *1370:10 *1479:20 0.000159059 +11 *1370:10 *1497:10 0.000118081 +12 *1370:10 *1498:10 0.00405836 +13 *1370:10 *1499:12 0.000142775 +14 *1370:10 *1501:12 0.000224395 +15 *1370:15 *2820:la_oenb_mprj[44] 0 +16 *1370:15 *1487:15 1.69932e-05 +17 *1370:15 *1499:15 0.00205698 +18 *1370:16 *1499:16 0.0027816 +19 *2820:la_data_out_mprj[58] *2820:la_iena_mprj[58] 0 +20 *2820:la_iena_mprj[44] *1370:15 0 +21 *858:8 *1370:16 0.00023007 +22 *858:14 *1370:16 0.00465051 +23 *858:17 *1370:9 0 +24 *859:7 *2820:la_iena_mprj[58] 0 +25 *1113:7 *1370:9 0 +26 *1115:10 *1370:16 2.39401e-05 +27 *1365:16 *1370:16 0.00680635 +28 *1368:16 *1370:16 0.00108064 +29 *1369:15 *1370:15 0.00133727 +*RES +1 *2826:la_iena[58] *1370:9 31.4303 +2 *1370:9 *1370:10 52.8561 +3 *1370:10 *1370:15 44.4674 +4 *1370:15 *1370:16 114.972 +5 *1370:16 *2820:la_iena_mprj[58] 31.7845 +*END + +*D_NET *1371 0.0315424 +*CONN +*I *2820:la_iena_mprj[59] I *D mgmt_protect +*I *2826:la_iena[59] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[59] 0.000844757 +2 *2826:la_iena[59] 0.00097848 +3 *1371:16 0.00300909 +4 *1371:15 0.00337061 +5 *1371:12 0.00151966 +6 *1371:9 0.00129187 +7 *2820:la_iena_mprj[59] *2820:la_oenb_mprj[59] 0 +8 *1371:12 *1497:10 0.000270207 +9 *1371:12 *1499:12 0.00132676 +10 *1371:15 *1373:9 0 +11 *1371:15 *1493:15 3.54138e-05 +12 *1371:15 *1494:15 0 +13 *1371:15 *1495:15 0.000558071 +14 *1371:16 *1498:16 0.00952507 +15 *1371:16 *1501:16 0.00027469 +16 *2820:la_data_out_mprj[44] *1371:15 0.000443279 +17 *2820:la_data_out_mprj[59] *2820:la_iena_mprj[59] 0 +18 *2820:la_iena_mprj[44] *1371:15 0 +19 *2826:la_input[60] *1371:15 0.000172527 +20 *843:7 *1371:15 0.000432018 +21 *853:8 *1371:16 8.07497e-05 +22 *855:8 *1371:16 0.000103574 +23 *856:8 *1371:16 0 +24 *859:11 *1371:9 0 +25 *1101:14 *1371:16 0.00164916 +26 *1103:14 *1371:16 0.00325457 +27 *1106:10 *1371:16 0.000725963 +28 *1111:14 *1371:16 0 +29 *1114:7 *1371:9 0 +30 *1364:14 *1371:16 0.000469767 +31 *1369:16 *1371:16 0.000144515 +32 *1370:10 *1371:12 0.00106154 +*RES +1 *2826:la_iena[59] *1371:9 30.038 +2 *1371:9 *1371:12 29.626 +3 *1371:12 *1371:15 45.3657 +4 *1371:15 *1371:16 132.164 +5 *1371:16 *2820:la_iena_mprj[59] 27.2167 +*END + +*D_NET *1372 0.195551 +*CONN +*I *2820:la_iena_mprj[5] I *D mgmt_protect +*I *2826:la_iena[5] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[5] 0.000977351 +2 *2826:la_iena[5] 7.21667e-05 +3 *1372:10 0.00704854 +4 *1372:9 0.00607119 +5 *1372:7 0.00255657 +6 *1372:5 0.00262874 +7 *2820:la_iena_mprj[5] *2820:la_oenb_mprj[5] 0 +8 *1372:10 *1394:10 0.081385 +9 *1372:10 *1500:10 0.0847007 +10 *2820:la_data_out_mprj[5] *2820:la_iena_mprj[5] 0 +11 *860:11 *1372:7 0 +12 *1105:9 *1372:7 0 +13 *1330:10 *1372:10 0.00501731 +14 *1332:10 *1372:10 0.00461269 +15 *1350:10 *1372:10 0.000480973 +*RES +1 *2826:la_iena[5] *1372:5 2.05183 +2 *1372:5 *1372:7 65.7808 +3 *1372:7 *1372:9 3.36879 +4 *1372:9 *1372:10 108.666 +5 *1372:10 *2820:la_iena_mprj[5] 25.0474 +*END + +*D_NET *1373 0.0187714 +*CONN +*I *2820:la_iena_mprj[60] I *D mgmt_protect +*I *2826:la_iena[60] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[60] 0.00218771 +2 *2826:la_iena[60] 0.00114237 +3 *1373:12 0.00218771 +4 *1373:10 0.00409601 +5 *1373:9 0.00523838 +6 *2820:la_iena_mprj[60] *2820:la_oenb_mprj[60] 0 +7 *1373:10 *1379:10 0.000575519 +8 *1373:10 *1498:10 0.000165467 +9 *1373:10 *1501:12 0 +10 *1373:10 *1507:10 0.000533697 +11 *2820:la_data_out_mprj[60] *2820:la_iena_mprj[60] 0 +12 *2826:la_input[60] *1373:9 0 +13 *2826:la_input[67] *2820:la_iena_mprj[60] 0.000245367 +14 *861:8 *1373:10 0 +15 *862:5 *2820:la_iena_mprj[60] 0 +16 *862:8 *1373:10 0.000500717 +17 *864:8 *1373:10 0.00163805 +18 *866:16 *1373:10 0.000260351 +19 *1115:7 *1373:9 0 +20 *1355:10 *1373:10 0 +21 *1371:15 *1373:9 0 +*RES +1 *2826:la_iena[60] *1373:9 33.9218 +2 *1373:9 *1373:10 144.366 +3 *1373:10 *1373:12 4.5 +4 *1373:12 *2820:la_iena_mprj[60] 60.0894 +*END + +*D_NET *1374 0.0317883 +*CONN +*I *2820:la_iena_mprj[61] I *D mgmt_protect +*I *2826:la_iena[61] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[61] 0.00209152 +2 *2826:la_iena[61] 0.00127377 +3 *1374:12 0.00209152 +4 *1374:10 0.00111085 +5 *1374:9 0.00238462 +6 *2820:la_iena_mprj[61] *2820:la_oenb_mprj[61] 0 +7 *1374:9 *1497:15 0 +8 *1374:10 *1485:10 0.000260521 +9 *1374:10 *1502:10 0.0118114 +10 *1374:10 *1503:10 0.00976818 +11 *2820:la_data_out_mprj[61] *2820:la_iena_mprj[61] 0 +12 *2826:la_input[61] *1374:9 0 +13 *861:8 *1374:10 0.000363723 +14 *866:13 *2820:la_iena_mprj[61] 1.03986e-05 +15 *1117:9 *1374:9 0 +16 *1117:10 *1374:10 0 +17 *1118:10 *1374:10 0.000621826 +*RES +1 *2826:la_iena[61] *1374:9 37.6591 +2 *1374:9 *1374:10 133.274 +3 *1374:10 *1374:12 4.5 +4 *1374:12 *2820:la_iena_mprj[61] 56.3522 +*END + +*D_NET *1375 0.0307897 +*CONN +*I *2820:la_iena_mprj[62] I *D mgmt_protect +*I *2826:la_iena[62] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[62] 0.00202384 +2 *2826:la_iena[62] 0.00132785 +3 *1375:12 0.00202384 +4 *1375:10 0.000829026 +5 *1375:9 0.00215688 +6 *2820:la_iena_mprj[62] *2820:la_oenb_mprj[62] 0 +7 *1375:9 *1501:15 0 +8 *1375:10 *1376:10 0.000164107 +9 *1375:10 *1503:10 1.41689e-05 +10 *2820:la_data_out_mprj[62] *2820:la_iena_mprj[62] 0 +11 *2826:la_input[62] *1375:9 0 +12 *863:5 *2820:la_iena_mprj[62] 0 +13 *863:8 *1375:10 0.0106623 +14 *866:13 *1375:10 0.00095501 +15 *1117:10 *1375:10 0.000120974 +16 *1118:9 *1375:9 0 +17 *1118:10 *1375:10 0.0105117 +*RES +1 *2826:la_iena[62] *1375:9 38.9049 +2 *1375:9 *1375:10 121.072 +3 *1375:10 *1375:12 4.5 +4 *1375:12 *2820:la_iena_mprj[62] 55.1064 +*END + +*D_NET *1376 0.0270129 +*CONN +*I *2820:la_iena_mprj[63] I *D mgmt_protect +*I *2826:la_iena[63] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[63] 0.00198199 +2 *2826:la_iena[63] 0.00135441 +3 *1376:12 0.00198199 +4 *1376:10 0.000897369 +5 *1376:9 0.00225178 +6 *2820:la_iena_mprj[63] *2820:la_oenb_mprj[63] 0 +7 *1376:10 *1504:10 0.00114364 +8 *2820:la_data_out_mprj[63] *2820:la_iena_mprj[63] 0 +9 *2826:la_input[63] *1376:9 0 +10 *863:8 *1376:10 0.00923711 +11 *865:5 *2820:la_iena_mprj[63] 0 +12 *866:13 *1376:10 0.000207502 +13 *1117:10 *1376:10 0.00779298 +14 *1119:9 *1376:9 0 +15 *1375:10 *1376:10 0.000164107 +*RES +1 *2826:la_iena[63] *1376:9 39.1736 +2 *1376:9 *1376:10 109.98 +3 *1376:10 *1376:12 4.5 +4 *1376:12 *2820:la_iena_mprj[63] 54.2759 +*END + +*D_NET *1377 0.0179867 +*CONN +*I *2820:la_iena_mprj[64] I *D mgmt_protect +*I *2826:la_iena[64] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[64] 0.00244373 +2 *2826:la_iena[64] 0.000918403 +3 *1377:12 0.00244373 +4 *1377:10 0.00207057 +5 *1377:9 0.00298897 +6 *2820:la_iena_mprj[64] *2820:la_oenb_mprj[64] 0 +7 *2820:la_iena_mprj[64] *1382:9 0 +8 *1377:10 *1508:10 0.0025289 +9 *2820:la_data_out_mprj[64] *2820:la_iena_mprj[64] 0 +10 *2826:la_input[64] *1377:9 0 +11 *865:8 *1377:10 0.00343663 +12 *866:5 *2820:la_iena_mprj[64] 0 +13 *869:8 *1377:10 0.00105701 +14 *1120:9 *1377:9 0 +15 *1120:10 *1377:10 0 +16 *1125:9 *2820:la_iena_mprj[64] 9.88022e-05 +*RES +1 *2826:la_iena[64] *1377:9 28.5236 +2 *1377:9 *1377:10 97.779 +3 *1377:10 *1377:12 4.5 +4 *1377:12 *2820:la_iena_mprj[64] 65.4877 +*END + +*D_NET *1378 0.0150409 +*CONN +*I *2820:la_iena_mprj[65] I *D mgmt_protect +*I *2826:la_iena[65] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[65] 0.00188854 +2 *2826:la_iena[65] 0.001511 +3 *1378:12 0.00188854 +4 *1378:10 0.00179675 +5 *1378:9 0.00330774 +6 *2820:la_iena_mprj[65] *2820:la_oenb_mprj[65] 0 +7 *1378:10 *1504:10 0.000304114 +8 *1378:10 *1505:10 0.00190316 +9 *1378:10 *1506:16 0 +10 *2820:la_data_out_mprj[65] *2820:la_iena_mprj[65] 0 +11 *2826:la_input[65] *1378:9 0 +12 *866:13 *1378:10 0 +13 *868:8 *1378:10 0 +14 *1119:10 *1378:10 0.00244103 +*RES +1 *2826:la_iena[65] *1378:9 41.8116 +2 *1378:9 *1378:10 86.1323 +3 *1378:10 *1378:12 4.5 +4 *1378:12 *2820:la_iena_mprj[65] 52.1996 +*END + +*D_NET *1379 0.0162163 +*CONN +*I *2820:la_iena_mprj[66] I *D mgmt_protect +*I *2826:la_iena[66] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[66] 0.00213584 +2 *2826:la_iena[66] 0.00120219 +3 *1379:12 0.00213584 +4 *1379:10 0.00129685 +5 *1379:9 0.00249904 +6 *2820:la_iena_mprj[66] *2820:la_oenb_mprj[66] 0 +7 *1379:10 *1381:10 0.00140265 +8 *1379:10 *1506:12 0.000113033 +9 *1379:10 *1506:16 0 +10 *1379:10 *1507:10 0.000196602 +11 *2820:la_data_out_mprj[66] *2820:la_iena_mprj[66] 0 +12 *2826:la_input[66] *1379:9 0 +13 *866:16 *1379:10 0.00286688 +14 *1122:9 *1379:9 0 +15 *1125:12 *1379:10 0.00179184 +16 *1373:10 *1379:10 0.000575519 +*RES +1 *2826:la_iena[66] *1379:9 35.1676 +2 *1379:9 *1379:10 74.4857 +3 *1379:10 *1379:12 4.5 +4 *1379:12 *2820:la_iena_mprj[66] 58.8437 +*END + +*D_NET *1380 0.0178566 +*CONN +*I *2820:la_iena_mprj[67] I *D mgmt_protect +*I *2826:la_iena[67] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[67] 0.00227412 +2 *2826:la_iena[67] 0.00103573 +3 *1380:16 0.00252536 +4 *1380:12 0.000572589 +5 *1380:9 0.00135708 +6 *2820:la_iena_mprj[67] *2820:la_oenb_mprj[67] 0 +7 *1380:16 *1382:12 0.00211272 +8 *2820:la_data_out_mprj[67] *2820:la_iena_mprj[67] 0 +9 *2826:la_input[67] *1380:9 0 +10 *867:8 *1380:12 4.7835e-05 +11 *867:8 *1380:16 0.0001532 +12 *870:10 *1380:16 0.00041958 +13 *1120:10 *1380:12 0.00249513 +14 *1121:10 *1380:12 0.000347135 +15 *1122:10 *1380:12 0.00350494 +16 *1122:10 *1380:16 0.00026523 +17 *1123:9 *1380:9 0 +18 *1123:10 *1380:16 5.00593e-05 +19 *1126:12 *1380:16 0.000695917 +*RES +1 *2826:la_iena[67] *1380:9 31.0151 +2 *1380:9 *1380:12 37.6286 +3 *1380:12 *1380:16 30.4579 +4 *1380:16 *2820:la_iena_mprj[67] 62.5809 +*END + +*D_NET *1381 0.0117097 +*CONN +*I *2820:la_iena_mprj[68] I *D mgmt_protect +*I *2826:la_iena[68] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[68] 0.00209301 +2 *2826:la_iena[68] 0.00127245 +3 *1381:12 0.00209301 +4 *1381:10 0.00105447 +5 *1381:9 0.00232692 +6 *2820:la_iena_mprj[68] *2820:la_oenb_mprj[68] 0 +7 *1381:10 *1382:12 0 +8 *1381:10 *1506:16 0.000173512 +9 *1381:10 *1509:12 0.000947206 +10 *2820:la_data_out_mprj[68] *2820:la_iena_mprj[68] 0 +11 *2826:la_input[68] *1381:9 0 +12 *864:5 *1381:9 0 +13 *870:5 *2820:la_iena_mprj[68] 0 +14 *1124:9 *1381:9 0 +15 *1125:12 *1381:10 0.000346502 +16 *1379:10 *1381:10 0.00140265 +*RES +1 *2826:la_iena[68] *1381:9 35.9981 +2 *1381:9 *1381:10 51.1923 +3 *1381:10 *1381:12 4.5 +4 *1381:12 *2820:la_iena_mprj[68] 58.0132 +*END + +*D_NET *1382 0.0120054 +*CONN +*I *2820:la_iena_mprj[69] I *D mgmt_protect +*I *2826:la_iena[69] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[69] 0.00223906 +2 *2826:la_iena[69] 0.0010796 +3 *1382:12 0.00300931 +4 *1382:9 0.00184984 +5 *2820:la_iena_mprj[69] *2820:la_oenb_mprj[69] 0 +6 *1382:12 *1507:10 0.000149232 +7 *1382:12 *1512:10 4.03749e-05 +8 *2820:la_data_out_mprj[65] *1382:9 0 +9 *2820:la_data_out_mprj[69] *2820:la_iena_mprj[69] 0 +10 *2820:la_iena_mprj[64] *1382:9 0 +11 *2826:la_input[69] *1382:9 0 +12 *867:8 *1382:12 0.000908347 +13 *1125:9 *1382:9 0 +14 *1125:12 *1382:12 0 +15 *1126:12 *1382:12 0.000616878 +16 *1380:16 *1382:12 0.00211272 +17 *1381:10 *1382:12 0 +*RES +1 *2826:la_iena[69] *1382:9 31.8456 +2 *1382:9 *1382:12 44.0456 +3 *1382:12 *2820:la_iena_mprj[69] 62.1657 +*END + +*D_NET *1383 0.195431 +*CONN +*I *2820:la_iena_mprj[6] I *D mgmt_protect +*I *2826:la_iena[6] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[6] 0.00272296 +2 *2826:la_iena[6] 0.00136568 +3 *1383:12 0.00272296 +4 *1383:10 0.00894539 +5 *1383:9 0.0103111 +6 *2820:la_iena_mprj[6] *2820:la_oenb_mprj[6] 0 +7 *1383:10 *1405:10 0.0796029 +8 *1383:10 *1489:10 0.000422525 +9 *1383:10 *1511:10 0.0829574 +10 *2820:la_data_out_mprj[6] *2820:la_iena_mprj[6] 0 +11 *2826:la_input[44] *2820:la_iena_mprj[6] 0.000645434 +12 *2826:la_input[6] *1383:9 0 +13 *849:14 *1383:10 0.00106437 +14 *1116:7 *1383:9 0 +15 *1149:14 *1383:10 0.00122194 +16 *1160:14 *1383:10 0.000472535 +17 *1327:10 *1383:10 0.00297589 +*RES +1 *2826:la_iena[6] *1383:9 37.9813 +2 *1383:9 *1383:10 106.983 +3 *1383:10 *1383:12 3.36879 +4 *1383:12 *2820:la_iena_mprj[6] 54.8988 +*END + +*D_NET *1384 0.0108536 +*CONN +*I *2820:la_iena_mprj[70] I *D mgmt_protect +*I *2826:la_iena[70] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[70] 0.00234276 +2 *2826:la_iena[70] 0.000961447 +3 *1384:12 0.00268608 +4 *1384:9 0.00130476 +5 *2820:la_iena_mprj[70] *2820:la_oenb_mprj[70] 0 +6 *2820:la_iena_mprj[70] *1513:7 0 +7 *1384:9 *2820:la_oenb_mprj[67] 0 +8 *1384:12 *1510:12 0.00199783 +9 *1384:12 *1512:10 0.000245611 +10 *2820:la_data_out_mprj[67] *1384:9 8.62625e-06 +11 *2820:la_data_out_mprj[70] *2820:la_iena_mprj[70] 0 +12 *2826:la_input[71] *2820:la_iena_mprj[70] 0 +13 *869:8 *1384:12 0.000585491 +14 *872:5 *2820:la_iena_mprj[70] 0 +15 *872:11 *1384:9 0 +16 *872:11 *1384:12 0.000721032 +17 *1126:9 *1384:9 0 +*RES +1 *2826:la_iena[70] *1384:9 29.3541 +2 *1384:9 *1384:12 31.8444 +3 *1384:12 *2820:la_iena_mprj[70] 64.6572 +*END + +*D_NET *1385 0.00894799 +*CONN +*I *2820:la_iena_mprj[71] I *D mgmt_protect +*I *2826:la_iena[71] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[71] 0.00228288 +2 *2826:la_iena[71] 0.00142439 +3 *1385:12 0.00228288 +4 *1385:10 0.00142439 +5 *2820:la_iena_mprj[71] *2820:la_oenb_mprj[71] 0 +6 *1385:10 *2820:la_oenb_mprj[69] 1.87469e-05 +7 *1385:10 *1512:10 0.000884803 +8 *2820:la_data_out_mprj[71] *2820:la_iena_mprj[71] 0 +9 *2826:la_input[71] *1385:10 0.000629908 +10 *872:5 *1385:10 0 +11 *874:9 *2820:la_iena_mprj[71] 0 +12 *1128:7 *1385:10 0 +*RES +1 *2826:la_iena[71] *1385:10 46.8521 +2 *1385:10 *1385:12 4.5 +3 *1385:12 *2820:la_iena_mprj[71] 63.4115 +*END + +*D_NET *1386 0.00700171 +*CONN +*I *2820:la_iena_mprj[72] I *D mgmt_protect +*I *2826:la_iena[72] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[72] 0.00200689 +2 *2826:la_iena[72] 0.00116468 +3 *1386:12 0.00317157 +4 *2820:la_iena_mprj[72] *2820:la_oenb_mprj[72] 0 +5 *2820:la_data_out_mprj[72] *2820:la_iena_mprj[72] 0 +6 *874:9 *2820:la_iena_mprj[72] 0 +7 *874:11 *2820:la_iena_mprj[72] 0.000658569 +8 *874:11 *1386:12 0 +*RES +1 *2826:la_iena[72] *1386:12 39.1508 +2 *1386:12 *2820:la_iena_mprj[72] 63.4115 +*END + +*D_NET *1387 0.00735964 +*CONN +*I *2820:la_iena_mprj[73] I *D mgmt_protect +*I *2826:la_iena[73] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[73] 0.00109533 +2 *2826:la_iena[73] 9.19267e-05 +3 *1387:7 0.00308609 +4 *1387:5 0.00208269 +5 *2820:la_iena_mprj[73] *2820:la_oenb_mprj[73] 0.00100361 +6 *2820:la_data_out_mprj[73] *2820:la_iena_mprj[73] 0 +7 *2820:la_data_out_mprj[74] *2820:la_iena_mprj[73] 0 +8 *875:11 *1387:7 0 +9 *876:7 *2820:la_iena_mprj[73] 0 +10 *1130:7 *2820:la_iena_mprj[73] 0 +11 *1130:7 *1387:7 0 +*RES +1 *2826:la_iena[73] *1387:5 2.61365 +2 *1387:5 *1387:7 54.6667 +3 *1387:7 *2820:la_iena_mprj[73] 35.9437 +*END + +*D_NET *1388 0.00867536 +*CONN +*I *2820:la_iena_mprj[74] I *D mgmt_protect +*I *2826:la_iena[74] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[74] 0.00111718 +2 *2826:la_iena[74] 7.21667e-05 +3 *1388:7 0.00354605 +4 *1388:5 0.00250103 +5 *2820:la_iena_mprj[74] *2820:la_oenb_mprj[73] 0.000530137 +6 *2820:la_iena_mprj[74] *2820:la_oenb_mprj[74] 0 +7 *1388:7 *2820:la_iena_mprj[76] 0.00029381 +8 *1388:7 *2820:la_oenb_mprj[75] 0 +9 *2820:la_data_out_mprj[73] *2820:la_iena_mprj[74] 0.000194701 +10 *2820:la_data_out_mprj[74] *2820:la_iena_mprj[74] 0 +11 *2820:la_data_out_mprj[76] *1388:7 0.00042028 +12 *876:11 *1388:7 0 +13 *878:7 *1388:7 0 +14 *1131:7 *1388:7 0 +*RES +1 *2826:la_iena[74] *1388:5 2.05183 +2 *1388:5 *1388:7 71.3867 +3 *1388:7 *2820:la_iena_mprj[74] 40.7704 +*END + +*D_NET *1389 0.0088889 +*CONN +*I *2820:la_iena_mprj[75] I *D mgmt_protect +*I *2826:la_iena[75] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[75] 0.00110839 +2 *2826:la_iena[75] 7.21667e-05 +3 *1389:12 0.00193129 +4 *1389:7 0.00282536 +5 *1389:5 0.00207462 +6 *2820:la_iena_mprj[75] *2820:la_oenb_mprj[75] 0 +7 *2820:la_iena_mprj[75] *1515:7 0 +8 *1389:12 *1390:12 0.000447953 +9 *1389:12 *1517:12 0.000104941 +10 *2820:la_data_out_mprj[75] *2820:la_iena_mprj[75] 0 +11 *877:11 *1389:7 0 +12 *1131:7 *2820:la_iena_mprj[75] 0.000313321 +13 *1132:7 *1389:7 0 +14 *1132:12 *1389:12 1.08524e-05 +*RES +1 *2826:la_iena[75] *1389:5 2.05183 +2 *1389:5 *1389:7 54.7766 +3 *1389:7 *1389:12 35.7898 +4 *1389:12 *2820:la_iena_mprj[75] 32.6828 +*END + +*D_NET *1390 0.0120611 +*CONN +*I *2820:la_iena_mprj[76] I *D mgmt_protect +*I *2826:la_iena[76] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[76] 0.00108386 +2 *2826:la_iena[76] 7.21667e-05 +3 *1390:12 0.00168201 +4 *1390:7 0.00265124 +5 *1390:5 0.00212525 +6 *2820:la_iena_mprj[76] *2820:la_oenb_mprj[76] 0 +7 *1390:12 *1517:12 0.00289644 +8 *2820:la_data_out_mprj[76] *2820:la_iena_mprj[76] 0 +9 *876:11 *2820:la_iena_mprj[76] 0 +10 *878:8 *1390:12 3.04269e-05 +11 *878:11 *1390:7 0 +12 *879:7 *2820:la_iena_mprj[76] 0 +13 *881:8 *1390:12 0.000723467 +14 *1133:7 *1390:7 0 +15 *1133:10 *1390:12 5.44727e-05 +16 *1388:7 *2820:la_iena_mprj[76] 0.00029381 +17 *1389:12 *1390:12 0.000447953 +*RES +1 *2826:la_iena[76] *1390:5 2.05183 +2 *1390:5 *1390:7 56.0224 +3 *1390:7 *1390:12 47.991 +4 *1390:12 *2820:la_iena_mprj[76] 31.437 +*END + +*D_NET *1391 0.0135156 +*CONN +*I *2820:la_iena_mprj[77] I *D mgmt_protect +*I *2826:la_iena[77] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[77] 0.000914399 +2 *2826:la_iena[77] 7.21667e-05 +3 *1391:10 0.00172146 +4 *1391:9 0.000807066 +5 *1391:7 0.00202702 +6 *1391:5 0.00209919 +7 *2820:la_iena_mprj[77] *2820:la_oenb_mprj[77] 0 +8 *1391:10 *1518:10 0.00169846 +9 *1391:10 *1521:10 0.0029431 +10 *1391:10 *1525:10 3.62662e-06 +11 *2820:la_data_out_mprj[77] *2820:la_iena_mprj[77] 0 +12 *2820:la_data_out_mprj[83] *1391:7 0.000974406 +13 *879:8 *1391:10 0.000122506 +14 *879:11 *1391:7 0 +15 *886:8 *1391:10 5.35646e-05 +16 *1134:7 *1391:7 0 +17 *1134:10 *1391:10 7.86643e-05 +18 *1140:10 *1391:10 0 +*RES +1 *2826:la_iena[77] *1391:5 2.05183 +2 *1391:5 *1391:7 63.0817 +3 *1391:7 *1391:9 4.5 +4 *1391:9 *1391:10 50.6377 +5 *1391:10 *2820:la_iena_mprj[77] 28.8777 +*END + +*D_NET *1392 0.0212085 +*CONN +*I *2820:la_iena_mprj[78] I *D mgmt_protect +*I *2826:la_iena[78] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[78] 0.000630099 +2 *2826:la_iena[78] 3.26469e-05 +3 *1392:10 0.00125777 +4 *1392:9 0.000627667 +5 *1392:7 0.00199371 +6 *1392:5 0.00202636 +7 *2820:la_iena_mprj[78] *2820:la_oenb_mprj[78] 0 +8 *1392:7 *2820:la_iena_mprj[85] 0 +9 *1392:7 *2820:la_oenb_mprj[85] 0.00268408 +10 *1392:10 *1520:10 0.0056691 +11 *2820:la_data_out_mprj[78] *2820:la_iena_mprj[78] 0 +12 *2820:la_data_out_mprj[86] *1392:7 0 +13 *880:8 *1392:10 0.00587509 +14 *880:11 *1392:7 0 +15 *889:7 *1392:7 0.000412024 +16 *1136:10 *1392:10 0 +*RES +1 *2826:la_iena[78] *1392:5 0.928211 +2 *1392:5 *1392:7 70.9715 +3 *1392:7 *1392:9 4.5 +4 *1392:9 *1392:10 63.3936 +5 *1392:10 *2820:la_iena_mprj[78] 20.988 +*END + +*D_NET *1393 0.0222389 +*CONN +*I *2820:la_iena_mprj[79] I *D mgmt_protect +*I *2826:la_iena[79] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[79] 0.000702172 +2 *2826:la_iena[79] 7.21667e-05 +3 *1393:10 0.00148011 +4 *1393:9 0.000777942 +5 *1393:7 0.00188792 +6 *1393:5 0.00196008 +7 *2820:la_iena_mprj[79] *2820:la_oenb_mprj[79] 0 +8 *1393:7 *1530:13 0.00102631 +9 *1393:10 *1520:10 0.000139013 +10 *1393:10 *1523:10 0.00586216 +11 *2820:la_data_out_mprj[79] *2820:la_iena_mprj[79] 0 +12 *2820:la_data_out_mprj[88] *1393:7 0.00117354 +13 *881:11 *1393:7 0 +14 *891:7 *1393:7 0.00044295 +15 *1136:7 *1393:7 0 +16 *1136:10 *1393:10 0.000436184 +17 *1139:10 *1393:10 0.00627833 +*RES +1 *2826:la_iena[79] *1393:5 2.05183 +2 *1393:5 *1393:7 69.3105 +3 *1393:7 *1393:9 4.5 +4 *1393:9 *1393:10 73.9311 +5 *1393:10 *2820:la_iena_mprj[79] 22.649 +*END + +*D_NET *1394 0.190165 +*CONN +*I *2820:la_iena_mprj[7] I *D mgmt_protect +*I *2826:la_iena[7] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[7] 0.000922828 +2 *2826:la_iena[7] 7.21667e-05 +3 *1394:10 0.00762546 +4 *1394:9 0.00670263 +5 *1394:7 0.0026565 +6 *1394:5 0.00272867 +7 *2820:la_iena_mprj[7] *2820:la_oenb_mprj[7] 0 +8 *1394:10 *1416:10 0.0789872 +9 *1394:10 *1448:10 0 +10 *1394:10 *1458:10 0.00488343 +11 *1394:10 *1460:10 0.00409857 +12 *1394:10 *1500:10 0.000102747 +13 *2820:la_data_out_mprj[7] *2820:la_iena_mprj[7] 0 +14 *2826:la_input[7] *1394:7 0 +15 *1127:9 *1394:7 0 +16 *1372:10 *1394:10 0.081385 +*RES +1 *2826:la_iena[7] *1394:5 2.05183 +2 *1394:5 *1394:7 66.6113 +3 *1394:7 *1394:9 3.36879 +4 *1394:9 *1394:10 105.377 +5 *1394:10 *2820:la_iena_mprj[7] 24.2169 +*END + +*D_NET *1395 0.0220524 +*CONN +*I *2820:la_iena_mprj[80] I *D mgmt_protect +*I *2826:la_iena[80] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[80] 0.001004 +2 *2826:la_iena[80] 7.21667e-05 +3 *1395:10 0.00200885 +4 *1395:9 0.00100484 +5 *1395:7 0.00168098 +6 *1395:5 0.00175315 +7 *2820:la_iena_mprj[80] *2820:la_oenb_mprj[80] 0 +8 *1395:7 *2820:la_iena_mprj[90] 0.00211863 +9 *1395:10 *1399:10 0.000257166 +10 *1395:10 *1521:10 0.00704342 +11 *2820:la_data_out_mprj[80] *2820:la_iena_mprj[80] 0 +12 *2820:la_data_out_mprj[90] *1395:7 0.000664854 +13 *883:7 *2820:la_iena_mprj[80] 0 +14 *883:8 *1395:10 0.00297626 +15 *883:11 *1395:7 0 +16 *884:7 *2820:la_iena_mprj[80] 0 +17 *892:8 *1395:10 0.00114388 +18 *894:10 *1395:10 0.000324151 +19 *1137:7 *1395:7 0 +*RES +1 *2826:la_iena[80] *1395:5 2.05183 +2 *1395:5 *1395:7 62.2512 +3 *1395:7 *1395:9 4.5 +4 *1395:9 *1395:10 85.5777 +5 *1395:10 *2820:la_iena_mprj[80] 29.7083 +*END + +*D_NET *1396 0.0238236 +*CONN +*I *2820:la_iena_mprj[81] I *D mgmt_protect +*I *2826:la_iena[81] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[81] 0.000672972 +2 *2826:la_iena[81] 7.21667e-05 +3 *1396:10 0.00242821 +4 *1396:9 0.00175523 +5 *1396:7 0.00259379 +6 *1396:5 0.00266596 +7 *2820:la_iena_mprj[81] *2820:la_oenb_mprj[81] 0 +8 *1396:7 *2820:la_iena_mprj[92] 0 +9 *1396:10 *1520:10 0.00426741 +10 *1396:10 *1536:16 1.43848e-05 +11 *2820:la_data_out_mprj[81] *2820:la_iena_mprj[81] 0 +12 *2820:la_data_out_mprj[93] *1396:7 0 +13 *895:8 *1396:10 0.000194684 +14 *897:5 *1396:7 0.000621103 +15 *1139:7 *1396:7 0 +16 *1139:10 *1396:10 0.00853772 +17 *1152:13 *1396:7 0 +*RES +1 *2826:la_iena[81] *1396:5 2.05183 +2 *1396:5 *1396:7 70.141 +3 *1396:7 *1396:9 4.5 +4 *1396:9 *1396:10 97.2244 +5 *1396:10 *2820:la_iena_mprj[81] 21.8185 +*END + +*D_NET *1397 0.020007 +*CONN +*I *2820:la_iena_mprj[82] I *D mgmt_protect +*I *2826:la_iena[82] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[82] 0.00135231 +2 *2826:la_iena[82] 7.21667e-05 +3 *1397:10 0.00502844 +4 *1397:9 0.00367612 +5 *1397:7 0.00179447 +6 *1397:5 0.00186664 +7 *2820:la_iena_mprj[82] *2820:la_oenb_mprj[82] 0 +8 *2820:la_iena_mprj[82] *1518:7 0 +9 *1397:10 *1531:16 0 +10 *2820:la_data_out_mprj[82] *2820:la_iena_mprj[82] 0 +11 *2820:la_data_out_mprj[95] *1397:7 0.000654368 +12 *881:8 *1397:10 0.00493204 +13 *885:11 *1397:7 0 +14 *886:7 *2820:la_iena_mprj[82] 0 +15 *896:8 *1397:10 0 +16 *899:5 *1397:7 0.000630429 +17 *1134:7 *2820:la_iena_mprj[82] 0 +18 *1140:7 *1397:7 0 +*RES +1 *2826:la_iena[82] *1397:5 2.05183 +2 *1397:5 *1397:7 54.7766 +3 *1397:7 *1397:9 4.5 +4 *1397:9 *1397:10 108.871 +5 *1397:10 *2820:la_iena_mprj[82] 37.1828 +*END + +*D_NET *1398 0.0317989 +*CONN +*I *2820:la_iena_mprj[83] I *D mgmt_protect +*I *2826:la_iena[83] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[83] 0.000980823 +2 *2826:la_iena[83] 7.21667e-05 +3 *1398:10 0.00218874 +4 *1398:9 0.00120792 +5 *1398:7 0.00241502 +6 *1398:5 0.00248719 +7 *2820:la_iena_mprj[83] *2820:la_oenb_mprj[83] 0 +8 *1398:7 *1413:13 0.000565218 +9 *1398:10 *1521:10 5.65165e-05 +10 *1398:10 *1526:10 0.0109264 +11 *2820:la_data_out_mprj[83] *2820:la_iena_mprj[83] 0 +12 *2820:la_data_out_mprj[97] *1398:7 0 +13 *886:8 *1398:10 0.000180727 +14 *886:11 *1398:7 0 +15 *887:8 *1398:10 0.0107182 +16 *1141:7 *1398:7 0 +*RES +1 *2826:la_iena[83] *1398:5 2.05183 +2 *1398:5 *1398:7 63.9122 +3 *1398:7 *1398:9 4.5 +4 *1398:9 *1398:10 120.518 +5 *1398:10 *2820:la_iena_mprj[83] 28.0472 +*END + +*D_NET *1399 0.0306432 +*CONN +*I *2820:la_iena_mprj[84] I *D mgmt_protect +*I *2826:la_iena[84] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[84] 0.00103054 +2 *2826:la_iena[84] 7.21667e-05 +3 *1399:10 0.00272894 +4 *1399:9 0.00169841 +5 *1399:7 0.00265753 +6 *1399:5 0.0027297 +7 *2820:la_iena_mprj[84] *2820:la_oenb_mprj[84] 0 +8 *1399:7 *2820:la_iena_mprj[99] 0 +9 *1399:7 *2820:la_oenb_mprj[99] 0.000100655 +10 *1399:10 *1521:10 0.00379363 +11 *1399:10 *1526:10 0.0112659 +12 *2820:la_data_out_mprj[100] *1399:7 0 +13 *2820:la_data_out_mprj[84] *2820:la_iena_mprj[84] 0 +14 *887:8 *1399:10 0.000231312 +15 *887:11 *1399:7 0 +16 *894:10 *1399:10 0.00127989 +17 *894:12 *1399:10 0.00279738 +18 *1142:9 *1399:7 0 +19 *1395:10 *1399:10 0.000257166 +*RES +1 *2826:la_iena[84] *1399:5 2.05183 +2 *1399:5 *1399:7 63.0817 +3 *1399:7 *1399:9 4.5 +4 *1399:9 *1399:10 132.719 +5 *1399:10 *2820:la_iena_mprj[84] 28.8777 +*END + +*D_NET *1400 0.0268194 +*CONN +*I *2820:la_iena_mprj[85] I *D mgmt_protect +*I *2826:la_iena[85] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[85] 0.00250665 +2 *2826:la_iena[85] 0.000962411 +3 *1400:12 0.00250665 +4 *1400:10 0.00325688 +5 *1400:9 0.00421929 +6 *2820:la_iena_mprj[85] *2820:la_oenb_mprj[85] 0 +7 *1400:9 *1531:15 8.62625e-06 +8 *1400:10 *1401:10 0.000183223 +9 *1400:10 *1532:10 6.50586e-05 +10 *2820:la_data_out_mprj[85] *2820:la_iena_mprj[85] 0 +11 *2826:la_input[85] *1400:9 0 +12 *1135:7 *2820:la_iena_mprj[85] 0 +13 *1143:9 *1400:9 0 +14 *1143:10 *1400:10 0.0131106 +15 *1392:7 *2820:la_iena_mprj[85] 0 +*RES +1 *2826:la_iena[85] *1400:9 29.7693 +2 *1400:9 *1400:10 143.811 +3 *1400:10 *1400:12 4.5 +4 *1400:12 *2820:la_iena_mprj[85] 64.242 +*END + +*D_NET *1401 0.0386259 +*CONN +*I *2820:la_iena_mprj[86] I *D mgmt_protect +*I *2826:la_iena[86] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[86] 0.00211273 +2 *2826:la_iena[86] 0.00100528 +3 *1401:12 0.00211273 +4 *1401:10 0.0011248 +5 *1401:9 0.00213008 +6 *2820:la_iena_mprj[86] *2820:la_oenb_mprj[86] 0 +7 *2820:la_iena_mprj[86] *1520:7 0 +8 *1401:9 *1536:13 8.62625e-06 +9 *1401:10 *1532:10 0.00200006 +10 *2820:la_data_out_mprj[86] *2820:la_iena_mprj[86] 0 +11 *880:11 *2820:la_iena_mprj[86] 0.000879645 +12 *1143:10 *1401:10 0.0123311 +13 *1144:9 *1401:9 0 +14 *1145:10 *1401:10 0.0147376 +15 *1400:10 *1401:10 0.000183223 +*RES +1 *2826:la_iena[86] *1401:9 30.5998 +2 *1401:9 *1401:10 156.012 +3 *1401:10 *1401:12 4.5 +4 *1401:12 *2820:la_iena_mprj[86] 63.4115 +*END + +*D_NET *1402 0.0394565 +*CONN +*I *2820:la_iena_mprj[87] I *D mgmt_protect +*I *2826:la_iena[87] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[87] 0.00237616 +2 *2826:la_iena[87] 0.000915414 +3 *1402:12 0.00237616 +4 *1402:10 0.00139051 +5 *1402:9 0.00230592 +6 *2820:la_iena_mprj[87] *1520:7 0 +7 *2820:la_iena_mprj[87] *1530:13 0 +8 *1402:9 *1539:13 3.31733e-05 +9 *1402:10 *1527:10 0 +10 *1402:10 *1528:10 0.0128959 +11 *1402:10 *1530:10 0.00135533 +12 *2820:la_data_out_mprj[87] *2820:la_iena_mprj[87] 0 +13 *2826:la_input[87] *1402:9 0 +14 *785:5 *1402:9 0.000428789 +15 *1136:7 *2820:la_iena_mprj[87] 0 +16 *1147:10 *1402:10 0.0153791 +*RES +1 *2826:la_iena[87] *1402:9 31.4059 +2 *1402:9 *1402:10 168.768 +3 *1402:10 *1402:12 4.5 +4 *1402:12 *2820:la_iena_mprj[87] 60.9199 +*END + +*D_NET *1403 0.0422545 +*CONN +*I *2820:la_iena_mprj[88] I *D mgmt_protect +*I *2826:la_iena[88] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[88] 0.00185106 +2 *2826:la_iena[88] 0.00173487 +3 *1403:12 0.00185106 +4 *1403:10 0.00192367 +5 *1403:9 0.00365854 +6 *2820:la_iena_mprj[88] *2820:la_oenb_mprj[88] 0 +7 *1403:10 *1408:10 0.0135507 +8 *1403:10 *1541:12 0.00012426 +9 *1403:10 *1542:10 0.000426168 +10 *2820:la_data_out_mprj[88] *2820:la_iena_mprj[88] 0 +11 *787:5 *1403:9 0 +12 *881:11 *2820:la_iena_mprj[88] 0.000389313 +13 *891:11 *1403:9 0 +14 *897:8 *1403:10 1.41853e-05 +15 *1146:9 *1403:9 0 +16 *1146:10 *1403:10 0.0162945 +17 *1151:10 *1403:10 0.000436184 +*RES +1 *2826:la_iena[88] *1403:9 42.6421 +2 *1403:9 *1403:10 179.306 +3 *1403:10 *1403:12 4.5 +4 *1403:12 *2820:la_iena_mprj[88] 51.3691 +*END + +*D_NET *1404 0.0475389 +*CONN +*I *2820:la_iena_mprj[89] I *D mgmt_protect +*I *2826:la_iena[89] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[89] 0.00153749 +2 *2826:la_iena[89] 0.00115737 +3 *1404:12 0.00153749 +4 *1404:10 0.00141308 +5 *1404:9 0.00257045 +6 *2820:la_iena_mprj[89] *2820:la_oenb_mprj[89] 0 +7 *2820:la_iena_mprj[89] *1521:7 0 +8 *1404:10 *1406:10 0.0171821 +9 *1404:10 *1420:10 0.000114491 +10 *2820:la_iena_mprj[111] *1404:9 0.00017968 +11 *791:5 *1404:9 0 +12 *892:11 *1404:9 0 +13 *1137:7 *2820:la_iena_mprj[89] 0 +14 *1147:9 *1404:9 0 +15 *1147:10 *1404:10 0.000347135 +16 *1148:10 *1404:10 0.0179594 +17 *1148:13 *2820:la_iena_mprj[89] 0.00354022 +*RES +1 *2826:la_iena[89] *1404:9 34.3371 +2 *1404:9 *1404:10 190.398 +3 *1404:10 *1404:12 4.5 +4 *1404:12 *2820:la_iena_mprj[89] 59.6742 +*END + +*D_NET *1405 0.1951 +*CONN +*I *2820:la_iena_mprj[8] I *D mgmt_protect +*I *2826:la_iena[8] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[8] 0.00137146 +2 *2826:la_iena[8] 0.00142845 +3 *1405:15 0.00252169 +4 *1405:10 0.010573 +5 *1405:9 0.0108512 +6 *2820:la_iena_mprj[8] *2820:la_oenb_mprj[8] 0 +7 *1405:10 *1451:10 0 +8 *1405:10 *1511:10 0.000102747 +9 *1405:10 *1533:10 0.0803639 +10 *1405:15 *2820:la_oenb_mprj[8] 0 +11 *2820:la_data_out_mprj[8] *2820:la_iena_mprj[8] 0.000378862 +12 *882:14 *1405:10 0.00123814 +13 *893:11 *1405:9 0 +14 *1097:15 *1405:15 0.000301647 +15 *1138:7 *1405:9 0 +16 *1160:14 *1405:10 0.000101365 +17 *1327:10 *1405:10 0.000110257 +18 *1329:10 *1405:10 0.00310303 +19 *1331:10 *1405:10 0.00275866 +20 *1356:7 *2820:la_iena_mprj[8] 0.000164352 +21 *1356:7 *1405:15 0.000128019 +22 *1383:10 *1405:10 0.0796029 +*RES +1 *2826:la_iena[8] *1405:9 38.8118 +2 *1405:9 *1405:10 103.771 +3 *1405:10 *1405:15 25.5481 +4 *1405:15 *2820:la_iena_mprj[8] 33.5255 +*END + +*D_NET *1406 0.0474741 +*CONN +*I *2820:la_iena_mprj[90] I *D mgmt_protect +*I *2826:la_iena[90] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[90] 0.00189621 +2 *2826:la_iena[90] 0.00113146 +3 *1406:12 0.00189621 +4 *1406:10 0.00162742 +5 *1406:9 0.00275888 +6 *2820:la_iena_mprj[90] *2820:la_oenb_mprj[90] 0 +7 *1406:10 *1420:10 0.000107179 +8 *1406:10 *1540:10 0.00105801 +9 *2820:la_data_out_mprj[90] *2820:la_iena_mprj[90] 0 +10 *793:7 *1406:9 0.000216312 +11 *883:11 *2820:la_iena_mprj[90] 0 +12 *1147:10 *1406:10 0.0167148 +13 *1148:9 *1406:9 0 +14 *1148:10 *1406:10 0.000645974 +15 *1150:10 *1406:10 0.000120974 +16 *1395:7 *2820:la_iena_mprj[90] 0.00211863 +17 *1404:10 *1406:10 0.0171821 +*RES +1 *2826:la_iena[90] *1406:9 33.9218 +2 *1406:9 *1406:10 202.599 +3 *1406:10 *1406:12 4.5 +4 *1406:12 *2820:la_iena_mprj[90] 60.0894 +*END + +*D_NET *1407 0.0514105 +*CONN +*I *2820:la_iena_mprj[91] I *D mgmt_protect +*I *2826:la_iena[91] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[91] 0.00167523 +2 *2826:la_iena[91] 0.0017978 +3 *1407:12 0.00167523 +4 *1407:10 0.00176581 +5 *1407:9 0.0035636 +6 *2820:la_iena_mprj[91] *2820:la_oenb_mprj[91] 0 +7 *2820:la_iena_mprj[91] *1523:7 0.000864653 +8 *1407:10 *1535:10 0.01988 +9 *1407:10 *1541:12 0.000102215 +10 *2820:la_data_out_mprj[91] *2820:la_iena_mprj[91] 0 +11 *795:5 *1407:9 0 +12 *895:11 *1407:9 0 +13 *1150:9 *1407:9 0 +14 *1151:10 *1407:10 0.020086 +*RES +1 *2826:la_iena[91] *1407:9 43.8879 +2 *1407:9 *1407:10 214.246 +3 *1407:10 *1407:12 4.5 +4 *1407:12 *2820:la_iena_mprj[91] 50.1234 +*END + +*D_NET *1408 0.0549695 +*CONN +*I *2820:la_iena_mprj[92] I *D mgmt_protect +*I *2826:la_iena[92] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[92] 0.0013243 +2 *2826:la_iena[92] 0.00159238 +3 *1408:12 0.0013243 +4 *1408:10 0.00193321 +5 *1408:9 0.00352559 +6 *2820:la_iena_mprj[92] *2820:la_oenb_mprj[92] 0 +7 *1408:10 *1541:12 0.000216928 +8 *1408:10 *1542:10 0.000288876 +9 *1408:10 *1543:10 0.00708059 +10 *798:5 *1408:9 0.000386896 +11 *896:11 *1408:9 0 +12 *897:5 *2820:la_iena_mprj[92] 0 +13 *1151:10 *1408:10 0.0207127 +14 *1152:13 *2820:la_iena_mprj[92] 0.00303307 +15 *1396:7 *2820:la_iena_mprj[92] 0 +16 *1403:10 *1408:10 0.0135507 +*RES +1 *2826:la_iena[92] *1408:9 41.9338 +2 *1408:9 *1408:10 227.002 +3 *1408:10 *1408:12 4.5 +4 *1408:12 *2820:la_iena_mprj[92] 50.9539 +*END + +*D_NET *1409 0.0571829 +*CONN +*I *2820:la_iena_mprj[93] I *D mgmt_protect +*I *2826:la_iena[93] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[93] 0.00167315 +2 *2826:la_iena[93] 0.00150932 +3 *1409:12 0.00167315 +4 *1409:10 0.00194601 +5 *1409:9 0.00345533 +6 *2820:la_iena_mprj[93] *2820:la_oenb_mprj[93] 0 +7 *1409:10 *1410:10 0.0215393 +8 *1409:10 *1414:12 0.000781971 +9 *2820:la_data_out_mprj[93] *2820:la_iena_mprj[93] 0 +10 *2826:la_input[93] *1409:9 0 +11 *801:5 *1409:9 0.000367245 +12 *884:11 *2820:la_iena_mprj[93] 0.00197577 +13 *897:8 *1409:10 0.000183127 +14 *898:5 *2820:la_iena_mprj[93] 0 +15 *898:8 *1409:10 0.0219004 +16 *1152:9 *1409:9 0 +17 *1152:10 *1409:10 0.000178097 +*RES +1 *2826:la_iena[93] *1409:9 40.5659 +2 *1409:9 *1409:10 237.539 +3 *1409:10 *1409:12 4.5 +4 *1409:12 *2820:la_iena_mprj[93] 53.4454 +*END + +*D_NET *1410 0.0589653 +*CONN +*I *2820:la_iena_mprj[94] I *D mgmt_protect +*I *2826:la_iena[94] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[94] 0.00167894 +2 *2826:la_iena[94] 0.0015858 +3 *1410:12 0.00167894 +4 *1410:10 0.00203394 +5 *1410:9 0.00361974 +6 *2820:la_iena_mprj[94] *2820:la_oenb_mprj[94] 0 +7 *1410:9 *1424:25 0.000238049 +8 *1410:9 *1443:13 0 +9 *1410:10 *1411:10 0.0225885 +10 *2820:la_data_out_mprj[94] *2820:la_iena_mprj[94] 0 +11 *2826:la_input[94] *1410:9 0 +12 *803:5 *1410:9 0 +13 *897:8 *1410:10 0.000351263 +14 *898:8 *1410:10 0.000824055 +15 *1140:7 *2820:la_iena_mprj[94] 0.00186592 +16 *1153:9 *1410:9 0 +17 *1158:12 *1410:10 0.000960823 +18 *1409:10 *1410:10 0.0215393 +*RES +1 *2826:la_iena[94] *1410:9 40.9811 +2 *1410:9 *1410:10 249.186 +3 *1410:10 *1410:12 4.5 +4 *1410:12 *2820:la_iena_mprj[94] 53.0301 +*END + +*D_NET *1411 0.0585867 +*CONN +*I *2820:la_iena_mprj[95] I *D mgmt_protect +*I *2826:la_iena[95] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[95] 0.00208501 +2 *2826:la_iena[95] 0.00169174 +3 *1411:12 0.00208501 +4 *1411:10 0.00235823 +5 *1411:9 0.00404998 +6 *2820:la_iena_mprj[95] *2820:la_oenb_mprj[95] 0 +7 *1411:10 *1413:10 0 +8 *1411:10 *1542:10 0.00148512 +9 *2820:la_data_out_mprj[95] *2820:la_iena_mprj[95] 0 +10 *2826:la_input[95] *1411:9 0 +11 *885:11 *2820:la_iena_mprj[95] 0 +12 *897:8 *1411:10 0.0211827 +13 *898:8 *1411:10 0.000114773 +14 *900:7 *2820:la_iena_mprj[95] 0 +15 *1154:9 *1411:9 0 +16 *1154:10 *1411:10 6.22677e-05 +17 *1158:12 *1411:10 0.000883336 +18 *1410:10 *1411:10 0.0225885 +*RES +1 *2826:la_iena[95] *1411:9 41.3964 +2 *1411:9 *1411:10 260.832 +3 *1411:10 *1411:12 4.5 +4 *1411:12 *2820:la_iena_mprj[95] 52.6149 +*END + +*D_NET *1412 0.0637427 +*CONN +*I *2820:la_iena_mprj[96] I *D mgmt_protect +*I *2826:la_iena[96] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[96] 0.00196536 +2 *2826:la_iena[96] 0.00135128 +3 *1412:12 0.00196536 +4 *1412:10 0.00214675 +5 *1412:9 0.00349803 +6 *2820:la_iena_mprj[96] *2820:la_oenb_mprj[96] 0 +7 *2820:la_iena_mprj[96] *1525:7 0.000900005 +8 *1412:9 *2820:la_oenb_mprj[127] 0 +9 *1412:9 *2820:mprj_cyc_o_core 2.35251e-05 +10 *1412:9 *2820:mprj_stb_o_core 0.000317095 +11 *1412:10 *1413:10 0.000694271 +12 *1412:10 *1418:12 0.000889949 +13 *2820:la_data_out_mprj[96] *2820:la_iena_mprj[96] 0 +14 *899:8 *1412:10 0.0242773 +15 *900:11 *1412:9 0 +16 *1035:10 *1412:10 0.000426168 +17 *1141:7 *2820:la_iena_mprj[96] 0 +18 *1155:10 *1412:10 0.0251843 +19 *1156:10 *1412:10 0.000103234 +*RES +1 *2826:la_iena[96] *1412:9 35.9737 +2 *1412:9 *1412:10 274.698 +3 *1412:10 *1412:12 4.5 +4 *1412:12 *2820:la_iena_mprj[96] 56.3522 +*END + +*D_NET *1413 0.0624848 +*CONN +*I *2820:la_iena_mprj[97] I *D mgmt_protect +*I *2826:la_iena[97] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[97] 6.22868e-05 +2 *2826:la_iena[97] 0.00154847 +3 *1413:13 0.0013619 +4 *1413:12 0.00129961 +5 *1413:10 0.0031192 +6 *1413:9 0.00466767 +7 *1413:9 *2820:mprj_adr_o_core[1] 0 +8 *1413:9 *1836:5 0 +9 *1413:10 *1542:10 0 +10 *1413:13 *2820:la_oenb_mprj[97] 0.00311302 +11 *2820:la_data_out_mprj[97] *1413:13 0 +12 *886:11 *1413:13 0 +13 *899:8 *1413:10 0.0232854 +14 *901:11 *1413:9 0 +15 *1035:10 *1413:10 0.000422918 +16 *1036:15 *1413:9 2.96378e-05 +17 *1153:10 *1413:10 0.0203716 +18 *1154:10 *1413:10 0.000833114 +19 *1156:9 *1413:9 0 +20 *1157:12 *1413:10 0.00111048 +21 *1398:7 *1413:13 0.000565218 +22 *1411:10 *1413:10 0 +23 *1412:10 *1413:10 0.000694271 +*RES +1 *2826:la_iena[97] *1413:9 38.4896 +2 *1413:9 *1413:10 282.462 +3 *1413:10 *1413:12 4.5 +4 *1413:12 *1413:13 55.6072 +5 *1413:13 *2820:la_iena_mprj[97] 1.77093 +*END + +*D_NET *1414 0.0803472 +*CONN +*I *2820:la_iena_mprj[98] I *D mgmt_protect +*I *2826:la_iena[98] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[98] 0.0019638 +2 *2826:la_iena[98] 0.00163218 +3 *1414:12 0.00607943 +4 *1414:9 0.00574782 +5 *2820:la_iena_mprj[98] *2820:la_oenb_mprj[98] 0 +6 *2820:la_iena_mprj[98] *1526:7 0.000531447 +7 *1414:9 *1851:5 0 +8 *2820:la_data_out_mprj[98] *2820:la_iena_mprj[98] 0 +9 *2826:la_input[98] *1414:9 0 +10 *898:8 *1414:12 0.000960823 +11 *1157:9 *1414:9 0 +12 *1157:12 *1414:12 0.000837974 +13 *1158:12 *1414:12 0.031921 +14 *1290:12 *1414:12 0.0298908 +15 *1409:10 *1414:12 0.000781971 +*RES +1 *2826:la_iena[98] *1414:9 38.8118 +2 *1414:9 *1414:12 44.2644 +3 *1414:12 *2820:la_iena_mprj[98] 54.0683 +*END + +*D_NET *1415 0.0709506 +*CONN +*I *2820:la_iena_mprj[99] I *D mgmt_protect +*I *2826:la_iena[99] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[99] 0.00240699 +2 *2826:la_iena[99] 0.00147413 +3 *1415:12 0.00240699 +4 *1415:10 0.00240694 +5 *1415:9 0.00388107 +6 *2820:la_iena_mprj[99] *2820:la_oenb_mprj[99] 0 +7 *1415:9 *1786:27 6.97621e-06 +8 *1415:10 *1419:10 0.0270548 +9 *1415:10 *1420:10 0.000810936 +10 *1415:10 *1527:10 0.00148607 +11 *1415:10 *1534:10 0.000159075 +12 *2820:la_data_out_mprj[99] *2820:la_iena_mprj[99] 0 +13 *2826:la_input[99] *1415:9 0 +14 *783:11 *1415:9 7.09666e-06 +15 *1035:10 *1415:10 0.000143969 +16 *1036:10 *1415:10 0.0039112 +17 *1142:9 *2820:la_iena_mprj[99] 2.1203e-06 +18 *1156:10 *1415:10 0.0246426 +19 *1158:9 *1415:9 0 +20 *1159:12 *1415:10 0.000149641 +21 *1399:7 *2820:la_iena_mprj[99] 0 +*RES +1 *2826:la_iena[99] *1415:9 36.4134 +2 *1415:9 *1415:10 307.419 +3 *1415:10 *1415:12 4.5 +4 *1415:12 *2820:la_iena_mprj[99] 57.5979 +*END + +*D_NET *1416 0.186639 +*CONN +*I *2820:la_iena_mprj[9] I *D mgmt_protect +*I *2826:la_iena[9] O *D mgmt_core_wrapper +*CAP +1 *2820:la_iena_mprj[9] 0.000868306 +2 *2826:la_iena[9] 1.28869e-05 +3 *1416:10 0.00743442 +4 *1416:9 0.00656611 +5 *1416:7 0.00275544 +6 *1416:5 0.00276832 +7 *2820:la_iena_mprj[9] *2820:la_oenb_mprj[9] 0 +8 *1416:10 *1448:10 0 +9 *1416:10 *1464:10 0.00346137 +10 *1416:10 *1522:10 0.00127511 +11 *1416:10 *1544:10 0.000268945 +12 *2820:la_data_out_mprj[9] *2820:la_iena_mprj[9] 0 +13 *1149:9 *1416:7 0 +14 *1300:10 *1416:10 0.0784758 +15 *1334:10 *1416:10 0.003765 +16 *1394:10 *1416:10 0.0789872 +*RES +1 *2826:la_iena[9] *1416:5 0.366399 +2 *1416:5 *1416:7 67.4418 +3 *1416:7 *1416:9 3.36879 +4 *1416:9 *1416:10 102.394 +5 *1416:10 *2820:la_iena_mprj[9] 23.3864 +*END + +*D_NET *1417 0.199649 +*CONN +*I *2820:la_oenb_mprj[0] I *D mgmt_protect +*I *2826:la_oenb[0] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[0] 0.00309788 +2 *2826:la_oenb[0] 0.00117431 +3 *1417:12 0.00309788 +4 *1417:10 0.0196881 +5 *1417:9 0.0208624 +6 *2820:la_oenb_mprj[0] *1480:15 0.000278918 +7 *1417:10 *1451:10 0.0578426 +8 *1417:10 *1467:10 0.0863087 +9 *2820:la_iena_mprj[0] *2820:la_oenb_mprj[0] 0 +10 *2826:la_input[0] *1417:9 0 +11 *777:14 *1417:10 0.000102438 +12 *816:7 *2820:la_oenb_mprj[0] 0 +13 *1033:7 *1417:9 0 +14 *1072:13 *2820:la_oenb_mprj[0] 0 +15 *1339:10 *1417:10 0.00375675 +16 *1339:16 *1417:10 0.000108607 +17 *1361:10 *1417:10 0.00333069 +*RES +1 *2826:la_oenb[0] *1417:9 34.6593 +2 *1417:9 *1417:10 115.55 +3 *1417:10 *1417:12 3.36879 +4 *1417:12 *2820:la_oenb_mprj[0] 58.2208 +*END + +*D_NET *1418 0.0893085 +*CONN +*I *2820:la_oenb_mprj[100] I *D mgmt_protect +*I *2826:la_oenb[100] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[100] 0.00162468 +2 *2826:la_oenb[100] 0.00155191 +3 *1418:12 0.00646907 +4 *1418:9 0.0063963 +5 *1418:9 *1828:5 0 +6 *1418:12 *1420:10 0.000665242 +7 *1418:12 *1851:8 0.000130331 +8 *1418:12 *1891:24 9.47718e-05 +9 *1418:12 *1915:32 0.00385521 +10 *1418:12 *1919:16 0.000328712 +11 *2820:la_data_out_mprj[101] *2820:la_oenb_mprj[100] 0 +12 *2826:la_input[100] *1418:9 0 +13 *779:7 *2820:la_oenb_mprj[100] 0 +14 *887:11 *2820:la_oenb_mprj[100] 0 +15 *899:8 *1418:12 0.000680605 +16 *1034:9 *1418:9 0 +17 *1157:12 *1418:12 0.0293253 +18 *1159:12 *1418:12 0.033613 +19 *1290:12 *1418:12 0.000481615 +20 *1290:13 *2820:la_oenb_mprj[100] 0.00320178 +21 *1412:10 *1418:12 0.000889949 +*RES +1 *2826:la_oenb[100] *1418:9 36.3203 +2 *1418:9 *1418:12 48.5479 +3 *1418:12 *2820:la_oenb_mprj[100] 56.5598 +*END + +*D_NET *1419 0.0670699 +*CONN +*I *2820:la_oenb_mprj[101] I *D mgmt_protect +*I *2826:la_oenb[101] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[101] 0.00248068 +2 *2826:la_oenb[101] 0.00148331 +3 *1419:12 0.00248068 +4 *1419:10 0.00512508 +5 *1419:9 0.0066084 +6 *2820:la_oenb_mprj[101] *1532:13 0 +7 *1419:9 *1830:5 0 +8 *1419:10 *1420:10 0.000882073 +9 *1419:10 *1534:10 0.0108907 +10 *1419:10 *1540:10 0 +11 *1419:10 *1768:24 0 +12 *1419:10 *1782:10 8.98392e-05 +13 *1419:10 *1784:10 0.000332359 +14 *1419:10 *1786:24 0.00465697 +15 *1419:10 *1836:8 0 +16 *1419:10 *1847:8 0 +17 *1419:10 *2495:10 0 +18 *2820:la_data_out_mprj[101] *2820:la_oenb_mprj[101] 0 +19 *2820:la_data_out_mprj[102] *2820:la_oenb_mprj[101] 0 +20 *2820:la_iena_mprj[101] *2820:la_oenb_mprj[101] 0 +21 *2826:la_input[101] *1419:9 0 +22 *780:7 *2820:la_oenb_mprj[101] 0 +23 *783:14 *1419:10 0.00476094 +24 *1036:10 *1419:10 1.41853e-05 +25 *1150:10 *1419:10 0.00020979 +26 *1415:10 *1419:10 0.0270548 +*RES +1 *2826:la_oenb[101] *1419:9 35.9981 +2 *1419:9 *1419:10 339.032 +3 *1419:10 *1419:12 4.5 +4 *1419:12 *2820:la_oenb_mprj[101] 58.0132 +*END + +*D_NET *1420 0.0845946 +*CONN +*I *2820:la_oenb_mprj[102] I *D mgmt_protect +*I *2826:la_oenb[102] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[102] 0.00228034 +2 *2826:la_oenb[102] 0.00136199 +3 *1420:12 0.00228034 +4 *1420:10 0.012111 +5 *1420:9 0.013473 +6 *2820:la_oenb_mprj[102] *1531:15 0 +7 *2820:la_oenb_mprj[102] *1532:13 0 +8 *1420:10 *1534:10 0.000504041 +9 *1420:10 *1823:10 0.0141654 +10 *1420:10 *1891:24 0.000656921 +11 *1420:10 *1895:24 0.00056957 +12 *1420:10 *1897:16 0.000276702 +13 *2820:la_data_out_mprj[103] *2820:la_oenb_mprj[102] 0 +14 *2820:la_iena_mprj[102] *2820:la_oenb_mprj[102] 0 +15 *2826:la_input[102] *1420:9 0 +16 *2826:la_input[85] *2820:la_oenb_mprj[102] 0.000882194 +17 *781:7 *2820:la_oenb_mprj[102] 0 +18 *782:14 *1420:10 0.000133887 +19 *783:14 *1420:10 0.000252177 +20 *1035:10 *1420:10 0.000216928 +21 *1036:9 *1420:9 0 +22 *1147:10 *1420:10 0.000102215 +23 *1148:10 *1420:10 0.00012426 +24 *1150:10 *1420:10 0.000463392 +25 *1159:12 *1420:10 0.0317539 +26 *1293:15 *1420:9 0.000406526 +27 *1404:10 *1420:10 0.000114491 +28 *1406:10 *1420:10 0.000107179 +29 *1415:10 *1420:10 0.000810936 +30 *1418:12 *1420:10 0.000665242 +31 *1419:10 *1420:10 0.000882073 +*RES +1 *2826:la_oenb[102] *1420:9 34.6593 +2 *1420:9 *1420:10 48.3917 +3 *1420:10 *1420:12 3.36879 +4 *1420:12 *2820:la_oenb_mprj[102] 58.2208 +*END + +*D_NET *1421 0.0733591 +*CONN +*I *2820:la_oenb_mprj[103] I *D mgmt_protect +*I *2826:la_oenb[103] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[103] 0.00167017 +2 *2826:la_oenb[103] 0.00230209 +3 *1421:14 0.00603827 +4 *1421:13 0.0043681 +5 *1421:11 0.00230209 +6 *2820:la_oenb_mprj[103] *1537:13 0 +7 *1421:11 *1770:27 0.000285156 +8 *1421:11 *1838:5 0.000125004 +9 *1421:11 *1851:13 0 +10 *1421:14 *1424:26 0.000910581 +11 *2820:la_iena_mprj[103] *2820:la_oenb_mprj[103] 0 +12 *2826:la_input[103] *1421:11 0 +13 *782:7 *2820:la_oenb_mprj[103] 0 +14 *890:8 *1421:14 0.00304932 +15 *1037:9 *1421:11 0 +16 *1043:14 *1421:14 0 +17 *1045:10 *1421:14 0.000795008 +18 *1049:14 *1421:14 0.00021369 +19 *1050:16 *1421:14 0.00035468 +20 *1051:10 *1421:14 0.0238775 +21 *1062:10 *1421:14 0.000143882 +22 *1293:16 *1421:14 0 +23 *1295:15 *1421:11 8.62625e-06 +24 *1303:10 *1421:14 0.0269149 +*RES +1 *2826:la_oenb[103] *1421:11 49.5738 +2 *1421:11 *1421:13 4.5 +3 *1421:13 *1421:14 361.216 +4 *1421:14 *2820:la_oenb_mprj[103] 45.9031 +*END + +*D_NET *1422 0.0838248 +*CONN +*I *2820:la_oenb_mprj[104] I *D mgmt_protect +*I *2826:la_oenb[104] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[104] 0.000861322 +2 *2826:la_oenb[104] 0.0011953 +3 *1422:16 0.00431502 +4 *1422:15 0.00544399 +5 *1422:12 0.00318559 +6 *2820:la_oenb_mprj[104] *1536:13 0.000378573 +7 *1422:12 *1424:10 0.000749011 +8 *1422:12 *1433:10 0.000744813 +9 *1422:15 *2820:mprj_adr_o_core[23] 0.000774926 +10 *1422:15 *1840:5 0.000243414 +11 *1422:16 *1423:10 0.000139013 +12 *1422:16 *1837:8 0.000287828 +13 *2820:la_data_out_mprj[105] *2820:la_oenb_mprj[104] 0 +14 *2820:la_iena_mprj[104] *2820:la_oenb_mprj[104] 0 +15 *2826:la_input[104] *1422:12 0 +16 *2826:la_input[104] *1422:15 0 +17 *783:7 *2820:la_oenb_mprj[104] 0 +18 *1038:9 *1422:12 0 +19 *1038:20 *1422:16 0.00015541 +20 *1042:15 *1422:15 4.27003e-05 +21 *1292:10 *1422:16 0.00027732 +22 *1295:16 *1422:16 0.0323082 +23 *1296:16 *1422:16 0.0327224 +*RES +1 *2826:la_oenb[104] *1422:12 42.2026 +2 *1422:12 *1422:15 45.781 +3 *1422:15 *1422:16 366.207 +4 *1422:16 *2820:la_oenb_mprj[104] 27.632 +*END + +*D_NET *1423 0.0857855 +*CONN +*I *2820:la_oenb_mprj[105] I *D mgmt_protect +*I *2826:la_oenb[105] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[105] 0.00101587 +2 *2826:la_oenb[105] 7.21667e-05 +3 *1423:10 0.00453884 +4 *1423:9 0.00352297 +5 *1423:7 0.003158 +6 *1423:5 0.00323017 +7 *1423:7 *2820:mprj_adr_o_core[27] 0 +8 *1423:7 *2820:mprj_dat_o_core[27] 0.000529552 +9 *1423:10 *1425:16 0.0343407 +10 *1423:10 *1783:30 0.000895596 +11 *1423:10 *1827:8 0.00973412 +12 *2820:la_data_out_mprj[105] *2820:la_oenb_mprj[105] 0 +13 *2820:la_iena_mprj[105] *2820:la_oenb_mprj[105] 0 +14 *2826:la_input[105] *1423:7 0 +15 *784:5 *2820:la_oenb_mprj[105] 0 +16 *1038:20 *1423:10 0.0241557 +17 *1039:9 *1423:7 0 +18 *1296:16 *1423:10 0.000452771 +19 *1314:15 *1423:7 0 +20 *1422:16 *1423:10 0.000139013 +*RES +1 *2826:la_oenb[105] *1423:5 2.05183 +2 *1423:5 *1423:7 63.0817 +3 *1423:7 *1423:9 4.5 +4 *1423:9 *1423:10 385.618 +5 *1423:10 *2820:la_oenb_mprj[105] 28.8777 +*END + +*D_NET *1424 0.0701735 +*CONN +*I *2820:la_oenb_mprj[106] I *D mgmt_protect +*I *2826:la_oenb[106] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[106] 0.00165419 +2 *2826:la_oenb[106] 0.00111638 +3 *1424:26 0.00392171 +4 *1424:25 0.00339791 +5 *1424:20 0.00426651 +6 *1424:19 0.00326189 +7 *1424:10 0.000583388 +8 *1424:9 0.00157401 +9 *1424:10 *1433:10 0.0017217 +10 *1424:20 *1830:10 0.00184495 +11 *1424:20 *1830:12 0.000554491 +12 *1424:25 *1443:13 0 +13 *2820:la_data_out_mprj[106] *2820:la_oenb_mprj[106] 0 +14 *2820:la_iena_mprj[106] *2820:la_oenb_mprj[106] 0 +15 *2826:la_input[106] *1424:9 0 +16 *779:16 *1424:20 0.00215292 +17 *780:16 *1424:20 6.24819e-05 +18 *785:5 *2820:la_oenb_mprj[106] 0 +19 *803:5 *1424:25 0 +20 *890:8 *1424:26 0.000157429 +21 *1037:12 *1424:20 0.00210627 +22 *1040:9 *1424:9 0 +23 *1040:10 *1424:10 0.00462741 +24 *1040:14 *1424:20 0.0193144 +25 *1040:20 *1424:26 0.00153674 +26 *1049:14 *1424:26 0.00738633 +27 *1302:10 *1424:20 0.000759873 +28 *1305:14 *1424:26 0.000710874 +29 *1307:16 *1424:26 0.00556399 +30 *1410:9 *1424:25 0.000238049 +31 *1421:14 *1424:26 0.000910581 +32 *1422:12 *1424:10 0.000749011 +*RES +1 *2826:la_oenb[106] *1424:9 29.3541 +2 *1424:9 *1424:10 48.9739 +3 *1424:10 *1424:19 11.0518 +4 *1424:19 *1424:20 204.818 +5 *1424:20 *1424:25 34.0861 +6 *1424:25 *1424:26 142.702 +7 *1424:26 *2820:la_oenb_mprj[106] 44.2421 +*END + +*D_NET *1425 0.0882756 +*CONN +*I *2820:la_oenb_mprj[107] I *D mgmt_protect +*I *2826:la_oenb[107] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[107] 0.00100809 +2 *2826:la_oenb[107] 0.00195292 +3 *1425:16 0.004553 +4 *1425:15 0.00487719 +5 *1425:12 0.00196717 +6 *1425:9 0.00258781 +7 *1425:12 *1427:10 0.00174516 +8 *1425:12 *1840:8 0.000236016 +9 *1425:12 *1889:10 0.000144814 +10 *1425:12 *1893:24 9.12806e-05 +11 *1425:12 *1902:16 0.000159414 +12 *1425:15 *1778:13 0 +13 *1425:15 *1845:5 0 +14 *1425:16 *1827:8 0.000307898 +15 *1425:16 *1837:8 1.54593e-05 +16 *1425:16 *1841:8 0.000457391 +17 *1425:16 *1844:8 0.000366157 +18 *2820:la_data_out_mprj[107] *2820:la_oenb_mprj[107] 0 +19 *2820:la_iena_mprj[107] *2820:la_oenb_mprj[107] 0 +20 *786:5 *2820:la_oenb_mprj[107] 0 +21 *1041:9 *1425:9 0 +22 *1042:10 *1425:12 0.000578655 +23 *1296:12 *1425:12 0.000220183 +24 *1296:16 *1425:16 0.0321044 +25 *1318:15 *1425:15 0.000561844 +26 *1423:10 *1425:16 0.0343407 +*RES +1 *2826:la_oenb[107] *1425:9 43.033 +2 *1425:9 *1425:12 39.0542 +3 *1425:12 *1425:15 30.0014 +4 *1425:15 *1425:16 376.19 +5 *1425:16 *2820:la_oenb_mprj[107] 28.4625 +*END + +*D_NET *1426 0.0927325 +*CONN +*I *2820:la_oenb_mprj[108] I *D mgmt_protect +*I *2826:la_oenb[108] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[108] 0.00037499 +2 *2826:la_oenb[108] 7.21667e-05 +3 *1426:10 0.00475621 +4 *1426:9 0.00438122 +5 *1426:7 0.00425959 +6 *1426:5 0.00433176 +7 *1426:7 *1437:15 0 +8 *1426:7 *1754:8 0 +9 *1426:7 *1754:12 0 +10 *1426:10 *1429:10 0.0375592 +11 *1426:10 *1430:10 0.0367311 +12 *1426:10 *1734:19 0.000266298 +13 *2820:la_iena_mprj[108] *2820:la_oenb_mprj[108] 0 +14 *2826:la_input[108] *1426:7 0 +15 *787:5 *2820:la_oenb_mprj[108] 0 +*RES +1 *2826:la_oenb[108] *1426:5 2.05183 +2 *1426:5 *1426:7 78.0308 +3 *1426:7 *1426:9 4.5 +4 *1426:9 *1426:10 421.113 +5 *1426:10 *2820:la_oenb_mprj[108] 13.9287 +*END + +*D_NET *1427 0.0859105 +*CONN +*I *2820:la_oenb_mprj[109] I *D mgmt_protect +*I *2826:la_oenb[109] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[109] 0.000857035 +2 *2826:la_oenb[109] 0.00203322 +3 *1427:16 0.00571871 +4 *1427:15 0.0057921 +5 *1427:10 0.00206797 +6 *1427:9 0.00317077 +7 *1427:10 *1889:10 0.000398943 +8 *1427:10 *1893:24 0 +9 *1427:15 *2820:mprj_adr_o_core[31] 0.000234573 +10 *1427:15 *1849:7 0.00105 +11 *1427:16 *1435:16 0.000812944 +12 *1427:16 *1442:16 0.00106214 +13 *1427:16 *1445:16 0.0221705 +14 *1427:16 *1543:16 8.3247e-06 +15 *1427:16 *1779:34 0.00122855 +16 *1427:16 *1785:30 0.0151793 +17 *1427:16 *1914:10 0.000108607 +18 *2820:la_iena_mprj[109] *2820:la_oenb_mprj[109] 0 +19 *2826:la_input[109] *1427:9 0 +20 *789:5 *2820:la_oenb_mprj[109] 0.00149775 +21 *891:11 *2820:la_oenb_mprj[109] 8.62625e-06 +22 *896:8 *1427:16 0 +23 *1038:20 *1427:16 7.74604e-05 +24 *1041:16 *1427:16 0.0163385 +25 *1042:10 *1427:10 0.00399878 +26 *1043:7 *1427:9 0 +27 *1301:10 *1427:10 0.000350533 +28 *1425:12 *1427:10 0.00174516 +*RES +1 *2826:la_oenb[109] *1427:9 45.1336 +2 *1427:9 *1427:10 57.293 +3 *1427:10 *1427:15 31.5946 +4 *1427:15 *1427:16 373.417 +5 *1427:16 *2820:la_oenb_mprj[109] 32.8104 +*END + +*D_NET *1428 0.181053 +*CONN +*I *2820:la_oenb_mprj[10] I *D mgmt_protect +*I *2826:la_oenb[10] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[10] 0.000747553 +2 *2826:la_oenb[10] 7.21667e-05 +3 *1428:10 0.00634941 +4 *1428:9 0.00560186 +5 *1428:7 0.00285605 +6 *1428:5 0.00292821 +7 *1428:10 *1448:10 0 +8 *1428:10 *1466:10 0.00302691 +9 *1428:10 *1544:10 0.0775924 +10 *2820:la_iena_mprj[10] *2820:la_oenb_mprj[10] 0 +11 *2826:la_input[10] *1428:7 0 +12 *799:7 *2820:la_oenb_mprj[10] 0 +13 *1044:7 *1428:7 0 +14 *1080:10 *1428:10 0.00329243 +15 *1300:10 *1428:10 0.0782278 +16 *1320:10 *1428:10 0.000358219 +*RES +1 *2826:la_oenb[10] *1428:5 2.05183 +2 *1428:5 *1428:7 69.1029 +3 *1428:7 *1428:9 3.36879 +4 *1428:9 *1428:10 99.4106 +5 *1428:10 *2820:la_oenb_mprj[10] 21.7254 +*END + +*D_NET *1429 0.0735891 +*CONN +*I *2820:la_oenb_mprj[110] I *D mgmt_protect +*I *2826:la_oenb[110] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[110] 0.000360385 +2 *2826:la_oenb[110] 8.98429e-05 +3 *1429:10 0.00773137 +4 *1429:9 0.00737098 +5 *1429:7 0.00422208 +6 *1429:5 0.00431192 +7 *1429:10 *1430:10 0.00172507 +8 *1429:10 *1734:19 0.0102183 +9 *2820:la_iena_mprj[110] *2820:la_oenb_mprj[110] 0 +10 *2826:la_input[110] *1429:7 0 +11 *790:5 *2820:la_oenb_mprj[110] 0 +12 *1426:10 *1429:10 0.0375592 +*RES +1 *2826:la_oenb[110] *1429:5 2.05183 +2 *1429:5 *1429:7 78.446 +3 *1429:7 *1429:9 4.5 +4 *1429:9 *1429:10 444.406 +5 *1429:10 *2820:la_oenb_mprj[110] 13.5134 +*END + +*D_NET *1430 0.0980561 +*CONN +*I *2820:la_oenb_mprj[111] I *D mgmt_protect +*I *2826:la_oenb[111] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[111] 0.000403248 +2 *2826:la_oenb[111] 8.98429e-05 +3 *1430:10 0.00485928 +4 *1430:9 0.00445603 +5 *1430:7 0.00416412 +6 *1430:5 0.00425396 +7 *1430:10 *1431:10 0.000358824 +8 *1430:10 *1432:10 0.0408863 +9 *1430:10 *1734:19 0.000128346 +10 *2820:la_iena_mprj[111] *2820:la_oenb_mprj[111] 0 +11 *2826:la_input[111] *1430:7 0 +12 *791:5 *2820:la_oenb_mprj[111] 0 +13 *1046:7 *1430:7 0 +14 *1426:10 *1430:10 0.0367311 +15 *1429:10 *1430:10 0.00172507 +*RES +1 *2826:la_oenb[111] *1430:5 2.05183 +2 *1430:5 *1430:7 77.6155 +3 *1430:7 *1430:9 4.5 +4 *1430:9 *1430:10 455.498 +5 *1430:10 *2820:la_oenb_mprj[111] 14.3439 +*END + +*D_NET *1431 0.10288 +*CONN +*I *2820:la_oenb_mprj[112] I *D mgmt_protect +*I *2826:la_oenb[112] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[112] 0.000439279 +2 *2826:la_oenb[112] 8.98429e-05 +3 *1431:10 0.00486913 +4 *1431:9 0.00442985 +5 *1431:7 0.00391455 +6 *1431:5 0.00400439 +7 *1431:7 *1444:15 0.000675162 +8 *1431:10 *1432:10 0.042849 +9 *1431:10 *1434:10 0.0412501 +10 *2820:la_iena_mprj[112] *2820:la_oenb_mprj[112] 0 +11 *2826:la_input[112] *1431:7 0 +12 *792:5 *2820:la_oenb_mprj[112] 0 +13 *1047:7 *1431:7 0 +14 *1430:10 *1431:10 0.000358824 +*RES +1 *2826:la_oenb[112] *1431:5 2.05183 +2 *1431:5 *1431:7 76.785 +3 *1431:7 *1431:9 4.5 +4 *1431:9 *1431:10 467.7 +5 *1431:10 *2820:la_oenb_mprj[112] 15.1744 +*END + +*D_NET *1432 0.103355 +*CONN +*I *2820:la_oenb_mprj[113] I *D mgmt_protect +*I *2826:la_oenb[113] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[113] 0.000424684 +2 *2826:la_oenb[113] 4.06432e-05 +3 *1432:10 0.0051332 +4 *1432:9 0.00470852 +5 *1432:7 0.00399744 +6 *1432:5 0.00403809 +7 *1432:7 *1445:15 0 +8 *1432:7 *1765:21 0.000367353 +9 *1432:10 *1434:10 0.000910091 +10 *1432:10 *1734:19 0 +11 *2820:la_iena_mprj[113] *2820:la_oenb_mprj[113] 0 +12 *2826:la_input[113] *1432:7 0 +13 *793:7 *2820:la_oenb_mprj[113] 0 +14 *1048:7 *1432:7 0 +15 *1430:10 *1432:10 0.0408863 +16 *1431:10 *1432:10 0.042849 +*RES +1 *2826:la_oenb[113] *1432:5 0.928211 +2 *1432:5 *1432:7 77.2003 +3 *1432:7 *1432:9 4.5 +4 *1432:9 *1432:10 479.901 +5 *1432:10 *2820:la_oenb_mprj[113] 14.7592 +*END + +*D_NET *1433 0.101095 +*CONN +*I *2820:la_oenb_mprj[114] I *D mgmt_protect +*I *2826:la_oenb[114] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[114] 0.00132126 +2 *2826:la_oenb[114] 0.00110859 +3 *1433:18 0.00221476 +4 *1433:15 0.00200848 +5 *1433:10 0.00476911 +6 *1433:9 0.00476271 +7 *1433:10 *1441:10 0.040669 +8 *1433:10 *1536:10 0.000685261 +9 *1433:10 *1537:10 0.000372361 +10 *1433:10 *1788:10 8.07438e-05 +11 *1433:10 *1828:14 4.91225e-06 +12 *1433:10 *1953:10 0.0145043 +13 *2820:la_data_out_mprj[119] *1433:15 5.73901e-05 +14 *2820:la_iena_mprj[114] *2820:la_oenb_mprj[114] 0 +15 *2826:la_input[114] *1433:9 0 +16 *794:5 *2820:la_oenb_mprj[114] 0 +17 *798:5 *1433:15 0.00170338 +18 *894:15 *2820:la_oenb_mprj[114] 0.000176813 +19 *896:11 *1433:15 0 +20 *1040:10 *1433:10 0.000144932 +21 *1040:14 *1433:10 0.0223279 +22 *1042:22 *1433:18 0.000856217 +23 *1048:10 *1433:18 0.000860248 +24 *1049:7 *1433:9 0 +25 *1302:10 *1433:10 0 +26 *1422:12 *1433:10 0.000744813 +27 *1424:10 *1433:10 0.0017217 +*RES +1 *2826:la_oenb[114] *1433:9 30.1846 +2 *1433:9 *1433:10 453.835 +3 *1433:10 *1433:15 41.1454 +4 *1433:15 *1433:18 41.8272 +5 *1433:18 *2820:la_oenb_mprj[114] 31.8523 +*END + +*D_NET *1434 0.106824 +*CONN +*I *2820:la_oenb_mprj[115] I *D mgmt_protect +*I *2826:la_oenb[115] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[115] 0.000467556 +2 *2826:la_oenb[115] 8.98429e-05 +3 *1434:10 0.00549701 +4 *1434:9 0.00502946 +5 *1434:7 0.00400293 +6 *1434:5 0.00409277 +7 *1434:7 *1771:29 0 +8 *1434:10 *1436:10 0.0454842 +9 *1434:10 *1734:19 0 +10 *2820:la_iena_mprj[115] *2820:la_oenb_mprj[115] 0 +11 *2826:la_input[115] *1434:7 0 +12 *795:5 *2820:la_oenb_mprj[115] 0 +13 *1050:7 *1434:7 0 +14 *1431:10 *1434:10 0.0412501 +15 *1432:10 *1434:10 0.000910091 +*RES +1 *2826:la_oenb[115] *1434:5 2.05183 +2 *1434:5 *1434:7 76.3698 +3 *1434:7 *1434:9 4.5 +4 *1434:9 *1434:10 502.64 +5 *1434:10 *2820:la_oenb_mprj[115] 15.5897 +*END + +*D_NET *1435 0.110443 +*CONN +*I *2820:la_oenb_mprj[116] I *D mgmt_protect +*I *2826:la_oenb[116] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[116] 0.00123024 +2 *2826:la_oenb[116] 0.0020447 +3 *1435:16 0.00477346 +4 *1435:15 0.00457602 +5 *1435:10 0.00220553 +6 *1435:9 0.00321743 +7 *1435:10 *1437:10 0.0157959 +8 *1435:16 *1437:16 0.0304197 +9 *1435:16 *1442:16 0.000703313 +10 *1435:16 *1444:16 0.0255945 +11 *1435:16 *2487:16 0.000417432 +12 *2820:la_iena_mprj[116] *2820:la_oenb_mprj[116] 0 +13 *796:7 *2820:la_oenb_mprj[116] 0 +14 *895:11 *2820:la_oenb_mprj[116] 0 +15 *896:8 *1435:16 0.00023598 +16 *1051:7 *1435:9 0 +17 *1061:10 *1435:10 0.00148343 +18 *1298:9 *1435:15 7.09666e-06 +19 *1309:16 *1435:16 5.24855e-05 +20 *1314:10 *1435:10 0.0165394 +21 *1318:10 *1435:10 0.000333162 +22 *1427:16 *1435:16 0.000812944 +*RES +1 *2826:la_oenb[116] *1435:9 47.1855 +2 *1435:9 *1435:10 174.314 +3 *1435:10 *1435:15 26.1963 +4 *1435:15 *1435:16 342.359 +5 *1435:16 *2820:la_oenb_mprj[116] 32.615 +*END + +*D_NET *1436 0.112395 +*CONN +*I *2820:la_oenb_mprj[117] I *D mgmt_protect +*I *2826:la_oenb[117] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[117] 0.000488993 +2 *2826:la_oenb[117] 8.98429e-05 +3 *1436:10 0.00555213 +4 *1436:9 0.00506314 +5 *1436:7 0.00393359 +6 *1436:5 0.00402343 +7 *1436:7 *1775:29 0 +8 *1436:10 *1438:10 0.0477599 +9 *1436:10 *1734:19 0 +10 *2820:la_iena_mprj[117] *2820:la_oenb_mprj[117] 0 +11 *2826:la_input[117] *1436:7 0 +12 *797:5 *2820:la_oenb_mprj[117] 0 +13 *1052:7 *1436:7 0 +14 *1434:10 *1436:10 0.0454842 +*RES +1 *2826:la_oenb[117] *1436:5 2.05183 +2 *1436:5 *1436:7 75.9545 +3 *1436:7 *1436:9 4.5 +4 *1436:9 *1436:10 525.933 +5 *1436:10 *2820:la_oenb_mprj[117] 16.0049 +*END + +*D_NET *1437 0.117407 +*CONN +*I *2820:la_oenb_mprj[118] I *D mgmt_protect +*I *2826:la_oenb[118] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[118] 0.00125167 +2 *2826:la_oenb[118] 0.00211949 +3 *1437:16 0.00406998 +4 *1437:15 0.00380708 +5 *1437:10 0.00243928 +6 *1437:9 0.00357001 +7 *1437:16 *1440:16 0.0295491 +8 *1437:16 *1444:16 0.000330532 +9 *1437:16 *2487:16 0.000519812 +10 *2820:la_iena_mprj[118] *2820:la_oenb_mprj[118] 0 +11 *2826:la_input[118] *1437:9 0 +12 *798:5 *2820:la_oenb_mprj[118] 0 +13 *1053:7 *1437:9 0 +14 *1061:10 *1437:10 0.00176421 +15 *1309:16 *1437:16 0.000710874 +16 *1314:10 *1437:10 0.00162851 +17 *1318:10 *1437:10 0.0194304 +18 *1426:7 *1437:15 0 +19 *1435:10 *1437:10 0.0157959 +20 *1435:16 *1437:16 0.0304197 +*RES +1 *2826:la_oenb[118] *1437:9 49.2862 +2 *1437:9 *1437:10 204.818 +3 *1437:10 *1437:15 25.3658 +4 *1437:15 *1437:16 332.931 +5 *1437:16 *2820:la_oenb_mprj[118] 33.0303 +*END + +*D_NET *1438 0.117939 +*CONN +*I *2820:la_oenb_mprj[119] I *D mgmt_protect +*I *2826:la_oenb[119] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[119] 0.000510429 +2 *2826:la_oenb[119] 8.98429e-05 +3 *1438:10 0.00546274 +4 *1438:9 0.00495231 +5 *1438:7 0.00371945 +6 *1438:5 0.00380929 +7 *1438:7 *1785:29 0.000570057 +8 *1438:10 *1734:19 0 +9 *2820:la_iena_mprj[119] *2820:la_oenb_mprj[119] 0 +10 *2826:la_input[119] *1438:7 0 +11 *800:5 *2820:la_oenb_mprj[119] 0 +12 *1054:7 *1438:7 0 +13 *1297:20 *1438:10 0 +14 *1312:10 *1438:10 0.0510654 +15 *1436:10 *1438:10 0.0477599 +*RES +1 *2826:la_oenb[119] *1438:5 2.05183 +2 *1438:5 *1438:7 75.5393 +3 *1438:7 *1438:9 4.5 +4 *1438:9 *1438:10 549.226 +5 *1438:10 *2820:la_oenb_mprj[119] 16.4202 +*END + +*D_NET *1439 0.0807679 +*CONN +*I *2820:la_oenb_mprj[11] I *D mgmt_protect +*I *2826:la_oenb[11] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[11] 0.00151182 +2 *2826:la_oenb[11] 0.000896024 +3 *1439:19 0.00308782 +4 *1439:14 0.00502229 +5 *1439:12 0.00348154 +6 *1439:10 0.0175585 +7 *1439:9 0.0184193 +8 *1439:19 *1485:9 5.88037e-05 +9 *2820:la_iena_mprj[11] *2820:la_oenb_mprj[11] 0 +10 *2820:la_iena_mprj[11] *1439:19 0.000183335 +11 *2826:la_input[46] *1439:19 0 +12 *799:23 *1439:9 0 +13 *808:5 *2820:la_oenb_mprj[11] 0 +14 *808:5 *1439:19 0 +15 *1055:7 *1439:9 0 +16 *1077:10 *1439:10 0 +17 *1078:10 *1439:10 0 +18 *1078:10 *1439:14 0 +19 *1081:10 *1439:10 0 +20 *1081:10 *1439:14 0.00117138 +21 *1085:15 *1439:19 0.00075292 +22 *1091:10 *1439:14 0.00486349 +23 *1097:10 *1439:14 0.00441498 +24 *1100:10 *1439:14 0.00132255 +25 *1347:10 *1439:14 0.0109101 +26 *1347:16 *1439:14 2.1801e-05 +27 *1347:18 *1439:14 0.00709123 +*RES +1 *2826:la_oenb[11] *1439:9 27.6931 +2 *1439:9 *1439:10 464.095 +3 *1439:10 *1439:12 0.988641 +4 *1439:12 *1439:14 245.026 +5 *1439:14 *1439:19 38.0988 +6 *1439:19 *2820:la_oenb_mprj[11] 32.7927 +*END + +*D_NET *1440 0.114131 +*CONN +*I *2820:la_oenb_mprj[120] I *D mgmt_protect +*I *2826:la_oenb[120] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[120] 0.00128576 +2 *2826:la_oenb[120] 0.00215223 +3 *1440:16 0.00429196 +4 *1440:15 0.00391491 +5 *1440:10 0.00328017 +6 *1440:9 0.00237146 +7 *1440:7 0.00215223 +8 *1440:10 *1442:10 0.00159447 +9 *1440:10 *1758:16 0.00195048 +10 *1440:16 *1444:16 0.000386719 +11 *1440:16 *1771:30 0.000201058 +12 *1440:16 *1777:30 0.00680857 +13 *1440:16 *2487:16 0.000383767 +14 *2820:la_data_out_mprj[120] *2820:la_oenb_mprj[120] 0 +15 *2820:la_iena_mprj[120] *2820:la_oenb_mprj[120] 0 +16 *2826:la_input[120] *1440:7 0 +17 *801:5 *2820:la_oenb_mprj[120] 0 +18 *1043:10 *1440:10 0.00101679 +19 *1304:10 *1440:10 0.00575555 +20 *1304:14 *1440:10 0.00023152 +21 *1307:10 *1440:10 0.00259596 +22 *1309:16 *1440:16 0.0217225 +23 *1314:16 *1440:16 0.000760652 +24 *1318:10 *1440:10 0.0217247 +25 *1437:16 *1440:16 0.0295491 +*RES +1 *2826:la_oenb[120] *1440:7 45.6167 +2 *1440:7 *1440:9 4.5 +3 *1440:9 *1440:10 228.665 +4 *1440:10 *1440:15 24.1201 +5 *1440:15 *1440:16 332.376 +6 *1440:16 *2820:la_oenb_mprj[120] 33.4455 +*END + +*D_NET *1441 0.116867 +*CONN +*I *2820:la_oenb_mprj[121] I *D mgmt_protect +*I *2826:la_oenb[121] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[121] 0.00280064 +2 *2826:la_oenb[121] 0.00105078 +3 *1441:12 0.00280064 +4 *1441:10 0.00452004 +5 *1441:9 0.00557082 +6 *1441:10 *1443:10 0.0524218 +7 *1441:10 *1537:10 0.000183145 +8 *1441:10 *1538:10 0.000232897 +9 *1441:10 *1953:10 0.00604768 +10 *2820:la_data_out_mprj[121] *2820:la_oenb_mprj[121] 0 +11 *2820:la_iena_mprj[121] *2820:la_oenb_mprj[121] 0 +12 *2826:la_input[121] *1441:9 0 +13 *2826:la_input[93] *2820:la_oenb_mprj[121] 0.000569697 +14 *802:5 *2820:la_oenb_mprj[121] 0 +15 *1057:7 *1441:9 0 +16 *1433:10 *1441:10 0.040669 +*RES +1 *2826:la_oenb[121] *1441:9 30.038 +2 *1441:9 *1441:10 573.074 +3 *1441:10 *1441:12 4.5 +4 *1441:12 *2820:la_oenb_mprj[121] 63.4115 +*END + +*D_NET *1442 0.12026 +*CONN +*I *2820:la_oenb_mprj[122] I *D mgmt_protect +*I *2826:la_oenb[122] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[122] 0.00122153 +2 *2826:la_oenb[122] 0.00220125 +3 *1442:16 0.00417618 +4 *1442:15 0.00383019 +5 *1442:10 0.00364063 +6 *1442:9 0.0027651 +7 *1442:7 0.00220125 +8 *1442:10 *1444:10 0.0193735 +9 *1442:10 *1913:16 0.000393014 +10 *1442:16 *1444:16 0.0314996 +11 *1442:16 *1445:16 0.0306605 +12 *1442:16 *1900:10 0.00116569 +13 *2820:la_iena_mprj[122] *2820:la_oenb_mprj[122] 0 +14 *2826:la_input[122] *1442:7 0 +15 *803:5 *2820:la_oenb_mprj[122] 0 +16 *1050:10 *1442:10 0.00907554 +17 *1058:7 *1442:7 0 +18 *1062:10 *1442:10 0.00244432 +19 *1303:10 *1442:10 0.00180696 +20 *1307:10 *1442:10 0.000185642 +21 *1318:10 *1442:10 0.000259519 +22 *1427:16 *1442:16 0.00106214 +23 *1435:16 *1442:16 0.000703313 +24 *1440:10 *1442:10 0.00159447 +*RES +1 *2826:la_oenb[122] *1442:7 47.2777 +2 *1442:7 *1442:9 4.5 +3 *1442:9 *1442:10 231.993 +4 *1442:10 *1442:15 24.1201 +5 *1442:15 *1442:16 352.897 +6 *1442:16 *2820:la_oenb_mprj[122] 31.7845 +*END + +*D_NET *1443 0.125006 +*CONN +*I *2820:la_oenb_mprj[123] I *D mgmt_protect +*I *2826:la_oenb[123] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[123] 6.22868e-05 +2 *2826:la_oenb[123] 0.00107631 +3 *1443:13 0.00256176 +4 *1443:12 0.00249947 +5 *1443:10 0.0047174 +6 *1443:9 0.00579371 +7 *1443:10 *1447:10 0.053138 +8 *1443:10 *1538:10 0.000139256 +9 *1443:10 *1539:10 0.000332926 +10 *1443:10 *1540:10 3.94365e-05 +11 *1443:10 *1953:10 0.000980169 +12 *2820:la_iena_mprj[123] *1443:13 0 +13 *2826:la_input[123] *1443:9 0 +14 *2826:la_input[94] *1443:13 0.000476211 +15 *804:7 *1443:13 0.000767499 +16 *1059:7 *1443:9 0 +17 *1410:9 *1443:13 0 +18 *1424:25 *1443:13 0 +19 *1441:10 *1443:10 0.0524218 +*RES +1 *2826:la_oenb[123] *1443:9 31.0151 +2 *1443:9 *1443:10 594.149 +3 *1443:10 *1443:12 4.5 +4 *1443:12 *1443:13 63.0817 +5 *1443:13 *2820:la_oenb_mprj[123] 1.77093 +*END + +*D_NET *1444 0.126846 +*CONN +*I *2820:la_oenb_mprj[124] I *D mgmt_protect +*I *2826:la_oenb[124] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[124] 0.00124876 +2 *2826:la_oenb[124] 0.00219987 +3 *1444:16 0.00482874 +4 *1444:15 0.00420627 +5 *1444:10 0.00279645 +6 *1444:9 0.00217016 +7 *1444:7 0.00219987 +8 *1444:10 *1913:16 0.0232203 +9 *1444:16 *1445:16 0.00121647 +10 *1444:16 *1777:30 0.00117679 +11 *1444:16 *2487:16 0.00142648 +12 *2820:la_data_out_mprj[124] *2820:la_oenb_mprj[124] 0 +13 *2820:la_iena_mprj[124] *2820:la_oenb_mprj[124] 0 +14 *2826:la_input[124] *1444:7 0 +15 *805:5 *2820:la_oenb_mprj[124] 0 +16 *1062:10 *1444:10 0.00229536 +17 *1318:10 *1444:10 0 +18 *1431:7 *1444:15 0.000675162 +19 *1435:16 *1444:16 0.0255945 +20 *1437:16 *1444:16 0.000330532 +21 *1440:16 *1444:16 0.000386719 +22 *1442:10 *1444:10 0.0193735 +23 *1442:16 *1444:16 0.0314996 +*RES +1 *2826:la_oenb[124] *1444:7 47.6929 +2 *1444:7 *1444:9 4.5 +3 *1444:9 *1444:10 244.194 +4 *1444:10 *1444:15 23.2896 +5 *1444:15 *1444:16 363.989 +6 *1444:16 *2820:la_oenb_mprj[124] 32.1998 +*END + +*D_NET *1445 0.10415 +*CONN +*I *2820:la_oenb_mprj[125] I *D mgmt_protect +*I *2826:la_oenb[125] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[125] 0.00121958 +2 *2826:la_oenb[125] 8.98429e-05 +3 *1445:16 0.00472107 +4 *1445:15 0.00382379 +5 *1445:10 0.00596387 +6 *1445:9 0.00564157 +7 *1445:7 0.00261546 +8 *1445:5 0.0027053 +9 *1445:10 *1760:24 0.00254883 +10 *1445:10 *1769:30 4.856e-05 +11 *1445:10 *1775:30 0 +12 *1445:15 *1765:21 0 +13 *1445:16 *1777:30 0 +14 *1445:16 *1785:30 0.0057533 +15 *1445:16 *1900:10 0.00164372 +16 *2820:la_data_out_mprj[125] *2820:la_oenb_mprj[125] 0 +17 *2820:la_data_out_mprj[126] *2820:la_oenb_mprj[125] 0 +18 *2820:la_iena_mprj[125] *2820:la_oenb_mprj[125] 0 +19 *2826:la_input[125] *1445:7 0 +20 *806:7 *2820:la_oenb_mprj[125] 0 +21 *807:14 *1445:10 0.00909787 +22 *1048:10 *1445:10 0.000897677 +23 *1061:9 *1445:7 0 +24 *1306:10 *1445:10 0.00333193 +25 *1427:16 *1445:16 0.0221705 +26 *1432:7 *1445:15 0 +27 *1442:16 *1445:16 0.0306605 +28 *1444:16 *1445:16 0.00121647 +*RES +1 *2826:la_oenb[125] *1445:5 2.05183 +2 *1445:5 *1445:7 53.9461 +3 *1445:7 *1445:9 4.5 +4 *1445:9 *1445:10 247.522 +5 *1445:10 *1445:15 15.815 +6 *1445:15 *1445:16 371.753 +7 *1445:16 *2820:la_oenb_mprj[125] 31.3693 +*END + +*D_NET *1446 0.139594 +*CONN +*I *2820:la_oenb_mprj[126] I *D mgmt_protect +*I *2826:la_oenb[126] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[126] 0.000632205 +2 *2826:la_oenb[126] 8.98429e-05 +3 *1446:10 0.00524733 +4 *1446:9 0.00461513 +5 *1446:7 0.00340283 +6 *1446:5 0.00349267 +7 *1446:7 *1835:13 0.000423306 +8 *1446:10 *1755:8 0.0586085 +9 *1446:10 *2489:24 0.0036047 +10 *2820:la_iena_mprj[126] *2820:la_oenb_mprj[126] 0 +11 *806:17 *1446:7 0 +12 *807:7 *2820:la_oenb_mprj[126] 0 +13 *1062:7 *1446:7 0 +14 *1297:20 *1446:10 0.000183606 +15 *1316:10 *1446:10 0.000269776 +16 *1319:10 *1446:10 0.0590246 +*RES +1 *2826:la_oenb[126] *1446:5 2.05183 +2 *1446:5 *1446:7 73.0477 +3 *1446:7 *1446:9 4.5 +4 *1446:9 *1446:10 631.308 +5 *1446:10 *2820:la_oenb_mprj[126] 18.9117 +*END + +*D_NET *1447 0.133522 +*CONN +*I *2820:la_oenb_mprj[127] I *D mgmt_protect +*I *2826:la_oenb[127] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[127] 0.00266354 +2 *2826:la_oenb[127] 0.00107484 +3 *1447:12 0.00266354 +4 *1447:10 0.00516877 +5 *1447:9 0.00624362 +6 *2820:la_oenb_mprj[127] *2820:mprj_cyc_o_core 0 +7 *2820:la_oenb_mprj[127] *1755:7 0 +8 *1447:10 *1540:10 0.000100974 +9 *1447:10 *1953:10 0.00138843 +10 *1447:10 *2495:10 0.0602301 +11 *2820:la_data_out_mprj[127] *2820:la_oenb_mprj[127] 0 +12 *2820:la_iena_mprj[127] *2820:la_oenb_mprj[127] 0 +13 *807:17 *1447:9 0 +14 *1035:15 *2820:la_oenb_mprj[127] 0.000753338 +15 *1063:9 *1447:9 0 +16 *1155:9 *2820:la_oenb_mprj[127] 9.67078e-05 +17 *1412:9 *2820:la_oenb_mprj[127] 0 +18 *1443:10 *1447:10 0.053138 +*RES +1 *2826:la_oenb[127] *1447:9 31.4303 +2 *1447:9 *1447:10 642.4 +3 *1447:10 *1447:12 4.5 +4 *1447:12 *2820:la_oenb_mprj[127] 62.5809 +*END + +*D_NET *1448 0.168531 +*CONN +*I *2820:la_oenb_mprj[12] I *D mgmt_protect +*I *2826:la_oenb[12] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[12] 0.00111721 +2 *2826:la_oenb[12] 7.21667e-05 +3 *1448:10 0.00954854 +4 *1448:9 0.00843133 +5 *1448:7 0.00252004 +6 *1448:5 0.0025922 +7 *1448:10 *1456:10 0.0657897 +8 *1448:10 *1471:10 0.00242584 +9 *1448:10 *1500:10 0.000371906 +10 *2820:la_data_out_mprj[12] *2820:la_oenb_mprj[12] 0 +11 *2820:la_iena_mprj[12] *2820:la_oenb_mprj[12] 0 +12 *809:7 *2820:la_oenb_mprj[12] 0 +13 *1064:7 *1448:7 0 +14 *1086:10 *1448:10 0.00274101 +15 *1300:10 *1448:10 0 +16 *1322:10 *1448:10 0.0725345 +17 *1350:10 *1448:10 0.000378008 +18 *1358:7 *2820:la_oenb_mprj[12] 8.30099e-06 +19 *1394:10 *1448:10 0 +20 *1416:10 *1448:10 0 +21 *1428:10 *1448:10 0 +*RES +1 *2826:la_oenb[12] *1448:5 2.05183 +2 *1448:5 *1448:7 61.6283 +3 *1448:7 *1448:9 3.36879 +4 *1448:9 *1448:10 96.198 +5 *1448:10 *2820:la_oenb_mprj[12] 29.1999 +*END + +*D_NET *1449 0.172797 +*CONN +*I *2820:la_oenb_mprj[13] I *D mgmt_protect +*I *2826:la_oenb[13] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[13] 6.22868e-05 +2 *2826:la_oenb[13] 0.00161991 +3 *1449:13 0.00241988 +4 *1449:12 0.0023576 +5 *1449:10 0.00730106 +6 *1449:9 0.00892098 +7 *1449:10 *1451:10 0 +8 *1449:10 *1465:10 0.0014255 +9 *1449:13 *1486:7 0 +10 *2826:la_input[47] *1449:13 0.000498957 +11 *788:18 *1449:10 0.00301214 +12 *809:11 *1449:9 0 +13 *810:9 *1449:13 0 +14 *1065:7 *1449:9 0 +15 *1149:20 *1449:10 0.000441668 +16 *1321:10 *1449:10 0.0738919 +17 *1321:13 *1449:13 0 +18 *1323:10 *1449:10 0.0708449 +*RES +1 *2826:la_oenb[13] *1449:9 42.1338 +2 *1449:9 *1449:10 94.4388 +3 *1449:10 *1449:12 3.36879 +4 *1449:12 *1449:13 50.8318 +5 *1449:13 *2820:la_oenb_mprj[13] 1.77093 +*END + +*D_NET *1450 0.166032 +*CONN +*I *2820:la_oenb_mprj[14] I *D mgmt_protect +*I *2826:la_oenb[14] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[14] 0.00122977 +2 *2826:la_oenb[14] 7.21667e-05 +3 *1450:10 0.00702704 +4 *1450:9 0.00579727 +5 *1450:7 0.00251401 +6 *1450:5 0.00258618 +7 *1450:10 *1473:10 0.00203998 +8 *2820:la_iena_mprj[14] *2820:la_oenb_mprj[14] 0 +9 *810:23 *1450:7 0 +10 *811:7 *2820:la_oenb_mprj[14] 0 +11 *1065:10 *1450:10 0 +12 *1066:7 *1450:7 0 +13 *1066:10 *1450:10 0 +14 *1322:10 *1450:10 0.0730147 +15 *1324:10 *1450:10 0.0699088 +16 *1346:10 *1450:10 0.00178134 +17 *1358:12 *1450:10 6.10203e-05 +*RES +1 *2826:la_oenb[14] *1450:5 2.05183 +2 *1450:5 *1450:7 59.9673 +3 *1450:7 *1450:9 3.36879 +4 *1450:9 *1450:10 92.9855 +5 *1450:10 *2820:la_oenb_mprj[14] 30.8609 +*END + +*D_NET *1451 0.169421 +*CONN +*I *2820:la_oenb_mprj[15] I *D mgmt_protect +*I *2826:la_oenb[15] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[15] 0.00277664 +2 *2826:la_oenb[15] 0.00116025 +3 *1451:12 0.00277664 +4 *1451:10 0.0124296 +5 *1451:9 0.0135898 +6 *1451:10 *1467:10 0.000767989 +7 *1451:10 *1468:10 0.002136 +8 *1451:10 *1481:10 0.000141029 +9 *1451:10 *1483:10 0.000133943 +10 *1451:10 *1484:10 0.000133943 +11 *1451:10 *1489:10 0.000461614 +12 *1451:10 *1511:10 0.000341568 +13 *1451:10 *1533:10 0 +14 *2820:la_iena_mprj[15] *2820:la_oenb_mprj[15] 0 +15 *2826:la_input[15] *1451:9 0 +16 *2826:la_input[48] *2820:la_oenb_mprj[15] 0.000212541 +17 *808:18 *1451:10 0.0031188 +18 *812:7 *2820:la_oenb_mprj[15] 0 +19 *1067:7 *1451:9 0 +20 *1311:10 *1451:10 0 +21 *1321:10 *1451:10 0 +22 *1325:10 *1451:10 0.0684995 +23 *1342:10 *1451:10 0.00223673 +24 *1351:10 *1451:10 0.000134497 +25 *1353:10 *1451:10 0.000274683 +26 *1355:10 *1451:10 0.000253087 +27 *1405:10 *1451:10 0 +28 *1417:10 *1451:10 0.0578426 +29 *1449:10 *1451:10 0 +*RES +1 *2826:la_oenb[15] *1451:9 33.8288 +2 *1451:9 *1451:10 91.4557 +3 *1451:10 *1451:12 3.36879 +4 *1451:12 *2820:la_oenb_mprj[15] 59.0513 +*END + +*D_NET *1452 0.137115 +*CONN +*I *2820:la_oenb_mprj[16] I *D mgmt_protect +*I *2826:la_oenb[16] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[16] 0.000911181 +2 *2826:la_oenb[16] 7.21667e-05 +3 *1452:10 0.00663369 +4 *1452:9 0.00572251 +5 *1452:7 0.00288973 +6 *1452:5 0.0029619 +7 *1452:10 *1482:16 0.000211143 +8 *1452:10 *1482:22 0.00373033 +9 *1452:10 *1500:10 0.0051268 +10 *1452:10 *1522:10 0.0546437 +11 *2820:la_iena_mprj[16] *2820:la_oenb_mprj[16] 0 +12 *799:8 *1452:10 0.00114213 +13 *812:11 *1452:7 0 +14 *813:7 *2820:la_oenb_mprj[16] 0 +15 *1068:7 *1452:7 0 +16 *1330:10 *1452:10 0.0530696 +*RES +1 *2826:la_oenb[16] *1452:5 2.05183 +2 *1452:5 *1452:7 65.5732 +3 *1452:7 *1452:9 4.5 +4 *1452:9 *1452:10 650.719 +5 *1452:10 *2820:la_oenb_mprj[16] 26.3862 +*END + +*D_NET *1453 0.160143 +*CONN +*I *2820:la_oenb_mprj[17] I *D mgmt_protect +*I *2826:la_oenb[17] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[17] 6.22868e-05 +2 *2826:la_oenb[17] 0.00110032 +3 *1453:13 0.00203066 +4 *1453:12 0.00196838 +5 *1453:10 0.0068091 +6 *1453:9 0.00790942 +7 *1453:10 *1455:10 0.0646118 +8 *1453:10 *1472:10 0.00161468 +9 *1453:10 *1474:10 0.00149642 +10 *2820:la_iena_mprj[17] *1453:13 0.0031863 +11 *2826:la_input[17] *1453:9 0 +12 *814:7 *1453:13 0 +13 *1069:7 *1453:9 0 +14 *1325:10 *1453:10 0.0689453 +15 *1360:7 *1453:13 0.000408354 +*RES +1 *2826:la_oenb[17] *1453:9 32.1677 +2 *1453:9 *1453:10 87.9371 +3 *1453:10 *1453:12 3.36879 +4 *1453:12 *1453:13 60.7978 +5 *1453:13 *2820:la_oenb_mprj[17] 1.77093 +*END + +*D_NET *1454 0.114892 +*CONN +*I *2820:la_oenb_mprj[18] I *D mgmt_protect +*I *2826:la_oenb[18] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[18] 0.00134141 +2 *2826:la_oenb[18] 7.21667e-05 +3 *1454:10 0.0136481 +4 *1454:9 0.0123067 +5 *1454:7 0.00253357 +6 *1454:5 0.00260574 +7 *2820:la_oenb_mprj[18] *1488:7 6.6789e-05 +8 *1454:10 *1477:10 0.00083995 +9 *2820:la_iena_mprj[18] *2820:la_oenb_mprj[18] 0 +10 *814:11 *1454:7 0 +11 *815:7 *2820:la_oenb_mprj[18] 0 +12 *1068:10 *1454:10 0.0121496 +13 *1070:9 *1454:7 0 +14 *1093:10 *1454:10 0.000606422 +15 *1096:10 *1454:10 0.000318578 +16 *1326:10 *1454:10 0.0677963 +17 *1352:10 *1454:10 0.000606422 +18 *1358:12 *1454:10 0 +*RES +1 *2826:la_oenb[18] *1454:5 2.05183 +2 *1454:5 *1454:7 57.4758 +3 *1454:7 *1454:9 3.36879 +4 *1454:9 *1454:10 86.5603 +5 *1454:10 *2820:la_oenb_mprj[18] 33.3524 +*END + +*D_NET *1455 0.153683 +*CONN +*I *2820:la_oenb_mprj[19] I *D mgmt_protect +*I *2826:la_oenb[19] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[19] 0.00270105 +2 *2826:la_oenb[19] 0.00107111 +3 *1455:12 0.00270105 +4 *1455:10 0.00720527 +5 *1455:9 0.00827637 +6 *1455:10 *1457:10 0.0646453 +7 *1455:10 *1476:10 0.000945103 +8 *2820:la_iena_mprj[19] *2820:la_oenb_mprj[19] 0 +9 *815:11 *1455:9 0 +10 *817:7 *2820:la_oenb_mprj[19] 0 +11 *1071:7 *1455:9 0 +12 *1092:15 *2820:la_oenb_mprj[19] 0.000493279 +13 *1104:9 *2820:la_oenb_mprj[19] 0 +14 *1349:10 *1455:10 0.000868884 +15 *1362:7 *2820:la_oenb_mprj[19] 0.000163495 +16 *1453:10 *1455:10 0.0646118 +*RES +1 *2826:la_oenb[19] *1455:9 31.3372 +2 *1455:9 *1455:10 84.954 +3 *1455:10 *1455:12 3.36879 +4 *1455:12 *2820:la_oenb_mprj[19] 61.5428 +*END + +*D_NET *1456 0.201505 +*CONN +*I *2820:la_oenb_mprj[1] I *D mgmt_protect +*I *2826:la_oenb[1] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[1] 0.00118726 +2 *2826:la_oenb[1] 5.24068e-05 +3 *1456:10 0.0101057 +4 *1456:9 0.0089184 +5 *1456:7 0.00230715 +6 *1456:5 0.00235955 +7 *1456:10 *1469:10 0.00320855 +8 *1456:10 *1522:10 0.000273255 +9 *2820:la_iena_mprj[1] *2820:la_oenb_mprj[1] 0 +10 *2826:la_input[1] *1456:7 0 +11 *827:7 *2820:la_oenb_mprj[1] 0 +12 *1289:10 *1456:10 0.0172702 +13 *1328:10 *1456:10 0.0899357 +14 *1350:10 *1456:10 9.68897e-05 +15 *1448:10 *1456:10 0.0657897 +*RES +1 *2826:la_oenb[1] *1456:5 1.49002 +2 *1456:5 *1456:7 62.4588 +3 *1456:7 *1456:9 3.36879 +4 *1456:9 *1456:10 114.097 +5 *1456:10 *2820:la_oenb_mprj[1] 28.3694 +*END + +*D_NET *1457 0.12393 +*CONN +*I *2820:la_oenb_mprj[20] I *D mgmt_protect +*I *2826:la_oenb[20] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[20] 0.00267397 +2 *2826:la_oenb[20] 0.000982615 +3 *1457:12 0.00267397 +4 *1457:10 0.0224445 +5 *1457:9 0.0234271 +6 *1457:10 *1479:10 0.000753036 +7 *2820:la_iena_mprj[20] *2820:la_oenb_mprj[20] 0 +8 *2826:la_input[20] *1457:9 0 +9 *818:7 *2820:la_oenb_mprj[20] 0 +10 *850:11 *2820:la_oenb_mprj[20] 0.00123406 +11 *1074:13 *2820:la_oenb_mprj[20] 0 +12 *1081:10 *1457:10 0.000319366 +13 *1085:10 *1457:10 0.001268 +14 *1087:10 *1457:10 0.00128375 +15 *1089:10 *1457:10 0.00107161 +16 *1091:10 *1457:10 0.000102215 +17 *1092:10 *1457:10 0.000310253 +18 *1095:14 *1457:10 0.000523202 +19 *1098:10 *1457:10 0.000216928 +20 *1455:10 *1457:10 0.0646453 +*RES +1 *2826:la_oenb[20] *1457:9 28.8213 +2 *1457:9 *1457:10 83.5772 +3 *1457:10 *1457:12 3.36879 +4 *1457:12 *2820:la_oenb_mprj[20] 62.3733 +*END + +*D_NET *1458 0.129168 +*CONN +*I *2820:la_oenb_mprj[21] I *D mgmt_protect +*I *2826:la_oenb[21] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[21] 0.000846873 +2 *2826:la_oenb[21] 7.21667e-05 +3 *1458:10 0.00555275 +4 *1458:9 0.00470588 +5 *1458:7 0.00312015 +6 *1458:5 0.00319232 +7 *1458:10 *1460:10 0.0522567 +8 *2820:la_iena_mprj[21] *2820:la_oenb_mprj[21] 0 +9 *818:11 *1458:7 0 +10 *819:7 *2820:la_oenb_mprj[21] 0 +11 *1074:9 *1458:7 0 +12 *1330:10 *1458:10 0.00132061 +13 *1332:10 *1458:10 0.0532169 +14 *1394:10 *1458:10 0.00488343 +*RES +1 *2826:la_oenb[21] *1458:5 2.05183 +2 *1458:5 *1458:7 66.819 +3 *1458:7 *1458:9 4.5 +4 *1458:9 *1458:10 592.485 +5 *1458:10 *2820:la_oenb_mprj[21] 25.1405 +*END + +*D_NET *1459 0.126594 +*CONN +*I *2820:la_oenb_mprj[22] I *D mgmt_protect +*I *2826:la_oenb[22] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[22] 0.00250044 +2 *2826:la_oenb[22] 0.00158868 +3 *1459:12 0.00250044 +4 *1459:10 0.00463181 +5 *1459:9 0.00622049 +6 *1459:10 *1461:10 0.050998 +7 *1459:10 *1490:10 3.59302e-05 +8 *1459:10 *1533:10 0.00282738 +9 *2820:la_data_out_mprj[23] *2820:la_oenb_mprj[22] 0 +10 *788:18 *1459:10 0 +11 *819:11 *1459:9 0 +12 *820:7 *2820:la_oenb_mprj[22] 0 +13 *851:11 *2820:la_oenb_mprj[22] 0 +14 *1075:9 *1459:9 0 +15 *1311:10 *1459:10 0.000217229 +16 *1331:10 *1459:10 0.0545304 +17 *1331:13 *2820:la_oenb_mprj[22] 0 +18 *1333:10 *1459:10 0.000443728 +19 *1363:10 *1459:10 9.9028e-05 +*RES +1 *2826:la_oenb[22] *1459:9 40.9811 +2 *1459:9 *1459:10 580.839 +3 *1459:10 *1459:12 4.5 +4 *1459:12 *2820:la_oenb_mprj[22] 53.0301 +*END + +*D_NET *1460 0.125112 +*CONN +*I *2820:la_oenb_mprj[23] I *D mgmt_protect +*I *2826:la_oenb[23] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[23] 0.000825436 +2 *2826:la_oenb[23] 7.21667e-05 +3 *1460:10 0.00531771 +4 *1460:9 0.00449227 +5 *1460:7 0.00320178 +6 *1460:5 0.00327395 +7 *2820:la_iena_mprj[23] *2820:la_oenb_mprj[23] 0 +8 *820:11 *1460:7 0 +9 *821:7 *2820:la_oenb_mprj[23] 0 +10 *1076:9 *1460:7 0 +11 *1106:10 *1460:10 3.42853e-05 +12 *1332:10 *1460:10 0.000601789 +13 *1334:10 *1460:10 0.050937 +14 *1394:10 *1460:10 0.00409857 +15 *1458:10 *1460:10 0.0522567 +*RES +1 *2826:la_oenb[23] *1460:5 2.05183 +2 *1460:5 *1460:7 67.2342 +3 *1460:7 *1460:9 4.5 +4 *1460:9 *1460:10 569.192 +5 *1460:10 *2820:la_oenb_mprj[23] 24.7252 +*END + +*D_NET *1461 0.123236 +*CONN +*I *2820:la_oenb_mprj[24] I *D mgmt_protect +*I *2826:la_oenb[24] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[24] 0.00223658 +2 *2826:la_oenb[24] 0.0016296 +3 *1461:12 0.00223658 +4 *1461:10 0.00410956 +5 *1461:9 0.00573916 +6 *2820:la_oenb_mprj[24] *1474:15 3.31882e-05 +7 *1461:10 *1533:10 0.00250524 +8 *2820:la_iena_mprj[24] *2820:la_oenb_mprj[24] 0 +9 *821:11 *1461:9 0 +10 *822:7 *2820:la_oenb_mprj[24] 0 +11 *1077:9 *1461:9 0 +12 *1311:10 *1461:10 0.000236284 +13 *1333:10 *1461:10 0.0524039 +14 *1335:10 *1461:10 9.16621e-05 +15 *1363:10 *1461:10 0.000413251 +16 *1364:11 *2820:la_oenb_mprj[24] 0.000602946 +17 *1459:10 *1461:10 0.050998 +*RES +1 *2826:la_oenb[24] *1461:9 41.3964 +2 *1461:9 *1461:10 556.991 +3 *1461:10 *1461:12 4.5 +4 *1461:12 *2820:la_oenb_mprj[24] 52.6149 +*END + +*D_NET *1462 0.118006 +*CONN +*I *2820:la_oenb_mprj[25] I *D mgmt_protect +*I *2826:la_oenb[25] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[25] 0.000739692 +2 *2826:la_oenb[25] 7.21667e-05 +3 *1462:10 0.00550444 +4 *1462:9 0.00476475 +5 *1462:7 0.00334077 +6 *1462:5 0.00341294 +7 *2820:la_iena_mprj[25] *2820:la_oenb_mprj[25] 0 +8 *822:11 *1462:7 0 +9 *823:7 *2820:la_oenb_mprj[25] 0 +10 *1078:9 *1462:7 0 +11 *1080:10 *1462:10 0.0472339 +12 *1300:10 *1462:10 0.00346137 +13 *1334:10 *1462:10 0.000756785 +14 *1336:10 *1462:10 0.0487187 +*RES +1 *2826:la_oenb[25] *1462:5 2.05183 +2 *1462:5 *1462:7 68.8952 +3 *1462:7 *1462:9 4.5 +4 *1462:9 *1462:10 545.899 +5 *1462:10 *2820:la_oenb_mprj[25] 23.0642 +*END + +*D_NET *1463 0.117722 +*CONN +*I *2820:la_oenb_mprj[26] I *D mgmt_protect +*I *2826:la_oenb[26] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[26] 0.00240452 +2 *2826:la_oenb[26] 0.00172502 +3 *1463:12 0.00240452 +4 *1463:10 0.00410386 +5 *1463:9 0.00582889 +6 *1463:10 *1474:16 9.65932e-05 +7 *2820:la_iena_mprj[26] *2820:la_oenb_mprj[26] 0 +8 *788:18 *1463:10 0.000746162 +9 *823:11 *1463:9 0 +10 *824:7 *2820:la_oenb_mprj[26] 0 +11 *1079:9 *1463:9 0 +12 *1321:10 *1463:10 0.00266988 +13 *1323:10 *1463:10 0.000131535 +14 *1335:10 *1463:10 0.0501498 +15 *1337:10 *1463:10 0.0474607 +*RES +1 *2826:la_oenb[26] *1463:9 42.6421 +2 *1463:9 *1463:10 533.697 +3 *1463:10 *1463:12 4.5 +4 *1463:12 *2820:la_oenb_mprj[26] 51.3691 +*END + +*D_NET *1464 0.116961 +*CONN +*I *2820:la_oenb_mprj[27] I *D mgmt_protect +*I *2826:la_oenb[27] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[27] 0.000764452 +2 *2826:la_oenb[27] 7.21667e-05 +3 *1464:10 0.00466662 +4 *1464:9 0.00390217 +5 *1464:7 0.0033489 +6 *1464:5 0.00342107 +7 *1464:10 *1466:10 3.63947e-05 +8 *1464:10 *1492:10 0.000640848 +9 *2820:la_iena_mprj[27] *2820:la_oenb_mprj[27] 0 +10 *824:11 *1464:7 0 +11 *825:7 *2820:la_oenb_mprj[27] 0 +12 *1080:7 *1464:7 0 +13 *1334:10 *1464:10 0.0475059 +14 *1336:10 *1464:10 0.0491178 +15 *1364:14 *1464:10 2.35113e-05 +16 *1416:10 *1464:10 0.00346137 +*RES +1 *2826:la_oenb[27] *1464:5 2.05183 +2 *1464:5 *1464:7 68.0647 +3 *1464:7 *1464:9 4.5 +4 *1464:9 *1464:10 522.605 +5 *1464:10 *2820:la_oenb_mprj[27] 23.8947 +*END + +*D_NET *1465 0.103028 +*CONN +*I *2820:la_oenb_mprj[28] I *D mgmt_protect +*I *2826:la_oenb[28] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[28] 0.00233566 +2 *2826:la_oenb[28] 0.0017864 +3 *1465:12 0.00233566 +4 *1465:10 0.00431762 +5 *1465:9 0.00610402 +6 *1465:10 *1468:16 0.00746184 +7 *1465:10 *1474:16 4.03749e-05 +8 *2820:la_iena_mprj[28] *2820:la_oenb_mprj[28] 0 +9 *788:18 *1465:10 0.0128413 +10 *825:11 *1465:9 0 +11 *826:7 *2820:la_oenb_mprj[28] 0 +12 *1081:9 *1465:9 0 +13 *1149:20 *1465:10 0.00731808 +14 *1337:10 *1465:10 0.0479322 +15 *1340:10 *1465:10 0.0091292 +16 *1449:10 *1465:10 0.0014255 +*RES +1 *2826:la_oenb[28] *1465:9 43.4726 +2 *1465:9 *1465:10 510.404 +3 *1465:10 *1465:12 4.5 +4 *1465:12 *2820:la_oenb_mprj[28] 50.5386 +*END + +*D_NET *1466 0.111794 +*CONN +*I *2820:la_oenb_mprj[29] I *D mgmt_protect +*I *2826:la_oenb[29] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[29] 0.000685548 +2 *2826:la_oenb[29] 3.26469e-05 +3 *1466:10 0.00472684 +4 *1466:9 0.00404129 +5 *1466:7 0.00349751 +6 *1466:5 0.00353016 +7 *1466:10 *1492:10 0 +8 *2820:la_iena_mprj[29] *2820:la_oenb_mprj[29] 0 +9 *826:11 *1466:7 0 +10 *828:7 *2820:la_oenb_mprj[29] 0 +11 *1080:10 *1466:10 0.0451305 +12 *1336:10 *1466:10 5.2472e-05 +13 *1338:10 *1466:10 0.0469419 +14 *1341:10 *1466:10 9.16621e-05 +15 *1428:10 *1466:10 0.00302691 +16 *1464:10 *1466:10 3.63947e-05 +*RES +1 *2826:la_oenb[29] *1466:5 0.928211 +2 *1466:5 *1466:7 69.7257 +3 *1466:7 *1466:9 4.5 +4 *1466:9 *1466:10 500.421 +5 *1466:10 *2820:la_oenb_mprj[29] 22.2337 +*END + +*D_NET *1467 0.212296 +*CONN +*I *2820:la_oenb_mprj[2] I *D mgmt_protect +*I *2826:la_oenb[2] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[2] 0.0031331 +2 *2826:la_oenb[2] 0.0012274 +3 *1467:12 0.0031331 +4 *1467:10 0.0126202 +5 *1467:9 0.0138476 +6 *1467:10 *1489:10 0.0838043 +7 *2820:la_iena_mprj[2] *2820:la_oenb_mprj[2] 0 +8 *2826:la_input[2] *1467:9 0 +9 *777:14 *1467:10 0.00307725 +10 *838:7 *2820:la_oenb_mprj[2] 0 +11 *1083:9 *1467:9 0 +12 *1083:10 *1467:10 0.00392487 +13 *1339:16 *1467:10 0.000118134 +14 *1351:10 *1467:10 0.000333061 +15 *1417:10 *1467:10 0.0863087 +16 *1451:10 *1467:10 0.000767989 +*RES +1 *2826:la_oenb[2] *1467:9 35.4898 +2 *1467:9 *1467:10 112.337 +3 *1467:10 *1467:12 3.36879 +4 *1467:12 *2820:la_oenb_mprj[2] 57.3903 +*END + +*D_NET *1468 0.105522 +*CONN +*I *2820:la_oenb_mprj[30] I *D mgmt_protect +*I *2826:la_oenb[30] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[30] 0.00230406 +2 *2826:la_oenb[30] 0.00128424 +3 *1468:18 0.00230406 +4 *1468:16 0.001065 +5 *1468:15 0.00144179 +6 *1468:10 0.00359721 +7 *1468:9 0.00450465 +8 *1468:15 *1488:7 0 +9 *1468:16 *1474:16 0.000103565 +10 *2820:la_iena_mprj[19] *1468:15 0.000218121 +11 *2820:la_iena_mprj[30] *2820:la_oenb_mprj[30] 0 +12 *2826:la_input[30] *1468:9 0 +13 *808:17 *1468:9 2.43314e-05 +14 *808:18 *1468:10 6.08467e-05 +15 *829:7 *2820:la_oenb_mprj[30] 0 +16 *1084:7 *1468:9 0 +17 *1085:10 *1468:10 0 +18 *1087:16 *1468:16 5.2472e-05 +19 *1104:9 *1468:15 8.62625e-06 +20 *1339:10 *1468:10 0.0206432 +21 *1339:16 *1468:10 0.000660126 +22 *1340:10 *1468:16 0.0088677 +23 *1342:10 *1468:10 0.0340195 +24 *1353:10 *1468:10 0.0147649 +25 *1451:10 *1468:10 0.002136 +26 *1465:10 *1468:16 0.00746184 +*RES +1 *2826:la_oenb[30] *1468:9 35.5828 +2 *1468:9 *1468:10 390.055 +3 *1468:10 *1468:15 17.476 +4 *1468:15 *1468:16 97.2244 +5 *1468:16 *1468:18 4.5 +6 *1468:18 *2820:la_oenb_mprj[30] 50.1234 +*END + +*D_NET *1469 0.105976 +*CONN +*I *2820:la_oenb_mprj[31] I *D mgmt_protect +*I *2826:la_oenb[31] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[31] 0.00107785 +2 *2826:la_oenb[31] 7.21667e-05 +3 *1469:10 0.00505109 +4 *1469:9 0.00397324 +5 *1469:7 0.00307476 +6 *1469:5 0.00314692 +7 *1469:10 *1471:10 0.0409134 +8 *1469:10 *1478:10 1.41689e-05 +9 *1469:10 *1480:16 0.0249963 +10 *2820:la_data_out_mprj[31] *2820:la_oenb_mprj[31] 0 +11 *2820:la_iena_mprj[31] *2820:la_oenb_mprj[31] 0 +12 *829:11 *1469:7 0 +13 *830:7 *2820:la_oenb_mprj[31] 0 +14 *1085:9 *1469:7 0 +15 *1086:10 *1469:10 0.000692756 +16 *1289:10 *1469:10 0.019755 +17 *1456:10 *1469:10 0.00320855 +*RES +1 *2826:la_oenb[31] *1469:5 2.05183 +2 *1469:5 *1469:7 62.6664 +3 *1469:7 *1469:9 4.5 +4 *1469:9 *1469:10 475.464 +5 *1469:10 *2820:la_oenb_mprj[31] 29.293 +*END + +*D_NET *1470 0.100853 +*CONN +*I *2820:la_oenb_mprj[32] I *D mgmt_protect +*I *2826:la_oenb[32] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[32] 0.00270166 +2 *2826:la_oenb[32] 0.00125349 +3 *1470:12 0.00270166 +4 *1470:10 0.00402949 +5 *1470:9 0.00528298 +6 *2820:la_oenb_mprj[32] *1495:9 0 +7 *1470:10 *1472:10 0.000443728 +8 *2820:la_data_out_mprj[33] *2820:la_oenb_mprj[32] 0 +9 *830:11 *1470:9 0 +10 *831:7 *2820:la_oenb_mprj[32] 0 +11 *855:11 *2820:la_oenb_mprj[32] 0 +12 *1085:10 *1470:10 0 +13 *1086:7 *1470:9 0 +14 *1108:13 *2820:la_oenb_mprj[32] 0 +15 *1109:15 *2820:la_oenb_mprj[32] 0 +16 *1325:10 *1470:10 0.00196222 +17 *1342:10 *1470:10 0.0434385 +18 *1342:13 *2820:la_oenb_mprj[32] 0 +19 *1345:10 *1470:10 0.0389566 +20 *1353:10 *1470:10 8.24698e-05 +*RES +1 *2826:la_oenb[32] *1470:9 34.7523 +2 *1470:9 *1470:10 463.817 +3 *1470:10 *1470:12 4.5 +4 *1470:12 *2820:la_oenb_mprj[32] 59.2589 +*END + +*D_NET *1471 0.101844 +*CONN +*I *2820:la_oenb_mprj[33] I *D mgmt_protect +*I *2826:la_oenb[33] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[33] 0.00101425 +2 *2826:la_oenb[33] 7.21667e-05 +3 *1471:10 0.00467705 +4 *1471:9 0.0036628 +5 *1471:7 0.00311249 +6 *1471:5 0.00318466 +7 *1471:10 *1480:16 0.000653048 +8 *2820:la_iena_mprj[33] *2820:la_oenb_mprj[33] 0 +9 *831:11 *1471:7 0 +10 *832:7 *2820:la_oenb_mprj[33] 0 +11 *1086:10 *1471:10 0.0412745 +12 *1087:9 *1471:7 0 +13 *1110:13 *2820:la_oenb_mprj[33] 0.000345998 +14 *1111:11 *2820:la_oenb_mprj[33] 3.94834e-05 +15 *1343:10 *1471:10 0.000417629 +16 *1344:10 *1471:10 5.03285e-05 +17 *1448:10 *1471:10 0.00242584 +18 *1469:10 *1471:10 0.0409134 +*RES +1 *2826:la_oenb[33] *1471:5 2.05183 +2 *1471:5 *1471:7 62.2512 +3 *1471:7 *1471:9 4.5 +4 *1471:9 *1471:10 452.171 +5 *1471:10 *2820:la_oenb_mprj[33] 29.7083 +*END + +*D_NET *1472 0.0943971 +*CONN +*I *2820:la_oenb_mprj[34] I *D mgmt_protect +*I *2826:la_oenb[34] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[34] 0.00242256 +2 *2826:la_oenb[34] 0.00122345 +3 *1472:12 0.00242256 +4 *1472:10 0.00386556 +5 *1472:9 0.00508902 +6 *1472:10 *1474:10 0.0297007 +7 *1472:10 *1476:22 0.0016774 +8 *2820:la_iena_mprj[34] *2820:la_oenb_mprj[34] 0 +9 *832:11 *1472:9 0 +10 *833:7 *2820:la_oenb_mprj[34] 0 +11 *856:11 *2820:la_oenb_mprj[34] 0.000891782 +12 *1085:10 *1472:10 0.000249254 +13 *1088:7 *1472:9 0 +14 *1345:10 *1472:10 0.0405665 +15 *1366:10 *1472:10 0.00422986 +16 *1368:9 *2820:la_oenb_mprj[34] 0 +17 *1453:10 *1472:10 0.00161468 +18 *1470:10 *1472:10 0.000443728 +*RES +1 *2826:la_oenb[34] *1472:9 33.9218 +2 *1472:9 *1472:10 440.524 +3 *1472:10 *1472:12 4.5 +4 *1472:12 *2820:la_oenb_mprj[34] 60.0894 +*END + +*D_NET *1473 0.0970901 +*CONN +*I *2820:la_oenb_mprj[35] I *D mgmt_protect +*I *2826:la_oenb[35] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[35] 0.000844381 +2 *2826:la_oenb[35] 7.21667e-05 +3 *1473:14 0.00202237 +4 *1473:12 0.00119618 +5 *1473:10 0.00252857 +6 *1473:9 0.00251038 +7 *1473:7 0.00304694 +8 *1473:5 0.0031191 +9 *1473:14 *1475:14 0.000541836 +10 *1473:14 *1480:16 0 +11 *2820:la_iena_mprj[35] *2820:la_oenb_mprj[35] 0 +12 *834:7 *2820:la_oenb_mprj[35] 0.00149967 +13 *1088:10 *1473:10 0.0304257 +14 *1088:10 *1473:14 1.67988e-05 +15 *1088:12 *1473:12 1.09551e-05 +16 *1088:14 *1473:14 0.00851685 +17 *1089:9 *1473:7 0 +18 *1344:10 *1473:10 0.000393013 +19 *1344:10 *1473:14 0.00878015 +20 *1346:10 *1473:10 0.0295251 +21 *1450:10 *1473:10 0.00203998 +*RES +1 *2826:la_oenb[35] *1473:5 2.05183 +2 *1473:5 *1473:7 60.1749 +3 *1473:7 *1473:9 4.5 +4 *1473:9 *1473:10 323.503 +5 *1473:10 *1473:12 0.578717 +6 *1473:12 *1473:14 105.543 +7 *1473:14 *2820:la_oenb_mprj[35] 31.3693 +*END + +*D_NET *1474 0.0845909 +*CONN +*I *2820:la_oenb_mprj[36] I *D mgmt_protect +*I *2826:la_oenb[36] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[36] 0.00231187 +2 *2826:la_oenb[36] 0.00121114 +3 *1474:18 0.00231187 +4 *1474:16 0.00170605 +5 *1474:15 0.00200434 +6 *1474:10 0.00293999 +7 *1474:9 0.00385284 +8 *1474:10 *1476:10 0.000475421 +9 *1474:10 *1476:22 1.61631e-05 +10 *1474:16 *1494:10 0.00484782 +11 *1474:16 *1495:10 0.000840675 +12 *1474:16 *1496:10 0.00105055 +13 *2820:la_iena_mprj[36] *2820:la_oenb_mprj[36] 0 +14 *2820:la_oenb_mprj[24] *1474:15 3.31882e-05 +15 *2826:la_input[36] *1474:9 0 +16 *835:7 *2820:la_oenb_mprj[36] 0 +17 *857:11 *2820:la_oenb_mprj[36] 0 +18 *1085:10 *1474:10 0.00069021 +19 *1087:16 *1474:16 0 +20 *1090:7 *1474:9 0 +21 *1335:10 *1474:16 0.00135408 +22 *1337:10 *1474:16 0.000369111 +23 *1349:10 *1474:10 0.0248003 +24 *1349:16 *1474:10 5.51483e-06 +25 *1363:10 *1474:16 0.000330827 +26 *1364:11 *1474:15 0.00044292 +27 *1365:10 *1474:16 0.00127531 +28 *1367:10 *1474:16 0.000223371 +29 *1369:9 *2820:la_oenb_mprj[36] 5.96936e-05 +30 *1453:10 *1474:10 0.00149642 +31 *1463:10 *1474:16 9.65932e-05 +32 *1465:10 *1474:16 4.03749e-05 +33 *1468:16 *1474:16 0.000103565 +34 *1472:10 *1474:10 0.0297007 +*RES +1 *2826:la_oenb[36] *1474:9 33.5066 +2 *1474:9 *1474:10 314.629 +3 *1474:10 *1474:15 17.476 +4 *1474:15 *1474:16 102.77 +5 *1474:16 *1474:18 4.5 +6 *1474:18 *2820:la_oenb_mprj[36] 52.1996 +*END + +*D_NET *1475 0.0856553 +*CONN +*I *2820:la_oenb_mprj[37] I *D mgmt_protect +*I *2826:la_oenb[37] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[37] 0.00121202 +2 *2826:la_oenb[37] 7.21667e-05 +3 *1475:14 0.00227524 +4 *1475:12 0.00108385 +5 *1475:10 0.00310414 +6 *1475:9 0.00308351 +7 *1475:7 0.00304676 +8 *1475:5 0.00311893 +9 *1475:14 *1480:16 0 +10 *2820:la_iena_mprj[37] *2820:la_oenb_mprj[37] 0 +11 *2826:la_input[37] *1475:7 0 +12 *836:7 *2820:la_oenb_mprj[37] 0 +13 *1088:10 *1475:10 0.0266084 +14 *1088:14 *1475:10 0.00278783 +15 *1088:14 *1475:14 0.00208965 +16 *1090:10 *1475:10 0.0264578 +17 *1091:9 *1475:7 0 +18 *1324:10 *1475:10 0.00159101 +19 *1346:16 *1475:10 0.00654959 +20 *1346:16 *1475:14 0.00166734 +21 *1348:10 *1475:10 1.41853e-05 +22 *1348:10 *1475:14 0.000245427 +23 *1359:17 *2820:la_oenb_mprj[37] 0.000105636 +24 *1473:14 *1475:14 0.000541836 +*RES +1 *2826:la_oenb[37] *1475:5 2.05183 +2 *1475:5 *1475:7 59.3444 +3 *1475:7 *1475:9 4.5 +4 *1475:9 *1475:10 352.619 +5 *1475:10 *1475:12 0.578717 +6 *1475:12 *1475:14 53.1334 +7 *1475:14 *2820:la_oenb_mprj[37] 32.1998 +*END + +*D_NET *1476 0.0792872 +*CONN +*I *2820:la_oenb_mprj[38] I *D mgmt_protect +*I *2826:la_oenb[38] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[38] 0.00274546 +2 *2826:la_oenb[38] 0.00114703 +3 *1476:28 0.00274546 +4 *1476:26 0.00065264 +5 *1476:24 0.000673272 +6 *1476:22 0.000739485 +7 *1476:20 0.000909401 +8 *1476:10 0.00232706 +9 *1476:9 0.00328354 +10 *1476:10 *1479:10 0.021865 +11 *2820:la_iena_mprj[38] *2820:la_oenb_mprj[38] 0 +12 *2826:la_input[38] *1476:9 0 +13 *837:5 *2820:la_oenb_mprj[38] 0 +14 *1077:13 *1476:20 0 +15 *1085:10 *1476:10 0.00175831 +16 *1113:7 *2820:la_oenb_mprj[38] 0 +17 *1349:10 *1476:10 0.0247472 +18 *1349:15 *1476:20 6.2764e-05 +19 *1349:16 *1476:22 0.00242953 +20 *1349:16 *1476:26 0.00577495 +21 *1363:15 *2820:la_oenb_mprj[38] 0 +22 *1364:11 *1476:20 6.46124e-05 +23 *1366:10 *1476:22 0.00171217 +24 *1366:10 *1476:26 0.00253516 +25 *1455:10 *1476:10 0.000945103 +26 *1472:10 *1476:22 0.0016774 +27 *1474:10 *1476:10 0.000475421 +28 *1474:10 *1476:22 1.61631e-05 +*RES +1 *2826:la_oenb[38] *1476:9 31.5525 +2 *1476:9 *1476:10 273.588 +3 *1476:10 *1476:20 13.2916 +4 *1476:20 *1476:22 58.6795 +5 *1476:22 *1476:24 0.578717 +6 *1476:24 *1476:26 61.4525 +7 *1476:26 *1476:28 4.5 +8 *1476:28 *2820:la_oenb_mprj[38] 61.3352 +*END + +*D_NET *1477 0.0859591 +*CONN +*I *2820:la_oenb_mprj[39] I *D mgmt_protect +*I *2826:la_oenb[39] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[39] 0.00110311 +2 *2826:la_oenb[39] 7.21667e-05 +3 *1477:10 0.00467166 +4 *1477:9 0.00356855 +5 *1477:7 0.00302602 +6 *1477:5 0.00309819 +7 *2826:la_input[39] *1477:7 0 +8 *826:8 *1477:10 0 +9 *839:5 *2820:la_oenb_mprj[39] 0 +10 *1093:7 *1477:7 0 +11 *1093:10 *1477:10 0.00103083 +12 *1348:10 *1477:10 0.0346449 +13 *1349:19 *2820:la_oenb_mprj[39] 0.000696399 +14 *1352:10 *1477:10 0.0329003 +15 *1363:16 *1477:10 0.000307037 +16 *1454:10 *1477:10 0.00083995 +*RES +1 *2826:la_oenb[39] *1477:5 2.05183 +2 *1477:5 *1477:7 58.0987 +3 *1477:7 *1477:9 4.5 +4 *1477:9 *1477:10 382.291 +5 *1477:10 *2820:la_oenb_mprj[39] 33.8608 +*END + +*D_NET *1478 0.177092 +*CONN +*I *2820:la_oenb_mprj[3] I *D mgmt_protect +*I *2826:la_oenb[3] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[3] 0.00111511 +2 *2826:la_oenb[3] 7.21667e-05 +3 *1478:10 0.00621223 +4 *1478:9 0.00509712 +5 *1478:7 0.00241774 +6 *1478:5 0.0024899 +7 *1478:10 *1480:16 0.00260288 +8 *2820:la_iena_mprj[3] *2820:la_oenb_mprj[3] 0 +9 *799:13 *2820:la_oenb_mprj[3] 0 +10 *849:7 *2820:la_oenb_mprj[3] 0 +11 *1094:7 *1478:7 0 +12 *1094:10 *1478:10 0.00101286 +13 *1116:10 *1478:10 0.0722609 +14 *1289:10 *1478:10 0.0739588 +15 *1328:10 *1478:10 0.0098298 +16 *1354:13 *2820:la_oenb_mprj[3] 8.62625e-06 +17 *1469:10 *1478:10 1.41689e-05 +*RES +1 *2826:la_oenb[3] *1478:5 2.05183 +2 *1478:5 *1478:7 63.4969 +3 *1478:7 *1478:9 4.5 +4 *1478:9 *1478:10 802.68 +5 *1478:10 *2820:la_oenb_mprj[3] 28.4625 +*END + +*D_NET *1479 0.0798665 +*CONN +*I *2820:la_oenb_mprj[40] I *D mgmt_protect +*I *2826:la_oenb[40] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[40] 6.22868e-05 +2 *2826:la_oenb[40] 0.00117435 +3 *1479:21 0.00177336 +4 *1479:20 0.0018993 +5 *1479:14 0.00137868 +6 *1479:12 0.00121255 +7 *1479:10 0.00197587 +8 *1479:9 0.00312811 +9 *1479:14 *1497:10 0 +10 *1479:20 *1498:10 0.00033061 +11 *2820:la_iena_mprj[40] *1479:21 0.0031792 +12 *2826:la_input[40] *1479:9 0 +13 *840:5 *1479:21 0 +14 *1081:15 *1479:9 0 +15 *1085:10 *1479:10 0.0114369 +16 *1087:10 *1479:10 0.0013046 +17 *1095:9 *1479:9 0 +18 *1114:7 *1479:21 0.000613374 +19 *1349:10 *1479:14 7.68538e-06 +20 *1349:16 *1479:14 0.0120648 +21 *1359:12 *1479:10 0.00217079 +22 *1359:14 *1479:10 0.00234712 +23 *1359:14 *1479:14 0.0104401 +24 *1368:10 *1479:14 0 +25 *1368:10 *1479:20 0 +26 *1370:10 *1479:14 0.000589703 +27 *1370:10 *1479:20 0.000159059 +28 *1457:10 *1479:10 0.000753036 +29 *1476:10 *1479:10 0.021865 +*RES +1 *2826:la_oenb[40] *1479:9 32.2608 +2 *1479:9 *1479:10 231.993 +3 *1479:10 *1479:12 0.578717 +4 *1479:12 *1479:14 129.391 +5 *1479:14 *1479:20 12.4574 +6 *1479:20 *1479:21 61.8359 +7 *1479:21 *2820:la_oenb_mprj[40] 1.77093 +*END + +*D_NET *1480 0.078858 +*CONN +*I *2820:la_oenb_mprj[41] I *D mgmt_protect +*I *2826:la_oenb[41] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[41] 0.00113037 +2 *2826:la_oenb[41] 0.00112183 +3 *1480:16 0.00543742 +4 *1480:15 0.00616277 +5 *1480:12 0.00297756 +6 *2820:la_data_out_mprj[0] *1480:15 0 +7 *2820:la_iena_mprj[0] *1480:15 7.09666e-06 +8 *2820:la_iena_mprj[41] *2820:la_oenb_mprj[41] 0 +9 *2820:la_oenb_mprj[0] *1480:15 0.000278918 +10 *2826:la_input[41] *1480:12 0 +11 *841:7 *2820:la_oenb_mprj[41] 0 +12 *859:11 *2820:la_oenb_mprj[41] 2.1203e-06 +13 *1072:13 *1480:15 0 +14 *1089:10 *1480:12 0.000426154 +15 *1095:12 *1480:12 0.00043038 +16 *1096:7 *1480:12 0 +17 *1096:7 *1480:15 0.00148126 +18 *1114:10 *1480:16 0.000842351 +19 *1116:10 *1480:16 1.41689e-05 +20 *1344:10 *1480:16 3.18085e-05 +21 *1354:14 *1480:16 0.0302615 +22 *1365:16 *1480:16 0 +23 *1469:10 *1480:16 0.0249963 +24 *1471:10 *1480:16 0.000653048 +25 *1473:14 *1480:16 0 +26 *1475:14 *1480:16 0 +27 *1478:10 *1480:16 0.00260288 +*RES +1 *2826:la_oenb[41] *1480:12 39.7054 +2 *1480:12 *1480:15 43.7047 +3 *1480:15 *1480:16 354.56 +4 *1480:16 *2820:la_oenb_mprj[41] 28.8777 +*END + +*D_NET *1481 0.0764177 +*CONN +*I *2820:la_oenb_mprj[42] I *D mgmt_protect +*I *2826:la_oenb[42] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[42] 0.00250842 +2 *2826:la_oenb[42] 0.00145057 +3 *1481:12 0.00250842 +4 *1481:10 0.00280001 +5 *1481:9 0.00425058 +6 *1481:10 *1483:10 0.000810081 +7 *1481:10 *1511:10 0.000103486 +8 *2820:la_data_out_mprj[2] *1481:9 1.05272e-06 +9 *2820:la_iena_mprj[2] *1481:9 2.43314e-05 +10 *2820:la_iena_mprj[42] *2820:la_oenb_mprj[42] 0 +11 *2826:la_input[42] *1481:9 0 +12 *841:11 *1481:9 0 +13 *842:7 *2820:la_oenb_mprj[42] 0 +14 *1097:9 *1481:9 0 +15 *1115:7 *2820:la_oenb_mprj[42] 0 +16 *1339:16 *1481:10 6.08467e-05 +17 *1351:10 *1481:10 0.0307716 +18 *1353:10 *1481:10 0.00127138 +19 *1355:10 *1481:10 0.0297159 +20 *1451:10 *1481:10 0.000141029 +*RES +1 *2826:la_oenb[42] *1481:9 36.8286 +2 *1481:9 *1481:10 346.796 +3 *1481:10 *1481:12 4.5 +4 *1481:12 *2820:la_oenb_mprj[42] 57.1827 +*END + +*D_NET *1482 0.0760955 +*CONN +*I *2820:la_oenb_mprj[43] I *D mgmt_protect +*I *2826:la_oenb[43] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[43] 0.00102215 +2 *2826:la_oenb[43] 0.00116481 +3 *1482:24 0.00322925 +4 *1482:22 0.00266967 +5 *1482:16 0.00100786 +6 *1482:15 0.00282703 +7 *1482:12 0.00344655 +8 *2820:la_data_out_mprj[6] *1482:15 0 +9 *2820:la_data_out_mprj[7] *1482:16 0.00109079 +10 *2820:la_iena_mprj[43] *2820:la_oenb_mprj[43] 0 +11 *2826:la_input[44] *1482:15 0 +12 *799:8 *1482:16 0.00411723 +13 *842:11 *1482:12 0 +14 *843:7 *2820:la_oenb_mprj[43] 0 +15 *871:7 *1482:15 0.000293898 +16 *1078:10 *1482:12 0 +17 *1098:9 *1482:12 0 +18 *1101:12 *1482:22 0.00394306 +19 *1101:14 *1482:22 1.41689e-05 +20 *1101:14 *1482:24 0.0212104 +21 *1103:12 *1482:22 1.90039e-05 +22 *1103:12 *1482:24 3.57037e-05 +23 *1103:14 *1482:24 0.0211129 +24 *1330:10 *1482:22 0.000127366 +25 *1347:18 *1482:12 0.000957243 +26 *1354:14 *1482:16 0.000361288 +27 *1355:9 *1482:15 0.000415071 +28 *1356:10 *1482:16 0.00308086 +29 *1356:10 *1482:22 7.6719e-06 +30 *1452:10 *1482:16 0.000211143 +31 *1452:10 *1482:22 0.00373033 +*RES +1 *2826:la_oenb[43] *1482:12 42.3447 +2 *1482:12 *1482:15 47.8572 +3 *1482:15 *1482:16 53.4107 +4 *1482:16 *1482:22 44.9952 +5 *1482:22 *1482:24 229.22 +6 *1482:24 *2820:la_oenb_mprj[43] 27.2167 +*END + +*D_NET *1483 0.0708141 +*CONN +*I *2820:la_oenb_mprj[44] I *D mgmt_protect +*I *2826:la_oenb[44] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[44] 0.00245188 +2 *2826:la_oenb[44] 0.00150523 +3 *1483:12 0.00245188 +4 *1483:10 0.00288909 +5 *1483:9 0.00439432 +6 *1483:10 *1484:10 0.0284435 +7 *2820:la_iena_mprj[44] *2820:la_oenb_mprj[44] 0 +8 *844:5 *2820:la_oenb_mprj[44] 0 +9 *861:8 *1483:10 0.000163928 +10 *1099:7 *1483:9 0 +11 *1160:14 *1483:10 0.000307839 +12 *1327:10 *1483:10 1.26314e-05 +13 *1351:10 *1483:10 0.0269357 +14 *1355:10 *1483:10 0.000314064 +15 *1369:15 *2820:la_oenb_mprj[44] 0 +16 *1370:15 *2820:la_oenb_mprj[44] 0 +17 *1451:10 *1483:10 0.000133943 +18 *1481:10 *1483:10 0.000810081 +*RES +1 *2826:la_oenb[44] *1483:9 37.6591 +2 *1483:9 *1483:10 323.503 +3 *1483:10 *1483:12 4.5 +4 *1483:12 *2820:la_oenb_mprj[44] 56.3522 +*END + +*D_NET *1484 0.0698812 +*CONN +*I *2820:la_oenb_mprj[45] I *D mgmt_protect +*I *2826:la_oenb[45] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[45] 0.00244192 +2 *2826:la_oenb[45] 0.0015174 +3 *1484:12 0.00244192 +4 *1484:10 0.00269231 +5 *1484:9 0.0042097 +6 *2820:la_oenb_mprj[45] *1490:15 0 +7 *2820:la_oenb_mprj[45] *1496:15 0 +8 *2820:la_oenb_mprj[45] *1497:15 0 +9 *1484:10 *1485:10 0.0273878 +10 *2820:la_data_out_mprj[45] *2820:la_oenb_mprj[45] 0 +11 *2820:la_iena_mprj[45] *2820:la_oenb_mprj[45] 0 +12 *2826:la_input[45] *1484:9 0 +13 *845:7 *2820:la_oenb_mprj[45] 0 +14 *861:8 *1484:10 0.000207488 +15 *1100:9 *1484:9 0 +16 *1117:9 *2820:la_oenb_mprj[45] 0 +17 *1327:10 *1484:10 0.000175597 +18 *1357:10 *1484:10 0.000229545 +19 *1451:10 *1484:10 0.000133943 +20 *1483:10 *1484:10 0.0284435 +*RES +1 *2826:la_oenb[45] *1484:9 38.0744 +2 *1484:9 *1484:10 311.856 +3 *1484:10 *1484:12 4.5 +4 *1484:12 *2820:la_oenb_mprj[45] 55.9369 +*END + +*D_NET *1485 0.0671989 +*CONN +*I *2820:la_oenb_mprj[46] I *D mgmt_protect +*I *2826:la_oenb[46] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[46] 0.00186726 +2 *2826:la_oenb[46] 0.00142775 +3 *1485:12 0.00186726 +4 *1485:10 0.0026348 +5 *1485:9 0.00406256 +6 *2820:la_oenb_mprj[46] *1497:15 0 +7 *2820:la_oenb_mprj[46] *1498:15 0 +8 *1485:10 *1487:10 0.0231548 +9 *1485:10 *1490:10 5.03285e-05 +10 *2820:la_iena_mprj[46] *2820:la_oenb_mprj[46] 0 +11 *2826:la_input[46] *1485:9 0 +12 *846:9 *2820:la_oenb_mprj[46] 0.00220616 +13 *861:8 *1485:10 3.51801e-05 +14 *1085:15 *1485:9 0.000315116 +15 *1101:7 *1485:9 0 +16 *1117:10 *1485:10 0.000175621 +17 *1357:10 *1485:10 0.00169489 +18 *1374:10 *1485:10 0.000260521 +19 *1439:19 *1485:9 5.88037e-05 +20 *1484:10 *1485:10 0.0273878 +*RES +1 *2826:la_oenb[46] *1485:9 38.4896 +2 *1485:9 *1485:10 300.209 +3 *1485:10 *1485:12 4.5 +4 *1485:12 *2820:la_oenb_mprj[46] 55.5217 +*END + +*D_NET *1486 0.0805935 +*CONN +*I *2820:la_oenb_mprj[47] I *D mgmt_protect +*I *2826:la_oenb[47] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[47] 0.000786375 +2 *2826:la_oenb[47] 3.26469e-05 +3 *1486:12 0.00742873 +4 *1486:7 0.00969737 +5 *1486:5 0.00308767 +6 *2820:la_data_out_mprj[14] *1486:7 0.000166447 +7 *2820:la_iena_mprj[47] *2820:la_oenb_mprj[47] 0 +8 *2826:la_input[47] *1486:7 0 +9 *810:9 *1486:7 0 +10 *845:16 *1486:7 0.000142643 +11 *847:9 *2820:la_oenb_mprj[47] 0.00133089 +12 *1358:12 *1486:12 0.0305183 +13 *1360:12 *1486:12 0.0274024 +14 *1449:13 *1486:7 0 +*RES +1 *2826:la_oenb[47] *1486:5 0.928211 +2 *1486:5 *1486:7 63.2893 +3 *1486:7 *1486:12 46.7153 +4 *1486:12 *2820:la_oenb_mprj[47] 24.1701 +*END + +*D_NET *1487 0.0616037 +*CONN +*I *2820:la_oenb_mprj[48] I *D mgmt_protect +*I *2826:la_oenb[48] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[48] 0.00180382 +2 *2826:la_oenb[48] 0.00154796 +3 *1487:15 0.00297438 +4 *1487:10 0.0033548 +5 *1487:9 0.0037322 +6 *1487:10 *1490:10 0.00276602 +7 *1487:15 *1490:15 0 +8 *1487:15 *1499:15 0.00161508 +9 *2820:la_data_out_mprj[45] *1487:15 0.000701475 +10 *2820:la_data_out_mprj[49] *2820:la_oenb_mprj[48] 4.61116e-05 +11 *2820:la_iena_mprj[48] *2820:la_oenb_mprj[48] 0.00297571 +12 *2826:la_input[48] *1487:9 0 +13 *844:5 *1487:15 0 +14 *848:7 *2820:la_oenb_mprj[48] 0 +15 *1087:15 *1487:9 0 +16 *1089:15 *1487:9 0 +17 *1103:7 *1487:9 0 +18 *1117:9 *1487:15 2.26084e-05 +19 *1357:10 *1487:10 0.0168578 +20 *1369:15 *1487:15 3.39873e-05 +21 *1370:15 *1487:15 1.69932e-05 +22 *1485:10 *1487:10 0.0231548 +*RES +1 *2826:la_oenb[48] *1487:9 38.9049 +2 *1487:9 *1487:10 246.967 +3 *1487:10 *1487:15 46.4506 +4 *1487:15 *2820:la_oenb_mprj[48] 24.2447 +*END + +*D_NET *1488 0.0746067 +*CONN +*I *2820:la_oenb_mprj[49] I *D mgmt_protect +*I *2826:la_oenb[49] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[49] 0.000965345 +2 *2826:la_oenb[49] 7.21667e-05 +3 *1488:12 0.00631419 +4 *1488:7 0.00824226 +5 *1488:5 0.00296558 +6 *2820:la_iena_mprj[19] *1488:7 0 +7 *2820:la_iena_mprj[49] *2820:la_oenb_mprj[49] 0 +8 *2820:la_oenb_mprj[18] *1488:7 6.6789e-05 +9 *815:7 *1488:7 0.00076693 +10 *848:11 *1488:7 0 +11 *850:7 *2820:la_oenb_mprj[49] 0 +12 *1104:9 *1488:7 0 +13 *1360:12 *1488:12 0.0279548 +14 *1362:12 *1488:12 0.0272587 +15 *1468:15 *1488:7 0 +*RES +1 *2826:la_oenb[49] *1488:5 2.05183 +2 *1488:5 *1488:7 64.9503 +3 *1488:7 *1488:12 43.3498 +4 *1488:12 *2820:la_oenb_mprj[49] 22.5091 +*END + +*D_NET *1489 0.205917 +*CONN +*I *2820:la_oenb_mprj[4] I *D mgmt_protect +*I *2826:la_oenb[4] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[4] 0.00303623 +2 *2826:la_oenb[4] 0.0012894 +3 *1489:12 0.00303623 +4 *1489:10 0.0117416 +5 *1489:9 0.013031 +6 *1489:10 *1511:10 0.0813463 +7 *2820:la_iena_mprj[4] *2820:la_oenb_mprj[4] 0 +8 *2826:la_input[4] *1489:9 0 +9 *860:7 *2820:la_oenb_mprj[4] 0 +10 *1105:9 *1489:9 0 +11 *1105:10 *1489:10 0.00403238 +12 *1127:10 *1489:10 0.00361306 +13 *1351:10 *1489:10 0.00010238 +14 *1383:10 *1489:10 0.000422525 +15 *1451:10 *1489:10 0.000461614 +16 *1467:10 *1489:10 0.0838043 +*RES +1 *2826:la_oenb[4] *1489:9 36.3203 +2 *1489:9 *1489:10 109.125 +3 *1489:10 *1489:12 3.36879 +4 *1489:12 *2820:la_oenb_mprj[4] 56.5598 +*END + +*D_NET *1490 0.0529739 +*CONN +*I *2820:la_oenb_mprj[50] I *D mgmt_protect +*I *2826:la_oenb[50] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[50] 0.0011259 +2 *2826:la_oenb[50] 0.00141151 +3 *1490:18 0.0014642 +4 *1490:15 0.00144411 +5 *1490:10 0.00329012 +6 *1490:9 0.00359582 +7 *1490:10 *1493:10 0.00176883 +8 *1490:10 *1496:10 0 +9 *2820:la_data_out_mprj[45] *1490:15 7.49661e-05 +10 *2820:la_iena_mprj[50] *2820:la_oenb_mprj[50] 0 +11 *2820:la_oenb_mprj[45] *1490:15 0 +12 *850:11 *1490:9 0 +13 *851:7 *2820:la_oenb_mprj[50] 0 +14 *858:13 *2820:la_oenb_mprj[50] 1.09738e-05 +15 *1074:13 *1490:9 0.000241556 +16 *1106:7 *1490:9 0 +17 *1117:9 *1490:15 2.95757e-05 +18 *1117:10 *1490:10 6.08467e-05 +19 *1331:10 *1490:10 0.000373718 +20 *1357:10 *1490:10 0.0130799 +21 *1363:10 *1490:10 0.0136554 +22 *1367:16 *1490:18 0.004245 +23 *1368:16 *1490:18 0.00424921 +24 *1459:10 *1490:10 3.59302e-05 +25 *1485:10 *1490:10 5.03285e-05 +26 *1487:10 *1490:10 0.00276602 +27 *1487:15 *1490:15 0 +*RES +1 *2826:la_oenb[50] *1490:9 39.7354 +2 *1490:9 *1490:10 208.7 +3 *1490:10 *1490:15 37.4081 +4 *1490:15 *1490:18 49.5917 +5 *1490:18 *2820:la_oenb_mprj[50] 26.0388 +*END + +*D_NET *1491 0.0643029 +*CONN +*I *2820:la_oenb_mprj[51] I *D mgmt_protect +*I *2826:la_oenb[51] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[51] 0.000857727 +2 *2826:la_oenb[51] 7.21667e-05 +3 *1491:12 0.00774288 +4 *1491:7 0.0100145 +5 *1491:5 0.00320154 +6 *2820:la_data_out_mprj[23] *1491:7 0 +7 *2820:la_data_out_mprj[49] *1491:12 0.0178457 +8 *2820:la_iena_mprj[23] *1491:7 8.11463e-06 +9 *2820:la_iena_mprj[51] *2820:la_oenb_mprj[51] 0 +10 *821:7 *1491:7 0 +11 *851:11 *1491:7 0 +12 *852:7 *2820:la_oenb_mprj[51] 0 +13 *1077:13 *1491:7 0 +14 *1090:15 *1491:7 0 +15 *1107:9 *1491:7 0 +16 *1346:15 *1491:7 1.90218e-05 +17 *1362:12 *1491:12 0.0245412 +*RES +1 *2826:la_oenb[51] *1491:5 2.05183 +2 *1491:5 *1491:7 66.6113 +3 *1491:7 *1491:12 40.1372 +4 *1491:12 *2820:la_oenb_mprj[51] 20.8481 +*END + +*D_NET *1492 0.0508293 +*CONN +*I *2820:la_oenb_mprj[52] I *D mgmt_protect +*I *2826:la_oenb[52] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[52] 0.000811734 +2 *2826:la_oenb[52] 5.24068e-05 +3 *1492:10 0.00359043 +4 *1492:9 0.00277869 +5 *1492:7 0.00275026 +6 *1492:5 0.00280267 +7 *2820:la_data_out_mprj[26] *1492:7 0 +8 *2820:la_iena_mprj[52] *2820:la_oenb_mprj[52] 0 +9 *823:7 *1492:7 0.000706586 +10 *852:11 *1492:7 0 +11 *853:7 *2820:la_oenb_mprj[52] 0 +12 *853:8 *1492:10 0.00166774 +13 *854:8 *1492:10 0.0179959 +14 *855:8 *1492:10 0.0161462 +15 *1095:19 *1492:7 0.000555671 +16 *1108:9 *1492:7 0 +17 *1341:10 *1492:10 0 +18 *1364:14 *1492:10 0.000330216 +19 *1464:10 *1492:10 0.000640848 +20 *1466:10 *1492:10 0 +*RES +1 *2826:la_oenb[52] *1492:5 1.49002 +2 *1492:5 *1492:7 67.2342 +3 *1492:7 *1492:9 4.5 +4 *1492:9 *1492:10 230.329 +5 *1492:10 *2820:la_oenb_mprj[52] 24.7252 +*END + +*D_NET *1493 0.0443869 +*CONN +*I *2820:la_oenb_mprj[53] I *D mgmt_protect +*I *2826:la_oenb[53] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[53] 0.00128845 +2 *2826:la_oenb[53] 0.00151506 +3 *1493:16 0.00247602 +4 *1493:15 0.00158289 +5 *1493:10 0.00175041 +6 *1493:9 0.00287015 +7 *1493:10 *1494:10 0.00154244 +8 *1493:10 *1496:10 7.068e-05 +9 *1493:15 *1495:15 0.00124836 +10 *1493:16 *1494:16 0.00175806 +11 *2820:la_iena_mprj[44] *1493:15 0.000632539 +12 *2820:la_iena_mprj[53] *2820:la_oenb_mprj[53] 0 +13 *854:7 *2820:la_oenb_mprj[53] 0 +14 *1098:15 *1493:9 0 +15 *1100:16 *1493:16 0.000306834 +16 *1109:9 *1493:9 0 +17 *1363:10 *1493:10 0.00891504 +18 *1365:10 *1493:10 0.00901257 +19 *1366:16 *1493:16 0.00761311 +20 *1371:15 *1493:15 3.54138e-05 +21 *1490:10 *1493:10 0.00176883 +*RES +1 *2826:la_oenb[53] *1493:9 40.5659 +2 *1493:9 *1493:10 137.71 +3 *1493:10 *1493:15 32.0099 +4 *1493:15 *1493:16 81.1409 +5 *1493:16 *2820:la_oenb_mprj[53] 35.1065 +*END + +*D_NET *1494 0.0357225 +*CONN +*I *2820:la_oenb_mprj[54] I *D mgmt_protect +*I *2826:la_oenb[54] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[54] 0.00131175 +2 *2826:la_oenb[54] 0.00154868 +3 *1494:16 0.00371993 +4 *1494:15 0.00317779 +5 *1494:10 0.00196415 +6 *1494:9 0.00274322 +7 *1494:10 *1496:10 0.0025079 +8 *1494:16 *1496:16 1.30603e-05 +9 *2820:la_data_out_mprj[43] *1494:15 0 +10 *2820:la_iena_mprj[54] *2820:la_oenb_mprj[54] 0 +11 *843:7 *1494:15 0.000156641 +12 *854:11 *1494:9 0 +13 *855:7 *2820:la_oenb_mprj[54] 0 +14 *1100:16 *1494:16 0.00116248 +15 *1110:9 *1494:9 0 +16 *1357:16 *1494:16 0.00208195 +17 *1365:10 *1494:10 0.00707562 +18 *1366:16 *1494:16 0.000111031 +19 *1368:15 *1494:15 0 +20 *1371:15 *1494:15 0 +21 *1474:16 *1494:10 0.00484782 +22 *1493:10 *1494:10 0.00154244 +23 *1493:16 *1494:16 0.00175806 +*RES +1 *2826:la_oenb[54] *1494:9 41.3964 +2 *1494:9 *1494:10 112.753 +3 *1494:10 *1494:15 29.9336 +4 *1494:15 *1494:16 93.8968 +5 *1494:16 *2820:la_oenb_mprj[54] 36.3523 +*END + +*D_NET *1495 0.0440896 +*CONN +*I *2820:la_oenb_mprj[55] I *D mgmt_protect +*I *2826:la_oenb[55] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[55] 0.00115399 +2 *2826:la_oenb[55] 0.0015959 +3 *1495:16 0.00202696 +4 *1495:15 0.00126602 +5 *1495:10 0.00140164 +6 *1495:9 0.00260449 +7 *1495:10 *1496:10 0.00712645 +8 *1495:16 *1497:16 0.00156361 +9 *1495:16 *1499:16 0.00859489 +10 *2820:la_data_out_mprj[44] *1495:15 0.000153255 +11 *2820:la_iena_mprj[44] *1495:15 3.21568e-05 +12 *2820:la_iena_mprj[55] *2820:la_oenb_mprj[55] 0 +13 *2820:la_oenb_mprj[32] *1495:9 0 +14 *855:11 *1495:9 0 +15 *856:7 *2820:la_oenb_mprj[55] 0 +16 *858:14 *1495:16 0.000292759 +17 *1107:13 *1495:9 0 +18 *1109:15 *1495:9 0 +19 *1111:9 *1495:9 0 +20 *1111:11 *1495:9 0 +21 *1363:16 *1495:16 0.00582682 +22 *1367:10 *1495:10 0.00755134 +23 *1369:10 *1495:10 0.000252187 +24 *1371:15 *1495:15 0.000558071 +25 *1474:16 *1495:10 0.000840675 +26 *1493:15 *1495:15 0.00124836 +*RES +1 *2826:la_oenb[55] *1495:9 42.6421 +2 *1495:9 *1495:10 96.6698 +3 *1495:10 *1495:15 32.0099 +4 *1495:15 *1495:16 98.8882 +5 *1495:16 *2820:la_oenb_mprj[55] 33.0303 +*END + +*D_NET *1496 0.0387199 +*CONN +*I *2820:la_oenb_mprj[56] I *D mgmt_protect +*I *2826:la_oenb[56] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[56] 0.00118415 +2 *2826:la_oenb[56] 0.00145217 +3 *1496:16 0.0021105 +4 *1496:15 0.00163823 +5 *1496:10 0.00216925 +6 *1496:9 0.00290954 +7 *1496:15 *1497:15 0 +8 *1496:16 *1497:16 0.00842114 +9 *2820:la_iena_mprj[35] *1496:9 0.000416874 +10 *2820:la_iena_mprj[56] *2820:la_oenb_mprj[56] 0 +11 *2820:la_oenb_mprj[45] *1496:15 0 +12 *845:7 *1496:15 0.000633417 +13 *856:11 *1496:9 0 +14 *857:7 *2820:la_oenb_mprj[56] 0 +15 *1112:7 *1496:9 0 +16 *1117:10 *1496:10 3.14983e-05 +17 *1363:16 *1496:16 0.000113197 +18 *1366:16 *1496:16 0.00683436 +19 *1369:10 *1496:10 3.68813e-05 +20 *1474:16 *1496:10 0.00105055 +21 *1490:10 *1496:10 0 +22 *1493:10 *1496:10 7.068e-05 +23 *1494:10 *1496:10 0.0025079 +24 *1494:16 *1496:16 1.30603e-05 +25 *1495:10 *1496:10 0.00712645 +*RES +1 *2826:la_oenb[56] *1496:9 42.2269 +2 *1496:9 *1496:10 91.6784 +3 *1496:10 *1496:15 31.1794 +4 *1496:15 *1496:16 91.6784 +5 *1496:16 *2820:la_oenb_mprj[56] 34.276 +*END + +*D_NET *1497 0.029752 +*CONN +*I *2820:la_oenb_mprj[57] I *D mgmt_protect +*I *2826:la_oenb[57] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[57] 0.00114225 +2 *2826:la_oenb[57] 0.000951019 +3 *1497:16 0.00213885 +4 *1497:15 0.00233829 +5 *1497:10 0.00365931 +6 *1497:9 0.00326865 +7 *1497:10 *1499:12 0.000962337 +8 *1497:10 *1501:12 0.000175621 +9 *1497:15 *1498:15 0 +10 *1497:16 *1499:16 0.000427771 +11 *2820:la_iena_mprj[37] *1497:9 0 +12 *2820:la_iena_mprj[46] *1497:15 0.000165481 +13 *2820:la_iena_mprj[57] *2820:la_oenb_mprj[57] 0 +14 *2820:la_oenb_mprj[45] *1497:15 0 +15 *2820:la_oenb_mprj[46] *1497:15 0 +16 *2826:la_input[61] *1497:15 0 +17 *845:7 *1497:15 0 +18 *857:11 *1497:9 0 +19 *858:7 *2820:la_oenb_mprj[57] 0 +20 *1113:7 *1497:9 0 +21 *1363:16 *1497:16 0.00414943 +22 *1370:10 *1497:10 0.000118081 +23 *1371:12 *1497:10 0.000270207 +24 *1374:9 *1497:15 0 +25 *1479:14 *1497:10 0 +26 *1495:16 *1497:16 0.00156361 +27 *1496:15 *1497:15 0 +28 *1496:16 *1497:16 0.00842114 +*RES +1 *2826:la_oenb[57] *1497:9 29.3541 +2 *1497:9 *1497:10 74.4857 +3 *1497:10 *1497:15 44.4674 +4 *1497:15 *1497:16 97.779 +5 *1497:16 *2820:la_oenb_mprj[57] 33.8608 +*END + +*D_NET *1498 0.0353002 +*CONN +*I *2820:la_oenb_mprj[58] I *D mgmt_protect +*I *2826:la_oenb[58] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[58] 0.000866193 +2 *2826:la_oenb[58] 0.0010513 +3 *1498:16 0.00164846 +4 *1498:15 0.00221713 +5 *1498:10 0.00269286 +6 *1498:9 0.0023093 +7 *1498:10 *1501:12 0.000662578 +8 *1498:15 *1502:9 0.000330495 +9 *1498:16 *1501:16 0.00870536 +10 *2820:la_iena_mprj[58] *2820:la_oenb_mprj[58] 0 +11 *2820:la_oenb_mprj[46] *1498:15 0 +12 *2826:la_input[61] *1498:15 0 +13 *846:9 *1498:15 0.000246949 +14 *858:17 *1498:9 0 +15 *859:7 *2820:la_oenb_mprj[58] 0 +16 *1114:7 *1498:9 0 +17 *1360:12 *1498:16 0.000133887 +18 *1368:10 *1498:10 0 +19 *1369:16 *1498:16 0.000356178 +20 *1370:10 *1498:10 0.00405836 +21 *1371:16 *1498:16 0.00952507 +22 *1373:10 *1498:10 0.000165467 +23 *1479:20 *1498:10 0.00033061 +24 *1497:15 *1498:15 0 +*RES +1 *2826:la_oenb[58] *1498:9 31.8456 +2 *1498:9 *1498:10 58.9568 +3 *1498:10 *1498:15 48.2047 +4 *1498:15 *1498:16 101.107 +5 *1498:16 *2820:la_oenb_mprj[58] 27.632 +*END + +*D_NET *1499 0.0315889 +*CONN +*I *2820:la_oenb_mprj[59] I *D mgmt_protect +*I *2826:la_oenb[59] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[59] 0.00104724 +2 *2826:la_oenb[59] 0.000983635 +3 *1499:16 0.00262615 +4 *1499:15 0.00217267 +5 *1499:12 0.000870646 +6 *1499:9 0.00126052 +7 *1499:12 *1501:12 0.000118245 +8 *1499:15 *1501:9 0 +9 *2820:la_data_out_mprj[59] *2820:la_oenb_mprj[59] 0 +10 *2820:la_iena_mprj[59] *2820:la_oenb_mprj[59] 0 +11 *858:14 *1499:16 0.0046016 +12 *859:11 *1499:9 0 +13 *861:5 *2820:la_oenb_mprj[59] 0 +14 *1115:7 *1499:9 0 +15 *1115:10 *1499:16 0 +16 *1117:9 *1499:15 0 +17 *1370:10 *1499:12 0.000142775 +18 *1370:15 *1499:15 0.00205698 +19 *1370:16 *1499:16 0.0027816 +20 *1371:12 *1499:12 0.00132676 +21 *1487:15 *1499:15 0.00161508 +22 *1495:16 *1499:16 0.00859489 +23 *1497:10 *1499:12 0.000962337 +24 *1497:16 *1499:16 0.000427771 +*RES +1 *2826:la_oenb[59] *1499:9 30.1846 +2 *1499:9 *1499:12 27.4075 +3 *1499:12 *1499:15 40.3827 +4 *1499:15 *1499:16 125.509 +5 *1499:16 *2820:la_oenb_mprj[59] 32.615 +*END + +*D_NET *1500 0.199526 +*CONN +*I *2820:la_oenb_mprj[5] I *D mgmt_protect +*I *2826:la_oenb[5] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[5] 0.00102602 +2 *2826:la_oenb[5] 7.21667e-05 +3 *1500:10 0.00693485 +4 *1500:9 0.00590883 +5 *1500:7 0.0025376 +6 *1500:5 0.00260976 +7 *1500:10 *1522:10 0.00686829 +8 *2820:la_iena_mprj[5] *2820:la_oenb_mprj[5] 0 +9 *860:11 *1500:7 0 +10 *871:7 *2820:la_oenb_mprj[5] 0 +11 *1116:7 *1500:7 0 +12 *1116:10 *1500:10 0.000405497 +13 *1350:10 *1500:10 0.0828612 +14 *1372:10 *1500:10 0.0847007 +15 *1394:10 *1500:10 0.000102747 +16 *1448:10 *1500:10 0.000371906 +17 *1452:10 *1500:10 0.0051268 +*RES +1 *2826:la_oenb[5] *1500:5 2.05183 +2 *1500:5 *1500:7 64.9503 +3 *1500:7 *1500:9 3.36879 +4 *1500:9 *1500:10 107.519 +5 *1500:10 *2820:la_oenb_mprj[5] 25.8779 +*END + +*D_NET *1501 0.0295612 +*CONN +*I *2820:la_oenb_mprj[60] I *D mgmt_protect +*I *2826:la_oenb[60] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[60] 0.000873967 +2 *2826:la_oenb[60] 0.00101966 +3 *1501:16 0.00213301 +4 *1501:15 0.00270364 +5 *1501:12 0.00216221 +6 *1501:9 0.00173727 +7 *2820:la_iena_mprj[60] *2820:la_oenb_mprj[60] 0 +8 *2826:la_input[60] *1501:9 0 +9 *847:9 *1501:15 0.000244447 +10 *859:8 *1501:16 0.000520301 +11 *862:5 *2820:la_oenb_mprj[60] 0 +12 *1115:10 *1501:16 3.63947e-05 +13 *1117:9 *1501:9 0 +14 *1118:9 *1501:15 0.000134807 +15 *1360:12 *1501:16 0.000110257 +16 *1369:16 *1501:16 0.00772432 +17 *1370:10 *1501:12 0.000224395 +18 *1371:16 *1501:16 0.00027469 +19 *1373:10 *1501:12 0 +20 *1375:9 *1501:15 0 +21 *1497:10 *1501:12 0.000175621 +22 *1498:10 *1501:12 0.000662578 +23 *1498:16 *1501:16 0.00870536 +24 *1499:12 *1501:12 0.000118245 +25 *1499:15 *1501:9 0 +*RES +1 *2826:la_oenb[60] *1501:9 31.0151 +2 *1501:9 *1501:12 31.8444 +3 *1501:12 *1501:15 44.1199 +4 *1501:15 *1501:16 109.426 +5 *1501:16 *2820:la_oenb_mprj[60] 28.0472 +*END + +*D_NET *1502 0.031712 +*CONN +*I *2820:la_oenb_mprj[61] I *D mgmt_protect +*I *2826:la_oenb[61] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[61] 0.00212471 +2 *2826:la_oenb[61] 0.00112129 +3 *1502:12 0.00212471 +4 *1502:10 0.000873363 +5 *1502:9 0.00199465 +6 *1502:10 *1503:10 9.16621e-05 +7 *1502:10 *1506:12 0.000621826 +8 *2820:la_iena_mprj[61] *2820:la_oenb_mprj[61] 0 +9 *2826:la_input[61] *1502:9 0 +10 *861:8 *1502:10 0.0106179 +11 *863:5 *2820:la_oenb_mprj[61] 0 +12 *1374:10 *1502:10 0.0118114 +13 *1498:15 *1502:9 0.000330495 +*RES +1 *2826:la_oenb[61] *1502:9 35.5584 +2 *1502:9 *1502:10 126.618 +3 *1502:10 *1502:12 4.5 +4 *1502:12 *2820:la_oenb_mprj[61] 56.7674 +*END + +*D_NET *1503 0.0295354 +*CONN +*I *2820:la_oenb_mprj[62] I *D mgmt_protect +*I *2826:la_oenb[62] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[62] 0.00205646 +2 *2826:la_oenb[62] 0.00128843 +3 *1503:12 0.00205646 +4 *1503:10 0.000737628 +5 *1503:9 0.00202606 +6 *1503:10 *1506:12 7.02172e-06 +7 *1503:10 *1506:16 0.000759667 +8 *2820:la_iena_mprj[62] *2820:la_oenb_mprj[62] 0 +9 *2826:la_input[62] *1503:9 0 +10 *864:5 *2820:la_oenb_mprj[62] 0 +11 *866:13 *1503:10 0.00116323 +12 *1118:10 *1503:10 0.00956641 +13 *1119:9 *1503:9 0 +14 *1374:10 *1503:10 0.00976818 +15 *1375:10 *1503:10 1.41689e-05 +16 *1502:10 *1503:10 9.16621e-05 +*RES +1 *2826:la_oenb[62] *1503:9 38.0744 +2 *1503:9 *1503:10 113.308 +3 *1503:10 *1503:12 4.5 +4 *1503:12 *2820:la_oenb_mprj[62] 55.9369 +*END + +*D_NET *1504 0.0252055 +*CONN +*I *2820:la_oenb_mprj[63] I *D mgmt_protect +*I *2826:la_oenb[63] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[63] 0.00194597 +2 *2826:la_oenb[63] 0.00142628 +3 *1504:12 0.00194597 +4 *1504:10 0.000913681 +5 *1504:9 0.00233996 +6 *2820:la_iena_mprj[63] *2820:la_oenb_mprj[63] 0 +7 *2826:la_input[63] *1504:9 0 +8 *865:5 *2820:la_oenb_mprj[63] 0 +9 *866:13 *1504:10 1.45065e-05 +10 *1117:10 *1504:10 0.00678617 +11 *1119:10 *1504:10 0.00838516 +12 *1120:9 *1504:9 0 +13 *1376:10 *1504:10 0.00114364 +14 *1378:10 *1504:10 0.000304114 +*RES +1 *2826:la_oenb[63] *1504:9 40.5659 +2 *1504:9 *1504:10 101.661 +3 *1504:10 *1504:12 4.5 +4 *1504:12 *2820:la_oenb_mprj[63] 53.4454 +*END + +*D_NET *1505 0.0144807 +*CONN +*I *2820:la_oenb_mprj[64] I *D mgmt_protect +*I *2826:la_oenb[64] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[64] 0.00184472 +2 *2826:la_oenb[64] 0.00154798 +3 *1505:12 0.00184472 +4 *1505:10 0.00245889 +5 *1505:9 0.00400687 +6 *2820:la_iena_mprj[64] *2820:la_oenb_mprj[64] 0 +7 *2826:la_input[64] *1505:9 0 +8 *866:5 *2820:la_oenb_mprj[64] 0 +9 *868:8 *1505:10 0.000807668 +10 *1119:10 *1505:10 6.66931e-05 +11 *1121:9 *1505:9 0 +12 *1378:10 *1505:10 0.00190316 +*RES +1 *2826:la_oenb[64] *1505:9 43.0574 +2 *1505:9 *1505:10 90.0146 +3 *1505:10 *1505:12 4.5 +4 *1505:12 *2820:la_oenb_mprj[64] 50.9539 +*END + +*D_NET *1506 0.01913 +*CONN +*I *2820:la_oenb_mprj[65] I *D mgmt_protect +*I *2826:la_oenb[65] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[65] 0.00203799 +2 *2826:la_oenb[65] 0.00125286 +3 *1506:16 0.0026229 +4 *1506:12 0.00099543 +5 *1506:9 0.00166338 +6 *1506:16 *1509:12 0.00163252 +7 *2820:la_data_out_mprj[65] *2820:la_oenb_mprj[65] 0 +8 *2820:la_iena_mprj[65] *2820:la_oenb_mprj[65] 0 +9 *2826:la_input[65] *1506:9 0 +10 *861:8 *1506:12 0.00277355 +11 *862:8 *1506:12 0.00355306 +12 *866:13 *1506:16 0.000807467 +13 *866:16 *1506:12 0.00011581 +14 *867:5 *2820:la_oenb_mprj[65] 0 +15 *1122:9 *1506:9 0 +16 *1378:10 *1506:16 0 +17 *1379:10 *1506:12 0.000113033 +18 *1379:10 *1506:16 0 +19 *1381:10 *1506:16 0.000173512 +20 *1502:10 *1506:12 0.000621826 +21 *1503:10 *1506:12 7.02172e-06 +22 *1503:10 *1506:16 0.000759667 +*RES +1 *2826:la_oenb[65] *1506:9 36.4134 +2 *1506:9 *1506:12 45.9356 +3 *1506:12 *1506:16 38.4996 +4 *1506:16 *2820:la_oenb_mprj[65] 56.3522 +*END + +*D_NET *1507 0.0146569 +*CONN +*I *2820:la_oenb_mprj[66] I *D mgmt_protect +*I *2826:la_oenb[66] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[66] 0.00221909 +2 *2826:la_oenb[66] 0.00112923 +3 *1507:12 0.00221909 +4 *1507:10 0.00120739 +5 *1507:9 0.00233662 +6 *2820:la_data_out_mprj[66] *2820:la_oenb_mprj[66] 0 +7 *2820:la_data_out_mprj[67] *2820:la_oenb_mprj[66] 0 +8 *2820:la_iena_mprj[66] *2820:la_oenb_mprj[66] 0 +9 *2826:la_input[66] *1507:9 0 +10 *864:8 *1507:10 0.00323442 +11 *867:8 *1507:10 0.00107721 +12 *868:5 *2820:la_oenb_mprj[66] 0 +13 *1123:9 *1507:9 0 +14 *1125:12 *1507:10 0.000354328 +15 *1373:10 *1507:10 0.000533697 +16 *1379:10 *1507:10 0.000196602 +17 *1382:12 *1507:10 0.000149232 +*RES +1 *2826:la_oenb[66] *1507:9 33.0913 +2 *1507:9 *1507:10 66.1666 +3 *1507:10 *1507:12 4.5 +4 *1507:12 *2820:la_oenb_mprj[66] 60.9199 +*END + +*D_NET *1508 0.0130252 +*CONN +*I *2820:la_oenb_mprj[67] I *D mgmt_protect +*I *2826:la_oenb[67] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[67] 0.00242345 +2 *2826:la_oenb[67] 0.000906209 +3 *1508:12 0.00242345 +4 *1508:10 0.00131681 +5 *1508:9 0.00222302 +6 *2820:la_oenb_mprj[67] *1512:10 0 +7 *2820:la_data_out_mprj[67] *2820:la_oenb_mprj[67] 0 +8 *2820:la_iena_mprj[67] *2820:la_oenb_mprj[67] 0 +9 *869:5 *2820:la_oenb_mprj[67] 0 +10 *869:8 *1508:10 0.00118891 +11 *872:11 *2820:la_oenb_mprj[67] 1.44611e-05 +12 *1124:9 *1508:9 0 +13 *1377:10 *1508:10 0.0025289 +14 *1384:9 *2820:la_oenb_mprj[67] 0 +*RES +1 *2826:la_oenb[67] *1508:9 28.1083 +2 *1508:9 *1508:10 55.0746 +3 *1508:10 *1508:12 4.5 +4 *1508:12 *2820:la_oenb_mprj[67] 65.903 +*END + +*D_NET *1509 0.0114967 +*CONN +*I *2820:la_oenb_mprj[68] I *D mgmt_protect +*I *2826:la_oenb[68] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[68] 0.00204238 +2 *2826:la_oenb[68] 0.00131623 +3 *1509:12 0.00314224 +4 *1509:9 0.00241608 +5 *2820:la_data_out_mprj[63] *1509:9 0 +6 *2820:la_iena_mprj[68] *2820:la_oenb_mprj[68] 0 +7 *2826:la_input[68] *1509:9 0 +8 *865:5 *1509:9 0 +9 *870:5 *2820:la_oenb_mprj[68] 0 +10 *1125:9 *1509:9 0 +11 *1381:10 *1509:12 0.000947206 +12 *1506:16 *1509:12 0.00163252 +*RES +1 *2826:la_oenb[68] *1509:9 37.2439 +2 *1509:9 *1509:12 47.3733 +3 *1509:12 *2820:la_oenb_mprj[68] 56.7674 +*END + +*D_NET *1510 0.0122273 +*CONN +*I *2820:la_oenb_mprj[69] I *D mgmt_protect +*I *2826:la_oenb[69] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[69] 0.00232458 +2 *2826:la_oenb[69] 0.000982682 +3 *1510:12 0.00261788 +4 *1510:9 0.00127598 +5 *1510:12 *1512:10 0.00116323 +6 *2820:la_data_out_mprj[69] *2820:la_oenb_mprj[69] 0 +7 *2820:la_iena_mprj[69] *2820:la_oenb_mprj[69] 0 +8 *2826:la_input[69] *1510:9 0 +9 *869:8 *1510:12 1.41689e-05 +10 *870:10 *1510:12 0.000756736 +11 *872:5 *2820:la_oenb_mprj[69] 0 +12 *1123:10 *1510:12 0.00011818 +13 *1124:14 *1510:12 0.000957243 +14 *1126:9 *1510:9 0 +15 *1384:12 *1510:12 0.00199783 +16 *1385:10 *2820:la_oenb_mprj[69] 1.87469e-05 +*RES +1 *2826:la_oenb[69] *1510:9 29.7693 +2 *1510:9 *1510:12 36.2812 +3 *1510:12 *2820:la_oenb_mprj[69] 64.242 +*END + +*D_NET *1511 0.199988 +*CONN +*I *2820:la_oenb_mprj[6] I *D mgmt_protect +*I *2826:la_oenb[6] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[6] 0.00294007 +2 *2826:la_oenb[6] 0.00131669 +3 *1511:12 0.00294007 +4 *1511:10 0.0100609 +5 *1511:9 0.0113776 +6 *2820:la_iena_mprj[6] *2820:la_oenb_mprj[6] 0 +7 *2826:la_input[6] *1511:9 0 +8 *882:7 *2820:la_oenb_mprj[6] 0 +9 *1127:9 *1511:9 0 +10 *1127:10 *1511:10 0.000133887 +11 *1149:10 *1511:10 0.00236768 +12 *1160:10 *1511:10 0.00366103 +13 *1361:18 *1511:10 0.000338648 +14 *1383:10 *1511:10 0.0829574 +15 *1405:10 *1511:10 0.000102747 +16 *1451:10 *1511:10 0.000341568 +17 *1481:10 *1511:10 0.000103486 +18 *1489:10 *1511:10 0.0813463 +*RES +1 *2826:la_oenb[6] *1511:9 36.589 +2 *1511:9 *1511:10 105.989 +3 *1511:10 *1511:12 3.36879 +4 *1511:12 *2820:la_oenb_mprj[6] 55.7293 +*END + +*D_NET *1512 0.0116934 +*CONN +*I *2820:la_oenb_mprj[70] I *D mgmt_protect +*I *2826:la_oenb[70] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[70] 0.00165411 +2 *2826:la_oenb[70] 0.00122644 +3 *1512:12 0.00165411 +4 *1512:10 0.00122644 +5 *2820:la_oenb_mprj[70] *1513:7 0.00296619 +6 *2820:la_iena_mprj[70] *2820:la_oenb_mprj[70] 0 +7 *2820:la_oenb_mprj[67] *1512:10 0 +8 *869:5 *1512:10 0 +9 *870:10 *1512:10 0.000543039 +10 *872:11 *1512:10 0 +11 *873:5 *2820:la_oenb_mprj[70] 0 +12 *1126:12 *1512:10 8.90486e-05 +13 *1382:12 *1512:10 4.03749e-05 +14 *1384:12 *1512:10 0.000245611 +15 *1385:10 *1512:10 0.000884803 +16 *1510:12 *1512:10 0.00116323 +*RES +1 *2826:la_oenb[70] *1512:10 49.7501 +2 *1512:10 *1512:12 4.5 +3 *1512:12 *2820:la_oenb_mprj[70] 63.8267 +*END + +*D_NET *1513 0.0091099 +*CONN +*I *2820:la_oenb_mprj[71] I *D mgmt_protect +*I *2826:la_oenb[71] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[71] 0.000977624 +2 *2826:la_oenb[71] 7.21667e-05 +3 *1513:7 0.00250126 +4 *1513:5 0.00159581 +5 *2820:la_data_out_mprj[71] *1513:7 0 +6 *2820:la_iena_mprj[70] *1513:7 0 +7 *2820:la_iena_mprj[71] *2820:la_oenb_mprj[71] 0 +8 *2820:la_oenb_mprj[70] *1513:7 0.00296619 +9 *2826:la_input[71] *1513:7 0 +10 *873:5 *1513:7 0.00099685 +11 *874:9 *2820:la_oenb_mprj[71] 0 +*RES +1 *2826:la_oenb[71] *1513:5 2.05183 +2 *1513:5 *1513:7 70.9715 +3 *1513:7 *2820:la_oenb_mprj[71] 33.9758 +*END + +*D_NET *1514 0.00652897 +*CONN +*I *2820:la_oenb_mprj[72] I *D mgmt_protect +*I *2826:la_oenb[72] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[72] 0.00319232 +2 *2826:la_oenb[72] 7.21667e-05 +3 *1514:5 0.00326448 +4 *2820:la_iena_mprj[72] *2820:la_oenb_mprj[72] 0 +5 *874:11 *2820:la_oenb_mprj[72] 0 +6 *875:10 *2820:la_oenb_mprj[72] 0 +7 *1130:7 *2820:la_oenb_mprj[72] 0 +*RES +1 *2826:la_oenb[72] *1514:5 2.05183 +2 *1514:5 *2820:la_oenb_mprj[72] 87.2885 +*END + +*D_NET *1515 0.00945237 +*CONN +*I *2820:la_oenb_mprj[73] I *D mgmt_protect +*I *2826:la_oenb[73] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[73] 0.000601384 +2 *2826:la_oenb[73] 7.21667e-05 +3 *1515:7 0.00293861 +4 *1515:5 0.0024094 +5 *2820:la_data_out_mprj[73] *2820:la_oenb_mprj[73] 0.00110143 +6 *2820:la_data_out_mprj[75] *1515:7 0.000393199 +7 *2820:la_iena_mprj[73] *2820:la_oenb_mprj[73] 0.00100361 +8 *2820:la_iena_mprj[74] *2820:la_oenb_mprj[73] 0.000530137 +9 *2820:la_iena_mprj[75] *1515:7 0 +10 *875:11 *1515:7 0 +11 *876:7 *2820:la_oenb_mprj[73] 0 +12 *877:7 *1515:7 0.000402434 +13 *1131:7 *1515:7 0 +*RES +1 *2826:la_oenb[73] *1515:5 2.05183 +2 *1515:5 *1515:7 70.9715 +3 *1515:7 *2820:la_oenb_mprj[73] 37.3034 +*END + +*D_NET *1516 0.00883941 +*CONN +*I *2820:la_oenb_mprj[74] I *D mgmt_protect +*I *2826:la_oenb[74] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[74] 0.000967456 +2 *2826:la_oenb[74] 7.21667e-05 +3 *1516:12 0.00173175 +4 *1516:7 0.00306348 +5 *1516:5 0.00237135 +6 *1516:7 *2820:la_oenb_mprj[77] 0 +7 *1516:12 *1518:10 3.5125e-05 +8 *2820:la_data_out_mprj[74] *2820:la_oenb_mprj[74] 0 +9 *2820:la_data_out_mprj[77] *1516:7 2.82771e-05 +10 *2820:la_iena_mprj[74] *2820:la_oenb_mprj[74] 0 +11 *875:11 *2820:la_oenb_mprj[74] 0 +12 *876:10 *1516:12 0.000410811 +13 *876:11 *1516:7 0 +14 *877:7 *2820:la_oenb_mprj[74] 0 +15 *878:8 *1516:12 0 +16 *879:8 *1516:12 0.000158997 +17 *1132:7 *1516:7 0 +18 *1134:10 *1516:12 0 +*RES +1 *2826:la_oenb[74] *1516:5 2.05183 +2 *1516:5 *1516:7 61.8359 +3 *1516:7 *1516:12 32.4621 +4 *1516:12 *2820:la_oenb_mprj[74] 25.6235 +*END + +*D_NET *1517 0.013265 +*CONN +*I *2820:la_oenb_mprj[75] I *D mgmt_protect +*I *2826:la_oenb[75] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[75] 0.000984681 +2 *2826:la_oenb[75] 1.28869e-05 +3 *1517:12 0.00137236 +4 *1517:7 0.0024622 +5 *1517:5 0.00208741 +6 *2820:la_iena_mprj[75] *2820:la_oenb_mprj[75] 0 +7 *877:10 *1517:12 0.00041958 +8 *878:7 *2820:la_oenb_mprj[75] 0 +9 *1131:7 *2820:la_oenb_mprj[75] 0.000867253 +10 *1132:12 *1517:12 0.00189384 +11 *1133:7 *1517:7 0 +12 *1133:10 *1517:12 0.000163362 +13 *1388:7 *2820:la_oenb_mprj[75] 0 +14 *1389:12 *1517:12 0.000104941 +15 *1390:12 *1517:12 0.00289644 +*RES +1 *2826:la_oenb[75] *1517:5 0.366399 +2 *1517:5 *1517:7 56.4377 +3 *1517:7 *1517:12 45.7726 +4 *1517:12 *2820:la_oenb_mprj[75] 31.0218 +*END + +*D_NET *1518 0.0116422 +*CONN +*I *2820:la_oenb_mprj[76] I *D mgmt_protect +*I *2826:la_oenb[76] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[76] 0.000878377 +2 *2826:la_oenb[76] 7.21667e-05 +3 *1518:10 0.00151858 +4 *1518:9 0.000640207 +5 *1518:7 0.00238077 +6 *1518:5 0.00245294 +7 *2820:la_iena_mprj[76] *2820:la_oenb_mprj[76] 0 +8 *2820:la_iena_mprj[82] *1518:7 0 +9 *878:11 *1518:7 0 +10 *879:7 *2820:la_oenb_mprj[76] 0 +11 *879:8 *1518:10 0 +12 *1134:7 *1518:7 0 +13 *1134:10 *1518:10 0.0019656 +14 *1391:10 *1518:10 0.00169846 +15 *1516:12 *1518:10 3.5125e-05 +*RES +1 *2826:la_oenb[76] *1518:5 2.05183 +2 *1518:5 *1518:7 63.9122 +3 *1518:7 *1518:9 4.5 +4 *1518:9 *1518:10 46.7555 +5 *1518:10 *2820:la_oenb_mprj[76] 28.0472 +*END + +*D_NET *1519 0.0183948 +*CONN +*I *2820:la_oenb_mprj[77] I *D mgmt_protect +*I *2826:la_oenb[77] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[77] 0.00101806 +2 *2826:la_oenb[77] 7.21667e-05 +3 *1519:10 0.00142857 +4 *1519:9 0.000410502 +5 *1519:7 0.00196778 +6 *1519:5 0.00203995 +7 *1519:7 *2820:la_oenb_mprj[84] 0.000651415 +8 *2820:la_data_out_mprj[77] *2820:la_oenb_mprj[77] 0 +9 *2820:la_data_out_mprj[78] *2820:la_oenb_mprj[77] 0 +10 *2820:la_data_out_mprj[84] *1519:7 0 +11 *2820:la_iena_mprj[77] *2820:la_oenb_mprj[77] 0 +12 *879:8 *1519:10 0.0050674 +13 *879:11 *1519:7 0 +14 *880:7 *2820:la_oenb_mprj[77] 0 +15 *883:8 *1519:10 0.000202245 +16 *1135:7 *1519:7 0 +17 *1135:10 *1519:10 0.00553668 +18 *1516:7 *2820:la_oenb_mprj[77] 0 +*RES +1 *2826:la_oenb[77] *1519:5 2.05183 +2 *1519:5 *1519:7 60.5902 +3 *1519:7 *1519:9 4.5 +4 *1519:9 *1519:10 58.4022 +5 *1519:10 *2820:la_oenb_mprj[77] 31.3693 +*END + +*D_NET *1520 0.0201752 +*CONN +*I *2820:la_oenb_mprj[78] I *D mgmt_protect +*I *2826:la_oenb[78] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[78] 0.000650531 +2 *2826:la_oenb[78] 7.21667e-05 +3 *1520:10 0.00158408 +4 *1520:9 0.000933544 +5 *1520:7 0.00213992 +6 *1520:5 0.00221209 +7 *1520:7 *2820:la_oenb_mprj[86] 0.0012491 +8 *2820:la_data_out_mprj[78] *2820:la_oenb_mprj[78] 0 +9 *2820:la_data_out_mprj[87] *1520:7 0 +10 *2820:la_iena_mprj[78] *2820:la_oenb_mprj[78] 0 +11 *2820:la_iena_mprj[86] *1520:7 0 +12 *2820:la_iena_mprj[87] *1520:7 0 +13 *880:8 *1520:10 0.000146388 +14 *880:11 *1520:7 0 +15 *881:7 *2820:la_oenb_mprj[78] 0 +16 *890:7 *1520:7 0.000624848 +17 *1136:10 *1520:10 3.9094e-05 +18 *1139:10 *1520:10 0.000447873 +19 *1392:10 *1520:10 0.0056691 +20 *1393:10 *1520:10 0.000139013 +21 *1396:10 *1520:10 0.00426741 +*RES +1 *2826:la_oenb[78] *1520:5 2.05183 +2 *1520:5 *1520:7 70.5562 +3 *1520:7 *1520:9 4.5 +4 *1520:9 *1520:10 70.0488 +5 *1520:10 *2820:la_oenb_mprj[78] 21.4032 +*END + +*D_NET *1521 0.0229129 +*CONN +*I *2820:la_oenb_mprj[79] I *D mgmt_protect +*I *2826:la_oenb[79] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[79] 0.000978157 +2 *2826:la_oenb[79] 7.21667e-05 +3 *1521:10 0.00177283 +4 *1521:9 0.00079467 +5 *1521:7 0.00215308 +6 *1521:5 0.00222524 +7 *1521:7 *2820:la_oenb_mprj[88] 0 +8 *1521:10 *1526:10 0.000258087 +9 *2820:la_data_out_mprj[79] *2820:la_oenb_mprj[79] 0 +10 *2820:la_iena_mprj[79] *2820:la_oenb_mprj[79] 0 +11 *2820:la_iena_mprj[89] *1521:7 0 +12 *879:8 *1521:10 4.03749e-05 +13 *881:11 *1521:7 0 +14 *883:7 *2820:la_oenb_mprj[79] 0 +15 *883:8 *1521:10 9.48729e-05 +16 *886:8 *1521:10 5.383e-06 +17 *1137:7 *1521:7 0 +18 *1148:13 *1521:7 0.000681334 +19 *1391:10 *1521:10 0.0029431 +20 *1395:10 *1521:10 0.00704342 +21 *1398:10 *1521:10 5.65165e-05 +22 *1399:10 *1521:10 0.00379363 +*RES +1 *2826:la_oenb[79] *1521:5 2.05183 +2 *1521:5 *1521:7 62.6664 +3 *1521:7 *1521:9 4.5 +4 *1521:9 *1521:10 81.6955 +5 *1521:10 *2820:la_oenb_mprj[79] 29.293 +*END + +*D_NET *1522 0.157066 +*CONN +*I *2820:la_oenb_mprj[7] I *D mgmt_protect +*I *2826:la_oenb[7] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[7] 0.000996636 +2 *2826:la_oenb[7] 7.21667e-05 +3 *1522:10 0.00832595 +4 *1522:9 0.00732931 +5 *1522:7 0.00260222 +6 *1522:5 0.00267439 +7 *2820:la_data_out_mprj[7] *2820:la_oenb_mprj[7] 0 +8 *2820:la_iena_mprj[7] *2820:la_oenb_mprj[7] 0 +9 *2826:la_input[7] *1522:7 0 +10 *799:8 *1522:10 0.00347993 +11 *893:7 *2820:la_oenb_mprj[7] 0 +12 *1094:10 *1522:10 0.068463 +13 *1138:7 *1522:7 0 +14 *1138:10 *1522:10 6.24655e-05 +15 *1416:10 *1522:10 0.00127511 +16 *1452:10 *1522:10 0.0546437 +17 *1456:10 *1522:10 0.000273255 +18 *1500:10 *1522:10 0.00686829 +*RES +1 *2826:la_oenb[7] *1522:5 2.05183 +2 *1522:5 *1522:7 65.158 +3 *1522:7 *1522:9 4.5 +4 *1522:9 *1522:10 756.094 +5 *1522:10 *2820:la_oenb_mprj[7] 26.8015 +*END + +*D_NET *1523 0.0241191 +*CONN +*I *2820:la_oenb_mprj[80] I *D mgmt_protect +*I *2826:la_oenb[80] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[80] 0.000730429 +2 *2826:la_oenb[80] 7.21667e-05 +3 *1523:10 0.00190186 +4 *1523:9 0.00117143 +5 *1523:7 0.0022046 +6 *1523:5 0.00227677 +7 *1523:7 *2820:la_oenb_mprj[91] 0.000855418 +8 *2820:la_data_out_mprj[91] *1523:7 0 +9 *2820:la_iena_mprj[80] *2820:la_oenb_mprj[80] 0 +10 *2820:la_iena_mprj[91] *1523:7 0.000864653 +11 *883:11 *1523:7 0 +12 *884:7 *2820:la_oenb_mprj[80] 0 +13 *884:8 *1523:10 0.000352299 +14 *895:8 *1523:10 0.000530137 +15 *1136:10 *1523:10 0.00561374 +16 *1137:10 *1523:10 0.000689689 +17 *1139:7 *1523:7 0 +18 *1139:10 *1523:10 0.000993703 +19 *1393:10 *1523:10 0.00586216 +*RES +1 *2826:la_oenb[80] *1523:5 2.05183 +2 *1523:5 *1523:7 68.8952 +3 *1523:7 *1523:9 4.5 +4 *1523:9 *1523:10 93.8968 +5 *1523:10 *2820:la_oenb_mprj[80] 23.0642 +*END + +*D_NET *1524 0.0295711 +*CONN +*I *2820:la_oenb_mprj[81] I *D mgmt_protect +*I *2826:la_oenb[81] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[81] 0.000851211 +2 *2826:la_oenb[81] 7.21667e-05 +3 *1524:10 0.00189287 +4 *1524:9 0.00104166 +5 *1524:7 0.00226759 +6 *1524:5 0.00233976 +7 *2820:la_data_out_mprj[81] *2820:la_oenb_mprj[81] 0 +8 *2820:la_data_out_mprj[82] *2820:la_oenb_mprj[81] 0 +9 *2820:la_data_out_mprj[94] *1524:7 0 +10 *2820:la_iena_mprj[81] *2820:la_oenb_mprj[81] 0 +11 *884:8 *1524:10 5.24855e-05 +12 *884:11 *1524:7 0 +13 *885:7 *2820:la_oenb_mprj[81] 0 +14 *885:8 *1524:10 7.24449e-05 +15 *898:5 *1524:7 0.00193747 +16 *1140:7 *1524:7 0 +17 *1140:10 *1524:10 0.00970758 +18 *1141:10 *1524:10 0.00933583 +*RES +1 *2826:la_oenb[81] *1524:5 2.05183 +2 *1524:5 *1524:7 66.4037 +3 *1524:7 *1524:9 4.5 +4 *1524:9 *1524:10 104.989 +5 *1524:10 *2820:la_oenb_mprj[81] 25.5557 +*END + +*D_NET *1525 0.0296681 +*CONN +*I *2820:la_oenb_mprj[82] I *D mgmt_protect +*I *2826:la_oenb[82] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[82] 0.000689278 +2 *2826:la_oenb[82] 7.21667e-05 +3 *1525:10 0.00205028 +4 *1525:9 0.001361 +5 *1525:7 0.00206987 +6 *1525:5 0.00214204 +7 *1525:10 *1532:16 0.00524197 +8 *2820:la_data_out_mprj[96] *1525:7 0.000917012 +9 *2820:la_iena_mprj[82] *2820:la_oenb_mprj[82] 0 +10 *2820:la_iena_mprj[96] *1525:7 0.000900005 +11 *885:11 *1525:7 0 +12 *886:7 *2820:la_oenb_mprj[82] 0.00129334 +13 *886:8 *1525:10 0.0106142 +14 *1140:10 *1525:10 0.00231328 +15 *1141:7 *1525:7 0 +16 *1391:10 *1525:10 3.62662e-06 +*RES +1 *2826:la_oenb[82] *1525:5 2.05183 +2 *1525:5 *1525:7 65.158 +3 *1525:7 *1525:9 4.5 +4 *1525:9 *1525:10 115.526 +5 *1525:10 *2820:la_oenb_mprj[82] 28.6579 +*END + +*D_NET *1526 0.0331789 +*CONN +*I *2820:la_oenb_mprj[83] I *D mgmt_protect +*I *2826:la_oenb[83] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[83] 0.00100124 +2 *2826:la_oenb[83] 7.21667e-05 +3 *1526:10 0.00232856 +4 *1526:9 0.00132732 +5 *1526:7 0.00241187 +6 *1526:5 0.00248404 +7 *1526:7 *2820:la_oenb_mprj[98] 0.000190042 +8 *2820:la_data_out_mprj[83] *2820:la_oenb_mprj[83] 0 +9 *2820:la_data_out_mprj[84] *2820:la_oenb_mprj[83] 0 +10 *2820:la_data_out_mprj[99] *1526:7 0 +11 *2820:la_iena_mprj[83] *2820:la_oenb_mprj[83] 0 +12 *2820:la_iena_mprj[98] *1526:7 0.000531447 +13 *886:11 *1526:7 0 +14 *887:7 *2820:la_oenb_mprj[83] 0 +15 *887:8 *1526:10 0.000381824 +16 *903:5 *1526:7 0 +17 *1398:10 *1526:10 0.0109264 +18 *1399:10 *1526:10 0.0112659 +19 *1521:10 *1526:10 0.000258087 +*RES +1 *2826:la_oenb[83] *1526:5 2.05183 +2 *1526:5 *1526:7 63.4969 +3 *1526:7 *1526:9 4.5 +4 *1526:9 *1526:10 128.282 +5 *1526:10 *2820:la_oenb_mprj[83] 28.4625 +*END + +*D_NET *1527 0.0330446 +*CONN +*I *2820:la_oenb_mprj[84] I *D mgmt_protect +*I *2826:la_oenb[84] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[84] 0.00199829 +2 *2826:la_oenb[84] 0.00122689 +3 *1527:12 0.00199829 +4 *1527:10 0.00183305 +5 *1527:9 0.00305994 +6 *1527:10 *1528:10 0 +7 *1527:10 *1534:10 0.00860782 +8 *2820:la_data_out_mprj[84] *2820:la_oenb_mprj[84] 0 +9 *2820:la_iena_mprj[84] *2820:la_oenb_mprj[84] 0 +10 *888:7 *2820:la_oenb_mprj[84] 0 +11 *1135:7 *2820:la_oenb_mprj[84] 0 +12 *1142:10 *1527:10 0.0118182 +13 *1143:9 *1527:9 0 +14 *1147:10 *1527:10 0 +15 *1148:10 *1527:10 0.000153129 +16 *1150:10 *1527:10 0.000173166 +17 *1156:10 *1527:10 3.83336e-05 +18 *1402:10 *1527:10 0 +19 *1415:10 *1527:10 0.00148607 +20 *1519:7 *2820:la_oenb_mprj[84] 0.000651415 +*RES +1 *2826:la_oenb[84] *1527:9 34.3127 +2 *1527:9 *1527:10 142.702 +3 *1527:10 *1527:12 4.5 +4 *1527:12 *2820:la_oenb_mprj[84] 58.0132 +*END + +*D_NET *1528 0.0374313 +*CONN +*I *2820:la_oenb_mprj[85] I *D mgmt_protect +*I *2826:la_oenb[85] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[85] 0.00178313 +2 *2826:la_oenb[85] 0.00111468 +3 *1528:12 0.00178313 +4 *1528:10 0.00144843 +5 *1528:9 0.00256311 +6 *1528:10 *1529:10 0.000438814 +7 *1528:10 *1530:10 0.0125329 +8 *2820:la_iena_mprj[85] *2820:la_oenb_mprj[85] 0 +9 *2826:la_input[85] *1528:9 0 +10 *889:7 *2820:la_oenb_mprj[85] 0 +11 *1144:9 *1528:9 0 +12 *1144:10 *1528:10 0.000187171 +13 *1392:7 *2820:la_oenb_mprj[85] 0.00268408 +14 *1402:10 *1528:10 0.0128959 +15 *1527:10 *1528:10 0 +*RES +1 *2826:la_oenb[85] *1528:9 32.6761 +2 *1528:9 *1528:10 151.576 +3 *1528:10 *1528:12 4.5 +4 *1528:12 *2820:la_oenb_mprj[85] 61.3352 +*END + +*D_NET *1529 0.0401564 +*CONN +*I *2820:la_oenb_mprj[86] I *D mgmt_protect +*I *2826:la_oenb[86] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[86] 0.00199572 +2 *2826:la_oenb[86] 0.00107181 +3 *1529:12 0.00199572 +4 *1529:10 0.00120471 +5 *1529:9 0.00227652 +6 *1529:10 *1530:10 0.0144763 +7 *1529:10 *1537:10 0.00137566 +8 *2820:la_iena_mprj[86] *2820:la_oenb_mprj[86] 0 +9 *2826:la_input[86] *1529:9 0 +10 *784:5 *1529:9 0 +11 *890:7 *2820:la_oenb_mprj[86] 0 +12 *1144:10 *1529:10 0.0140579 +13 *1145:9 *1529:9 0 +14 *1145:10 *1529:10 1.41853e-05 +15 *1520:7 *2820:la_oenb_mprj[86] 0.0012491 +16 *1528:10 *1529:10 0.000438814 +*RES +1 *2826:la_oenb[86] *1529:9 31.8456 +2 *1529:9 *1529:10 163.777 +3 *1529:10 *1529:12 4.5 +4 *1529:12 *2820:la_oenb_mprj[86] 62.1657 +*END + +*D_NET *1530 0.0413862 +*CONN +*I *2820:la_oenb_mprj[87] I *D mgmt_protect +*I *2826:la_oenb[87] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[87] 6.22868e-05 +2 *2826:la_oenb[87] 0.000962486 +3 *1530:13 0.00144381 +4 *1530:12 0.00138153 +5 *1530:10 0.00139796 +6 *1530:9 0.00236045 +7 *1530:9 *1538:15 3.20069e-06 +8 *1530:10 *1537:10 0.000848219 +9 *1530:10 *1539:10 0.000795674 +10 *2820:la_iena_mprj[87] *1530:13 0 +11 *2826:la_input[87] *1530:9 0 +12 *786:5 *1530:9 0.000395615 +13 *891:7 *1530:13 0.00153194 +14 *1136:7 *1530:13 0.000804506 +15 *1146:9 *1530:9 0 +16 *1147:10 *1530:10 7.68538e-06 +17 *1393:7 *1530:13 0.00102631 +18 *1402:10 *1530:10 0.00135533 +19 *1528:10 *1530:10 0.0125329 +20 *1529:10 *1530:10 0.0144763 +*RES +1 *2826:la_oenb[87] *1530:9 32.2608 +2 *1530:9 *1530:10 173.76 +3 *1530:10 *1530:12 4.5 +4 *1530:12 *1530:13 61.8359 +5 *1530:13 *2820:la_oenb_mprj[87] 1.77093 +*END + +*D_NET *1531 0.0301294 +*CONN +*I *2820:la_oenb_mprj[88] I *D mgmt_protect +*I *2826:la_oenb[88] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[88] 0.00119112 +2 *2826:la_oenb[88] 0.00099775 +3 *1531:16 0.00440075 +4 *1531:15 0.00455297 +5 *1531:10 0.00298611 +6 *1531:9 0.00264051 +7 *1531:10 *1532:10 0.0066364 +8 *1531:15 *1532:13 0.000821233 +9 *1531:16 *1538:16 0.000185012 +10 *1531:16 *1542:16 0.000143231 +11 *1531:16 *1543:16 0.00203802 +12 *2820:la_iena_mprj[88] *2820:la_oenb_mprj[88] 0 +13 *2820:la_oenb_mprj[102] *1531:15 0 +14 *789:5 *1531:9 0 +15 *790:5 *1531:9 0 +16 *891:8 *1531:16 0 +17 *891:11 *1531:9 0 +18 *892:7 *2820:la_oenb_mprj[88] 0 +19 *896:8 *1531:16 0.00352764 +20 *1147:9 *1531:9 0 +21 *1397:10 *1531:16 0 +22 *1400:9 *1531:15 8.62625e-06 +23 *1521:7 *2820:la_oenb_mprj[88] 0 +*RES +1 *2826:la_oenb[88] *1531:9 29.7693 +2 *1531:9 *1531:10 70.6034 +3 *1531:10 *1531:15 44.4674 +4 *1531:15 *1531:16 116.636 +5 *1531:16 *2820:la_oenb_mprj[88] 33.4455 +*END + +*D_NET *1532 0.0435433 +*CONN +*I *2820:la_oenb_mprj[89] I *D mgmt_protect +*I *2826:la_oenb[89] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[89] 0.000840017 +2 *2826:la_oenb[89] 0.00096134 +3 *1532:16 0.00239233 +4 *1532:15 0.00155231 +5 *1532:13 0.00162017 +6 *1532:10 0.00268625 +7 *1532:9 0.00202742 +8 *1532:10 *1536:10 0.0066808 +9 *2820:la_iena_mprj[102] *1532:13 0 +10 *2820:la_iena_mprj[89] *2820:la_oenb_mprj[89] 0 +11 *2820:la_oenb_mprj[101] *1532:13 0 +12 *2820:la_oenb_mprj[102] *1532:13 0 +13 *792:5 *1532:9 0.000231363 +14 *886:8 *1532:16 0.000591614 +15 *887:8 *1532:16 0.000467085 +16 *892:11 *1532:9 0 +17 *894:10 *2820:la_oenb_mprj[89] 0 +18 *894:12 *1532:16 0 +19 *900:8 *1532:16 0.000332046 +20 *901:8 *1532:16 0.00416385 +21 *1137:7 *2820:la_oenb_mprj[89] 0.000134323 +22 *1140:10 *1532:16 0.00379586 +23 *1141:10 *1532:16 0.000287586 +24 *1145:10 *1532:10 1.41853e-05 +25 *1148:9 *1532:9 0 +26 *1302:10 *1532:10 0 +27 *1400:10 *1532:10 6.50586e-05 +28 *1401:10 *1532:10 0.00200006 +29 *1525:10 *1532:16 0.00524197 +30 *1531:10 *1532:10 0.0066364 +31 *1531:15 *1532:13 0.000821233 +*RES +1 *2826:la_oenb[89] *1532:9 29.6228 +2 *1532:9 *1532:10 92.7876 +3 *1532:10 *1532:13 46.6115 +4 *1532:13 *1532:15 4.5 +5 *1532:15 *1532:16 106.653 +6 *1532:16 *2820:la_oenb_mprj[89] 26.3862 +*END + +*D_NET *1533 0.186388 +*CONN +*I *2820:la_oenb_mprj[8] I *D mgmt_protect +*I *2826:la_oenb[8] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[8] 0.00272651 +2 *2826:la_oenb[8] 0.00147469 +3 *1533:12 0.00272651 +4 *1533:10 0.00855303 +5 *1533:9 0.0100277 +6 *2820:la_data_out_mprj[9] *2820:la_oenb_mprj[8] 0 +7 *2820:la_iena_mprj[8] *2820:la_oenb_mprj[8] 0 +8 *2826:la_input[45] *2820:la_oenb_mprj[8] 0.000103626 +9 *893:11 *1533:9 0 +10 *904:7 *2820:la_oenb_mprj[8] 0 +11 *1149:9 *1533:9 0 +12 *1311:10 *1533:10 0.0750797 +13 *1405:10 *1533:10 0.0803639 +14 *1405:15 *2820:la_oenb_mprj[8] 0 +15 *1451:10 *1533:10 0 +16 *1459:10 *1533:10 0.00282738 +17 *1461:10 *1533:10 0.00250524 +*RES +1 *2826:la_oenb[8] *1533:9 39.6423 +2 *1533:9 *1533:10 102.7 +3 *1533:10 *1533:12 3.36879 +4 *1533:12 *2820:la_oenb_mprj[8] 53.2378 +*END + +*D_NET *1534 0.0502686 +*CONN +*I *2820:la_oenb_mprj[90] I *D mgmt_protect +*I *2826:la_oenb[90] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[90] 0.0022895 +2 *2826:la_oenb[90] 0.00122092 +3 *1534:12 0.0022895 +4 *1534:10 0.00153509 +5 *1534:9 0.002756 +6 *2820:la_data_out_mprj[91] *2820:la_oenb_mprj[90] 0 +7 *2820:la_iena_mprj[90] *2820:la_oenb_mprj[90] 0 +8 *794:5 *1534:9 0.000159754 +9 *883:11 *2820:la_oenb_mprj[90] 0 +10 *894:15 *1534:9 0 +11 *895:7 *2820:la_oenb_mprj[90] 0 +12 *1150:9 *1534:9 0 +13 *1150:10 *1534:10 0.0198561 +14 *1415:10 *1534:10 0.000159075 +15 *1419:10 *1534:10 0.0108907 +16 *1420:10 *1534:10 0.000504041 +17 *1527:10 *1534:10 0.00860782 +*RES +1 *2826:la_oenb[90] *1534:9 35.5828 +2 *1534:9 *1534:10 210.364 +3 *1534:10 *1534:12 4.5 +4 *1534:12 *2820:la_oenb_mprj[90] 58.4284 +*END + +*D_NET *1535 0.0387497 +*CONN +*I *2820:la_oenb_mprj[91] I *D mgmt_protect +*I *2826:la_oenb[91] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[91] 0.0016605 +2 *2826:la_oenb[91] 0.00181922 +3 *1535:12 0.0016605 +4 *1535:10 0.0052705 +5 *1535:9 0.00708972 +6 *1535:10 *1541:12 0.000101794 +7 *2820:la_iena_mprj[91] *2820:la_oenb_mprj[91] 0 +8 *796:7 *1535:9 0 +9 *797:5 *1535:9 0 +10 *895:11 *1535:9 0 +11 *896:7 *2820:la_oenb_mprj[91] 0 +12 *902:8 *1535:10 0 +13 *1139:7 *2820:la_oenb_mprj[91] 0 +14 *1151:9 *1535:9 0 +15 *1151:10 *1535:10 0.000412036 +16 *1407:10 *1535:10 0.01988 +17 *1523:7 *2820:la_oenb_mprj[91] 0.000855418 +*RES +1 *2826:la_oenb[91] *1535:9 44.3031 +2 *1535:9 *1535:10 222.01 +3 *1535:10 *1535:12 4.5 +4 *1535:12 *2820:la_oenb_mprj[91] 49.7081 +*END + +*D_NET *1536 0.040001 +*CONN +*I *2820:la_oenb_mprj[92] I *D mgmt_protect +*I *2826:la_oenb[92] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[92] 0.000608673 +2 *2826:la_oenb[92] 0.00105092 +3 *1536:16 0.00333583 +4 *1536:15 0.00272715 +5 *1536:13 0.00207631 +6 *1536:12 0.00207631 +7 *1536:10 0.00221729 +8 *1536:9 0.00326821 +9 *1536:10 *1537:10 0.00479196 +10 *1536:13 *1537:13 0 +11 *1536:16 *1537:16 0.00824068 +12 *2820:la_data_out_mprj[104] *1536:13 0 +13 *2820:la_data_out_mprj[105] *1536:13 0 +14 *2820:la_iena_mprj[104] *1536:13 6.67654e-05 +15 *2820:la_iena_mprj[92] *2820:la_oenb_mprj[92] 0 +16 *2820:la_oenb_mprj[104] *1536:13 0.000378573 +17 *2826:la_input[86] *1536:13 0 +18 *781:8 *1536:16 0.000194701 +19 *800:5 *1536:9 0.00016343 +20 *895:8 *1536:16 4.5891e-05 +21 *896:11 *1536:9 0 +22 *897:5 *2820:la_oenb_mprj[92] 0 +23 *1145:10 *1536:10 0.00136921 +24 *1302:10 *1536:10 0 +25 *1396:10 *1536:16 1.43848e-05 +26 *1401:9 *1536:13 8.62625e-06 +27 *1433:10 *1536:10 0.000685261 +28 *1532:10 *1536:10 0.0066808 +*RES +1 *2826:la_oenb[92] *1536:9 30.5998 +2 *1536:9 *1536:10 131.055 +3 *1536:10 *1536:12 4.5 +4 *1536:12 *1536:13 47.5097 +5 *1536:13 *1536:15 4.5 +6 *1536:15 *1536:16 102.77 +7 *1536:16 *2820:la_oenb_mprj[92] 20.5727 +*END + +*D_NET *1537 0.0404959 +*CONN +*I *2820:la_oenb_mprj[93] I *D mgmt_protect +*I *2826:la_oenb[93] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[93] 0.000630099 +2 *2826:la_oenb[93] 0.00116542 +3 *1537:16 0.00204987 +4 *1537:15 0.00141977 +5 *1537:13 0.00202056 +6 *1537:12 0.00202056 +7 *1537:10 0.0021467 +8 *1537:9 0.00331212 +9 *1537:10 *1538:10 0.00495585 +10 *1537:10 *1539:10 2.18145e-05 +11 *2820:la_data_out_mprj[104] *1537:13 0.000191669 +12 *2820:la_iena_mprj[103] *1537:13 0 +13 *2820:la_iena_mprj[93] *2820:la_oenb_mprj[93] 0 +14 *2820:la_oenb_mprj[103] *1537:13 0 +15 *2826:la_input[93] *1537:9 0 +16 *778:8 *1537:16 0.0010229 +17 *781:8 *1537:16 0.000884788 +18 *782:7 *1537:13 0.000155272 +19 *802:5 *1537:9 0 +20 *895:8 *1537:16 0.000832927 +21 *898:5 *2820:la_oenb_mprj[93] 0 +22 *1144:9 *1537:13 8.62625e-06 +23 *1145:10 *1537:10 0.00184493 +24 *1153:9 *1537:9 0 +25 *1433:10 *1537:10 0.000372361 +26 *1441:10 *1537:10 0.000183145 +27 *1529:10 *1537:10 0.00137566 +28 *1530:10 *1537:10 0.000848219 +29 *1536:10 *1537:10 0.00479196 +30 *1536:13 *1537:13 0 +31 *1536:16 *1537:16 0.00824068 +*RES +1 *2826:la_oenb[93] *1537:9 31.4303 +2 *1537:9 *1537:10 156.567 +3 *1537:10 *1537:12 4.5 +4 *1537:12 *1537:13 46.264 +5 *1537:13 *1537:15 4.5 +6 *1537:15 *1537:16 88.9054 +7 *1537:16 *2820:la_oenb_mprj[93] 20.988 +*END + +*D_NET *1538 0.0516716 +*CONN +*I *2820:la_oenb_mprj[94] I *D mgmt_protect +*I *2826:la_oenb[94] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[94] 0.00106416 +2 *2826:la_oenb[94] 0.00122196 +3 *1538:16 0.0025382 +4 *1538:15 0.00289672 +5 *1538:10 0.00310493 +6 *1538:9 0.00290422 +7 *1538:10 *1539:10 0.013207 +8 *1538:15 *1542:15 0 +9 *1538:16 *1542:16 0.00770652 +10 *2820:la_data_out_mprj[108] *1538:15 0.000217587 +11 *2820:la_iena_mprj[108] *1538:15 0.000347102 +12 *2820:la_iena_mprj[94] *2820:la_oenb_mprj[94] 0 +13 *2826:la_input[94] *1538:9 0 +14 *786:5 *1538:15 0 +15 *804:7 *1538:9 0 +16 *805:5 *1538:9 0 +17 *891:8 *1538:16 0.0108767 +18 *899:5 *2820:la_oenb_mprj[94] 0 +19 *1140:7 *2820:la_oenb_mprj[94] 7.03385e-05 +20 *1146:9 *1538:15 0 +21 *1154:9 *1538:9 0 +22 *1441:10 *1538:10 0.000232897 +23 *1443:10 *1538:10 0.000139256 +24 *1530:9 *1538:15 3.20069e-06 +25 *1531:16 *1538:16 0.000185012 +26 *1537:10 *1538:10 0.00495585 +*RES +1 *2826:la_oenb[94] *1538:9 32.2608 +2 *1538:9 *1538:10 141.038 +3 *1538:10 *1538:15 44.0522 +4 *1538:15 *1538:16 116.636 +5 *1538:16 *2820:la_oenb_mprj[94] 31.3693 +*END + +*D_NET *1539 0.0582634 +*CONN +*I *2820:la_oenb_mprj[95] I *D mgmt_protect +*I *2826:la_oenb[95] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[95] 0.000716767 +2 *2826:la_oenb[95] 0.00124443 +3 *1539:16 0.00239396 +4 *1539:15 0.00167719 +5 *1539:13 0.0016537 +6 *1539:10 0.00322902 +7 *1539:9 0.00281976 +8 *1539:10 *1540:10 0.0154561 +9 *1539:13 *1540:15 0 +10 *2820:la_data_out_mprj[107] *1539:13 0.000394426 +11 *2820:la_iena_mprj[95] *2820:la_oenb_mprj[95] 0 +12 *2826:la_input[87] *1539:13 0 +13 *2826:la_input[95] *1539:9 0 +14 *780:8 *1539:16 0.00412743 +15 *785:5 *1539:13 0.000605676 +16 *885:8 *1539:16 1.21461e-06 +17 *895:8 *1539:16 0.00913061 +18 *900:7 *2820:la_oenb_mprj[95] 0 +19 *900:8 *1539:16 0 +20 *1035:16 *1539:16 3.6408e-05 +21 *1141:10 *1539:16 5.42157e-05 +22 *1147:10 *1539:10 0.000229024 +23 *1155:9 *1539:9 0 +24 *1291:10 *1539:16 0.000102814 +25 *1402:9 *1539:13 3.31733e-05 +26 *1443:10 *1539:10 0.000332926 +27 *1530:10 *1539:10 0.000795674 +28 *1537:10 *1539:10 2.18145e-05 +29 *1538:10 *1539:10 0.013207 +*RES +1 *2826:la_oenb[95] *1539:9 32.6761 +2 *1539:9 *1539:10 170.432 +3 *1539:10 *1539:13 47.442 +4 *1539:13 *1539:15 4.5 +5 *1539:15 *1539:16 98.3336 +6 *1539:16 *2820:la_oenb_mprj[95] 23.0642 +*END + +*D_NET *1540 0.0451582 +*CONN +*I *2820:la_oenb_mprj[96] I *D mgmt_protect +*I *2826:la_oenb[96] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[96] 0.00117851 +2 *2826:la_oenb[96] 0.0012727 +3 *1540:16 0.00369683 +4 *1540:15 0.00374013 +5 *1540:10 0.00499229 +6 *1540:9 0.00504319 +7 *1540:10 *2495:10 0.000187844 +8 *2820:la_iena_mprj[96] *2820:la_oenb_mprj[96] 0 +9 *2826:la_input[87] *1540:15 0 +10 *786:5 *1540:15 0.000459291 +11 *896:8 *1540:16 0.00297891 +12 *900:11 *1540:9 0 +13 *901:7 *2820:la_oenb_mprj[96] 0 +14 *1037:20 *1540:16 0 +15 *1039:20 *1540:16 0.00210403 +16 *1141:7 *2820:la_oenb_mprj[96] 0.00015149 +17 *1147:10 *1540:10 0.00261156 +18 *1150:10 *1540:10 8.68552e-05 +19 *1156:9 *1540:9 0 +20 *1406:10 *1540:10 0.00105801 +21 *1419:10 *1540:10 0 +22 *1443:10 *1540:10 3.94365e-05 +23 *1447:10 *1540:10 0.000100974 +24 *1539:10 *1540:10 0.0154561 +25 *1539:13 *1540:15 0 +*RES +1 *2826:la_oenb[96] *1540:9 33.0913 +2 *1540:9 *1540:10 185.406 +3 *1540:10 *1540:15 39.4844 +4 *1540:15 *1540:16 95.5606 +5 *1540:16 *2820:la_oenb_mprj[96] 35.1065 +*END + +*D_NET *1541 0.0692996 +*CONN +*I *2820:la_oenb_mprj[97] I *D mgmt_protect +*I *2826:la_oenb[97] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[97] 0.00137228 +2 *2826:la_oenb[97] 0.0017059 +3 *1541:12 0.0138311 +4 *1541:9 0.0141648 +5 *1541:9 *1847:5 0 +6 *1541:12 *1542:10 0.000868884 +7 *1541:12 *1543:10 0.000503594 +8 *1541:12 *1889:10 0.000272907 +9 *1541:12 *1911:16 0.000216058 +10 *1541:12 *2488:10 0.000294091 +11 *886:11 *2820:la_oenb_mprj[97] 0 +12 *897:8 *1541:12 0.000850566 +13 *901:11 *1541:9 0 +14 *902:5 *2820:la_oenb_mprj[97] 0 +15 *1061:10 *1541:12 0 +16 *1146:10 *1541:12 0.000347544 +17 *1151:10 *1541:12 0.000107179 +18 *1157:12 *1541:12 7.54336e-05 +19 *1158:12 *1541:12 0.031031 +20 *1403:10 *1541:12 0.00012426 +21 *1407:10 *1541:12 0.000102215 +22 *1408:10 *1541:12 0.000216928 +23 *1413:13 *2820:la_oenb_mprj[97] 0.00311302 +24 *1535:10 *1541:12 0.000101794 +*RES +1 *2826:la_oenb[97] *1541:9 40.4728 +2 *1541:9 *1541:12 43.6525 +3 *1541:12 *2820:la_oenb_mprj[97] 52.4073 +*END + +*D_NET *1542 0.0593771 +*CONN +*I *2820:la_oenb_mprj[98] I *D mgmt_protect +*I *2826:la_oenb[98] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[98] 0.00104895 +2 *2826:la_oenb[98] 0.00160044 +3 *1542:16 0.00241542 +4 *1542:15 0.00217815 +5 *1542:10 0.00367004 +6 *1542:9 0.0044588 +7 *1542:9 *2820:mprj_adr_o_core[5] 0 +8 *1542:10 *1543:10 0.0195245 +9 *1542:10 *1851:8 0 +10 *1542:16 *1543:16 0.00183195 +11 *2820:la_iena_mprj[108] *1542:15 0 +12 *2820:la_iena_mprj[98] *2820:la_oenb_mprj[98] 0 +13 *781:11 *1542:9 0.00044658 +14 *787:5 *1542:15 0.000440845 +15 *891:8 *1542:16 0.000178097 +16 *897:8 *1542:10 0.0104659 +17 *903:5 *2820:la_oenb_mprj[98] 0 +18 *1146:9 *1542:15 8.62625e-06 +19 *1158:9 *1542:9 0 +20 *1403:10 *1542:10 0.000426168 +21 *1408:10 *1542:10 0.000288876 +22 *1411:10 *1542:10 0.00148512 +23 *1413:10 *1542:10 0 +24 *1526:7 *2820:la_oenb_mprj[98] 0.000190042 +25 *1531:16 *1542:16 0.000143231 +26 *1538:15 *1542:15 0 +27 *1538:16 *1542:16 0.00770652 +28 *1541:12 *1542:10 0.000868884 +*RES +1 *2826:la_oenb[98] *1542:9 41.1033 +2 *1542:9 *1542:10 218.683 +3 *1542:10 *1542:15 33.6709 +4 *1542:15 *1542:16 86.687 +5 *1542:16 *2820:la_oenb_mprj[98] 31.7845 +*END + +*D_NET *1543 0.0537469 +*CONN +*I *2820:la_oenb_mprj[99] I *D mgmt_protect +*I *2826:la_oenb[99] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[99] 0.00110684 +2 *2826:la_oenb[99] 0.00174573 +3 *1543:16 0.00307291 +4 *1543:15 0.00250805 +5 *1543:10 0.00486909 +6 *1543:9 0.00607285 +7 *1543:9 *2820:mprj_adr_o_core[9] 0 +8 *1543:9 *2820:mprj_dat_o_core[8] 0.000154302 +9 *1543:9 *1855:5 5.27542e-05 +10 *1543:10 *1855:8 0 +11 *2820:la_data_out_mprj[100] *2820:la_oenb_mprj[99] 0 +12 *2820:la_data_out_mprj[110] *1543:15 0.000831044 +13 *2820:la_iena_mprj[110] *1543:15 0 +14 *2820:la_iena_mprj[99] *2820:la_oenb_mprj[99] 0 +15 *2826:la_input[99] *1543:9 0 +16 *778:7 *2820:la_oenb_mprj[99] 0 +17 *789:5 *1543:15 0.000611412 +18 *891:8 *1543:16 5.28017e-05 +19 *896:8 *1543:16 0.00158142 +20 *1039:14 *1543:10 0 +21 *1041:10 *1543:10 0 +22 *1041:16 *1543:16 0 +23 *1159:9 *1543:9 0 +24 *1399:7 *2820:la_oenb_mprj[99] 0.000100655 +25 *1408:10 *1543:10 0.00708059 +26 *1427:16 *1543:16 8.3247e-06 +27 *1531:16 *1543:16 0.00203802 +28 *1541:12 *1543:10 0.000503594 +29 *1542:10 *1543:10 0.0195245 +30 *1542:16 *1543:16 0.00183195 +*RES +1 *2826:la_oenb[99] *1543:9 42.6421 +2 *1543:9 *1543:10 225.892 +3 *1543:10 *1543:15 32.0099 +4 *1543:15 *1543:16 89.46 +5 *1543:16 *2820:la_oenb_mprj[99] 33.0303 +*END + +*D_NET *1544 0.177988 +*CONN +*I *2820:la_oenb_mprj[9] I *D mgmt_protect +*I *2826:la_oenb[9] O *D mgmt_core_wrapper +*CAP +1 *2820:la_oenb_mprj[9] 0.000704738 +2 *2826:la_oenb[9] 7.21667e-05 +3 *1544:10 0.00682833 +4 *1544:9 0.00612359 +5 *1544:7 0.00286113 +6 *1544:5 0.00293329 +7 *2820:la_iena_mprj[9] *2820:la_oenb_mprj[9] 0 +8 *788:5 *2820:la_oenb_mprj[9] 0 +9 *904:11 *1544:7 0 +10 *1160:9 *1544:7 0 +11 *1300:10 *1544:10 0.000480973 +12 *1320:10 *1544:10 0.0743318 +13 *1338:10 *1544:10 0.00301677 +14 *1341:10 *1544:10 0.00277347 +15 *1416:10 *1544:10 0.000268945 +16 *1428:10 *1544:10 0.0775924 +*RES +1 *2826:la_oenb[9] *1544:5 2.05183 +2 *1544:5 *1544:7 69.9334 +3 *1544:7 *1544:9 3.36879 +4 *1544:9 *1544:10 101.093 +5 *1544:10 *2820:la_oenb_mprj[9] 20.8949 +*END + +*D_NET *1545 0.063688 +*CONN +*I *2821:la_oenb[0] I *D user_project_wrapper +*I *2820:la_oenb_core[0] O *D mgmt_protect +*CAP +1 *2821:la_oenb[0] 0.00253657 +2 *2820:la_oenb_core[0] 0.00105905 +3 *1545:14 0.00267783 +4 *1545:8 0.00237053 +5 *1545:7 0.00328832 +6 *2821:la_oenb[0] *2496:7 0 +7 *1545:8 *1584:8 0.000463711 +8 *1545:8 *1584:14 4.77168e-06 +9 *1545:8 *1595:8 0.0225692 +10 *1545:8 *1802:8 1.67988e-05 +11 *1545:8 *1811:8 0.000307881 +12 *1545:8 *1868:8 0.000895431 +13 *1545:14 *1802:8 0.00127141 +14 *1545:14 *1868:8 0.000272272 +15 *1545:14 *2500:8 0.000638598 +16 *2820:la_data_out_core[0] *1545:7 0 +17 *905:7 *1545:7 0 +18 *944:5 *1545:7 0 +19 *977:13 *1545:7 7.28919e-05 +20 *1200:12 *1545:8 0.0252427 +*RES +1 *2820:la_oenb_core[0] *1545:7 34.276 +2 *1545:7 *1545:8 269.706 +3 *1545:8 *1545:14 18.558 +4 *1545:14 *2821:la_oenb[0] 53.0301 +*END + +*D_NET *1546 0.226257 +*CONN +*I *2821:la_oenb[100] I *D user_project_wrapper +*I *2820:la_oenb_core[100] O *D mgmt_protect +*CAP +1 *2821:la_oenb[100] 0.00141705 +2 *2820:la_oenb_core[100] 0.00118617 +3 *1546:18 0.00824019 +4 *1546:17 0.00795641 +5 *1546:13 0.00231945 +6 *1546:13 *1547:7 3.31882e-05 +7 *1546:13 *1633:14 0.000277287 +8 *1546:18 *1552:8 0.0002798 +9 *1546:18 *1568:8 0.0068286 +10 *2820:la_data_out_core[100] *1546:13 0 +11 *2821:la_data_in[52] *1546:13 6.04912e-06 +12 *2821:la_data_in[52] *1546:17 0.000440932 +13 *906:5 *1546:13 0 +14 *906:8 *1546:18 0.0976144 +15 *907:7 *1546:13 0 +16 *1022:8 *1546:13 0.000134328 +17 *1163:11 *1546:13 6.61114e-05 +18 *1163:11 *1546:17 0.000441219 +19 *1188:8 *1546:18 0.000591232 +20 *1190:8 *1546:18 0.0508853 +21 *1261:8 *1546:18 0.0474208 +22 *1279:14 *1546:13 0.00011818 +*RES +1 *2820:la_oenb_core[100] *1546:13 41.1766 +2 *1546:13 *1546:17 30.6242 +3 *1546:17 *1546:18 1041.71 +4 *1546:18 *2821:la_oenb[100] 37.598 +*END + +*D_NET *1547 0.113786 +*CONN +*I *2821:la_oenb[101] I *D user_project_wrapper +*I *2820:la_oenb_core[101] O *D mgmt_protect +*CAP +1 *2821:la_oenb[101] 0.00258694 +2 *2820:la_oenb_core[101] 0.000737788 +3 *1547:10 0.00258694 +4 *1547:8 0.0312148 +5 *1547:7 0.0319526 +6 *1547:8 *1565:8 0.00194051 +7 *1547:8 *1633:14 0.0256752 +8 *1547:8 *1635:18 0.00185942 +9 *1547:8 *1638:12 0.000184958 +10 *1547:8 *1641:8 0 +11 *1547:8 *1643:8 0 +12 *1547:8 *1656:8 0.00512344 +13 *2821:la_data_in[52] *1547:7 0.000205038 +14 *908:7 *1547:7 0 +15 *918:8 *1547:8 0.00348738 +16 *1022:8 *1547:8 0.00502529 +17 *1024:8 *1547:8 0 +18 *1026:8 *1547:8 0 +19 *1028:8 *1547:8 0 +20 *1030:8 *1547:8 0 +21 *1162:8 *1547:8 0 +22 *1163:11 *1547:7 0.000532382 +23 *1253:8 *1547:8 0.000639908 +24 *1256:8 *1547:8 0 +25 *1258:8 *1547:8 0 +26 *1260:8 *1547:8 0 +27 *1546:13 *1547:7 3.31882e-05 +*RES +1 *2820:la_oenb_core[101] *1547:7 27.2167 +2 *1547:7 *1547:8 1063.34 +3 *1547:8 *1547:10 4.5 +4 *1547:10 *2821:la_oenb[101] 59.6742 +*END + +*D_NET *1548 0.273947 +*CONN +*I *2821:la_oenb[102] I *D user_project_wrapper +*I *2820:la_oenb_core[102] O *D mgmt_protect +*CAP +1 *2821:la_oenb[102] 0.00128367 +2 *2820:la_oenb_core[102] 0.00237667 +3 *1548:8 0.0172417 +4 *1548:7 0.0159581 +5 *1548:5 0.00237667 +6 *1548:8 *1550:8 0.115166 +7 *1548:8 *1662:12 0.0044966 +8 *1548:8 *1670:8 0.107605 +9 *2820:la_data_out_core[102] *1548:5 0 +10 *2820:la_data_out_core[103] *1548:5 0 +11 *909:7 *1548:5 0 +12 *1181:8 *1548:8 0.00148346 +13 *1184:8 *1548:8 0.000141029 +14 *1236:5 *1548:5 0.000928172 +15 *1278:8 *1548:8 0.00489105 +16 *1282:8 *1548:8 0 +*RES +1 *2820:la_oenb_core[102] *1548:5 51.5768 +2 *1548:5 *1548:7 3.36879 +3 *1548:7 *1548:8 148.517 +4 *1548:8 *2821:la_oenb[102] 34.1829 +*END + +*D_NET *1549 0.269005 +*CONN +*I *2821:la_oenb[103] I *D user_project_wrapper +*I *2820:la_oenb_core[103] O *D mgmt_protect +*CAP +1 *2821:la_oenb[103] 0.00199977 +2 *2820:la_oenb_core[103] 0.00156782 +3 *1549:12 0.00199977 +4 *1549:10 0.0117851 +5 *1549:9 0.013353 +6 *1549:10 *1553:8 0.115065 +7 *1549:10 *1665:12 0.00012309 +8 *1549:10 *1667:12 0.000111178 +9 *1549:10 *1669:12 0.000102438 +10 *2820:la_data_out_core[103] *1549:9 0.000452827 +11 *910:7 *1549:9 0 +12 *1272:8 *1549:10 0.00625748 +13 *1274:8 *1549:10 0.00738786 +14 *1279:8 *1549:10 0.000236267 +15 *1281:8 *1549:10 0 +16 *1287:8 *1549:10 0.108563 +*RES +1 *2820:la_oenb_core[103] *1549:9 39.6301 +2 *1549:9 *1549:10 150.506 +3 *1549:10 *1549:12 3.36879 +4 *1549:12 *2821:la_oenb[103] 47.4242 +*END + +*D_NET *1550 0.282558 +*CONN +*I *2821:la_oenb[104] I *D user_project_wrapper +*I *2820:la_oenb_core[104] O *D mgmt_protect +*CAP +1 *2821:la_oenb[104] 0.00130859 +2 *2820:la_oenb_core[104] 0.00234621 +3 *1550:8 0.0188033 +4 *1550:7 0.0174947 +5 *1550:5 0.00234621 +6 *1550:8 *1559:8 0.112927 +7 *1550:8 *1648:16 0.00296876 +8 *2820:la_data_out_core[104] *1550:5 0 +9 *2820:la_data_out_core[105] *1550:5 0 +10 *2821:la_data_in[53] *1550:5 0.000674349 +11 *911:7 *1550:5 0 +12 *1184:8 *1550:8 0.000133943 +13 *1186:8 *1550:8 0.00314983 +14 *1280:8 *1550:8 0.00524033 +15 *1282:8 *1550:8 0 +16 *1548:8 *1550:8 0.115166 +*RES +1 *2820:la_oenb_core[104] *1550:5 50.7463 +2 *1550:5 *1550:7 3.36879 +3 *1550:7 *1550:8 152.265 +4 *1550:8 *2821:la_oenb[104] 35.0134 +*END + +*D_NET *1551 0.18905 +*CONN +*I *2821:la_oenb[105] I *D user_project_wrapper +*I *2820:la_oenb_core[105] O *D mgmt_protect +*CAP +1 *2821:la_oenb[105] 0.00210358 +2 *2820:la_oenb_core[105] 0.00159205 +3 *1551:10 0.00210358 +4 *1551:8 0.0111502 +5 *1551:7 0.0127422 +6 *1551:8 *1553:8 0.000107179 +7 *1551:8 *1555:8 0.103238 +8 *1551:8 *1571:8 0.0106759 +9 *1551:8 *1631:16 0.00117388 +10 *1551:8 *1671:8 0.0418219 +11 *2820:la_data_out_core[105] *1551:7 0 +12 *2820:la_data_out_core[106] *1551:7 0 +13 *912:7 *1551:7 0 +14 *1238:8 *1551:8 0.00178763 +15 *1239:8 *1551:8 0.000554491 +*RES +1 *2820:la_oenb_core[105] *1551:7 36.7675 +2 *1551:7 *1551:8 1116.59 +3 *1551:8 *1551:10 4.5 +4 *1551:10 *2821:la_oenb[105] 50.1234 +*END + +*D_NET *1552 0.244111 +*CONN +*I *2821:la_oenb[106] I *D user_project_wrapper +*I *2820:la_oenb_core[106] O *D mgmt_protect +*CAP +1 *2821:la_oenb[106] 0.00141844 +2 *2820:la_oenb_core[106] 0.00248894 +3 *1552:8 0.00886569 +4 *1552:7 0.00744725 +5 *1552:5 0.00248894 +6 *1552:5 *2821:la_oenb[53] 0 +7 *1552:8 *1554:8 0.105886 +8 *1552:8 *1570:8 0.00818328 +9 *1552:8 *1623:10 0.000268359 +10 *2820:la_data_out_core[106] *1552:5 0 +11 *2821:la_data_in[54] *1552:5 0 +12 *913:7 *1552:5 0 +13 *1190:8 *1552:8 0.0631247 +14 *1261:8 *1552:8 0.0436593 +15 *1546:18 *1552:8 0.0002798 +*RES +1 *2820:la_oenb_core[106] *1552:5 48.4624 +2 *1552:5 *1552:7 4.5 +3 *1552:7 *1552:8 1130.45 +4 *1552:8 *2821:la_oenb[106] 38.4285 +*END + +*D_NET *1553 0.282701 +*CONN +*I *2821:la_oenb[107] I *D user_project_wrapper +*I *2820:la_oenb_core[107] O *D mgmt_protect +*CAP +1 *2821:la_oenb[107] 0.00199545 +2 *2820:la_oenb_core[107] 0.00169885 +3 *1553:10 0.00199545 +4 *1553:8 0.0138369 +5 *1553:7 0.0155357 +6 *1553:8 *1555:8 0.000102215 +7 *1553:8 *1564:8 0.11569 +8 *1553:8 *1665:12 0.00864537 +9 *1553:8 *1667:12 0.000267774 +10 *1553:8 *1669:12 0.000246179 +11 *1553:8 *1671:8 0.00012426 +12 *2820:la_data_out_core[107] *1553:7 0 +13 *2820:la_data_out_core[108] *1553:7 0 +14 *914:7 *1553:7 0 +15 *1238:5 *1553:7 0 +16 *1279:8 *1553:8 0.00739059 +17 *1281:8 *1553:8 0 +18 *1549:10 *1553:8 0.115065 +19 *1551:8 *1553:8 0.000107179 +*RES +1 *2820:la_oenb_core[107] *1553:7 37.505 +2 *1553:7 *1553:8 157.696 +3 *1553:8 *1553:10 3.36879 +4 *1553:10 *2821:la_oenb[107] 48.2547 +*END + +*D_NET *1554 0.24747 +*CONN +*I *2821:la_oenb[108] I *D user_project_wrapper +*I *2820:la_oenb_core[108] O *D mgmt_protect +*CAP +1 *2821:la_oenb[108] 0.00142929 +2 *2820:la_oenb_core[108] 0.00249284 +3 *1554:8 0.00909472 +4 *1554:7 0.00766544 +5 *1554:5 0.00249284 +6 *1554:5 *2821:la_oenb[54] 0 +7 *1554:8 *1557:8 0.108423 +8 *1554:8 *1572:8 0.00777676 +9 *1554:8 *1623:10 0.000384993 +10 *2820:la_data_out_core[108] *1554:5 0 +11 *2820:la_data_out_core[109] *1554:5 0 +12 *915:7 *1554:5 0 +13 *1190:8 *1554:8 0.00182431 +14 *1238:5 *1554:5 0 +15 *1552:8 *1554:8 0.105886 +*RES +1 *2820:la_oenb_core[108] *1554:5 48.0471 +2 *1554:5 *1554:7 4.5 +3 *1554:7 *1554:8 1157.07 +4 *1554:8 *2821:la_oenb[108] 38.8438 +*END + +*D_NET *1555 0.251611 +*CONN +*I *2821:la_oenb[109] I *D user_project_wrapper +*I *2820:la_oenb_core[109] O *D mgmt_protect +*CAP +1 *2821:la_oenb[109] 0.00208096 +2 *2820:la_oenb_core[109] 0.00148123 +3 *1555:10 0.00208096 +4 *1555:8 0.00857538 +5 *1555:7 0.0100566 +6 *1555:8 *1558:8 0.109857 +7 *1555:8 *1571:8 0.0126859 +8 *2820:la_data_out_core[109] *1555:7 0 +9 *2821:la_data_in[55] *1555:7 0.000341235 +10 *917:7 *1555:7 0 +11 *1239:8 *1555:8 0.000791462 +12 *1240:8 *1555:8 0.000320552 +13 *1551:8 *1555:8 0.103238 +14 *1553:8 *1555:8 0.000102215 +*RES +1 *2820:la_oenb_core[109] *1555:7 36.3523 +2 *1555:7 *1555:8 1169.83 +3 *1555:8 *1555:10 4.5 +4 *1555:10 *2821:la_oenb[109] 50.5386 +*END + +*D_NET *1556 0.0287746 +*CONN +*I *2821:la_oenb[10] I *D user_project_wrapper +*I *2820:la_oenb_core[10] O *D mgmt_protect +*CAP +1 *2821:la_oenb[10] 0.00168298 +2 *2820:la_oenb_core[10] 0.00139726 +3 *1556:12 0.00299719 +4 *1556:10 0.00244672 +5 *1556:7 0.00252977 +6 *2821:la_oenb[10] *2820:mprj_dat_i_user[24] 0 +7 *2821:la_oenb[10] *1805:7 3.20069e-06 +8 *1556:12 *1945:8 0.00575932 +9 *2820:la_data_out_core[10] *1556:7 0 +10 *927:5 *1556:7 0 +11 *1172:8 *1556:10 0.00184 +12 *1172:8 *1556:12 0.00947314 +13 *1196:8 *1556:10 0 +14 *1197:8 *1556:10 0.000645042 +*RES +1 *2820:la_oenb_core[10] *1556:7 43.8268 +2 *1556:7 *1556:10 49.8299 +3 *1556:10 *1556:12 100.275 +4 *1556:12 *2821:la_oenb[10] 47.9793 +*END + +*D_NET *1557 0.25319 +*CONN +*I *2821:la_oenb[110] I *D user_project_wrapper +*I *2820:la_oenb_core[110] O *D mgmt_protect +*CAP +1 *2821:la_oenb[110] 0.00144026 +2 *2820:la_oenb_core[110] 0.00229311 +3 *1557:8 0.0095324 +4 *1557:7 0.00809214 +5 *1557:5 0.00229311 +6 *1557:8 *1561:8 0.109404 +7 *1557:8 *1572:8 0.00894725 +8 *1557:8 *1623:10 0.000320474 +9 *1557:8 *1627:14 0.0005984 +10 *2820:la_data_out_core[110] *1557:5 0 +11 *2820:la_data_out_core[111] *1557:5 0 +12 *918:7 *1557:5 0 +13 *1190:8 *1557:8 0.00105915 +14 *1239:5 *1557:5 0.000786733 +15 *1554:8 *1557:8 0.108423 +*RES +1 *2820:la_oenb_core[110] *1557:5 47.6319 +2 *1557:5 *1557:7 4.5 +3 *1557:7 *1557:8 1183.69 +4 *1557:8 *2821:la_oenb[110] 39.2591 +*END + +*D_NET *1558 0.259164 +*CONN +*I *2821:la_oenb[111] I *D user_project_wrapper +*I *2820:la_oenb_core[111] O *D mgmt_protect +*CAP +1 *2821:la_oenb[111] 0.00208291 +2 *2820:la_oenb_core[111] 0.00161241 +3 *1558:10 0.00208291 +4 *1558:8 0.00806286 +5 *1558:7 0.00967527 +6 *1558:8 *1560:8 0.112406 +7 *1558:8 *1571:8 0.000110257 +8 *1558:8 *1624:8 0.000103217 +9 *2820:la_data_out_core[111] *1558:7 0 +10 *2820:la_data_out_core[112] *1558:7 0 +11 *919:7 *1558:7 0 +12 *1191:8 *1558:8 0.0118005 +13 *1240:8 *1558:8 0.00126944 +14 *1281:8 *1558:8 0.000101794 +15 *1555:8 *1558:8 0.109857 +*RES +1 *2820:la_oenb_core[111] *1558:7 35.937 +2 *1558:7 *1558:8 1196.45 +3 *1558:8 *1558:10 4.5 +4 *1558:10 *2821:la_oenb[111] 50.9539 +*END + +*D_NET *1559 0.301643 +*CONN +*I *2821:la_oenb[112] I *D user_project_wrapper +*I *2820:la_oenb_core[112] O *D mgmt_protect +*CAP +1 *2821:la_oenb[112] 0.0013124 +2 *2820:la_oenb_core[112] 0.00247024 +3 *1559:8 0.0224516 +4 *1559:7 0.0211392 +5 *1559:5 0.00247024 +6 *1559:8 *1568:8 0.124477 +7 *1559:8 *1646:8 0.00284326 +8 *2820:la_data_out_core[112] *1559:5 0 +9 *2821:la_data_in[56] *1559:5 0.00041279 +10 *920:7 *1559:5 0 +11 *1181:8 *1559:8 0.000406161 +12 *1186:8 *1559:8 0.00043387 +13 *1188:8 *1559:8 0.00512221 +14 *1240:5 *1559:5 0 +15 *1282:8 *1559:8 0 +16 *1284:8 *1559:8 0.00517726 +17 *1550:8 *1559:8 0.112927 +*RES +1 *2820:la_oenb_core[112] *1559:5 49.9158 +2 *1559:5 *1559:7 3.36879 +3 *1559:7 *1559:8 166.951 +4 *1559:8 *2821:la_oenb[112] 35.844 +*END + +*D_NET *1560 0.265838 +*CONN +*I *2821:la_oenb[113] I *D user_project_wrapper +*I *2820:la_oenb_core[113] O *D mgmt_protect +*CAP +1 *2821:la_oenb[113] 0.00208272 +2 *2820:la_oenb_core[113] 0.00161425 +3 *1560:10 0.00208272 +4 *1560:8 0.0082397 +5 *1560:7 0.00985396 +6 *1560:8 *1562:8 0.115015 +7 *1560:8 *1571:8 0.000101365 +8 *1560:8 *1624:8 0.000432613 +9 *1560:8 *1626:8 0.000474501 +10 *921:7 *1560:7 0 +11 *1176:11 *1560:7 0 +12 *1191:8 *1560:8 0.0134342 +13 *1281:8 *1560:8 0.000101794 +14 *1558:8 *1560:8 0.112406 +*RES +1 *2820:la_oenb_core[113] *1560:7 35.5218 +2 *1560:7 *1560:8 1223.62 +3 *1560:8 *1560:10 4.5 +4 *1560:10 *2821:la_oenb[113] 51.3691 +*END + +*D_NET *1561 0.261567 +*CONN +*I *2821:la_oenb[114] I *D user_project_wrapper +*I *2820:la_oenb_core[114] O *D mgmt_protect +*CAP +1 *2821:la_oenb[114] 0.00143105 +2 *2820:la_oenb_core[114] 0.00252903 +3 *1561:8 0.0100689 +4 *1561:7 0.00863784 +5 *1561:5 0.00252903 +6 *1561:5 *2821:la_oenb[56] 0 +7 *1561:8 *1563:8 0.116004 +8 *1561:8 *1572:8 0.000110257 +9 *1561:8 *1627:14 0.000670122 +10 *1561:8 *1822:10 0.00868061 +11 *2820:la_data_out_core[114] *1561:5 0 +12 *2821:la_data_in[57] *1561:5 0 +13 *921:7 *1561:5 0 +14 *922:7 *1561:5 0 +15 *1190:8 *1561:8 0.00150174 +16 *1557:8 *1561:8 0.109404 +*RES +1 *2820:la_oenb_core[114] *1561:5 47.2166 +2 *1561:5 *1561:7 4.5 +3 *1561:7 *1561:8 1236.38 +4 *1561:8 *2821:la_oenb[114] 39.6743 +*END + +*D_NET *1562 0.270093 +*CONN +*I *2821:la_oenb[115] I *D user_project_wrapper +*I *2820:la_oenb_core[115] O *D mgmt_protect +*CAP +1 *2821:la_oenb[115] 0.00208467 +2 *2820:la_oenb_core[115] 0.00161614 +3 *1562:10 0.00208467 +4 *1562:8 0.00839297 +5 *1562:7 0.0100091 +6 *1562:8 *1569:8 0.114541 +7 *1562:8 *1575:8 0.0127128 +8 *1562:8 *1626:8 0.0029431 +9 *1562:8 *1629:8 0.00069335 +10 *2820:la_data_out_core[115] *1562:7 0 +11 *923:7 *1562:7 0 +12 *1560:8 *1562:8 0.115015 +*RES +1 *2820:la_oenb_core[115] *1562:7 35.1065 +2 *1562:7 *1562:8 1250.25 +3 *1562:8 *1562:10 4.5 +4 *1562:10 *2821:la_oenb[115] 51.7844 +*END + +*D_NET *1563 0.269836 +*CONN +*I *2821:la_oenb[116] I *D user_project_wrapper +*I *2820:la_oenb_core[116] O *D mgmt_protect +*CAP +1 *2821:la_oenb[116] 0.00144114 +2 *2820:la_oenb_core[116] 0.0025082 +3 *1563:8 0.0101476 +4 *1563:7 0.00870647 +5 *1563:5 0.0025082 +6 *1563:5 *2821:la_oenb[57] 6.88866e-05 +7 *1563:8 *1565:20 0.111785 +8 *1563:8 *1572:8 0.000101365 +9 *1563:8 *1574:10 0.000689473 +10 *1563:8 *1574:12 1.41689e-05 +11 *1563:8 *1627:14 0.0041919 +12 *1563:8 *1630:14 0.00146909 +13 *1563:8 *1822:10 0.00993175 +14 *2820:la_data_out_core[116] *1563:5 0 +15 *2820:la_data_out_core[117] *1563:5 0 +16 *923:7 *1563:5 0 +17 *924:7 *1563:5 0 +18 *1190:8 *1563:8 0.000268953 +19 *1241:7 *1563:5 0 +20 *1561:8 *1563:8 0.116004 +*RES +1 *2820:la_oenb_core[116] *1563:5 46.8014 +2 *1563:5 *1563:7 4.5 +3 *1563:7 *1563:8 1263 +4 *1563:8 *2821:la_oenb[116] 40.0896 +*END + +*D_NET *1564 0.305632 +*CONN +*I *2821:la_oenb[117] I *D user_project_wrapper +*I *2820:la_oenb_core[117] O *D mgmt_protect +*CAP +1 *2821:la_oenb[117] 0.00193756 +2 *2820:la_oenb_core[117] 0.00163773 +3 *1564:10 0.00193756 +4 *1564:8 0.0136634 +5 *1564:7 0.0153011 +6 *1564:8 *1566:8 0.137248 +7 *1564:8 *1667:12 0.00815345 +8 *1564:8 *1669:12 0.00966932 +9 *2820:la_data_out_core[117] *1564:7 0 +10 *2821:la_data_in[58] *1564:7 0.00039406 +11 *925:7 *1564:7 0 +12 *1281:8 *1564:8 0 +13 *1553:8 *1564:8 0.11569 +*RES +1 *2820:la_oenb_core[117] *1564:7 36.6745 +2 *1564:7 *1564:8 176.13 +3 *1564:8 *1564:10 3.36879 +4 *1564:10 *2821:la_oenb[117] 49.0853 +*END + +*D_NET *1565 0.272649 +*CONN +*I *2821:la_oenb[118] I *D user_project_wrapper +*I *2820:la_oenb_core[118] O *D mgmt_protect +*CAP +1 *2821:la_oenb[118] 0.0014444 +2 *2820:la_oenb_core[118] 0.0012824 +3 *1565:20 0.0097268 +4 *1565:19 0.00868646 +5 *1565:14 0.00127699 +6 *1565:13 0.00177116 +7 *1565:8 0.00218063 +8 *1565:14 *1632:14 0.00485005 +9 *1565:19 *2821:la_oenb[61] 1.09738e-05 +10 *1565:19 *1822:7 0 +11 *1565:20 *1574:12 0.115848 +12 *1565:20 *2736:8 0.00957124 +13 *2820:la_data_out_core[118] *1565:8 0 +14 *2820:la_data_out_core[119] *1565:8 0 +15 *926:7 *1565:8 0 +16 *929:5 *1565:13 0 +17 *935:5 *1565:19 0 +18 *1022:8 *1565:8 0.000342125 +19 *1185:11 *1565:13 0.000276886 +20 *1190:8 *1565:20 0 +21 *1242:5 *1565:8 0.000809673 +22 *1267:8 *1565:14 0.00084645 +23 *1547:8 *1565:8 0.00194051 +24 *1563:8 *1565:20 0.111785 +*RES +1 *2820:la_oenb_core[118] *1565:8 47.4906 +2 *1565:8 *1565:13 26.1963 +3 *1565:13 *1565:14 51.7469 +4 *1565:14 *1565:19 16.2303 +5 *1565:19 *1565:20 1217.52 +6 *1565:20 *2821:la_oenb[118] 40.5048 +*END + +*D_NET *1566 0.313888 +*CONN +*I *2821:la_oenb[119] I *D user_project_wrapper +*I *2820:la_oenb_core[119] O *D mgmt_protect +*CAP +1 *2821:la_oenb[119] 0.00194277 +2 *2820:la_oenb_core[119] 0.00174957 +3 *1566:10 0.00194277 +4 *1566:8 0.0105075 +5 *1566:7 0.0122571 +6 *1566:7 *2821:la_oenb[58] 4.69801e-05 +7 *1566:8 *1571:8 0.138465 +8 *1566:8 *1671:8 0.0093385 +9 *2820:la_data_out_core[119] *1566:7 0 +10 *928:7 *1566:7 0 +11 *1281:8 *1566:8 0.00038987 +12 *1564:8 *1566:8 0.137248 +*RES +1 *2820:la_oenb_core[119] *1566:7 35.844 +2 *1566:7 *1566:8 179.802 +3 *1566:8 *1566:10 3.36879 +4 *1566:10 *2821:la_oenb[119] 49.9158 +*END + +*D_NET *1567 0.0247589 +*CONN +*I *2821:la_oenb[11] I *D user_project_wrapper +*I *2820:la_oenb_core[11] O *D mgmt_protect +*CAP +1 *2821:la_oenb[11] 0.00113865 +2 *2820:la_oenb_core[11] 0.00178767 +3 *1567:8 0.00384331 +4 *1567:7 0.00270466 +5 *1567:5 0.00178767 +6 *1567:8 *1578:8 0 +7 *2820:la_data_out_core[11] *1567:5 0 +8 *2821:la_data_in[18] *1567:5 0.000374412 +9 *927:8 *1567:8 0.000826775 +10 *936:5 *1567:5 0 +11 *936:8 *1567:8 0.0122957 +*RES +1 *2820:la_oenb_core[11] *1567:5 50.5386 +2 *1567:5 *1567:7 4.5 +3 *1567:7 *1567:8 136.601 +4 *1567:8 *2821:la_oenb[11] 36.3523 +*END + +*D_NET *1568 0.323614 +*CONN +*I *2821:la_oenb[120] I *D user_project_wrapper +*I *2820:la_oenb_core[120] O *D mgmt_protect +*CAP +1 *2821:la_oenb[120] 0.0012922 +2 *2820:la_oenb_core[120] 0.00271847 +3 *1568:8 0.0188884 +4 *1568:7 0.0175962 +5 *1568:5 0.00271847 +6 *1568:8 *1570:8 0.141324 +7 *2820:la_data_out_core[120] *1568:5 0 +8 *2821:la_data_in[59] *1568:5 0 +9 *906:8 *1568:8 0.00609215 +10 *929:5 *1568:5 0 +11 *1181:8 *1568:8 0.000267885 +12 *1186:8 *1568:8 0.000403492 +13 *1188:8 *1568:8 0.00080444 +14 *1282:8 *1568:8 0.000202434 +15 *1546:18 *1568:8 0.0068286 +16 *1559:8 *1568:8 0.124477 +*RES +1 *2820:la_oenb_core[120] *1568:5 49.0853 +2 *1568:5 *1568:7 3.36879 +3 *1568:7 *1568:8 181.561 +4 *1568:8 *2821:la_oenb[120] 36.6745 +*END + +*D_NET *1569 0.283978 +*CONN +*I *2821:la_oenb[121] I *D user_project_wrapper +*I *2820:la_oenb_core[121] O *D mgmt_protect +*CAP +1 *2821:la_oenb[121] 0.00201036 +2 *2820:la_oenb_core[121] 0.00165301 +3 *1569:10 0.00201036 +4 *1569:8 0.0100384 +5 *1569:7 0.0116915 +6 *1569:8 *1573:8 0.123761 +7 *1569:8 *1575:8 0.015608 +8 *1569:8 *1629:8 0.00239913 +9 *1569:8 *1631:8 0.000264711 +10 *930:7 *1569:7 0 +11 *1185:11 *1569:7 0 +12 *1562:8 *1569:8 0.114541 +*RES +1 *2820:la_oenb_core[121] *1569:7 34.6913 +2 *1569:7 *1569:8 1330.11 +3 *1569:8 *1569:10 4.5 +4 *1569:10 *2821:la_oenb[121] 52.1996 +*END + +*D_NET *1570 0.342992 +*CONN +*I *2821:la_oenb[122] I *D user_project_wrapper +*I *2820:la_oenb_core[122] O *D mgmt_protect +*CAP +1 *2821:la_oenb[122] 0.0012936 +2 *2820:la_oenb_core[122] 0.00267802 +3 *1570:8 0.0169863 +4 *1570:7 0.0156927 +5 *1570:5 0.00267802 +6 *1570:8 *1572:8 0.144268 +7 *2820:la_data_out_core[122] *1570:5 0 +8 *930:7 *1570:5 0 +9 *931:5 *1570:5 0 +10 *1190:8 *1570:8 0.00713959 +11 *1261:8 *1570:8 0.00244018 +12 *1282:8 *1570:8 0.000307989 +13 *1552:8 *1570:8 0.00818328 +14 *1568:8 *1570:8 0.141324 +*RES +1 *2820:la_oenb_core[122] *1570:5 48.2547 +2 *1570:5 *1570:7 3.36879 +3 *1570:7 *1570:8 185.233 +4 *1570:8 *2821:la_oenb[122] 37.505 +*END + +*D_NET *1571 0.338255 +*CONN +*I *2821:la_oenb[123] I *D user_project_wrapper +*I *2820:la_oenb_core[123] O *D mgmt_protect +*CAP +1 *2821:la_oenb[123] 0.00189573 +2 *2820:la_oenb_core[123] 0.00173398 +3 *1571:10 0.00189573 +4 *1571:8 0.0118744 +5 *1571:7 0.0136084 +6 *2820:la_data_out_core[123] *1571:7 0 +7 *932:7 *1571:7 0 +8 *1191:8 *1571:8 0.144649 +9 *1281:8 *1571:8 0.00055835 +10 *1551:8 *1571:8 0.0106759 +11 *1555:8 *1571:8 0.0126859 +12 *1558:8 *1571:8 0.000110257 +13 *1560:8 *1571:8 0.000101365 +14 *1566:8 *1571:8 0.138465 +*RES +1 *2820:la_oenb_core[123] *1571:7 35.0134 +2 *1571:7 *1571:8 187.145 +3 *1571:8 *1571:10 3.36879 +4 *1571:10 *2821:la_oenb[123] 50.7463 +*END + +*D_NET *1572 0.345592 +*CONN +*I *2821:la_oenb[124] I *D user_project_wrapper +*I *2820:la_oenb_core[124] O *D mgmt_protect +*CAP +1 *2821:la_oenb[124] 0.00128987 +2 *2820:la_oenb_core[124] 0.00254067 +3 *1572:8 0.0161633 +4 *1572:7 0.0148734 +5 *1572:5 0.00254067 +6 *1572:5 *2821:la_oenb[60] 0.000295891 +7 *1572:8 *1822:10 0.145958 +8 *2820:la_data_out_core[124] *1572:5 0 +9 *932:7 *1572:5 0 +10 *933:5 *1572:5 0 +11 *1282:8 *1572:8 0.000727177 +12 *1554:8 *1572:8 0.00777676 +13 *1557:8 *1572:8 0.00894725 +14 *1561:8 *1572:8 0.000110257 +15 *1563:8 *1572:8 0.000101365 +16 *1570:8 *1572:8 0.144268 +*RES +1 *2820:la_oenb_core[124] *1572:5 47.4242 +2 *1572:5 *1572:7 3.36879 +3 *1572:7 *1572:8 188.981 +4 *1572:8 *2821:la_oenb[124] 38.3355 +*END + +*D_NET *1573 0.297425 +*CONN +*I *2821:la_oenb[125] I *D user_project_wrapper +*I *2820:la_oenb_core[125] O *D mgmt_protect +*CAP +1 *2821:la_oenb[125] 0.00193518 +2 *2820:la_oenb_core[125] 0.00164324 +3 *1573:10 0.00193518 +4 *1573:8 0.00957284 +5 *1573:7 0.0112161 +6 *1573:8 *1631:8 0.00223979 +7 *1573:8 *1649:8 1.41689e-05 +8 *1573:8 *2737:8 0.129655 +9 *1573:8 *2738:8 0.0154525 +10 *2820:la_data_out_core[125] *1573:7 0 +11 *934:9 *1573:7 0 +12 *934:11 *1573:7 0 +13 *1569:8 *1573:8 0.123761 +*RES +1 *2820:la_oenb_core[125] *1573:7 34.276 +2 *1573:7 *1573:8 1383.35 +3 *1573:8 *1573:10 4.5 +4 *1573:10 *2821:la_oenb[125] 52.6149 +*END + +*D_NET *1574 0.242493 +*CONN +*I *2821:la_oenb[126] I *D user_project_wrapper +*I *2820:la_oenb_core[126] O *D mgmt_protect +*CAP +1 *2821:la_oenb[126] 0.00131918 +2 *2820:la_oenb_core[126] 0.00208841 +3 *1574:12 0.0266455 +4 *1574:10 0.0254083 +5 *1574:5 0.00217033 +6 *1574:10 *1630:14 0.000638598 +7 *1574:12 *2736:8 0.0218273 +8 *2820:la_data_out_core[126] *1574:5 0 +9 *935:5 *1574:5 0 +10 *1190:8 *1574:12 0 +11 *1246:5 *1574:5 0.00182605 +12 *1270:8 *1574:10 1.67988e-05 +13 *1270:8 *1574:12 0.0440006 +14 *1563:8 *1574:10 0.000689473 +15 *1563:8 *1574:12 1.41689e-05 +16 *1565:20 *1574:12 0.115848 +*RES +1 *2820:la_oenb_core[126] *1574:5 46.3861 +2 *1574:5 *1574:10 12.4574 +3 *1574:10 *1574:12 1389.45 +4 *1574:12 *2821:la_oenb[126] 40.9201 +*END + +*D_NET *1575 0.362376 +*CONN +*I *2821:la_oenb[127] I *D user_project_wrapper +*I *2820:la_oenb_core[127] O *D mgmt_protect +*CAP +1 *2821:la_oenb[127] 0.00188028 +2 *2820:la_oenb_core[127] 0.00166468 +3 *1575:10 0.00188028 +4 *1575:8 0.0105356 +5 *1575:7 0.0122002 +6 *1575:7 *1822:7 0 +7 *1575:8 *2738:8 0.152505 +8 *2820:la_data_out_core[127] *1575:7 0 +9 *1191:8 *1575:8 0.152903 +10 *1281:8 *1575:8 0.000485419 +11 *1562:8 *1575:8 0.0127128 +12 *1569:8 *1575:8 0.015608 +*RES +1 *2820:la_oenb_core[127] *1575:7 33.3524 +2 *1575:7 *1575:8 194.488 +3 *1575:8 *1575:10 3.36879 +4 *1575:10 *2821:la_oenb[127] 52.4073 +*END + +*D_NET *1576 0.028618 +*CONN +*I *2821:la_oenb[12] I *D user_project_wrapper +*I *2820:la_oenb_core[12] O *D mgmt_protect +*CAP +1 *2821:la_oenb[12] 0.00108023 +2 *2820:la_oenb_core[12] 0.00188488 +3 *1576:8 0.00245145 +4 *1576:7 0.00137122 +5 *1576:5 0.00188488 +6 *1576:5 *2821:la_oenb[18] 0 +7 *1576:8 *1577:8 8.14875e-05 +8 *2820:la_data_out_core[12] *1576:5 0 +9 *937:5 *1576:5 0 +10 *1192:8 *1576:8 0.0114396 +11 *1194:8 *1576:8 0.00827248 +12 *1198:7 *1576:5 0.000151738 +*RES +1 *2820:la_oenb_core[12] *1576:5 52.1996 +2 *1576:5 *1576:7 4.5 +3 *1576:7 *1576:8 122.736 +4 *1576:8 *2821:la_oenb[12] 34.6913 +*END + +*D_NET *1577 0.0270032 +*CONN +*I *2821:la_oenb[13] I *D user_project_wrapper +*I *2820:la_oenb_core[13] O *D mgmt_protect +*CAP +1 *2821:la_oenb[13] 0.0011231 +2 *2820:la_oenb_core[13] 0.00190609 +3 *1577:8 0.002149 +4 *1577:7 0.0010259 +5 *1577:5 0.00190609 +6 *1577:5 *2821:la_oenb[18] 0 +7 *1577:8 *1578:8 0 +8 *2820:la_data_out_core[13] *1577:5 0 +9 *2821:la_data_in[19] *1577:5 0 +10 *936:8 *1577:8 0.00917531 +11 *938:5 *1577:5 0 +12 *1192:8 *1577:8 0.00943665 +13 *1194:8 *1577:8 0.000199561 +14 *1576:8 *1577:8 8.14875e-05 +*RES +1 *2820:la_oenb_core[13] *1577:5 51.3691 +2 *1577:5 *1577:7 4.5 +3 *1577:7 *1577:8 109.98 +4 *1577:8 *2821:la_oenb[13] 35.5218 +*END + +*D_NET *1578 0.0200932 +*CONN +*I *2821:la_oenb[14] I *D user_project_wrapper +*I *2820:la_oenb_core[14] O *D mgmt_protect +*CAP +1 *2821:la_oenb[14] 0.00128866 +2 *2820:la_oenb_core[14] 0.00176886 +3 *1578:8 0.00267012 +4 *1578:7 0.00138146 +5 *1578:5 0.00176886 +6 *2820:la_data_out_core[14] *1578:5 0 +7 *927:8 *1578:8 0.0028274 +8 *936:8 *1578:8 0 +9 *937:8 *1578:8 0.00782182 +10 *939:5 *1578:5 0 +11 *939:8 *1578:8 0.000565984 +12 *1199:7 *1578:5 0 +13 *1567:8 *1578:8 0 +14 *1577:8 *1578:8 0 +*RES +1 *2820:la_oenb_core[14] *1578:5 47.6319 +2 *1578:5 *1578:7 4.5 +3 *1578:7 *1578:8 96.6698 +4 *1578:8 *2821:la_oenb[14] 39.2591 +*END + +*D_NET *1579 0.0216553 +*CONN +*I *2821:la_oenb[15] I *D user_project_wrapper +*I *2820:la_oenb_core[15] O *D mgmt_protect +*CAP +1 *2821:la_oenb[15] 0.00143376 +2 *2820:la_oenb_core[15] 0.00166759 +3 *1579:8 0.00228464 +4 *1579:7 0.00251847 +5 *2820:la_data_out_core[15] *1579:7 0 +6 *938:8 *1579:8 0.000242981 +7 *940:7 *1579:7 0 +8 *940:8 *1579:8 0.00713521 +9 *1183:12 *1579:8 0.00016955 +10 *1193:12 *1579:8 0.00597175 +11 *1195:12 *1579:8 0.000231325 +*RES +1 *2820:la_oenb_core[15] *1579:7 49.6404 +2 *1579:7 *1579:8 82.8047 +3 *1579:8 *2821:la_oenb[15] 41.7506 +*END + +*D_NET *1580 0.0180861 +*CONN +*I *2821:la_oenb[16] I *D user_project_wrapper +*I *2820:la_oenb_core[16] O *D mgmt_protect +*CAP +1 *2821:la_oenb[16] 0.00153997 +2 *2820:la_oenb_core[16] 0.00151411 +3 *1580:8 0.00241254 +4 *1580:7 0.00238669 +5 *1580:8 *1581:8 0.000113197 +6 *2820:la_data_out_core[16] *1580:7 0 +7 *941:7 *1580:7 0 +8 *941:10 *1580:8 1.26362e-05 +9 *942:8 *1580:8 0.000102856 +10 *1183:12 *1580:8 0.000461355 +11 *1196:8 *1580:8 0.00631551 +12 *1198:8 *1580:8 0.00322728 +*RES +1 *2820:la_oenb_core[16] *1580:7 46.7336 +2 *1580:7 *1580:8 70.0488 +3 *1580:8 *2821:la_oenb[16] 44.6573 +*END + +*D_NET *1581 0.0137161 +*CONN +*I *2821:la_oenb[17] I *D user_project_wrapper +*I *2820:la_oenb_core[17] O *D mgmt_protect +*CAP +1 *2821:la_oenb[17] 0.00158969 +2 *2820:la_oenb_core[17] 0.0011234 +3 *1581:8 0.00287623 +4 *1581:7 0.00240994 +5 *2820:la_data_out_core[17] *1581:7 0.000833131 +6 *927:5 *2821:la_oenb[17] 0 +7 *941:7 *1581:7 0 +8 *942:7 *1581:7 0 +9 *1196:8 *1581:8 0.00425301 +10 *1197:8 *1581:8 0 +11 *1198:8 *1581:8 0.000185432 +12 *1199:10 *1581:8 0.000332055 +13 *1580:8 *1581:8 0.000113197 +*RES +1 *2820:la_oenb_core[17] *1581:7 45.9031 +2 *1581:7 *1581:8 56.1838 +3 *1581:8 *2821:la_oenb[17] 45.4878 +*END + +*D_NET *1582 0.0144169 +*CONN +*I *2821:la_oenb[18] I *D user_project_wrapper +*I *2820:la_oenb_core[18] O *D mgmt_protect +*CAP +1 *2821:la_oenb[18] 0.00144154 +2 *2820:la_oenb_core[18] 0.00152969 +3 *1582:10 0.00184836 +4 *1582:7 0.00193651 +5 *2820:la_data_out_core[13] *2821:la_oenb[18] 0.000143017 +6 *2820:la_data_out_core[18] *1582:7 0 +7 *942:8 *1582:10 0.000293924 +8 *943:7 *1582:7 0 +9 *943:10 *1582:10 0.00340594 +10 *1198:8 *1582:10 0.00381791 +11 *1199:10 *1582:10 0 +12 *1576:5 *2821:la_oenb[18] 0 +13 *1577:5 *2821:la_oenb[18] 0 +*RES +1 *2820:la_oenb_core[18] *1582:7 47.5641 +2 *1582:7 *1582:10 47.9279 +3 *1582:10 *2821:la_oenb[18] 39.3268 +*END + +*D_NET *1583 0.0088738 +*CONN +*I *2821:la_oenb[19] I *D user_project_wrapper +*I *2820:la_oenb_core[19] O *D mgmt_protect +*CAP +1 *2821:la_oenb[19] 0.00103641 +2 *2820:la_oenb_core[19] 0.00173774 +3 *1583:10 0.00190327 +4 *1583:5 0.0026046 +5 *1583:10 *1585:10 0.000802118 +6 *2820:la_data_out_core[19] *1583:5 0 +7 *2820:la_data_out_core[20] *1583:5 0 +8 *2821:la_data_in[21] *1583:5 0.000475724 +9 *945:5 *1583:5 0 +10 *1201:10 *1583:10 0.000313928 +*RES +1 *2820:la_oenb_core[19] *1583:5 53.4454 +2 *1583:5 *1583:10 38.5628 +3 *1583:10 *2821:la_oenb[19] 28.9455 +*END + +*D_NET *1584 0.0578852 +*CONN +*I *2821:la_oenb[1] I *D user_project_wrapper +*I *2820:la_oenb_core[1] O *D mgmt_protect +*CAP +1 *2821:la_oenb[1] 0.00230698 +2 *2820:la_oenb_core[1] 0.00111883 +3 *1584:14 0.00244563 +4 *1584:8 0.0025698 +5 *1584:7 0.00354998 +6 *2821:la_oenb[1] *1795:13 8.32377e-05 +7 *2821:la_oenb[1] *1809:13 3.10924e-05 +8 *2821:la_oenb[1] *1941:13 0.000101133 +9 *2821:la_oenb[1] *2491:7 0 +10 *1584:8 *1595:8 0.0233552 +11 *1584:8 *1606:8 0.00143985 +12 *1584:8 *1816:8 0.000675827 +13 *1584:14 *1811:8 0.000113197 +14 *1584:14 *1816:8 0.000928457 +15 *1584:14 *2492:8 0.000589689 +16 *2820:la_data_out_core[1] *1584:7 0 +17 *944:5 *1584:7 0 +18 *955:5 *1584:7 0 +19 *1222:10 *1584:8 4.91225e-06 +20 *1222:12 *1584:8 8.47748e-05 +21 *1244:8 *1584:8 0.0180182 +22 *1545:8 *1584:8 0.000463711 +23 *1545:8 *1584:14 4.77168e-06 +*RES +1 *2820:la_oenb_core[1] *1584:7 35.1065 +2 *1584:7 *1584:8 260.001 +3 *1584:8 *1584:14 15.363 +4 *1584:14 *2821:la_oenb[1] 50.9539 +*END + +*D_NET *1585 0.00861724 +*CONN +*I *2821:la_oenb[20] I *D user_project_wrapper +*I *2820:la_oenb_core[20] O *D mgmt_protect +*CAP +1 *2821:la_oenb[20] 0.00102864 +2 *2820:la_oenb_core[20] 0.00191182 +3 *1585:10 0.00133125 +4 *1585:5 0.00221442 +5 *2820:la_data_out_core[20] *1585:5 0 +6 *2820:la_data_out_core[21] *1585:5 0 +7 *946:7 *1585:5 0 +8 *1201:10 *1585:10 0.00132899 +9 *1202:7 *1585:5 0 +10 *1583:10 *1585:10 0.000802118 +*RES +1 *2820:la_oenb_core[20] *1585:5 53.8607 +2 *1585:5 *1585:10 25.8069 +3 *1585:10 *2821:la_oenb[20] 28.5303 +*END + +*D_NET *1586 0.00609634 +*CONN +*I *2821:la_oenb[21] I *D user_project_wrapper +*I *2820:la_oenb_core[21] O *D mgmt_protect +*CAP +1 *2821:la_oenb[21] 0.000136455 +2 *2820:la_oenb_core[21] 0.00291172 +3 *1586:5 0.00304817 +4 *2820:la_data_out_core[21] *1586:5 0 +5 *947:5 *1586:5 0 +*RES +1 *2820:la_oenb_core[21] *1586:5 82.3054 +2 *1586:5 *2821:la_oenb[21] 2.89455 +*END + +*D_NET *1587 0.00764458 +*CONN +*I *2821:la_oenb[22] I *D user_project_wrapper +*I *2820:la_oenb_core[22] O *D mgmt_protect +*CAP +1 *2821:la_oenb[22] 0.00161284 +2 *2820:la_oenb_core[22] 0.00131258 +3 *1587:10 0.00175358 +4 *1587:7 0.00145332 +5 *2820:la_data_out_core[22] *1587:7 0 +6 *2820:la_data_out_core[22] *1587:10 0.00033061 +7 *2820:la_data_out_core[23] *1587:7 0 +8 *948:7 *1587:7 0 +9 *948:10 *1587:10 0.000695917 +10 *1204:10 *1587:10 0.00048572 +*RES +1 *2820:la_oenb_core[22] *1587:7 41.7506 +2 *1587:7 *1587:10 14.6517 +3 *1587:10 *2821:la_oenb[22] 45.1404 +*END + +*D_NET *1588 0.010206 +*CONN +*I *2821:la_oenb[23] I *D user_project_wrapper +*I *2820:la_oenb_core[23] O *D mgmt_protect +*CAP +1 *2821:la_oenb[23] 0.00165572 +2 *2820:la_oenb_core[23] 0.00128337 +3 *1588:10 0.00191535 +4 *1588:7 0.00154301 +5 *1588:10 *1589:10 0.00148608 +6 *2820:la_data_out_core[23] *1588:7 0 +7 *2820:la_data_out_core[24] *1588:7 0 +8 *948:10 *1588:10 0.000908347 +9 *949:7 *1588:7 0 +10 *949:10 *1588:10 0.000128915 +11 *950:10 *1588:10 0.00122278 +12 *1205:10 *1588:10 6.24655e-05 +*RES +1 *2820:la_oenb_core[23] *1588:7 40.9201 +2 *1588:7 *1588:10 28.5167 +3 *1588:10 *2821:la_oenb[23] 45.9709 +*END + +*D_NET *1589 0.0121638 +*CONN +*I *2821:la_oenb[24] I *D user_project_wrapper +*I *2820:la_oenb_core[24] O *D mgmt_protect +*CAP +1 *2821:la_oenb[24] 0.00166854 +2 *2820:la_oenb_core[24] 0.00127558 +3 *1589:10 0.00199539 +4 *1589:7 0.00160243 +5 *2821:la_oenb[24] *1593:7 0 +6 *2820:la_data_out_core[24] *1589:7 0 +7 *2820:la_data_out_core[29] *2821:la_oenb[24] 2.95757e-05 +8 *949:10 *1589:10 0.000298839 +9 *950:7 *1589:7 0 +10 *950:10 *1589:10 0.000892567 +11 *1206:8 *1589:10 0.00285399 +12 *1210:14 *1589:10 6.08467e-05 +13 *1588:10 *1589:10 0.00148608 +*RES +1 *2820:la_oenb_core[24] *1589:7 40.5048 +2 *1589:7 *1589:10 41.8272 +3 *1589:10 *2821:la_oenb[24] 46.3861 +*END + +*D_NET *1590 0.0145278 +*CONN +*I *2821:la_oenb[25] I *D user_project_wrapper +*I *2820:la_oenb_core[25] O *D mgmt_protect +*CAP +1 *2821:la_oenb[25] 0.00172953 +2 *2820:la_oenb_core[25] 0.000748641 +3 *1590:10 0.00172953 +4 *1590:8 0.000818285 +5 *1590:7 0.00156693 +6 *1590:8 *1594:10 0.0017474 +7 *2820:la_data_out_core[25] *1590:7 0.0021802 +8 *949:10 *1590:8 0.00143942 +9 *951:7 *1590:7 0 +10 *952:11 *1590:8 0 +11 *954:13 *2821:la_oenb[25] 1.09738e-05 +12 *954:13 *1590:8 0.000315442 +13 *956:8 *1590:8 0.00148607 +14 *1206:8 *1590:8 0.000723529 +15 *1209:16 *2821:la_oenb[25] 0 +16 *1209:16 *1590:8 3.18408e-05 +*RES +1 *2820:la_oenb_core[25] *1590:7 39.2591 +2 *1590:7 *1590:8 50.6377 +3 *1590:8 *1590:10 4.5 +4 *1590:10 *2821:la_oenb[25] 47.6319 +*END + +*D_NET *1591 0.0156357 +*CONN +*I *2821:la_oenb[26] I *D user_project_wrapper +*I *2820:la_oenb_core[26] O *D mgmt_protect +*CAP +1 *2821:la_oenb[26] 0.00198707 +2 *2820:la_oenb_core[26] 0.000845215 +3 *1591:10 0.00198707 +4 *1591:8 0.00117734 +5 *1591:7 0.00202255 +6 *2821:la_oenb[26] *1600:7 0.000978209 +7 *2820:la_data_out_core[26] *1591:7 0 +8 *2820:la_data_out_core[34] *2821:la_oenb[26] 0 +9 *2820:la_data_out_core[35] *2821:la_oenb[26] 0 +10 *952:7 *1591:7 0 +11 *961:7 *2821:la_oenb[26] 0 +12 *1207:8 *1591:8 0.00530648 +13 *1208:8 *1591:8 0.00133181 +*RES +1 *2820:la_oenb_core[26] *1591:7 28.4625 +2 *1591:7 *1591:8 63.9482 +3 *1591:8 *1591:10 4.5 +4 *1591:10 *2821:la_oenb[26] 58.4284 +*END + +*D_NET *1592 0.0177531 +*CONN +*I *2821:la_oenb[27] I *D user_project_wrapper +*I *2820:la_oenb_core[27] O *D mgmt_protect +*CAP +1 *2821:la_oenb[27] 0.00185077 +2 *2820:la_oenb_core[27] 0.000559306 +3 *1592:10 0.00185077 +4 *1592:8 0.00199282 +5 *1592:7 0.00255213 +6 *2820:la_data_out_core[27] *1592:7 0.00068169 +7 *2820:la_data_out_core[37] *2821:la_oenb[27] 0.00135933 +8 *953:7 *1592:7 0 +9 *963:7 *2821:la_oenb[27] 0.000363864 +10 *1208:8 *1592:8 0.00654239 +*RES +1 *2820:la_oenb_core[27] *1592:7 26.8015 +2 *1592:7 *1592:8 77.2587 +3 *1592:8 *1592:10 4.5 +4 *1592:10 *2821:la_oenb[27] 60.0894 +*END + +*D_NET *1593 0.0236258 +*CONN +*I *2821:la_oenb[28] I *D user_project_wrapper +*I *2820:la_oenb_core[28] O *D mgmt_protect +*CAP +1 *2821:la_oenb[28] 0.00164159 +2 *2820:la_oenb_core[28] 0.00139001 +3 *1593:14 0.00164159 +4 *1593:12 0.000736849 +5 *1593:10 0.000955061 +6 *1593:7 0.00160823 +7 *2821:la_oenb[28] *1605:5 0.000311479 +8 *2820:la_data_out_core[28] *1593:7 0 +9 *2820:la_data_out_core[29] *1593:7 0 +10 *2820:la_data_out_core[40] *2821:la_oenb[28] 0 +11 *2821:la_oenb[24] *1593:7 0 +12 *950:10 *1593:10 0.00111656 +13 *951:8 *1593:10 0.00211693 +14 *951:8 *1593:12 4.89898e-06 +15 *953:14 *1593:12 0.00493469 +16 *954:7 *1593:7 0 +17 *1209:8 *1593:12 0.000315426 +18 *1210:8 *1593:10 7.02172e-06 +19 *1210:8 *1593:12 0.00638077 +20 *1210:14 *1593:10 0.000464685 +*RES +1 *2820:la_oenb_core[28] *1593:7 41.7506 +2 *1593:7 *1593:10 23.7515 +3 *1593:10 *1593:12 68.385 +4 *1593:12 *1593:14 4.5 +5 *1593:14 *2821:la_oenb[28] 46.3861 +*END + +*D_NET *1594 0.0266211 +*CONN +*I *2821:la_oenb[29] I *D user_project_wrapper +*I *2820:la_oenb_core[29] O *D mgmt_protect +*CAP +1 *2821:la_oenb[29] 0.00173143 +2 *2820:la_oenb_core[29] 0.00130092 +3 *1594:14 0.00173143 +4 *1594:12 0.000852218 +5 *1594:10 0.00102278 +6 *1594:7 0.00147148 +7 *2821:la_oenb[29] *1609:7 3.98472e-05 +8 *2820:la_data_out_core[29] *1594:7 0 +9 *2820:la_data_out_core[42] *2821:la_oenb[29] 0.000266589 +10 *954:14 *1594:12 0.00659546 +11 *956:7 *1594:7 0 +12 *956:8 *1594:10 1.67988e-05 +13 *956:8 *1594:12 0.00794823 +14 *968:8 *1594:12 0 +15 *970:7 *2821:la_oenb[29] 0 +16 *1206:8 *1594:10 0.00107411 +17 *1210:8 *1594:10 0.000474783 +18 *1210:8 *1594:12 0.000281315 +19 *1210:14 *1594:10 6.63455e-05 +20 *1590:8 *1594:10 0.0017474 +*RES +1 *2820:la_oenb_core[29] *1594:7 39.6743 +2 *1594:7 *1594:10 19.604 +3 *1594:10 *1594:12 85.0231 +4 *1594:12 *1594:14 4.5 +5 *1594:14 *2821:la_oenb[29] 47.6319 +*END + +*D_NET *1595 0.0579183 +*CONN +*I *2821:la_oenb[2] I *D user_project_wrapper +*I *2820:la_oenb_core[2] O *D mgmt_protect +*CAP +1 *2821:la_oenb[2] 0.00238244 +2 *2820:la_oenb_core[2] 0.00109399 +3 *1595:10 0.00238244 +4 *1595:8 0.00222494 +5 *1595:7 0.00331893 +6 *2821:la_oenb[2] *1814:7 4.94034e-05 +7 *2821:la_oenb[2] *1884:13 0 +8 *2821:la_oenb[2] *2493:5 0 +9 *2820:la_data_out_core[2] *1595:7 0 +10 *966:7 *1595:7 0 +11 *977:10 *1595:8 0 +12 *1200:12 *1595:8 0.000226394 +13 *1244:8 *1595:8 0.000315442 +14 *1545:8 *1595:8 0.0225692 +15 *1584:8 *1595:8 0.0233552 +*RES +1 *2820:la_oenb_core[2] *1595:7 34.6913 +2 *1595:7 *1595:8 256.396 +3 *1595:8 *1595:10 4.5 +4 *1595:10 *2821:la_oenb[2] 52.1996 +*END + +*D_NET *1596 0.0260844 +*CONN +*I *2821:la_oenb[30] I *D user_project_wrapper +*I *2820:la_oenb_core[30] O *D mgmt_protect +*CAP +1 *2821:la_oenb[30] 0.00178903 +2 *2820:la_oenb_core[30] 0.00123472 +3 *1596:10 0.00178903 +4 *1596:8 0.00134855 +5 *1596:7 0.00258327 +6 *2821:la_oenb[30] *1611:7 0 +7 *1596:8 *1597:8 0.000306383 +8 *1596:8 *1609:8 0 +9 *1596:8 *1611:8 7.58748e-05 +10 *2820:la_data_out_core[30] *1596:7 0 +11 *2820:la_data_out_core[31] *1596:7 0 +12 *2820:la_data_out_core[45] *2821:la_oenb[30] 0.000416855 +13 *952:16 *1596:8 0.002516 +14 *954:13 *1596:8 0.00106121 +15 *956:7 *1596:7 0 +16 *956:8 *1596:8 0.00263427 +17 *957:7 *1596:7 0 +18 *971:8 *1596:8 3.18408e-05 +19 *1212:8 *1596:8 0.0102974 +*RES +1 *2820:la_oenb_core[30] *1596:7 38.0133 +2 *1596:7 *1596:8 117.19 +3 *1596:8 *1596:10 4.5 +4 *1596:10 *2821:la_oenb[30] 48.8776 +*END + +*D_NET *1597 0.0314902 +*CONN +*I *2821:la_oenb[31] I *D user_project_wrapper +*I *2820:la_oenb_core[31] O *D mgmt_protect +*CAP +1 *2821:la_oenb[31] 0.00179313 +2 *2820:la_oenb_core[31] 0.00117912 +3 *1597:10 0.00179313 +4 *1597:8 0.00146725 +5 *1597:7 0.00264636 +6 *2821:la_oenb[31] *1614:7 0.000881199 +7 *1597:8 *1611:8 0 +8 *2820:la_data_out_core[31] *1597:7 0 +9 *2820:la_data_out_core[32] *1597:7 0 +10 *2820:la_data_out_core[47] *2821:la_oenb[31] 0 +11 *2820:la_data_out_core[48] *2821:la_oenb[31] 0 +12 *953:13 *1597:7 9.60366e-05 +13 *958:7 *1597:7 0 +14 *1212:8 *1597:8 0.00957103 +15 *1213:8 *1597:8 0.0115993 +16 *1214:8 *1597:8 0.000157173 +17 *1596:8 *1597:8 0.000306383 +*RES +1 *2820:la_oenb_core[31] *1597:7 37.1828 +2 *1597:7 *1597:8 130.501 +3 *1597:8 *1597:10 4.5 +4 *1597:10 *2821:la_oenb[31] 49.7081 +*END + +*D_NET *1598 0.0350467 +*CONN +*I *2821:la_oenb[32] I *D user_project_wrapper +*I *2820:la_oenb_core[32] O *D mgmt_protect +*CAP +1 *2821:la_oenb[32] 0.00206935 +2 *2820:la_oenb_core[32] 0.00109158 +3 *1598:10 0.00206935 +4 *1598:8 0.00131713 +5 *1598:7 0.0024087 +6 *2821:la_oenb[32] *1616:7 0 +7 *2821:la_oenb[32] *1618:7 9.83923e-05 +8 *1598:8 *1599:8 0.000105636 +9 *2820:la_data_out_core[32] *1598:7 0 +10 *2820:la_data_out_core[50] *2821:la_oenb[32] 7.28919e-05 +11 *958:8 *1598:8 0.000113197 +12 *959:7 *1598:7 0 +13 *959:8 *1598:8 0.0132134 +14 *960:8 *1598:8 0.012487 +15 *1227:13 *2821:la_oenb[32] 0 +*RES +1 *2820:la_oenb_core[32] *1598:7 34.6913 +2 *1598:7 *1598:8 143.811 +3 *1598:8 *1598:10 4.5 +4 *1598:10 *2821:la_oenb[32] 52.1996 +*END + +*D_NET *1599 0.0355383 +*CONN +*I *2821:la_oenb[33] I *D user_project_wrapper +*I *2820:la_oenb_core[33] O *D mgmt_protect +*CAP +1 *2821:la_oenb[33] 0.00216639 +2 *2820:la_oenb_core[33] 0.000736145 +3 *1599:10 0.00216639 +4 *1599:8 0.0020952 +5 *1599:7 0.00283134 +6 *2820:la_data_out_core[33] *1599:7 0.000612669 +7 *2820:la_data_out_core[53] *2821:la_oenb[33] 5.82975e-05 +8 *960:7 *1599:7 0 +9 *960:8 *1599:8 0.0144687 +10 *967:8 *1599:8 0.00998149 +11 *1207:5 *1599:7 0.000316096 +12 *1598:8 *1599:8 0.000105636 +*RES +1 *2820:la_oenb_core[33] *1599:7 33.8608 +2 *1599:7 *1599:8 157.122 +3 *1599:8 *1599:10 4.5 +4 *1599:10 *2821:la_oenb[33] 53.0301 +*END + +*D_NET *1600 0.0309826 +*CONN +*I *2821:la_oenb[34] I *D user_project_wrapper +*I *2820:la_oenb_core[34] O *D mgmt_protect +*CAP +1 *2821:la_oenb[34] 0.00164371 +2 *2820:la_oenb_core[34] 0.00136112 +3 *1600:8 0.00572458 +4 *1600:7 0.00544199 +5 *2821:la_oenb[34] *1623:7 0.000115511 +6 *2820:la_data_out_core[34] *1600:7 0 +7 *2821:la_oenb[26] *1600:7 0.000978209 +8 *961:7 *1600:7 0 +9 *961:8 *1600:8 0.0157175 +10 *968:8 *1600:8 0 +11 *1209:8 *1600:8 0 +12 *1231:14 *1600:8 0 +*RES +1 *2820:la_oenb_core[34] *1600:7 45.4878 +2 *1600:7 *1600:8 170.432 +3 *1600:8 *2821:la_oenb[34] 45.9031 +*END + +*D_NET *1601 0.0433418 +*CONN +*I *2821:la_oenb[35] I *D user_project_wrapper +*I *2820:la_oenb_core[35] O *D mgmt_protect +*CAP +1 *2821:la_oenb[35] 0.00160742 +2 *2820:la_oenb_core[35] 0.00160306 +3 *1601:8 0.00315696 +4 *1601:7 0.0031526 +5 *2820:la_data_out_core[35] *1601:7 0 +6 *2821:la_data_in[27] *1601:7 0.000141757 +7 *962:7 *1601:7 0 +8 *962:8 *1601:8 0.000313928 +9 *1208:5 *1601:7 0 +10 *1216:8 *1601:8 0 +11 *1217:8 *1601:8 0.0166267 +12 *1218:8 *1601:8 0.0167394 +*RES +1 *2820:la_oenb_core[35] *1601:7 47.1488 +2 *1601:7 *1601:8 184.297 +3 *1601:8 *2821:la_oenb[35] 44.2421 +*END + +*D_NET *1602 0.0459115 +*CONN +*I *2821:la_oenb[36] I *D user_project_wrapper +*I *2820:la_oenb_core[36] O *D mgmt_protect +*CAP +1 *2821:la_oenb[36] 0.0013983 +2 *2820:la_oenb_core[36] 0.00171597 +3 *1602:8 0.00302455 +4 *1602:7 0.00334221 +5 *1602:8 *1603:8 0.0177993 +6 *2820:la_data_out_core[36] *1602:7 0 +7 *963:7 *1602:7 0 +8 *963:8 *1602:8 0.000315426 +9 *964:8 *1602:8 0.017536 +10 *990:5 *2821:la_oenb[36] 0.000471869 +11 *1208:5 *1602:7 0 +12 *1216:8 *1602:8 0 +13 *1218:8 *1602:8 0.000307881 +*RES +1 *2820:la_oenb_core[36] *1602:7 48.3946 +2 *1602:7 *1602:8 197.053 +3 *1602:8 *2821:la_oenb[36] 42.9963 +*END + +*D_NET *1603 0.0466081 +*CONN +*I *2821:la_oenb[37] I *D user_project_wrapper +*I *2820:la_oenb_core[37] O *D mgmt_protect +*CAP +1 *2821:la_oenb[37] 0.00158496 +2 *2820:la_oenb_core[37] 0.00169555 +3 *1603:8 0.00368971 +4 *1603:7 0.00380031 +5 *2821:la_oenb[37] *1630:13 0 +6 *2820:la_data_out_core[37] *1603:7 0 +7 *964:7 *1603:7 0 +8 *964:8 *1603:8 0.000914219 +9 *993:11 *2821:la_oenb[37] 0 +10 *1218:8 *1603:8 0.017124 +11 *1602:8 *1603:8 0.0177993 +*RES +1 *2820:la_oenb_core[37] *1603:7 47.9793 +2 *1603:7 *1603:8 210.918 +3 *1603:8 *2821:la_oenb[37] 43.4116 +*END + +*D_NET *1604 0.0500643 +*CONN +*I *2821:la_oenb[38] I *D user_project_wrapper +*I *2820:la_oenb_core[38] O *D mgmt_protect +*CAP +1 *2821:la_oenb[38] 0.00152082 +2 *2820:la_oenb_core[38] 0.00177445 +3 *1604:8 0.00353217 +4 *1604:7 0.00378579 +5 *2821:la_oenb[38] *1627:13 7.14746e-05 +6 *2820:la_data_out_core[38] *1604:7 0 +7 *963:8 *1604:8 0.0177082 +8 *964:8 *1604:8 0.000899129 +9 *965:7 *1604:7 0 +10 *995:5 *2821:la_oenb[38] 0 +11 *996:5 *2821:la_oenb[38] 0 +12 *1209:5 *1604:7 0 +13 *1219:8 *1604:8 0.000194684 +14 *1221:8 *1604:8 0.0205776 +*RES +1 *2820:la_oenb_core[38] *1604:7 49.6404 +2 *1604:7 *1604:8 223.674 +3 *1604:8 *2821:la_oenb[38] 41.7506 +*END + +*D_NET *1605 0.0388601 +*CONN +*I *2821:la_oenb[39] I *D user_project_wrapper +*I *2820:la_oenb_core[39] O *D mgmt_protect +*CAP +1 *2821:la_oenb[39] 0.00104296 +2 *2820:la_oenb_core[39] 0.00200359 +3 *1605:8 0.00637098 +4 *1605:7 0.00532802 +5 *1605:5 0.00200359 +6 *2820:la_data_out_core[39] *1605:5 0 +7 *2820:la_data_out_core[40] *1605:5 0 +8 *2820:la_data_out_core[69] *2821:la_oenb[39] 9.31064e-05 +9 *2821:la_oenb[28] *1605:5 0.000311479 +10 *965:7 *1605:5 0 +11 *965:10 *1605:8 0.0210396 +12 *967:7 *1605:5 0 +13 *992:8 *1605:8 0 +14 *997:8 *1605:8 5.40294e-05 +15 *1209:5 *1605:5 0 +16 *1223:8 *1605:8 0.000612767 +*RES +1 *2820:la_oenb_core[39] *1605:5 54.2759 +2 *1605:5 *1605:7 4.5 +3 *1605:7 *1605:8 236.985 +4 *1605:8 *2821:la_oenb[39] 32.615 +*END + +*D_NET *1606 0.0546157 +*CONN +*I *2821:la_oenb[3] I *D user_project_wrapper +*I *2820:la_oenb_core[3] O *D mgmt_protect +*CAP +1 *2821:la_oenb[3] 0.00225202 +2 *2820:la_oenb_core[3] 0.00114463 +3 *1606:10 0.00225202 +4 *1606:8 0.0020218 +5 *1606:7 0.00316643 +6 *2821:la_oenb[3] *1816:7 0 +7 *2821:la_oenb[3] *1817:5 0 +8 *2821:la_oenb[3] *1948:7 3.99645e-05 +9 *1606:8 *1617:8 0.000113197 +10 *2820:la_data_out_core[3] *1606:7 0 +11 *2820:la_data_out_core[4] *1606:7 0 +12 *944:13 *2821:la_oenb[3] 8.59499e-05 +13 *977:7 *1606:7 0 +14 *1222:12 *1606:8 0.0225714 +15 *1244:8 *1606:8 0.0195283 +16 *1584:8 *1606:8 0.00143985 +*RES +1 *2820:la_oenb_core[3] *1606:7 35.937 +2 *1606:7 *1606:8 243.085 +3 *1606:8 *1606:10 4.5 +4 *1606:10 *2821:la_oenb[3] 50.9539 +*END + +*D_NET *1607 0.0530318 +*CONN +*I *2821:la_oenb[40] I *D user_project_wrapper +*I *2820:la_oenb_core[40] O *D mgmt_protect +*CAP +1 *2821:la_oenb[40] 0.00114659 +2 *2820:la_oenb_core[40] 0.001927 +3 *1607:8 0.00365739 +4 *1607:7 0.0025108 +5 *1607:5 0.001927 +6 *1607:8 *1610:14 0.0173585 +7 *2820:la_data_out_core[40] *1607:5 0 +8 *2821:la_data_in[29] *1607:5 0.000460471 +9 *968:7 *1607:5 0 +10 *997:8 *1607:8 0 +11 *1002:5 *2821:la_oenb[40] 0 +12 *1223:8 *1607:8 0.022983 +13 *1224:8 *1607:8 0 +14 *1225:8 *1607:8 0.000548898 +15 *1229:8 *1607:8 0.000512155 +*RES +1 *2820:la_oenb_core[40] *1607:5 53.0301 +2 *1607:5 *1607:7 4.5 +3 *1607:7 *1607:8 250.295 +4 *1607:8 *2821:la_oenb[40] 33.8608 +*END + +*D_NET *1608 0.0554966 +*CONN +*I *2821:la_oenb[41] I *D user_project_wrapper +*I *2820:la_oenb_core[41] O *D mgmt_protect +*CAP +1 *2821:la_oenb[41] 0.00136093 +2 *2820:la_oenb_core[41] 4.25268e-05 +3 *1608:10 0.00403576 +4 *1608:9 0.00267483 +5 *1608:7 0.00138518 +6 *1608:5 0.00142771 +7 *1608:10 *1613:8 0.000226394 +8 *1608:10 *1614:10 0.0202874 +9 *2820:la_data_out_core[41] *1608:7 0.000903022 +10 *969:7 *1608:7 0 +11 *1210:5 *1608:7 0.000750405 +12 *1215:8 *1608:10 0.000823167 +13 *1224:8 *1608:10 0.000977474 +14 *1230:8 *1608:10 0.0206018 +*RES +1 *2820:la_oenb_core[41] *1608:5 1.20912 +2 *1608:5 *1608:7 48.9631 +3 *1608:7 *1608:9 4.5 +4 *1608:9 *1608:10 264.715 +5 *1608:10 *2821:la_oenb[41] 38.0133 +*END + +*D_NET *1609 0.0610659 +*CONN +*I *2821:la_oenb[42] I *D user_project_wrapper +*I *2820:la_oenb_core[42] O *D mgmt_protect +*CAP +1 *2821:la_oenb[42] 0.00182086 +2 *2820:la_oenb_core[42] 0.00135427 +3 *1609:10 0.00182086 +4 *1609:8 0.00234833 +5 *1609:7 0.0037026 +6 *2821:la_oenb[42] *1646:5 0 +7 *2820:la_data_out_core[42] *1609:7 0 +8 *2821:la_oenb[29] *1609:7 3.98472e-05 +9 *956:8 *1609:8 9.39635e-05 +10 *968:8 *1609:8 6.44576e-05 +11 *970:7 *1609:7 0 +12 *970:8 *1609:8 0.000113197 +13 *971:8 *1609:8 0.0251233 +14 *1007:7 *2821:la_oenb[42] 0.000368764 +15 *1226:14 *1609:8 0.0239147 +16 *1231:14 *1609:8 0.00030075 +17 *1596:8 *1609:8 0 +*RES +1 *2820:la_oenb_core[42] *1609:7 40.5048 +2 *1609:7 *1609:8 276.916 +3 *1609:8 *1609:10 4.5 +4 *1609:10 *2821:la_oenb[42] 46.3861 +*END + +*D_NET *1610 0.0589836 +*CONN +*I *2821:la_oenb[43] I *D user_project_wrapper +*I *2820:la_oenb_core[43] O *D mgmt_protect +*CAP +1 *2821:la_oenb[43] 0.00121586 +2 *2820:la_oenb_core[43] 0.000786795 +3 *1610:14 0.00383214 +4 *1610:13 0.00383979 +5 *1610:10 0.00224697 +6 *1610:7 0.00181024 +7 *1610:10 *1615:8 0.000224395 +8 *2820:la_data_out_core[43] *1610:7 0 +9 *2820:la_data_out_core[48] *1610:13 0 +10 *2820:la_data_out_core[49] *1610:13 0 +11 *2821:la_data_in[32] *1610:13 0.000312343 +12 *971:7 *1610:7 0 +13 *997:8 *1610:14 0 +14 *1009:5 *2821:la_oenb[43] 0 +15 *1214:5 *1610:13 0 +16 *1227:8 *1610:14 0.0217293 +17 *1227:14 *1610:10 0.0035144 +18 *1229:8 *1610:14 0.000750112 +19 *1231:8 *1610:14 0.00136276 +20 *1607:8 *1610:14 0.0173585 +*RES +1 *2820:la_oenb_core[43] *1610:7 26.8015 +2 *1610:7 *1610:10 46.8187 +3 *1610:10 *1610:13 34.9844 +4 *1610:13 *1610:14 248.077 +5 *1610:14 *2821:la_oenb[43] 34.276 +*END + +*D_NET *1611 0.0528639 +*CONN +*I *2821:la_oenb[44] I *D user_project_wrapper +*I *2820:la_oenb_core[44] O *D mgmt_protect +*CAP +1 *2821:la_oenb[44] 0.00202892 +2 *2820:la_oenb_core[44] 0.00133756 +3 *1611:10 0.00202892 +4 *1611:8 0.00370884 +5 *1611:7 0.0050464 +6 *2821:la_oenb[44] *1653:7 0 +7 *1611:8 *1652:8 3.18408e-05 +8 *2820:la_data_out_core[44] *1611:7 0 +9 *2820:la_data_out_core[45] *1611:7 0 +10 *2820:la_data_out_core[82] *2821:la_oenb[44] 0.000107729 +11 *2821:la_oenb[30] *1611:7 0 +12 *971:7 *1611:7 0 +13 *971:8 *1611:8 0.0273215 +14 *972:7 *1611:7 0 +15 *1013:5 *2821:la_oenb[44] 0 +16 *1014:5 *2821:la_oenb[44] 0 +17 *1212:5 *1611:7 0 +18 *1214:8 *1611:8 0 +19 *1232:8 *1611:8 0.0111711 +20 *1267:8 *1611:8 0 +21 *1269:8 *1611:8 5.20546e-06 +22 *1596:8 *1611:8 7.58748e-05 +23 *1597:8 *1611:8 0 +*RES +1 *2820:la_oenb_core[44] *1611:7 39.6743 +2 *1611:7 *1611:8 304.092 +3 *1611:8 *1611:10 4.5 +4 *1611:10 *2821:la_oenb[44] 47.2166 +*END + +*D_NET *1612 0.0697159 +*CONN +*I *2821:la_oenb[45] I *D user_project_wrapper +*I *2820:la_oenb_core[45] O *D mgmt_protect +*CAP +1 *2821:la_oenb[45] 0.00132303 +2 *2820:la_oenb_core[45] 2.27669e-05 +3 *1612:10 0.00367714 +4 *1612:9 0.00235411 +5 *1612:7 0.001887 +6 *1612:5 0.00190976 +7 *1612:10 *1613:8 0.00107821 +8 *1612:10 *1646:8 0.00634127 +9 *1612:10 *1648:8 0.000183207 +10 *1612:10 *1655:8 0.00027329 +11 *2820:la_data_out_core[45] *1612:7 0 +12 *2820:la_data_out_core[46] *1612:7 0 +13 *2821:la_data_in[31] *1612:7 0 +14 *973:7 *1612:7 0.000372253 +15 *1224:8 *1612:10 0.0211136 +16 *1228:8 *1612:10 0.0291803 +*RES +1 *2820:la_oenb_core[45] *1612:5 0.647305 +2 *1612:5 *1612:7 50.6241 +3 *1612:7 *1612:9 4.5 +4 *1612:9 *1612:10 316.293 +5 *1612:10 *2821:la_oenb[45] 36.3523 +*END + +*D_NET *1613 0.0725212 +*CONN +*I *2821:la_oenb[46] I *D user_project_wrapper +*I *2820:la_oenb_core[46] O *D mgmt_protect +*CAP +1 *2821:la_oenb[46] 0.00137954 +2 *2820:la_oenb_core[46] 0.00200334 +3 *1613:8 0.00384628 +4 *1613:7 0.00246674 +5 *1613:5 0.00200334 +6 *1613:8 *1646:8 0.00837601 +7 *2820:la_data_out_core[46] *1613:5 0 +8 *2820:la_data_out_core[47] *1613:5 0 +9 *974:7 *1613:5 0 +10 *1018:5 *2821:la_oenb[46] 0 +11 *1213:5 *1613:5 0 +12 *1224:8 *1613:8 0.0204403 +13 *1230:8 *1613:8 0.0307011 +14 *1608:10 *1613:8 0.000226394 +15 *1612:10 *1613:8 0.00107821 +*RES +1 *2820:la_oenb_core[46] *1613:5 49.7081 +2 *1613:5 *1613:7 4.5 +3 *1613:7 *1613:8 330.713 +4 *1613:8 *2821:la_oenb[46] 37.1828 +*END + +*D_NET *1614 0.0755876 +*CONN +*I *2821:la_oenb[47] I *D user_project_wrapper +*I *2820:la_oenb_core[47] O *D mgmt_protect +*CAP +1 *2821:la_oenb[47] 0.00145068 +2 *2820:la_oenb_core[47] 0.00176695 +3 *1614:10 0.00408236 +4 *1614:9 0.00263168 +5 *1614:7 0.00176695 +6 *2821:la_oenb[47] *1662:5 0 +7 *1614:10 *1616:10 0.0307011 +8 *2820:la_data_out_core[47] *1614:7 0 +9 *2820:la_data_out_core[48] *1614:7 0 +10 *2821:la_oenb[31] *1614:7 0.000881199 +11 *975:7 *1614:7 0 +12 *1023:5 *2821:la_oenb[47] 0 +13 *1215:8 *1614:10 0.000421178 +14 *1230:8 *1614:10 0.000392997 +15 *1261:8 *1614:10 0.0112051 +16 *1278:17 *2821:la_oenb[47] 0 +17 *1608:10 *1614:10 0.0202874 +*RES +1 *2820:la_oenb_core[47] *1614:7 49.1952 +2 *1614:7 *1614:9 4.5 +3 *1614:9 *1614:10 342.914 +4 *1614:10 *2821:la_oenb[47] 38.4285 +*END + +*D_NET *1615 0.04801 +*CONN +*I *2821:la_oenb[48] I *D user_project_wrapper +*I *2820:la_oenb_core[48] O *D mgmt_protect +*CAP +1 *2821:la_oenb[48] 0.00266213 +2 *2820:la_oenb_core[48] 0.000799494 +3 *1615:10 0.00266213 +4 *1615:8 0.00902297 +5 *1615:7 0.00982246 +6 *2821:la_oenb[48] *1664:7 0 +7 *1615:8 *1622:8 0 +8 *1615:8 *1622:12 0.00141569 +9 *1615:8 *1625:8 0.000274449 +10 *1615:8 *1627:8 0.00161493 +11 *1615:8 *1630:10 0.00142653 +12 *1615:8 *1633:11 0.000512757 +13 *1615:8 *1633:14 0.000565437 +14 *2820:la_data_out_core[48] *1615:7 0 +15 *2820:la_data_out_core[49] *1615:7 0 +16 *972:8 *1615:8 0 +17 *976:7 *1615:7 0 +18 *1022:8 *1615:8 0.000572433 +19 *1025:7 *2821:la_oenb[48] 0.000550387 +20 *1227:14 *1615:8 0.000742567 +21 *1241:14 *1615:8 0.0101294 +22 *1241:18 *1615:8 0.000170421 +23 *1251:16 *1615:8 0.00271134 +24 *1265:16 *1615:8 0.00128191 +25 *1275:24 *1615:8 0.000293712 +26 *1279:14 *1615:8 0.000554429 +27 *1280:11 *2821:la_oenb[48] 0 +28 *1610:10 *1615:8 0.000224395 +*RES +1 *2820:la_oenb_core[48] *1615:7 26.3862 +2 *1615:7 *1615:8 357.333 +3 *1615:8 *1615:10 4.5 +4 *1615:10 *2821:la_oenb[48] 60.5047 +*END + +*D_NET *1616 0.079628 +*CONN +*I *2821:la_oenb[49] I *D user_project_wrapper +*I *2820:la_oenb_core[49] O *D mgmt_protect +*CAP +1 *2821:la_oenb[49] 0.00149942 +2 *2820:la_oenb_core[49] 8.20467e-05 +3 *1616:10 0.00432649 +4 *1616:9 0.00282706 +5 *1616:7 0.00173678 +6 *1616:5 0.00181883 +7 *1616:10 *1619:10 0.0332051 +8 *2820:la_data_out_core[49] *1616:7 0 +9 *2820:la_data_out_core[50] *1616:7 0 +10 *2820:la_data_out_core[96] *2821:la_oenb[49] 0 +11 *2821:la_oenb[32] *1616:7 0 +12 *978:7 *1616:7 0.000982087 +13 *1027:5 *2821:la_oenb[49] 0 +14 *1214:5 *1616:7 0 +15 *1215:8 *1616:10 0.000670122 +16 *1261:8 *1616:10 0.00177903 +17 *1614:10 *1616:10 0.0307011 +*RES +1 *2820:la_oenb_core[49] *1616:5 2.33274 +2 *1616:5 *1616:7 48.1326 +3 *1616:7 *1616:9 4.5 +4 *1616:9 *1616:10 367.871 +5 *1616:10 *2821:la_oenb[49] 38.8438 +*END + +*D_NET *1617 0.0527287 +*CONN +*I *2821:la_oenb[4] I *D user_project_wrapper +*I *2820:la_oenb_core[4] O *D mgmt_protect +*CAP +1 *2821:la_oenb[4] 0.0020779 +2 *2820:la_oenb_core[4] 0.00116699 +3 *1617:10 0.0020779 +4 *1617:8 0.00184256 +5 *1617:7 0.00300955 +6 *2821:la_oenb[4] *2820:mprj_dat_i_user[8] 6.61225e-05 +7 *2821:la_oenb[4] *1805:13 0 +8 *2821:la_oenb[4] *1819:5 0.000213027 +9 *2821:la_oenb[4] *1870:13 1.15048e-05 +10 *2821:la_oenb[4] *1871:17 0 +11 *2821:la_oenb[4] *1951:5 0 +12 *2820:la_data_out_core[4] *1617:7 0 +13 *988:7 *1617:7 0 +14 *988:8 *1617:8 0.000113197 +15 *1222:12 *1617:8 0.020556 +16 *1233:8 *1617:8 0.0212953 +17 *1244:8 *1617:8 0.000185432 +18 *1606:8 *1617:8 0.000113197 +*RES +1 *2820:la_oenb_core[4] *1617:7 36.7675 +2 *1617:7 *1617:8 229.22 +3 *1617:8 *1617:10 4.5 +4 *1617:10 *2821:la_oenb[4] 50.1234 +*END + +*D_NET *1618 0.0848891 +*CONN +*I *2821:la_oenb[50] I *D user_project_wrapper +*I *2820:la_oenb_core[50] O *D mgmt_protect +*CAP +1 *2821:la_oenb[50] 0.00232629 +2 *2820:la_oenb_core[50] 0.00123992 +3 *1618:10 0.00232629 +4 *1618:8 0.00299732 +5 *1618:7 0.00423724 +6 *1618:8 *1667:10 8.66138e-05 +7 *1618:8 *1669:10 0.00048572 +8 *2820:la_data_out_core[50] *1618:7 0 +9 *2820:la_data_out_core[51] *1618:7 0 +10 *2821:la_oenb[32] *1618:7 9.83923e-05 +11 *959:8 *1618:8 0 +12 *979:7 *1618:7 0 +13 *1031:5 *2821:la_oenb[50] 0 +14 *1227:13 *1618:7 0 +15 *1234:8 *1618:8 0.035466 +16 *1235:12 *1618:8 0.0356253 +17 *1286:11 *2821:la_oenb[50] 0 +*RES +1 *2820:la_oenb_core[50] *1618:7 38.0133 +2 *1618:7 *1618:8 383.954 +3 *1618:8 *1618:10 4.5 +4 *1618:10 *2821:la_oenb[50] 48.8776 +*END + +*D_NET *1619 0.0849339 +*CONN +*I *2821:la_oenb[51] I *D user_project_wrapper +*I *2820:la_oenb_core[51] O *D mgmt_protect +*CAP +1 *2821:la_oenb[51] 0.00157628 +2 *2820:la_oenb_core[51] 8.20467e-05 +3 *1619:10 0.00480276 +4 *1619:9 0.00322648 +5 *1619:7 0.00174725 +6 *1619:5 0.00182929 +7 *1619:10 *1621:10 0.0356898 +8 *2820:la_data_out_core[51] *1619:7 0 +9 *2820:la_data_out_core[52] *1619:7 0 +10 *2821:la_data_in[33] *1619:7 0 +11 *980:7 *1619:7 0.000929995 +12 *1163:11 *2821:la_oenb[51] 0 +13 *1215:8 *1619:10 0.00027329 +14 *1216:8 *1619:10 0.000562035 +15 *1261:8 *1619:10 0.00100962 +16 *1616:10 *1619:10 0.0332051 +*RES +1 *2820:la_oenb_core[51] *1619:5 2.33274 +2 *1619:5 *1619:7 47.7174 +3 *1619:7 *1619:9 4.5 +4 *1619:9 *1619:10 394.492 +5 *1619:10 *2821:la_oenb[51] 39.2591 +*END + +*D_NET *1620 0.0803516 +*CONN +*I *2821:la_oenb[52] I *D user_project_wrapper +*I *2820:la_oenb_core[52] O *D mgmt_protect +*CAP +1 *2821:la_oenb[52] 0.00208667 +2 *2820:la_oenb_core[52] 0.00105271 +3 *1620:14 0.0047617 +4 *1620:13 0.00313786 +5 *1620:8 0.00321701 +6 *1620:7 0.00380689 +7 *1620:8 *1622:8 0 +8 *1620:8 *1635:10 0.000631805 +9 *1620:13 *1637:7 0.000220104 +10 *1620:14 *1625:14 0.0265366 +11 *1620:14 *1632:8 0.00703544 +12 *2820:la_data_out_core[103] *2821:la_oenb[52] 0 +13 *2820:la_data_out_core[52] *1620:7 0 +14 *2820:la_data_out_core[53] *1620:7 0 +15 *967:8 *1620:8 0.000342204 +16 *969:8 *1620:8 0.011322 +17 *971:8 *1620:14 0 +18 *981:7 *1620:7 0 +19 *997:5 *1620:13 0 +20 *1231:14 *1620:14 1.00846e-05 +21 *1241:8 *1620:14 0.0155543 +22 *1267:8 *1620:14 0.000515634 +23 *1283:8 *1620:14 0.000120517 +*RES +1 *2820:la_oenb_core[52] *1620:7 32.615 +2 *1620:7 *1620:8 128.282 +3 *1620:8 *1620:13 19.9675 +4 *1620:13 *1620:14 282.462 +5 *1620:14 *2821:la_oenb[52] 47.9793 +*END + +*D_NET *1621 0.0910933 +*CONN +*I *2821:la_oenb[53] I *D user_project_wrapper +*I *2820:la_oenb_core[53] O *D mgmt_protect +*CAP +1 *2821:la_oenb[53] 0.00164153 +2 *2820:la_oenb_core[53] 0.00161306 +3 *1621:10 0.00514923 +4 *1621:9 0.0035077 +5 *1621:7 0.00161306 +6 *1621:10 *1623:10 0.0382405 +7 *2820:la_data_out_core[53] *1621:7 0 +8 *2820:la_data_out_core[54] *1621:7 0 +9 *2821:la_data_in[34] *1621:7 0.000954803 +10 *982:7 *1621:7 0.000877903 +11 *1216:8 *1621:10 0.000744799 +12 *1220:8 *1621:10 0.0003447 +13 *1261:8 *1621:10 0.000716318 +14 *1552:5 *2821:la_oenb[53] 0 +15 *1619:10 *1621:10 0.0356898 +*RES +1 *2820:la_oenb_core[53] *1621:7 49.6348 +2 *1621:7 *1621:9 4.5 +3 *1621:9 *1621:10 421.668 +4 *1621:10 *2821:la_oenb[53] 39.6743 +*END + +*D_NET *1622 0.0872273 +*CONN +*I *2821:la_oenb[54] I *D user_project_wrapper +*I *2820:la_oenb_core[54] O *D mgmt_protect +*CAP +1 *2821:la_oenb[54] 0.00215483 +2 *2820:la_oenb_core[54] 0.000934922 +3 *1622:18 0.00347754 +4 *1622:17 0.0019524 +5 *1622:12 0.00196763 +6 *1622:10 0.00137975 +7 *1622:8 0.00304784 +8 *1622:7 0.00394095 +9 *1622:8 *1635:10 0 +10 *1622:12 *1633:14 0.0132278 +11 *1622:17 *1658:7 2.20115e-05 +12 *2820:la_data_out_core[109] *2821:la_oenb[54] 0 +13 *2820:la_data_out_core[54] *1622:7 0 +14 *2820:la_data_out_core[55] *1622:7 0 +15 *2820:la_data_out_core[68] *1622:8 0.000455222 +16 *2820:la_data_out_core[68] *1622:10 1.09551e-05 +17 *2820:la_data_out_core[68] *1622:12 0 +18 *2820:la_data_out_core[87] *1622:17 0.000634833 +19 *983:7 *1622:7 0 +20 *1018:5 *1622:17 0 +21 *1241:8 *1622:18 0.0162936 +22 *1241:14 *1622:8 1.75637e-06 +23 *1241:14 *1622:12 0.0100763 +24 *1241:16 *1622:10 9.07708e-05 +25 *1241:18 *1622:8 0.00935286 +26 *1251:8 *1622:12 7.92757e-06 +27 *1267:8 *1622:18 0.0162978 +28 *1283:8 *1622:18 0.000483857 +29 *1554:5 *2821:la_oenb[54] 0 +30 *1615:8 *1622:8 0 +31 *1615:8 *1622:12 0.00141569 +32 *1620:8 *1622:8 0 +*RES +1 *2820:la_oenb_core[54] *1622:7 28.8777 +2 *1622:7 *1622:8 121.627 +3 *1622:8 *1622:10 1.80849 +4 *1622:10 *1622:12 142.702 +5 *1622:12 *1622:17 24.5353 +6 *1622:17 *1622:18 173.205 +7 *1622:18 *2821:la_oenb[54] 48.8099 +*END + +*D_NET *1623 0.0964562 +*CONN +*I *2821:la_oenb[55] I *D user_project_wrapper +*I *2820:la_oenb_core[55] O *D mgmt_protect +*CAP +1 *2821:la_oenb[55] 0.00170191 +2 *2820:la_oenb_core[55] 0.00188165 +3 *1623:10 0.00535243 +4 *1623:9 0.00365052 +5 *1623:7 0.00188165 +6 *1623:10 *1627:14 0.0343685 +7 *1623:10 *1630:14 0.000272256 +8 *2820:la_data_out_core[111] *2821:la_oenb[55] 0 +9 *2820:la_data_out_core[55] *1623:7 0 +10 *2820:la_data_out_core[56] *1623:7 0 +11 *2821:la_oenb[34] *1623:7 0.000115511 +12 *984:7 *1623:7 0.000513259 +13 *1220:8 *1623:10 0.00727366 +14 *1261:8 *1623:10 1.6258e-05 +15 *1282:8 *1623:10 0.000214358 +16 *1552:8 *1623:10 0.000268359 +17 *1554:8 *1623:10 0.000384993 +18 *1557:8 *1623:10 0.000320474 +19 *1621:10 *1623:10 0.0382405 +*RES +1 *2820:la_oenb_core[55] *1623:7 49.2196 +2 *1623:7 *1623:9 4.5 +3 *1623:9 *1623:10 448.289 +4 *1623:10 *2821:la_oenb[55] 40.0896 +*END + +*D_NET *1624 0.100898 +*CONN +*I *2821:la_oenb[56] I *D user_project_wrapper +*I *2820:la_oenb_core[56] O *D mgmt_protect +*CAP +1 *2821:la_oenb[56] 0.00262694 +2 *2820:la_oenb_core[56] 0.00119491 +3 *1624:10 0.00262694 +4 *1624:8 0.00369504 +5 *1624:7 0.00488994 +6 *1624:8 *1626:8 0.0420898 +7 *2820:la_data_out_core[56] *1624:7 0 +8 *2820:la_data_out_core[57] *1624:7 0 +9 *967:8 *1624:8 0.000286628 +10 *985:7 *1624:7 0 +11 *1240:8 *1624:8 0.0429524 +12 *1558:8 *1624:8 0.000103217 +13 *1560:8 *1624:8 0.000432613 +14 *1561:5 *2821:la_oenb[56] 0 +*RES +1 *2820:la_oenb_core[56] *1624:7 35.1065 +2 *1624:7 *1624:8 463.817 +3 *1624:8 *1624:10 4.5 +4 *1624:10 *2821:la_oenb[56] 51.7844 +*END + +*D_NET *1625 0.0950682 +*CONN +*I *2821:la_oenb[57] I *D user_project_wrapper +*I *2820:la_oenb_core[57] O *D mgmt_protect +*CAP +1 *2821:la_oenb[57] 0.00213489 +2 *2820:la_oenb_core[57] 0.000926165 +3 *1625:14 0.00588198 +4 *1625:13 0.00428231 +5 *1625:8 0.00122496 +6 *1625:7 0.0016159 +7 *1625:8 *1627:8 0.00181056 +8 *1625:14 *1632:8 0.00116366 +9 *1625:14 *1632:14 0.0295975 +10 *1625:14 *1634:8 0.00824825 +11 *1625:14 *1634:12 0.000418643 +12 *2820:la_data_out_core[117] *2821:la_oenb[57] 0 +13 *2820:la_data_out_core[57] *1625:7 0 +14 *2820:la_data_out_core[65] *1625:13 0 +15 *986:7 *1625:7 0 +16 *994:5 *1625:13 0.000864425 +17 *1241:8 *1625:14 0.00416819 +18 *1241:18 *1625:8 0.0056129 +19 *1267:8 *1625:14 0.000125129 +20 *1283:8 *1625:14 0.00011282 +21 *1563:5 *2821:la_oenb[57] 6.88866e-05 +22 *1615:8 *1625:8 0.000274449 +23 *1620:14 *1625:14 0.0265366 +*RES +1 *2820:la_oenb_core[57] *1625:7 28.0472 +2 *1625:7 *1625:8 59.5114 +3 *1625:8 *1625:13 24.9506 +4 *1625:13 *1625:14 417.785 +5 *1625:14 *2821:la_oenb[57] 47.5641 +*END + +*D_NET *1626 0.106237 +*CONN +*I *2821:la_oenb[58] I *D user_project_wrapper +*I *2820:la_oenb_core[58] O *D mgmt_protect +*CAP +1 *2821:la_oenb[58] 0.00251615 +2 *2820:la_oenb_core[58] 0.00121585 +3 *1626:10 0.00251615 +4 *1626:8 0.00393048 +5 *1626:7 0.00514633 +6 *1626:8 *1629:8 0.0445874 +7 *2820:la_data_out_core[119] *2821:la_oenb[58] 0.000379149 +8 *2820:la_data_out_core[58] *1626:7 0 +9 *967:8 *1626:8 0.000391181 +10 *986:7 *1626:7 0 +11 *987:7 *1626:7 0 +12 *1560:8 *1626:8 0.000474501 +13 *1562:8 *1626:8 0.0029431 +14 *1566:7 *2821:la_oenb[58] 4.69801e-05 +15 *1624:8 *1626:8 0.0420898 +*RES +1 *2820:la_oenb_core[58] *1626:7 34.6913 +2 *1626:7 *1626:8 490.438 +3 *1626:8 *1626:10 4.5 +4 *1626:10 *2821:la_oenb[58] 52.1996 +*END + +*D_NET *1627 0.10423 +*CONN +*I *2821:la_oenb[59] I *D user_project_wrapper +*I *2820:la_oenb_core[59] O *D mgmt_protect +*CAP +1 *2821:la_oenb[59] 0.00156857 +2 *2820:la_oenb_core[59] 0.000917407 +3 *1627:14 0.00511477 +4 *1627:13 0.00458519 +5 *1627:8 0.00175368 +6 *1627:7 0.00163211 +7 *1627:8 *1630:10 0.00142232 +8 *1627:13 *1635:7 0 +9 *1627:14 *1630:14 0.0424182 +10 *2820:la_data_out_core[60] *1627:7 0 +11 *2821:la_oenb[38] *1627:13 7.14746e-05 +12 *930:7 *2821:la_oenb[59] 0.000914425 +13 *989:7 *1627:7 0 +14 *995:5 *1627:13 0 +15 *996:5 *1627:13 0 +16 *1241:18 *1627:8 0.000245845 +17 *1243:11 *1627:7 0 +18 *1282:8 *1627:14 0.000331419 +19 *1557:8 *1627:14 0.0005984 +20 *1561:8 *1627:14 0.000670122 +21 *1563:8 *1627:14 0.0041919 +22 *1615:8 *1627:8 0.00161493 +23 *1623:10 *1627:14 0.0343685 +24 *1625:8 *1627:8 0.00181056 +*RES +1 *2820:la_oenb_core[59] *1627:7 27.2167 +2 *1627:7 *1627:8 53.9653 +3 *1627:8 *1627:13 32.8404 +4 *1627:13 *1627:14 449.952 +5 *1627:14 *2821:la_oenb[59] 40.5048 +*END + +*D_NET *1628 0.0504094 +*CONN +*I *2821:la_oenb[5] I *D user_project_wrapper +*I *2820:la_oenb_core[5] O *D mgmt_protect +*CAP +1 *2821:la_oenb[5] 0.00203961 +2 *2820:la_oenb_core[5] 0.00125269 +3 *1628:10 0.00203961 +4 *1628:8 0.00164247 +5 *1628:7 0.00289516 +6 *2821:la_oenb[5] *2820:mprj_dat_i_user[10] 0 +7 *1628:8 *2820:mprj_dat_i_user[11] 0.000383703 +8 *1628:8 *2820:mprj_dat_i_user[13] 1.67988e-05 +9 *1628:8 *1861:8 0.00132676 +10 *2820:la_data_out_core[5] *1628:7 0 +11 *988:7 *1628:7 0 +12 *988:8 *1628:8 7.83311e-05 +13 *999:7 *1628:7 0 +14 *999:8 *1628:8 0.019707 +15 *1255:8 *1628:8 0.0190272 +*RES +1 *2820:la_oenb_core[5] *1628:7 38.8438 +2 *1628:7 *1628:8 216.464 +3 *1628:8 *1628:10 4.5 +4 *1628:10 *2821:la_oenb[5] 48.0471 +*END + +*D_NET *1629 0.111864 +*CONN +*I *2821:la_oenb[60] I *D user_project_wrapper +*I *2820:la_oenb_core[60] O *D mgmt_protect +*CAP +1 *2821:la_oenb[60] 0.00260255 +2 *2820:la_oenb_core[60] 0.00122028 +3 *1629:10 0.00260255 +4 *1629:8 0.00413333 +5 *1629:7 0.00535362 +6 *1629:8 *1631:8 0.0470527 +7 *2820:la_data_out_core[125] *2821:la_oenb[60] 0 +8 *2820:la_data_out_core[60] *1629:7 0 +9 *932:7 *2821:la_oenb[60] 0 +10 *933:5 *2821:la_oenb[60] 0.000245836 +11 *967:8 *1629:8 0.000677667 +12 *990:5 *1629:7 0 +13 *1562:8 *1629:8 0.00069335 +14 *1569:8 *1629:8 0.00239913 +15 *1572:5 *2821:la_oenb[60] 0.000295891 +16 *1626:8 *1629:8 0.0445874 +*RES +1 *2820:la_oenb_core[60] *1629:7 34.276 +2 *1629:7 *1629:8 517.059 +3 *1629:8 *1629:10 4.5 +4 *1629:10 *2821:la_oenb[60] 52.6149 +*END + +*D_NET *1630 0.111446 +*CONN +*I *2821:la_oenb[61] I *D user_project_wrapper +*I *2820:la_oenb_core[61] O *D mgmt_protect +*CAP +1 *2821:la_oenb[61] 0.00185285 +2 *2820:la_oenb_core[61] 0.00103149 +3 *1630:14 0.00638548 +4 *1630:13 0.00546034 +5 *1630:10 0.0019592 +6 *1630:13 *1632:7 0.000364001 +7 *1630:14 *1651:8 9.48476e-05 +8 *1630:14 *1653:8 0.000218849 +9 *2820:la_data_out_core[61] *1630:10 0 +10 *2821:la_oenb[37] *1630:13 0 +11 *935:5 *2821:la_oenb[61] 0 +12 *990:5 *1630:10 0 +13 *991:7 *1630:10 0 +14 *992:5 *1630:13 0 +15 *998:8 *1630:14 0.0069679 +16 *1220:8 *1630:14 0.00151986 +17 *1221:8 *1630:14 0.0035546 +18 *1226:13 *1630:14 3.26073e-05 +19 *1250:19 *2821:la_oenb[61] 0.000249983 +20 *1263:8 *1630:14 0 +21 *1268:8 *1630:14 0.000249889 +22 *1270:8 *1630:14 0.0334866 +23 *1282:8 *1630:14 0.000359698 +24 *1563:8 *1630:14 0.00146909 +25 *1565:19 *2821:la_oenb[61] 1.09738e-05 +26 *1574:10 *1630:14 0.000638598 +27 *1615:8 *1630:10 0.00142653 +28 *1623:10 *1630:14 0.000272256 +29 *1627:8 *1630:10 0.00142232 +30 *1627:14 *1630:14 0.0424182 +*RES +1 *2820:la_oenb_core[61] *1630:10 46.4446 +2 *1630:10 *1630:13 28.3404 +3 *1630:13 *1630:14 515.396 +4 *1630:14 *2821:la_oenb[61] 40.9201 +*END + +*D_NET *1631 0.114484 +*CONN +*I *2821:la_oenb[62] I *D user_project_wrapper +*I *2820:la_oenb_core[62] O *D mgmt_protect +*CAP +1 *2821:la_oenb[62] 0.0027041 +2 *2820:la_oenb_core[62] 0.00122521 +3 *1631:16 0.00300843 +4 *1631:8 0.00500811 +5 *1631:7 0.00592899 +6 *1631:8 *1635:10 1.26314e-05 +7 *1631:8 *1635:12 0.00142517 +8 *1631:8 *1647:8 0.000670122 +9 *1631:8 *1649:8 0.0370172 +10 *1631:16 *2820:user_irq_core[0] 0 +11 *1631:16 *2820:user_irq_core[1] 8.62625e-06 +12 *1631:16 *1671:8 0.00116967 +13 *2820:la_data_out_core[62] *1631:7 0 +14 *967:8 *1631:8 0.00557424 +15 *991:7 *1631:7 0 +16 *992:5 *1631:7 0 +17 *1247:5 *1631:16 0 +18 *1551:8 *1631:16 0.00117388 +19 *1569:8 *1631:8 0.000264711 +20 *1573:8 *1631:8 0.00223979 +21 *1629:8 *1631:8 0.0470527 +*RES +1 *2820:la_oenb_core[62] *1631:7 33.8608 +2 *1631:7 *1631:8 531.479 +3 *1631:8 *1631:16 29.3631 +4 *1631:16 *2821:la_oenb[62] 49.7081 +*END + +*D_NET *1632 0.109554 +*CONN +*I *2821:la_oenb[63] I *D user_project_wrapper +*I *2820:la_oenb_core[63] O *D mgmt_protect +*CAP +1 *2821:la_oenb[63] 0.00229668 +2 *2820:la_oenb_core[63] 0.00155685 +3 *1632:20 0.00277205 +4 *1632:14 0.00414624 +5 *1632:13 0.00372319 +6 *1632:8 0.00154945 +7 *1632:7 0.00305398 +8 *1632:14 *1634:12 0.0383763 +9 *1632:20 *1634:12 0.00027242 +10 *1632:20 *1634:16 0.00181116 +11 *2820:la_data_out_core[63] *1632:7 0 +12 *2820:la_data_out_core[77] *1632:13 0 +13 *992:5 *1632:7 0 +14 *993:9 *1632:7 0 +15 *993:11 *1632:7 0 +16 *1231:13 *1632:13 7.14746e-05 +17 *1231:14 *1632:8 0.0047204 +18 *1250:13 *2821:la_oenb[63] 0.000208084 +19 *1267:8 *1632:14 0.000248699 +20 *1267:8 *1632:20 0.00149398 +21 *1283:8 *1632:14 0.000107595 +22 *1283:8 *1632:20 0.000135066 +23 *1565:14 *1632:14 0.00485005 +24 *1620:14 *1632:8 0.00703544 +25 *1625:14 *1632:8 0.00116366 +26 *1625:14 *1632:14 0.0295975 +27 *1630:13 *1632:7 0.000364001 +*RES +1 *2820:la_oenb_core[63] *1632:7 42.9963 +2 *1632:7 *1632:8 114.417 +3 *1632:8 *1632:13 10.4167 +4 *1632:13 *1632:14 408.08 +5 *1632:14 *1632:20 40.7301 +6 *1632:20 *2821:la_oenb[63] 43.8946 +*END + +*D_NET *1633 0.117408 +*CONN +*I *2821:la_oenb[64] I *D user_project_wrapper +*I *2820:la_oenb_core[64] O *D mgmt_protect +*CAP +1 *2821:la_oenb[64] 0.00325411 +2 *2820:la_oenb_core[64] 0.000885346 +3 *1633:16 0.00325411 +4 *1633:14 0.00459072 +5 *1633:13 0.00459072 +6 *1633:11 0.001132 +7 *1633:7 0.00201735 +8 *2821:la_oenb[64] *1640:17 8.11463e-06 +9 *1633:11 *1638:10 4.3116e-06 +10 *1633:14 *1635:18 0.0436056 +11 *1633:14 *1656:8 0.000490527 +12 *2820:la_data_out_core[64] *1633:7 0 +13 *933:13 *2821:la_oenb[64] 7.96949e-05 +14 *972:8 *1633:11 0 +15 *993:11 *1633:7 0 +16 *994:5 *1633:7 0 +17 *1000:7 *1633:11 0 +18 *1022:8 *1633:14 0 +19 *1251:8 *1633:14 0.00263026 +20 *1251:16 *1633:11 0.00281159 +21 *1275:24 *1633:14 0.000678803 +22 *1279:14 *1633:14 0.00711591 +23 *1546:13 *1633:14 0.000277287 +24 *1547:8 *1633:14 0.0256752 +25 *1615:8 *1633:11 0.000512757 +26 *1615:8 *1633:14 0.000565437 +27 *1622:12 *1633:14 0.0132278 +*RES +1 *2820:la_oenb_core[64] *1633:7 25.5557 +2 *1633:7 *1633:11 48.5113 +3 *1633:11 *1633:13 4.5 +4 *1633:13 *1633:14 528.706 +5 *1633:14 *1633:16 4.5 +6 *1633:16 *2821:la_oenb[64] 59.2589 +*END + +*D_NET *1634 0.119666 +*CONN +*I *2821:la_oenb[65] I *D user_project_wrapper +*I *2820:la_oenb_core[65] O *D mgmt_protect +*CAP +1 *2821:la_oenb[65] 0.0022895 +2 *2820:la_oenb_core[65] 0.00174836 +3 *1634:16 0.00308034 +4 *1634:14 0.00083531 +5 *1634:12 0.00353572 +6 *1634:10 0.00350944 +7 *1634:8 0.000941946 +8 *1634:7 0.00267212 +9 *1634:8 *1636:8 0.00668725 +10 *1634:8 *1636:12 1.67988e-05 +11 *1634:10 *1636:10 1.09551e-05 +12 *1634:12 *1636:12 0.0408644 +13 *1634:14 *1636:14 1.64789e-05 +14 *1634:16 *1636:12 1.71154e-05 +15 *1634:16 *1636:16 0.0026044 +16 *2820:la_data_out_core[65] *1634:7 0 +17 *969:14 *1634:8 4.0752e-05 +18 *995:5 *1634:7 0 +19 *1267:8 *1634:16 0.00107557 +20 *1283:8 *1634:12 0.000107152 +21 *1283:8 *1634:16 0.000486012 +22 *1625:14 *1634:8 0.00824825 +23 *1625:14 *1634:12 0.000418643 +24 *1632:14 *1634:12 0.0383763 +25 *1632:20 *1634:12 0.00027242 +26 *1632:20 *1634:16 0.00181116 +*RES +1 *2820:la_oenb_core[65] *1634:7 44.2421 +2 *1634:7 *1634:8 87.7962 +3 *1634:8 *1634:10 0.578717 +4 *1634:10 *1634:12 434.423 +5 *1634:12 *1634:14 1.39857 +6 *1634:14 *1634:16 61.7298 +7 *1634:16 *2821:la_oenb[65] 47.9793 +*END + +*D_NET *1635 0.120126 +*CONN +*I *2821:la_oenb[66] I *D user_project_wrapper +*I *2820:la_oenb_core[66] O *D mgmt_protect +*CAP +1 *2821:la_oenb[66] 0.00323685 +2 *2820:la_oenb_core[66] 0.00114194 +3 *1635:20 0.00323685 +4 *1635:18 0.00400499 +5 *1635:17 0.00419167 +6 *1635:12 0.00163188 +7 *1635:10 0.00228841 +8 *1635:7 0.00198515 +9 *1635:10 *1638:10 2.93863e-05 +10 *1635:10 *1638:12 0 +11 *1635:12 *1638:12 0 +12 *1635:12 *1641:8 0 +13 *1635:12 *1643:8 9.48476e-05 +14 *1635:12 *1645:8 0.000226394 +15 *1635:12 *1647:8 0.000167076 +16 *1635:17 *1647:7 5.246e-05 +17 *1635:18 *1656:8 0.00120675 +18 *2820:la_data_out_core[66] *1635:7 0 +19 *2820:la_data_out_core[68] *1635:10 6.0055e-05 +20 *967:8 *1635:10 0.000193699 +21 *995:5 *1635:7 0 +22 *996:5 *1635:7 0 +23 *1008:5 *1635:17 8.87047e-05 +24 *1251:8 *1635:18 0.0469851 +25 *1253:8 *1635:18 0.000308997 +26 *1256:8 *1635:12 0 +27 *1258:8 *1635:12 6.0055e-05 +28 *1260:8 *1635:12 0.000249876 +29 *1262:8 *1635:12 0.00115033 +30 *1547:8 *1635:18 0.00185942 +31 *1620:8 *1635:10 0.000631805 +32 *1622:8 *1635:10 0 +33 *1627:13 *1635:7 0 +34 *1631:8 *1635:10 1.26314e-05 +35 *1631:8 *1635:12 0.00142517 +36 *1633:14 *1635:18 0.0436056 +*RES +1 *2820:la_oenb_core[66] *1635:7 31.7845 +2 *1635:7 *1635:10 29.9968 +3 *1635:10 *1635:12 61.1752 +4 *1635:12 *1635:17 13.7388 +5 *1635:17 *1635:18 507.076 +6 *1635:18 *1635:20 4.5 +7 *1635:20 *2821:la_oenb[66] 58.8437 +*END + +*D_NET *1636 0.126858 +*CONN +*I *2821:la_oenb[67] I *D user_project_wrapper +*I *2820:la_oenb_core[67] O *D mgmt_protect +*CAP +1 *2821:la_oenb[67] 0.00223986 +2 *2820:la_oenb_core[67] 0.00169197 +3 *1636:16 0.003539 +4 *1636:14 0.00132063 +5 *1636:12 0.00336504 +6 *1636:10 0.00336174 +7 *1636:8 0.000595377 +8 *1636:7 0.00226915 +9 *1636:8 *1637:8 0.000388082 +10 *1636:12 *1637:8 0.0410237 +11 *1636:14 *1637:10 3.75603e-05 +12 *1636:16 *1637:8 9.82896e-06 +13 *1636:16 *1637:12 0.00978527 +14 *2820:la_data_out_core[67] *1636:7 0 +15 *969:13 *1636:7 0.000239138 +16 *969:14 *1636:8 0.00579731 +17 *996:5 *1636:7 0 +18 *997:5 *1636:7 0 +19 *1267:8 *1636:16 0.000272612 +20 *1283:8 *1636:12 0.000107152 +21 *1283:8 *1636:16 0.000597173 +22 *1634:8 *1636:8 0.00668725 +23 *1634:8 *1636:12 1.67988e-05 +24 *1634:10 *1636:10 1.09551e-05 +25 *1634:12 *1636:12 0.0408644 +26 *1634:14 *1636:14 1.64789e-05 +27 *1634:16 *1636:12 1.71154e-05 +28 *1634:16 *1636:16 0.0026044 +*RES +1 *2820:la_oenb_core[67] *1636:7 44.6573 +2 *1636:7 *1636:8 71.1581 +3 *1636:8 *1636:10 0.578717 +4 *1636:10 *1636:12 436.087 +5 *1636:12 *1636:14 0.988641 +6 *1636:14 *1636:16 103.325 +7 *1636:16 *2821:la_oenb[67] 47.1488 +*END + +*D_NET *1637 0.126732 +*CONN +*I *2821:la_oenb[68] I *D user_project_wrapper +*I *2820:la_oenb_core[68] O *D mgmt_protect +*CAP +1 *2821:la_oenb[68] 0.00221159 +2 *2820:la_oenb_core[68] 0.00174741 +3 *1637:12 0.00372434 +4 *1637:10 0.00153963 +5 *1637:8 0.0041398 +6 *1637:7 0.00586033 +7 *1637:8 *1640:8 0.0454498 +8 *1637:8 *1664:8 0.000107595 +9 *1637:12 *1640:8 0.000179379 +10 *2820:la_data_out_core[68] *1637:7 0 +11 *969:14 *1637:8 0.00500937 +12 *997:5 *1637:7 0 +13 *998:5 *1637:7 0 +14 *1257:8 *1637:12 0.000626455 +15 *1257:12 *1637:12 0.00394354 +16 *1267:8 *1637:12 0 +17 *1283:8 *1637:12 0.000728653 +18 *1620:13 *1637:7 0.000220104 +19 *1636:8 *1637:8 0.000388082 +20 *1636:12 *1637:8 0.0410237 +21 *1636:14 *1637:10 3.75603e-05 +22 *1636:16 *1637:8 9.82896e-06 +23 *1636:16 *1637:12 0.00978527 +*RES +1 *2820:la_oenb_core[68] *1637:7 45.4878 +2 *1637:7 *1637:8 499.312 +3 *1637:8 *1637:10 0.988641 +4 *1637:10 *1637:12 124.4 +5 *1637:12 *2821:la_oenb[68] 46.7336 +*END + +*D_NET *1638 0.13791 +*CONN +*I *2821:la_oenb[69] I *D user_project_wrapper +*I *2820:la_oenb_core[69] O *D mgmt_protect +*CAP +1 *2821:la_oenb[69] 0.00312647 +2 *2820:la_oenb_core[69] 0.00119946 +3 *1638:14 0.00312647 +4 *1638:12 0.00525151 +5 *1638:10 0.00645097 +6 *1638:12 *1656:8 0.00201301 +7 *2820:la_data_out_core[68] *1638:10 0.00015574 +8 *2820:la_data_out_core[69] *1638:10 0 +9 *1000:7 *1638:10 0 +10 *1251:16 *1638:10 6.46135e-05 +11 *1253:8 *1638:10 9.82896e-06 +12 *1253:8 *1638:12 0.0570802 +13 *1256:8 *1638:12 0.0592132 +14 *1547:8 *1638:12 0.000184958 +15 *1633:11 *1638:10 4.3116e-06 +16 *1635:10 *1638:10 2.93863e-05 +17 *1635:10 *1638:12 0 +18 *1635:12 *1638:12 0 +*RES +1 *2820:la_oenb_core[69] *1638:10 32.9447 +2 *1638:10 *1638:12 635.19 +3 *1638:12 *1638:14 4.5 +4 *1638:14 *2821:la_oenb[69] 57.5979 +*END + +*D_NET *1639 0.0467116 +*CONN +*I *2821:la_oenb[6] I *D user_project_wrapper +*I *2820:la_oenb_core[6] O *D mgmt_protect +*CAP +1 *2821:la_oenb[6] 0.00193099 +2 *2820:la_oenb_core[6] 0.001204 +3 *1639:10 0.00193099 +4 *1639:8 0.00178182 +5 *1639:7 0.00298582 +6 *2821:la_oenb[6] *2820:mprj_dat_i_user[13] 3.77659e-05 +7 *2821:la_oenb[6] *1925:5 0.000305303 +8 *2820:la_data_out_core[6] *1639:7 0 +9 *2820:la_data_out_core[7] *1639:7 0 +10 *988:8 *1639:8 0.0178251 +11 *999:8 *1639:8 0.0186111 +12 *1010:7 *1639:7 0 +13 *1244:8 *1639:8 0 +14 *1255:8 *1639:8 6.44576e-05 +15 *1277:8 *1639:8 3.42853e-05 +*RES +1 *2820:la_oenb_core[6] *1639:7 38.0133 +2 *1639:7 *1639:8 202.599 +3 *1639:8 *1639:10 4.5 +4 *1639:10 *2821:la_oenb[6] 48.8776 +*END + +*D_NET *1640 0.137389 +*CONN +*I *2821:la_oenb[70] I *D user_project_wrapper +*I *2820:la_oenb_core[70] O *D mgmt_protect +*CAP +1 *2821:la_oenb[70] 0.00211901 +2 *2820:la_oenb_core[70] 0.00152056 +3 *1640:18 0.00301799 +4 *1640:17 0.00102298 +5 *1640:8 0.00429615 +6 *1640:7 0.00569272 +7 *1640:8 *1664:8 0.000468206 +8 *1640:18 *1664:8 0.0010184 +9 *2820:la_data_out_core[70] *1640:7 0.00142981 +10 *2821:la_oenb[64] *1640:17 8.11463e-06 +11 *998:8 *1640:8 0 +12 *1000:7 *1640:7 0 +13 *1001:5 *1640:7 0 +14 *1257:8 *1640:18 0.0064896 +15 *1257:12 *1640:8 0.00311953 +16 *1257:12 *1640:18 0.00249332 +17 *1257:18 *1640:8 0.0456709 +18 *1259:8 *1640:8 0.00138703 +19 *1259:8 *1640:18 0.0120051 +20 *1637:8 *1640:8 0.0454498 +21 *1637:12 *1640:8 0.000179379 +*RES +1 *2820:la_oenb_core[70] *1640:7 45.9031 +2 *1640:7 *1640:8 523.16 +3 *1640:8 *1640:17 11.0518 +4 *1640:17 *1640:18 126.064 +5 *1640:18 *2821:la_oenb[70] 45.0726 +*END + +*D_NET *1641 0.143682 +*CONN +*I *2821:la_oenb[71] I *D user_project_wrapper +*I *2820:la_oenb_core[71] O *D mgmt_protect +*CAP +1 *2821:la_oenb[71] 0.00304463 +2 *2820:la_oenb_core[71] 0.00110848 +3 *1641:10 0.00304463 +4 *1641:8 0.00544794 +5 *1641:7 0.00655641 +6 *1641:8 *1658:8 0.00265619 +7 *2820:la_data_out_core[71] *1641:7 0 +8 *1002:5 *1641:7 0 +9 *1256:8 *1641:8 0.0598167 +10 *1258:8 *1641:8 0.062007 +11 *1547:8 *1641:8 0 +12 *1635:12 *1641:8 0 +*RES +1 *2820:la_oenb_core[71] *1641:7 30.1235 +2 *1641:7 *1641:8 663.475 +3 *1641:8 *1641:10 4.5 +4 *1641:10 *2821:la_oenb[71] 56.7674 +*END + +*D_NET *1642 0.147145 +*CONN +*I *2821:la_oenb[72] I *D user_project_wrapper +*I *2820:la_oenb_core[72] O *D mgmt_protect +*CAP +1 *2821:la_oenb[72] 0.0020625 +2 *2820:la_oenb_core[72] 0.00184827 +3 *1642:8 0.00710504 +4 *1642:7 0.00689081 +5 *1642:8 *1644:8 0.0623885 +6 *1642:8 *1664:8 0.00268634 +7 *2820:la_data_out_core[72] *1642:7 0 +8 *2821:la_data_in[41] *1642:7 0.00029479 +9 *970:16 *1642:8 0.000226066 +10 *998:8 *1642:8 0 +11 *1002:5 *1642:7 0 +12 *1003:5 *1642:7 0 +13 *1257:18 *1642:8 0.000194684 +14 *1259:8 *1642:8 0.0634484 +*RES +1 *2820:la_oenb_core[72] *1642:7 47.1488 +2 *1642:7 *1642:8 676.785 +3 *1642:8 *2821:la_oenb[72] 44.2421 +*END + +*D_NET *1643 0.149509 +*CONN +*I *2821:la_oenb[73] I *D user_project_wrapper +*I *2820:la_oenb_core[73] O *D mgmt_protect +*CAP +1 *2821:la_oenb[73] 0.00296282 +2 *2820:la_oenb_core[73] 0.00115135 +3 *1643:10 0.00296282 +4 *1643:8 0.00551975 +5 *1643:7 0.0066711 +6 *1643:8 *1660:8 0.00310641 +7 *2820:la_data_out_core[73] *1643:7 0 +8 *2820:la_data_out_core[74] *1643:7 0 +9 *1004:7 *1643:7 0 +10 *1258:8 *1643:8 0.0624045 +11 *1260:8 *1643:8 0.064635 +12 *1547:8 *1643:8 0 +13 *1635:12 *1643:8 9.48476e-05 +*RES +1 *2820:la_oenb_core[73] *1643:7 30.954 +2 *1643:7 *1643:8 690.65 +3 *1643:8 *1643:10 4.5 +4 *1643:10 *2821:la_oenb[73] 55.9369 +*END + +*D_NET *1644 0.150603 +*CONN +*I *2821:la_oenb[74] I *D user_project_wrapper +*I *2820:la_oenb_core[74] O *D mgmt_protect +*CAP +1 *2821:la_oenb[74] 0.00203256 +2 *2820:la_oenb_core[74] 0.00191183 +3 *1644:8 0.00759458 +4 *1644:7 0.00747386 +5 *1644:8 *1664:8 0.00311889 +6 *2820:la_data_out_core[74] *1644:7 0 +7 *970:16 *1644:8 2.20702e-05 +8 *1005:7 *1644:7 0 +9 *1226:13 *1644:7 0.000142359 +10 *1226:13 *1644:8 0.00081651 +11 *1259:8 *1644:8 0.000622925 +12 *1263:8 *1644:8 0.064479 +13 *1642:8 *1644:8 0.0623885 +*RES +1 *2820:la_oenb_core[74] *1644:7 47.5641 +2 *1644:7 *1644:8 703.406 +3 *1644:8 *2821:la_oenb[74] 43.8268 +*END + +*D_NET *1645 0.157086 +*CONN +*I *2821:la_oenb[75] I *D user_project_wrapper +*I *2820:la_oenb_core[75] O *D mgmt_protect +*CAP +1 *2821:la_oenb[75] 0.00261516 +2 *2820:la_oenb_core[75] 0.000844815 +3 *1645:10 0.00261516 +4 *1645:8 0.00564521 +5 *1645:7 0.00649002 +6 *1006:5 *1645:7 0 +7 *1190:13 *2821:la_oenb[75] 0.00101873 +8 *1260:8 *1645:8 0.0649666 +9 *1261:11 *1645:7 0.00152797 +10 *1262:8 *1645:8 0.0671906 +11 *1276:8 *1645:8 0.00394508 +12 *1635:12 *1645:8 0.000226394 +*RES +1 *2820:la_oenb_core[75] *1645:7 31.7845 +2 *1645:7 *1645:8 717.271 +3 *1645:8 *1645:10 4.5 +4 *1645:10 *2821:la_oenb[75] 55.1064 +*END + +*D_NET *1646 0.152519 +*CONN +*I *2821:la_oenb[76] I *D user_project_wrapper +*I *2820:la_oenb_core[76] O *D mgmt_protect +*CAP +1 *2821:la_oenb[76] 0.00156092 +2 *2820:la_oenb_core[76] 0.00231686 +3 *1646:8 0.00727824 +4 *1646:7 0.00571732 +5 *1646:5 0.00231686 +6 *1646:8 *1655:8 0.000433733 +7 *2820:la_data_out_core[76] *1646:5 0 +8 *2821:la_oenb[42] *1646:5 0 +9 *906:8 *1646:8 0.0513758 +10 *1006:5 *1646:5 0 +11 *1007:7 *1646:5 0 +12 *1230:8 *1646:8 0.000568581 +13 *1261:8 *1646:8 0.00164802 +14 *1273:14 *1646:8 0.0048076 +15 *1278:16 *1646:8 0.00152206 +16 *1280:8 *1646:8 0.00122461 +17 *1284:8 *1646:8 0.0541879 +18 *1559:8 *1646:8 0.00284326 +19 *1612:10 *1646:8 0.00634127 +20 *1613:8 *1646:8 0.00837601 +*RES +1 *2820:la_oenb_core[76] *1646:5 50.1234 +2 *1646:5 *1646:7 4.5 +3 *1646:7 *1646:8 730.027 +4 *1646:8 *2821:la_oenb[76] 36.7675 +*END + +*D_NET *1647 0.163078 +*CONN +*I *2821:la_oenb[77] I *D user_project_wrapper +*I *2820:la_oenb_core[77] O *D mgmt_protect +*CAP +1 *2821:la_oenb[77] 0.00279383 +2 *2820:la_oenb_core[77] 0.00124964 +3 *1647:10 0.00279383 +4 *1647:8 0.00534661 +5 *1647:7 0.00659625 +6 *1647:8 *1649:8 0.0687823 +7 *2820:la_data_out_core[77] *1647:7 0 +8 *1008:5 *1647:7 0 +9 *1188:13 *2821:la_oenb[77] 0 +10 *1262:8 *1647:8 0.000148901 +11 *1264:8 *1647:8 0.0697762 +12 *1276:8 *1647:8 0.000100556 +13 *1281:8 *1647:8 0.00459968 +14 *1631:8 *1647:8 0.000670122 +15 *1635:12 *1647:8 0.000167076 +16 *1635:17 *1647:7 5.246e-05 +*RES +1 *2820:la_oenb_core[77] *1647:7 33.0303 +2 *1647:7 *1647:8 743.892 +3 *1647:8 *1647:10 4.5 +4 *1647:10 *2821:la_oenb[77] 53.8607 +*END + +*D_NET *1648 0.162287 +*CONN +*I *2821:la_oenb[78] I *D user_project_wrapper +*I *2820:la_oenb_core[78] O *D mgmt_protect +*CAP +1 *2821:la_oenb[78] 0.00146418 +2 *2820:la_oenb_core[78] 0.00231297 +3 *1648:16 0.00585195 +4 *1648:14 0.00442388 +5 *1648:8 0.00109828 +6 *1648:7 0.00106217 +7 *1648:5 0.00231297 +8 *1648:8 *1655:8 0.00575288 +9 *1648:8 *1659:8 0.000378805 +10 *1648:8 *1662:10 0.00126721 +11 *1648:14 *1662:10 2.41483e-05 +12 *1648:14 *1662:12 9.82896e-06 +13 *1648:16 *1662:12 4.56667e-05 +14 *2820:la_data_out_core[78] *1648:5 0 +15 *998:13 *1648:5 0 +16 *1008:5 *1648:5 0 +17 *1009:5 *1648:5 0 +18 *1226:7 *1648:5 0.000162811 +19 *1228:8 *1648:8 0.00415813 +20 *1229:8 *1648:8 0.0062013 +21 *1231:8 *1648:8 0.000249054 +22 *1273:14 *1648:8 1.67988e-05 +23 *1273:14 *1648:14 0.000122378 +24 *1273:14 *1648:16 1.41689e-05 +25 *1278:8 *1648:16 0.0610805 +26 *1280:8 *1648:16 0.0611249 +27 *1550:8 *1648:16 0.00296876 +28 *1612:10 *1648:8 0.000183207 +*RES +1 *2820:la_oenb_core[78] *1648:5 51.3691 +2 *1648:5 *1648:7 4.5 +3 *1648:7 *1648:8 109.98 +4 *1648:8 *1648:14 2.43543 +5 *1648:14 *1648:16 645.727 +6 *1648:16 *2821:la_oenb[78] 35.5218 +*END + +*D_NET *1649 0.166937 +*CONN +*I *2821:la_oenb[79] I *D user_project_wrapper +*I *2820:la_oenb_core[79] O *D mgmt_protect +*CAP +1 *2821:la_oenb[79] 0.00274342 +2 *2820:la_oenb_core[79] 0.0013063 +3 *1649:10 0.00274342 +4 *1649:8 0.00588726 +5 *1649:7 0.00719355 +6 *1649:8 *2737:8 0.0358068 +7 *2820:la_data_out_core[79] *1649:7 0 +8 *2820:la_data_out_core[80] *1649:7 0 +9 *1009:5 *1649:7 0 +10 *1011:7 *1649:7 0 +11 *1264:8 *1649:8 0.000598776 +12 *1281:8 *1649:8 0.00484422 +13 *1573:8 *1649:8 1.41689e-05 +14 *1631:8 *1649:8 0.0370172 +15 *1647:8 *1649:8 0.0687823 +*RES +1 *2820:la_oenb_core[79] *1649:7 33.4455 +2 *1649:7 *1649:8 770.513 +3 *1649:8 *1649:10 4.5 +4 *1649:10 *2821:la_oenb[79] 53.4454 +*END + +*D_NET *1650 0.0376987 +*CONN +*I *2821:la_oenb[7] I *D user_project_wrapper +*I *2820:la_oenb_core[7] O *D mgmt_protect +*CAP +1 *2821:la_oenb[7] 0.00237176 +2 *2820:la_oenb_core[7] 0.000810642 +3 *1650:10 0.00237176 +4 *1650:8 0.00304795 +5 *1650:7 0.00385859 +6 *2821:la_oenb[7] *2820:mprj_dat_i_user[16] 0.000320646 +7 *2821:la_oenb[7] *1796:7 0.000249888 +8 *2821:la_oenb[7] *1927:5 0 +9 *1650:8 *1661:8 0.0157062 +10 *1650:8 *1812:8 0.00184192 +11 *1650:8 *1870:20 0.00394081 +12 *1650:8 *1878:22 0.00229711 +13 *2820:la_data_out_core[7] *1650:7 0 +14 *1010:8 *1650:8 0 +15 *1021:7 *1650:7 0 +16 *1161:14 *1650:8 0.000881427 +*RES +1 *2820:la_oenb_core[7] *1650:7 27.2167 +2 *1650:7 *1650:8 189.843 +3 *1650:8 *1650:10 4.5 +4 *1650:10 *2821:la_oenb[7] 59.6742 +*END + +*D_NET *1651 0.168454 +*CONN +*I *2821:la_oenb[80] I *D user_project_wrapper +*I *2820:la_oenb_core[80] O *D mgmt_protect +*CAP +1 *2821:la_oenb[80] 0.00191943 +2 *2820:la_oenb_core[80] 0.00193141 +3 *1651:8 0.00790784 +4 *1651:7 0.00791982 +5 *1651:8 *1666:8 0.0042373 +6 *2820:la_data_out_core[80] *1651:7 0 +7 *2820:la_data_out_core[81] *1651:7 0 +8 *2821:la_data_in[44] *1651:7 0.000487883 +9 *1012:7 *1651:7 0 +10 *1263:8 *1651:8 0.000824071 +11 *1265:8 *1651:8 0.0695436 +12 *1268:8 *1651:8 0.0735878 +13 *1630:14 *1651:8 9.48476e-05 +*RES +1 *2820:la_oenb_core[80] *1651:7 48.8099 +2 *1651:7 *1651:8 783.269 +3 *1651:8 *2821:la_oenb[80] 42.5811 +*END + +*D_NET *1652 0.173102 +*CONN +*I *2821:la_oenb[81] I *D user_project_wrapper +*I *2820:la_oenb_core[81] O *D mgmt_protect +*CAP +1 *2821:la_oenb[81] 0.00218199 +2 *2820:la_oenb_core[81] 0.00171549 +3 *1652:8 0.00812702 +4 *1652:7 0.00766051 +5 *2820:la_data_out_core[81] *1652:7 0 +6 *1013:5 *1652:7 0 +7 *1267:8 *1652:8 0.0728066 +8 *1269:8 *1652:8 0.0749776 +9 *1283:8 *1652:8 0.00010238 +10 *1285:8 *1652:8 0.00549838 +11 *1611:8 *1652:8 3.18408e-05 +*RES +1 *2820:la_oenb_core[81] *1652:7 41.7506 +2 *1652:7 *1652:8 797.134 +3 *1652:8 *2821:la_oenb[81] 49.6404 +*END + +*D_NET *1653 0.174983 +*CONN +*I *2821:la_oenb[82] I *D user_project_wrapper +*I *2820:la_oenb_core[82] O *D mgmt_protect +*CAP +1 *2821:la_oenb[82] 0.00185676 +2 *2820:la_oenb_core[82] 0.00210566 +3 *1653:8 0.00790615 +4 *1653:7 0.00815506 +5 *2820:la_data_out_core[82] *1653:7 0 +6 *2821:la_oenb[44] *1653:7 0 +7 *1014:5 *1653:7 0 +8 *1268:8 *1653:8 0.073966 +9 *1270:8 *1653:8 0.0761433 +10 *1282:8 *1653:8 0.0046311 +11 *1630:14 *1653:8 0.000218849 +*RES +1 *2820:la_oenb_core[82] *1653:7 49.6404 +2 *1653:7 *1653:8 809.89 +3 *1653:8 *2821:la_oenb[82] 41.7506 +*END + +*D_NET *1654 0.178894 +*CONN +*I *2821:la_oenb[83] I *D user_project_wrapper +*I *2820:la_oenb_core[83] O *D mgmt_protect +*CAP +1 *2821:la_oenb[83] 0.00218767 +2 *2820:la_oenb_core[83] 0.00165898 +3 *1654:10 0.00218767 +4 *1654:8 0.00602934 +5 *1654:7 0.00768832 +6 *2820:la_data_out_core[83] *1654:7 0 +7 *2820:la_data_out_core[84] *1654:7 0 +8 *1015:7 *1654:7 0 +9 *1232:8 *1654:8 3.40535e-05 +10 *1269:8 *1654:8 0.0753945 +11 *1271:8 *1654:8 0.0775654 +12 *1287:8 *1654:8 0.0061476 +*RES +1 *2820:la_oenb_core[83] *1654:7 40.9201 +2 *1654:7 *1654:8 823.755 +3 *1654:8 *1654:10 4.5 +4 *1654:10 *2821:la_oenb[83] 45.9709 +*END + +*D_NET *1655 0.131265 +*CONN +*I *2821:la_oenb[84] I *D user_project_wrapper +*I *2820:la_oenb_core[84] O *D mgmt_protect +*CAP +1 *2821:la_oenb[84] 0.00124887 +2 *2820:la_oenb_core[84] 0.00241847 +3 *1655:14 0.0174708 +4 *1655:13 0.0163777 +5 *1655:8 0.000653727 +6 *1655:7 0.000497936 +7 *1655:5 0.00241847 +8 *1655:14 *1657:8 0.0736003 +9 *1655:14 *1668:8 0.00353908 +10 *2820:la_data_out_core[84] *1655:5 0 +11 *2820:la_data_out_core[85] *1655:5 0 +12 *929:8 *1655:14 0.00204744 +13 *992:8 *1655:14 0 +14 *994:8 *1655:14 0 +15 *996:12 *1655:14 0 +16 *1016:7 *1655:5 0 +17 *1231:7 *1655:13 3.00073e-05 +18 *1273:13 *1655:13 0 +19 *1273:14 *1655:8 0.00450186 +20 *1612:10 *1655:8 0.00027329 +21 *1646:8 *1655:8 0.000433733 +22 *1648:8 *1655:8 0.00575288 +*RES +1 *2820:la_oenb_core[84] *1655:5 50.9539 +2 *1655:5 *1655:7 4.5 +3 *1655:7 *1655:8 61.1752 +4 *1655:8 *1655:13 12.493 +5 *1655:13 *1655:14 776.059 +6 *1655:14 *2821:la_oenb[84] 32.615 +*END + +*D_NET *1656 0.175172 +*CONN +*I *2821:la_oenb[85] I *D user_project_wrapper +*I *2820:la_oenb_core[85] O *D mgmt_protect +*CAP +1 *2821:la_oenb[85] 0.00285154 +2 *2820:la_oenb_core[85] 0.00107353 +3 *1656:10 0.00285154 +4 *1656:8 0.0254452 +5 *1656:7 0.0265187 +6 *1656:8 *1658:8 0.090482 +7 *2820:la_data_out_core[85] *1656:7 0 +8 *2821:la_data_in[46] *1656:7 0 +9 *918:8 *1656:8 0.0131332 +10 *1017:5 *1656:7 0 +11 *1173:8 *1656:8 5.99814e-05 +12 *1251:8 *1656:8 0.00171697 +13 *1253:8 *1656:8 0.00220529 +14 *1547:8 *1656:8 0.00512344 +15 *1633:14 *1656:8 0.000490527 +16 *1635:18 *1656:8 0.00120675 +17 *1638:12 *1656:8 0.00201301 +*RES +1 *2820:la_oenb_core[85] *1656:7 27.5389 +2 *1656:7 *1656:8 117.309 +3 *1656:8 *1656:10 3.36879 +4 *1656:10 *2821:la_oenb[85] 58.2208 +*END + +*D_NET *1657 0.179709 +*CONN +*I *2821:la_oenb[86] I *D user_project_wrapper +*I *2820:la_oenb_core[86] O *D mgmt_protect +*CAP +1 *2821:la_oenb[86] 0.00125979 +2 *2820:la_oenb_core[86] 0.00254331 +3 *1657:8 0.00847843 +4 *1657:7 0.00721864 +5 *1657:5 0.00254331 +6 *2820:la_data_out_core[86] *1657:5 0 +7 *992:8 *1657:8 0 +8 *1017:5 *1657:5 0 +9 *1018:5 *1657:5 0 +10 *1229:7 *1657:5 5.68549e-05 +11 *1231:8 *1657:8 0.000872368 +12 *1273:8 *1657:8 0.0766506 +13 *1275:8 *1657:8 0.000376393 +14 *1275:14 *1657:8 0.00241624 +15 *1286:8 *1657:8 0.00369284 +16 *1655:14 *1657:8 0.0736003 +*RES +1 *2820:la_oenb_core[86] *1657:5 53.8607 +2 *1657:5 *1657:7 4.5 +3 *1657:7 *1657:8 863.687 +4 *1657:8 *2821:la_oenb[86] 33.0303 +*END + +*D_NET *1658 0.218452 +*CONN +*I *2821:la_oenb[87] I *D user_project_wrapper +*I *2820:la_oenb_core[87] O *D mgmt_protect +*CAP +1 *2821:la_oenb[87] 0.00278074 +2 *2820:la_oenb_core[87] 0.00110833 +3 *1658:10 0.00278074 +4 *1658:8 0.0103387 +5 *1658:7 0.011447 +6 *1658:8 *1660:8 0.0933453 +7 *2820:la_data_out_core[87] *1658:7 0 +8 *2820:la_data_out_core[88] *1658:7 0 +9 *918:8 *1658:8 0.000573548 +10 *1019:7 *1658:7 0 +11 *1256:8 *1658:8 0.00279601 +12 *1276:8 *1658:8 0.000121359 +13 *1622:17 *1658:7 2.20115e-05 +14 *1641:8 *1658:8 0.00265619 +15 *1656:8 *1658:8 0.090482 +*RES +1 *2820:la_oenb_core[87] *1658:7 28.3694 +2 *1658:7 *1658:8 120.981 +3 *1658:8 *1658:10 3.36879 +4 *1658:10 *2821:la_oenb[87] 57.3903 +*END + +*D_NET *1659 0.191867 +*CONN +*I *2821:la_oenb[88] I *D user_project_wrapper +*I *2820:la_oenb_core[88] O *D mgmt_protect +*CAP +1 *2821:la_oenb[88] 0.00131702 +2 *2820:la_oenb_core[88] 0.00205782 +3 *1659:8 0.00744706 +4 *1659:7 0.00613004 +5 *1659:5 0.00205782 +6 *1659:8 *1662:10 0.000576159 +7 *1659:8 *1662:12 0.0816124 +8 *1659:8 *1670:8 0.00449723 +9 *2820:la_data_out_core[88] *1659:5 0 +10 *2821:la_data_in[47] *1659:5 0.00188068 +11 *1020:5 *1659:5 0 +12 *1184:8 *1659:8 0.000308997 +13 *1231:8 *1659:8 0.00262867 +14 *1275:8 *1659:8 0.0808689 +15 *1275:14 *1659:8 0.000105847 +16 *1648:8 *1659:8 0.000378805 +*RES +1 *2820:la_oenb_core[88] *1659:5 52.6149 +2 *1659:5 *1659:7 4.5 +3 *1659:7 *1659:8 890.308 +4 *1659:8 *2821:la_oenb[88] 34.276 +*END + +*D_NET *1660 0.225175 +*CONN +*I *2821:la_oenb[89] I *D user_project_wrapper +*I *2820:la_oenb_core[89] O *D mgmt_protect +*CAP +1 *2821:la_oenb[89] 0.00270889 +2 *2820:la_oenb_core[89] 0.0011689 +3 *1660:10 0.00270889 +4 *1660:8 0.0100268 +5 *1660:7 0.0111957 +6 *1660:8 *1663:8 0.00032807 +7 *2820:la_data_out_core[89] *1660:7 0 +8 *918:8 *1660:8 0 +9 *1022:7 *1660:7 0 +10 *1258:8 *1660:8 0.00338536 +11 *1276:8 *1660:8 0.0972003 +12 *1643:8 *1660:8 0.00310641 +13 *1658:8 *1660:8 0.0933453 +*RES +1 *2820:la_oenb_core[89] *1660:7 29.1999 +2 *1660:7 *1660:8 124.576 +3 *1660:8 *1660:10 3.36879 +4 *1660:10 *2821:la_oenb[89] 56.5598 +*END + +*D_NET *1661 0.0380377 +*CONN +*I *2821:la_oenb[8] I *D user_project_wrapper +*I *2820:la_oenb_core[8] O *D mgmt_protect +*CAP +1 *2821:la_oenb[8] 0.00239611 +2 *2820:la_oenb_core[8] 0.000789206 +3 *1661:10 0.00239611 +4 *1661:8 0.00267898 +5 *1661:7 0.00346819 +6 *2821:la_oenb[8] *2820:mprj_dat_i_user[18] 0 +7 *2821:la_oenb[8] *1799:5 0.000359845 +8 *2821:la_oenb[8] *1930:7 7.98939e-05 +9 *2820:la_data_out_core[8] *1661:7 0 +10 *1032:7 *1661:7 0 +11 *1161:14 *1661:8 0.0101632 +12 *1650:8 *1661:8 0.0157062 +*RES +1 *2820:la_oenb_core[8] *1661:7 26.8015 +2 *1661:7 *1661:8 175.978 +3 *1661:8 *1661:10 4.5 +4 *1661:10 *2821:la_oenb[8] 60.0894 +*END + +*D_NET *1662 0.196484 +*CONN +*I *2821:la_oenb[90] I *D user_project_wrapper +*I *2820:la_oenb_core[90] O *D mgmt_protect +*CAP +1 *2821:la_oenb[90] 0.00132799 +2 *2820:la_oenb_core[90] 0.00185731 +3 *1662:12 0.00761603 +4 *1662:10 0.00645495 +5 *1662:5 0.00202423 +6 *2821:la_oenb[47] *1662:5 0 +7 *1023:5 *1662:5 0 +8 *1181:8 *1662:12 0.000649254 +9 *1184:8 *1662:12 0.00105915 +10 *1186:8 *1662:12 1.41689e-05 +11 *1278:8 *1662:12 0.0848177 +12 *1278:17 *1662:5 0.0026311 +13 *1548:8 *1662:12 0.0044966 +14 *1648:8 *1662:10 0.00126721 +15 *1648:14 *1662:10 2.41483e-05 +16 *1648:14 *1662:12 9.82896e-06 +17 *1648:16 *1662:12 4.56667e-05 +18 *1659:8 *1662:10 0.000576159 +19 *1659:8 *1662:12 0.0816124 +*RES +1 *2820:la_oenb_core[90] *1662:5 51.7844 +2 *1662:5 *1662:10 19.1126 +3 *1662:10 *1662:12 903.063 +4 *1662:12 *2821:la_oenb[90] 34.6913 +*END + +*D_NET *1663 0.233804 +*CONN +*I *2821:la_oenb[91] I *D user_project_wrapper +*I *2820:la_oenb_core[91] O *D mgmt_protect +*CAP +1 *2821:la_oenb[91] 0.00261703 +2 *2820:la_oenb_core[91] 0.00128375 +3 *1663:10 0.00261703 +4 *1663:8 0.0108255 +5 *1663:7 0.0121092 +6 *2820:la_data_out_core[91] *1663:7 0 +7 *2821:la_data_in[48] *1663:7 0 +8 *918:8 *1663:8 0 +9 *1024:7 *1663:7 0 +10 *1262:8 *1663:8 0.00445002 +11 *1264:8 *1663:8 0.00466574 +12 *1276:8 *1663:8 0.0954559 +13 *1281:8 *1663:8 0.0994518 +14 *1660:8 *1663:8 0.00032807 +*RES +1 *2820:la_oenb_core[91] *1663:7 30.8609 +2 *1663:7 *1663:8 128.247 +3 *1663:8 *1663:10 3.36879 +4 *1663:10 *2821:la_oenb[91] 54.8988 +*END + +*D_NET *1664 0.199951 +*CONN +*I *2821:la_oenb[92] I *D user_project_wrapper +*I *2820:la_oenb_core[92] O *D mgmt_protect +*CAP +1 *2821:la_oenb[92] 0.00183897 +2 *2820:la_oenb_core[92] 0.00178235 +3 *1664:8 0.0371033 +4 *1664:7 0.0370467 +5 *1664:8 *1666:8 0.100579 +6 *2821:la_oenb[48] *1664:7 0 +7 *1025:7 *1664:7 0 +8 *1257:8 *1664:8 0.000633776 +9 *1257:12 *1664:8 0.00057916 +10 *1257:18 *1664:8 0.000451936 +11 *1259:8 *1664:8 0.002723 +12 *1263:8 *1664:8 0.00447358 +13 *1265:8 *1664:8 0.00430107 +14 *1280:11 *1664:7 0.000916711 +15 *1282:8 *1664:8 0.000121359 +16 *1283:8 *1664:8 0 +17 *1637:8 *1664:8 0.000107595 +18 *1640:8 *1664:8 0.000468206 +19 *1640:18 *1664:8 0.0010184 +20 *1642:8 *1664:8 0.00268634 +21 *1644:8 *1664:8 0.00311889 +*RES +1 *2820:la_oenb_core[92] *1664:7 46.6405 +2 *1664:7 *1664:8 130.16 +3 *1664:8 *2821:la_oenb[92] 42.488 +*END + +*D_NET *1665 0.207138 +*CONN +*I *2821:la_oenb[93] I *D user_project_wrapper +*I *2820:la_oenb_core[93] O *D mgmt_protect +*CAP +1 *2821:la_oenb[93] 0.00218435 +2 *2820:la_oenb_core[93] 0.0015756 +3 *1665:14 0.00218435 +4 *1665:12 0.00651715 +5 *1665:10 0.00653534 +6 *1665:8 0.000525127 +7 *1665:7 0.00208254 +8 *1665:8 *1667:10 0.00374544 +9 *1665:8 *1667:12 1.67988e-05 +10 *1665:10 *1667:10 1.09551e-05 +11 *1665:12 *1667:12 0.0855779 +12 *2820:la_data_out_core[93] *1665:7 0 +13 *2821:la_data_in[49] *1665:7 0.00023478 +14 *1025:7 *1665:7 0 +15 *1026:7 *1665:7 0 +16 *1232:8 *1665:8 0.000785018 +17 *1234:8 *1665:8 0.000313008 +18 *1274:8 *1665:8 0.00529998 +19 *1274:8 *1665:12 1.41689e-05 +20 *1279:8 *1665:12 0.0807667 +21 *1549:10 *1665:12 0.00012309 +22 *1553:8 *1665:12 0.00864537 +*RES +1 *2820:la_oenb_core[93] *1665:7 39.2591 +2 *1665:7 *1665:8 56.7384 +3 *1665:8 *1665:10 0.578717 +4 *1665:10 *1665:12 900.29 +5 *1665:12 *1665:14 4.5 +6 *1665:14 *2821:la_oenb[93] 48.0471 +*END + +*D_NET *1666 0.2451 +*CONN +*I *2821:la_oenb[94] I *D user_project_wrapper +*I *2820:la_oenb_core[94] O *D mgmt_protect +*CAP +1 *2821:la_oenb[94] 0.00178355 +2 *2820:la_oenb_core[94] 0.00204834 +3 *1666:8 0.0135236 +4 *1666:7 0.0137884 +5 *1666:8 *2736:8 0.00032807 +6 *2820:la_data_out_core[94] *1666:7 0 +7 *1027:5 *1666:7 0 +8 *1232:5 *1666:7 0.000489045 +9 *1268:8 *1666:8 0.00389557 +10 *1282:8 *1666:8 0.104427 +11 *1283:8 *1666:8 0 +12 *1651:8 *1666:8 0.0042373 +13 *1664:8 *1666:8 0.100579 +*RES +1 *2820:la_oenb_core[94] *1666:7 47.471 +2 *1666:7 *1666:8 133.831 +3 *1666:8 *2821:la_oenb[94] 41.6575 +*END + +*D_NET *1667 0.212719 +*CONN +*I *2821:la_oenb[95] I *D user_project_wrapper +*I *2820:la_oenb_core[95] O *D mgmt_protect +*CAP +1 *2821:la_oenb[95] 0.00216824 +2 *2820:la_oenb_core[95] 0.00165583 +3 *1667:14 0.00216824 +4 *1667:12 0.00665423 +5 *1667:10 0.00702836 +6 *1667:7 0.00202996 +7 *1667:10 *1669:10 0.00187225 +8 *1667:10 *1669:12 0.000161689 +9 *1667:12 *1669:12 0.0897073 +10 *2820:la_data_out_core[95] *1667:7 0 +11 *2820:la_data_out_core[96] *1667:7 0 +12 *1028:7 *1667:7 0 +13 *1234:8 *1667:10 0.0013032 +14 *1549:10 *1667:12 0.000111178 +15 *1553:8 *1667:12 0.000267774 +16 *1564:8 *1667:12 0.00815345 +17 *1618:8 *1667:10 8.66138e-05 +18 *1665:8 *1667:10 0.00374544 +19 *1665:8 *1667:12 1.67988e-05 +20 *1665:10 *1667:10 1.09551e-05 +21 *1665:12 *1667:12 0.0855779 +*RES +1 *2820:la_oenb_core[95] *1667:7 38.8438 +2 *1667:7 *1667:10 40.679 +3 *1667:10 *1667:12 943.549 +4 *1667:12 *1667:14 4.5 +5 *1667:14 *2821:la_oenb[95] 48.4624 +*END + +*D_NET *1668 0.176611 +*CONN +*I *2821:la_oenb[96] I *D user_project_wrapper +*I *2820:la_oenb_core[96] O *D mgmt_protect +*CAP +1 *2821:la_oenb[96] 0.00120386 +2 *2820:la_oenb_core[96] 0.00243027 +3 *1668:8 0.0300786 +4 *1668:7 0.0288748 +5 *1668:5 0.00243027 +6 *2820:la_data_out_core[96] *1668:5 0 +7 *2821:la_data_in[50] *1668:5 0.000748623 +8 *929:8 *1668:8 0.000423086 +9 *933:8 *1668:8 0.000134497 +10 *934:14 *1668:8 0 +11 *992:8 *1668:8 0.000133943 +12 *993:14 *1668:8 0.000133943 +13 *1029:5 *1668:5 0 +14 *1286:8 *1668:8 0.10648 +15 *1655:14 *1668:8 0.00353908 +*RES +1 *2820:la_oenb_core[96] *1668:5 54.0683 +2 *1668:5 *1668:7 3.36879 +3 *1668:7 *1668:8 137.503 +4 *1668:8 *2821:la_oenb[96] 31.6914 +*END + +*D_NET *1669 0.219136 +*CONN +*I *2821:la_oenb[97] I *D user_project_wrapper +*I *2820:la_oenb_core[97] O *D mgmt_protect +*CAP +1 *2821:la_oenb[97] 0.00215308 +2 *2820:la_oenb_core[97] 0.00163681 +3 *1669:14 0.00215308 +4 *1669:12 0.00692915 +5 *1669:10 0.0071635 +6 *1669:7 0.00187117 +7 *1669:10 *1671:8 1.67988e-05 +8 *1669:12 *1671:8 0.0941619 +9 *2820:la_data_out_core[97] *1669:7 0 +10 *1030:7 *1669:7 0 +11 *1235:10 *1669:10 0.000403799 +12 *1235:12 *1669:10 0.000401654 +13 *1549:10 *1669:12 0.000102438 +14 *1553:8 *1669:12 0.000246179 +15 *1564:8 *1669:12 0.00966932 +16 *1618:8 *1669:10 0.00048572 +17 *1667:10 *1669:10 0.00187225 +18 *1667:10 *1669:12 0.000161689 +19 *1667:12 *1669:12 0.0897073 +*RES +1 *2820:la_oenb_core[97] *1669:7 38.4285 +2 *1669:7 *1669:10 20.7132 +3 *1669:10 *1669:12 990.136 +4 *1669:12 *1669:14 4.5 +5 *1669:14 *2821:la_oenb[97] 48.8776 +*END + +*D_NET *1670 0.264017 +*CONN +*I *2821:la_oenb[98] I *D user_project_wrapper +*I *2820:la_oenb_core[98] O *D mgmt_protect +*CAP +1 *2821:la_oenb[98] 0.00126095 +2 *2820:la_oenb_core[98] 0.00193612 +3 *1670:8 0.0155023 +4 *1670:7 0.0142413 +5 *1670:5 0.00193612 +6 *1031:5 *1670:5 0 +7 *1275:8 *1670:8 0.00402342 +8 *1282:8 *1670:8 0 +9 *1286:8 *1670:8 0.110294 +10 *1286:11 *1670:5 0.00272043 +11 *1548:8 *1670:8 0.107605 +12 *1659:8 *1670:8 0.00449723 +*RES +1 *2820:la_oenb_core[98] *1670:5 52.4073 +2 *1670:5 *1670:7 3.36879 +3 *1670:7 *1670:8 141.174 +4 *1670:8 *2821:la_oenb[98] 33.3524 +*END + +*D_NET *1671 0.176636 +*CONN +*I *2821:la_oenb[99] I *D user_project_wrapper +*I *2820:la_oenb_core[99] O *D mgmt_protect +*CAP +1 *2821:la_oenb[99] 0.00213577 +2 *2820:la_oenb_core[99] 0.00159394 +3 *1671:10 0.00213577 +4 *1671:8 0.00948197 +5 *1671:7 0.0110759 +6 *2820:la_data_out_core[99] *1671:7 0 +7 *906:5 *1671:7 0 +8 *1235:10 *1671:8 0.000324166 +9 *1236:8 *1671:8 0.0023058 +10 *1237:8 *1671:8 0.000899113 +11 *1238:8 *1671:8 5.00593e-05 +12 *1551:8 *1671:8 0.0418219 +13 *1553:8 *1671:8 0.00012426 +14 *1566:8 *1671:8 0.0093385 +15 *1631:16 *1671:8 0.00116967 +16 *1669:10 *1671:8 1.67988e-05 +17 *1669:12 *1671:8 0.0941619 +*RES +1 *2820:la_oenb_core[99] *1671:7 37.598 +2 *1671:7 *1671:8 1036.72 +3 *1671:8 *1671:10 4.5 +4 *1671:10 *2821:la_oenb[99] 49.2929 +*END + +*D_NET *1672 0.0312913 +*CONN +*I *2821:la_oenb[9] I *D user_project_wrapper +*I *2820:la_oenb_core[9] O *D mgmt_protect +*CAP +1 *2821:la_oenb[9] 0.0017699 +2 *2820:la_oenb_core[9] 0.0013174 +3 *1672:8 0.00335777 +4 *1672:7 0.00290527 +5 *2821:la_oenb[9] *1803:7 0 +6 *1672:8 *1805:8 0.000121162 +7 *2820:la_data_out_core[9] *1672:7 0 +8 *916:7 *1672:7 0 +9 *916:8 *1672:8 0.00661452 +10 *1288:8 *1672:8 0.0152053 +*RES +1 *2820:la_oenb_core[9] *1672:7 41.7506 +2 *1672:7 *1672:8 163.222 +3 *1672:8 *2821:la_oenb[9] 49.6404 +*END + +*D_NET *1673 0.0123372 +*CONN +*I *2819:mask_rev_in[0] I *D housekeeping +*I *2827:mask_rev[0] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[0] 0.00127441 +2 *2827:mask_rev[0] 0.000194382 +3 *1673:12 0.00372713 +4 *1673:10 0.00264711 +5 *2819:mask_rev_in[0] *2819:mask_rev_in[1] 0 +6 *2819:mask_rev_in[0] *1698:11 0.00034574 +7 *1673:10 *1702:9 0 +8 *1673:12 *1684:11 0.00249077 +9 *1673:12 *1686:19 0 +10 *1673:12 *1702:10 0.00123205 +11 *1673:12 *1704:16 0.000425627 +12 *64:51 *2819:mask_rev_in[0] 0 +13 *66:17 *2819:mask_rev_in[0] 0 +*RES +1 *2827:mask_rev[0] *1673:10 8.45803 +2 *1673:10 *1673:12 102.216 +3 *1673:12 *2819:mask_rev_in[0] 41.6651 +*END + +*D_NET *1674 0.0239332 +*CONN +*I *2819:mask_rev_in[10] I *D housekeeping +*I *2827:mask_rev[10] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[10] 0.00094511 +2 *2827:mask_rev[10] 0.000613924 +3 *1674:11 0.00165385 +4 *1674:10 0.000708738 +5 *1674:8 0.000680311 +6 *1674:7 0.00129423 +7 *2819:mask_rev_in[10] *2819:mask_rev_in[11] 0 +8 *2819:mask_rev_in[10] *2819:mask_rev_in[9] 0.0020263 +9 *2819:mask_rev_in[10] *1676:16 0.000156367 +10 *2819:mask_rev_in[10] *2538:11 0 +11 *1674:7 *1698:7 0 +12 *1674:7 *1703:7 0 +13 *1674:8 *1675:8 0.000652004 +14 *1674:8 *1676:8 0.00484473 +15 *1674:8 *1680:10 0.00431142 +16 *1674:8 *1698:8 0.000767815 +17 *1674:8 *1703:8 8.47748e-05 +18 *1674:11 *2819:mask_rev_in[7] 0 +19 *1674:11 *1675:11 0.00349791 +20 *1674:11 *1676:11 0.00169567 +*RES +1 *2827:mask_rev[10] *1674:7 21.8185 +2 *1674:7 *1674:8 71.7127 +3 *1674:8 *1674:10 4.5 +4 *1674:10 *1674:11 57.0605 +5 *1674:11 *2819:mask_rev_in[10] 47.8711 +*END + +*D_NET *1675 0.0178306 +*CONN +*I *2819:mask_rev_in[11] I *D housekeeping +*I *2827:mask_rev[11] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[11] 0.00119825 +2 *2827:mask_rev[11] 0.000584713 +3 *1675:11 0.00257892 +4 *1675:10 0.00138068 +5 *1675:8 0.0011544 +6 *1675:7 0.00173912 +7 *2819:mask_rev_in[11] *2819:mask_rev_in[12] 0 +8 *2819:mask_rev_in[11] *1699:13 0.000685247 +9 *2819:mask_rev_in[11] *2538:11 0.000689459 +10 *1675:7 *1676:7 0 +11 *1675:8 *1680:10 0.00366993 +12 *1675:11 *2819:mask_rev_in[7] 0 +13 *1675:11 *2819:mask_rev_in[8] 0 +14 *1675:11 *2819:mask_rev_in[9] 0 +15 *2819:mask_rev_in[10] *2819:mask_rev_in[11] 0 +16 *1674:8 *1675:8 0.000652004 +17 *1674:11 *1675:11 0.00349791 +*RES +1 *2827:mask_rev[11] *1675:7 20.988 +2 *1675:7 *1675:8 53.4107 +3 *1675:8 *1675:10 4.5 +4 *1675:10 *1675:11 59.5521 +5 *1675:11 *2819:mask_rev_in[11] 47.8767 +*END + +*D_NET *1676 0.0207864 +*CONN +*I *2819:mask_rev_in[12] I *D housekeeping +*I *2827:mask_rev[12] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[12] 0.00128837 +2 *2827:mask_rev[12] 0.000649022 +3 *1676:16 0.00154425 +4 *1676:11 0.0017154 +5 *1676:10 0.00145953 +6 *1676:8 0.000307401 +7 *1676:7 0.000956423 +8 *2819:mask_rev_in[12] *1680:11 0 +9 *1676:8 *1698:8 0.00495515 +10 *1676:11 *2819:mask_rev_in[7] 0 +11 *1676:16 *1702:10 0.00121409 +12 *1676:16 *2538:11 0 +13 *2819:mask_rev_in[10] *1676:16 0.000156367 +14 *2819:mask_rev_in[11] *2819:mask_rev_in[12] 0 +15 *1674:8 *1676:8 0.00484473 +16 *1674:11 *1676:11 0.00169567 +17 *1675:7 *1676:7 0 +*RES +1 *2827:mask_rev[12] *1676:7 22.2337 +2 *1676:7 *1676:8 51.7469 +3 *1676:8 *1676:10 4.5 +4 *1676:10 *1676:11 56.6453 +5 *1676:11 *1676:16 21.9247 +6 *1676:16 *2819:mask_rev_in[12] 35.6506 +*END + +*D_NET *1677 0.00730291 +*CONN +*I *2819:mask_rev_in[13] I *D housekeeping +*I *2827:mask_rev[13] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[13] 0.000878965 +2 *2827:mask_rev[13] 0.000251884 +3 *1677:8 0.00274419 +4 *1677:7 0.00211711 +5 *2819:mask_rev_in[13] *2819:mask_rev_in[14] 0 +6 *1677:7 *2819:mask_rev_in[26] 0 +7 *1677:7 *2819:mask_rev_in[29] 9.84631e-05 +8 *1677:7 *1689:10 0 +9 *1677:8 *1678:20 0 +10 *1677:8 *1681:10 0.00051415 +11 *1677:8 *1683:10 8.5662e-05 +12 *1677:8 *1689:10 0.000419695 +13 *1677:8 *1701:13 0.000192778 +*RES +1 *2827:mask_rev[13] *1677:7 11.8524 +2 *1677:7 *1677:8 60.066 +3 *1677:8 *2819:mask_rev_in[13] 29.3541 +*END + +*D_NET *1678 0.0132952 +*CONN +*I *2819:mask_rev_in[14] I *D housekeeping +*I *2827:mask_rev[14] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[14] 0.00103864 +2 *2827:mask_rev[14] 0.000772152 +3 *1678:20 0.0029198 +4 *1678:19 0.0021759 +5 *1678:15 0.00106689 +6 *2819:mask_rev_in[14] *2819:mask_rev_in[15] 0 +7 *1678:15 *2819:mask_rev_in[31] 2.37478e-05 +8 *1678:15 *1686:16 0.000271446 +9 *1678:15 *1697:15 0.00174663 +10 *1678:15 *1699:12 0.000107108 +11 *1678:15 *1700:12 3.69591e-05 +12 *1678:19 *2819:mask_rev_in[28] 0.00018185 +13 *1678:19 *2819:mask_rev_in[30] 0.000692858 +14 *1678:19 *2819:mask_rev_in[31] 4.55455e-05 +15 *1678:20 *2819:mask_rev_in[25] 0.0012501 +16 *1678:20 *2819:mask_rev_in[26] 0.000214838 +17 *1678:20 *1700:13 0.00075079 +18 *1678:20 *1701:13 0 +19 *2819:mask_rev_in[13] *2819:mask_rev_in[14] 0 +20 *1677:8 *1678:20 0 +*RES +1 *2827:mask_rev[14] *1678:15 44.7057 +2 *1678:15 *1678:19 17.7514 +3 *1678:19 *1678:20 63.3936 +4 *1678:20 *2819:mask_rev_in[14] 33.5066 +*END + +*D_NET *1679 0.014427 +*CONN +*I *2819:mask_rev_in[15] I *D housekeeping +*I *2827:mask_rev[15] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[15] 0.000838322 +2 *2827:mask_rev[15] 0.00085087 +3 *1679:17 0.0024381 +4 *1679:16 0.00245065 +5 *2819:mask_rev_in[15] *2819:mask_rev_in[16] 0.00191706 +6 *2819:mask_rev_in[15] *1701:10 0 +7 *1679:16 *1685:16 0.00139698 +8 *1679:16 *1686:16 0.00012693 +9 *1679:16 *1699:12 4.3116e-06 +10 *1679:17 *1680:16 1.43983e-05 +11 *1679:17 *1685:19 0.00403813 +12 *1679:17 *1702:10 0.000351195 +13 *1679:17 *2538:11 0 +14 *2819:mask_rev_in[14] *2819:mask_rev_in[15] 0 +*RES +1 *2827:mask_rev[15] *1679:16 36.3692 +2 *1679:16 *1679:17 63.3936 +3 *1679:17 *2819:mask_rev_in[15] 38.9049 +*END + +*D_NET *1680 0.0186183 +*CONN +*I *2819:mask_rev_in[16] I *D housekeeping +*I *2827:mask_rev[16] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[16] 0.000787696 +2 *2827:mask_rev[16] 0.000599318 +3 *1680:16 0.001139 +4 *1680:11 0.00245258 +5 *1680:10 0.00246401 +6 *1680:7 0.00096205 +7 *2819:mask_rev_in[16] *1701:10 0 +8 *1680:16 *1702:10 0 +9 *1680:16 *2538:11 0.000300864 +10 *2819:mask_rev_in[12] *1680:11 0 +11 *2819:mask_rev_in[15] *2819:mask_rev_in[16] 0.00191706 +12 *1674:8 *1680:10 0.00431142 +13 *1675:8 *1680:10 0.00366993 +14 *1679:17 *1680:16 1.43983e-05 +*RES +1 *2827:mask_rev[16] *1680:7 21.4032 +2 *1680:7 *1680:10 49.5917 +3 *1680:10 *1680:11 58.3063 +4 *1680:11 *1680:16 21.3701 +5 *1680:16 *2819:mask_rev_in[16] 33.1591 +*END + +*D_NET *1681 0.00510573 +*CONN +*I *2819:mask_rev_in[17] I *D housekeeping +*I *2827:mask_rev[17] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[17] 0.000835149 +2 *2827:mask_rev[17] 0.000806941 +3 *1681:10 0.00164209 +4 *2819:mask_rev_in[17] *2819:mask_rev_in[18] 0 +5 *1681:10 *2819:mask_rev_in[23] 0 +6 *1681:10 *2819:mask_rev_in[25] 0 +7 *1681:10 *1683:10 0.0013074 +8 *1677:8 *1681:10 0.00051415 +*RES +1 *2827:mask_rev[17] *1681:10 39.3965 +2 *1681:10 *2819:mask_rev_in[17] 23.6083 +*END + +*D_NET *1682 0.00380909 +*CONN +*I *2819:mask_rev_in[18] I *D housekeeping +*I *2827:mask_rev[18] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[18] 0.00190455 +2 *2827:mask_rev[18] 0.00190455 +3 *2819:mask_rev_in[18] *2819:mask_rev_in[19] 0 +4 *2819:mask_rev_in[18] *1701:10 0 +5 *2819:mask_rev_in[17] *2819:mask_rev_in[18] 0 +*RES +1 *2827:mask_rev[18] *2819:mask_rev_in[18] 47.4969 +*END + +*D_NET *1683 0.00516394 +*CONN +*I *2819:mask_rev_in[19] I *D housekeeping +*I *2827:mask_rev[19] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[19] 0.000818143 +2 *2827:mask_rev[19] 0.000933877 +3 *1683:10 0.00175202 +4 *2819:mask_rev_in[19] *2819:mask_rev_in[20] 0 +5 *1683:10 *2819:mask_rev_in[25] 0 +6 *1683:10 *1689:10 0.000266832 +7 *2819:mask_rev_in[18] *2819:mask_rev_in[19] 0 +8 *1677:8 *1683:10 8.5662e-05 +9 *1681:10 *1683:10 0.0013074 +*RES +1 *2827:mask_rev[19] *1683:10 39.8117 +2 *1683:10 *2819:mask_rev_in[19] 23.1931 +*END + +*D_NET *1684 0.0132997 +*CONN +*I *2819:mask_rev_in[1] I *D housekeeping +*I *2827:mask_rev[1] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[1] 0.00140529 +2 *2827:mask_rev[1] 0.00106167 +3 *1684:11 0.0021284 +4 *1684:10 0.00178478 +5 *2819:mask_rev_in[1] *2819:mask_rev_in[2] 0 +6 *2819:mask_rev_in[1] *1698:11 0 +7 *1684:10 *1695:10 0 +8 *1684:10 *1701:10 0.000583389 +9 *1684:11 *1695:11 0.00114209 +10 *1684:11 *1704:16 0.00270333 +11 *2819:mask_rev_in[0] *2819:mask_rev_in[1] 0 +12 *1673:12 *1684:11 0.00249077 +*RES +1 *2827:mask_rev[1] *1684:10 26.3084 +2 *1684:10 *1684:11 59.5114 +3 *1684:11 *2819:mask_rev_in[1] 43.0574 +*END + +*D_NET *1685 0.0145483 +*CONN +*I *2819:mask_rev_in[20] I *D housekeeping +*I *2827:mask_rev[20] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[20] 0.00128404 +2 *2827:mask_rev[20] 0.000390637 +3 *1685:19 0.00164454 +4 *1685:16 0.000751138 +5 *2819:mask_rev_in[20] *2819:mask_rev_in[21] 0 +6 *2819:mask_rev_in[20] *1690:12 0 +7 *1685:16 *1686:16 0.00129216 +8 *1685:16 *1699:12 7.77309e-06 +9 *1685:19 *1686:19 0.00362616 +10 *1685:19 *1702:10 4.02303e-05 +11 *1685:19 *1704:15 7.65564e-05 +12 *2819:mask_rev_in[19] *2819:mask_rev_in[20] 0 +13 *1679:16 *1685:16 0.00139698 +14 *1679:17 *1685:19 0.00403813 +*RES +1 *2827:mask_rev[20] *1685:16 31.6118 +2 *1685:16 *1685:19 47.3733 +3 *1685:19 *2819:mask_rev_in[20] 34.8201 +*END + +*D_NET *1686 0.013753 +*CONN +*I *2819:mask_rev_in[21] I *D housekeeping +*I *2827:mask_rev[21] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[21] 0.000823142 +2 *2827:mask_rev[21] 0.00069747 +3 *1686:19 0.00147005 +4 *1686:16 0.00134438 +5 *2819:mask_rev_in[21] *2819:mask_rev_in[22] 0.00199037 +6 *2819:mask_rev_in[21] *1688:16 0.000101246 +7 *2819:mask_rev_in[21] *1690:12 3.21568e-05 +8 *1686:16 *1699:12 0.000893274 +9 *1686:19 *1702:10 0.000333145 +10 *1686:19 *1704:15 0.000751057 +11 *2819:mask_rev_in[20] *2819:mask_rev_in[21] 0 +12 *1673:12 *1686:19 0 +13 *1678:15 *1686:16 0.000271446 +14 *1679:16 *1686:16 0.00012693 +15 *1685:16 *1686:16 0.00129216 +16 *1685:19 *1686:19 0.00362616 +*RES +1 *2827:mask_rev[21] *1686:16 40.4452 +2 *1686:16 *1686:19 42.9364 +3 *1686:19 *2819:mask_rev_in[21] 35.2354 +*END + +*D_NET *1687 0.00400694 +*CONN +*I *2819:mask_rev_in[22] I *D housekeeping +*I *2827:mask_rev[22] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[22] 0.00100828 +2 *2827:mask_rev[22] 0.00100828 +3 *2819:mask_rev_in[22] *2819:mask_rev_in[23] 0 +4 *2819:mask_rev_in[22] *1692:7 0 +5 *2819:mask_rev_in[21] *2819:mask_rev_in[22] 0.00199037 +*RES +1 *2827:mask_rev[22] *2819:mask_rev_in[22] 37.9848 +*END + +*D_NET *1688 0.00819269 +*CONN +*I *2819:mask_rev_in[23] I *D housekeeping +*I *2827:mask_rev[23] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[23] 0.000912158 +2 *2827:mask_rev[23] 0.000293814 +3 *1688:16 0.00195735 +4 *1688:12 0.00133901 +5 *2819:mask_rev_in[23] *1692:7 0.000814331 +6 *1688:16 *1690:12 0.00183782 +7 *1688:16 *1699:13 0.000466373 +8 *1688:16 *2538:11 0.000470585 +9 *2819:mask_rev_in[21] *1688:16 0.000101246 +10 *2819:mask_rev_in[22] *2819:mask_rev_in[23] 0 +11 *1681:10 *2819:mask_rev_in[23] 0 +*RES +1 *2827:mask_rev[23] *1688:12 16.8644 +2 *1688:12 *1688:16 48.8649 +3 *1688:16 *2819:mask_rev_in[23] 35.9981 +*END + +*D_NET *1689 0.00527171 +*CONN +*I *2819:mask_rev_in[24] I *D housekeeping +*I *2827:mask_rev[24] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[24] 0.000547886 +2 *2827:mask_rev[24] 0.000826522 +3 *1689:10 0.00137441 +4 *2819:mask_rev_in[24] *2819:mask_rev_in[25] 0.00133833 +5 *1689:10 *2819:mask_rev_in[28] 0.000377259 +6 *1689:10 *2819:mask_rev_in[29] 0.000120779 +7 *1677:7 *1689:10 0 +8 *1677:8 *1689:10 0.000419695 +9 *1683:10 *1689:10 0.000266832 +*RES +1 *2827:mask_rev[24] *1689:10 38.2873 +2 *1689:10 *2819:mask_rev_in[24] 23.0465 +*END + +*D_NET *1690 0.00881555 +*CONN +*I *2819:mask_rev_in[25] I *D housekeeping +*I *2827:mask_rev[25] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[25] 0.00102545 +2 *2827:mask_rev[25] 0.000898047 +3 *1690:12 0.0019235 +4 *2819:mask_rev_in[25] *1700:13 0.000308415 +5 *1690:12 *1704:15 0.000201734 +6 *2819:mask_rev_in[20] *1690:12 0 +7 *2819:mask_rev_in[21] *1690:12 3.21568e-05 +8 *2819:mask_rev_in[24] *2819:mask_rev_in[25] 0.00133833 +9 *1678:20 *2819:mask_rev_in[25] 0.0012501 +10 *1681:10 *2819:mask_rev_in[25] 0 +11 *1683:10 *2819:mask_rev_in[25] 0 +12 *1688:16 *1690:12 0.00183782 +*RES +1 *2827:mask_rev[25] *1690:12 40.3719 +2 *1690:12 *2819:mask_rev_in[25] 47.4011 +*END + +*D_NET *1691 0.00297337 +*CONN +*I *2819:mask_rev_in[26] I *D housekeeping +*I *2827:mask_rev[26] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[26] 0.00125932 +2 *2827:mask_rev[26] 0.00125932 +3 *2819:mask_rev_in[26] *2819:mask_rev_in[27] 2.01457e-05 +4 *2819:mask_rev_in[26] *1700:13 0.000219753 +5 *1677:7 *2819:mask_rev_in[26] 0 +6 *1678:20 *2819:mask_rev_in[26] 0.000214838 +*RES +1 *2827:mask_rev[26] *2819:mask_rev_in[26] 46.3667 +*END + +*D_NET *1692 0.00402979 +*CONN +*I *2819:mask_rev_in[27] I *D housekeeping +*I *2827:mask_rev[27] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[27] 0.000804623 +2 *2827:mask_rev[27] 0.000793033 +3 *1692:7 0.00159766 +4 *2819:mask_rev_in[22] *1692:7 0 +5 *2819:mask_rev_in[23] *1692:7 0.000814331 +6 *2819:mask_rev_in[26] *2819:mask_rev_in[27] 2.01457e-05 +*RES +1 *2827:mask_rev[27] *1692:7 33.0303 +2 *1692:7 *2819:mask_rev_in[27] 25.3415 +*END + +*D_NET *1693 0.00332814 +*CONN +*I *2819:mask_rev_in[28] I *D housekeeping +*I *2827:mask_rev[28] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[28] 0.00129812 +2 *2827:mask_rev[28] 0.00129812 +3 *2819:mask_rev_in[28] *2819:mask_rev_in[29] 0 +4 *2819:mask_rev_in[28] *2819:mask_rev_in[30] 0.000172797 +5 *1678:19 *2819:mask_rev_in[28] 0.00018185 +6 *1689:10 *2819:mask_rev_in[28] 0.000377259 +*RES +1 *2827:mask_rev[28] *2819:mask_rev_in[28] 48.5851 +*END + +*D_NET *1694 0.00550572 +*CONN +*I *2819:mask_rev_in[29] I *D housekeeping +*I *2827:mask_rev[29] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[29] 0.00264324 +2 *2827:mask_rev[29] 0.00264324 +3 *2819:mask_rev_in[28] *2819:mask_rev_in[29] 0 +4 *1677:7 *2819:mask_rev_in[29] 9.84631e-05 +5 *1689:10 *2819:mask_rev_in[29] 0.000120779 +*RES +1 *2827:mask_rev[29] *2819:mask_rev_in[29] 42.6624 +*END + +*D_NET *1695 0.0127268 +*CONN +*I *2819:mask_rev_in[2] I *D housekeeping +*I *2827:mask_rev[2] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[2] 0.00101178 +2 *2827:mask_rev[2] 0.00153099 +3 *1695:11 0.0022349 +4 *1695:10 0.00275411 +5 *2819:mask_rev_in[2] *2819:mask_rev_in[3] 0.00187001 +6 *1695:10 *1701:10 0 +7 *1695:11 *1704:16 0.00218291 +8 *2819:mask_rev_in[1] *2819:mask_rev_in[2] 0 +9 *1684:10 *1695:10 0 +10 *1684:11 *1695:11 0.00114209 +*RES +1 *2827:mask_rev[2] *1695:10 31.2258 +2 *1695:10 *1695:11 50.6377 +3 *1695:11 *2819:mask_rev_in[2] 43.3261 +*END + +*D_NET *1696 0.0050948 +*CONN +*I *2819:mask_rev_in[30] I *D housekeeping +*I *2827:mask_rev[30] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[30] 0.000928699 +2 *2827:mask_rev[30] 0.000928699 +3 *2819:mask_rev_in[30] *2819:mask_rev_in[31] 0.00237174 +4 *2819:mask_rev_in[28] *2819:mask_rev_in[30] 0.000172797 +5 *1678:19 *2819:mask_rev_in[30] 0.000692858 +*RES +1 *2827:mask_rev[30] *2819:mask_rev_in[30] 44.8089 +*END + +*D_NET *1697 0.00962896 +*CONN +*I *2819:mask_rev_in[31] I *D housekeeping +*I *2827:mask_rev[31] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[31] 0.000811019 +2 *2827:mask_rev[31] 0.000861435 +3 *1697:15 0.00148322 +4 *1697:12 0.00153364 +5 *2819:mask_rev_in[31] *1700:12 0.000742268 +6 *1697:15 *1700:12 9.71323e-06 +7 *2819:mask_rev_in[30] *2819:mask_rev_in[31] 0.00237174 +8 *1678:15 *2819:mask_rev_in[31] 2.37478e-05 +9 *1678:15 *1697:15 0.00174663 +10 *1678:19 *2819:mask_rev_in[31] 4.55455e-05 +*RES +1 *2827:mask_rev[31] *1697:12 32.3789 +2 *1697:12 *1697:15 29.4951 +3 *1697:15 *2819:mask_rev_in[31] 41.7939 +*END + +*D_NET *1698 0.0263613 +*CONN +*I *2819:mask_rev_in[3] I *D housekeeping +*I *2827:mask_rev[3] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[3] 0.000958621 +2 *2827:mask_rev[3] 0.000649945 +3 *1698:11 0.00302591 +4 *1698:10 0.00206729 +5 *1698:8 0.00141618 +6 *1698:7 0.00206612 +7 *2819:mask_rev_in[3] *2538:11 0.000738355 +8 *1698:8 *1703:8 0.00750011 +9 *2819:mask_rev_in[0] *1698:11 0.00034574 +10 *2819:mask_rev_in[1] *1698:11 0 +11 *2819:mask_rev_in[2] *2819:mask_rev_in[3] 0.00187001 +12 *64:51 *1698:11 0 +13 *1674:7 *1698:7 0 +14 *1674:8 *1698:8 0.000767815 +15 *1676:8 *1698:8 0.00495515 +*RES +1 *2827:mask_rev[3] *1698:7 22.649 +2 *1698:7 *1698:8 99.9974 +3 *1698:8 *1698:10 4.5 +4 *1698:10 *1698:11 60.3826 +5 *1698:11 *2819:mask_rev_in[3] 49.2618 +*END + +*D_NET *1699 0.022146 +*CONN +*I *2819:mask_rev_in[4] I *D housekeeping +*I *2827:mask_rev[4] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[4] 0.00100439 +2 *2827:mask_rev[4] 0.000554761 +3 *1699:13 0.00213741 +4 *1699:12 0.00168778 +5 *2819:mask_rev_in[4] *2819:mask_rev_in[5] 0 +6 *2819:mask_rev_in[4] *2573:15 0.000211913 +7 *2819:mask_rev_in[4] *2574:13 0.000236445 +8 *1699:12 *1700:12 0.000828589 +9 *1699:13 *1700:13 0.00945884 +10 *1699:13 *2538:11 0.0038618 +11 *2819:mask_rev_in[11] *1699:13 0.000685247 +12 *1678:15 *1699:12 0.000107108 +13 *1679:16 *1699:12 4.3116e-06 +14 *1685:16 *1699:12 7.77309e-06 +15 *1686:16 *1699:12 0.000893274 +16 *1688:16 *1699:13 0.000466373 +*RES +1 *2827:mask_rev[4] *1699:12 27.0839 +2 *1699:12 *1699:13 104.989 +3 *1699:13 *2819:mask_rev_in[4] 35.5828 +*END + +*D_NET *1700 0.0190511 +*CONN +*I *2819:mask_rev_in[5] I *D housekeeping +*I *2827:mask_rev[5] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[5] 0.00108444 +2 *2827:mask_rev[5] 0.000419531 +3 *1700:13 0.00292838 +4 *1700:12 0.00226347 +5 *2819:mask_rev_in[5] *2819:mask_rev_in[6] 0 +6 *2819:mask_rev_in[5] *2573:15 0 +7 *1700:13 *1701:13 0 +8 *2819:mask_rev_in[25] *1700:13 0.000308415 +9 *2819:mask_rev_in[26] *1700:13 0.000219753 +10 *2819:mask_rev_in[31] *1700:12 0.000742268 +11 *2819:mask_rev_in[4] *2819:mask_rev_in[5] 0 +12 *1678:15 *1700:12 3.69591e-05 +13 *1678:20 *1700:13 0.00075079 +14 *1697:15 *1700:12 9.71323e-06 +15 *1699:12 *1700:12 0.000828589 +16 *1699:13 *1700:13 0.00945884 +*RES +1 *2827:mask_rev[5] *1700:12 22.5161 +2 *1700:12 *1700:13 100.552 +3 *1700:13 *2819:mask_rev_in[5] 35.1676 +*END + +*D_NET *1701 0.00817713 +*CONN +*I *2819:mask_rev_in[6] I *D housekeeping +*I *2827:mask_rev[6] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[6] 0.000934984 +2 *2827:mask_rev[6] 0.00134237 +3 *1701:13 0.00235811 +4 *1701:10 0.0027655 +5 *2819:mask_rev_in[6] *2819:mask_rev_in[7] 0 +6 *2819:mask_rev_in[15] *1701:10 0 +7 *2819:mask_rev_in[16] *1701:10 0 +8 *2819:mask_rev_in[18] *1701:10 0 +9 *2819:mask_rev_in[5] *2819:mask_rev_in[6] 0 +10 *1677:8 *1701:13 0.000192778 +11 *1678:20 *1701:13 0 +12 *1684:10 *1701:10 0.000583389 +13 *1695:10 *1701:10 0 +14 *1700:13 *1701:13 0 +*RES +1 *2827:mask_rev[6] *1701:10 31.7832 +2 *1701:10 *1701:13 43.491 +3 *1701:13 *2819:mask_rev_in[6] 26.5151 +*END + +*D_NET *1702 0.0110951 +*CONN +*I *2819:mask_rev_in[7] I *D housekeeping +*I *2827:mask_rev[7] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[7] 0.000871763 +2 *2827:mask_rev[7] 0.000134258 +3 *1702:10 0.00241508 +4 *1702:9 0.00167757 +5 *2819:mask_rev_in[7] *2819:mask_rev_in[8] 0.00187512 +6 *2819:mask_rev_in[7] *1703:11 0 +7 *1702:10 *2819:mask_rev_in[8] 0 +8 *1702:10 *1704:15 0.000950584 +9 *1702:10 *2538:11 0 +10 *2819:mask_rev_in[6] *2819:mask_rev_in[7] 0 +11 *1673:10 *1702:9 0 +12 *1673:12 *1702:10 0.00123205 +13 *1674:11 *2819:mask_rev_in[7] 0 +14 *1675:11 *2819:mask_rev_in[7] 0 +15 *1676:11 *2819:mask_rev_in[7] 0 +16 *1676:16 *1702:10 0.00121409 +17 *1679:17 *1702:10 0.000351195 +18 *1680:16 *1702:10 0 +19 *1685:19 *1702:10 4.02303e-05 +20 *1686:19 *1702:10 0.000333145 +*RES +1 *2827:mask_rev[7] *1702:9 6.64954 +2 *1702:9 *1702:10 71.1581 +3 *1702:10 *2819:mask_rev_in[7] 40.0041 +*END + +*D_NET *1703 0.0212662 +*CONN +*I *2819:mask_rev_in[8] I *D housekeeping +*I *2827:mask_rev[8] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[8] 0.000903626 +2 *2827:mask_rev[8] 0.00066014 +3 *1703:11 0.00307647 +4 *1703:10 0.00217285 +5 *1703:8 0.00187372 +6 *1703:7 0.00253386 +7 *2819:mask_rev_in[8] *2538:11 0.000585477 +8 *1703:11 *2573:15 0 +9 *2819:mask_rev_in[7] *2819:mask_rev_in[8] 0.00187512 +10 *2819:mask_rev_in[7] *1703:11 0 +11 *1674:7 *1703:7 0 +12 *1674:8 *1703:8 8.47748e-05 +13 *1675:11 *2819:mask_rev_in[8] 0 +14 *1698:8 *1703:8 0.00750011 +15 *1702:10 *2819:mask_rev_in[8] 0 +*RES +1 *2827:mask_rev[8] *1703:7 23.0642 +2 *1703:7 *1703:8 86.1323 +3 *1703:8 *1703:10 4.5 +4 *1703:10 *1703:11 60.7978 +5 *1703:11 *2819:mask_rev_in[8] 47.598 +*END + +*D_NET *1704 0.0134605 +*CONN +*I *2819:mask_rev_in[9] I *D housekeeping +*I *2827:mask_rev[9] O *D user_id_programming +*CAP +1 *2819:mask_rev_in[9] 0.000974263 +2 *2827:mask_rev[9] 0.000339086 +3 *1704:16 0.00173212 +4 *1704:15 0.00109694 +5 *2819:mask_rev_in[10] *2819:mask_rev_in[9] 0.0020263 +6 *1673:12 *1704:16 0.000425627 +7 *1675:11 *2819:mask_rev_in[9] 0 +8 *1684:11 *1704:16 0.00270333 +9 *1685:19 *1704:15 7.65564e-05 +10 *1686:19 *1704:15 0.000751057 +11 *1690:12 *1704:15 0.000201734 +12 *1695:11 *1704:16 0.00218291 +13 *1702:10 *1704:15 0.000950584 +*RES +1 *2827:mask_rev[9] *1704:15 29.2942 +2 *1704:15 *1704:16 45.6463 +3 *1704:16 *2819:mask_rev_in[9] 42.9108 +*END + +*D_NET *1705 0.0133417 +*CONN +*I *2819:mgmt_gpio_in[0] I *D housekeeping +*I *2781:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2819:mgmt_gpio_in[0] 0.00135846 +2 *2781:mgmt_gpio_in 0.00111924 +3 *1705:14 0.00237179 +4 *1705:13 0.00213256 +5 *1705:13 *2781:mgmt_gpio_oeb 0 +6 *1705:13 *2334:8 4.89469e-06 +7 *1705:14 *1716:14 0.000321904 +8 *1705:14 *1744:8 0.00222522 +9 *1705:14 *1749:8 0.00160428 +10 *659:8 *1705:14 0.000645689 +11 *678:8 *1705:14 0.0015577 +*RES +1 *2781:mgmt_gpio_in *1705:13 35.8711 +2 *1705:13 *1705:14 77.2003 +3 *1705:14 *2819:mgmt_gpio_in[0] 8.32734 +*END + +*D_NET *1706 0.388882 +*CONN +*I *2789:mgmt_gpio_out I *D gpio_control_block +*I *2789:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[10] I *D housekeeping +*I *2819:mgmt_gpio_out[10] O *D housekeeping +*CAP +1 *2789:mgmt_gpio_out 0.000281191 +2 *2789:mgmt_gpio_in 7.25379e-05 +3 *2819:mgmt_gpio_in[10] 0.000560334 +4 *2819:mgmt_gpio_out[10] 9.94281e-05 +5 *1706:26 0.000464922 +6 *1706:25 0.00169994 +7 *1706:20 0.0260328 +8 *1706:19 0.0258774 +9 *1706:5 0.00209309 +10 *2819:mgmt_gpio_in[10] *2537:12 0.00130366 +11 *1706:20 *1707:20 0.1705 +12 *1706:20 *1742:20 0.15482 +13 *1706:20 *1749:8 0.00180248 +14 *100:56 *1706:20 4.86653e-05 +15 *101:54 *1706:20 0.00322539 +16 *101:58 *1706:20 0 +*RES +1 *2819:mgmt_gpio_out[10] *1706:5 0.292658 +2 *1706:5 *2819:mgmt_gpio_in[10] 27.9638 +3 *1706:5 *1706:19 8.23755 +4 *1706:19 *1706:20 2754.75 +5 *1706:20 *1706:25 49.1003 +6 *1706:25 *1706:26 2.87013 +7 *1706:26 *2789:mgmt_gpio_in 3.59493 +8 *1706:26 *2789:mgmt_gpio_out 8.86202 +*END + +*D_NET *1707 0.355344 +*CONN +*I *2790:mgmt_gpio_out I *D gpio_control_block +*I *2790:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[11] I *D housekeeping +*I *2819:mgmt_gpio_out[11] O *D housekeeping +*CAP +1 *2790:mgmt_gpio_out 0.00028116 +2 *2790:mgmt_gpio_in 7.25283e-05 +3 *2819:mgmt_gpio_in[11] 0.000549922 +4 *2819:mgmt_gpio_out[11] 9.94281e-05 +5 *1707:26 0.000450276 +6 *1707:25 0.00165616 +7 *1707:20 0.0387905 +8 *1707:19 0.0386169 +9 *1707:5 0.00203536 +10 *2819:mgmt_gpio_in[11] *2537:12 0.00130366 +11 *1707:20 *1709:20 0.0811866 +12 *100:56 *1707:20 0.0025629 +13 *101:58 *1707:20 0 +14 *101:75 *1707:20 0 +15 *617:38 *1707:20 0 +16 *628:68 *1707:20 0.0170024 +17 *640:34 *1707:20 0.000236262 +18 *659:25 *1707:20 0 +19 *1706:20 *1707:20 0.1705 +*RES +1 *2819:mgmt_gpio_out[11] *1707:5 0.292658 +2 *1707:5 *2819:mgmt_gpio_in[11] 27.9638 +3 *1707:5 *1707:19 8.16106 +4 *1707:19 *1707:20 2999.33 +5 *1707:20 *1707:25 49.1003 +6 *1707:25 *1707:26 2.45487 +7 *1707:26 *2790:mgmt_gpio_in 3.59493 +8 *1707:26 *2790:mgmt_gpio_out 8.86202 +*END + +*D_NET *1708 0.29385 +*CONN +*I *2791:mgmt_gpio_out I *D gpio_control_block +*I *2791:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[12] I *D housekeeping +*I *2819:mgmt_gpio_out[12] O *D housekeeping +*CAP +1 *2791:mgmt_gpio_out 0.000334135 +2 *2791:mgmt_gpio_in 6.63275e-05 +3 *2819:mgmt_gpio_in[12] 0.000549922 +4 *2819:mgmt_gpio_out[12] 9.94281e-05 +5 *1708:25 0.00148467 +6 *1708:20 0.0770951 +7 *1708:19 0.0772393 +8 *1708:5 0.00187775 +9 *2791:mgmt_gpio_out *1957:17 2.72062e-05 +10 *2791:mgmt_gpio_out *2298:20 0 +11 *2819:mgmt_gpio_in[12] *2537:12 0.00130366 +12 *1708:20 *2819:mgmt_gpio_in[17] 0 +13 *1708:20 *2819:mgmt_gpio_in[18] 0 +14 *1708:20 *2819:mgmt_gpio_in[19] 0 +15 *1708:20 *1713:17 0 +16 *1708:20 *1714:17 0 +17 *1708:20 *1715:17 0 +18 *100:50 *1708:20 0.000519368 +19 *112:54 *1708:20 0.0169018 +20 *616:53 *1708:20 0 +21 *628:65 *1708:20 0 +22 *629:50 *1708:20 0.0166823 +23 *640:40 *1708:20 0.000331623 +24 *652:57 *1708:20 0 +25 *652:60 *1708:20 0.0170075 +26 *659:25 *1708:20 0.08233 +*RES +1 *2819:mgmt_gpio_out[12] *1708:5 0.292658 +2 *1708:5 *2819:mgmt_gpio_in[12] 27.9638 +3 *1708:5 *1708:19 7.70212 +4 *1708:19 *1708:20 3245.99 +5 *1708:20 *1708:25 34.6806 +6 *1708:25 *2791:mgmt_gpio_in 3.58495 +7 *1708:25 *2791:mgmt_gpio_out 11.2305 +*END + +*D_NET *1709 0.420183 +*CONN +*I *2792:mgmt_gpio_out I *D gpio_control_block +*I *2792:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[13] I *D housekeeping +*I *2819:mgmt_gpio_out[13] O *D housekeeping +*CAP +1 *2792:mgmt_gpio_out 0.000281191 +2 *2792:mgmt_gpio_in 7.25379e-05 +3 *2819:mgmt_gpio_in[13] 0.000379056 +4 *2819:mgmt_gpio_out[13] 9.94281e-05 +5 *1709:26 0.000464922 +6 *1709:23 0.00175605 +7 *1709:20 0.0412995 +8 *1709:19 0.0410531 +9 *1709:5 0.00187693 +10 *2819:mgmt_gpio_in[13] *2537:12 0.00130366 +11 *1709:20 *1710:20 0.216356 +12 *100:44 *2819:mgmt_gpio_in[13] 0.000826485 +13 *617:38 *1709:20 0 +14 *628:68 *1709:20 0.017006 +15 *640:30 *2819:mgmt_gpio_in[13] 0 +16 *641:51 *1709:20 0.0152896 +17 *659:25 *1709:20 0.000931168 +18 *1707:20 *1709:20 0.0811866 +*RES +1 *2819:mgmt_gpio_out[13] *1709:5 0.292658 +2 *1709:5 *2819:mgmt_gpio_in[13] 27.9638 +3 *1709:5 *1709:19 8.00808 +4 *1709:19 *1709:20 3487.25 +5 *1709:20 *1709:23 46.2641 +6 *1709:23 *1709:26 7.37013 +7 *1709:26 *2792:mgmt_gpio_in 3.59493 +8 *1709:26 *2792:mgmt_gpio_out 8.86202 +*END + +*D_NET *1710 0.616681 +*CONN +*I *2793:mgmt_gpio_out I *D gpio_control_block +*I *2793:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[14] I *D housekeeping +*I *2819:mgmt_gpio_out[14] O *D housekeeping +*CAP +1 *2793:mgmt_gpio_out 0.00028116 +2 *2793:mgmt_gpio_in 7.25283e-05 +3 *2819:mgmt_gpio_in[14] 0.000263681 +4 *2819:mgmt_gpio_out[14] 9.94281e-05 +5 *1710:26 0.000640144 +6 *1710:23 0.00181333 +7 *1710:20 0.0426751 +8 *1710:19 0.0425371 +9 *1710:5 0.00175204 +10 *2819:mgmt_gpio_in[14] *2537:12 0.00130366 +11 *100:44 *2819:mgmt_gpio_in[14] 0.00130366 +12 *641:51 *1710:20 0.0232553 +13 *659:25 *1710:20 0.284327 +14 *1709:20 *1710:20 0.216356 +*RES +1 *2819:mgmt_gpio_out[14] *1710:5 0.292658 +2 *1710:5 *2819:mgmt_gpio_in[14] 27.9638 +3 *1710:5 *1710:19 7.93159 +4 *1710:19 *1710:20 4541.16 +5 *1710:20 *1710:23 46.2641 +6 *1710:23 *1710:26 12.3532 +7 *1710:26 *2793:mgmt_gpio_in 3.59493 +8 *1710:26 *2793:mgmt_gpio_out 8.86202 +*END + +*D_NET *1711 0.737661 +*CONN +*I *2794:mgmt_gpio_out I *D gpio_control_block +*I *2794:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[15] I *D housekeeping +*I *2819:mgmt_gpio_out[15] O *D housekeeping +*CAP +1 *2794:mgmt_gpio_out 0.000283113 +2 *2794:mgmt_gpio_in 9.41681e-05 +3 *2819:mgmt_gpio_in[15] 0.00158015 +4 *2819:mgmt_gpio_out[15] 0.00112966 +5 *1711:32 0.00128691 +6 *1711:23 0.00555765 +7 *1711:22 0.00464803 +8 *1711:20 0.00768955 +9 *1711:19 0.00768955 +10 *1711:17 0.0509466 +11 *1711:7 0.0536564 +12 *2819:mgmt_gpio_in[15] *2630:14 0.00130366 +13 *1711:17 *2819:mgmt_gpio_in[16] 0.00130366 +14 *1711:17 *1712:17 0.302131 +15 *1711:17 *2630:14 0.0516247 +16 *1711:17 *2652:14 0.0487203 +17 *1711:17 *2690:14 0.00505701 +18 *1711:17 *2717:14 0.00418057 +19 *1711:20 *1712:20 0.00262162 +20 *102:61 *1711:17 0.000129812 +21 *103:36 *1711:17 0.000231342 +22 *111:19 *1711:20 0.0278329 +23 *112:45 *1711:20 0.0614473 +24 *117:59 *1711:17 0.00815788 +25 *619:32 *1711:17 0.000128678 +26 *619:47 *1711:17 0.0167676 +27 *628:53 *1711:20 0.00137797 +28 *633:41 *1711:17 0.0353422 +29 *633:43 *1711:17 3.21704e-05 +30 *634:36 *1711:17 0.0166862 +31 *642:64 *1711:17 0.0180229 +32 *643:38 *1711:17 0 +*RES +1 *2819:mgmt_gpio_out[15] *1711:7 7.02701 +2 *1711:7 *2819:mgmt_gpio_in[15] 27.9606 +3 *1711:7 *1711:17 4857.17 +4 *1711:17 *1711:19 4.5 +5 *1711:19 *1711:20 749.438 +6 *1711:20 *1711:22 4.5 +7 *1711:22 *1711:23 124.539 +8 *1711:23 *1711:32 19.3505 +9 *1711:32 *2794:mgmt_gpio_in 8.46357 +10 *1711:32 *2794:mgmt_gpio_out 13.6238 +*END + +*D_NET *1712 0.844386 +*CONN +*I *2795:mgmt_gpio_out I *D gpio_control_block +*I *2795:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[16] I *D housekeeping +*I *2819:mgmt_gpio_out[16] O *D housekeeping +*CAP +1 *2795:mgmt_gpio_out 0.000283113 +2 *2795:mgmt_gpio_in 7.20851e-05 +3 *2819:mgmt_gpio_in[16] 0.00162312 +4 *2819:mgmt_gpio_out[16] 0.00116222 +5 *1712:26 0.000850718 +6 *1712:23 0.00508815 +7 *1712:22 0.00459263 +8 *1712:20 0.0137536 +9 *1712:19 0.0137536 +10 *1712:17 0.0384856 +11 *1712:7 0.0412709 +12 *1712:17 *2819:mgmt_gpio_in[17] 0.00130366 +13 *1712:17 *1713:17 0.300297 +14 *1712:20 *1715:20 0.00186864 +15 *110:41 *1712:20 0.0238372 +16 *111:19 *1712:20 0.0900867 +17 *633:41 *1712:17 0 +18 *1711:17 *2819:mgmt_gpio_in[16] 0.00130366 +19 *1711:17 *1712:17 0.302131 +20 *1711:20 *1712:20 0.00262162 +*RES +1 *2819:mgmt_gpio_out[16] *1712:7 7.1035 +2 *1712:7 *2819:mgmt_gpio_in[16] 28.0371 +3 *1712:7 *1712:17 4827.69 +4 *1712:17 *1712:19 4.5 +5 *1712:19 *1712:20 1050.03 +6 *1712:20 *1712:22 4.5 +7 *1712:22 *1712:23 123.501 +8 *1712:23 *1712:26 17.9793 +9 *1712:26 *2795:mgmt_gpio_in 8.38708 +10 *1712:26 *2795:mgmt_gpio_out 13.6238 +*END + +*D_NET *1713 1.01436 +*CONN +*I *2796:mgmt_gpio_out I *D gpio_control_block +*I *2796:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[17] I *D housekeeping +*I *2819:mgmt_gpio_out[17] O *D housekeeping +*CAP +1 *2796:mgmt_gpio_out 0.000283113 +2 *2796:mgmt_gpio_in 9.9949e-05 +3 *2819:mgmt_gpio_in[17] 0.00164527 +4 *2819:mgmt_gpio_out[17] 0.00119478 +5 *1713:26 0.000918127 +6 *1713:23 0.00499595 +7 *1713:22 0.00446088 +8 *1713:20 0.0088108 +9 *1713:19 0.0088108 +10 *1713:17 0.0382979 +11 *1713:7 0.0411379 +12 *1713:17 *2819:mgmt_gpio_in[18] 0.00130366 +13 *1713:17 *1714:17 0.298506 +14 *1713:20 *1714:20 0.144847 +15 *100:41 *1713:20 0.142533 +16 *633:38 *1713:20 0.0139187 +17 *633:41 *1713:17 0 +18 *659:28 *1713:20 0.000996039 +19 *1708:20 *2819:mgmt_gpio_in[17] 0 +20 *1708:20 *1713:17 0 +21 *1712:17 *2819:mgmt_gpio_in[17] 0.00130366 +22 *1712:17 *1713:17 0.300297 +*RES +1 *2819:mgmt_gpio_out[17] *1713:7 7.17999 +2 *1713:7 *2819:mgmt_gpio_in[17] 28.1136 +3 *1713:7 *1713:17 4799.86 +4 *1713:17 *1713:19 4.5 +5 *1713:19 *1713:20 1515.9 +6 *1713:20 *1713:22 4.5 +7 *1713:22 *1713:23 120.594 +8 *1713:23 *1713:26 19.0885 +9 *1713:26 *2796:mgmt_gpio_in 8.46357 +10 *1713:26 *2796:mgmt_gpio_out 13.6238 +*END + +*D_NET *1714 0.972949 +*CONN +*I *2787:mgmt_gpio_out I *D gpio_control_block +*I *2787:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[18] I *D housekeeping +*I *2819:mgmt_gpio_out[18] O *D housekeeping +*CAP +1 *2787:mgmt_gpio_out 0.000289814 +2 *2787:mgmt_gpio_in 0.00118703 +3 *2819:mgmt_gpio_in[18] 0.00167783 +4 *2819:mgmt_gpio_out[18] 0.00122733 +5 *1714:23 0.00512219 +6 *1714:22 0.00422497 +7 *1714:20 0.025972 +8 *1714:19 0.025972 +9 *1714:17 0.0380132 +10 *1714:7 0.0409184 +11 *1714:17 *2819:mgmt_gpio_in[19] 0.00130366 +12 *1714:17 *1715:17 0.296526 +13 *100:41 *1714:20 0.0133723 +14 *109:17 *1714:20 0.00967107 +15 *624:23 *1714:20 0.0104146 +16 *633:38 *1714:20 0.0187242 +17 *641:48 *1714:20 0.0330188 +18 *649:22 *1714:23 0.000649112 +19 *659:28 *1714:20 7.92757e-06 +20 *1708:20 *2819:mgmt_gpio_in[18] 0 +21 *1708:20 *1714:17 0 +22 *1713:17 *2819:mgmt_gpio_in[18] 0.00130366 +23 *1713:17 *1714:17 0.298506 +24 *1713:20 *1714:20 0.144847 +*RES +1 *2819:mgmt_gpio_out[18] *1714:7 7.25648 +2 *1714:7 *2819:mgmt_gpio_in[18] 28.1901 +3 *1714:7 *1714:17 4768.72 +4 *1714:17 *1714:19 4.5 +5 *1714:19 *1714:20 1932.96 +6 *1714:20 *1714:22 4.5 +7 *1714:22 *1714:23 119.971 +8 *1714:23 *2787:mgmt_gpio_in 15.5443 +9 *2787:mgmt_gpio_in *2787:mgmt_gpio_out 11.8354 +*END + +*D_NET *1715 0.961117 +*CONN +*I *2803:mgmt_gpio_out I *D gpio_control_block +*I *2803:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[19] I *D housekeeping +*I *2819:mgmt_gpio_out[19] O *D housekeeping +*CAP +1 *2803:mgmt_gpio_out 0.000450295 +2 *2803:mgmt_gpio_in 5.89397e-05 +3 *2819:mgmt_gpio_in[19] 0.0017208 +4 *2819:mgmt_gpio_out[19] 0.00126524 +5 *1715:26 0.000921568 +6 *1715:23 0.00282225 +7 *1715:22 0.00240992 +8 *1715:20 0.0142594 +9 *1715:19 0.0142594 +10 *1715:17 0.102034 +11 *1715:7 0.10502 +12 *2803:mgmt_gpio_out *2192:12 0.000849006 +13 *1715:23 *2821:io_in[20] 0.000518844 +14 *1715:23 *2136:16 6.11345e-05 +15 *1715:23 *2192:12 5.60269e-05 +16 *1715:26 *1964:11 0.000170188 +17 *1715:26 *2192:12 0.00205915 +18 *1715:26 *2230:11 0.000156463 +19 *100:17 *1715:26 0.000201482 +20 *110:41 *1715:20 0.202956 +21 *616:17 *1715:26 0.000188614 +22 *624:23 *1715:20 0.00232728 +23 *628:65 *1715:17 0.000960665 +24 *640:68 *1715:26 0.000218674 +25 *652:57 *1715:17 0.000835517 +26 *652:60 *1715:17 0 +27 *659:25 *1715:17 0 +28 *659:28 *1715:20 0.204639 +29 *1708:20 *2819:mgmt_gpio_in[19] 0 +30 *1708:20 *1715:17 0 +31 *1712:20 *1715:20 0.00186864 +32 *1714:17 *2819:mgmt_gpio_in[19] 0.00130366 +33 *1714:17 *1715:17 0.296526 +*RES +1 *2819:mgmt_gpio_out[19] *1715:7 7.33297 +2 *1715:7 *2819:mgmt_gpio_in[19] 28.2666 +3 *1715:7 *1715:17 4736.33 +4 *1715:17 *1715:19 4.5 +5 *1715:19 *1715:20 2232.45 +6 *1715:20 *1715:22 4.5 +7 *1715:22 *1715:23 67.6495 +8 *1715:23 *1715:26 5.98109 +9 *1715:26 *2803:mgmt_gpio_in 0.251087 +10 *1715:26 *2803:mgmt_gpio_out 1.37183 +*END + +*D_NET *1716 0.0505198 +*CONN +*I *2819:mgmt_gpio_in[1] I *D housekeeping +*I *2782:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2819:mgmt_gpio_in[1] 0.00134144 +2 *2782:mgmt_gpio_in 0.00128514 +3 *1716:14 0.00406479 +4 *1716:13 0.00400849 +5 *1716:13 *2782:mgmt_gpio_oeb 0 +6 *1716:13 *2345:8 4.89469e-06 +7 *1716:14 *1744:8 0.0194057 +8 *659:8 *1716:14 0.00576155 +9 *659:25 *1716:14 0.0143258 +10 *1705:14 *1716:14 0.000321904 +*RES +1 *2782:mgmt_gpio_in *1716:13 36.9803 +2 *1716:13 *1716:14 322.199 +3 *1716:14 *2819:mgmt_gpio_in[1] 8.17437 +*END + +*D_NET *1717 1.1382 +*CONN +*I *2810:mgmt_gpio_out I *D gpio_control_block +*I *2810:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[20] I *D housekeeping +*I *2819:mgmt_gpio_out[20] O *D housekeeping +*CAP +1 *2810:mgmt_gpio_out 5.0126e-05 +2 *2810:mgmt_gpio_in 0.000264834 +3 *2819:mgmt_gpio_in[20] 0.000267724 +4 *2819:mgmt_gpio_out[20] 0.00164557 +5 *1717:43 0.000459043 +6 *1717:40 0.00419253 +7 *1717:39 0.00404844 +8 *1717:37 0.00319538 +9 *1717:36 0.00319538 +10 *1717:34 0.0155184 +11 *1717:33 0.0155184 +12 *1717:31 0.0378973 +13 *1717:30 0.0378973 +14 *1717:28 0.00197586 +15 *1717:27 0.0033537 +16 *2819:mgmt_gpio_in[20] *2819:usr2_vdd_pwrgood 0 +17 *1717:27 *2819:mgmt_gpio_in[21] 0 +18 *1717:28 *1735:13 0 +19 *1717:28 *1750:10 0 +20 *1717:31 *1718:31 0.293921 +21 *1717:31 *1719:31 0.293837 +22 *1717:31 *1724:27 0.000289902 +23 *1717:40 *2631:19 0.000337843 +24 *100:20 *1717:37 4.3116e-06 +25 *100:23 *1717:40 0.000886148 +26 *625:17 *1717:34 0.00147158 +27 *626:47 *1717:34 0.215723 +28 *640:19 *1717:34 0.194074 +29 *640:71 *1717:37 0.00340631 +30 *640:74 *1717:40 1.30651e-05 +31 *650:13 *1717:40 0.000429755 +32 *688:13 *1717:40 0.00420324 +33 *689:23 *2810:mgmt_gpio_in 0.000123387 +34 *689:23 *1717:40 0 +*RES +1 *2819:mgmt_gpio_out[20] *2819:mgmt_gpio_in[20] 8.79358 +2 *2819:mgmt_gpio_out[20] *1717:27 43.8879 +3 *1717:27 *1717:28 52.8561 +4 *1717:28 *1717:30 4.5 +5 *1717:30 *1717:31 4709.55 +6 *1717:31 *1717:33 4.5 +7 *1717:33 *1717:34 2255.74 +8 *1717:34 *1717:36 4.5 +9 *1717:36 *1717:37 109.798 +10 *1717:37 *1717:39 4.5 +11 *1717:39 *1717:40 144.92 +12 *1717:40 *1717:43 8.20063 +13 *1717:43 *2810:mgmt_gpio_in 18.5024 +14 *1717:43 *2810:mgmt_gpio_out 3.50846 +*END + +*D_NET *1718 1.04278 +*CONN +*I *2811:mgmt_gpio_out I *D gpio_control_block +*I *2811:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[21] I *D housekeeping +*I *2819:mgmt_gpio_out[21] O *D housekeeping +*CAP +1 *2811:mgmt_gpio_out 8.79766e-05 +2 *2811:mgmt_gpio_in 0.000238911 +3 *2819:mgmt_gpio_in[21] 0.000267724 +4 *2819:mgmt_gpio_out[21] 0.00202337 +5 *1718:43 0.000434475 +6 *1718:40 0.00150317 +7 *1718:39 0.00139558 +8 *1718:37 0.0031654 +9 *1718:36 0.0031654 +10 *1718:34 0.0180726 +11 *1718:33 0.0180726 +12 *1718:31 0.101732 +13 *1718:30 0.101732 +14 *1718:28 0.000987512 +15 *1718:25 0.00274316 +16 *2811:mgmt_gpio_in *2309:11 0.00048572 +17 *1718:25 *2819:mgmt_gpio_in[22] 0 +18 *1718:28 *1719:30 0.00332773 +19 *1718:28 *1752:14 0.000378828 +20 *1718:31 *1724:27 0.000667537 +21 *1718:40 *2811:user_gpio_out 0.000338027 +22 *1718:40 *2385:11 0.000246253 +23 *1718:40 *2670:14 0.00110591 +24 *110:20 *1718:37 0.00345838 +25 *110:23 *1718:40 0.00013824 +26 *625:17 *1718:34 0 +27 *626:29 *1718:40 0.00439741 +28 *627:19 *1718:34 0.244322 +29 *650:23 *1718:40 0.0111008 +30 *650:41 *1718:34 0.221239 +31 *690:23 *1718:40 0.00203089 +32 *1717:27 *2819:mgmt_gpio_in[21] 0 +33 *1717:31 *1718:31 0.293921 +*RES +1 *2819:mgmt_gpio_out[21] *2819:mgmt_gpio_in[21] 8.79358 +2 *2819:mgmt_gpio_out[21] *1718:25 49.3539 +3 *1718:25 *1718:28 48.4825 +4 *1718:28 *1718:30 4.5 +5 *1718:30 *1718:31 4698.33 +6 *1718:31 *1718:33 4.5 +7 *1718:33 *1718:34 2554.67 +8 *1718:34 *1718:36 4.5 +9 *1718:36 *1718:37 109.798 +10 *1718:37 *1718:39 4.5 +11 *1718:39 *1718:40 155.458 +12 *1718:40 *1718:43 6.95487 +13 *1718:43 *2811:mgmt_gpio_in 18.5024 +14 *1718:43 *2811:mgmt_gpio_out 3.58495 +*END + +*D_NET *1719 1.09854 +*CONN +*I *2812:mgmt_gpio_out I *D gpio_control_block +*I *2812:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[22] I *D housekeeping +*I *2819:mgmt_gpio_out[22] O *D housekeeping +*CAP +1 *2812:mgmt_gpio_out 0.000173662 +2 *2812:mgmt_gpio_in 0.000187222 +3 *2819:mgmt_gpio_in[22] 0.000267724 +4 *2819:mgmt_gpio_out[22] 0.00204481 +5 *1719:40 0.00402474 +6 *1719:39 0.00366385 +7 *1719:37 0.00398878 +8 *1719:36 0.00398878 +9 *1719:34 0.0196698 +10 *1719:33 0.0196698 +11 *1719:31 0.101819 +12 *1719:30 0.102098 +13 *1719:25 0.00205631 +14 *2812:mgmt_gpio_in *2146:11 0.000432613 +15 *2812:mgmt_gpio_in *2234:17 0.000441022 +16 *1719:25 *2819:mgmt_gpio_in[23] 0 +17 *1719:30 *1752:14 0.00342103 +18 *1719:34 *1720:34 0.273458 +19 *1719:40 *2812:pad_gpio_in 9.16621e-05 +20 *1719:40 *2146:11 0.00144587 +21 *1719:40 *2196:11 9.65932e-05 +22 *1719:40 *2234:17 0.00190766 +23 *1719:40 *2671:14 0.000222062 +24 *1719:40 *2709:11 0 +25 *629:41 *1719:34 0.0005252 +26 *651:19 *1719:34 0.253059 +27 *651:62 *1719:40 0.00186647 +28 *691:23 *1719:40 0.000756785 +29 *1717:31 *1719:31 0.293837 +30 *1718:25 *2819:mgmt_gpio_in[22] 0 +31 *1718:28 *1719:30 0.00332773 +*RES +1 *2819:mgmt_gpio_out[22] *2819:mgmt_gpio_in[22] 8.79358 +2 *2819:mgmt_gpio_out[22] *1719:25 49.7692 +3 *1719:25 *1719:30 45.218 +4 *1719:30 *1719:31 4696.67 +5 *1719:31 *1719:33 4.5 +6 *1719:33 *1719:34 2871.91 +7 *1719:34 *1719:36 4.5 +8 *1719:36 *1719:37 111.459 +9 *1719:37 *1719:39 4.5 +10 *1719:39 *1719:40 149.357 +11 *1719:40 *2812:mgmt_gpio_in 13.0692 +12 *1719:40 *2812:mgmt_gpio_out 8.46357 +*END + +*D_NET *1720 0.9891 +*CONN +*I *2813:mgmt_gpio_out I *D gpio_control_block +*I *2813:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[23] I *D housekeeping +*I *2819:mgmt_gpio_out[23] O *D housekeeping +*CAP +1 *2813:mgmt_gpio_out 8.38194e-05 +2 *2813:mgmt_gpio_in 0.000212162 +3 *2819:mgmt_gpio_in[23] 0.000267724 +4 *2819:mgmt_gpio_out[23] 0.00113741 +5 *1720:44 0.000854955 +6 *1720:40 0.00371604 +7 *1720:39 0.00315707 +8 *1720:37 0.00314738 +9 *1720:36 0.00314738 +10 *1720:34 0.0223474 +11 *1720:33 0.0223474 +12 *1720:31 0.166243 +13 *1720:30 0.166587 +14 *1720:27 0.00121379 +15 *2813:mgmt_gpio_in *2311:11 0.00049413 +16 *2813:mgmt_gpio_in *2672:14 0 +17 *1720:27 *1721:21 0.000955171 +18 *1720:30 *1747:10 0.00142877 +19 *1720:31 *1722:23 0 +20 *1720:31 *1723:21 0 +21 *1720:31 *2738:5 0 +22 *1720:40 *2634:19 0.000714644 +23 *1720:40 *2672:14 0 +24 *1720:44 *2311:11 0.00233251 +25 *1720:44 *2672:14 0 +26 *2813:serial_clock *1720:40 0.000801619 +27 *2813:serial_load *1720:40 0.000337843 +28 *112:23 *1720:40 0.00870944 +29 *629:41 *1720:34 0.302098 +30 *652:22 *1720:37 0.0033072 +31 *652:25 *1720:40 0 +32 *653:17 *1720:40 0 +33 *665:16 *1720:31 0 +34 *1719:25 *2819:mgmt_gpio_in[23] 0 +35 *1719:34 *1720:34 0.273458 +*RES +1 *2819:mgmt_gpio_out[23] *2819:mgmt_gpio_in[23] 8.79358 +2 *2819:mgmt_gpio_out[23] *1720:27 38.9049 +3 *1720:27 *1720:30 19.6431 +4 *1720:30 *1720:31 4711.62 +5 *1720:31 *1720:33 4.5 +6 *1720:33 *1720:34 3158.64 +7 *1720:34 *1720:36 4.5 +8 *1720:36 *1720:37 108.967 +9 *1720:37 *1720:39 4.5 +10 *1720:39 *1720:40 134.383 +11 *1720:40 *1720:44 25.7047 +12 *1720:44 *2813:mgmt_gpio_in 13.6238 +13 *1720:44 *2813:mgmt_gpio_out 8.38708 +*END + +*D_NET *1721 1.21054 +*CONN +*I *2814:mgmt_gpio_out I *D gpio_control_block +*I *2814:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[24] I *D housekeeping +*I *2819:mgmt_gpio_out[24] O *D housekeeping +*CAP +1 *2814:mgmt_gpio_out 0.00022218 +2 *2814:mgmt_gpio_in 7.61875e-05 +3 *2819:mgmt_gpio_in[24] 1.28869e-05 +4 *2819:mgmt_gpio_out[24] 0.000248672 +5 *1721:33 0.00126021 +6 *1721:27 0.0377333 +7 *1721:26 0.0367714 +8 *1721:24 0.0567813 +9 *1721:23 0.0567813 +10 *1721:21 0.00186708 +11 *1721:13 0.00212864 +12 *2814:mgmt_gpio_out *1970:7 4.80336e-05 +13 *1721:13 *1722:23 0 +14 *1721:24 *1723:24 0.342504 +15 *1721:24 *1745:10 0.00443444 +16 *1721:27 *1734:16 0.0061504 +17 *1721:27 *1746:19 0.00644048 +18 *1721:27 *1751:19 7.12527e-05 +19 *1721:27 *2725:14 0.00790333 +20 *109:26 *1721:27 0.00390219 +21 *624:26 *1721:27 0.258118 +22 *647:28 *1721:27 0.242546 +23 *654:10 *2814:mgmt_gpio_out 0.000461064 +24 *654:10 *1721:33 2.02035e-05 +25 *654:15 *2814:mgmt_gpio_in 1.80858e-05 +26 *795:8 *1721:24 0 +27 *797:8 *1721:24 0 +28 *800:8 *1721:24 0 +29 *801:8 *1721:24 5.36005e-05 +30 *802:8 *1721:24 0.000441509 +31 *804:10 *1721:24 0.0574637 +32 *805:8 *1721:24 0.00083916 +33 *1072:10 *1721:24 0.0769634 +34 *1073:10 *1721:24 0.00692307 +35 *1074:10 *1721:24 0.000177491 +36 *1075:10 *1721:24 0.000169508 +37 *1076:10 *1721:24 5.36005e-05 +38 *1077:10 *1721:24 0 +39 *1079:10 *1721:24 0 +40 *1720:27 *1721:21 0.000955171 +*RES +1 *2819:mgmt_gpio_out[24] *1721:13 8.59816 +2 *1721:13 *2819:mgmt_gpio_in[24] 0.366399 +3 *1721:13 *1721:21 58.5139 +4 *1721:21 *1721:23 4.5 +5 *1721:23 *1721:24 3625.61 +6 *1721:24 *1721:26 4.5 +7 *1721:26 *1721:27 4349.94 +8 *1721:27 *1721:33 33.3954 +9 *1721:33 *2814:mgmt_gpio_in 3.66144 +10 *1721:33 *2814:mgmt_gpio_out 11.307 +*END + +*D_NET *1722 1.07167 +*CONN +*I *2815:mgmt_gpio_out I *D gpio_control_block +*I *2815:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[25] I *D housekeeping +*I *2819:mgmt_gpio_out[25] O *D housekeeping +*CAP +1 *2815:mgmt_gpio_out 0.000263653 +2 *2815:mgmt_gpio_in 0.000273178 +3 *2819:mgmt_gpio_in[25] 1.28869e-05 +4 *2819:mgmt_gpio_out[25] 0.000248672 +5 *1722:33 0.000550137 +6 *1722:30 0.00485393 +7 *1722:29 0.00484063 +8 *1722:27 0.038119 +9 *1722:26 0.038119 +10 *1722:24 0.0530305 +11 *1722:23 0.0544895 +12 *1722:13 0.00172056 +13 *2815:mgmt_gpio_out *2822:mprj_io_analog_en[25] 3.18067e-05 +14 *2815:mgmt_gpio_out *2275:11 0.000253467 +15 *2815:mgmt_gpio_out *2351:16 0.000517844 +16 *1722:13 *1723:21 0 +17 *1722:24 *1729:24 0.337757 +18 *1722:24 *1754:15 0.12838 +19 *1722:27 *1750:13 0 +20 *1722:30 *2047:13 0.000693161 +21 *1722:30 *2154:13 1.88152e-05 +22 *1722:33 *2275:11 5.39635e-06 +23 *1722:33 *2351:16 2.02035e-05 +24 *2826:la_input[3] *1722:27 0 +25 *114:36 *1722:27 0.201723 +26 *115:58 *1722:27 0 +27 *116:43 *1722:27 0 +28 *630:23 *1722:27 0.201813 +29 *655:39 *1722:27 0 +30 *656:29 *1722:27 4.13721e-05 +31 *1350:7 *1722:27 0.00388974 +32 *1720:31 *1722:23 0 +33 *1721:13 *1722:23 0 +*RES +1 *2819:mgmt_gpio_out[25] *1722:13 8.59816 +2 *1722:13 *2819:mgmt_gpio_in[25] 0.366399 +3 *1722:13 *1722:23 44.7428 +4 *1722:23 *1722:24 3532.44 +5 *1722:24 *1722:26 4.5 +6 *1722:26 *1722:27 3598.33 +7 *1722:27 *1722:29 4.5 +8 *1722:29 *1722:30 126.064 +9 *1722:30 *1722:33 4.87861 +10 *1722:33 *2815:mgmt_gpio_in 4.06385 +11 *1722:33 *2815:mgmt_gpio_out 12.2239 +*END + +*D_NET *1723 1.20936 +*CONN +*I *2816:mgmt_gpio_out I *D gpio_control_block +*I *2816:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[26] I *D housekeeping +*I *2819:mgmt_gpio_out[26] O *D housekeeping +*CAP +1 *2816:mgmt_gpio_out 0.000267226 +2 *2816:mgmt_gpio_in 9.59398e-05 +3 *2819:mgmt_gpio_in[26] 1.28869e-05 +4 *2819:mgmt_gpio_out[26] 0.000248672 +5 *1723:33 0.00174178 +6 *1723:27 0.0317233 +7 *1723:26 0.0303447 +8 *1723:24 0.0259195 +9 *1723:23 0.0259195 +10 *1723:21 0.00215365 +11 *1723:13 0.00241521 +12 *2816:mgmt_gpio_out *1972:12 0.000475383 +13 *1723:13 *2819:mgmt_gpio_in[27] 0 +14 *1723:24 *1724:28 0.344038 +15 *1723:24 *1745:10 0.00604628 +16 *1723:27 *1724:31 0.19122 +17 *1723:27 *1732:31 0.0391653 +18 *1723:27 *2725:14 0.00201902 +19 *1723:33 *1972:12 1.66771e-05 +20 *107:28 *1723:27 0.0011896 +21 *646:29 *1723:27 0.161848 +22 *1720:31 *1723:21 0 +23 *1721:24 *1723:24 0.342504 +24 *1722:13 *1723:21 0 +*RES +1 *2819:mgmt_gpio_out[26] *1723:13 8.59816 +2 *1723:13 *2819:mgmt_gpio_in[26] 0.366399 +3 *1723:13 *1723:21 58.0987 +4 *1723:21 *1723:23 4.5 +5 *1723:23 *1723:24 3639.48 +6 *1723:24 *1723:26 4.5 +7 *1723:26 *1723:27 3317.21 +8 *1723:27 *1723:33 38.3868 +9 *1723:33 *2816:mgmt_gpio_in 3.59493 +10 *1723:33 *2816:mgmt_gpio_out 11.2405 +*END + +*D_NET *1724 1.17849 +*CONN +*I *2817:mgmt_gpio_out I *D gpio_control_block +*I *2817:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[27] I *D housekeeping +*I *2819:mgmt_gpio_out[27] O *D housekeeping +*CAP +1 *2817:mgmt_gpio_out 0.000155974 +2 *2817:mgmt_gpio_in 8.45551e-05 +3 *2819:mgmt_gpio_in[27] 6.58967e-05 +4 *2819:mgmt_gpio_out[27] 0.000236007 +5 *1724:37 0.00155439 +6 *1724:31 0.0292128 +7 *1724:30 0.0278989 +8 *1724:28 0.0258351 +9 *1724:27 0.0272328 +10 *1724:24 0.0019031 +11 *1724:9 0.000807251 +12 *2817:mgmt_gpio_out *1973:7 4.80459e-05 +13 *2817:mgmt_gpio_out *2162:12 0.000153257 +14 *2817:mgmt_gpio_out *2277:11 0.000469124 +15 *1724:9 *1725:21 0 +16 *1724:28 *1725:24 0.344781 +17 *1724:28 *1745:10 0.00709644 +18 *1724:31 *1725:27 0.174714 +19 *1724:31 *2725:14 6.3191e-06 +20 *1724:37 *2162:12 4.75721e-06 +21 *1724:37 *2277:11 1.66771e-05 +22 *1717:31 *1724:27 0.000289902 +23 *1718:31 *1724:27 0.000667537 +24 *1723:13 *2819:mgmt_gpio_in[27] 0 +25 *1723:24 *1724:28 0.344038 +26 *1723:27 *1724:31 0.19122 +*RES +1 *2819:mgmt_gpio_out[27] *1724:9 7.42569 +2 *1724:9 *2819:mgmt_gpio_in[27] 1.9297 +3 *1724:9 *1724:24 22.9748 +4 *1724:24 *1724:27 48.2725 +5 *1724:27 *1724:28 3647.24 +6 *1724:28 *1724:30 4.5 +7 *1724:30 *1724:31 3055.18 +8 *1724:31 *1724:37 36.723 +9 *1724:37 *2817:mgmt_gpio_in 3.66144 +10 *1724:37 *2817:mgmt_gpio_out 11.307 +*END + +*D_NET *1725 1.14075 +*CONN +*I *2818:mgmt_gpio_out I *D gpio_control_block +*I *2818:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[28] I *D housekeeping +*I *2819:mgmt_gpio_out[28] O *D housekeeping +*CAP +1 *2818:mgmt_gpio_out 0.000208881 +2 *2818:mgmt_gpio_in 8.01334e-05 +3 *2819:mgmt_gpio_in[28] 1.28869e-05 +4 *2819:mgmt_gpio_out[28] 0.000248672 +5 *1725:33 0.00162748 +6 *1725:27 0.0271579 +7 *1725:26 0.0258194 +8 *1725:24 0.0259393 +9 *1725:23 0.0259393 +10 *1725:21 0.00208039 +11 *1725:13 0.00234195 +12 *2818:mgmt_gpio_out *1974:13 5.36925e-05 +13 *2818:mgmt_gpio_out *2278:11 0.000469124 +14 *1725:13 *1726:21 0 +15 *1725:24 *1726:24 0.0005022 +16 *1725:24 *1728:24 0.344449 +17 *1725:24 *1733:11 0.00614765 +18 *1725:27 *1726:27 0.158149 +19 *1725:33 *2278:11 1.66771e-05 +20 *110:49 *1725:24 1.41976e-05 +21 *1724:9 *1725:21 0 +22 *1724:28 *1725:24 0.344781 +23 *1724:31 *1725:27 0.174714 +*RES +1 *2819:mgmt_gpio_out[28] *1725:13 8.59816 +2 *1725:13 *2819:mgmt_gpio_in[28] 0.366399 +3 *1725:13 *1725:21 57.2682 +4 *1725:21 *1725:23 4.5 +5 *1725:23 *1725:24 3655.56 +6 *1725:24 *1725:26 4.5 +7 *1725:26 *1725:27 2791.5 +8 *1725:27 *1725:33 37.2776 +9 *1725:33 *2818:mgmt_gpio_in 3.66144 +10 *1725:33 *2818:mgmt_gpio_out 11.307 +*END + +*D_NET *1726 0.902604 +*CONN +*I *2804:mgmt_gpio_out I *D gpio_control_block +*I *2804:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[29] I *D housekeeping +*I *2819:mgmt_gpio_out[29] O *D housekeeping +*CAP +1 *2804:mgmt_gpio_out 0.000308264 +2 *2804:mgmt_gpio_in 0.000106673 +3 *2819:mgmt_gpio_in[29] 1.28869e-05 +4 *2819:mgmt_gpio_out[29] 0.000248672 +5 *1726:33 0.00181512 +6 *1726:27 0.0256641 +7 *1726:26 0.0242639 +8 *1726:24 0.0748318 +9 *1726:23 0.0748318 +10 *1726:21 0.00202587 +11 *1726:13 0.00228743 +12 *2804:mgmt_gpio_out *2013:9 0 +13 *2804:mgmt_gpio_out *2279:11 0.00015485 +14 *1726:13 *1728:21 0 +15 *1726:24 *1728:24 0.345244 +16 *1726:24 *1733:11 0.0134999 +17 *1726:27 *1730:29 0.00889053 +18 *1726:27 *1731:27 8.92568e-06 +19 *1726:33 *2279:11 2.87136e-06 +20 *101:48 *1726:27 0.12566 +21 *649:62 *1726:27 0 +22 *784:8 *1726:24 0 +23 *785:8 *1726:24 5.21591e-05 +24 *786:8 *1726:24 0.00013526 +25 *787:8 *1726:24 0.000713504 +26 *790:8 *1726:24 0.0431947 +27 *1725:13 *1726:21 0 +28 *1725:24 *1726:24 0.0005022 +29 *1725:27 *1726:27 0.158149 +*RES +1 *2819:mgmt_gpio_out[29] *1726:13 8.59816 +2 *1726:13 *2819:mgmt_gpio_in[29] 0.366399 +3 *1726:13 *1726:21 56.4377 +4 *1726:21 *1726:23 4.5 +5 *1726:23 *1726:24 3663.33 +6 *1726:24 *1726:26 4.5 +7 *1726:26 *1726:27 2527.4 +8 *1726:27 *1726:33 39.496 +9 *1726:33 *2804:mgmt_gpio_in 3.65147 +10 *1726:33 *2804:mgmt_gpio_out 11.297 +*END + +*D_NET *1727 0.0774494 +*CONN +*I *2797:mgmt_gpio_in O *D gpio_control_block +*I *2797:mgmt_gpio_out I *D gpio_control_block +*I *2819:mgmt_gpio_in[2] I *D housekeeping +*I *2819:mgmt_gpio_out[2] O *D housekeeping +*CAP +1 *2797:mgmt_gpio_in 4.79273e-05 +2 *2797:mgmt_gpio_out 0.000344398 +3 *2819:mgmt_gpio_in[2] 0.000549922 +4 *2819:mgmt_gpio_out[2] 9.94281e-05 +5 *1727:29 0.00137588 +6 *1727:20 0.00737652 +7 *1727:19 0.00792901 +8 *1727:5 0.0021854 +9 *2797:mgmt_gpio_out *1976:13 0.000138686 +10 *2819:mgmt_gpio_in[2] *2537:12 0.00130366 +11 *1727:20 *1736:20 0.00370732 +12 *1727:20 *1737:20 0.000670391 +13 *1727:20 *1738:20 0.000931168 +14 *1727:20 *1739:20 0.0263543 +15 *1727:20 *1740:20 0.0244305 +16 *1727:20 *2093:14 0 +17 *1727:20 *2296:14 0 +18 *1727:29 *1976:13 0 +19 *1727:29 *2014:13 0 +20 *616:56 *1727:20 0 +21 *660:16 *1727:20 0 +22 *669:30 *1727:29 4.89469e-06 +23 *678:8 *1727:20 0 +*RES +1 *2819:mgmt_gpio_out[2] *1727:5 0.292658 +2 *1727:5 *2819:mgmt_gpio_in[2] 27.9638 +3 *1727:5 *1727:19 8.62 +4 *1727:19 *1727:20 544.774 +5 *1727:20 *1727:29 31.8608 +6 *1727:29 *2797:mgmt_gpio_out 1.24546 +7 *1727:29 *2797:mgmt_gpio_in 0.177923 +*END + +*D_NET *1728 1.04208 +*CONN +*I *2805:mgmt_gpio_out I *D gpio_control_block +*I *2805:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[30] I *D housekeeping +*I *2819:mgmt_gpio_out[30] O *D housekeeping +*CAP +1 *2805:mgmt_gpio_out 0.0003908 +2 *2805:mgmt_gpio_in 0.000133609 +3 *2819:mgmt_gpio_in[30] 1.28869e-05 +4 *2819:mgmt_gpio_out[30] 0.000248672 +5 *1728:33 0.000547509 +6 *1728:30 0.0018951 +7 *1728:27 0.0306106 +8 *1728:26 0.0287386 +9 *1728:24 0.025925 +10 *1728:23 0.025925 +11 *1728:21 0.00204493 +12 *1728:13 0.00230649 +13 *2805:mgmt_gpio_out *1977:7 3.09106e-06 +14 *2805:mgmt_gpio_out *2281:11 0 +15 *1728:13 *1729:23 0 +16 *1728:24 *1733:11 0.00719095 +17 *1728:27 *2605:17 0.00185281 +18 *1728:27 *2607:11 0.0562205 +19 *1728:27 *2610:11 0.0455244 +20 *1728:27 *2645:16 0.00194805 +21 *1728:27 *2719:14 0.00440134 +22 *1728:27 *2724:14 0.0109616 +23 *110:49 *1728:24 0.000279817 +24 *649:56 *1728:27 0.104255 +25 *650:53 *1728:24 0.000967885 +26 *1725:24 *1728:24 0.344449 +27 *1726:13 *1728:21 0 +28 *1726:24 *1728:24 0.345244 +*RES +1 *2819:mgmt_gpio_out[30] *1728:13 8.59816 +2 *1728:13 *2819:mgmt_gpio_in[30] 0.366399 +3 *1728:13 *1728:21 56.8529 +4 *1728:21 *1728:23 4.5 +5 *1728:23 *1728:24 3660 +6 *1728:24 *1728:26 4.5 +7 *1728:26 *1728:27 2262.88 +8 *1728:27 *1728:30 46.2641 +9 *1728:30 *1728:33 4.87861 +10 *1728:33 *2805:mgmt_gpio_in 3.708 +11 *1728:33 *2805:mgmt_gpio_out 11.3535 +*END + +*D_NET *1729 0.969953 +*CONN +*I *2806:mgmt_gpio_out I *D gpio_control_block +*I *2806:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[31] I *D housekeeping +*I *2819:mgmt_gpio_out[31] O *D housekeeping +*CAP +1 *2806:mgmt_gpio_out 0.000162793 +2 *2806:mgmt_gpio_in 0.000109129 +3 *2819:mgmt_gpio_in[31] 1.28869e-05 +4 *2819:mgmt_gpio_out[31] 0.000248672 +5 *1729:37 0.000299852 +6 *1729:34 0.00463647 +7 *1729:33 0.00460854 +8 *1729:31 0.0340074 +9 *1729:29 0.0340332 +10 *1729:27 0.00126508 +11 *1729:26 0.00123931 +12 *1729:24 0.0212688 +13 *1729:23 0.0226927 +14 *1729:13 0.00168549 +15 *2806:mgmt_gpio_out *1978:15 1.10565e-05 +16 *2806:mgmt_gpio_out *2054:15 0.000468828 +17 *2806:mgmt_gpio_out *2174:12 0.000470189 +18 *1729:13 *1730:19 0 +19 *1729:24 *1750:10 0.34274 +20 *1729:27 *1750:13 0.00170309 +21 *1729:31 *1750:13 0.00337934 +22 *1729:34 *2054:15 0.000490094 +23 *1729:37 *2054:15 0.000180024 +24 *1729:37 *2174:12 0.000171753 +25 *99:10 *1729:24 0.000347349 +26 *104:40 *1729:31 0.0417928 +27 *108:26 *1729:31 7.77309e-06 +28 *620:42 *1729:31 9.2346e-06 +29 *648:26 *1729:31 0.112334 +30 *1083:9 *1729:27 0.0018205 +31 *1722:24 *1729:24 0.337757 +32 *1728:13 *1729:23 0 +*RES +1 *2819:mgmt_gpio_out[31] *1729:13 8.59816 +2 *1729:13 *2819:mgmt_gpio_in[31] 0.366399 +3 *1729:13 *1729:23 44.3276 +4 *1729:23 *1729:24 3586.24 +5 *1729:24 *1729:26 4.5 +6 *1729:26 *1729:27 59.0269 +7 *1729:27 *1729:29 0.732798 +8 *1729:29 *1729:31 1955.29 +9 *1729:31 *1729:33 4.5 +10 *1729:33 *1729:34 123.291 +11 *1729:34 *1729:37 7.37013 +12 *1729:37 *2806:mgmt_gpio_in 3.59493 +13 *1729:37 *2806:mgmt_gpio_out 11.2405 +*END + +*D_NET *1730 0.67511 +*CONN +*I *2807:mgmt_gpio_out I *D gpio_control_block +*I *2807:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[32] I *D housekeeping +*I *2819:mgmt_gpio_out[32] O *D housekeeping +*CAP +1 *2807:mgmt_gpio_out 0.000220783 +2 *2807:mgmt_gpio_in 9.14025e-05 +3 *2819:mgmt_gpio_in[32] 0.000340452 +4 *2819:mgmt_gpio_out[32] 0.000268285 +5 *1730:35 0.00171059 +6 *1730:29 0.012576 +7 *1730:28 0.0111776 +8 *1730:26 0.0820512 +9 *1730:25 0.0832728 +10 *1730:19 0.00479679 +11 *1730:17 0.00364739 +12 *2807:mgmt_gpio_out *1979:7 5.02212e-05 +13 *2807:mgmt_gpio_out *2177:11 0.000469124 +14 *2819:mgmt_gpio_in[32] *1731:21 0 +15 *1730:26 *1731:24 0.348065 +16 *1730:26 *1732:28 2.41483e-05 +17 *1730:29 *1731:27 0.0556009 +18 *1730:29 *2722:14 0.00800914 +19 *1730:35 *2177:11 1.66771e-05 +20 *101:48 *1730:29 0.0538301 +21 *1726:27 *1730:29 0.00889053 +22 *1729:13 *1730:19 0 +*RES +1 *2819:mgmt_gpio_out[32] *2819:mgmt_gpio_in[32] 10.1859 +2 *2819:mgmt_gpio_in[32] *1730:17 2.05183 +3 *1730:17 *1730:19 99.514 +4 *1730:19 *1730:25 38.1232 +5 *1730:25 *1730:26 3678.85 +6 *1730:26 *1730:28 4.5 +7 *1730:28 *1730:29 1152.5 +8 *1730:29 *1730:35 38.9414 +9 *1730:35 *2807:mgmt_gpio_in 3.66144 +10 *1730:35 *2807:mgmt_gpio_out 11.307 +*END + +*D_NET *1731 0.885717 +*CONN +*I *2808:mgmt_gpio_out I *D gpio_control_block +*I *2808:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[33] I *D housekeeping +*I *2819:mgmt_gpio_out[33] O *D housekeeping +*CAP +1 *2808:mgmt_gpio_out 0.000320108 +2 *2808:mgmt_gpio_in 0.000121581 +3 *2819:mgmt_gpio_in[33] 1.28869e-05 +4 *2819:mgmt_gpio_out[33] 0.000248672 +5 *1731:33 0.00183634 +6 *1731:27 0.010788 +7 *1731:26 0.00939332 +8 *1731:24 0.0258997 +9 *1731:23 0.0258997 +10 *1731:21 0.00321444 +11 *1731:13 0.003476 +12 *2808:mgmt_gpio_out *1980:15 1.02886e-05 +13 *2808:mgmt_gpio_out *2056:13 0.00022036 +14 *2808:mgmt_gpio_out *2436:8 0 +15 *1731:13 *1732:24 0 +16 *1731:21 *1732:25 0.00587588 +17 *1731:24 *1732:28 0.349656 +18 *1731:24 *1751:16 1.65872e-05 +19 *1731:27 *2722:14 0.0118964 +20 *1731:33 *2056:13 7.86825e-06 +21 *2819:mgmt_gpio_in[32] *1731:21 0 +22 *649:62 *1731:27 0.0331472 +23 *1726:27 *1731:27 8.92568e-06 +24 *1730:26 *1731:24 0.348065 +25 *1730:29 *1731:27 0.0556009 +*RES +1 *2819:mgmt_gpio_out[33] *1731:13 8.59816 +2 *1731:13 *2819:mgmt_gpio_in[33] 0.366399 +3 *1731:13 *1731:21 125.37 +4 *1731:21 *1731:23 4.5 +5 *1731:23 *1731:24 3695.49 +6 *1731:24 *1731:26 4.5 +7 *1731:26 *1731:27 888.811 +8 *1731:27 *1731:33 39.496 +9 *1731:33 *2808:mgmt_gpio_in 3.66144 +10 *1731:33 *2808:mgmt_gpio_out 11.307 +*END + +*D_NET *1732 0.8566 +*CONN +*I *2809:mgmt_gpio_out I *D gpio_control_block +*I *2809:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[34] I *D housekeeping +*I *2819:mgmt_gpio_out[34] O *D housekeeping +*CAP +1 *2809:mgmt_gpio_out 0.000182252 +2 *2809:mgmt_gpio_in 0.000109436 +3 *2819:mgmt_gpio_in[34] 0.00165071 +4 *2819:mgmt_gpio_out[34] 0.000248526 +5 *1732:37 0.00145571 +6 *1732:31 0.00617245 +7 *1732:30 0.00500843 +8 *1732:28 0.0257409 +9 *1732:27 0.0257409 +10 *1732:25 0.00213872 +11 *1732:24 0.0035409 +12 *2809:mgmt_gpio_out *1981:7 4.03816e-05 +13 *2809:mgmt_gpio_out *2073:12 0.000153257 +14 *2809:mgmt_gpio_out *2285:11 0.000469124 +15 *1732:24 *1747:10 0.000110147 +16 *1732:28 *1751:16 0.350002 +17 *1732:31 *2725:14 0.0258221 +18 *1732:37 *2073:12 4.75721e-06 +19 *1732:37 *2285:11 1.66771e-05 +20 *107:28 *1732:31 0.0132421 +21 *647:28 *1732:31 2.87578e-05 +22 *697:32 *2819:mgmt_gpio_in[34] 0 +23 *1723:27 *1732:31 0.0391653 +24 *1730:26 *1732:28 2.41483e-05 +25 *1731:13 *1732:24 0 +26 *1731:21 *1732:25 0.00587588 +27 *1731:24 *1732:28 0.349656 +*RES +1 *2819:mgmt_gpio_out[34] *2819:mgmt_gpio_in[34] 9.62408 +2 *2819:mgmt_gpio_in[34] *1732:24 48.9831 +3 *1732:24 *1732:25 94.8485 +4 *1732:25 *1732:27 4.5 +5 *1732:27 *1732:28 3698.82 +6 *1732:28 *1732:30 4.5 +7 *1732:30 *1732:31 625.956 +8 *1732:31 *1732:37 35.6138 +9 *1732:37 *2809:mgmt_gpio_in 3.66144 +10 *1732:37 *2809:mgmt_gpio_out 11.307 +*END + +*D_NET *1733 0.839008 +*CONN +*I *2819:mgmt_gpio_in[35] I *D housekeeping +*I *2783:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2819:mgmt_gpio_in[35] 1.28869e-05 +2 *2783:mgmt_gpio_in 0.000689653 +3 *1733:14 0.00114289 +4 *1733:13 0.00113 +5 *1733:11 0.170237 +6 *1733:10 0.170237 +7 *1733:8 0.00769012 +8 *1733:7 0.00837977 +9 *1733:7 *2783:mgmt_gpio_oeb 0 +10 *1733:8 *2822:mprj_io_oeb[35] 0.00012125 +11 *1733:8 *2822:mprj_io_out[35] 0.000810937 +12 *1733:8 *1745:13 0.0296861 +13 *1733:8 *2021:16 0.000651203 +14 *1733:8 *2059:16 0.000938008 +15 *1733:8 *2076:8 0.000496457 +16 *1733:8 *2077:10 0.000225834 +17 *1733:8 *2077:12 0.000651203 +18 *1733:8 *2078:10 0.000208848 +19 *1733:8 *2078:12 0.000500324 +20 *1733:8 *2248:8 0.000569924 +21 *1733:8 *2401:15 0.000213714 +22 *1733:8 *2438:8 0.000475529 +23 *1733:8 *2477:8 0.000276022 +24 *1733:11 *1745:10 0.403744 +25 *1733:14 *1746:13 0.00352285 +26 *1733:14 *1751:13 0.000588489 +27 *2784:serial_clock *1733:8 0 +28 *2785:serial_load *1733:8 0.00151958 +29 *619:20 *1733:8 0.00484828 +30 *650:53 *1733:11 0.00116106 +31 *697:32 *1733:14 0 +32 *786:8 *1733:11 0.00011282 +33 *787:8 *1733:11 0.000359698 +34 *789:8 *1733:11 0.000331419 +35 *790:8 *1733:11 0.000637172 +36 *1725:24 *1733:11 0.00614765 +37 *1726:24 *1733:11 0.0134999 +38 *1728:24 *1733:11 0.00719095 +*RES +1 *2783:mgmt_gpio_in *1733:7 1.62542 +2 *1733:7 *1733:8 59.2599 +3 *1733:8 *1733:10 0.376635 +4 *1733:10 *1733:11 515.173 +5 *1733:11 *1733:13 3.36879 +6 *1733:13 *1733:14 56.6453 +7 *1733:14 *2819:mgmt_gpio_in[35] 0.366399 +*END + +*D_NET *1734 0.54812 +*CONN +*I *2819:mgmt_gpio_in[36] I *D housekeeping +*I *2784:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2819:mgmt_gpio_in[36] 0.000118897 +2 *2784:mgmt_gpio_in 0.0011192 +3 *1734:26 0.00314049 +4 *1734:24 0.00383215 +5 *1734:19 0.080114 +6 *1734:18 0.0793035 +7 *1734:16 0.000770201 +8 *1734:15 0.0018894 +9 *1734:15 *2784:mgmt_gpio_oeb 0 +10 *1734:19 *1746:16 0.351835 +11 *1734:24 *1746:13 0.000538321 +12 *1734:26 *1745:7 0 +13 *1734:26 *1746:12 0 +14 *1734:26 *1746:13 0 +15 *1734:26 *1750:9 0.00245829 +16 *1734:26 *1751:7 0 +17 *109:26 *1734:16 0.00615398 +18 *648:37 *1734:15 8.27252e-05 +19 *1426:10 *1734:19 0.000266298 +20 *1429:10 *1734:19 0.0102183 +21 *1430:10 *1734:19 0.000128346 +22 *1432:10 *1734:19 0 +23 *1434:10 *1734:19 0 +24 *1436:10 *1734:19 0 +25 *1438:10 *1734:19 0 +26 *1721:27 *1734:16 0.0061504 +*RES +1 *2784:mgmt_gpio_in *1734:15 38.0995 +2 *1734:15 *1734:16 98.1705 +3 *1734:16 *1734:18 4.5 +4 *1734:18 *1734:19 3721 +5 *1734:19 *1734:24 33.7997 +6 *1734:24 *1734:26 99.514 +7 *1734:26 *2819:mgmt_gpio_in[36] 2.05183 +*END + +*D_NET *1735 0.591661 +*CONN +*I *2819:mgmt_gpio_in[37] I *D housekeeping +*I *2785:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2819:mgmt_gpio_in[37] 0.00116245 +2 *2785:mgmt_gpio_in 5.90925e-05 +3 *1735:13 0.0668256 +4 *1735:12 0.0656631 +5 *1735:10 0.0025571 +6 *1735:9 0.00261619 +7 *2819:mgmt_gpio_in[37] *1747:9 0 +8 *2819:mgmt_gpio_in[37] *1751:7 0 +9 *1735:13 *1747:10 0.365058 +10 *1735:13 *1823:29 0.0877192 +11 *1717:28 *1735:13 0 +*RES +1 *2785:mgmt_gpio_in *1735:9 3.59493 +2 *1735:9 *1735:10 72.2172 +3 *1735:10 *1735:12 4.5 +4 *1735:12 *1735:13 3819.72 +5 *1735:13 *2819:mgmt_gpio_in[37] 37.2194 +*END + +*D_NET *1736 0.113364 +*CONN +*I *2798:mgmt_gpio_out I *D gpio_control_block +*I *2798:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[3] I *D housekeeping +*I *2819:mgmt_gpio_out[3] O *D housekeeping +*CAP +1 *2798:mgmt_gpio_out 0.000251614 +2 *2798:mgmt_gpio_in 0.000121702 +3 *2819:mgmt_gpio_in[3] 0.000549922 +4 *2819:mgmt_gpio_out[3] 9.94281e-05 +5 *1736:26 0.000528324 +6 *1736:25 0.00152381 +7 *1736:20 0.0101385 +8 *1736:19 0.0102231 +9 *1736:5 0.00210277 +10 *2819:mgmt_gpio_in[3] *2537:12 0.00130366 +11 *1736:20 *1740:20 0.0415607 +12 *1736:20 *1741:20 0.0395848 +13 *1736:20 *1749:8 0 +14 *1736:25 *1985:15 0.00130248 +15 *678:8 *1736:20 0.000365823 +16 *1727:20 *1736:20 0.00370732 +*RES +1 *2819:mgmt_gpio_out[3] *1736:5 0.292658 +2 *1736:5 *2819:mgmt_gpio_in[3] 27.9638 +3 *1736:5 *1736:19 8.46702 +4 *1736:19 *1736:20 787.697 +5 *1736:20 *1736:25 46.8818 +6 *1736:25 *1736:26 4.11588 +7 *1736:26 *2798:mgmt_gpio_in 3.708 +8 *1736:26 *2798:mgmt_gpio_out 8.74894 +*END + +*D_NET *1737 0.130631 +*CONN +*I *2799:mgmt_gpio_out I *D gpio_control_block +*I *2799:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[4] I *D housekeeping +*I *2819:mgmt_gpio_out[4] O *D housekeeping +*CAP +1 *2799:mgmt_gpio_out 0.000251614 +2 *2799:mgmt_gpio_in 0.000121702 +3 *2819:mgmt_gpio_in[4] 0.000560334 +4 *2819:mgmt_gpio_out[4] 9.94281e-05 +5 *1737:26 0.000747402 +6 *1737:25 0.00180464 +7 *1737:20 0.0165652 +8 *1737:19 0.0170736 +9 *1737:5 0.00259874 +10 *2819:mgmt_gpio_in[4] *2537:12 0.00130366 +11 *1737:20 *1738:20 0.0621656 +12 *1737:20 *2280:11 0 +13 *1737:20 *2290:11 0.00249068 +14 *1737:20 *2432:14 0.00323017 +15 *1737:20 *2441:16 0.00295499 +16 *1737:20 *2470:14 0 +17 *116:49 *1737:20 0.00119093 +18 *616:56 *1737:20 0.0168018 +19 *1727:20 *1737:20 0.000670391 +*RES +1 *2819:mgmt_gpio_out[4] *1737:5 0.292658 +2 *1737:5 *2819:mgmt_gpio_in[4] 27.9638 +3 *1737:5 *1737:19 8.84947 +4 *1737:19 *1737:20 1025.64 +5 *1737:20 *1737:25 44.1088 +6 *1737:25 *1737:26 10.3447 +7 *1737:26 *2799:mgmt_gpio_in 3.708 +8 *1737:26 *2799:mgmt_gpio_out 8.74894 +*END + +*D_NET *1738 0.191939 +*CONN +*I *2800:mgmt_gpio_in O *D gpio_control_block +*I *2800:mgmt_gpio_out I *D gpio_control_block +*I *2819:mgmt_gpio_in[5] I *D housekeeping +*I *2819:mgmt_gpio_out[5] O *D housekeeping +*CAP +1 *2800:mgmt_gpio_in 5.76869e-05 +2 *2800:mgmt_gpio_out 0.000414297 +3 *2819:mgmt_gpio_in[5] 0.00130405 +4 *2819:mgmt_gpio_out[5] 0.00111302 +5 *1738:29 0.00135692 +6 *1738:20 0.0120187 +7 *1738:19 0.0117877 +8 *1738:5 0.003071 +9 *2800:mgmt_gpio_out *2822:mprj_io_slow_sel[5] 0.000494432 +10 *2800:mgmt_gpio_out *1987:13 2.45091e-05 +11 *2819:mgmt_gpio_in[5] *2619:16 0.000625184 +12 *1738:20 *1739:20 0.077966 +13 *1738:20 *2290:11 0 +14 *1738:20 *2442:14 0 +15 *1738:29 *2063:13 0 +16 *1738:29 *2253:8 0 +17 *113:72 *1738:20 0.0139163 +18 *116:49 *1738:20 0.00330545 +19 *653:60 *1738:20 0.000147411 +20 *697:8 *2819:mgmt_gpio_in[5] 0.00123963 +21 *1727:20 *1738:20 0.000931168 +22 *1737:20 *1738:20 0.0621656 +*RES +1 *2819:mgmt_gpio_out[5] *1738:5 3.65823 +2 *1738:5 *2819:mgmt_gpio_in[5] 31.3294 +3 *1738:5 *1738:19 5.40742 +4 *1738:19 *1738:20 1277.28 +5 *1738:20 *1738:29 30.7516 +6 *1738:29 *2800:mgmt_gpio_out 1.24546 +7 *1738:29 *2800:mgmt_gpio_in 0.177923 +*END + +*D_NET *1739 0.211491 +*CONN +*I *2801:mgmt_gpio_out I *D gpio_control_block +*I *2801:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[6] I *D housekeeping +*I *2819:mgmt_gpio_out[6] O *D housekeeping +*CAP +1 *2801:mgmt_gpio_out 0.000251614 +2 *2801:mgmt_gpio_in 0.000121702 +3 *2819:mgmt_gpio_in[6] 0.000549922 +4 *2819:mgmt_gpio_out[6] 9.94281e-05 +5 *1739:26 0.00185589 +6 *1739:20 0.0182636 +7 *1739:19 0.0183698 +8 *1739:5 0.0022381 +9 *2819:mgmt_gpio_in[6] *2537:12 0.00130366 +10 *1739:20 *1740:20 0.0122639 +11 *1739:20 *2292:11 0 +12 *1739:20 *2444:16 0 +13 *1739:26 *1988:13 0.000998917 +14 *2800:serial_clock *1739:20 0.000353972 +15 *2800:serial_load *1739:20 0.000356541 +16 *113:72 *1739:20 0.00115938 +17 *116:49 *1739:20 0.00554684 +18 *658:59 *1739:20 0.0434379 +19 *672:14 *1739:20 0 +20 *1727:20 *1739:20 0.0263543 +21 *1738:20 *1739:20 0.077966 +*RES +1 *2819:mgmt_gpio_out[6] *1739:5 0.292658 +2 *1739:5 *2819:mgmt_gpio_in[6] 27.9638 +3 *1739:5 *1739:19 8.69649 +4 *1739:19 *1739:20 1520.2 +5 *1739:20 *1739:26 49.3339 +6 *1739:26 *2801:mgmt_gpio_in 3.708 +7 *1739:26 *2801:mgmt_gpio_out 8.74894 +*END + +*D_NET *1740 0.281552 +*CONN +*I *2802:mgmt_gpio_out I *D gpio_control_block +*I *2802:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[7] I *D housekeeping +*I *2819:mgmt_gpio_out[7] O *D housekeeping +*CAP +1 *2802:mgmt_gpio_out 0.000109097 +2 *2802:mgmt_gpio_in 6.09072e-05 +3 *2819:mgmt_gpio_in[7] 0.000542504 +4 *2819:mgmt_gpio_out[7] 9.94281e-05 +5 *1740:25 0.000930232 +6 *1740:20 0.0188563 +7 *1740:19 0.0196219 +8 *1740:5 0.00216775 +9 *2802:mgmt_gpio_out *1989:13 3.27908e-05 +10 *2819:mgmt_gpio_in[7] *2537:12 0.00125157 +11 *1740:20 *1741:20 0.00394136 +12 *101:54 *1740:20 0.0773605 +13 *655:51 *2802:mgmt_gpio_out 0.000474471 +14 *658:59 *1740:20 0.077383 +15 *674:10 *2802:mgmt_gpio_out 0.000465214 +16 *1727:20 *1740:20 0.0244305 +17 *1736:20 *1740:20 0.0415607 +18 *1739:20 *1740:20 0.0122639 +*RES +1 *2819:mgmt_gpio_out[7] *1740:5 0.292658 +2 *1740:5 *2819:mgmt_gpio_in[7] 27.1333 +3 *1740:5 *1740:19 8.54351 +4 *1740:19 *1740:20 2030.96 +5 *1740:20 *1740:25 28.5799 +6 *1740:25 *2802:mgmt_gpio_in 3.58495 +7 *1740:25 *2802:mgmt_gpio_out 11.2305 +*END + +*D_NET *1741 0.32514 +*CONN +*I *2786:mgmt_gpio_out I *D gpio_control_block +*I *2786:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[8] I *D housekeeping +*I *2819:mgmt_gpio_out[8] O *D housekeeping +*CAP +1 *2786:mgmt_gpio_out 0.000266387 +2 *2786:mgmt_gpio_in 9.7115e-05 +3 *2819:mgmt_gpio_in[8] 0.000549922 +4 *2819:mgmt_gpio_out[8] 9.94281e-05 +5 *1741:26 0.000474695 +6 *1741:25 0.00166355 +7 *1741:20 0.020213 +8 *1741:19 0.0201444 +9 *1741:5 0.00213303 +10 *2819:mgmt_gpio_in[8] *2537:12 0.00130366 +11 *1741:20 *1742:20 0.139936 +12 *1741:20 *1749:8 0.000653095 +13 *101:54 *1741:20 0.0940791 +14 *1736:20 *1741:20 0.0395848 +15 *1740:20 *1741:20 0.00394136 +*RES +1 *2819:mgmt_gpio_out[8] *1741:5 0.292658 +2 *1741:5 *2819:mgmt_gpio_in[8] 27.9638 +3 *1741:5 *1741:19 8.39053 +4 *1741:19 *1741:20 2266.41 +5 *1741:20 *1741:25 47.4364 +6 *1741:25 *1741:26 2.87013 +7 *1741:26 *2786:mgmt_gpio_in 3.65147 +8 *1741:26 *2786:mgmt_gpio_out 8.80548 +*END + +*D_NET *1742 0.355847 +*CONN +*I *2788:mgmt_gpio_out I *D gpio_control_block +*I *2788:mgmt_gpio_in O *D gpio_control_block +*I *2819:mgmt_gpio_in[9] I *D housekeeping +*I *2819:mgmt_gpio_out[9] O *D housekeeping +*CAP +1 *2788:mgmt_gpio_out 0.000251614 +2 *2788:mgmt_gpio_in 0.000121702 +3 *2819:mgmt_gpio_in[9] 0.000549922 +4 *2819:mgmt_gpio_out[9] 9.94281e-05 +5 *1742:26 0.000674376 +6 *1742:25 0.0018273 +7 *1742:20 0.0225302 +8 *1742:19 0.0224677 +9 *1742:5 0.00211305 +10 *2819:mgmt_gpio_in[9] *2537:12 0.00130366 +11 *1742:20 *1749:8 0.000956555 +12 *101:54 *1742:20 0.00819502 +13 *1706:20 *1742:20 0.15482 +14 *1741:20 *1742:20 0.139936 +*RES +1 *2819:mgmt_gpio_out[9] *1742:5 0.292658 +2 *1742:5 *2819:mgmt_gpio_in[9] 27.9638 +3 *1742:5 *1742:19 8.31404 +4 *1742:19 *1742:20 2505.18 +5 *1742:20 *1742:25 47.991 +6 *1742:25 *1742:26 8.2684 +7 *1742:26 *2788:mgmt_gpio_in 3.708 +8 *1742:26 *2788:mgmt_gpio_out 8.74894 +*END + +*D_NET *1743 0.0100594 +*CONN +*I *2781:mgmt_gpio_oeb I *D gpio_control_block +*I *2819:mgmt_gpio_oeb[0] O *D housekeeping +*CAP +1 *2781:mgmt_gpio_oeb 0.000935513 +2 *2819:mgmt_gpio_oeb[0] 0.00152346 +3 *1743:8 0.00349018 +4 *1743:7 0.00407813 +5 *2781:mgmt_gpio_oeb *2781:mgmt_gpio_out 2.72062e-05 +6 *2781:mgmt_gpio_oeb *2334:8 4.89469e-06 +7 *1743:8 *2093:14 0 +8 *678:8 *1743:8 0 +9 *1705:13 *2781:mgmt_gpio_oeb 0 +*RES +1 *2819:mgmt_gpio_oeb[0] *1743:7 8.86278 +2 *1743:7 *1743:8 72.2172 +3 *1743:8 *2781:mgmt_gpio_oeb 31.9889 +*END + +*D_NET *1744 0.04849 +*CONN +*I *2782:mgmt_gpio_oeb I *D gpio_control_block +*I *2819:mgmt_gpio_oeb[1] O *D housekeeping +*CAP +1 *2782:mgmt_gpio_oeb 0.001243 +2 *2819:mgmt_gpio_oeb[1] 0.00136359 +3 *1744:8 0.00408825 +4 *1744:7 0.00420884 +5 *2782:mgmt_gpio_oeb *2782:mgmt_gpio_out 2.72062e-05 +6 *2782:mgmt_gpio_oeb *2345:8 4.89469e-06 +7 *1744:8 *1749:8 0.00159632 +8 *100:56 *1744:8 0.0141746 +9 *659:25 *1744:8 0.000152316 +10 *1705:14 *1744:8 0.00222522 +11 *1716:13 *2782:mgmt_gpio_oeb 0 +12 *1716:14 *1744:8 0.0194057 +*RES +1 *2819:mgmt_gpio_oeb[1] *1744:7 8.25085 +2 *1744:7 *1744:8 316.386 +3 *1744:8 *2782:mgmt_gpio_oeb 36.4257 +*END + +*D_NET *1745 0.823335 +*CONN +*I *2783:mgmt_gpio_oeb I *D gpio_control_block +*I *2819:mgmt_gpio_oeb[35] O *D housekeeping +*CAP +1 *2783:mgmt_gpio_oeb 0.000586635 +2 *2819:mgmt_gpio_oeb[35] 1.60434e-05 +3 *1745:13 0.0100242 +4 *1745:12 0.00943752 +5 *1745:10 0.166655 +6 *1745:9 0.166655 +7 *1745:7 0.00207088 +8 *1745:5 0.00208693 +9 *2783:mgmt_gpio_oeb *2783:mgmt_gpio_out 0.000153603 +10 *1745:7 *1746:13 0 +11 *1745:7 *1750:9 0 +12 *1745:10 *2489:10 0 +13 *1745:13 *1982:14 0.000579555 +14 *1745:13 *2020:14 0.000576072 +15 *1745:13 *2058:8 0.000950463 +16 *1745:13 *2075:8 0.000530855 +17 *1745:13 *2080:15 0.000259922 +18 *1745:13 *2080:16 0.000774583 +19 *1745:13 *2210:8 0.00060588 +20 *1745:13 *2211:13 0.000257706 +21 *1745:13 *2211:14 0.000799976 +22 *1745:13 *2286:11 0.000325321 +23 *1745:13 *2324:8 0.000847761 +24 *1745:13 *2325:10 0.000287339 +25 *1745:13 *2325:12 0.000704155 +26 *1745:13 *2401:18 0.00111963 +27 *1745:13 *2439:8 0.000639764 +28 *1745:13 *2477:16 0.00100413 +29 *110:49 *1745:10 0.000700977 +30 *619:20 *1745:13 0.000151739 +31 *687:16 *1745:13 0.00235152 +32 *801:8 *1745:10 0.000107152 +33 *802:8 *1745:10 0.000107595 +34 *803:8 *1745:10 0.000107152 +35 *804:10 *1745:10 0.000536294 +36 *805:8 *1745:10 0.000315895 +37 *1721:24 *1745:10 0.00443444 +38 *1723:24 *1745:10 0.00604628 +39 *1724:28 *1745:10 0.00709644 +40 *1733:7 *2783:mgmt_gpio_oeb 0 +41 *1733:8 *1745:13 0.0296861 +42 *1733:11 *1745:10 0.403744 +43 *1734:26 *1745:7 0 +*RES +1 *2819:mgmt_gpio_oeb[35] *1745:5 0.366399 +2 *1745:5 *1745:7 57.4758 +3 *1745:7 *1745:9 3.36879 +4 *1745:9 *1745:10 515.402 +5 *1745:10 *1745:12 0.376635 +6 *1745:12 *1745:13 59.8314 +7 *1745:13 *2783:mgmt_gpio_oeb 1.7784 +*END + +*D_NET *1746 0.784665 +*CONN +*I *2784:mgmt_gpio_oeb I *D gpio_control_block +*I *2819:mgmt_gpio_oeb[36] O *D housekeeping +*CAP +1 *2784:mgmt_gpio_oeb 0.00120372 +2 *2819:mgmt_gpio_oeb[36] 0.000406161 +3 *1746:19 0.00201158 +4 *1746:18 0.000807861 +5 *1746:16 0.0258495 +6 *1746:15 0.0258495 +7 *1746:13 0.00279393 +8 *1746:12 0.0032001 +9 *2784:mgmt_gpio_oeb *2784:mgmt_gpio_out 1.29018e-05 +10 *1746:12 *1751:7 0 +11 *1746:13 *1751:13 0.002031 +12 *1746:16 *1751:16 0.351682 +13 *1746:19 *1751:19 0.00641444 +14 *1746:19 *2725:14 9.2346e-06 +15 *108:74 *2784:mgmt_gpio_oeb 0 +16 *648:37 *2784:mgmt_gpio_oeb 0 +17 *697:29 *1746:12 5.55031e-05 +18 *697:32 *1746:13 0 +19 *1721:27 *1746:19 0.00644048 +20 *1733:14 *1746:13 0.00352285 +21 *1734:15 *2784:mgmt_gpio_oeb 0 +22 *1734:19 *1746:16 0.351835 +23 *1734:24 *1746:13 0.000538321 +24 *1734:26 *1746:12 0 +25 *1734:26 *1746:13 0 +26 *1745:7 *1746:13 0 +*RES +1 *2819:mgmt_gpio_oeb[36] *1746:12 19.9183 +2 *1746:12 *1746:13 124.747 +3 *1746:13 *1746:15 4.5 +4 *1746:15 *1746:16 3718.23 +5 *1746:16 *1746:18 4.5 +6 *1746:18 *1746:19 102.738 +7 *1746:19 *2784:mgmt_gpio_oeb 39.2087 +*END + +*D_NET *1747 0.573714 +*CONN +*I *2785:mgmt_gpio_oeb I *D gpio_control_block +*I *2819:mgmt_gpio_oeb[37] O *D housekeeping +*CAP +1 *2785:mgmt_gpio_oeb 0.00312882 +2 *2819:mgmt_gpio_oeb[37] 0.00114102 +3 *1747:13 0.00581922 +4 *1747:12 0.00269039 +5 *1747:10 0.0825353 +6 *1747:9 0.0836763 +7 *2785:mgmt_gpio_oeb *2785:mgmt_gpio_out 0.000626464 +8 *2785:mgmt_gpio_oeb *1752:28 0.0143514 +9 *1747:9 *1752:7 0 +10 *1747:10 *2785:user_gpio_out 0.00253968 +11 *1747:13 *1752:28 0.000350843 +12 *2819:mgmt_gpio_in[37] *1747:9 0 +13 *99:11 *1747:10 0.0102575 +14 *1720:30 *1747:10 0.00142877 +15 *1732:24 *1747:10 0.000110147 +16 *1735:13 *1747:10 0.365058 +*RES +1 *2819:mgmt_gpio_oeb[37] *1747:9 36.8042 +2 *1747:9 *1747:10 3963.92 +3 *1747:10 *1747:12 4.5 +4 *1747:12 *1747:13 79.2765 +5 *1747:13 *2785:mgmt_gpio_oeb 38.8372 +*END + +*D_NET *1748 0.00980666 +*CONN +*I *2781:mgmt_gpio_out I *D gpio_control_block +*I *2819:mgmt_gpio_out[0] O *D housekeeping +*CAP +1 *2781:mgmt_gpio_out 0.00114456 +2 *2819:mgmt_gpio_out[0] 0.00117642 +3 *1748:8 0.00343637 +4 *1748:7 0.00346823 +5 *2781:mgmt_gpio_out *1954:13 0.000548988 +6 *2781:mgmt_gpio_out *2334:8 4.89469e-06 +7 *2781:mgmt_gpio_oeb *2781:mgmt_gpio_out 2.72062e-05 +8 *119:8 *1748:8 0 +9 *659:8 *1748:8 0 +*RES +1 *2819:mgmt_gpio_out[0] *1748:7 7.63893 +2 *1748:7 *1748:8 63.9122 +3 *1748:8 *2781:mgmt_gpio_out 40.8625 +*END + +*D_NET *1749 0.041059 +*CONN +*I *2782:mgmt_gpio_out I *D gpio_control_block +*I *2819:mgmt_gpio_out[1] O *D housekeeping +*CAP +1 *2782:mgmt_gpio_out 0.00108439 +2 *2819:mgmt_gpio_out[1] 0.00142871 +3 *1749:8 0.00600089 +4 *1749:7 0.0063452 +5 *2782:mgmt_gpio_out *1965:13 0.000229211 +6 *2782:mgmt_gpio_out *2345:8 4.89469e-06 +7 *2782:mgmt_gpio_oeb *2782:mgmt_gpio_out 2.72062e-05 +8 *100:56 *1749:8 0.0143258 +9 *678:8 *1749:8 0.00499993 +10 *1705:14 *1749:8 0.00160428 +11 *1706:20 *1749:8 0.00180248 +12 *1736:20 *1749:8 0 +13 *1741:20 *1749:8 0.000653095 +14 *1742:20 *1749:8 0.000956555 +15 *1744:8 *1749:8 0.00159632 +*RES +1 *2819:mgmt_gpio_out[1] *1749:7 8.40384 +2 *1749:7 *1749:8 308.911 +3 *1749:8 *2782:mgmt_gpio_out 35.3165 +*END + +*D_NET *1750 0.588425 +*CONN +*I *2783:mgmt_gpio_out I *D gpio_control_block +*I *2819:mgmt_gpio_out[35] O *D housekeeping +*CAP +1 *2783:mgmt_gpio_out 0.00510101 +2 *2819:mgmt_gpio_out[35] 0.000881449 +3 *1750:13 0.0145741 +4 *1750:12 0.00947304 +5 *1750:10 0.066618 +6 *1750:9 0.0674995 +7 *2783:mgmt_gpio_out *1982:13 3.23036e-05 +8 *2783:mgmt_gpio_out *2058:7 0 +9 *1750:10 *1823:27 0.000282852 +10 *1750:10 *1889:27 0.00244202 +11 *1750:10 *1894:27 0 +12 *1750:10 *1896:26 0.000374691 +13 *1750:10 *1896:28 0.000102255 +14 *1750:10 *1898:27 0.000807497 +15 *1750:10 *1900:31 0.000459466 +16 *1750:10 *1902:31 0.000773072 +17 *1750:10 *1904:33 0.00137154 +18 *1750:10 *1906:25 0.0444278 +19 *1750:10 *1914:27 0.000819759 +20 *1750:10 *1914:29 0 +21 *1750:10 *1916:27 0.000537176 +22 *1750:10 *1916:29 0 +23 *1750:10 *1918:27 0 +24 *1750:10 *1920:27 0.000120367 +25 *1750:10 *1920:29 0 +26 *2783:mgmt_gpio_oeb *2783:mgmt_gpio_out 0.000153603 +27 *99:10 *1750:10 0.000347349 +28 *103:24 *1750:13 0.00490599 +29 *108:26 *1750:13 0.0147427 +30 *630:23 *1750:13 2.23142e-05 +31 *1350:7 *1750:13 0.00127456 +32 *1717:28 *1750:10 0 +33 *1722:27 *1750:13 0 +34 *1729:24 *1750:10 0.34274 +35 *1729:27 *1750:13 0.00170309 +36 *1729:31 *1750:13 0.00337934 +37 *1734:26 *1750:9 0.00245829 +38 *1745:7 *1750:9 0 +*RES +1 *2819:mgmt_gpio_out[35] *1750:9 44.2787 +2 *1750:9 *1750:10 3624.5 +3 *1750:10 *1750:12 4.5 +4 *1750:12 *1750:13 455.08 +5 *1750:13 *2783:mgmt_gpio_out 20.6423 +*END + +*D_NET *1751 0.783011 +*CONN +*I *2784:mgmt_gpio_out I *D gpio_control_block +*I *2819:mgmt_gpio_out[36] O *D housekeeping +*CAP +1 *2784:mgmt_gpio_out 0.00122742 +2 *2819:mgmt_gpio_out[36] 9.68355e-05 +3 *1751:19 0.00206269 +4 *1751:18 0.000835269 +5 *1751:16 0.0260719 +6 *1751:15 0.0260719 +7 *1751:13 0.00197791 +8 *1751:12 0.00228202 +9 *1751:7 0.00202573 +10 *1751:5 0.00181845 +11 *1751:12 *1752:12 0.00115452 +12 *1751:19 *2725:14 0.00656713 +13 *2784:mgmt_gpio_oeb *2784:mgmt_gpio_out 1.29018e-05 +14 *2819:mgmt_gpio_in[37] *1751:7 0 +15 *1721:27 *1751:19 7.12527e-05 +16 *1731:24 *1751:16 1.65872e-05 +17 *1732:28 *1751:16 0.350002 +18 *1733:14 *1751:13 0.000588489 +19 *1734:26 *1751:7 0 +20 *1746:12 *1751:7 0 +21 *1746:13 *1751:13 0.002031 +22 *1746:16 *1751:16 0.351682 +23 *1746:19 *1751:19 0.00641444 +*RES +1 *2819:mgmt_gpio_out[36] *1751:5 2.05183 +2 *1751:5 *1751:7 48.1326 +3 *1751:7 *1751:12 21.3701 +4 *1751:12 *1751:13 77.4079 +5 *1751:13 *1751:15 4.5 +6 *1751:15 *1751:16 3716.57 +7 *1751:16 *1751:18 4.5 +8 *1751:18 *1751:19 104.815 +9 *1751:19 *2784:mgmt_gpio_out 39.7633 +*END + +*D_NET *1752 0.347611 +*CONN +*I *2785:mgmt_gpio_out I *D gpio_control_block +*I *2819:mgmt_gpio_out[37] O *D housekeeping +*CAP +1 *2785:mgmt_gpio_out 0.000450393 +2 *2819:mgmt_gpio_out[37] 0.00167263 +3 *1752:28 0.00421475 +4 *1752:17 0.00669436 +5 *1752:16 0.00293 +6 *1752:14 0.137178 +7 *1752:12 0.138378 +8 *1752:7 0.00287255 +9 *1752:7 *2537:12 0 +10 *1752:14 *1753:16 0.000264572 +11 *1752:14 *1757:10 0.030574 +12 *1752:14 *1758:31 0.000399411 +13 *1752:14 *1759:10 1.59204e-05 +14 *1752:14 *1760:10 0 +15 *1752:14 *1761:10 0 +16 *1752:14 *1762:10 0 +17 *1752:14 *1768:10 6.44576e-05 +18 *1752:14 *2498:11 0 +19 *2785:mgmt_gpio_oeb *2785:mgmt_gpio_out 0.000626464 +20 *2785:mgmt_gpio_oeb *1752:28 0.0143514 +21 *648:88 *1752:14 0.00161958 +22 *1718:28 *1752:14 0.000378828 +23 *1719:30 *1752:14 0.00342103 +24 *1747:9 *1752:7 0 +25 *1747:13 *1752:28 0.000350843 +26 *1751:12 *1752:12 0.00115452 +*RES +1 *2819:mgmt_gpio_out[37] *1752:7 47.2533 +2 *1752:7 *1752:12 41.8513 +3 *1752:12 *1752:14 3946.73 +4 *1752:14 *1752:16 4.5 +5 *1752:16 *1752:17 83.0138 +6 *1752:17 *1752:28 46.7793 +7 *1752:28 *2785:mgmt_gpio_out 17.1671 +*END + +*D_NET *1753 0.229244 +*CONN +*I *2819:usr2_vcc_pwrgood I *D housekeeping +*I *2820:user2_vcc_powergood O *D mgmt_protect +*CAP +1 *2819:usr2_vcc_pwrgood 0.00152469 +2 *2820:user2_vcc_powergood 0.00127867 +3 *1753:17 0.0123405 +4 *1753:16 0.0110416 +5 *1753:8 0.00443026 +6 *1753:7 0.00548314 +7 *2819:usr2_vcc_pwrgood *2819:usr1_vcc_pwrgood 0 +8 *2819:usr2_vcc_pwrgood *2819:usr1_vdd_pwrgood 0 +9 *1753:8 *1754:8 0.00482218 +10 *1753:8 *1754:12 0.000126926 +11 *1753:8 *2498:8 0.00158402 +12 *1753:16 *1754:12 0 +13 *1753:16 *2497:8 0.00035801 +14 *1753:17 *1754:15 0.0573071 +15 *1753:17 *1900:31 0.000691706 +16 *1753:17 *2497:11 0.127174 +17 *1753:17 *2498:11 1.59204e-05 +18 *1042:9 *1753:8 0.000696135 +19 *1299:7 *1753:16 0.000104724 +20 *1752:14 *1753:16 0.000264572 +*RES +1 *2820:user2_vcc_powergood *1753:7 8.17437 +2 *1753:7 *1753:8 134.505 +3 *1753:8 *1753:16 22.8416 +4 *1753:16 *1753:17 1335.65 +5 *1753:17 *2819:usr2_vcc_pwrgood 46.355 +*END + +*D_NET *1754 0.232115 +*CONN +*I *2819:usr2_vdd_pwrgood I *D housekeeping +*I *2820:user2_vdd_powergood O *D mgmt_protect +*CAP +1 *2819:usr2_vdd_pwrgood 0.00148867 +2 *2820:user2_vdd_powergood 0.00124611 +3 *1754:15 0.0124868 +4 *1754:14 0.0109982 +5 *1754:12 0.00248252 +6 *1754:10 0.00257438 +7 *1754:8 0.00316191 +8 *1754:7 0.00431616 +9 *2819:usr2_vdd_pwrgood *2819:usr1_vdd_pwrgood 0 +10 *1754:12 *2497:8 0 +11 *1754:12 *2498:8 0 +12 *1754:15 *1900:31 0.000695903 +13 *1754:15 *2497:11 1.41291e-05 +14 *1754:15 *2498:11 0 +15 *2819:mgmt_gpio_in[20] *2819:usr2_vdd_pwrgood 0 +16 *1042:9 *1754:12 0.00201436 +17 *1426:7 *1754:8 0 +18 *1426:7 *1754:12 0 +19 *1722:24 *1754:15 0.12838 +20 *1753:8 *1754:8 0.00482218 +21 *1753:8 *1754:12 0.000126926 +22 *1753:16 *1754:12 0 +23 *1753:17 *1754:15 0.0573071 +*RES +1 *2820:user2_vdd_powergood *1754:7 8.09787 +2 *1754:7 *1754:8 102.641 +3 *1754:8 *1754:10 1.29461 +4 *1754:10 *1754:12 58.1964 +5 *1754:12 *1754:14 4.5 +6 *1754:14 *1754:15 1345.64 +7 *1754:15 *2819:usr2_vdd_pwrgood 45.5245 +*END + +*D_NET *1755 0.142548 +*CONN +*I *2826:mprj_ack_i I *D mgmt_core_wrapper +*I *2820:mprj_ack_i_core O *D mgmt_protect +*CAP +1 *2826:mprj_ack_i 1.60434e-05 +2 *2820:mprj_ack_i_core 0.000566044 +3 *1755:11 0.00351153 +4 *1755:10 0.00349548 +5 *1755:8 0.00501778 +6 *1755:7 0.00558382 +7 *1755:7 *2820:mprj_cyc_o_core 0.000223188 +8 *1755:8 *1757:24 0.060905 +9 *1755:8 *2489:24 0.00399976 +10 *1755:11 *1823:9 0 +11 *2820:la_oenb_mprj[127] *1755:7 0 +12 *1297:20 *1755:8 0.000193373 +13 *1319:10 *1755:8 0.000427125 +14 *1446:10 *1755:8 0.0586085 +*RES +1 *2820:mprj_ack_i_core *1755:7 19.3269 +2 *1755:7 *1755:8 652.383 +3 *1755:8 *1755:10 4.5 +4 *1755:10 *1755:11 72.6325 +5 *1755:11 *2826:mprj_ack_i 0.366399 +*END + +*D_NET *1756 0.0955463 +*CONN +*I *2820:mprj_ack_i_user I *D mgmt_protect +*I *2821:wbs_ack_o O *D user_project_wrapper +*CAP +1 *2820:mprj_ack_i_user 0.000438682 +2 *2821:wbs_ack_o 0.00249597 +3 *1756:8 0.0168995 +4 *1756:7 0.0164609 +5 *1756:5 0.00249597 +6 *2820:mprj_ack_i_user *1824:7 0 +7 *2820:mprj_ack_i_user *2486:7 0 +8 *1756:8 *1789:8 0.0105528 +9 *1756:8 *1790:8 0.0462025 +*RES +1 *2821:wbs_ack_o *1756:5 70.0555 +2 *1756:5 *1756:7 4.5 +3 *1756:7 *1756:8 753.875 +4 *1756:8 *2820:mprj_ack_i_user 16.8354 +*END + +*D_NET *1757 0.256926 +*CONN +*I *2820:mprj_adr_o_core[0] I *D mgmt_protect +*I *2819:wb_adr_i[0] I *D housekeeping +*I *2826:mprj_adr_o[0] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[0] 0.000681919 +2 *2819:wb_adr_i[0] 0.00191547 +3 *2826:mprj_adr_o[0] 0.000170028 +4 *1757:24 0.00620713 +5 *1757:23 0.00552521 +6 *1757:21 0.00329444 +7 *1757:12 0.00191547 +8 *1757:10 0.00686329 +9 *1757:9 0.00686329 +10 *1757:7 0.00346447 +11 *2819:wb_adr_i[0] *2819:wb_adr_i[1] 0 +12 *2820:mprj_adr_o_core[0] *2820:mprj_we_o_core 0 +13 *2820:mprj_adr_o_core[0] *1825:7 0 +14 *1757:7 *1825:17 0 +15 *1757:7 *2499:9 0 +16 *1757:7 *2499:25 0 +17 *1757:10 *1768:10 0.0665378 +18 *1757:21 *1825:17 0 +19 *1757:21 *2499:9 0 +20 *1757:24 *1763:24 0.0535137 +21 *1757:24 *1787:30 0.00180021 +22 *1757:24 *2489:24 0.00474266 +23 *778:8 *1757:24 3.13797e-05 +24 *783:8 *1757:24 0.000331969 +25 *1297:20 *1757:24 0.00158869 +26 *1752:14 *1757:10 0.030574 +27 *1755:8 *1757:24 0.060905 +*RES +1 *2826:mprj_adr_o[0] *1757:7 4.48228 +2 *1757:7 *1757:9 4.5 +3 *1757:9 *1757:10 720.599 +4 *1757:10 *1757:12 4.5 +5 *1757:12 *2819:wb_adr_i[0] 48.499 +6 *1757:7 *1757:21 68.2723 +7 *1757:21 *1757:23 4.5 +8 *1757:23 *1757:24 669.021 +9 *1757:24 *2820:mprj_adr_o_core[0] 19.7422 +*END + +*D_NET *1758 0.270059 +*CONN +*I *2819:wb_adr_i[10] I *D housekeeping +*I *2820:mprj_adr_o_core[10] I *D mgmt_protect +*I *2826:mprj_adr_o[10] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_adr_i[10] 0.00194468 +2 *2820:mprj_adr_o_core[10] 0.00160634 +3 *2826:mprj_adr_o[10] 0.000215312 +4 *1758:33 0.00194468 +5 *1758:31 0.00432644 +6 *1758:22 0.00312741 +7 *1758:21 0.00211635 +8 *1758:16 0.0104481 +9 *1758:15 0.0113419 +10 *1758:12 0.00180769 +11 *1758:9 0.00486035 +12 *2819:wb_adr_i[10] *2819:wb_adr_i[11] 0 +13 *2819:wb_adr_i[10] *1788:34 0 +14 *2820:mprj_adr_o_core[10] *2820:mprj_dat_o_core[9] 0 +15 *2820:mprj_adr_o_core[10] *1826:5 0 +16 *1758:9 *2826:mprj_dat_i[10] 0 +17 *1758:9 *1920:27 0 +18 *1758:12 *1768:10 0.00132675 +19 *1758:15 *1788:9 0.00103034 +20 *1758:15 *1856:11 0 +21 *1758:16 *1781:24 0.0051365 +22 *1758:16 *1889:10 0 +23 *1758:16 *1911:16 0 +24 *1758:16 *2488:10 0 +25 *1758:16 *2490:24 0 +26 *1758:21 *2820:mprj_dat_o_core[31] 0 +27 *1758:21 *1849:7 0.000493003 +28 *1758:22 *1759:24 0.000354786 +29 *1758:22 *1769:30 0.000386719 +30 *1758:31 *1759:10 0.052944 +31 *1758:31 *1768:10 0.0522717 +32 *807:8 *1758:22 0.00511711 +33 *1043:10 *1758:16 0.000405492 +34 *1048:10 *1758:22 0.0128474 +35 *1061:10 *1758:16 0.0418538 +36 *1063:10 *1758:16 0.0463152 +37 *1299:10 *1758:16 0.000401678 +38 *1304:10 *1758:16 0.000393197 +39 *1318:16 *1758:22 0.00269251 +40 *1440:10 *1758:16 0.00195048 +41 *1752:14 *1758:31 0.000399411 +*RES +1 *2826:mprj_adr_o[10] *1758:9 9.81279 +2 *1758:9 *1758:12 18.5339 +3 *1758:12 *1758:15 41.9506 +4 *1758:15 *1758:16 85.107 +5 *1758:16 *1758:21 19.4592 +6 *1758:21 *1758:22 137.71 +7 *1758:22 *2820:mprj_adr_o_core[10] 37.1828 +8 *1758:9 *1758:31 575.847 +9 *1758:31 *1758:33 4.5 +10 *1758:33 *2819:wb_adr_i[10] 49.3295 +*END + +*D_NET *1759 0.255138 +*CONN +*I *2820:mprj_adr_o_core[11] I *D mgmt_protect +*I *2819:wb_adr_i[11] I *D housekeeping +*I *2826:mprj_adr_o[11] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[11] 0.00151757 +2 *2819:wb_adr_i[11] 0.0019627 +3 *2826:mprj_adr_o[11] 0.000259765 +4 *1759:24 0.0221458 +5 *1759:23 0.0206282 +6 *1759:21 0.00189904 +7 *1759:12 0.0019627 +8 *1759:10 0.00395636 +9 *1759:9 0.00395636 +10 *1759:7 0.00215881 +11 *2819:wb_adr_i[11] *1760:13 0 +12 *2820:mprj_adr_o_core[11] *2820:mprj_dat_o_core[10] 0.000276202 +13 *2820:mprj_adr_o_core[11] *2820:mprj_dat_o_core[11] 0 +14 *2820:mprj_adr_o_core[11] *1827:7 0 +15 *1759:7 *1827:17 0.000140751 +16 *1759:7 *1890:9 0 +17 *1759:10 *1760:10 0.0520075 +18 *1759:21 *1827:17 0.00104369 +19 *1759:21 *1890:9 0 +20 *1759:21 *1907:29 6.99045e-05 +21 *1759:21 *1909:29 4.83562e-06 +22 *1759:24 *1760:24 0.0838504 +23 *1759:24 *1765:22 0.000898461 +24 *1759:24 *1769:30 0.00106055 +25 *1759:24 *1848:8 0 +26 *1759:24 *1900:10 0 +27 *1759:24 *1905:22 0 +28 *1759:24 *2487:16 0 +29 *2819:wb_adr_i[10] *2819:wb_adr_i[11] 0 +30 *778:13 *2820:mprj_adr_o_core[11] 0 +31 *778:17 *2820:mprj_adr_o_core[11] 7.10146e-06 +32 *1048:10 *1759:24 0.00201625 +33 *1752:14 *1759:10 1.59204e-05 +34 *1758:22 *1759:24 0.000354786 +35 *1758:31 *1759:10 0.052944 +*RES +1 *2826:mprj_adr_o[11] *1759:7 7.41347 +2 *1759:7 *1759:9 4.5 +3 *1759:9 *1759:10 560.873 +4 *1759:10 *1759:12 4.5 +5 *1759:12 *2819:wb_adr_i[11] 49.7448 +6 *1759:7 *1759:21 48.9631 +7 *1759:21 *1759:23 3.36879 +8 *1759:23 *1759:24 107.595 +9 *1759:24 *2820:mprj_adr_o_core[11] 36.6745 +*END + +*D_NET *1760 0.312896 +*CONN +*I *2820:mprj_adr_o_core[12] I *D mgmt_protect +*I *2819:wb_adr_i[12] I *D housekeeping +*I *2826:mprj_adr_o[12] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[12] 0.001701 +2 *2819:wb_adr_i[12] 1.28869e-05 +3 *2826:mprj_adr_o[12] 0.000258184 +4 *1760:24 0.00915702 +5 *1760:23 0.00745602 +6 *1760:21 0.00224469 +7 *1760:13 0.0019763 +8 *1760:12 0.00196342 +9 *1760:10 0.00392574 +10 *1760:9 0.00392574 +11 *1760:7 0.00250288 +12 *2820:mprj_adr_o_core[12] *2820:mprj_dat_o_core[11] 0 +13 *2820:mprj_adr_o_core[12] *1828:5 0 +14 *1760:7 *2826:mprj_dat_i[12] 0 +15 *1760:10 *1761:10 0.0508053 +16 *1760:13 *1761:13 0 +17 *1760:21 *2826:mprj_dat_i[12] 0 +18 *1760:24 *1761:24 0.000255472 +19 *1760:24 *1762:24 0.083845 +20 *1760:24 *2487:10 0.000702377 +21 *2819:wb_adr_i[11] *1760:13 0 +22 *1047:10 *1760:24 0.00146265 +23 *1062:10 *1760:24 0 +24 *1306:10 *1760:24 0.00229466 +25 *1445:10 *1760:24 0.00254883 +26 *1752:14 *1760:10 0 +27 *1759:10 *1760:10 0.0520075 +28 *1759:24 *1760:24 0.0838504 +*RES +1 *2826:mprj_adr_o[12] *1760:7 6.14329 +2 *1760:7 *1760:9 4.5 +3 *1760:9 *1760:10 550.89 +4 *1760:10 *1760:12 4.5 +5 *1760:12 *1760:13 49.7936 +6 *1760:13 *2819:wb_adr_i[12] 0.366399 +7 *1760:7 *1760:21 47.7174 +8 *1760:21 *1760:23 3.36879 +9 *1760:23 *1760:24 108.436 +10 *1760:24 *2820:mprj_adr_o_core[12] 37.505 +*END + +*D_NET *1761 0.318785 +*CONN +*I *2820:mprj_adr_o_core[13] I *D mgmt_protect +*I *2819:wb_adr_i[13] I *D housekeeping +*I *2826:mprj_adr_o[13] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[13] 0.00173734 +2 *2819:wb_adr_i[13] 1.28869e-05 +3 *2826:mprj_adr_o[13] 0.000277199 +4 *1761:24 0.0097103 +5 *1761:23 0.0101259 +6 *1761:13 0.00199091 +7 *1761:12 0.00197802 +8 *1761:10 0.00381419 +9 *1761:9 0.00381419 +10 *1761:7 0.00243009 +11 *2820:mprj_adr_o_core[13] *2820:mprj_dat_o_core[12] 0 +12 *2820:mprj_adr_o_core[13] *1828:5 0 +13 *2820:mprj_adr_o_core[13] *1829:7 0 +14 *1761:7 *1829:17 0 +15 *1761:7 *1892:7 0 +16 *1761:10 *1762:10 0.0497094 +17 *1761:13 *1762:13 0 +18 *1761:23 *1829:17 0 +19 *1761:23 *1892:7 0 +20 *1761:23 *1892:23 0 +21 *1761:24 *1762:24 0.0855288 +22 *1761:24 *1764:24 0.0840985 +23 *1761:24 *1779:28 0.0029905 +24 *1761:24 *1783:24 0.00369387 +25 *780:11 *2820:mprj_adr_o_core[13] 0.000165314 +26 *1052:10 *1761:24 0.00231709 +27 *1053:10 *1761:24 0.00310315 +28 *1054:10 *1761:24 0.000118134 +29 *1062:10 *1761:24 0 +30 *1310:10 *1761:24 0.000108607 +31 *1752:14 *1761:10 0 +32 *1760:10 *1761:10 0.0508053 +33 *1760:13 *1761:13 0 +34 *1760:24 *1761:24 0.000255472 +*RES +1 *2826:mprj_adr_o[13] *1761:7 6.55854 +2 *1761:7 *1761:9 4.5 +3 *1761:9 *1761:10 538.134 +4 *1761:10 *1761:12 4.5 +5 *1761:12 *1761:13 50.2089 +6 *1761:13 *2819:wb_adr_i[13] 0.366399 +7 *1761:7 *1761:23 49.0099 +8 *1761:23 *1761:24 109.66 +9 *1761:24 *2820:mprj_adr_o_core[13] 39.166 +*END + +*D_NET *1762 0.279167 +*CONN +*I *2820:mprj_adr_o_core[14] I *D mgmt_protect +*I *2819:wb_adr_i[14] I *D housekeeping +*I *2826:mprj_adr_o[14] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[14] 0.00175868 +2 *2819:wb_adr_i[14] 1.28869e-05 +3 *2826:mprj_adr_o[14] 0.000323235 +4 *1762:24 0.00917235 +5 *1762:23 0.00957699 +6 *1762:13 0.00200551 +7 *1762:12 0.00199263 +8 *1762:10 0.0115519 +9 *1762:9 0.0115519 +10 *1762:7 0.00248655 +11 *2820:mprj_adr_o_core[14] *2820:mprj_dat_o_core[13] 0 +12 *2820:mprj_adr_o_core[14] *1830:5 0 +13 *1762:7 *2826:mprj_dat_i[14] 0 +14 *1762:7 *1893:7 0 +15 *1762:13 *1763:13 0 +16 *1762:23 *2826:mprj_dat_i[14] 0 +17 *1762:23 *1893:7 0 +18 *1762:23 *1893:23 0 +19 *1762:24 *1764:24 0.00066078 +20 *806:14 *1762:24 0.00163036 +21 *807:14 *1762:24 0.0044242 +22 *1037:20 *1762:24 0.000108607 +23 *1308:10 *1762:24 0.00282748 +24 *1752:14 *1762:10 0 +25 *1760:24 *1762:24 0.083845 +26 *1761:10 *1762:10 0.0497094 +27 *1761:13 *1762:13 0 +28 *1761:24 *1762:24 0.0855288 +*RES +1 *2826:mprj_adr_o[14] *1762:7 7.53561 +2 *1762:7 *1762:9 4.5 +3 *1762:9 *1762:10 526.488 +4 *1762:10 *1762:12 4.5 +5 *1762:12 *1762:13 50.6241 +6 *1762:13 *2819:wb_adr_i[14] 0.366399 +7 *1762:7 *1762:23 49.4251 +8 *1762:23 *1762:24 110.808 +9 *1762:24 *2820:mprj_adr_o_core[14] 38.3355 +*END + +*D_NET *1763 0.24133 +*CONN +*I *2820:mprj_adr_o_core[15] I *D mgmt_protect +*I *2819:wb_adr_i[15] I *D housekeeping +*I *2826:mprj_adr_o[15] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[15] 0.000703355 +2 *2819:wb_adr_i[15] 1.28869e-05 +3 *2826:mprj_adr_o[15] 0.00119609 +4 *1763:24 0.0145866 +5 *1763:23 0.0159152 +6 *1763:13 0.00292801 +7 *1763:12 0.00291512 +8 *1763:10 0.0043145 +9 *1763:9 0.0043145 +10 *1763:7 0.00322804 +11 *2820:mprj_adr_o_core[15] *2820:mprj_dat_o_core[14] 0 +12 *2820:mprj_adr_o_core[15] *1831:7 0 +13 *1763:7 *1831:17 0 +14 *1763:7 *1894:7 0 +15 *1763:7 *1894:25 0 +16 *1763:10 *1764:10 0.00434067 +17 *1763:10 *1780:10 0 +18 *1763:10 *1788:31 0.00145383 +19 *1763:10 *1891:10 0.00407213 +20 *1763:10 *1899:12 0.00046538 +21 *1763:10 *1899:25 0.000750112 +22 *1763:10 *1901:10 0.040398 +23 *1763:10 *1903:10 0.0377282 +24 *1763:13 *1764:13 0 +25 *1763:23 *1831:17 0 +26 *1763:23 *1894:7 0 +27 *1763:24 *1787:30 0.0384145 +28 *1763:24 *1829:8 0.002279 +29 *1763:24 *1835:8 0.0011886 +30 *1763:24 *1909:30 0 +31 *1763:24 *1916:10 0.000513389 +32 *1763:24 *1918:10 0.000310774 +33 *1763:24 *2489:24 0.00578719 +34 *1757:24 *1763:24 0.0535137 +35 *1762:13 *1763:13 0 +*RES +1 *2826:mprj_adr_o[15] *1763:7 27.3212 +2 *1763:7 *1763:9 4.5 +3 *1763:9 *1763:10 513.732 +4 *1763:10 *1763:12 4.5 +5 *1763:12 *1763:13 70.9715 +6 *1763:13 *2819:wb_adr_i[15] 0.366399 +7 *1763:7 *1763:23 49.5182 +8 *1763:23 *1763:24 812.108 +9 *1763:24 *2820:mprj_adr_o_core[15] 20.1574 +*END + +*D_NET *1764 0.312172 +*CONN +*I *2820:mprj_adr_o_core[16] I *D mgmt_protect +*I *2819:wb_adr_i[16] I *D housekeeping +*I *2826:mprj_adr_o[16] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[16] 0.00146666 +2 *2819:wb_adr_i[16] 1.28869e-05 +3 *2826:mprj_adr_o[16] 0.00132989 +4 *1764:24 0.0101636 +5 *1764:23 0.00982001 +6 *1764:13 0.00297476 +7 *1764:12 0.00296187 +8 *1764:10 0.00393418 +9 *1764:9 0.00393418 +10 *1764:7 0.00245298 +11 *2820:mprj_adr_o_core[16] *2820:mprj_dat_o_core[15] 0 +12 *2820:mprj_adr_o_core[16] *1832:5 0.000284634 +13 *1764:7 *2826:mprj_dat_i[16] 0 +14 *1764:7 *1895:7 0 +15 *1764:10 *1765:15 0.000147308 +16 *1764:10 *1765:31 0.00475029 +17 *1764:10 *1780:10 0.00022 +18 *1764:10 *1892:10 0.00411151 +19 *1764:10 *1903:10 0.0379937 +20 *1764:10 *1905:15 0.000383703 +21 *1764:10 *1905:31 0.0351037 +22 *1764:13 *1765:34 0 +23 *1764:23 *2826:mprj_dat_i[16] 0 +24 *1764:23 *1895:23 0 +25 *1764:24 *1766:24 0.0874956 +26 *1764:24 *1785:24 0.0035785 +27 *1764:24 *1825:14 0.00134681 +28 *1764:24 *1839:14 0.000969006 +29 *1764:24 *1905:16 0.000935874 +30 *782:13 *2820:mprj_adr_o_core[16] 0.000294715 +31 *1054:10 *1764:24 0.00260421 +32 *1057:14 *1764:24 0.00010238 +33 *1062:10 *1764:24 0 +34 *1294:15 *2820:mprj_adr_o_core[16] 0.000713748 +35 *1310:10 *1764:24 0.00298502 +36 *1761:24 *1764:24 0.0840985 +37 *1762:24 *1764:24 0.00066078 +38 *1763:10 *1764:10 0.00434067 +39 *1763:13 *1764:13 0 +*RES +1 *2826:mprj_adr_o[16] *1764:7 29.8371 +2 *1764:7 *1764:9 4.5 +3 *1764:9 *1764:10 502.64 +4 *1764:10 *1764:12 4.5 +5 *1764:12 *1764:13 71.802 +6 *1764:13 *2819:wb_adr_i[16] 0.366399 +7 *1764:7 *1764:23 26.5863 +8 *1764:23 *1764:24 113.026 +9 *1764:24 *2820:mprj_adr_o_core[16] 39.9965 +*END + +*D_NET *1765 0.279253 +*CONN +*I *2819:wb_adr_i[17] I *D housekeeping +*I *2820:mprj_adr_o_core[17] I *D mgmt_protect +*I *2826:mprj_adr_o[17] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_adr_i[17] 1.28869e-05 +2 *2820:mprj_adr_o_core[17] 0.00155096 +3 *2826:mprj_adr_o[17] 0.00129487 +4 *1765:34 0.00301111 +5 *1765:33 0.00299822 +6 *1765:31 0.00348469 +7 *1765:22 0.00359147 +8 *1765:21 0.0024198 +9 *1765:16 0.00443564 +10 *1765:15 0.0048754 +11 *1765:9 0.00559861 +12 *2820:mprj_adr_o_core[17] *2820:mprj_dat_o_core[16] 0 +13 *2820:mprj_adr_o_core[17] *2820:mprj_dat_o_core[17] 0 +14 *2820:mprj_adr_o_core[17] *1833:7 0 +15 *1765:9 *1833:17 0 +16 *1765:9 *1896:7 0 +17 *1765:9 *1896:26 0 +18 *1765:9 *1897:15 0 +19 *1765:15 *1780:10 0.000142393 +20 *1765:15 *1896:7 0.000425505 +21 *1765:15 *1897:15 7.84967e-05 +22 *1765:16 *1771:24 0.0523359 +23 *1765:16 *1773:16 0.00636384 +24 *1765:16 *1827:14 0.000580792 +25 *1765:16 *1831:14 0.000636915 +26 *1765:16 *1833:14 0.0333438 +27 *1765:22 *1769:30 0.0199178 +28 *1765:22 *1771:30 0.00105947 +29 *1765:22 *1775:30 0.0163012 +30 *1765:31 *1766:10 0.0448074 +31 *1765:31 *1780:10 0.000757656 +32 *1765:31 *1849:10 0.00055029 +33 *1765:31 *1892:10 0.00291713 +34 *1765:31 *1905:15 0.000379505 +35 *1765:31 *1905:31 0.0354366 +36 *1765:34 *1766:13 0 +37 *1059:10 *1765:16 0.0197346 +38 *1060:10 *1765:16 0.00153704 +39 *1292:7 *2820:mprj_adr_o_core[17] 0 +40 *1305:12 *1765:16 0.000954996 +41 *1314:16 *1765:22 0.00074739 +42 *1318:16 *1765:22 0.000807467 +43 *1432:7 *1765:21 0.000367353 +44 *1445:15 *1765:21 0 +45 *1759:24 *1765:22 0.000898461 +46 *1764:10 *1765:15 0.000147308 +47 *1764:10 *1765:31 0.00475029 +48 *1764:13 *1765:34 0 +*RES +1 *2826:mprj_adr_o[17] *1765:9 33.4822 +2 *1765:9 *1765:15 32.5995 +3 *1765:15 *1765:16 596.368 +4 *1765:16 *1765:21 17.0608 +5 *1765:21 *1765:22 230.329 +6 *1765:22 *2820:mprj_adr_o_core[17] 35.5218 +7 *1765:9 *1765:31 489.329 +8 *1765:31 *1765:33 4.5 +9 *1765:33 *1765:34 72.6325 +10 *1765:34 *2819:wb_adr_i[17] 0.366399 +*END + +*D_NET *1766 0.323985 +*CONN +*I *2820:mprj_adr_o_core[18] I *D mgmt_protect +*I *2819:wb_adr_i[18] I *D housekeeping +*I *2826:mprj_adr_o[18] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[18] 0.00195107 +2 *2819:wb_adr_i[18] 1.28869e-05 +3 *2826:mprj_adr_o[18] 0.00115815 +4 *1766:24 0.0107678 +5 *1766:23 0.0097748 +6 *1766:13 0.0030252 +7 *1766:12 0.00301231 +8 *1766:10 0.00308473 +9 *1766:9 0.00308473 +10 *1766:7 0.00211626 +11 *2820:mprj_adr_o_core[18] *2820:mprj_dat_o_core[17] 0 +12 *2820:mprj_adr_o_core[18] *1834:5 0 +13 *1766:7 *2826:mprj_dat_i[18] 0.00069791 +14 *1766:10 *1780:10 0.0168828 +15 *1766:10 *1780:21 0.00386546 +16 *1766:10 *1849:10 0.000598562 +17 *1766:10 *1892:10 0.00256727 +18 *1766:10 *1905:31 0.000113197 +19 *1766:10 *1913:27 0.000308494 +20 *1766:10 *1913:29 0.0236326 +21 *1766:13 *1767:13 0 +22 *1766:23 *2826:mprj_dat_i[18] 9.97972e-05 +23 *1766:24 *1767:24 0.0905949 +24 *1766:24 *1787:24 0.00313531 +25 *1766:24 *1829:14 0.00346307 +26 *1766:24 *1839:14 0.000240013 +27 *1766:24 *1905:16 0.000260492 +28 *1766:24 *1907:24 0.00118625 +29 *1056:10 *1766:24 0.0032213 +30 *1057:14 *1766:24 0.00282649 +31 *1062:10 *1766:24 0 +32 *1764:24 *1766:24 0.0874956 +33 *1765:31 *1766:10 0.0448074 +34 *1765:34 *1766:13 0 +*RES +1 *2826:mprj_adr_o[18] *1766:7 31.0828 +2 *1766:7 *1766:9 4.5 +3 *1766:9 *1766:10 474.355 +4 *1766:10 *1766:12 4.5 +5 *1766:12 *1766:13 73.0477 +6 *1766:13 *2819:wb_adr_i[18] 0.366399 +7 *1766:7 *1766:23 24.51 +8 *1766:23 *1766:24 116.009 +9 *1766:24 *2820:mprj_adr_o_core[18] 40.827 +*END + +*D_NET *1767 0.321553 +*CONN +*I *2820:mprj_adr_o_core[19] I *D mgmt_protect +*I *2819:wb_adr_i[19] I *D housekeeping +*I *2826:mprj_adr_o[19] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[19] 0.00181178 +2 *2819:wb_adr_i[19] 1.28869e-05 +3 *2826:mprj_adr_o[19] 0.00144344 +4 *1767:24 0.0107491 +5 *1767:23 0.00943893 +6 *1767:13 0.00331254 +7 *1767:12 0.00329965 +8 *1767:10 0.00303278 +9 *1767:9 0.00303278 +10 *1767:7 0.00194506 +11 *2820:mprj_adr_o_core[19] *2820:mprj_dat_o_core[18] 0.000441647 +12 *2820:mprj_adr_o_core[19] *2820:mprj_dat_o_core[19] 0 +13 *2820:mprj_adr_o_core[19] *1835:7 0 +14 *1767:7 *2826:mprj_dat_i[19] 0.000812587 +15 *1767:7 *1899:15 0 +16 *1767:10 *1769:10 0.0425594 +17 *1767:10 *1771:10 0.0400762 +18 *1767:10 *1784:22 0.00038324 +19 *1767:10 *1838:8 0.00301554 +20 *1767:10 *1902:10 0.000149232 +21 *1767:10 *1904:10 0 +22 *1767:13 *1769:13 0 +23 *1767:23 *2826:mprj_dat_i[19] 0.000323305 +24 *1767:23 *1899:15 0 +25 *1767:24 *1772:24 0.00027285 +26 *1767:24 *1773:16 0.0887112 +27 *1767:24 *1827:14 0.00326311 +28 *1767:24 *1831:14 0.00367534 +29 *1767:24 *1909:24 0.00128162 +30 *1058:10 *1767:24 0.00370963 +31 *1059:10 *1767:24 0.000274281 +32 *1060:10 *1767:24 0.000119469 +33 *1062:10 *1767:24 0.000329875 +34 *1305:14 *1767:24 0.000252161 +35 *1307:16 *1767:24 0.000129663 +36 *1315:10 *1767:24 0.00309912 +37 *1766:13 *1767:13 0 +38 *1766:24 *1767:24 0.0905949 +*RES +1 *2826:mprj_adr_o[19] *1767:7 37.3116 +2 *1767:7 *1767:9 4.5 +3 *1767:9 *1767:10 462.154 +4 *1767:10 *1767:12 4.5 +5 *1767:12 *1767:13 79.2765 +6 *1767:13 *2819:wb_adr_i[19] 0.366399 +7 *1767:7 *1767:23 17.4507 +8 *1767:23 *1767:24 117.233 +9 *1767:24 *2820:mprj_adr_o_core[19] 41.6575 +*END + +*D_NET *1768 0.283416 +*CONN +*I *2820:mprj_adr_o_core[1] I *D mgmt_protect +*I *2819:wb_adr_i[1] I *D housekeeping +*I *2826:mprj_adr_o[1] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[1] 0.00257563 +2 *2819:wb_adr_i[1] 0.00193249 +3 *2826:mprj_adr_o[1] 0.000265254 +4 *1768:26 0.00257563 +5 *1768:24 0.00525127 +6 *1768:23 0.0060979 +7 *1768:12 0.00193249 +8 *1768:10 0.00689076 +9 *1768:9 0.00689076 +10 *1768:7 0.00111188 +11 *2819:wb_adr_i[1] *1779:17 0 +12 *2820:mprj_adr_o_core[1] *2820:mprj_dat_o_core[0] 0 +13 *2820:mprj_adr_o_core[1] *2820:mprj_sel_o_core[0] 0 +14 *2820:mprj_adr_o_core[1] *1836:5 0.000792405 +15 *1768:7 *2487:7 0 +16 *1768:7 *2487:31 0 +17 *1768:23 *2487:7 0 +18 *1768:24 *1788:10 0 +19 *1768:24 *1836:8 0.0641279 +20 *1768:24 *1953:10 0 +21 *1768:24 *2495:10 0.0609205 +22 *1768:24 *2499:10 0.00159642 +23 *2819:wb_adr_i[0] *2819:wb_adr_i[1] 0 +24 *1156:9 *2820:mprj_adr_o_core[1] 0.000253887 +25 *1413:9 *2820:mprj_adr_o_core[1] 0 +26 *1419:10 *1768:24 0 +27 *1752:14 *1768:10 6.44576e-05 +28 *1757:10 *1768:10 0.0665378 +29 *1758:12 *1768:10 0.00132675 +30 *1758:31 *1768:10 0.0522717 +*RES +1 *2826:mprj_adr_o[1] *1768:7 6.58297 +2 *1768:7 *1768:9 4.5 +3 *1768:9 *1768:10 704.515 +4 *1768:10 *1768:12 4.5 +5 *1768:12 *2819:wb_adr_i[1] 48.9143 +6 *1768:7 *1768:23 25.8488 +7 *1768:23 *1768:24 679.004 +8 *1768:24 *1768:26 4.5 +9 *1768:26 *2820:mprj_adr_o_core[1] 61.7504 +*END + +*D_NET *1769 0.250591 +*CONN +*I *2820:mprj_adr_o_core[20] I *D mgmt_protect +*I *2819:wb_adr_i[20] I *D housekeeping +*I *2826:mprj_adr_o[20] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[20] 0.00160531 +2 *2819:wb_adr_i[20] 1.28869e-05 +3 *2826:mprj_adr_o[20] 0.00146441 +4 *1769:30 0.00463678 +5 *1769:29 0.00356262 +6 *1769:24 0.00481719 +7 *1769:23 0.00468007 +8 *1769:13 0.00332713 +9 *1769:12 0.00331425 +10 *1769:10 0.00428531 +11 *1769:9 0.00428531 +12 *1769:7 0.00185843 +13 *2820:mprj_adr_o_core[20] *2820:mprj_dat_o_core[19] 0 +14 *2820:mprj_adr_o_core[20] *2820:mprj_dat_o_core[20] 0 +15 *2820:mprj_adr_o_core[20] *1837:7 0 +16 *1769:7 *1837:11 0.000820361 +17 *1769:10 *1771:10 0.000113197 +18 *1769:10 *1784:22 0.017496 +19 *1769:13 *1770:13 0 +20 *1769:13 *1771:13 0 +21 *1769:23 *1837:11 0.000305463 +22 *1769:24 *1771:24 0.00203661 +23 *1769:24 *1775:24 0.0541222 +24 *1769:24 *1776:24 0.00634299 +25 *1769:24 *1777:24 0.00021242 +26 *1769:24 *1835:14 0.0352895 +27 *1769:24 *1913:16 0.000199305 +28 *1769:30 *1775:30 0.000540305 +29 *1048:10 *1769:30 0.00247834 +30 *1049:12 *1769:24 0.00118031 +31 *1051:10 *1769:24 0.00174019 +32 *1060:10 *1769:24 0.0205972 +33 *1318:16 *1769:30 0.00529352 +34 *1445:10 *1769:30 4.856e-05 +35 *1758:22 *1769:30 0.000386719 +36 *1759:24 *1769:30 0.00106055 +37 *1765:22 *1769:30 0.0199178 +38 *1767:10 *1769:10 0.0425594 +39 *1767:13 *1769:13 0 +*RES +1 *2826:mprj_adr_o[20] *1769:7 37.7269 +2 *1769:7 *1769:9 4.5 +3 *1769:9 *1769:10 449.398 +4 *1769:10 *1769:12 4.5 +5 *1769:12 *1769:13 79.6918 +6 *1769:13 *2819:wb_adr_i[20] 0.366399 +7 *1769:7 *1769:23 16.298 +8 *1769:23 *1769:24 635.19 +9 *1769:24 *1769:29 17.8913 +10 *1769:29 *1769:30 223.674 +11 *1769:30 *2820:mprj_adr_o_core[20] 35.937 +*END + +*D_NET *1770 0.258719 +*CONN +*I *2820:mprj_adr_o_core[21] I *D mgmt_protect +*I *2819:wb_adr_i[21] I *D housekeeping +*I *2826:mprj_adr_o[21] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[21] 2.27669e-05 +2 *2819:wb_adr_i[21] 1.28869e-05 +3 *2826:mprj_adr_o[21] 0.000880867 +4 *1770:27 0.00244072 +5 *1770:26 0.00241795 +6 *1770:24 0.00589251 +7 *1770:23 0.0065882 +8 *1770:13 0.00257851 +9 *1770:12 0.00256563 +10 *1770:10 0.0098208 +11 *1770:9 0.0098208 +12 *1770:7 0.00157656 +13 *1770:7 *2826:mprj_dat_i[21] 0 +14 *1770:7 *1901:7 0 +15 *1770:10 *2826:irq[2] 0.000638598 +16 *1770:10 *1774:15 0.000908333 +17 *1770:10 *1774:25 0.035955 +18 *1770:10 *1890:25 0.0012481 +19 *1770:10 *2489:10 0.00579562 +20 *1770:13 *1771:13 0 +21 *1770:23 *2826:mprj_dat_i[21] 0 +22 *1770:23 *1901:7 0 +23 *1770:23 *1901:23 0 +24 *1770:24 *1788:16 0.00644103 +25 *1770:24 *1842:12 1.41853e-05 +26 *1770:24 *1891:24 0.000337459 +27 *1770:24 *1901:24 0.0817262 +28 *1770:24 *1902:10 0.000113197 +29 *1770:24 *1904:10 0.0755031 +30 *1770:24 *1919:16 0.00422857 +31 *1770:27 *2820:mprj_dat_o_core[20] 0.000600739 +32 *1770:27 *1838:5 0 +33 *1295:15 *1770:27 0.000305127 +34 *1421:11 *1770:27 0.000285156 +35 *1769:13 *1770:13 0 +*RES +1 *2826:mprj_adr_o[21] *1770:7 21.5076 +2 *1770:7 *1770:9 4.5 +3 *1770:9 *1770:10 439.415 +4 *1770:10 *1770:12 4.5 +5 *1770:12 *1770:13 65.158 +6 *1770:13 *2819:wb_adr_i[21] 0.366399 +7 *1770:7 *1770:23 16.7133 +8 *1770:23 *1770:24 865.35 +9 *1770:24 *1770:26 4.5 +10 *1770:26 *1770:27 54.3614 +11 *1770:27 *2820:mprj_adr_o_core[21] 0.647305 +*END + +*D_NET *1771 0.265504 +*CONN +*I *2820:mprj_adr_o_core[22] I *D mgmt_protect +*I *2819:wb_adr_i[22] I *D housekeeping +*I *2826:mprj_adr_o[22] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[22] 0.00155227 +2 *2819:wb_adr_i[22] 1.28869e-05 +3 *2826:mprj_adr_o[22] 0.00170455 +4 *1771:30 0.00385808 +5 *1771:29 0.00282415 +6 *1771:24 0.0053483 +7 *1771:23 0.00540936 +8 *1771:13 0.00328528 +9 *1771:12 0.0032724 +10 *1771:10 0.00291263 +11 *1771:9 0.00291263 +12 *1771:7 0.00228395 +13 *2820:mprj_adr_o_core[22] *2820:mprj_dat_o_core[21] 0 +14 *2820:mprj_adr_o_core[22] *2820:mprj_dat_o_core[22] 0 +15 *2820:mprj_adr_o_core[22] *1839:7 0 +16 *1771:7 *1839:17 0 +17 *1771:7 *1902:9 0 +18 *1771:7 *1902:30 0 +19 *1771:10 *1772:10 0.0393923 +20 *1771:10 *1904:10 9.49073e-05 +21 *1771:13 *1772:13 0 +22 *1771:23 *1839:17 0 +23 *1771:24 *1772:24 0.00632129 +24 *1771:24 *1775:24 0.000651693 +25 *1771:24 *1833:14 0.000282235 +26 *1771:24 *1835:14 0.0352853 +27 *1771:24 *1909:24 0.00126155 +28 *1771:30 *1775:30 0.020692 +29 *1771:30 *1777:30 0.00874861 +30 *1771:30 *2487:16 0.00134263 +31 *1060:10 *1771:24 0.0174278 +32 *1314:16 *1771:30 0.00280463 +33 *1434:7 *1771:29 0 +34 *1440:16 *1771:30 0.000201058 +35 *1765:16 *1771:24 0.0523359 +36 *1765:22 *1771:30 0.00105947 +37 *1767:10 *1771:10 0.0400762 +38 *1769:10 *1771:10 0.000113197 +39 *1769:13 *1771:13 0 +40 *1769:24 *1771:24 0.00203661 +41 *1770:13 *1771:13 0 +*RES +1 *2826:mprj_adr_o[22] *1771:7 36.8964 +2 *1771:7 *1771:9 4.5 +3 *1771:9 *1771:10 428.877 +4 *1771:10 *1771:12 4.5 +5 *1771:12 *1771:13 78.8613 +6 *1771:13 *2819:wb_adr_i[22] 0.366399 +7 *1771:7 *1771:23 17.9591 +8 *1771:23 *1771:24 627.98 +9 *1771:24 *1771:29 18.3065 +10 *1771:29 *1771:30 244.194 +11 *1771:30 *2820:mprj_adr_o_core[22] 34.6913 +*END + +*D_NET *1772 0.314365 +*CONN +*I *2820:mprj_adr_o_core[23] I *D mgmt_protect +*I *2819:wb_adr_i[23] I *D housekeeping +*I *2826:mprj_adr_o[23] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[23] 0.00193253 +2 *2819:wb_adr_i[23] 1.28869e-05 +3 *2826:mprj_adr_o[23] 0.00169901 +4 *1772:24 0.010735 +5 *1772:23 0.0093635 +6 *1772:13 0.00326044 +7 *1772:12 0.00324756 +8 *1772:10 0.00341151 +9 *1772:9 0.00341151 +10 *1772:7 0.00226006 +11 *2820:mprj_adr_o_core[23] *2820:mprj_dat_o_core[22] 0 +12 *2820:mprj_adr_o_core[23] *1840:5 0 +13 *1772:7 *2826:mprj_dat_i[23] 0 +14 *1772:7 *1773:15 0 +15 *1772:7 *1903:7 0 +16 *1772:7 *1903:23 0 +17 *1772:10 *1773:15 0.000278807 +18 *1772:10 *1773:25 0.0025979 +19 *1772:10 *1781:10 0.0280644 +20 *1772:10 *1904:10 9.69703e-05 +21 *1772:13 *1773:28 0 +22 *1772:23 *1773:15 0 +23 *1772:23 *1903:23 0 +24 *1772:24 *1773:16 0.0949182 +25 *1772:24 *1776:24 0.0928792 +26 *1772:24 *1835:14 0.00402463 +27 *1060:10 *1772:24 0.00376478 +28 *1062:10 *1772:24 0.000645255 +29 *1307:16 *1772:24 0.000999247 +30 *1422:15 *2820:mprj_adr_o_core[23] 0.000774926 +31 *1767:24 *1772:24 0.00027285 +32 *1771:10 *1772:10 0.0393923 +33 *1771:13 *1772:13 0 +34 *1771:24 *1772:24 0.00632129 +*RES +1 *2826:mprj_adr_o[23] *1772:7 36.4811 +2 *1772:7 *1772:9 4.5 +3 *1772:9 *1772:10 416.121 +4 *1772:10 *1772:12 4.5 +5 *1772:12 *1772:13 78.446 +6 *1772:13 *2819:wb_adr_i[23] 0.366399 +7 *1772:7 *1772:23 16.6202 +8 *1772:23 *1772:24 121.516 +9 *1772:24 *2820:mprj_adr_o_core[23] 43.3185 +*END + +*D_NET *1773 0.293191 +*CONN +*I *2819:wb_adr_i[24] I *D housekeeping +*I *2820:mprj_adr_o_core[24] I *D mgmt_protect +*I *2826:mprj_adr_o[24] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_adr_i[24] 1.28869e-05 +2 *2820:mprj_adr_o_core[24] 0.00144961 +3 *2826:mprj_adr_o[24] 0.00156451 +4 *1773:28 0.00320299 +5 *1773:27 0.0031901 +6 *1773:25 0.00427562 +7 *1773:16 0.0108357 +8 *1773:15 0.0102024 +9 *1773:9 0.00665638 +10 *2820:mprj_adr_o_core[24] *2820:mprj_dat_o_core[24] 0 +11 *2820:mprj_adr_o_core[24] *1841:7 0.000287363 +12 *2820:mprj_adr_o_core[24] *1904:19 0.00194615 +13 *1773:9 *1841:11 0 +14 *1773:9 *1904:32 0 +15 *1773:15 *1842:12 0.000282235 +16 *1773:15 *1904:10 0.000436811 +17 *1773:16 *1776:24 0.000249792 +18 *1773:16 *1833:14 0.00353352 +19 *1773:16 *1839:14 0.00028933 +20 *1773:16 *1905:16 0.00028933 +21 *1773:16 *1907:24 0.000290528 +22 *1773:16 *1909:24 0.000325419 +23 *1773:25 *1775:10 7.17336e-05 +24 *1773:25 *1776:10 0.000967446 +25 *1773:25 *1778:10 0 +26 *1773:25 *1781:10 0.0128036 +27 *1773:25 *1842:12 0.000798408 +28 *1773:25 *1906:10 3.04269e-05 +29 *1773:25 *1907:10 9.48476e-05 +30 *1773:25 *1909:10 0.031584 +31 *1773:28 *1774:28 0 +32 *1773:28 *1775:13 0 +33 *1059:10 *1773:16 0.00313281 +34 *1305:14 *1773:16 0.00151736 +35 *1765:16 *1773:16 0.00636384 +36 *1767:24 *1773:16 0.0887112 +37 *1772:7 *1773:15 0 +38 *1772:10 *1773:15 0.000278807 +39 *1772:10 *1773:25 0.0025979 +40 *1772:13 *1773:28 0 +41 *1772:23 *1773:15 0 +42 *1772:24 *1773:16 0.0949182 +*RES +1 *2826:mprj_adr_o[24] *1773:9 38.0499 +2 *1773:9 *1773:15 34.4573 +3 *1773:15 *1773:16 121.363 +4 *1773:16 *2820:mprj_adr_o_core[24] 42.488 +5 *1773:9 *1773:25 402.256 +6 *1773:25 *1773:27 4.5 +7 *1773:27 *1773:28 77.2003 +8 *1773:28 *2819:wb_adr_i[24] 0.366399 +*END + +*D_NET *1774 0.277494 +*CONN +*I *2819:wb_adr_i[25] I *D housekeeping +*I *2820:mprj_adr_o_core[25] I *D mgmt_protect +*I *2826:mprj_adr_o[25] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_adr_i[25] 1.28869e-05 +2 *2820:mprj_adr_o_core[25] 0.0029389 +3 *2826:mprj_adr_o[25] 0.000908806 +4 *1774:28 0.00259723 +5 *1774:27 0.00258434 +6 *1774:25 0.00295777 +7 *1774:18 0.0029389 +8 *1774:16 0.00618604 +9 *1774:15 0.00670389 +10 *1774:9 0.00438441 +11 *2820:mprj_adr_o_core[25] *2820:mprj_dat_o_core[24] 0 +12 *2820:mprj_adr_o_core[25] *1842:5 0 +13 *1774:9 *2826:mprj_dat_i[25] 0 +14 *1774:9 *1905:9 0 +15 *1774:15 *1841:11 0.000463947 +16 *1774:15 *1890:25 0.00040048 +17 *1774:15 *1905:9 0 +18 *1774:15 *1905:15 0.000172431 +19 *1774:16 *1840:14 0.0795852 +20 *1774:16 *1842:10 0.00292571 +21 *1774:16 *1842:12 0.000735201 +22 *1774:16 *1849:10 0.000268994 +23 *1774:16 *1855:8 8.41174e-05 +24 *1774:16 *1895:24 0.00468474 +25 *1774:16 *1906:10 0.0839518 +26 *1774:25 *2826:irq[0] 0.00111432 +27 *1774:25 *1778:27 0.0256466 +28 *1774:25 *1890:25 0.00455975 +29 *1774:25 *2489:10 0.0032924 +30 *1774:28 *1775:13 0 +31 *783:14 *1774:16 7.46601e-05 +32 *1038:9 *2820:mprj_adr_o_core[25] 0.000456946 +33 *1770:10 *1774:15 0.000908333 +34 *1770:10 *1774:25 0.035955 +35 *1773:28 *1774:28 0 +*RES +1 *2826:mprj_adr_o[25] *1774:9 26.4229 +2 *1774:9 *1774:15 28.7341 +3 *1774:15 *1774:16 890.862 +4 *1774:16 *1774:18 4.5 +5 *1774:18 *2820:mprj_adr_o_core[25] 55.9369 +6 *1774:9 *1774:25 389.501 +7 *1774:25 *1774:27 4.5 +8 *1774:27 *1774:28 65.5732 +9 *1774:28 *2819:wb_adr_i[25] 0.366399 +*END + +*D_NET *1775 0.254494 +*CONN +*I *2820:mprj_adr_o_core[26] I *D mgmt_protect +*I *2819:wb_adr_i[26] I *D housekeeping +*I *2826:mprj_adr_o[26] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[26] 0.00158994 +2 *2819:wb_adr_i[26] 1.28869e-05 +3 *2826:mprj_adr_o[26] 0.00148593 +4 *1775:30 0.00452742 +5 *1775:29 0.00350789 +6 *1775:24 0.00588349 +7 *1775:23 0.00597902 +8 *1775:13 0.0030917 +9 *1775:12 0.00307882 +10 *1775:10 0.00459015 +11 *1775:9 0.00459015 +12 *1775:7 0.00215186 +13 *2820:mprj_adr_o_core[26] *2820:mprj_dat_o_core[25] 0 +14 *2820:mprj_adr_o_core[26] *1843:7 0 +15 *1775:7 *1843:11 0 +16 *1775:10 *1778:10 0.00427079 +17 *1775:10 *1778:21 0.00567887 +18 *1775:10 *1849:10 0.00011282 +19 *1775:10 *1907:10 0.0347913 +20 *1775:10 *1913:27 6.04938e-05 +21 *1775:10 *1913:29 0.00483046 +22 *1775:13 *1776:13 0 +23 *1775:23 *1843:11 0 +24 *1775:24 *1776:24 0.00701756 +25 *1775:24 *1777:24 0.0626187 +26 *1775:24 *1909:24 0 +27 *1775:29 *1777:29 0 +28 *1775:30 *1777:30 0.000700269 +29 *1775:30 *2487:16 0.00154408 +30 *1436:7 *1775:29 0 +31 *1445:10 *1775:30 0 +32 *1765:22 *1775:30 0.0163012 +33 *1769:24 *1775:24 0.0541222 +34 *1769:30 *1775:30 0.000540305 +35 *1771:24 *1775:24 0.000651693 +36 *1771:30 *1775:30 0.020692 +37 *1773:25 *1775:10 7.17336e-05 +38 *1773:28 *1775:13 0 +39 *1774:28 *1775:13 0 +*RES +1 *2826:mprj_adr_o[26] *1775:7 31.4737 +2 *1775:7 *1775:9 4.5 +3 *1775:9 *1775:10 376.745 +4 *1775:10 *1775:12 4.5 +5 *1775:12 *1775:13 75.124 +6 *1775:13 *2819:wb_adr_i[26] 0.366399 +7 *1775:7 *1775:23 20.4506 +8 *1775:23 *1775:24 658.483 +9 *1775:24 *1775:29 19.137 +10 *1775:29 *1775:30 250.85 +11 *1775:30 *2820:mprj_adr_o_core[26] 35.1065 +*END + +*D_NET *1776 0.313291 +*CONN +*I *2820:mprj_adr_o_core[27] I *D mgmt_protect +*I *2819:wb_adr_i[27] I *D housekeeping +*I *2826:mprj_adr_o[27] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[27] 0.00211888 +2 *2819:wb_adr_i[27] 1.28869e-05 +3 *2826:mprj_adr_o[27] 0.00136173 +4 *1776:24 0.0116443 +5 *1776:23 0.00999795 +6 *1776:13 0.00314749 +7 *1776:12 0.00313461 +8 *1776:10 0.00228402 +9 *1776:9 0.00228402 +10 *1776:7 0.0018343 +11 *2820:mprj_adr_o_core[27] *2820:mprj_dat_o_core[26] 0.000262305 +12 *2820:mprj_adr_o_core[27] *1844:7 0 +13 *1776:7 *1844:11 0.000737975 +14 *1776:7 *1907:7 0 +15 *1776:7 *1907:23 0 +16 *1776:10 *1777:10 0.0333236 +17 *1776:10 *1907:10 0.000540322 +18 *1776:10 *1909:10 0.0323741 +19 *1776:13 *1777:13 0 +20 *1776:23 *1844:11 0.000375413 +21 *1776:23 *1907:23 0 +22 *1776:24 *1781:24 0.0971308 +23 *1049:12 *1776:24 0.000170531 +24 *1049:14 *1776:24 0.00130336 +25 *1050:16 *1776:24 0.000637963 +26 *1062:10 *1776:24 0.00115774 +27 *1314:15 *2820:mprj_adr_o_core[27] 0 +28 *1423:7 *2820:mprj_adr_o_core[27] 0 +29 *1769:24 *1776:24 0.00634299 +30 *1772:24 *1776:24 0.0928792 +31 *1773:16 *1776:24 0.000249792 +32 *1773:25 *1776:10 0.000967446 +33 *1775:13 *1776:13 0 +34 *1775:24 *1776:24 0.00701756 +*RES +1 *2826:mprj_adr_o[27] *1776:7 34.4049 +2 *1776:7 *1776:9 4.5 +3 *1776:9 *1776:10 362.325 +4 *1776:10 *1776:12 4.5 +5 *1776:12 *1776:13 76.3698 +6 *1776:13 *2819:wb_adr_i[27] 0.366399 +7 *1776:7 *1776:23 17.866 +8 *1776:23 *1776:24 126.947 +9 *1776:24 *2820:mprj_adr_o_core[27] 44.149 +*END + +*D_NET *1777 0.259061 +*CONN +*I *2820:mprj_adr_o_core[28] I *D mgmt_protect +*I *2819:wb_adr_i[28] I *D housekeeping +*I *2826:mprj_adr_o[28] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[28] 0.00154898 +2 *2819:wb_adr_i[28] 1.28869e-05 +3 *2826:mprj_adr_o[28] 0.00152437 +4 *1777:30 0.00566819 +5 *1777:29 0.00476221 +6 *1777:24 0.00552564 +7 *1777:23 0.00549478 +8 *1777:13 0.00312365 +9 *1777:12 0.00311076 +10 *1777:10 0.00214439 +11 *1777:9 0.00214439 +12 *1777:7 0.00213652 +13 *2820:mprj_adr_o_core[28] *2820:mprj_dat_o_core[27] 0 +14 *2820:mprj_adr_o_core[28] *1845:5 0 +15 *1777:7 *2826:mprj_dat_i[28] 0 +16 *1777:7 *1908:9 0 +17 *1777:10 *1907:10 0.0335827 +18 *1777:10 *1909:10 0.000113197 +19 *1777:13 *1778:30 0 +20 *1777:24 *1781:24 0.00670504 +21 *1777:24 *1909:24 0 +22 *1777:24 *1913:16 0.0659322 +23 *1777:30 *1785:30 0 +24 *1777:30 *2487:16 0.0019425 +25 *1440:16 *1777:30 0.00680857 +26 *1444:16 *1777:30 0.00117679 +27 *1445:16 *1777:30 0 +28 *1769:24 *1777:24 0.00021242 +29 *1771:30 *1777:30 0.00874861 +30 *1775:24 *1777:24 0.0626187 +31 *1775:29 *1777:29 0 +32 *1775:30 *1777:30 0.000700269 +33 *1776:10 *1777:10 0.0333236 +34 *1776:13 *1777:13 0 +*RES +1 *2826:mprj_adr_o[28] *1777:7 32.3042 +2 *1777:7 *1777:9 4.5 +3 *1777:9 *1777:10 352.342 +4 *1777:10 *1777:12 4.5 +5 *1777:12 *1777:13 75.9545 +6 *1777:13 *2819:wb_adr_i[28] 0.366399 +7 *1777:7 *1777:23 19.2048 +8 *1777:23 *1777:24 693.423 +9 *1777:24 *1777:29 20.798 +10 *1777:29 *1777:30 233.102 +11 *1777:30 *2820:mprj_adr_o_core[28] 33.8608 +*END + +*D_NET *1778 0.277849 +*CONN +*I *2819:wb_adr_i[29] I *D housekeeping +*I *2820:mprj_adr_o_core[29] I *D mgmt_protect +*I *2826:mprj_adr_o[29] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_adr_i[29] 1.28869e-05 +2 *2820:mprj_adr_o_core[29] 6.22868e-05 +3 *2826:mprj_adr_o[29] 0.00145083 +4 *1778:30 0.00261629 +5 *1778:29 0.00260341 +6 *1778:27 0.00190483 +7 *1778:26 0.00243595 +8 *1778:21 0.00131154 +9 *1778:13 0.00288875 +10 *1778:12 0.00282646 +11 *1778:10 0.00656899 +12 *1778:9 0.00880023 +13 *1778:9 *1846:11 0 +14 *1778:9 *1909:7 0 +15 *1778:10 *1780:10 0.000284336 +16 *1778:10 *1845:8 0.000371284 +17 *1778:10 *1849:10 0.000650798 +18 *1778:10 *1897:16 0.00468474 +19 *1778:10 *1906:10 0.0834465 +20 *1778:10 *1908:10 0.0864327 +21 *1778:13 *2820:mprj_adr_o_core[30] 0 +22 *1778:13 *2820:mprj_dat_o_core[28] 0 +23 *1778:13 *1846:7 0.0012021 +24 *1778:21 *1780:10 0.000334664 +25 *1778:21 *1780:21 0.000675516 +26 *1778:21 *1892:10 0.000101794 +27 *1778:21 *1913:10 0.000166542 +28 *1778:21 *1913:27 0.000595132 +29 *1778:26 *2826:irq[0] 7.09666e-06 +30 *1778:26 *1780:24 0 +31 *1778:26 *2735:14 0 +32 *1778:27 *1890:25 0.0266852 +33 *1778:27 *2489:10 0.00307189 +34 *1778:30 *1780:28 0 +35 *1296:9 *1778:13 5.98105e-05 +36 *1318:15 *1778:13 0 +37 *1425:15 *1778:13 0 +38 *1773:25 *1778:10 0 +39 *1774:25 *1778:27 0.0256466 +40 *1775:10 *1778:10 0.00427079 +41 *1775:10 *1778:21 0.00567887 +42 *1777:13 *1778:30 0 +*RES +1 *2826:mprj_adr_o[29] *1778:9 35.5584 +2 *1778:9 *1778:10 933.012 +3 *1778:10 *1778:12 4.5 +4 *1778:12 *1778:13 56.8529 +5 *1778:13 *2820:mprj_adr_o_core[29] 1.77093 +6 *1778:9 *1778:21 60.066 +7 *1778:21 *1778:26 17.8913 +8 *1778:26 *1778:27 280.244 +9 *1778:27 *1778:29 4.5 +10 *1778:29 *1778:30 65.9885 +11 *1778:30 *2819:wb_adr_i[29] 0.366399 +*END + +*D_NET *1779 0.245004 +*CONN +*I *2820:mprj_adr_o_core[2] I *D mgmt_protect +*I *2819:wb_adr_i[2] I *D housekeeping +*I *2826:mprj_adr_o[2] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[2] 0.00115218 +2 *2819:wb_adr_i[2] 1.28869e-05 +3 *2826:mprj_adr_o[2] 0.00161841 +4 *1779:34 0.00435745 +5 *1779:33 0.00364324 +6 *1779:28 0.00310218 +7 *1779:27 0.00339017 +8 *1779:17 0.00353144 +9 *1779:16 0.00351855 +10 *1779:14 0.0149658 +11 *1779:13 0.0149658 +12 *1779:11 0.00234437 +13 *2820:mprj_adr_o_core[2] *2820:mprj_sel_o_core[1] 0 +14 *2820:mprj_adr_o_core[2] *1847:5 0 +15 *1779:11 *2826:mprj_dat_i[2] 0 +16 *1779:11 *1911:15 0.000605259 +17 *1779:11 *2488:25 0 +18 *1779:14 *1782:25 0.0620416 +19 *1779:14 *1893:24 0.000565068 +20 *1779:17 *1782:28 0 +21 *1779:28 *1783:24 0.0240298 +22 *1779:28 *2487:10 0.000991103 +23 *1779:34 *1783:30 0.0386831 +24 *1779:34 *1785:30 0.0374961 +25 *1779:34 *1914:10 0.00318204 +26 *2819:wb_adr_i[1] *1779:17 0 +27 *806:14 *1779:28 0.0123151 +28 *807:14 *1779:28 0.00230879 +29 *901:11 *2820:mprj_adr_o_core[2] 8.5866e-05 +30 *1038:20 *1779:34 0.000698415 +31 *1053:10 *1779:28 0.00102099 +32 *1054:10 *1779:28 0.000159075 +33 *1427:16 *1779:34 0.00122855 +34 *1761:24 *1779:28 0.0029905 +*RES +1 *2826:mprj_adr_o[2] *1779:11 39.5833 +2 *1779:11 *1779:13 4.5 +3 *1779:13 *1779:14 684.55 +4 *1779:14 *1779:16 4.5 +5 *1779:16 *1779:17 81.768 +6 *1779:17 *2819:wb_adr_i[2] 0.366399 +7 *1779:11 *1779:27 19.2048 +8 *1779:27 *1779:28 266.933 +9 *1779:28 *1779:33 18.7218 +10 *1779:33 *1779:34 426.659 +11 *1779:34 *2820:mprj_adr_o_core[2] 30.1235 +*END + +*D_NET *1780 0.269868 +*CONN +*I *2819:wb_adr_i[30] I *D housekeeping +*I *2820:mprj_adr_o_core[30] I *D mgmt_protect +*I *2826:mprj_adr_o[30] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_adr_i[30] 1.28869e-05 +2 *2820:mprj_adr_o_core[30] 0.00318957 +3 *2826:mprj_adr_o[30] 0.00147077 +4 *1780:28 0.00266674 +5 *1780:27 0.00265386 +6 *1780:25 0.00177991 +7 *1780:24 0.00220348 +8 *1780:21 0.000923705 +9 *1780:12 0.00318957 +10 *1780:10 0.00863102 +11 *1780:9 0.0106019 +12 *2820:mprj_adr_o_core[30] *2820:mprj_dat_o_core[29] 0 +13 *2820:mprj_adr_o_core[30] *1840:13 2.81227e-05 +14 *2820:mprj_adr_o_core[30] *1848:7 0 +15 *2820:mprj_adr_o_core[30] *1855:13 0.000130377 +16 *1780:9 *1848:11 0 +17 *1780:9 *1910:7 0 +18 *1780:9 *1910:24 3.67528e-06 +19 *1780:10 *1786:24 0.0561635 +20 *1780:10 *1788:31 0 +21 *1780:10 *1845:8 0.0868469 +22 *1780:10 *1849:10 0.00616954 +23 *1780:10 *1855:14 0.000855748 +24 *1780:21 *1913:10 0.000383717 +25 *1780:21 *1913:27 0.000872795 +26 *1780:24 *2741:12 7.09666e-06 +27 *1780:25 *1890:25 0.0274265 +28 *1780:25 *1893:10 0.0274223 +29 *1780:25 *2490:10 0.00307189 +30 *1780:28 *1781:13 0 +31 *1763:10 *1780:10 0 +32 *1764:10 *1780:10 0.00022 +33 *1765:15 *1780:10 0.000142393 +34 *1765:31 *1780:10 0.000757656 +35 *1766:10 *1780:10 0.0168828 +36 *1766:10 *1780:21 0.00386546 +37 *1778:10 *1780:10 0.000284336 +38 *1778:13 *2820:mprj_adr_o_core[30] 0 +39 *1778:21 *1780:10 0.000334664 +40 *1778:21 *1780:21 0.000675516 +41 *1778:26 *1780:24 0 +42 *1778:30 *1780:28 0 +*RES +1 *2826:mprj_adr_o[30] *1780:9 35.9981 +2 *1780:9 *1780:10 942.44 +3 *1780:10 *1780:12 4.5 +4 *1780:12 *2820:mprj_adr_o_core[30] 58.0132 +5 *1780:9 *1780:21 45.7095 +6 *1780:21 *1780:24 11.315 +7 *1780:24 *1780:25 288.008 +8 *1780:25 *1780:27 4.5 +9 *1780:27 *1780:28 66.819 +10 *1780:28 *2819:wb_adr_i[30] 0.366399 +*END + +*D_NET *1781 0.26825 +*CONN +*I *2820:mprj_adr_o_core[31] I *D mgmt_protect +*I *2819:wb_adr_i[31] I *D housekeeping +*I *2826:mprj_adr_o[31] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[31] 0.00209932 +2 *2819:wb_adr_i[31] 1.28869e-05 +3 *2826:mprj_adr_o[31] 0.00166072 +4 *1781:24 0.0189845 +5 *1781:23 0.0173685 +6 *1781:13 0.00318996 +7 *1781:12 0.00317707 +8 *1781:10 0.00297565 +9 *1781:9 0.00297565 +10 *1781:7 0.00214406 +11 *2820:mprj_adr_o_core[31] *2820:mprj_dat_o_core[30] 0 +12 *2820:mprj_adr_o_core[31] *1849:7 0.00029952 +13 *1781:7 *2826:mprj_dat_i[31] 0 +14 *1781:7 *1912:7 0 +15 *1781:7 *1912:24 3.67528e-06 +16 *1781:10 *1909:10 0.000488332 +17 *1781:13 *2819:wb_dat_i[0] 0 +18 *1781:23 *1912:7 0 +19 *1781:24 *1913:16 0.015626 +20 *1051:10 *1781:24 0.00190182 +21 *1062:10 *1781:24 0.044338 +22 *1063:10 *1781:24 0.000929185 +23 *1427:15 *2820:mprj_adr_o_core[31] 0.000234573 +24 *1758:16 *1781:24 0.0051365 +25 *1772:10 *1781:10 0.0280644 +26 *1773:25 *1781:10 0.0128036 +27 *1776:24 *1781:24 0.0971308 +28 *1777:24 *1781:24 0.00670504 +29 *1780:28 *1781:13 0 +*RES +1 *2826:mprj_adr_o[31] *1781:7 35.5041 +2 *1781:7 *1781:9 4.5 +3 *1781:9 *1781:10 316.293 +4 *1781:10 *1781:12 4.5 +5 *1781:12 *1781:13 78.0308 +6 *1781:13 *2819:wb_adr_i[31] 0.366399 +7 *1781:7 *1781:23 15.3745 +8 *1781:23 *1781:24 131.231 +9 *1781:24 *2820:mprj_adr_o_core[31] 44.9795 +*END + +*D_NET *1782 0.296072 +*CONN +*I *2819:wb_adr_i[3] I *D housekeeping +*I *2820:mprj_adr_o_core[3] I *D mgmt_protect +*I *2826:mprj_adr_o[3] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_adr_i[3] 1.28869e-05 +2 *2820:mprj_adr_o_core[3] 0.00282272 +3 *2826:mprj_adr_o[3] 0.00112294 +4 *1782:28 0.00350759 +5 *1782:27 0.0034947 +6 *1782:25 0.00419111 +7 *1782:24 0.00488531 +8 *1782:12 0.00282272 +9 *1782:10 0.00510484 +10 *1782:9 0.00692198 +11 *2820:mprj_adr_o_core[3] *2820:mprj_dat_o_core[2] 0 +12 *2820:mprj_adr_o_core[3] *2820:mprj_dat_o_core[3] 0 +13 *2820:mprj_adr_o_core[3] *2820:mprj_sel_o_core[2] 0 +14 *2820:mprj_adr_o_core[3] *1850:7 0.000283646 +15 *1782:9 *1850:11 0 +16 *1782:10 *1784:10 0.000339574 +17 *1782:10 *1847:8 0.000829197 +18 *1782:10 *1849:10 0.000282057 +19 *1782:10 *1851:14 0.0558938 +20 *1782:10 *1853:8 0.0645189 +21 *1782:10 *2499:10 0.00389853 +22 *1782:24 *1851:14 0.00120635 +23 *1782:24 *1853:8 0.00121634 +24 *1782:24 *1914:7 0 +25 *1782:24 *2490:23 0 +26 *1782:25 *1783:10 0.0614043 +27 *1782:25 *1893:24 0.000234007 +28 *1782:28 *1783:13 0 +29 *781:14 *1782:10 0.00894732 +30 *1419:10 *1782:10 8.98392e-05 +31 *1779:14 *1782:25 0.0620416 +32 *1779:17 *1782:28 0 +*RES +1 *2826:mprj_adr_o[3] *1782:9 32.2364 +2 *1782:9 *1782:10 704.515 +3 *1782:10 *1782:12 4.5 +4 *1782:12 *2820:mprj_adr_o_core[3] 60.0894 +5 *1782:9 *1782:24 32.0617 +6 *1782:24 *1782:25 654.601 +7 *1782:25 *1782:27 4.5 +8 *1782:27 *1782:28 81.3528 +9 *1782:28 *2819:wb_adr_i[3] 0.366399 +*END + +*D_NET *1783 0.287293 +*CONN +*I *2820:mprj_adr_o_core[4] I *D mgmt_protect +*I *2819:wb_adr_i[4] I *D housekeeping +*I *2826:mprj_adr_o[4] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[4] 0.00118062 +2 *2819:wb_adr_i[4] 1.28869e-05 +3 *2826:mprj_adr_o[4] 0.00152982 +4 *1783:30 0.00428885 +5 *1783:29 0.00359245 +6 *1783:24 0.00329845 +7 *1783:23 0.00355581 +8 *1783:13 0.00348615 +9 *1783:12 0.00347327 +10 *1783:10 0.00412884 +11 *1783:9 0.00412884 +12 *1783:7 0.0022714 +13 *2820:mprj_adr_o_core[4] *2820:mprj_sel_o_core[3] 0 +14 *2820:mprj_adr_o_core[4] *1851:5 0 +15 *1783:7 *2826:mprj_dat_i[4] 0.000726014 +16 *1783:7 *2490:7 0 +17 *1783:7 *2490:23 0 +18 *1783:10 *1784:22 0.0602295 +19 *1783:10 *1893:24 0.000644459 +20 *1783:13 *1784:25 0 +21 *1783:24 *1785:24 0.00636219 +22 *1783:24 *1825:14 0.0123447 +23 *1783:30 *1785:30 0.000620311 +24 *1783:30 *1827:8 0.0356723 +25 *1783:30 *1914:10 0.0031137 +26 *1038:20 *1783:30 0.00233313 +27 *1054:10 *1783:24 0.00159227 +28 *1423:10 *1783:30 0.000895596 +29 *1761:24 *1783:24 0.00369387 +30 *1779:28 *1783:24 0.0240298 +31 *1779:34 *1783:30 0.0386831 +32 *1782:25 *1783:10 0.0614043 +33 *1782:28 *1783:13 0 +*RES +1 *2826:mprj_adr_o[4] *1783:7 38.9726 +2 *1783:7 *1783:9 4.5 +3 *1783:9 *1783:10 647.946 +4 *1783:10 *1783:12 4.5 +5 *1783:12 *1783:13 80.9375 +6 *1783:13 *2819:wb_adr_i[4] 0.366399 +7 *1783:7 *1783:23 19.6201 +8 *1783:23 *1783:24 294.663 +9 *1783:24 *1783:29 19.5523 +10 *1783:29 *1783:30 423.886 +11 *1783:30 *2820:mprj_adr_o_core[4] 29.7083 +*END + +*D_NET *1784 0.270938 +*CONN +*I *2819:wb_adr_i[5] I *D housekeeping +*I *2820:mprj_adr_o_core[5] I *D mgmt_protect +*I *2826:mprj_adr_o[5] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_adr_i[5] 1.28869e-05 +2 *2820:mprj_adr_o_core[5] 0.00219442 +3 *2826:mprj_adr_o[5] 0.000994157 +4 *1784:25 0.00346472 +5 *1784:24 0.00345183 +6 *1784:22 0.00545711 +7 *1784:21 0.00591527 +8 *1784:12 0.00219442 +9 *1784:10 0.00520103 +10 *1784:9 0.00520103 +11 *1784:7 0.00145231 +12 *2820:mprj_adr_o_core[5] *1852:7 0 +13 *2820:mprj_adr_o_core[5] *1915:33 0.00292763 +14 *1784:7 *1852:11 0.000751059 +15 *1784:7 *1915:7 0 +16 *1784:7 *1915:23 0 +17 *1784:10 *1786:24 0.00138612 +18 *1784:10 *1828:8 0.0107507 +19 *1784:10 *1849:10 0.000549365 +20 *1784:10 *1853:8 0.0680407 +21 *1784:10 *1855:14 0.0535935 +22 *1784:10 *2499:10 0.00349348 +23 *1784:21 *1852:11 0.00012725 +24 *1784:21 *1915:23 0 +25 *1784:22 *1832:8 0.00164511 +26 *1784:22 *1838:8 0.000148106 +27 *1784:22 *1893:24 0.0123318 +28 *1784:22 *1898:10 0.000873324 +29 *1784:25 *1785:13 0 +30 *2826:la_input[98] *2820:mprj_adr_o_core[5] 0 +31 *781:11 *2820:mprj_adr_o_core[5] 0 +32 *1039:19 *2820:mprj_adr_o_core[5] 0 +33 *1419:10 *1784:10 0.000332359 +34 *1542:9 *2820:mprj_adr_o_core[5] 0 +35 *1767:10 *1784:22 0.00038324 +36 *1769:10 *1784:22 0.017496 +37 *1782:10 *1784:10 0.000339574 +38 *1783:10 *1784:22 0.0602295 +39 *1783:13 *1784:25 0 +*RES +1 *2826:mprj_adr_o[5] *1784:7 30.2523 +2 *1784:7 *1784:9 4.5 +3 *1784:9 *1784:10 726.7 +4 *1784:10 *1784:12 4.5 +5 *1784:12 *2820:mprj_adr_o_core[5] 59.2589 +6 *1784:7 *1784:21 12.976 +7 *1784:21 *1784:22 635.745 +8 *1784:22 *1784:24 4.5 +9 *1784:24 *1784:25 80.5223 +10 *1784:25 *2819:wb_adr_i[5] 0.366399 +*END + +*D_NET *1785 0.269073 +*CONN +*I *2820:mprj_adr_o_core[6] I *D mgmt_protect +*I *2819:wb_adr_i[6] I *D housekeeping +*I *2826:mprj_adr_o[6] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[6] 0.00119767 +2 *2819:wb_adr_i[6] 1.28869e-05 +3 *2826:mprj_adr_o[6] 0.000994537 +4 *1785:30 0.00647835 +5 *1785:29 0.0056331 +6 *1785:24 0.00307318 +7 *1785:23 0.0041166 +8 *1785:13 0.00277448 +9 *1785:12 0.0027616 +10 *1785:10 0.00520438 +11 *1785:9 0.00520438 +12 *1785:7 0.00239038 +13 *2820:mprj_adr_o_core[6] *2820:mprj_dat_o_core[5] 0 +14 *2820:mprj_adr_o_core[6] *1853:5 0 +15 *1785:7 *2826:mprj_dat_i[6] 0 +16 *1785:10 *1786:10 0.0580503 +17 *1785:10 *1788:10 0.00111944 +18 *1785:10 *1788:30 8.2739e-05 +19 *1785:10 *1828:14 0.000344119 +20 *1785:10 *1853:8 0 +21 *1785:10 *1890:12 9.51286e-05 +22 *1785:10 *1890:25 0.00105257 +23 *1785:10 *1893:10 0.0482883 +24 *1785:10 *1911:25 0.00411934 +25 *1785:13 *1786:13 0 +26 *1785:23 *2826:mprj_dat_i[6] 0 +27 *1785:24 *1787:24 0.0257164 +28 *1785:24 *1825:14 0.0123489 +29 *1785:30 *1827:8 0.000276395 +30 *1785:30 *1905:22 0.00363502 +31 *1785:30 *1914:10 0.000118134 +32 *781:11 *2820:mprj_adr_o_core[6] 0.000100025 +33 *1054:10 *1785:24 0.000532369 +34 *1057:12 *1785:24 0.00013754 +35 *1057:14 *1785:24 0.0036548 +36 *1427:16 *1785:30 0.0151793 +37 *1438:7 *1785:29 0.000570057 +38 *1445:16 *1785:30 0.0057533 +39 *1764:24 *1785:24 0.0035785 +40 *1777:30 *1785:30 0 +41 *1779:34 *1785:30 0.0374961 +42 *1783:24 *1785:24 0.00636219 +43 *1783:30 *1785:30 0.000620311 +44 *1784:25 *1785:13 0 +*RES +1 *2826:mprj_adr_o[6] *1785:7 23.9991 +2 *1785:7 *1785:9 4.5 +3 *1785:9 *1785:10 625.207 +4 *1785:10 *1785:12 4.5 +5 *1785:12 *1785:13 67.6495 +6 *1785:13 *2819:wb_adr_i[6] 0.366399 +7 *1785:7 *1785:23 32.0776 +8 *1785:23 *1785:24 311.856 +9 *1785:24 *1785:29 19.5523 +10 *1785:29 *1785:30 422.222 +11 *1785:30 *2820:mprj_adr_o_core[6] 30.5388 +*END + +*D_NET *1786 0.292643 +*CONN +*I *2820:mprj_adr_o_core[7] I *D mgmt_protect +*I *2819:wb_adr_i[7] I *D housekeeping +*I *2826:mprj_adr_o[7] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[7] 6.22868e-05 +2 *2819:wb_adr_i[7] 1.28869e-05 +3 *2826:mprj_adr_o[7] 0.00109268 +4 *1786:27 0.0023933 +5 *1786:26 0.00233101 +6 *1786:24 0.00532747 +7 *1786:23 0.00554404 +8 *1786:13 0.0027976 +9 *1786:12 0.00278472 +10 *1786:10 0.00434034 +11 *1786:9 0.00434034 +12 *1786:7 0.00130926 +13 *1786:7 *1854:11 0 +14 *1786:7 *1917:7 0 +15 *1786:10 *1787:10 0.056742 +16 *1786:10 *1893:10 0.000113197 +17 *1786:10 *1911:25 0.00359888 +18 *1786:13 *1787:13 0 +19 *1786:23 *1854:11 0 +20 *1786:23 *1917:23 0 +21 *1786:24 *1823:10 0.00316417 +22 *1786:24 *1828:8 0.0107465 +23 *1786:24 *1845:8 0.000451273 +24 *1786:24 *1849:10 0.00117488 +25 *1786:24 *1855:14 0.0561127 +26 *1786:24 *1906:10 3.58099e-05 +27 *1786:24 *1908:10 0.000106845 +28 *1786:27 *2820:mprj_dat_o_core[6] 0 +29 *1786:27 *1854:7 0.00114749 +30 *2826:la_input[99] *1786:27 0 +31 *782:14 *1786:24 0.00477383 +32 *783:11 *1786:27 0.000797848 +33 *783:14 *1786:24 0.00107822 +34 *1038:19 *1786:27 0 +35 *1415:9 *1786:27 6.97621e-06 +36 *1419:10 *1786:24 0.00465697 +37 *1780:10 *1786:24 0.0561635 +38 *1784:10 *1786:24 0.00138612 +39 *1785:10 *1786:10 0.0580503 +40 *1785:13 *1786:13 0 +*RES +1 *2826:mprj_adr_o[7] *1786:7 26.0998 +2 *1786:7 *1786:9 4.5 +3 *1786:9 *1786:10 614.67 +4 *1786:10 *1786:12 4.5 +5 *1786:12 *1786:13 68.0647 +6 *1786:13 *2819:wb_adr_i[7] 0.366399 +7 *1786:7 *1786:23 9.65401 +8 *1786:23 *1786:24 738.901 +9 *1786:24 *1786:26 4.5 +10 *1786:26 *1786:27 58.5139 +11 *1786:27 *2820:mprj_adr_o_core[7] 1.77093 +*END + +*D_NET *1787 0.284496 +*CONN +*I *2820:mprj_adr_o_core[8] I *D mgmt_protect +*I *2819:wb_adr_i[8] I *D housekeeping +*I *2826:mprj_adr_o[8] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_adr_o_core[8] 0.000616058 +2 *2819:wb_adr_i[8] 1.28869e-05 +3 *2826:mprj_adr_o[8] 0.00104615 +4 *1787:30 0.00431574 +5 *1787:29 0.00483686 +6 *1787:24 0.00359841 +7 *1787:23 0.0036788 +8 *1787:13 0.00281543 +9 *1787:12 0.00280254 +10 *1787:10 0.00495657 +11 *1787:9 0.00495657 +12 *1787:7 0.00226372 +13 *2820:mprj_adr_o_core[8] *2820:mprj_dat_o_core[7] 0 +14 *2820:mprj_adr_o_core[8] *1855:5 0.00024075 +15 *1787:7 *2826:mprj_dat_i[8] 0 +16 *1787:7 *1918:7 0 +17 *1787:7 *1918:25 0 +18 *1787:10 *1788:31 0.00235152 +19 *1787:10 *1855:14 0 +20 *1787:10 *1893:10 6.44576e-05 +21 *1787:10 *1895:10 0.0460369 +22 *1787:10 *1917:10 0.00422959 +23 *1787:13 *1788:34 0 +24 *1787:23 *2826:mprj_dat_i[8] 0 +25 *1787:23 *1918:7 0 +26 *1787:23 *1919:15 0.000341446 +27 *1787:24 *1827:14 0.00085688 +28 *1787:24 *1829:14 0.023539 +29 *1787:30 *1829:8 0.0393936 +30 *1787:30 *2489:24 0.00367126 +31 *778:8 *1787:30 0.000380813 +32 *806:8 *1787:30 9.64752e-05 +33 *1057:12 *1787:24 0.000436811 +34 *1059:10 *1787:24 4.52871e-05 +35 *1315:10 *1787:24 0.00110328 +36 *1757:24 *1787:30 0.00180021 +37 *1763:24 *1787:30 0.0384145 +38 *1766:24 *1787:24 0.00313531 +39 *1785:24 *1787:24 0.0257164 +40 *1786:10 *1787:10 0.056742 +41 *1786:13 *1787:13 0 +*RES +1 *2826:mprj_adr_o[8] *1787:7 24.8296 +2 *1787:7 *1787:9 4.5 +3 *1787:9 *1787:10 600.805 +4 *1787:10 *1787:12 4.5 +5 *1787:12 *1787:13 68.48 +6 *1787:13 *2819:wb_adr_i[8] 0.366399 +7 *1787:7 *1787:23 30.8319 +8 *1787:23 *1787:24 300.209 +9 *1787:24 *1787:29 29.9336 +10 *1787:29 *1787:30 450.507 +11 *1787:30 *2820:mprj_adr_o_core[8] 20.5727 +*END + +*D_NET *1788 0.242084 +*CONN +*I *2819:wb_adr_i[9] I *D housekeeping +*I *2820:mprj_adr_o_core[9] I *D mgmt_protect +*I *2826:mprj_adr_o[9] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_adr_i[9] 1.28869e-05 +2 *2820:mprj_adr_o_core[9] 0.00269851 +3 *2826:mprj_adr_o[9] 0.000690962 +4 *1788:34 0.00288907 +5 *1788:33 0.00287619 +6 *1788:31 0.00627549 +7 *1788:30 0.0065291 +8 *1788:18 0.00269851 +9 *1788:16 0.00215861 +10 *1788:15 0.00275546 +11 *1788:10 0.00817043 +12 *1788:9 0.00851814 +13 *2820:mprj_adr_o_core[9] *2820:mprj_dat_o_core[8] 0 +14 *2820:mprj_adr_o_core[9] *1856:7 0 +15 *1788:9 *1856:11 0 +16 *1788:9 *1919:9 0 +17 *1788:10 *1828:14 0.0582379 +18 *1788:10 *1836:8 0 +19 *1788:10 *1847:8 0 +20 *1788:10 *1953:10 0.0187129 +21 *1788:10 *2489:10 0.000224455 +22 *1788:15 *2820:mprj_iena_wb 0 +23 *1788:15 *1849:7 2.27839e-05 +24 *1788:15 *1904:15 7.09666e-06 +25 *1788:16 *1832:8 0 +26 *1788:16 *1834:8 0.000266441 +27 *1788:16 *1842:10 1.41853e-05 +28 *1788:16 *1842:12 0.000848781 +29 *1788:16 *1855:8 0.012814 +30 *1788:16 *1893:24 0 +31 *1788:16 *1901:24 7.24449e-05 +32 *1788:16 *1919:16 0.00085334 +33 *1788:30 *1828:14 0.000356617 +34 *1788:30 *1856:11 5.96373e-05 +35 *1788:31 *1895:10 0.000721049 +36 *1788:31 *1897:15 0.00137566 +37 *1788:31 *1897:25 0.043314 +38 *1788:31 *1899:12 0.00106121 +39 *1788:31 *1899:25 0.0404707 +40 *1788:31 *1915:10 0.00380887 +41 *2819:wb_adr_i[10] *1788:34 0 +42 *1040:9 *1788:15 8.62625e-06 +43 *1297:9 *1788:15 0 +44 *1433:10 *1788:10 8.07438e-05 +45 *1543:9 *2820:mprj_adr_o_core[9] 0 +46 *1758:15 *1788:9 0.00103034 +47 *1763:10 *1788:31 0.00145383 +48 *1768:24 *1788:10 0 +49 *1770:24 *1788:16 0.00644103 +50 *1780:10 *1788:31 0 +51 *1785:10 *1788:10 0.00111944 +52 *1785:10 *1788:30 8.2739e-05 +53 *1787:10 *1788:31 0.00235152 +54 *1787:13 *1788:34 0 +*RES +1 *2826:mprj_adr_o[9] *1788:9 27.2534 +2 *1788:9 *1788:10 615.224 +3 *1788:10 *1788:15 19.5523 +4 *1788:15 *1788:16 145.475 +5 *1788:16 *1788:18 4.5 +6 *1788:18 *2820:mprj_adr_o_core[9] 54.6912 +7 *1788:9 *1788:30 16.544 +8 *1788:30 *1788:31 583.612 +9 *1788:31 *1788:33 4.5 +10 *1788:33 *1788:34 69.7257 +11 *1788:34 *2819:wb_adr_i[9] 0.366399 +*END + +*D_NET *1789 0.126068 +*CONN +*I *2821:wbs_adr_i[0] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[0] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[0] 0.00249062 +2 *2820:mprj_adr_o_user[0] 0.000474723 +3 *1789:10 0.00249062 +4 *1789:8 0.0085581 +5 *1789:7 0.00903282 +6 *1789:7 *2820:mprj_dat_i_user[0] 0 +7 *1789:7 *2500:7 0 +8 *1789:8 *1790:8 0.0472411 +9 *1789:8 *1791:8 0.0452272 +10 *1756:8 *1789:8 0.0105528 +*RES +1 *2820:mprj_adr_o_user[0] *1789:7 17.6659 +2 *1789:7 *1789:8 736.128 +3 *1789:8 *1789:10 4.5 +4 *1789:10 *2821:wbs_adr_i[0] 69.225 +*END + +*D_NET *1790 0.11508 +*CONN +*I *2821:wbs_adr_i[10] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[10] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[10] 0.00252222 +2 *2820:mprj_adr_o_user[10] 0.000453287 +3 *1790:10 0.00252222 +4 *1790:8 0.0054211 +5 *1790:7 0.00587439 +6 *2821:wbs_adr_i[10] *1824:13 0.0011389 +7 *1790:7 *2820:mprj_dat_i_user[10] 0 +8 *1790:7 *1952:7 0 +9 *1790:8 *1791:8 0.00370379 +10 *1756:8 *1790:8 0.0462025 +11 *1789:8 *1790:8 0.0472411 +*RES +1 *2820:mprj_adr_o_user[10] *1790:7 17.2507 +2 *1790:7 *1790:8 584.721 +3 *1790:8 *1790:10 4.5 +4 *1790:10 *2821:wbs_adr_i[10] 69.6402 +*END + +*D_NET *1791 0.114957 +*CONN +*I *2821:wbs_adr_i[11] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[11] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[11] 0.00278205 +2 *2820:mprj_adr_o_user[11] 0.000482497 +3 *1791:10 0.00278205 +4 *1791:8 0.0045389 +5 *1791:7 0.0050214 +6 *1791:7 *2820:mprj_dat_i_user[11] 0 +7 *1791:7 *1922:7 0 +8 *1791:8 *1793:8 0.0504186 +9 *1789:8 *1791:8 0.0452272 +10 *1790:8 *1791:8 0.00370379 +*RES +1 *2820:mprj_adr_o_user[11] *1791:7 18.0812 +2 *1791:7 *1791:8 571.965 +3 *1791:8 *1791:10 4.5 +4 *1791:10 *2821:wbs_adr_i[11] 68.8097 +*END + +*D_NET *1792 0.0842975 +*CONN +*I *2821:wbs_adr_i[12] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[12] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[12] 0.00103036 +2 *2820:mprj_adr_o_user[12] 0.000974994 +3 *1792:18 0.00315902 +4 *1792:16 0.00215169 +5 *1792:14 0.00533888 +6 *1792:13 0.00693952 +7 *1792:8 0.00630313 +8 *1792:7 0.00565445 +9 *1792:7 *2820:mprj_dat_i_user[11] 0 +10 *1792:7 *2820:mprj_dat_i_user[12] 0 +11 *1792:7 *1923:7 0 +12 *1792:8 *1802:8 0 +13 *1792:8 *1804:8 0 +14 *1792:8 *1806:8 0.000131218 +15 *1792:8 *1872:8 0.000188584 +16 *1792:8 *1874:8 0.0251877 +17 *1792:8 *1934:8 0.00207939 +18 *1792:8 *1936:8 0 +19 *1792:8 *1938:8 0.00016955 +20 *1792:8 *1940:8 0.0217315 +21 *1792:8 *1946:8 0 +22 *1792:8 *1947:10 0.000550322 +23 *1792:14 *1801:10 0.00221463 +24 *1792:14 *1864:8 0 +25 *1792:14 *1866:8 0.000492544 +26 *1792:14 *1929:8 0 +*RES +1 *2820:mprj_adr_o_user[12] *1792:7 30.1235 +2 *1792:7 *1792:8 335.149 +3 *1792:8 *1792:13 37.8234 +4 *1792:13 *1792:14 166.273 +5 *1792:14 *1792:16 0.578717 +6 *1792:16 *1792:18 57.0157 +7 *1792:18 *2821:wbs_adr_i[12] 33.0303 +*END + +*D_NET *1793 0.0808867 +*CONN +*I *2821:wbs_adr_i[13] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[13] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[13] 0.00280979 +2 *2820:mprj_adr_o_user[13] 0.000497102 +3 *1793:10 0.00280979 +4 *1793:8 0.0119271 +5 *1793:7 0.0124242 +6 *1793:7 *2820:mprj_dat_i_user[13] 0 +7 *1793:7 *1924:7 0 +8 *1791:8 *1793:8 0.0504186 +*RES +1 *2820:mprj_adr_o_user[13] *1793:7 18.4964 +2 *1793:7 *1793:8 545.344 +3 *1793:8 *1793:10 4.5 +4 *1793:10 *2821:wbs_adr_i[13] 68.3945 +*END + +*D_NET *1794 0.126452 +*CONN +*I *2821:wbs_adr_i[14] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[14] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[14] 0.00230701 +2 *2820:mprj_adr_o_user[14] 0.000857332 +3 *1794:10 0.00230701 +4 *1794:8 0.0102289 +5 *1794:7 0.0110862 +6 *1794:7 *1862:11 0.000780788 +7 *1794:7 *1925:5 0 +8 *1794:8 *1796:19 0 +9 *1794:8 *1800:8 0.0456692 +10 *1794:8 *1802:8 0.000405492 +11 *1794:8 *1804:8 0.00243375 +12 *1794:8 *1824:8 0.0436532 +13 *1794:8 *1872:8 0.000101365 +14 *1794:8 *1879:8 0.000190972 +15 *1794:8 *1885:8 0 +16 *1794:8 *1887:8 0 +17 *1794:8 *1924:8 0 +18 *1794:8 *1930:8 0.00577638 +19 *1794:8 *1932:8 0.000235627 +20 *1794:8 *1936:8 0.000110257 +21 *1794:8 *1948:8 0 +22 *1794:8 *1950:8 0 +23 *1794:8 *2494:8 0.000307989 +*RES +1 *2820:mprj_adr_o_user[14] *1794:7 31.6914 +2 *1794:7 *1794:8 73.3275 +3 *1794:8 *1794:10 3.36879 +4 *1794:10 *2821:wbs_adr_i[14] 54.0683 +*END + +*D_NET *1795 0.0946901 +*CONN +*I *2821:wbs_adr_i[15] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[15] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[15] 0.00151307 +2 *2820:mprj_adr_o_user[15] 0.000515889 +3 *1795:20 0.00717397 +4 *1795:19 0.00609005 +5 *1795:13 0.00159183 +6 *1795:8 0.0023547 +7 *1795:7 0.0017079 +8 *1795:7 *2820:mprj_dat_i_user[15] 0.00143714 +9 *1795:7 *1926:7 0 +10 *1795:8 *2820:mprj_dat_i_user[5] 0.00145608 +11 *1795:8 *1812:8 0.0110087 +12 *1795:8 *1870:14 0.0050094 +13 *1795:8 *1882:20 0.00192534 +14 *1795:8 *1946:8 0.000113197 +15 *1795:13 *2820:mprj_dat_i_user[1] 0.000332407 +16 *1795:13 *1809:13 0.000160384 +17 *1795:13 *1878:13 7.50872e-05 +18 *1795:13 *1932:7 0 +19 *1795:13 *1941:13 9.22013e-06 +20 *1795:19 *1803:10 0.00292376 +21 *1795:19 *1821:7 4.15661e-05 +22 *1795:19 *1857:8 0.000742567 +23 *1795:19 *2486:10 0.000160617 +24 *1795:20 *1803:10 0.0128901 +25 *1795:20 *1803:16 0 +26 *1795:20 *1805:14 0.0168388 +27 *1795:20 *1821:10 0.00676023 +28 *1795:20 *1858:8 0.00359945 +29 *1795:20 *1935:18 0.00728054 +30 *2821:la_oenb[1] *1795:13 8.32377e-05 +31 *905:14 *1795:19 0.00027196 +32 *1161:7 *1795:19 4.15661e-05 +33 *1161:14 *1795:8 0.00058136 +*RES +1 *2820:mprj_adr_o_user[15] *1795:7 27.632 +2 *1795:7 *1795:8 117.745 +3 *1795:8 *1795:13 32.0099 +4 *1795:13 *1795:19 41.2281 +5 *1795:19 *1795:20 370.089 +6 *1795:20 *2821:wbs_adr_i[15] 41.7506 +*END + +*D_NET *1796 0.112907 +*CONN +*I *2821:wbs_adr_i[16] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[16] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[16] 0.00193302 +2 *2820:mprj_adr_o_user[16] 0.00141373 +3 *1796:22 0.00193302 +4 *1796:20 0.00281788 +5 *1796:19 0.00300427 +6 *1796:8 0.000721336 +7 *1796:7 0.00194868 +8 *1796:7 *2820:mprj_dat_i_user[16] 0 +9 *1796:7 *1927:5 0 +10 *1796:8 *1863:8 1.61631e-05 +11 *1796:8 *1863:14 0.000998952 +12 *1796:8 *1863:18 0.00253512 +13 *1796:8 *1928:8 0.0054809 +14 *1796:19 *1870:13 4.00504e-05 +15 *1796:19 *1924:8 0.000268006 +16 *1796:19 *1951:5 2.18541e-05 +17 *1796:20 *1861:8 0.0422652 +18 *1796:20 *1863:8 0.0422568 +19 *1796:20 *1950:8 0.00439499 +20 *2821:la_oenb[7] *1796:7 0.000249888 +21 *1255:8 *1796:8 2.18145e-05 +22 *1266:8 *1796:8 0.000585491 +23 *1794:8 *1796:19 0 +*RES +1 *2820:mprj_adr_o_user[16] *1796:7 40.5048 +2 *1796:7 *1796:8 58.4022 +3 *1796:8 *1796:19 17.2659 +4 *1796:19 *1796:20 444.406 +5 *1796:20 *1796:22 4.5 +6 *1796:22 *2821:wbs_adr_i[16] 47.2166 +*END + +*D_NET *1797 0.10734 +*CONN +*I *2821:wbs_adr_i[17] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[17] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[17] 0.00121864 +2 *2820:mprj_adr_o_user[17] 2.27669e-05 +3 *1797:10 0.00460957 +4 *1797:9 0.00339093 +5 *1797:7 0.00200571 +6 *1797:5 0.00202848 +7 *1797:7 *2820:mprj_dat_i_user[16] 0 +8 *1797:7 *2820:mprj_dat_i_user[17] 0 +9 *1797:7 *1928:7 0 +10 *1797:10 *1817:8 0.00115781 +11 *1797:10 *1864:8 0.0461447 +12 *1797:10 *1871:18 0.000173512 +13 *1797:10 *1927:8 0.0456178 +14 *1797:10 *1929:8 0.00020979 +15 *2821:la_data_in[8] *1797:7 0.000760226 +*RES +1 *2820:mprj_adr_o_user[17] *1797:5 0.647305 +2 *1797:5 *1797:7 50.2089 +3 *1797:7 *1797:9 4.5 +4 *1797:9 *1797:10 491.548 +5 *1797:10 *2821:wbs_adr_i[17] 36.7675 +*END + +*D_NET *1798 0.105169 +*CONN +*I *2821:wbs_adr_i[18] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[18] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[18] 0.00187282 +2 *2820:mprj_adr_o_user[18] 0.00153967 +3 *1798:14 0.00187282 +4 *1798:12 0.00310578 +5 *1798:10 0.00312448 +6 *1798:8 0.000673469 +7 *1798:7 0.00219444 +8 *1798:7 *2820:mprj_dat_i_user[18] 0 +9 *1798:7 *1929:5 0 +10 *1798:8 *1865:8 1.67988e-05 +11 *1798:8 *1865:12 0.00662122 +12 *1798:8 *1867:12 0.00714585 +13 *1798:10 *1865:10 9.95922e-06 +14 *1798:10 *1867:10 9.95922e-06 +15 *1798:12 *1865:8 0.0382419 +16 *1798:12 *1867:8 0.0347964 +17 *1798:12 *1867:12 1.67988e-05 +18 *1798:12 *1924:8 0.00369398 +19 *1021:8 *1798:8 0.000108585 +20 *1277:5 *1798:7 0.000123582 +*RES +1 *2820:mprj_adr_o_user[18] *1798:7 41.7506 +2 *1798:7 *1798:8 76.1495 +3 *1798:8 *1798:10 0.578717 +4 *1798:10 *1798:12 402.256 +5 *1798:12 *1798:14 4.5 +6 *1798:14 *2821:wbs_adr_i[18] 45.5556 +*END + +*D_NET *1799 0.0994334 +*CONN +*I *2821:wbs_adr_i[19] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[19] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[19] 0.00114202 +2 *2820:mprj_adr_o_user[19] 0.00209279 +3 *1799:8 0.00436874 +4 *1799:7 0.00322671 +5 *1799:5 0.00209279 +6 *1799:5 *2820:mprj_dat_i_user[19] 0 +7 *1799:5 *1930:7 0 +8 *1799:5 *1931:5 0 +9 *1799:8 *1866:8 0.00154964 +10 *1799:8 *1869:8 0.0406853 +11 *1799:8 *1884:8 0.000165394 +12 *1799:8 *1929:8 0.000313928 +13 *1799:8 *1931:8 0.0434362 +14 *2821:la_oenb[8] *1799:5 0.000359845 +*RES +1 *2820:mprj_adr_o_user[19] *1799:5 51.7844 +2 *1799:5 *1799:7 4.5 +3 *1799:7 *1799:8 465.481 +4 *1799:8 *2821:wbs_adr_i[19] 35.1065 +*END + +*D_NET *1800 0.164215 +*CONN +*I *2821:wbs_adr_i[1] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[1] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[1] 0.00195427 +2 *2820:mprj_adr_o_user[1] 0.00121005 +3 *1800:10 0.00195427 +4 *1800:8 0.00830143 +5 *1800:7 0.00951149 +6 *1800:7 *2820:mprj_dat_i_user[1] 0 +7 *1800:7 *2491:7 0 +8 *1800:8 *1824:8 0.00395436 +9 *1800:8 *1932:8 0.0775758 +10 *1800:8 *2500:8 0.0140843 +11 *1794:8 *1800:8 0.0456692 +*RES +1 *2820:mprj_adr_o_user[1] *1800:7 32.5219 +2 *1800:7 *1800:8 99.1047 +3 *1800:8 *1800:10 3.36879 +4 *1800:10 *2821:wbs_adr_i[1] 53.2378 +*END + +*D_NET *1801 0.0931401 +*CONN +*I *2821:wbs_adr_i[20] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[20] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[20] 0.00105754 +2 *2820:mprj_adr_o_user[20] 6.22868e-05 +3 *1801:10 0.00444181 +4 *1801:9 0.00338427 +5 *1801:7 0.0017662 +6 *1801:5 0.00182849 +7 *1801:7 *2820:mprj_dat_i_user[20] 0 +8 *1801:7 *1931:5 0.000930632 +9 *1801:10 *1866:8 0.000313928 +10 *1801:10 *1871:10 0.00115153 +11 *1801:10 *1871:12 0.00242901 +12 *1801:10 *1933:8 0.0419621 +13 *1801:10 *1935:12 0.0306536 +14 *2821:la_data_in[9] *1801:7 0.000944062 +15 *1792:14 *1801:10 0.00221463 +*RES +1 *2820:mprj_adr_o_user[20] *1801:5 1.77093 +2 *1801:5 *1801:7 53.5309 +3 *1801:7 *1801:9 4.5 +4 *1801:9 *1801:10 449.952 +5 *1801:10 *2821:wbs_adr_i[20] 33.4455 +*END + +*D_NET *1802 0.0837533 +*CONN +*I *2821:wbs_adr_i[21] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[21] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[21] 0.0024395 +2 *2820:mprj_adr_o_user[21] 0.00115061 +3 *1802:10 0.0024395 +4 *1802:8 0.00429602 +5 *1802:7 0.00544663 +6 *1802:7 *2820:mprj_dat_i_user[21] 0 +7 *1802:7 *1933:5 0 +8 *1802:7 *1934:7 0 +9 *1802:8 *1804:8 0.0373577 +10 *1802:8 *1934:8 0.00182703 +11 *1802:8 *2500:8 0.0107019 +12 *1200:12 *1802:8 0.0164007 +13 *1545:8 *1802:8 1.67988e-05 +14 *1545:14 *1802:8 0.00127141 +15 *1792:8 *1802:8 0 +16 *1794:8 *1802:8 0.000405492 +*RES +1 *2820:mprj_adr_o_user[21] *1802:7 33.4455 +2 *1802:7 *1802:8 438.306 +3 *1802:8 *1802:10 4.5 +4 *1802:10 *2821:wbs_adr_i[21] 53.4454 +*END + +*D_NET *1803 0.0827815 +*CONN +*I *2821:wbs_adr_i[22] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[22] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[22] 0.00170413 +2 *2820:mprj_adr_o_user[22] 0.00168112 +3 *1803:16 0.00449203 +4 *1803:15 0.00291791 +5 *1803:10 0.00281572 +6 *1803:9 0.00268572 +7 *1803:7 0.00168112 +8 *1803:7 *1934:7 0.00156885 +9 *1803:10 *1805:14 0.0007977 +10 *1803:10 *1821:10 0.0128435 +11 *1803:10 *1858:8 0.000608238 +12 *1803:10 *2486:10 1.15389e-05 +13 *1803:15 *1882:13 0.000147999 +14 *1803:15 *1935:17 7.09666e-06 +15 *1803:16 *1805:14 4.71461e-05 +16 *1803:16 *1860:8 0.000715007 +17 *1803:16 *1937:8 0.000857698 +18 *2821:la_data_in[10] *1803:7 0 +19 *2821:la_data_in[2] *1803:10 0.000255147 +20 *2821:la_oenb[9] *1803:7 0 +21 *905:14 *1803:10 9.57557e-05 +22 *944:8 *1803:10 0.0124684 +23 *955:8 *1803:10 0.00129404 +24 *966:8 *1803:10 0.0136576 +25 *1161:10 *1803:10 0.00361417 +26 *1795:19 *1803:10 0.00292376 +27 *1795:20 *1803:10 0.0128901 +28 *1795:20 *1803:16 0 +*RES +1 *2820:mprj_adr_o_user[22] *1803:7 47.412 +2 *1803:7 *1803:9 4.5 +3 *1803:9 *1803:10 339.032 +4 *1803:10 *1803:15 12.0778 +5 *1803:15 *1803:16 84.4685 +6 *1803:16 *2821:wbs_adr_i[22] 44.2421 +*END + +*D_NET *1804 0.0920782 +*CONN +*I *2821:wbs_adr_i[23] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[23] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[23] 0.00249013 +2 *2820:mprj_adr_o_user[23] 0.00111073 +3 *1804:10 0.00249013 +4 *1804:8 0.00311339 +5 *1804:7 0.00422412 +6 *1804:7 *2820:mprj_dat_i_user[23] 0 +7 *1804:7 *1935:5 0 +8 *1804:8 *1936:8 0.0381881 +9 *1200:12 *1804:8 0.000670122 +10 *1792:8 *1804:8 0 +11 *1794:8 *1804:8 0.00243375 +12 *1802:8 *1804:8 0.0373577 +*RES +1 *2820:mprj_adr_o_user[23] *1804:7 33.0303 +2 *1804:7 *1804:8 411.685 +3 *1804:8 *1804:10 4.5 +4 *1804:10 *2821:wbs_adr_i[23] 53.8607 +*END + +*D_NET *1805 0.0805611 +*CONN +*I *2821:wbs_adr_i[24] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[24] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[24] 0.00163003 +2 *2820:mprj_adr_o_user[24] 0.00161366 +3 *1805:14 0.00371936 +4 *1805:13 0.00233401 +5 *1805:8 0.00217234 +6 *1805:7 0.00354133 +7 *1805:7 *2820:mprj_dat_i_user[24] 0 +8 *1805:7 *1936:7 0 +9 *1805:8 *1867:12 0.00205586 +10 *1805:8 *1945:8 0.0116186 +11 *1805:13 *1951:5 0.000291882 +12 *1805:14 *1860:8 0.000608238 +13 *1805:14 *1873:8 0.0245993 +14 *1805:14 *1937:8 0.000309013 +15 *2821:la_oenb[10] *1805:7 3.20069e-06 +16 *2821:la_oenb[4] *1805:13 0 +17 *916:8 *1805:8 0.000293924 +18 *966:8 *1805:14 0.00371169 +19 *1021:8 *1805:8 0 +20 *1032:8 *1805:8 0 +21 *1161:10 *1805:14 0.00361836 +22 *1172:8 *1805:8 0.000591935 +23 *1288:8 *1805:8 4.3492e-05 +24 *1672:8 *1805:8 0.000121162 +25 *1795:20 *1805:14 0.0168388 +26 *1803:10 *1805:14 0.0007977 +27 *1803:16 *1805:14 4.71461e-05 +*RES +1 *2820:mprj_adr_o_user[24] *1805:7 43.4116 +2 *1805:7 *1805:8 123.845 +3 *1805:8 *1805:13 14.9845 +4 *1805:13 *1805:14 274.698 +5 *1805:14 *2821:wbs_adr_i[24] 42.1658 +*END + +*D_NET *1806 0.0861032 +*CONN +*I *2821:wbs_adr_i[25] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[25] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[25] 0.00259631 +2 *2820:mprj_adr_o_user[25] 0.00104642 +3 *1806:10 0.00259631 +4 *1806:8 0.00305013 +5 *1806:7 0.00409655 +6 *1806:7 *2820:mprj_dat_i_user[25] 0 +7 *1806:7 *1937:7 0 +8 *1806:8 *1872:8 0.0350548 +9 *1806:8 *1930:8 0.00174509 +10 *1806:8 *1936:8 0.000113197 +11 *1806:8 *1938:8 0.0356132 +12 *1200:12 *1806:8 6.0055e-05 +13 *1792:8 *1806:8 0.000131218 +*RES +1 *2820:mprj_adr_o_user[25] *1806:7 31.7845 +2 *1806:7 *1806:8 385.064 +3 *1806:8 *1806:10 4.5 +4 *1806:10 *2821:wbs_adr_i[25] 55.1064 +*END + +*D_NET *1807 0.0805363 +*CONN +*I *2821:wbs_adr_i[26] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[26] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[26] 0.00169233 +2 *2820:mprj_adr_o_user[26] 0.00178281 +3 *1807:14 0.00258319 +4 *1807:13 0.000971263 +5 *1807:8 0.00240581 +6 *1807:7 0.00410822 +7 *2821:wbs_adr_i[26] *1882:13 0.000242984 +8 *2821:wbs_adr_i[26] *1935:17 4.09058e-05 +9 *1807:7 *2820:mprj_dat_i_user[26] 6.0298e-05 +10 *1807:7 *1938:7 0 +11 *1807:8 *1860:8 0.00034009 +12 *1807:8 *1875:12 0.0292179 +13 *1807:8 *1939:8 0.0292179 +14 *1807:13 *1877:7 0 +15 *1807:14 *1860:8 0.000333559 +16 *1807:14 *1870:8 0 +17 *1807:14 *1877:8 0.000436825 +18 *1807:14 *1882:14 0.00585757 +19 *1807:14 *1947:14 0.00124477 +*RES +1 *2820:mprj_adr_o_user[26] *1807:7 47.5641 +2 *1807:7 *1807:8 310.192 +3 *1807:8 *1807:13 10.4167 +4 *1807:13 *1807:14 61.7298 +5 *1807:14 *2821:wbs_adr_i[26] 45.0726 +*END + +*D_NET *1808 0.0805274 +*CONN +*I *2821:wbs_adr_i[27] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[27] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[27] 0.00267131 +2 *2820:mprj_adr_o_user[27] 0.00100938 +3 *1808:10 0.00267131 +4 *1808:8 0.00295268 +5 *1808:7 0.00396207 +6 *1808:7 *2820:mprj_dat_i_user[27] 0 +7 *1808:7 *1939:7 0 +8 *1808:8 *1874:8 0.032484 +9 *1808:8 *1934:8 0.00137579 +10 *1808:8 *1938:8 0.0327454 +11 *905:10 *1808:8 0.000220183 +12 *1010:8 *1808:8 0.000435263 +13 *1200:12 *1808:8 0 +*RES +1 *2820:mprj_adr_o_user[27] *1808:7 30.954 +2 *1808:7 *1808:8 358.443 +3 *1808:8 *1808:10 4.5 +4 *1808:10 *2821:wbs_adr_i[27] 55.9369 +*END + +*D_NET *1809 0.0712801 +*CONN +*I *2821:wbs_adr_i[28] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[28] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[28] 0.00191704 +2 *2820:mprj_adr_o_user[28] 0.00170929 +3 *1809:14 0.00329743 +4 *1809:13 0.00146302 +5 *1809:8 0.00181945 +6 *1809:7 0.00344612 +7 *2821:wbs_adr_i[28] *1876:13 0 +8 *1809:7 *2820:mprj_dat_i_user[28] 0 +9 *1809:7 *1940:7 0 +10 *1809:8 *1941:8 0.0209106 +11 *1809:8 *1944:8 0.0209106 +12 *1809:13 *1941:13 7.50872e-05 +13 *1809:14 *1870:8 0.00458518 +14 *1809:14 *1878:8 0.00821293 +15 *1809:14 *1926:8 0.000749867 +16 *1809:14 *1941:14 0.000518784 +17 *1809:14 *1947:14 0 +18 *2821:la_oenb[1] *1809:13 3.10924e-05 +19 *944:14 *1809:14 0.0014732 +20 *1795:13 *1809:13 0.000160384 +*RES +1 *2820:mprj_adr_o_user[28] *1809:7 45.9031 +2 *1809:7 *1809:8 222.565 +3 *1809:8 *1809:13 12.0778 +4 *1809:13 *1809:14 122.736 +5 *1809:14 *2821:wbs_adr_i[28] 48.3946 +*END + +*D_NET *1810 0.0755897 +*CONN +*I *2821:wbs_adr_i[29] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[29] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[29] 0.00142022 +2 *2820:mprj_adr_o_user[29] 0.000881782 +3 *1810:16 0.00159107 +4 *1810:13 0.0015494 +5 *1810:8 0.00385634 +6 *1810:7 0.00335957 +7 *1810:7 *2820:mprj_dat_i_user[29] 0 +8 *1810:7 *1941:7 0 +9 *1810:8 *1812:8 0.028768 +10 *1810:8 *1942:8 0.0287638 +11 *1810:8 *1947:10 0.000502471 +12 *1810:13 *2821:wbs_adr_i[30] 0 +13 *1810:13 *2821:wbs_dat_i[30] 0 +14 *1810:13 *1947:13 0.000136276 +15 *1810:16 *1886:18 0.00238245 +16 *1810:16 *1923:14 0.00237825 +*RES +1 *2820:mprj_adr_o_user[29] *1810:7 28.4625 +2 *1810:7 *1810:8 306.865 +3 *1810:8 *1810:13 33.2556 +4 *1810:13 *1810:16 29.626 +5 *1810:16 *2821:wbs_adr_i[29] 34.3438 +*END + +*D_NET *1811 0.155994 +*CONN +*I *2821:wbs_adr_i[2] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[2] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[2] 0.00190256 +2 *2820:mprj_adr_o_user[2] 0.00116648 +3 *1811:10 0.00190256 +4 *1811:8 0.00418067 +5 *1811:7 0.00534714 +6 *1811:7 *2820:mprj_dat_i_user[2] 0 +7 *1811:7 *2492:7 0 +8 *1811:8 *1868:8 0.0661369 +9 *1811:8 *1932:8 0.00790745 +10 *1811:8 *2492:8 0.0666042 +11 *1161:13 *1811:7 0.000425016 +12 *1545:8 *1811:8 0.000307881 +13 *1584:14 *1811:8 0.000113197 +*RES +1 *2820:mprj_adr_o_user[2] *1811:7 35.1065 +2 *1811:7 *1811:8 700.633 +3 *1811:8 *1811:10 4.5 +4 *1811:10 *2821:wbs_adr_i[2] 51.7844 +*END + +*D_NET *1812 0.0656564 +*CONN +*I *2821:wbs_adr_i[30] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[30] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[30] 0.00286197 +2 *2820:mprj_adr_o_user[30] 0.000853494 +3 *1812:10 0.00286197 +4 *1812:8 0.00310015 +5 *1812:7 0.00395365 +6 *1812:7 *2820:mprj_dat_i_user[30] 0 +7 *1812:7 *1942:7 0 +8 *1812:8 *1870:14 0.000276785 +9 *1812:8 *1870:20 0.00411799 +10 *1812:8 *1878:22 0.00229291 +11 *1812:8 *1882:20 0.00211713 +12 *1812:8 *1942:8 0.000373913 +13 *1812:8 *1946:8 0.000748844 +14 *1812:8 *1947:10 0.000462967 +15 *1010:8 *1812:8 1.59204e-05 +16 *1650:8 *1812:8 0.00184192 +17 *1795:8 *1812:8 0.0110087 +18 *1810:8 *1812:8 0.028768 +19 *1810:13 *2821:wbs_adr_i[30] 0 +*RES +1 *2820:mprj_adr_o_user[30] *1812:7 28.0472 +2 *1812:7 *1812:8 318.511 +3 *1812:8 *1812:10 4.5 +4 *1812:10 *2821:wbs_adr_i[30] 58.8437 +*END + +*D_NET *1813 0.0671511 +*CONN +*I *2821:wbs_adr_i[31] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[31] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[31] 0.0018426 +2 *2820:mprj_adr_o_user[31] 0.00162985 +3 *1813:8 0.00435734 +4 *1813:7 0.00414459 +5 *1813:7 *2820:mprj_dat_i_user[31] 0 +6 *1813:7 *1944:7 0 +7 *1813:8 *1878:14 0.0195492 +8 *1813:8 *1880:8 0.00140264 +9 *1813:8 *1926:8 0.000176808 +10 *1813:8 *1941:14 0.00546422 +11 *1813:8 *1944:8 0.0284549 +12 *1813:8 *1945:8 0.000128915 +13 *1183:8 *1813:8 0 +*RES +1 *2820:mprj_adr_o_user[31] *1813:7 45.0726 +2 *1813:7 *1813:8 305.201 +3 *1813:8 *2821:wbs_adr_i[31] 46.3183 +*END + +*D_NET *1814 0.171871 +*CONN +*I *2821:wbs_adr_i[3] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[3] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[3] 0.00184305 +2 *2820:mprj_adr_o_user[3] 0.0013675 +3 *1814:10 0.00184305 +4 *1814:8 0.00608994 +5 *1814:7 0.00745744 +6 *1814:7 *2820:mprj_dat_i_user[3] 0 +7 *1814:7 *1884:13 0 +8 *1814:7 *2493:5 0 +9 *1814:8 *1818:8 0.00648738 +10 *1814:8 *1820:8 0.00542114 +11 *1814:8 *1879:8 0.000969021 +12 *1814:8 *1948:8 0.068204 +13 *1814:8 *2494:8 0.0721395 +14 *2821:la_oenb[2] *1814:7 4.94034e-05 +*RES +1 *2820:mprj_adr_o_user[3] *1814:7 35.844 +2 *1814:7 *1814:8 94.2093 +3 *1814:8 *1814:10 3.36879 +4 *1814:10 *2821:wbs_adr_i[3] 49.9158 +*END + +*D_NET *1815 0.1667 +*CONN +*I *2821:wbs_adr_i[4] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[4] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[4] 0.00119039 +2 *2820:mprj_adr_o_user[4] 0.00230852 +3 *1815:8 0.0104474 +4 *1815:7 0.00925704 +5 *1815:5 0.00230852 +6 *1815:5 *2820:mprj_dat_i_user[4] 0 +7 *1815:5 *1884:13 0 +8 *1815:5 *1886:23 0 +9 *1815:5 *2494:7 0 +10 *1815:8 *1817:8 0.0675979 +11 *1815:8 *1858:8 0.000168694 +12 *1815:8 *1862:8 0.00135473 +13 *1815:8 *1884:8 0.000198316 +14 *1815:8 *1927:8 0.00106916 +15 *1815:8 *2493:8 0.0707989 +16 *2821:la_data_in[3] *1815:5 0 +17 *1222:5 *1815:5 0 +*RES +1 *2820:mprj_adr_o_user[4] *1815:5 49.0853 +2 *1815:5 *1815:7 3.36879 +3 *1815:7 *1815:8 91.7616 +4 *1815:8 *2821:wbs_adr_i[4] 36.6745 +*END + +*D_NET *1816 0.144306 +*CONN +*I *2821:wbs_adr_i[5] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[5] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[5] 0.0019108 +2 *2820:mprj_adr_o_user[5] 0.00133646 +3 *1816:10 0.0019108 +4 *1816:8 0.00418067 +5 *1816:7 0.00551713 +6 *1816:7 *2820:mprj_dat_i_user[5] 0 +7 *1816:7 *1886:23 0 +8 *1816:7 *1947:10 0 +9 *1816:7 *1948:7 0 +10 *1816:8 *1818:8 7.90692e-05 +11 *1816:8 *1883:8 0.0616266 +12 *1816:8 *1921:8 0.0578183 +13 *1816:8 *2492:8 0.00029642 +14 *1816:8 *2494:8 0.00769526 +15 *2821:la_oenb[3] *1816:7 0 +16 *1222:10 *1816:8 0.000330596 +17 *1584:8 *1816:8 0.000675827 +18 *1584:14 *1816:8 0.000928457 +*RES +1 *2820:mprj_adr_o_user[5] *1816:7 36.3523 +2 *1816:7 *1816:8 651.828 +3 *1816:8 *1816:10 4.5 +4 *1816:10 *2821:wbs_adr_i[5] 50.5386 +*END + +*D_NET *1817 0.163789 +*CONN +*I *2821:wbs_adr_i[6] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[6] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[6] 0.0011702 +2 *2820:mprj_adr_o_user[6] 0.00232318 +3 *1817:8 0.0103166 +4 *1817:7 0.00914635 +5 *1817:5 0.00232318 +6 *1817:5 *2820:mprj_dat_i_user[6] 0 +7 *1817:5 *1923:13 0 +8 *1817:5 *1948:7 0 +9 *1817:8 *1858:8 0 +10 *1817:8 *1864:8 0.000883414 +11 *1817:8 *1884:8 0.000328086 +12 *1817:8 *1949:8 0.068542 +13 *2821:la_data_in[4] *1817:5 0 +14 *2821:la_oenb[3] *1817:5 0 +15 *1797:10 *1817:8 0.00115781 +16 *1815:8 *1817:8 0.0675979 +*RES +1 *2820:mprj_adr_o_user[6] *1817:5 49.9158 +2 *1817:5 *1817:7 3.36879 +3 *1817:7 *1817:8 88.0901 +4 *1817:8 *2821:wbs_adr_i[6] 35.844 +*END + +*D_NET *1818 0.13657 +*CONN +*I *2821:wbs_adr_i[7] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[7] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[7] 0.00190956 +2 *2820:mprj_adr_o_user[7] 0.00137351 +3 *1818:10 0.00190956 +4 *1818:8 0.00453144 +5 *1818:7 0.00590495 +6 *1818:7 *2820:mprj_dat_i_user[7] 0 +7 *1818:7 *1949:5 0 +8 *1818:7 *1950:7 0 +9 *1818:8 *1820:8 0.0554783 +10 *1818:8 *1883:8 0.0575415 +11 *1818:8 *1950:8 0.000345279 +12 *1222:10 *1818:8 0.000383717 +13 *1222:12 *1818:8 0.000625954 +14 *1814:8 *1818:8 0.00648738 +15 *1816:8 *1818:8 7.90692e-05 +*RES +1 *2820:mprj_adr_o_user[7] *1818:7 37.1828 +2 *1818:7 *1818:8 625.207 +3 *1818:8 *1818:10 4.5 +4 *1818:10 *2821:wbs_adr_i[7] 49.7081 +*END + +*D_NET *1819 0.155074 +*CONN +*I *2821:wbs_adr_i[8] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[8] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[8] 0.00107355 +2 *2820:mprj_adr_o_user[8] 0.00233748 +3 *1819:8 0.0103383 +4 *1819:7 0.00926477 +5 *1819:5 0.00233748 +6 *1819:5 *2820:mprj_dat_i_user[8] 0 +7 *1819:5 *1950:7 0 +8 *1819:8 *1858:8 0 +9 *1819:8 *1866:8 0.000165394 +10 *1819:8 *1884:8 0.0623826 +11 *1819:8 *1933:8 0.000152056 +12 *1819:8 *1949:8 0.00119759 +13 *1819:8 *1951:8 0.0656115 +14 *2821:la_oenb[4] *1819:5 0.000213027 +15 *1233:5 *1819:5 0 +*RES +1 *2820:mprj_adr_o_user[8] *1819:5 52.4073 +2 *1819:5 *1819:7 3.36879 +3 *1819:7 *1819:8 84.4186 +4 *1819:8 *2821:wbs_adr_i[8] 33.3524 +*END + +*D_NET *1820 0.131915 +*CONN +*I *2821:wbs_adr_i[9] I *D user_project_wrapper +*I *2820:mprj_adr_o_user[9] O *D mgmt_protect +*CAP +1 *2821:wbs_adr_i[9] 0.00193484 +2 *2820:mprj_adr_o_user[9] 0.00140103 +3 *1820:10 0.00193484 +4 *1820:8 0.00390764 +5 *1820:7 0.00530867 +6 *1820:7 *2820:mprj_dat_i_user[9] 0 +7 *1820:7 *1951:5 0 +8 *1820:8 *1922:8 0.0542777 +9 *1820:8 *1952:8 0.00090253 +10 *988:11 *1820:7 1.77537e-06 +11 *1222:12 *1820:8 5.00728e-05 +12 *1233:8 *1820:8 0.00129676 +13 *1814:8 *1820:8 0.00542114 +14 *1818:8 *1820:8 0.0554783 +*RES +1 *2820:mprj_adr_o_user[9] *1820:7 37.598 +2 *1820:7 *1820:8 598.586 +3 *1820:8 *1820:10 4.5 +4 *1820:10 *2821:wbs_adr_i[9] 49.2929 +*END + +*D_NET *1821 0.136383 +*CONN +*I *2821:wb_clk_i I *D user_project_wrapper +*I *2820:user_clock O *D mgmt_protect +*CAP +1 *2821:wb_clk_i 0.00129931 +2 *2820:user_clock 0.00228149 +3 *1821:10 0.0125468 +4 *1821:9 0.0112475 +5 *1821:7 0.00228149 +6 *1821:7 *2486:7 0 +7 *1821:10 *1935:18 0.00727213 +8 *1821:10 *2486:10 0.0717354 +9 *1821:10 *2496:8 0.00807309 +10 *1795:19 *1821:7 4.15661e-05 +11 *1795:20 *1821:10 0.00676023 +12 *1803:10 *1821:10 0.0128435 +*RES +1 *2820:user_clock *1821:7 47.8273 +2 *1821:7 *1821:9 4.5 +3 *1821:9 *1821:10 761.085 +4 *1821:10 *2821:wb_clk_i 40.9201 +*END + +*D_NET *1822 0.358013 +*CONN +*I *2821:user_clock2 I *D user_project_wrapper +*I *2820:user_clock2 O *D mgmt_protect +*CAP +1 *2821:user_clock2 0.00127803 +2 *2820:user_clock2 0.00210581 +3 *1822:10 0.0166917 +4 *1822:9 0.0154137 +5 *1822:7 0.00210581 +6 *1822:7 *2820:user_irq_core[0] 0.00218348 +7 *1822:10 *2736:8 0.153646 +8 *1282:8 *1822:10 1.85963e-05 +9 *1561:8 *1822:10 0.00868061 +10 *1563:8 *1822:10 0.00993175 +11 *1565:19 *1822:7 0 +12 *1572:8 *1822:10 0.145958 +13 *1575:7 *1822:7 0 +*RES +1 *2820:user_clock2 *1822:7 48.4502 +2 *1822:7 *1822:9 3.36879 +3 *1822:9 *1822:10 194.87 +4 *1822:10 *2821:user_clock2 39.166 +*END + +*D_NET *1823 0.358306 +*CONN +*I *2819:wb_cyc_i I *D housekeeping +*I *2820:mprj_cyc_o_core I *D mgmt_protect +*I *2826:mprj_cyc_o O *D mgmt_core_wrapper +*CAP +1 *2819:wb_cyc_i 0.0011907 +2 *2820:mprj_cyc_o_core 0.00274681 +3 *2826:mprj_cyc_o 0.00218886 +4 *1823:29 0.00693372 +5 *1823:27 0.00638656 +6 *1823:12 0.00274681 +7 *1823:10 0.0083643 +8 *1823:9 0.00990963 +9 *2819:wb_cyc_i *2819:usr1_vcc_pwrgood 0 +10 *2819:wb_cyc_i *2819:wb_we_i 0 +11 *2820:mprj_cyc_o_core *2820:mprj_stb_o_core 0 +12 *1823:9 *2495:9 0 +13 *1823:10 *1828:8 0.000527672 +14 *1823:10 *1849:10 0.0473823 +15 *1823:10 *1855:14 0.00313457 +16 *1823:10 *1897:16 0.00383165 +17 *1823:10 *2499:10 0.0702954 +18 *1823:27 *2499:25 0 +19 *1823:29 *2499:27 0.0870883 +20 *2820:la_oenb_mprj[127] *2820:mprj_cyc_o_core 0 +21 *1035:15 *2820:mprj_cyc_o_core 0 +22 *1412:9 *2820:mprj_cyc_o_core 2.35251e-05 +23 *1420:10 *1823:10 0.0141654 +24 *1735:13 *1823:29 0.0877192 +25 *1750:10 *1823:27 0.000282852 +26 *1755:7 *2820:mprj_cyc_o_core 0.000223188 +27 *1755:11 *1823:9 0 +28 *1786:24 *1823:10 0.00316417 +*RES +1 *2826:mprj_cyc_o *1823:9 39.6423 +2 *1823:9 *1823:10 90.2318 +3 *1823:10 *1823:12 3.36879 +4 *1823:12 *2820:mprj_cyc_o_core 59.0513 +5 *2826:mprj_cyc_o *1823:27 23.6891 +6 *1823:27 *1823:29 920.811 +7 *1823:29 *2819:wb_cyc_i 37.6347 +*END + +*D_NET *1824 0.133889 +*CONN +*I *2821:wbs_cyc_i I *D user_project_wrapper +*I *2820:mprj_cyc_o_user O *D mgmt_protect +*CAP +1 *2821:wbs_cyc_i 0.00121167 +2 *2820:mprj_cyc_o_user 0.00112198 +3 *1824:14 0.00819538 +4 *1824:13 0.00770296 +5 *1824:8 0.00695861 +6 *1824:7 0.00736134 +7 *1824:7 *2496:7 0 +8 *1824:8 *1872:8 0.00218961 +9 *1824:8 *1930:8 0.03354 +10 *1824:8 *1936:8 0.00201302 +11 *1824:14 *1857:8 0.00150575 +12 *1824:14 *1882:8 0.000526688 +13 *1824:14 *1947:20 0.0115207 +14 *1824:14 *2486:10 0.000223253 +15 *1824:14 *2493:8 0.00107117 +16 *2820:mprj_ack_i_user *1824:7 0 +17 *2821:wbs_adr_i[10] *1824:13 0.0011389 +18 *1794:8 *1824:8 0.0436532 +19 *1800:8 *1824:8 0.00395436 +*RES +1 *2820:mprj_cyc_o_user *1824:7 30.8609 +2 *1824:7 *1824:8 67.0553 +3 *1824:8 *1824:13 29.01 +4 *1824:13 *1824:14 263.605 +5 *1824:14 *2821:wbs_cyc_i 38.4285 +*END + +*D_NET *1825 0.142247 +*CONN +*I *2826:mprj_dat_i[0] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[0] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[0] 8.98429e-05 +2 *2820:mprj_dat_i_core[0] 0.000845553 +3 *1825:17 0.0024965 +4 *1825:16 0.00240666 +5 *1825:14 0.000837414 +6 *1825:13 0.00162623 +7 *1825:8 0.00539987 +8 *1825:7 0.00545662 +9 *1825:7 *2820:mprj_dat_o_core[0] 0 +10 *1825:7 *2820:mprj_we_o_core 0 +11 *1825:8 *1833:8 0.00281932 +12 *1825:8 *1839:8 0.00164624 +13 *1825:8 *1850:8 0.000648324 +14 *1825:8 *1909:30 0.0332978 +15 *1825:8 *1920:10 0.00396092 +16 *1825:13 *1827:13 0.00061449 +17 *1825:17 *1889:9 0 +18 *2820:mprj_adr_o_core[0] *1825:7 0 +19 *780:8 *1825:8 0.00855062 +20 *782:8 *1825:8 0.000679181 +21 *806:8 *1825:8 0.0448312 +22 *1757:7 *1825:17 0 +23 *1757:21 *1825:17 0 +24 *1764:24 *1825:14 0.00134681 +25 *1783:24 *1825:14 0.0123447 +26 *1785:24 *1825:14 0.0123489 +*RES +1 *2820:mprj_dat_i_core[0] *1825:7 23.0642 +2 *1825:7 *1825:8 540.907 +3 *1825:8 *1825:13 26.6116 +4 *1825:13 *1825:14 129.946 +5 *1825:14 *1825:16 4.5 +6 *1825:16 *1825:17 51.4546 +7 *1825:17 *2826:mprj_dat_i[0] 2.05183 +*END + +*D_NET *1826 0.129594 +*CONN +*I *2826:mprj_dat_i[10] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[10] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[10] 0.000905153 +2 *2820:mprj_dat_i_core[10] 0.00253872 +3 *1826:14 0.013545 +4 *1826:13 0.0131922 +5 *1826:8 0.00110986 +6 *1826:7 0.000557476 +7 *1826:5 0.00253872 +8 *1826:5 *2820:mprj_dat_o_core[10] 0 +9 *1826:5 *1915:32 8.67307e-05 +10 *1826:8 *1851:8 0.00564668 +11 *1826:8 *1891:24 0.000108607 +12 *1826:8 *1895:24 0.00010238 +13 *1826:13 *1851:13 0 +14 *1826:14 *1830:12 0.00102238 +15 *1826:14 *1830:16 0.0630062 +16 *1826:14 *2489:10 0.000709571 +17 *2820:mprj_adr_o_core[10] *1826:5 0 +18 *778:13 *1826:5 2.55661e-06 +19 *779:11 *1826:5 0.000697764 +20 *783:14 *1826:8 0.000135103 +21 *791:8 *1826:14 0.00108064 +22 *792:8 *1826:14 0.000526284 +23 *794:8 *1826:14 0.000663933 +24 *796:10 *1826:14 0 +25 *798:8 *1826:14 0 +26 *800:8 *1826:14 0 +27 *1035:10 *1826:8 0.00340591 +28 *1036:9 *1826:13 0 +29 *1036:10 *1826:8 0.000751626 +30 *1290:9 *1826:5 2.60141e-05 +31 *1293:12 *1826:13 8.62625e-06 +32 *1294:12 *1826:14 0.00190029 +33 *1302:10 *1826:14 0.015326 +34 *1758:9 *2826:mprj_dat_i[10] 0 +*RES +1 *2820:mprj_dat_i_core[10] *1826:5 56.3522 +2 *1826:5 *1826:7 4.5 +3 *1826:7 *1826:8 60.066 +4 *1826:8 *1826:13 19.137 +5 *1826:13 *1826:14 710.061 +6 *1826:14 *2826:mprj_dat_i[10] 27.1312 +*END + +*D_NET *1827 0.166501 +*CONN +*I *2826:mprj_dat_i[11] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[11] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[11] 8.98429e-05 +2 *2820:mprj_dat_i_core[11] 0.00119367 +3 *1827:17 0.00195884 +4 *1827:16 0.001869 +5 *1827:14 0.0022196 +6 *1827:13 0.00270026 +7 *1827:8 0.00489796 +8 *1827:7 0.00561097 +9 *1827:7 *2820:mprj_dat_o_core[11] 0 +10 *1827:8 *1844:8 6.94787e-05 +11 *1827:8 *1846:8 4.23874e-05 +12 *1827:8 *1848:8 0.0053874 +13 *1827:8 *1910:10 0.00027469 +14 *1827:8 *1912:10 0.0321659 +15 *1827:14 *1829:14 0.0282375 +16 *1827:14 *1831:14 0.0267528 +17 *1827:17 *1891:7 0 +18 *1827:17 *1891:23 0 +19 *1827:17 *1909:29 7.09666e-06 +20 *2820:mprj_adr_o_core[11] *1827:7 0 +21 *1059:10 *1827:14 0.000533681 +22 *1423:10 *1827:8 0.00973412 +23 *1425:16 *1827:8 0.000307898 +24 *1759:7 *1827:17 0.000140751 +25 *1759:21 *1827:17 0.00104369 +26 *1765:16 *1827:14 0.000580792 +27 *1767:24 *1827:14 0.00326311 +28 *1783:30 *1827:8 0.0356723 +29 *1785:30 *1827:8 0.000276395 +30 *1787:24 *1827:14 0.00085688 +31 *1825:13 *1827:13 0.00061449 +*RES +1 *2820:mprj_dat_i_core[11] *1827:7 29.293 +2 *1827:7 *1827:8 462.154 +3 *1827:8 *1827:13 22.0438 +4 *1827:13 *1827:14 316.847 +5 *1827:14 *1827:16 4.5 +6 *1827:16 *1827:17 49.7936 +7 *1827:17 *2826:mprj_dat_i[11] 2.05183 +*END + +*D_NET *1828 0.168695 +*CONN +*I *2826:mprj_dat_i[12] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[12] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[12] 0.000920007 +2 *2820:mprj_dat_i_core[12] 0.00289889 +3 *1828:14 0.00574153 +4 *1828:13 0.00518584 +5 *1828:8 0.00118768 +6 *1828:7 0.000823365 +7 *1828:5 0.00289889 +8 *2826:mprj_dat_i[12] *1892:7 0 +9 *1828:5 *2820:mprj_dat_o_core[11] 0 +10 *1828:5 *2820:mprj_dat_o_core[12] 0 +11 *1828:13 *1855:13 7.09666e-06 +12 *1828:14 *1830:16 0.0639025 +13 *1828:14 *1890:12 0.000383703 +14 *1828:14 *1890:25 0.00214518 +15 *1828:14 *2489:10 0.000459555 +16 *2820:mprj_adr_o_core[12] *1828:5 0 +17 *2820:mprj_adr_o_core[13] *1828:5 0 +18 *1034:9 *1828:5 0.000267777 +19 *1040:10 *1828:14 0.000904135 +20 *1418:9 *1828:5 0 +21 *1433:10 *1828:14 4.91225e-06 +22 *1760:7 *2826:mprj_dat_i[12] 0 +23 *1760:21 *2826:mprj_dat_i[12] 0 +24 *1784:10 *1828:8 0.0107507 +25 *1785:10 *1828:14 0.000344119 +26 *1786:24 *1828:8 0.0107465 +27 *1788:10 *1828:14 0.0582379 +28 *1788:30 *1828:14 0.000356617 +29 *1823:10 *1828:8 0.000527672 +*RES +1 *2820:mprj_dat_i_core[12] *1828:5 58.8437 +2 *1828:5 *1828:7 4.5 +3 *1828:7 *1828:8 113.863 +4 *1828:8 *1828:13 15.815 +5 *1828:13 *1828:14 675.121 +6 *1828:14 *2826:mprj_dat_i[12] 26.8381 +*END + +*D_NET *1829 0.171105 +*CONN +*I *2826:mprj_dat_i[13] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[13] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[13] 8.98429e-05 +2 *2820:mprj_dat_i_core[13] 0.000639794 +3 *1829:17 0.00240971 +4 *1829:16 0.00231987 +5 *1829:14 0.0024736 +6 *1829:13 0.00360402 +7 *1829:8 0.00460438 +8 *1829:7 0.00411375 +9 *1829:7 *2820:mprj_dat_o_core[13] 0.000243842 +10 *1829:8 *1831:8 0.00102292 +11 *1829:8 *1835:8 0.0408711 +12 *1829:8 *2489:24 0.00469432 +13 *1829:14 *1831:14 1.41853e-05 +14 *1829:14 *1839:14 0.000411498 +15 *1829:14 *1905:16 0.00070936 +16 *1829:14 *1907:24 0.00290708 +17 *1829:14 *1909:24 0.00285622 +18 *2820:mprj_adr_o_core[13] *1829:7 0 +19 *806:8 *1829:8 0.000207797 +20 *1316:7 *1829:13 0 +21 *1761:7 *1829:17 0 +22 *1761:23 *1829:17 0 +23 *1763:24 *1829:8 0.002279 +24 *1766:24 *1829:14 0.00346307 +25 *1787:24 *1829:14 0.023539 +26 *1787:30 *1829:8 0.0393936 +27 *1827:14 *1829:14 0.0282375 +*RES +1 *2820:mprj_dat_i_core[13] *1829:7 20.988 +2 *1829:7 *1829:8 468.809 +3 *1829:8 *1829:13 29.9336 +4 *1829:13 *1829:14 327.385 +5 *1829:14 *1829:16 4.5 +6 *1829:16 *1829:17 50.2089 +7 *1829:17 *2826:mprj_dat_i[13] 2.05183 +*END + +*D_NET *1830 0.164835 +*CONN +*I *2826:mprj_dat_i[14] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[14] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[14] 0.000980208 +2 *2820:mprj_dat_i_core[14] 0.00336018 +3 *1830:16 0.00706851 +4 *1830:14 0.00610893 +5 *1830:12 0.000537843 +6 *1830:10 0.000686502 +7 *1830:5 0.00352947 +8 *1830:5 *2820:mprj_dat_o_core[13] 0 +9 *1830:5 *2820:mprj_dat_o_core[14] 0 +10 *1830:16 *1890:25 0.00121044 +11 *1830:16 *2489:10 0.000632809 +12 *2820:mprj_adr_o_core[14] *1830:5 0 +13 *2826:la_input[101] *1830:5 1.77537e-06 +14 *780:16 *1830:10 0.00179183 +15 *1037:12 *1830:12 0.00211047 +16 *1040:10 *1830:12 0.0022209 +17 *1040:10 *1830:16 0.000928404 +18 *1040:14 *1830:12 9.82896e-06 +19 *1294:12 *1830:10 1.41853e-05 +20 *1294:12 *1830:12 0.00331259 +21 *1419:9 *1830:5 0 +22 *1424:20 *1830:10 0.00184495 +23 *1424:20 *1830:12 0.000554491 +24 *1762:7 *2826:mprj_dat_i[14] 0 +25 *1762:23 *2826:mprj_dat_i[14] 0 +26 *1826:14 *1830:12 0.00102238 +27 *1826:14 *1830:16 0.0630062 +28 *1828:14 *1830:16 0.0639025 +*RES +1 *2820:mprj_dat_i_core[14] *1830:5 65.0725 +2 *1830:5 *1830:10 24.6586 +3 *1830:10 *1830:12 59.5114 +4 *1830:12 *1830:14 0.578717 +5 *1830:14 *1830:16 726.145 +6 *1830:16 *2826:mprj_dat_i[14] 28.1083 +*END + +*D_NET *1831 0.179867 +*CONN +*I *2826:mprj_dat_i[15] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[15] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[15] 8.98429e-05 +2 *2820:mprj_dat_i_core[15] 0.000795109 +3 *1831:17 0.00236445 +4 *1831:16 0.00227461 +5 *1831:14 0.00222155 +6 *1831:13 0.00335488 +7 *1831:8 0.00439841 +8 *1831:7 0.00406019 +9 *1831:7 *2820:mprj_dat_o_core[14] 0 +10 *1831:7 *2820:mprj_dat_o_core[15] 0 +11 *1831:8 *1833:8 0.0435409 +12 *1831:8 *1835:8 0.0423558 +13 *1831:8 *1916:10 0.00490697 +14 *1831:14 *1833:14 0.0311285 +15 *1831:14 *1909:24 0.00575555 +16 *1831:17 *1895:7 0 +17 *1831:17 *1895:23 0 +18 *2820:mprj_adr_o_core[15] *1831:7 0 +19 *806:8 *1831:8 0.000517688 +20 *1317:7 *1831:13 0 +21 *1763:7 *1831:17 0 +22 *1763:23 *1831:17 0 +23 *1765:16 *1831:14 0.000636915 +24 *1767:24 *1831:14 0.00367534 +25 *1827:14 *1831:14 0.0267528 +26 *1829:8 *1831:8 0.00102292 +27 *1829:14 *1831:14 1.41853e-05 +*RES +1 *2820:mprj_dat_i_core[15] *1831:7 21.8185 +2 *1831:7 *1831:8 471.582 +3 *1831:8 *1831:13 29.9336 +4 *1831:13 *1831:14 342.359 +5 *1831:14 *1831:16 4.5 +6 *1831:16 *1831:17 49.3784 +7 *1831:17 *2826:mprj_dat_i[15] 2.05183 +*END + +*D_NET *1832 0.17744 +*CONN +*I *2826:mprj_dat_i[16] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[16] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[16] 0.0017431 +2 *2820:mprj_dat_i_core[16] 0.0021528 +3 *1832:8 0.00765492 +4 *1832:7 0.00591182 +5 *1832:5 0.0021528 +6 *1832:5 *2820:mprj_dat_o_core[16] 0 +7 *1832:8 *1834:8 4.62974e-05 +8 *1832:8 *1893:24 0.0742558 +9 *1832:8 *1898:10 0.0764799 +10 *1832:8 *1917:24 0.000156676 +11 *1832:8 *2490:24 0.00386655 +12 *2820:mprj_adr_o_core[16] *1832:5 0.000284634 +13 *1292:7 *1832:5 0.000540791 +14 *1294:15 *1832:5 0.000549158 +15 *1764:7 *2826:mprj_dat_i[16] 0 +16 *1764:23 *2826:mprj_dat_i[16] 0 +17 *1784:22 *1832:8 0.00164511 +18 *1788:16 *1832:8 0 +*RES +1 *2820:mprj_dat_i_core[16] *1832:5 51.7844 +2 *1832:5 *1832:7 4.5 +3 *1832:7 *1832:8 822.646 +4 *1832:8 *2826:mprj_dat_i[16] 42.2269 +*END + +*D_NET *1833 0.176913 +*CONN +*I *2826:mprj_dat_i[17] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[17] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[17] 8.98429e-05 +2 *2820:mprj_dat_i_core[17] 0.000823317 +3 *1833:17 0.00232446 +4 *1833:16 0.00223462 +5 *1833:14 0.0023564 +6 *1833:13 0.00348166 +7 *1833:8 0.00477022 +8 *1833:7 0.00446827 +9 *1833:7 *2820:mprj_dat_o_core[17] 0 +10 *1833:8 *1835:8 0.000636915 +11 *1833:8 *1909:30 0.00126894 +12 *1833:8 *1918:10 0.00467054 +13 *1833:14 *1909:24 0.00128137 +14 *2820:mprj_adr_o_core[17] *1833:7 0 +15 *806:8 *1833:8 0.0338586 +16 *1765:9 *1833:17 0 +17 *1765:16 *1833:14 0.0333438 +18 *1771:24 *1833:14 0.000282235 +19 *1773:16 *1833:14 0.00353352 +20 *1825:8 *1833:8 0.00281932 +21 *1831:8 *1833:8 0.0435409 +22 *1831:14 *1833:14 0.0311285 +*RES +1 *2820:mprj_dat_i_core[17] *1833:7 22.2337 +2 *1833:7 *1833:8 473.8 +3 *1833:8 *1833:13 29.9336 +4 *1833:13 *1833:14 357.333 +5 *1833:14 *1833:16 4.5 +6 *1833:16 *1833:17 48.9631 +7 *1833:17 *2826:mprj_dat_i[17] 2.05183 +*END + +*D_NET *1834 0.181798 +*CONN +*I *2826:mprj_dat_i[18] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[18] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[18] 0.00141269 +2 *2820:mprj_dat_i_core[18] 0.0025668 +3 *1834:8 0.00733746 +4 *1834:7 0.00592477 +5 *1834:5 0.0025668 +6 *2826:mprj_dat_i[18] *1898:9 0 +7 *1834:5 *2820:mprj_dat_o_core[17] 0 +8 *1834:5 *2820:mprj_dat_o_core[18] 0 +9 *1834:8 *1838:8 0.0777522 +10 *1834:8 *1891:24 0.000300034 +11 *1834:8 *1898:10 0.000725963 +12 *1834:8 *1901:24 0.000904272 +13 *1834:8 *1902:10 0.0714783 +14 *1834:8 *1904:16 0.00464407 +15 *1834:8 *1917:24 0.00456391 +16 *2820:mprj_adr_o_core[18] *1834:5 0 +17 *807:13 *1834:5 3.02446e-05 +18 *1037:19 *1834:5 0.000365113 +19 *1293:15 *1834:5 0.000114465 +20 *1766:7 *2826:mprj_dat_i[18] 0.00069791 +21 *1766:23 *2826:mprj_dat_i[18] 9.97972e-05 +22 *1788:16 *1834:8 0.000266441 +23 *1832:8 *1834:8 4.62974e-05 +*RES +1 *2820:mprj_dat_i_core[18] *1834:5 53.0301 +2 *1834:5 *1834:7 4.5 +3 *1834:7 *1834:8 839.839 +4 *1834:8 *2826:mprj_dat_i[18] 40.9811 +*END + +*D_NET *1835 0.185736 +*CONN +*I *2826:mprj_dat_i[19] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[19] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[19] 0.0018711 +2 *2820:mprj_dat_i_core[19] 0.000777072 +3 *1835:16 0.0018711 +4 *1835:14 0.00236891 +5 *1835:13 0.00345636 +6 *1835:8 0.0047336 +7 *1835:7 0.00442322 +8 *1835:7 *2820:mprj_dat_o_core[19] 0 +9 *1835:8 *1909:30 0.000106586 +10 *1835:8 *1916:10 0.00491675 +11 *2820:mprj_adr_o_core[19] *1835:7 0 +12 *1446:7 *1835:13 0.000423306 +13 *1763:24 *1835:8 0.0011886 +14 *1767:7 *2826:mprj_dat_i[19] 0.000812587 +15 *1767:23 *2826:mprj_dat_i[19] 0.000323305 +16 *1769:24 *1835:14 0.0352895 +17 *1771:24 *1835:14 0.0352853 +18 *1772:24 *1835:14 0.00402463 +19 *1829:8 *1835:8 0.0408711 +20 *1831:8 *1835:8 0.0423558 +21 *1833:8 *1835:8 0.000636915 +*RES +1 *2820:mprj_dat_i_core[19] *1835:7 21.4032 +2 *1835:7 *1835:8 477.682 +3 *1835:8 *1835:13 32.0099 +4 *1835:13 *1835:14 371.199 +5 *1835:14 *1835:16 4.5 +6 *1835:16 *2826:mprj_dat_i[19] 49.7692 +*END + +*D_NET *1836 0.148823 +*CONN +*I *2826:mprj_dat_i[1] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[1] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[1] 0.00107629 +2 *2820:mprj_dat_i_core[1] 0.00238494 +3 *1836:8 0.00600687 +4 *1836:7 0.00493058 +5 *1836:5 0.00238494 +6 *2826:mprj_dat_i[1] *1900:7 0 +7 *1836:5 *2820:mprj_dat_o_core[1] 0 +8 *1836:8 *1847:8 0.0638752 +9 *1836:8 *2499:10 0.00262727 +10 *2820:mprj_adr_o_core[1] *1836:5 0.000792405 +11 *1036:15 *1836:5 0.000215045 +12 *1041:15 *1836:5 0.00040193 +13 *1413:9 *1836:5 0 +14 *1419:10 *1836:8 0 +15 *1768:24 *1836:8 0.0641279 +16 *1788:10 *1836:8 0 +*RES +1 *2820:mprj_dat_i_core[1] *1836:5 61.3352 +2 *1836:5 *1836:7 4.5 +3 *1836:7 *1836:8 683.44 +4 *1836:8 *2826:mprj_dat_i[1] 31.5525 +*END + +*D_NET *1837 0.17823 +*CONN +*I *2826:mprj_dat_i[20] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[20] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[20] 8.98429e-05 +2 *2820:mprj_dat_i_core[20] 0.00107965 +3 *1837:11 0.00261027 +4 *1837:10 0.00252043 +5 *1837:8 0.00804137 +6 *1837:7 0.00912102 +7 *1837:7 *2820:mprj_dat_o_core[20] 0 +8 *1837:8 *1841:8 0.000536921 +9 *1837:8 *1843:8 0.0780205 +10 *1837:8 *1856:8 0.065648 +11 *1837:8 *1896:10 0.00866638 +12 *1837:8 *1907:30 0.000192826 +13 *2820:mprj_adr_o_core[20] *1837:7 0 +14 *1295:16 *1837:8 0.000204664 +15 *1296:16 *1837:8 6.85706e-05 +16 *1422:16 *1837:8 0.000287828 +17 *1425:16 *1837:8 1.54593e-05 +18 *1769:7 *1837:11 0.000820361 +19 *1769:23 *1837:11 0.000305463 +*RES +1 *2820:mprj_dat_i_core[20] *1837:7 26.3862 +2 *1837:7 *1837:8 857.586 +3 *1837:8 *1837:10 4.5 +4 *1837:10 *1837:11 65.5732 +5 *1837:11 *2826:mprj_dat_i[20] 2.05183 +*END + +*D_NET *1838 0.186565 +*CONN +*I *2826:mprj_dat_i[21] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[21] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[21] 0.00171578 +2 *2820:mprj_dat_i_core[21] 0.00241021 +3 *1838:8 0.00774928 +4 *1838:7 0.0060335 +5 *1838:5 0.00241021 +6 *1838:5 *2820:mprj_dat_o_core[21] 0.000579466 +7 *1838:8 *1891:24 0.000300034 +8 *1838:8 *1898:10 0.078226 +9 *1838:8 *1902:10 0.00192735 +10 *1838:8 *1917:24 0.00400287 +11 *1295:15 *1838:5 0.000169929 +12 *1421:11 *1838:5 0.000125004 +13 *1767:10 *1838:8 0.00301554 +14 *1770:7 *2826:mprj_dat_i[21] 0 +15 *1770:23 *2826:mprj_dat_i[21] 0 +16 *1770:27 *1838:5 0 +17 *1784:22 *1838:8 0.000148106 +18 *1834:8 *1838:8 0.0777522 +*RES +1 *2820:mprj_dat_i_core[21] *1838:5 52.6149 +2 *1838:5 *1838:7 4.5 +3 *1838:7 *1838:8 865.905 +4 *1838:8 *2826:mprj_dat_i[21] 41.3964 +*END + +*D_NET *1839 0.177685 +*CONN +*I *2826:mprj_dat_i[22] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[22] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[22] 8.98429e-05 +2 *2820:mprj_dat_i_core[22] 0.00093243 +3 *1839:17 0.00242224 +4 *1839:16 0.0023324 +5 *1839:14 0.00441127 +6 *1839:13 0.00519617 +7 *1839:8 0.00520043 +8 *1839:7 0.00534797 +9 *1839:7 *2820:mprj_dat_o_core[22] 0 +10 *1839:8 *1850:8 0.00114101 +11 *1839:8 *1890:16 0.00750908 +12 *1839:8 *1907:30 0.0615879 +13 *1839:8 *1909:30 0.0604028 +14 *1839:13 *1856:11 0 +15 *1839:14 *1905:16 0.0175556 +16 *2820:mprj_adr_o_core[22] *1839:7 0 +17 *1764:24 *1839:14 0.000969006 +18 *1766:24 *1839:14 0.000240013 +19 *1771:7 *1839:17 0 +20 *1771:23 *1839:17 0 +21 *1773:16 *1839:14 0.00028933 +22 *1825:8 *1839:8 0.00164624 +23 *1829:14 *1839:14 0.000411498 +*RES +1 *2820:mprj_dat_i_core[22] *1839:7 23.8947 +2 *1839:7 *1839:8 674.567 +3 *1839:8 *1839:13 25.7811 +4 *1839:13 *1839:14 200.935 +5 *1839:14 *1839:16 4.5 +6 *1839:16 *1839:17 51.4546 +7 *1839:17 *2826:mprj_dat_i[22] 2.05183 +*END + +*D_NET *1840 0.191839 +*CONN +*I *2826:mprj_dat_i[23] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[23] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[23] 0.00156311 +2 *2820:mprj_dat_i_core[23] 0.00258038 +3 *1840:14 0.00724902 +4 *1840:13 0.00602031 +5 *1840:8 0.00108602 +6 *1840:5 0.003332 +7 *2826:mprj_dat_i[23] *1904:9 0 +8 *1840:5 *1904:19 0 +9 *1840:8 *1902:16 0.00417674 +10 *1840:8 *2488:10 0.00010238 +11 *1840:13 *1855:13 7.26959e-06 +12 *1840:14 *1842:12 0.079581 +13 *1840:14 *1849:10 0.000267885 +14 *1840:14 *1891:24 0.00492242 +15 *1840:14 *1895:24 0.000228897 +16 *2820:mprj_adr_o_core[23] *1840:5 0 +17 *2820:mprj_adr_o_core[30] *1840:13 2.81227e-05 +18 *1042:10 *1840:8 1.66917e-05 +19 *1042:15 *1840:5 9.75356e-05 +20 *1296:12 *1840:8 0.000514419 +21 *1296:15 *1840:5 0 +22 *1309:15 *1840:5 0 +23 *1422:15 *1840:5 0.000243414 +24 *1425:12 *1840:8 0.000236016 +25 *1772:7 *2826:mprj_dat_i[23] 0 +26 *1774:16 *1840:14 0.0795852 +*RES +1 *2820:mprj_dat_i_core[23] *1840:5 50.5386 +2 *1840:5 *1840:8 49.0371 +3 *1840:8 *1840:13 14.154 +4 *1840:13 *1840:14 839.839 +5 *1840:14 *2826:mprj_dat_i[23] 37.9278 +*END + +*D_NET *1841 0.197572 +*CONN +*I *2826:mprj_dat_i[24] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[24] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[24] 1.60434e-05 +2 *2820:mprj_dat_i_core[24] 0.00101246 +3 *1841:11 0.00253005 +4 *1841:10 0.002514 +5 *1841:8 0.0056375 +6 *1841:7 0.00664996 +7 *1841:7 *2820:mprj_dat_o_core[24] 0 +8 *1841:8 *1843:8 0.0840194 +9 *1841:8 *1844:8 0.0834374 +10 *1841:8 *1899:16 0.00910085 +11 *1841:8 *1903:24 0.000402729 +12 *1841:11 *1905:9 0 +13 *1841:11 *1905:15 0.000506002 +14 *2820:mprj_adr_o_core[24] *1841:7 0.000287363 +15 *1425:16 *1841:8 0.000457391 +16 *1773:9 *1841:11 0 +17 *1774:15 *1841:11 0.000463947 +18 *1837:8 *1841:8 0.000536921 +*RES +1 *2820:mprj_dat_i_core[24] *1841:7 27.2167 +2 *1841:7 *1841:8 894.744 +3 *1841:8 *1841:10 4.5 +4 *1841:10 *1841:11 64.7427 +5 *1841:11 *2826:mprj_dat_i[24] 0.366399 +*END + +*D_NET *1842 0.194747 +*CONN +*I *2826:mprj_dat_i[25] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[25] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[25] 0.00161035 +2 *2820:mprj_dat_i_core[25] 0.00300321 +3 *1842:12 0.00770155 +4 *1842:10 0.00637275 +5 *1842:5 0.00328477 +6 *2826:mprj_dat_i[25] *1906:9 0 +7 *1842:5 *2820:mprj_dat_o_core[25] 0 +8 *1842:10 *1855:8 0.00287064 +9 *1842:10 *1891:24 0.00010238 +10 *1842:12 *1849:10 0.000380395 +11 *1842:12 *1891:24 0.00443132 +12 *1842:12 *1895:24 0.000210902 +13 *1842:12 *1904:10 0.0791797 +14 *1842:12 *1906:10 0.00031258 +15 *2820:mprj_adr_o_core[25] *1842:5 0 +16 *1038:9 *1842:5 8.7252e-05 +17 *1770:24 *1842:12 1.41853e-05 +18 *1773:15 *1842:12 0.000282235 +19 *1773:25 *1842:12 0.000798408 +20 *1774:9 *2826:mprj_dat_i[25] 0 +21 *1774:16 *1842:10 0.00292571 +22 *1774:16 *1842:12 0.000735201 +23 *1788:16 *1842:10 1.41853e-05 +24 *1788:16 *1842:12 0.000848781 +25 *1840:14 *1842:12 0.079581 +*RES +1 *2820:mprj_dat_i_core[25] *1842:5 55.5217 +2 *1842:5 *1842:10 36.3053 +3 *1842:10 *1842:12 870.342 +4 *1842:12 *2826:mprj_dat_i[25] 38.9049 +*END + +*D_NET *1843 0.194707 +*CONN +*I *2826:mprj_dat_i[26] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[26] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[26] 8.98429e-05 +2 *2820:mprj_dat_i_core[26] 0.00111491 +3 *1843:11 0.00291704 +4 *1843:10 0.0028272 +5 *1843:8 0.00692862 +6 *1843:7 0.00804354 +7 *1843:7 *2820:mprj_dat_o_core[26] 0 +8 *1843:8 *1844:8 0.00122402 +9 *1843:8 *1896:10 0.00952246 +10 *2820:mprj_adr_o_core[26] *1843:7 0 +11 *1775:7 *1843:11 0 +12 *1775:23 *1843:11 0 +13 *1837:8 *1843:8 0.0780205 +14 *1841:8 *1843:8 0.0840194 +*RES +1 *2820:mprj_dat_i_core[26] *1843:7 26.8015 +2 *1843:7 *1843:8 909.719 +3 *1843:8 *1843:10 4.5 +4 *1843:10 *1843:11 65.158 +5 *1843:11 *2826:mprj_dat_i[26] 2.05183 +*END + +*D_NET *1844 0.201754 +*CONN +*I *2826:mprj_dat_i[27] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[27] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[27] 8.98429e-05 +2 *2820:mprj_dat_i_core[27] 0.00117771 +3 *1844:11 0.00249179 +4 *1844:10 0.00240194 +5 *1844:8 0.00591606 +6 *1844:7 0.00709377 +7 *1844:7 *2820:mprj_dat_o_core[27] 0 +8 *1844:8 *1846:8 0.0863777 +9 *1844:8 *1899:16 0.00999504 +10 *2820:mprj_adr_o_core[27] *1844:7 0 +11 *1425:16 *1844:8 0.000366157 +12 *1776:7 *1844:11 0.000737975 +13 *1776:23 *1844:11 0.000375413 +14 *1827:8 *1844:8 6.94787e-05 +15 *1841:8 *1844:8 0.0834374 +16 *1843:8 *1844:8 0.00122402 +*RES +1 *2820:mprj_dat_i_core[27] *1844:7 27.632 +2 *1844:7 *1844:8 919.147 +3 *1844:8 *1844:10 4.5 +4 *1844:10 *1844:11 64.3275 +5 *1844:11 *2826:mprj_dat_i[27] 2.05183 +*END + +*D_NET *1845 0.20252 +*CONN +*I *2826:mprj_dat_i[28] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[28] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[28] 0.00149542 +2 *2820:mprj_dat_i_core[28] 0.00306919 +3 *1845:8 0.00787355 +4 *1845:7 0.00637813 +5 *1845:5 0.00306919 +6 *1845:5 *2820:mprj_dat_o_core[27] 0 +7 *1845:5 *2820:mprj_dat_o_core[28] 0 +8 *1845:8 *1849:10 0.00541605 +9 *1845:8 *1897:16 0.00010238 +10 *1845:8 *1908:10 0.0870062 +11 *2820:mprj_adr_o_core[28] *1845:5 0 +12 *1039:9 *1845:5 0.000440386 +13 *1296:9 *1845:5 0 +14 *1425:15 *1845:5 0 +15 *1777:7 *2826:mprj_dat_i[28] 0 +16 *1778:10 *1845:8 0.000371284 +17 *1780:10 *1845:8 0.0868469 +18 *1786:24 *1845:8 0.000451273 +*RES +1 *2820:mprj_dat_i_core[28] *1845:5 57.5979 +2 *1845:5 *1845:7 4.5 +3 *1845:7 *1845:8 927.466 +4 *1845:8 *2826:mprj_dat_i[28] 36.4134 +*END + +*D_NET *1846 0.207073 +*CONN +*I *2826:mprj_dat_i[29] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[29] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[29] 8.98429e-05 +2 *2820:mprj_dat_i_core[29] 0.000783998 +3 *1846:11 0.0028352 +4 *1846:10 0.00274536 +5 *1846:8 0.00608418 +6 *1846:7 0.00686818 +7 *1846:7 *2820:mprj_dat_o_core[29] 0.000282677 +8 *1846:8 *1848:8 0.000789434 +9 *1846:8 *1903:24 0.00983221 +10 *1846:8 *1910:10 0.0891393 +11 *1778:9 *1846:11 0 +12 *1778:13 *1846:7 0.0012021 +13 *1827:8 *1846:8 4.23874e-05 +14 *1844:8 *1846:8 0.0863777 +*RES +1 *2820:mprj_dat_i_core[29] *1846:7 28.0472 +2 *1846:7 *1846:8 936.34 +3 *1846:8 *1846:10 4.5 +4 *1846:10 *1846:11 63.9122 +5 *1846:11 *2826:mprj_dat_i[29] 2.05183 +*END + +*D_NET *1847 0.149761 +*CONN +*I *2826:mprj_dat_i[2] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[2] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[2] 0.00114911 +2 *2820:mprj_dat_i_core[2] 0.00268096 +3 *1847:8 0.00637217 +4 *1847:7 0.00522307 +5 *1847:5 0.00268096 +6 *1847:5 *2820:mprj_dat_o_core[2] 0 +7 *1847:8 *1851:14 0.0542581 +8 *1847:8 *2499:10 0.00295609 +9 *2820:mprj_adr_o_core[2] *1847:5 0 +10 *781:14 *1847:8 0.00895152 +11 *901:11 *1847:5 0.000784721 +12 *1419:10 *1847:8 0 +13 *1541:9 *1847:5 0 +14 *1779:11 *2826:mprj_dat_i[2] 0 +15 *1782:10 *1847:8 0.000829197 +16 *1788:10 *1847:8 0 +17 *1836:8 *1847:8 0.0638752 +*RES +1 *2820:mprj_dat_i_core[2] *1847:5 60.9199 +2 *1847:5 *1847:7 4.5 +3 *1847:7 *1847:8 693.978 +4 *1847:8 *2826:mprj_dat_i[2] 33.0913 +*END + +*D_NET *1848 0.213391 +*CONN +*I *2826:mprj_dat_i[30] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[30] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[30] 8.98429e-05 +2 *2820:mprj_dat_i_core[30] 0.00129981 +3 *1848:11 0.00276203 +4 *1848:10 0.00267219 +5 *1848:8 0.0131452 +6 *1848:7 0.014445 +7 *1848:7 *2820:mprj_dat_o_core[30] 0 +8 *1848:8 *1903:24 0.0904777 +9 *1848:8 *1905:22 0.00482388 +10 *1848:8 *1910:10 0.000856794 +11 *1848:8 *1912:10 0.0184504 +12 *1848:8 *1914:10 0.0581913 +13 *2820:mprj_adr_o_core[30] *1848:7 0 +14 *1759:24 *1848:8 0 +15 *1780:9 *1848:11 0 +16 *1827:8 *1848:8 0.0053874 +17 *1846:8 *1848:8 0.000789434 +*RES +1 *2820:mprj_dat_i_core[30] *1848:7 28.3694 +2 *1848:7 *1848:8 130.389 +3 *1848:8 *1848:10 3.36879 +4 *1848:10 *1848:11 62.4588 +5 *1848:11 *2826:mprj_dat_i[30] 2.05183 +*END + +*D_NET *1849 0.225746 +*CONN +*I *2826:mprj_dat_i[31] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[31] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[31] 0.00145868 +2 *2820:mprj_dat_i_core[31] 2.27669e-05 +3 *1849:10 0.0284296 +4 *1849:9 0.026971 +5 *1849:7 0.00270801 +6 *1849:5 0.00273078 +7 *1849:7 *2820:mprj_dat_o_core[31] 0 +8 *1849:7 *2820:mprj_iena_wb 0 +9 *1849:10 *1851:14 0.000268994 +10 *1849:10 *1853:8 0.000506175 +11 *1849:10 *1855:14 0.000986007 +12 *1849:10 *1891:10 0.000431104 +13 *1849:10 *1892:10 0.0126975 +14 *1849:10 *1897:16 0.0795263 +15 *1849:10 *1906:10 0.000400519 +16 *1849:10 *1908:10 0.00046435 +17 *1849:10 *1911:15 0 +18 *1849:10 *1911:25 0 +19 *1849:10 *1915:10 0.000607951 +20 *1849:10 *1919:15 0.000223197 +21 *1849:10 *1919:29 0.000880138 +22 *1849:10 *2499:10 0.000763528 +23 *2820:mprj_adr_o_core[31] *1849:7 0.00029952 +24 *1427:15 *1849:7 0.00105 +25 *1758:21 *1849:7 0.000493003 +26 *1765:31 *1849:10 0.00055029 +27 *1766:10 *1849:10 0.000598562 +28 *1774:16 *1849:10 0.000268994 +29 *1775:10 *1849:10 0.00011282 +30 *1778:10 *1849:10 0.000650798 +31 *1780:10 *1849:10 0.00616954 +32 *1781:7 *2826:mprj_dat_i[31] 0 +33 *1782:10 *1849:10 0.000282057 +34 *1784:10 *1849:10 0.000549365 +35 *1786:24 *1849:10 0.00117488 +36 *1788:15 *1849:7 2.27839e-05 +37 *1823:10 *1849:10 0.0473823 +38 *1840:14 *1849:10 0.000267885 +39 *1842:12 *1849:10 0.000380395 +40 *1845:8 *1849:10 0.00541605 +*RES +1 *2820:mprj_dat_i_core[31] *1849:5 0.647305 +2 *1849:5 *1849:7 58.3063 +3 *1849:7 *1849:9 3.36879 +4 *1849:9 *1849:10 131.613 +5 *1849:10 *2826:mprj_dat_i[31] 34.6593 +*END + +*D_NET *1850 0.152571 +*CONN +*I *2826:mprj_dat_i[3] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[3] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[3] 8.98429e-05 +2 *2820:mprj_dat_i_core[3] 0.000823633 +3 *1850:11 0.00322879 +4 *1850:10 0.00313895 +5 *1850:8 0.00545945 +6 *1850:7 0.00628309 +7 *1850:7 *2820:mprj_dat_o_core[3] 0 +8 *1850:8 *1852:8 0.065416 +9 *1850:8 *1892:24 0.00629978 +10 *1850:8 *1907:30 0.0526298 +11 *1850:11 *1914:7 0 +12 *2820:mprj_adr_o_core[3] *1850:7 0.000283646 +13 *782:8 *1850:8 0.000655033 +14 *1291:10 *1850:8 0.00623392 +15 *1294:16 *1850:8 0.000239984 +16 *1782:9 *1850:11 0 +17 *1825:8 *1850:8 0.000648324 +18 *1839:8 *1850:8 0.00114101 +*RES +1 *2820:mprj_dat_i_core[3] *1850:7 24.7252 +2 *1850:7 *1850:8 705.625 +3 *1850:8 *1850:10 4.5 +4 *1850:10 *1850:11 67.2342 +5 *1850:11 *2826:mprj_dat_i[3] 2.05183 +*END + +*D_NET *1851 0.147843 +*CONN +*I *2826:mprj_dat_i[4] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[4] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[4] 0.000939291 +2 *2820:mprj_dat_i_core[4] 0.00273639 +3 *1851:14 0.0057345 +4 *1851:13 0.00506654 +5 *1851:8 0.00183679 +6 *1851:7 0.00156547 +7 *1851:5 0.00273639 +8 *1851:5 *2820:mprj_sel_o_core[3] 0 +9 *1851:5 *1915:33 0 +10 *1851:8 *1855:8 0.0033032 +11 *1851:8 *1891:24 0.000118134 +12 *1851:8 *1895:24 0.000159297 +13 *1851:14 *1853:8 0.000438797 +14 *1851:14 *2499:10 0.00336691 +15 *2820:mprj_adr_o_core[4] *1851:5 0 +16 *2826:la_input[103] *1851:13 8.62625e-06 +17 *2826:la_input[98] *1851:5 0 +18 *783:14 *1851:8 9.48476e-05 +19 *1035:10 *1851:8 0.00160736 +20 *1297:19 *1851:5 0 +21 *1414:9 *1851:5 0 +22 *1418:12 *1851:8 0.000130331 +23 *1421:11 *1851:13 0 +24 *1542:10 *1851:8 0 +25 *1782:10 *1851:14 0.0558938 +26 *1782:24 *1851:14 0.00120635 +27 *1783:7 *2826:mprj_dat_i[4] 0.000726014 +28 *1826:8 *1851:8 0.00564668 +29 *1826:13 *1851:13 0 +30 *1847:8 *1851:14 0.0542581 +31 *1849:10 *1851:14 0.000268994 +*RES +1 *2820:mprj_dat_i_core[4] *1851:5 55.9369 +2 *1851:5 *1851:7 4.5 +3 *1851:7 *1851:8 104.434 +4 *1851:8 *1851:13 13.7388 +5 *1851:13 *1851:14 613.006 +6 *1851:14 *2826:mprj_dat_i[4] 33.5066 +*END + +*D_NET *1852 0.160958 +*CONN +*I *2826:mprj_dat_i[5] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[5] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[5] 8.98429e-05 +2 *2820:mprj_dat_i_core[5] 0.000849812 +3 *1852:11 0.00288493 +4 *1852:10 0.00279509 +5 *1852:8 0.00501116 +6 *1852:7 0.00586097 +7 *1852:7 *2820:mprj_dat_o_core[5] 0.000256964 +8 *1852:8 *1854:8 0.0676385 +9 *1852:8 *1892:24 0.007451 +10 *1852:8 *1907:30 0.00153795 +11 *1852:11 *1916:7 0 +12 *2820:mprj_adr_o_core[5] *1852:7 0 +13 *1294:16 *1852:8 0.000287842 +14 *1784:7 *1852:11 0.000751059 +15 *1784:21 *1852:11 0.00012725 +16 *1850:8 *1852:8 0.065416 +*RES +1 *2820:mprj_dat_i_core[5] *1852:7 25.1405 +2 *1852:7 *1852:8 725.59 +3 *1852:8 *1852:10 4.5 +4 *1852:10 *1852:11 66.819 +5 *1852:11 *2826:mprj_dat_i[5] 2.05183 +*END + +*D_NET *1853 0.159046 +*CONN +*I *2826:mprj_dat_i[6] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[6] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[6] 0.00123752 +2 *2820:mprj_dat_i_core[6] 0.0028792 +3 *1853:8 0.00681842 +4 *1853:7 0.0055809 +5 *1853:5 0.0028792 +6 *2826:mprj_dat_i[6] *1917:7 0 +7 *2826:mprj_dat_i[6] *1917:23 0 +8 *1853:5 *2820:mprj_dat_o_core[6] 0 +9 *1853:8 *1855:14 0.000694271 +10 *1853:8 *2499:10 0.00390505 +11 *2820:mprj_adr_o_core[6] *1853:5 0 +12 *781:11 *1853:5 0 +13 *783:11 *1853:5 0 +14 *1158:9 *1853:5 0.000330478 +15 *1782:10 *1853:8 0.0645189 +16 *1782:24 *1853:8 0.00121634 +17 *1784:10 *1853:8 0.0680407 +18 *1785:7 *2826:mprj_dat_i[6] 0 +19 *1785:10 *1853:8 0 +20 *1785:23 *2826:mprj_dat_i[6] 0 +21 *1849:10 *1853:8 0.000506175 +22 *1851:14 *1853:8 0.000438797 +*RES +1 *2820:mprj_dat_i_core[6] *1853:5 59.6742 +2 *1853:5 *1853:7 4.5 +3 *1853:7 *1853:8 735.019 +4 *1853:8 *2826:mprj_dat_i[6] 34.3371 +*END + +*D_NET *1854 0.164459 +*CONN +*I *2826:mprj_dat_i[7] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[7] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[7] 8.98429e-05 +2 *2820:mprj_dat_i_core[7] 0.000696639 +3 *1854:11 0.00315213 +4 *1854:10 0.00306228 +5 *1854:8 0.00512263 +6 *1854:7 0.00581927 +7 *1854:7 *2820:mprj_dat_o_core[7] 0 +8 *1854:8 *1856:8 0.0693508 +9 *1854:8 *1894:10 0.00708183 +10 *1854:8 *1907:30 0.000764726 +11 *1294:16 *1854:8 0.000532777 +12 *1786:7 *1854:11 0 +13 *1786:23 *1854:11 0 +14 *1786:27 *1854:7 0.00114749 +15 *1852:8 *1854:8 0.0676385 +*RES +1 *2820:mprj_dat_i_core[7] *1854:7 25.5557 +2 *1854:7 *1854:8 743.338 +3 *1854:8 *1854:10 4.5 +4 *1854:10 *1854:11 66.4037 +5 *1854:11 *2826:mprj_dat_i[7] 2.05183 +*END + +*D_NET *1855 0.156666 +*CONN +*I *2826:mprj_dat_i[8] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[8] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[8] 0.00130103 +2 *2820:mprj_dat_i_core[8] 0.00259593 +3 *1855:14 0.00600957 +4 *1855:13 0.00490864 +5 *1855:8 0.00196904 +6 *1855:7 0.00176894 +7 *1855:5 0.00259593 +8 *1855:5 *2820:mprj_dat_o_core[7] 0 +9 *1855:5 *2820:mprj_dat_o_core[8] 0 +10 *1855:8 *1891:24 0.000629947 +11 *2820:mprj_adr_o_core[30] *1855:13 0.000130377 +12 *2820:mprj_adr_o_core[8] *1855:5 0.00024075 +13 *2826:la_input[99] *1855:5 0 +14 *783:14 *1855:8 0 +15 *1038:19 *1855:5 0 +16 *1543:9 *1855:5 5.27542e-05 +17 *1543:10 *1855:8 0 +18 *1774:16 *1855:8 8.41174e-05 +19 *1780:10 *1855:14 0.000855748 +20 *1784:10 *1855:14 0.0535935 +21 *1786:24 *1855:14 0.0561127 +22 *1787:7 *2826:mprj_dat_i[8] 0 +23 *1787:10 *1855:14 0 +24 *1787:23 *2826:mprj_dat_i[8] 0 +25 *1788:16 *1855:8 0.012814 +26 *1823:10 *1855:14 0.00313457 +27 *1828:13 *1855:13 7.09666e-06 +28 *1840:13 *1855:13 7.26959e-06 +29 *1842:10 *1855:8 0.00287064 +30 *1849:10 *1855:14 0.000986007 +31 *1851:8 *1855:8 0.0033032 +32 *1853:8 *1855:14 0.000694271 +*RES +1 *2820:mprj_dat_i_core[8] *1855:5 55.1064 +2 *1855:5 *1855:7 4.5 +3 *1855:7 *1855:8 140.484 +4 *1855:8 *1855:13 12.9083 +5 *1855:13 *1855:14 612.451 +6 *1855:14 *2826:mprj_dat_i[8] 35.1676 +*END + +*D_NET *1856 0.167498 +*CONN +*I *2826:mprj_dat_i[9] I *D mgmt_core_wrapper +*I *2820:mprj_dat_i_core[9] O *D mgmt_protect +*CAP +1 *2826:mprj_dat_i[9] 8.98429e-05 +2 *2820:mprj_dat_i_core[9] 0.00101516 +3 *1856:11 0.00309336 +4 *1856:10 0.00300351 +5 *1856:8 0.00541791 +6 *1856:7 0.00643308 +7 *1856:7 *2820:mprj_dat_o_core[9] 0 +8 *1856:8 *1894:10 0.00809686 +9 *1856:8 *1907:30 0.000536886 +10 *1856:11 *1920:7 0 +11 *2820:mprj_adr_o_core[9] *1856:7 0 +12 *1292:10 *1856:8 8.15039e-05 +13 *1294:16 *1856:8 0.0040914 +14 *1295:16 *1856:8 0.000579863 +15 *1758:15 *1856:11 0 +16 *1788:9 *1856:11 0 +17 *1788:30 *1856:11 5.96373e-05 +18 *1837:8 *1856:8 0.065648 +19 *1839:13 *1856:11 0 +20 *1854:8 *1856:8 0.0693508 +*RES +1 *2820:mprj_dat_i_core[9] *1856:7 25.971 +2 *1856:7 *1856:8 761.085 +3 *1856:8 *1856:10 4.5 +4 *1856:10 *1856:11 65.9885 +5 *1856:11 *2826:mprj_dat_i[9] 2.05183 +*END + +*D_NET *1857 0.146713 +*CONN +*I *2820:mprj_dat_i_user[0] I *D mgmt_protect +*I *2821:wbs_dat_o[0] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[0] 0.00225152 +2 *2821:wbs_dat_o[0] 0.00127691 +3 *1857:10 0.00225152 +4 *1857:8 0.00565843 +5 *1857:7 0.00693534 +6 *2820:mprj_dat_i_user[0] *1921:7 0 +7 *2820:mprj_dat_i_user[0] *2500:7 0 +8 *1857:8 *1876:13 0.00106766 +9 *1857:8 *1882:8 0.0460252 +10 *1857:8 *1886:8 0.00156008 +11 *1857:8 *1886:18 0.00340191 +12 *1857:8 *1923:14 1.71154e-05 +13 *1857:8 *2486:10 0.0671939 +14 *1857:8 *2491:8 0.0043898 +15 *2821:la_data_in[1] *2820:mprj_dat_i_user[0] 0 +16 *905:14 *1857:8 0.00243556 +17 *1200:5 *2820:mprj_dat_i_user[0] 0 +18 *1789:7 *2820:mprj_dat_i_user[0] 0 +19 *1795:19 *1857:8 0.000742567 +20 *1824:14 *1857:8 0.00150575 +*RES +1 *2821:wbs_dat_o[0] *1857:7 40.0896 +2 *1857:7 *1857:8 724.481 +3 *1857:8 *1857:10 4.5 +4 *1857:10 *2820:mprj_dat_i_user[0] 46.8014 +*END + +*D_NET *1858 0.142545 +*CONN +*I *2820:mprj_dat_i_user[10] I *D mgmt_protect +*I *2821:wbs_dat_o[10] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[10] 0.00202141 +2 *2821:wbs_dat_o[10] 0.00148448 +3 *1858:8 0.0123528 +4 *1858:7 0.0118159 +5 *2820:mprj_dat_i_user[10] *1922:7 0 +6 *2820:mprj_dat_i_user[10] *1952:7 0 +7 *1858:8 *1860:8 0.0575797 +8 *1858:8 *1924:8 0 +9 *1858:8 *1935:18 0.000152056 +10 *1858:8 *1943:10 0.000435066 +11 *1858:8 *1949:8 0 +12 *1858:8 *1951:8 0 +13 *1858:8 *2491:8 0.000588182 +14 *1858:8 *2493:8 9.84894e-05 +15 *1858:8 *2496:8 0.0515382 +16 *2821:la_oenb[5] *2820:mprj_dat_i_user[10] 0 +17 *1161:10 *1858:8 0.00010238 +18 *1244:5 *2820:mprj_dat_i_user[10] 0 +19 *1790:7 *2820:mprj_dat_i_user[10] 0 +20 *1795:20 *1858:8 0.00359945 +21 *1803:10 *1858:8 0.000608238 +22 *1815:8 *1858:8 0.000168694 +23 *1817:8 *1858:8 0 +24 *1819:8 *1858:8 0 +*RES +1 *2821:wbs_dat_o[10] *1858:7 40.827 +2 *1858:7 *1858:8 79.1407 +3 *1858:8 *2820:mprj_dat_i_user[10] 48.3015 +*END + +*D_NET *1859 0.122234 +*CONN +*I *2820:mprj_dat_i_user[11] I *D mgmt_protect +*I *2821:wbs_dat_o[11] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[11] 0.00149999 +2 *2821:wbs_dat_o[11] 0.00189553 +3 *1859:8 0.00567347 +4 *1859:7 0.00417348 +5 *1859:5 0.00189553 +6 *2820:mprj_dat_i_user[11] *1861:8 1.67988e-05 +7 *2820:mprj_dat_i_user[11] *1922:7 0 +8 *2820:mprj_dat_i_user[11] *1923:7 0 +9 *1859:8 *1861:8 0.0487523 +10 *1859:8 *1885:8 0.00500149 +11 *1859:8 *1922:8 0.000339591 +12 *1859:8 *1924:8 0.000275322 +13 *1859:8 *1952:8 0.0519941 +14 *1859:8 *2494:8 0.000129528 +15 *988:8 *2820:mprj_dat_i_user[11] 0.000195605 +16 *988:8 *1859:8 7.68538e-06 +17 *1628:8 *2820:mprj_dat_i_user[11] 0.000383703 +18 *1791:7 *2820:mprj_dat_i_user[11] 0 +19 *1792:7 *2820:mprj_dat_i_user[11] 0 +*RES +1 *2821:wbs_dat_o[11] *1859:5 48.0471 +2 *1859:5 *1859:7 4.5 +3 *1859:7 *1859:8 555.327 +4 *1859:8 *2820:mprj_dat_i_user[11] 43.6129 +*END + +*D_NET *1860 0.113076 +*CONN +*I *2820:mprj_dat_i_user[12] I *D mgmt_protect +*I *2821:wbs_dat_o[12] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[12] 0.00195379 +2 *2821:wbs_dat_o[12] 0.00153886 +3 *1860:8 0.02384 +4 *1860:7 0.023425 +5 *2820:mprj_dat_i_user[12] *1924:7 0 +6 *1860:8 *1873:8 0.000763973 +7 *1860:8 *1875:10 0.000156964 +8 *1860:8 *1875:12 0.000313353 +9 *1860:8 *1882:14 0.000135387 +10 *1860:8 *1924:8 0 +11 *1860:8 *1926:8 0 +12 *1860:8 *1937:8 0.000763973 +13 *1860:8 *1939:8 0.000608238 +14 *2821:la_data_in[6] *2820:mprj_dat_i_user[12] 0 +15 *1255:5 *2820:mprj_dat_i_user[12] 0 +16 *1792:7 *2820:mprj_dat_i_user[12] 0 +17 *1803:16 *1860:8 0.000715007 +18 *1805:14 *1860:8 0.000608238 +19 *1807:8 *1860:8 0.00034009 +20 *1807:14 *1860:8 0.000333559 +21 *1858:8 *1860:8 0.0575797 +*RES +1 *2821:wbs_dat_o[12] *1860:7 41.6575 +2 *1860:7 *1860:8 75.4692 +3 *1860:8 *2820:mprj_dat_i_user[12] 47.471 +*END + +*D_NET *1861 0.115763 +*CONN +*I *2820:mprj_dat_i_user[13] I *D mgmt_protect +*I *2821:wbs_dat_o[13] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[13] 0.0015421 +2 *2821:wbs_dat_o[13] 0.00191062 +3 *1861:8 0.00557204 +4 *1861:7 0.00402994 +5 *1861:5 0.00191062 +6 *2820:mprj_dat_i_user[13] *1863:18 0.000636338 +7 *2820:mprj_dat_i_user[13] *1924:7 0 +8 *2820:mprj_dat_i_user[13] *1925:5 0 +9 *1861:8 *1863:8 0.000395627 +10 *1861:8 *1863:14 0.00228766 +11 *1861:8 *1863:18 0.000262811 +12 *1861:8 *1885:8 0.00385702 +13 *1861:8 *1924:8 0.000357385 +14 *2820:mprj_dat_i_user[11] *1861:8 1.67988e-05 +15 *2821:la_oenb[6] *2820:mprj_dat_i_user[13] 3.77659e-05 +16 *1255:8 *2820:mprj_dat_i_user[13] 0.000585477 +17 *1628:8 *2820:mprj_dat_i_user[13] 1.67988e-05 +18 *1628:8 *1861:8 0.00132676 +19 *1793:7 *2820:mprj_dat_i_user[13] 0 +20 *1796:20 *1861:8 0.0422652 +21 *1859:8 *1861:8 0.0487523 +*RES +1 *2821:wbs_dat_o[13] *1861:5 47.6319 +2 *1861:5 *1861:7 4.5 +3 *1861:7 *1861:8 527.042 +4 *1861:8 *2820:mprj_dat_i_user[13] 47.0771 +*END + +*D_NET *1862 0.112858 +*CONN +*I *2820:mprj_dat_i_user[14] I *D mgmt_protect +*I *2821:wbs_dat_o[14] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[14] 6.22868e-05 +2 *2821:wbs_dat_o[14] 0.00126283 +3 *1862:11 0.00187226 +4 *1862:10 0.00180997 +5 *1862:8 0.00367402 +6 *1862:7 0.00493686 +7 *1862:8 *1871:18 0.000161493 +8 *1862:8 *1925:8 0.0488381 +9 *1862:8 *1927:8 0.0478419 +10 *1862:11 *1925:5 0 +11 *1862:11 *1926:7 0 +12 *2821:la_data_in[7] *1862:11 0.000262548 +13 *1794:7 *1862:11 0.000780788 +14 *1815:8 *1862:8 0.00135473 +*RES +1 *2821:wbs_dat_o[14] *1862:7 37.598 +2 *1862:7 *1862:8 518.723 +3 *1862:8 *1862:10 4.5 +4 *1862:10 *1862:11 49.3784 +5 *1862:11 *2820:mprj_dat_i_user[14] 1.77093 +*END + +*D_NET *1863 0.110621 +*CONN +*I *2820:mprj_dat_i_user[15] I *D mgmt_protect +*I *2821:wbs_dat_o[15] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[15] 0.00125581 +2 *2821:wbs_dat_o[15] 0.00190575 +3 *1863:18 0.00154042 +4 *1863:14 0.000573923 +5 *1863:8 0.00341672 +6 *1863:7 0.00312741 +7 *1863:5 0.00190575 +8 *2820:mprj_dat_i_user[15] *1926:7 0 +9 *2820:mprj_dat_i_user[15] *1927:5 0 +10 *1863:8 *1928:8 1.41853e-05 +11 *1863:8 *1928:12 0.0417299 +12 *1863:8 *1950:8 0.00376288 +13 *2820:mprj_dat_i_user[13] *1863:18 0.000636338 +14 *1255:8 *1863:18 0.00055844 +15 *1266:5 *2820:mprj_dat_i_user[15] 3.20069e-06 +16 *1795:7 *2820:mprj_dat_i_user[15] 0.00143714 +17 *1796:8 *1863:8 1.61631e-05 +18 *1796:8 *1863:14 0.000998952 +19 *1796:8 *1863:18 0.00253512 +20 *1796:20 *1863:8 0.0422568 +21 *1861:8 *1863:8 0.000395627 +22 *1861:8 *1863:14 0.00228766 +23 *1861:8 *1863:18 0.000262811 +*RES +1 *2821:wbs_dat_o[15] *1863:5 46.8014 +2 *1863:5 *1863:7 4.5 +3 *1863:7 *1863:8 453.835 +4 *1863:8 *1863:14 25.4515 +5 *1863:14 *1863:18 31.5671 +6 *1863:18 *2820:mprj_dat_i_user[15] 38.0078 +*END + +*D_NET *1864 0.106655 +*CONN +*I *2820:mprj_dat_i_user[16] I *D mgmt_protect +*I *2821:wbs_dat_o[16] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[16] 0.00207706 +2 *2821:wbs_dat_o[16] 0.00119138 +3 *1864:10 0.00207706 +4 *1864:8 0.00351029 +5 *1864:7 0.00470167 +6 *2820:mprj_dat_i_user[16] *1928:7 0 +7 *1864:8 *1927:8 0.00028978 +8 *1864:8 *1929:8 0.0454585 +9 *2821:la_oenb[7] *2820:mprj_dat_i_user[16] 0.000320646 +10 *1792:14 *1864:8 0 +11 *1796:7 *2820:mprj_dat_i_user[16] 0 +12 *1797:7 *2820:mprj_dat_i_user[16] 0 +13 *1797:10 *1864:8 0.0461447 +14 *1817:8 *1864:8 0.000883414 +*RES +1 *2821:wbs_dat_o[16] *1864:7 36.3523 +2 *1864:7 *1864:8 493.211 +3 *1864:8 *1864:10 4.5 +4 *1864:10 *2820:mprj_dat_i_user[16] 50.5386 +*END + +*D_NET *1865 0.106899 +*CONN +*I *2820:mprj_dat_i_user[17] I *D mgmt_protect +*I *2821:wbs_dat_o[17] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[17] 0.00154798 +2 *2821:wbs_dat_o[17] 0.00189194 +3 *1865:12 0.00214872 +4 *1865:10 0.000619437 +5 *1865:8 0.00274727 +6 *1865:7 0.00272858 +7 *1865:5 0.00189194 +8 *2820:mprj_dat_i_user[17] *1929:5 0 +9 *1865:8 *1887:8 0.00320782 +10 *1865:8 *1928:12 0.0389725 +11 *1865:10 *1928:10 9.95922e-06 +12 *1865:12 *1928:8 0.00605219 +13 *1865:12 *1928:12 1.41689e-05 +14 *2821:la_data_in[8] *2820:mprj_dat_i_user[17] 0 +15 *1021:8 *1865:12 0.000118245 +16 *1266:8 *1865:12 5.80138e-05 +17 *1797:7 *2820:mprj_dat_i_user[17] 0 +18 *1798:8 *1865:8 1.67988e-05 +19 *1798:8 *1865:12 0.00662122 +20 *1798:10 *1865:10 9.95922e-06 +21 *1798:12 *1865:8 0.0382419 +*RES +1 *2821:wbs_dat_o[17] *1865:5 45.9709 +2 *1865:5 *1865:7 4.5 +3 *1865:7 *1865:8 410.021 +4 *1865:8 *1865:10 0.578717 +5 *1865:10 *1865:12 70.6034 +6 *1865:12 *2820:mprj_dat_i_user[17] 41.3353 +*END + +*D_NET *1866 0.0974692 +*CONN +*I *2820:mprj_dat_i_user[18] I *D mgmt_protect +*I *2821:wbs_dat_o[18] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[18] 0.00229864 +2 *2821:wbs_dat_o[18] 0.00109578 +3 *1866:10 0.00229864 +4 *1866:8 0.00350545 +5 *1866:7 0.00460123 +6 *2820:mprj_dat_i_user[18] *1930:7 0 +7 *1866:8 *1869:8 0.0401694 +8 *1866:8 *1929:8 0.000121125 +9 *1866:8 *1933:8 0.0408575 +10 *2821:la_oenb[8] *2820:mprj_dat_i_user[18] 0 +11 *1277:5 *2820:mprj_dat_i_user[18] 0 +12 *1792:14 *1866:8 0.000492544 +13 *1798:7 *2820:mprj_dat_i_user[18] 0 +14 *1799:8 *1866:8 0.00154964 +15 *1801:10 *1866:8 0.000313928 +16 *1819:8 *1866:8 0.000165394 +*RES +1 *2821:wbs_dat_o[18] *1866:7 34.276 +2 *1866:7 *1866:8 466.59 +3 *1866:8 *1866:10 4.5 +4 *1866:10 *2820:mprj_dat_i_user[18] 52.6149 +*END + +*D_NET *1867 0.0934839 +*CONN +*I *2820:mprj_dat_i_user[19] I *D mgmt_protect +*I *2821:wbs_dat_o[19] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[19] 0.00158026 +2 *2821:wbs_dat_o[19] 0.00187799 +3 *1867:12 0.0030072 +4 *1867:10 0.00144781 +5 *1867:8 0.00303549 +6 *1867:7 0.00489262 +7 *2820:mprj_dat_i_user[19] *1931:5 0 +8 *1867:8 *1870:8 0.0306691 +9 *1867:8 *1924:8 0.00274909 +10 *1867:8 *1945:8 1.75637e-06 +11 *1867:12 *1945:8 2.01874e-05 +12 *1021:8 *1867:12 0.000177516 +13 *1798:8 *1867:12 0.00714585 +14 *1798:10 *1867:10 9.95922e-06 +15 *1798:12 *1867:8 0.0347964 +16 *1798:12 *1867:12 1.67988e-05 +17 *1799:5 *2820:mprj_dat_i_user[19] 0 +18 *1805:8 *1867:12 0.00205586 +*RES +1 *2821:wbs_dat_o[19] *1867:7 49.6404 +2 *1867:7 *1867:8 366.207 +3 *1867:8 *1867:10 0.578717 +4 *1867:10 *1867:12 87.7962 +5 *1867:12 *2820:mprj_dat_i_user[19] 42.1658 +*END + +*D_NET *1868 0.157423 +*CONN +*I *2820:mprj_dat_i_user[1] I *D mgmt_protect +*I *2821:wbs_dat_o[1] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[1] 0.00117859 +2 *2821:wbs_dat_o[1] 0.00191441 +3 *1868:8 0.00541445 +4 *1868:7 0.00423586 +5 *1868:5 0.00191441 +6 *2820:mprj_dat_i_user[1] *1932:7 0 +7 *1868:8 *1921:8 0.000179648 +8 *1868:8 *1932:8 0.00870862 +9 *1868:8 *2492:8 0.00028978 +10 *1868:8 *2500:8 0.0659502 +11 *1545:8 *1868:8 0.000895431 +12 *1545:14 *1868:8 0.000272272 +13 *1795:13 *2820:mprj_dat_i_user[1] 0.000332407 +14 *1800:7 *2820:mprj_dat_i_user[1] 0 +15 *1811:8 *1868:8 0.0661369 +*RES +1 *2821:wbs_dat_o[1] *1868:5 52.1996 +2 *1868:5 *1868:7 4.5 +3 *1868:7 *1868:8 706.734 +4 *1868:8 *2820:mprj_dat_i_user[1] 34.6913 +*END + +*D_NET *1869 0.0949962 +*CONN +*I *2820:mprj_dat_i_user[20] I *D mgmt_protect +*I *2821:wbs_dat_o[20] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[20] 0.00216014 +2 *2821:wbs_dat_o[20] 0.00113932 +3 *1869:10 0.00216014 +4 *1869:8 0.00314302 +5 *1869:7 0.00428235 +6 *2820:mprj_dat_i_user[20] *1933:5 0 +7 *1869:8 *1871:18 0 +8 *1869:8 *1884:8 0.000152056 +9 *1869:8 *1931:8 0.00020979 +10 *1869:8 *1933:8 0.000670106 +11 *1288:5 *2820:mprj_dat_i_user[20] 0.000224572 +12 *1799:8 *1869:8 0.0406853 +13 *1801:7 *2820:mprj_dat_i_user[20] 0 +14 *1866:8 *1869:8 0.0401694 +*RES +1 *2821:wbs_dat_o[20] *1869:7 34.6913 +2 *1869:7 *1869:8 439.969 +3 *1869:8 *1869:10 4.5 +4 *1869:10 *2820:mprj_dat_i_user[20] 52.1996 +*END + +*D_NET *1870 0.0782084 +*CONN +*I *2820:mprj_dat_i_user[21] I *D mgmt_protect +*I *2821:wbs_dat_o[21] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[21] 0.000838909 +2 *2821:wbs_dat_o[21] 0.00188349 +3 *1870:20 0.00126901 +4 *1870:14 0.00110409 +5 *1870:13 0.00132384 +6 *1870:8 0.00527217 +7 *1870:7 0.00650581 +8 *2820:mprj_dat_i_user[21] *1934:7 0 +9 *1870:8 *1926:8 0.00252827 +10 *1870:8 *1945:8 0.00040761 +11 *1870:8 *1947:14 0 +12 *1870:13 *2820:mprj_dat_i_user[8] 0.000650572 +13 *1870:13 *1951:5 0 +14 *2821:la_oenb[4] *1870:13 1.15048e-05 +15 *944:14 *1870:8 0.00524313 +16 *1161:14 *1870:14 0.00249162 +17 *1161:14 *1870:20 3.89637e-05 +18 *1650:8 *1870:20 0.00394081 +19 *1795:8 *1870:14 0.0050094 +20 *1796:19 *1870:13 4.00504e-05 +21 *1802:7 *2820:mprj_dat_i_user[21] 0 +22 *1807:14 *1870:8 0 +23 *1809:14 *1870:8 0.00458518 +24 *1812:8 *1870:14 0.000276785 +25 *1812:8 *1870:20 0.00411799 +26 *1867:8 *1870:8 0.0306691 +*RES +1 *2821:wbs_dat_o[21] *1870:7 49.2251 +2 *1870:7 *1870:8 322.948 +3 *1870:8 *1870:13 24.1201 +4 *1870:13 *1870:14 60.3433 +5 *1870:14 *1870:20 49.3385 +6 *1870:20 *2820:mprj_dat_i_user[21] 23.132 +*END + +*D_NET *1871 0.0654676 +*CONN +*I *2820:mprj_dat_i_user[22] I *D mgmt_protect +*I *2821:wbs_dat_o[22] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[22] 6.22868e-05 +2 *2821:wbs_dat_o[22] 0.00131323 +3 *1871:21 0.00170245 +4 *1871:20 0.00164017 +5 *1871:18 0.00175321 +6 *1871:17 0.00201714 +7 *1871:12 0.00680363 +8 *1871:10 0.00785293 +9 *1871:12 *1935:12 0.0221533 +10 *1871:17 *1951:5 0.000245081 +11 *1871:18 *1881:8 0.0105463 +12 *1871:18 *1925:8 0.00383301 +13 *1871:18 *1927:8 0.000292277 +14 *1871:18 *1929:8 0 +15 *1871:18 *1931:8 0 +16 *1871:18 *1935:10 2.10179e-05 +17 *1871:21 *1935:5 0.00119261 +18 *2821:la_data_in[10] *1871:21 0.000123448 +19 *2821:la_oenb[4] *1871:17 0 +20 *1797:10 *1871:18 0.000173512 +21 *1801:10 *1871:10 0.00115153 +22 *1801:10 *1871:12 0.00242901 +23 *1862:8 *1871:18 0.000161493 +24 *1869:8 *1871:18 0 +*RES +1 *2821:wbs_dat_o[22] *1871:10 45.7018 +2 *1871:10 *1871:12 291.058 +3 *1871:12 *1871:17 14.9845 +4 *1871:17 *1871:18 112.199 +5 *1871:18 *1871:20 4.5 +6 *1871:20 *1871:21 48.5479 +7 *1871:21 *2820:mprj_dat_i_user[22] 1.77093 +*END + +*D_NET *1872 0.0890723 +*CONN +*I *2820:mprj_dat_i_user[23] I *D mgmt_protect +*I *2821:wbs_dat_o[23] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[23] 0.00106786 +2 *2821:wbs_dat_o[23] 0.00254457 +3 *1872:8 0.00431943 +4 *1872:7 0.00325157 +5 *1872:5 0.00254457 +6 *2820:mprj_dat_i_user[23] *1936:7 0 +7 *1872:8 *1936:8 0.0378099 +8 *1792:8 *1872:8 0.000188584 +9 *1794:8 *1872:8 0.000101365 +10 *1804:7 *2820:mprj_dat_i_user[23] 0 +11 *1806:8 *1872:8 0.0350548 +12 *1824:8 *1872:8 0.00218961 +*RES +1 *2821:wbs_dat_o[23] *1872:5 54.6912 +2 *1872:5 *1872:7 4.5 +3 *1872:7 *1872:8 400.593 +4 *1872:8 *2820:mprj_dat_i_user[23] 32.1998 +*END + +*D_NET *1873 0.081015 +*CONN +*I *2820:mprj_dat_i_user[24] I *D mgmt_protect +*I *2821:wbs_dat_o[24] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[24] 0.00164631 +2 *2821:wbs_dat_o[24] 0.00165729 +3 *1873:14 0.00187328 +4 *1873:8 0.00323203 +5 *1873:7 0.00466235 +6 *2820:mprj_dat_i_user[24] *1937:7 0.000723419 +7 *1873:8 *1937:8 0.0347775 +8 *1873:14 *1937:8 0.000790462 +9 *2821:la_oenb[10] *2820:mprj_dat_i_user[24] 0 +10 *966:8 *1873:8 0.00447706 +11 *966:8 *1873:14 0.00181194 +12 *1805:7 *2820:mprj_dat_i_user[24] 0 +13 *1805:14 *1873:8 0.0245993 +14 *1860:8 *1873:8 0.000763973 +*RES +1 *2821:wbs_dat_o[24] *1873:7 42.5811 +2 *1873:7 *1873:8 368.703 +3 *1873:8 *1873:14 24.3813 +4 *1873:14 *2820:mprj_dat_i_user[24] 46.0197 +*END + +*D_NET *1874 0.0825907 +*CONN +*I *2820:mprj_dat_i_user[25] I *D mgmt_protect +*I *2821:wbs_dat_o[25] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[25] 0.000982112 +2 *2821:wbs_dat_o[25] 0.00266982 +3 *1874:8 0.0038838 +4 *1874:7 0.00290169 +5 *1874:5 0.00266982 +6 *2820:mprj_dat_i_user[25] *1938:7 0 +7 *1874:8 *1934:8 0.00149647 +8 *1874:8 *1938:8 0.0012508 +9 *1874:8 *1940:8 0.000765812 +10 *1010:8 *1874:8 0.00829871 +11 *1792:8 *1874:8 0.0251877 +12 *1806:7 *2820:mprj_dat_i_user[25] 0 +13 *1808:8 *1874:8 0.032484 +*RES +1 *2821:wbs_dat_o[25] *1874:5 56.3522 +2 *1874:5 *1874:7 4.5 +3 *1874:7 *1874:8 373.972 +4 *1874:8 *2820:mprj_dat_i_user[25] 30.5388 +*END + +*D_NET *1875 0.0778843 +*CONN +*I *2820:mprj_dat_i_user[26] I *D mgmt_protect +*I *2821:wbs_dat_o[26] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[26] 0.00162389 +2 *2821:wbs_dat_o[26] 0.00172912 +3 *1875:12 0.00408592 +4 *1875:10 0.00291214 +5 *1875:7 0.00217922 +6 *2820:mprj_dat_i_user[26] *1878:19 0.000826182 +7 *2820:mprj_dat_i_user[26] *1939:7 0 +8 *1875:10 *1882:14 0.00197564 +9 *1875:10 *1939:8 0.00442788 +10 *1875:12 *1877:8 0.000403301 +11 *1875:12 *1877:12 0.022735 +12 *1875:12 *1882:14 0.00515848 +13 *1875:12 *1939:8 7.90692e-05 +14 *1807:7 *2820:mprj_dat_i_user[26] 6.0298e-05 +15 *1807:8 *1875:12 0.0292179 +16 *1860:8 *1875:10 0.000156964 +17 *1860:8 *1875:12 0.000313353 +*RES +1 *2821:wbs_dat_o[26] *1875:7 43.8268 +2 *1875:7 *1875:10 47.3342 +3 *1875:10 *1875:12 312.411 +4 *1875:12 *2820:mprj_dat_i_user[26] 48.7854 +*END + +*D_NET *1876 0.0774959 +*CONN +*I *2820:mprj_dat_i_user[27] I *D mgmt_protect +*I *2821:wbs_dat_o[27] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[27] 0.000924654 +2 *2821:wbs_dat_o[27] 0.00146646 +3 *1876:14 0.00391088 +4 *1876:13 0.00439319 +5 *1876:7 0.00287343 +6 *2820:mprj_dat_i_user[27] *1940:7 0 +7 *1876:13 *2821:wbs_dat_i[28] 0 +8 *1876:13 *1886:8 0.00106346 +9 *1876:14 *1934:8 0.000444742 +10 *1876:14 *1940:8 0.0315383 +11 *1876:14 *1942:8 0.029208 +12 *1876:14 *1946:8 0 +13 *1876:14 *1947:10 0.000605103 +14 *2821:wbs_adr_i[28] *1876:13 0 +15 *1808:7 *2820:mprj_dat_i_user[27] 0 +16 *1857:8 *1876:13 0.00106766 +*RES +1 *2821:wbs_dat_o[27] *1876:7 39.6743 +2 *1876:7 *1876:13 42.8555 +3 *1876:13 *1876:14 335.704 +4 *1876:14 *2820:mprj_dat_i_user[27] 29.293 +*END + +*D_NET *1877 0.0703073 +*CONN +*I *2820:mprj_dat_i_user[28] I *D mgmt_protect +*I *2821:wbs_dat_o[28] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[28] 0.00126836 +2 *2821:wbs_dat_o[28] 0.00179973 +3 *1877:12 0.0037507 +4 *1877:10 0.00251758 +5 *1877:8 0.000767878 +6 *1877:7 0.00253236 +7 *2820:mprj_dat_i_user[28] *1941:7 0.00243599 +8 *1877:8 *1882:14 0.0022771 +9 *1877:8 *1941:14 3.59437e-05 +10 *1877:8 *1944:8 0.00370371 +11 *1877:8 *1947:14 0.00322573 +12 *1877:12 *1939:8 9.27159e-05 +13 *1877:12 *1941:8 0.0214417 +14 *1877:12 *1944:8 0.000785585 +15 *1183:8 *1877:12 9.71151e-05 +16 *1807:13 *1877:7 0 +17 *1807:14 *1877:8 0.000436825 +18 *1809:7 *2820:mprj_dat_i_user[28] 0 +19 *1875:12 *1877:8 0.000403301 +20 *1875:12 *1877:12 0.022735 +*RES +1 *2821:wbs_dat_o[28] *1877:7 45.4878 +2 *1877:7 *1877:8 74.4857 +3 *1877:8 *1877:10 0.988641 +4 *1877:10 *1877:12 261.387 +5 *1877:12 *2820:mprj_dat_i_user[28] 49.1518 +*END + +*D_NET *1878 0.0713823 +*CONN +*I *2820:mprj_dat_i_user[29] I *D mgmt_protect +*I *2821:wbs_dat_o[29] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[29] 0.000838909 +2 *2821:wbs_dat_o[29] 0.00191609 +3 *1878:22 0.001048 +4 *1878:19 0.000829282 +5 *1878:14 0.00225302 +6 *1878:13 0.00168494 +7 *1878:8 0.000840151 +8 *1878:7 0.00270413 +9 *2820:mprj_dat_i_user[29] *1942:7 0 +10 *1878:8 *1880:8 0.000627856 +11 *1878:8 *1926:8 0.000355551 +12 *1878:8 *1941:14 0.000514312 +13 *1878:8 *1945:8 0.00492669 +14 *1878:14 *1880:8 0.0195492 +15 *1878:19 *1939:7 0 +16 *2820:mprj_dat_i_user[26] *1878:19 0.000826182 +17 *944:14 *1878:8 4.0752e-05 +18 *1650:8 *1878:22 0.00229711 +19 *1795:13 *1878:13 7.50872e-05 +20 *1809:14 *1878:8 0.00821293 +21 *1810:7 *2820:mprj_dat_i_user[29] 0 +22 *1812:8 *1878:22 0.00229291 +23 *1813:8 *1878:14 0.0195492 +*RES +1 *2821:wbs_dat_o[29] *1878:7 47.9793 +2 *1878:7 *1878:8 87.7962 +3 *1878:8 *1878:13 10.4167 +4 *1878:13 *1878:14 208.145 +5 *1878:14 *1878:19 26.1963 +6 *1878:19 *1878:22 29.0714 +7 *1878:22 *2820:mprj_dat_i_user[29] 23.132 +*END + +*D_NET *1879 0.180995 +*CONN +*I *2820:mprj_dat_i_user[2] I *D mgmt_protect +*I *2821:wbs_dat_o[2] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[2] 0.000881103 +2 *2821:wbs_dat_o[2] 0.0019078 +3 *1879:8 0.00630241 +4 *1879:7 0.0054213 +5 *1879:5 0.0019078 +6 *2820:mprj_dat_i_user[2] *1943:7 0.00177629 +7 *1879:8 *1921:8 0.00762504 +8 *1879:8 *1932:8 0.0742727 +9 *1879:8 *2492:8 0.00849869 +10 *1879:8 *2494:8 0.0712418 +11 *1161:13 *2820:mprj_dat_i_user[2] 0 +12 *1794:8 *1879:8 0.000190972 +13 *1811:7 *2820:mprj_dat_i_user[2] 0 +14 *1814:8 *1879:8 0.000969021 +*RES +1 *2821:wbs_dat_o[2] *1879:5 51.5768 +2 *1879:5 *1879:7 3.36879 +3 *1879:7 *1879:8 95.0507 +4 *1879:8 *2820:mprj_dat_i_user[2] 34.1829 +*END + +*D_NET *1880 0.0674267 +*CONN +*I *2820:mprj_dat_i_user[30] I *D mgmt_protect +*I *2821:wbs_dat_o[30] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[30] 0.00160648 +2 *2821:wbs_dat_o[30] 0.00187862 +3 *1880:8 0.00406204 +4 *1880:7 0.00433418 +5 *2820:mprj_dat_i_user[30] *1942:7 0 +6 *2820:mprj_dat_i_user[30] *1944:7 0 +7 *1880:8 *1926:8 0.000312037 +8 *1880:8 *1941:14 0.00615465 +9 *1880:8 *1945:8 0.027499 +10 *1812:7 *2820:mprj_dat_i_user[30] 0 +11 *1813:8 *1880:8 0.00140264 +12 *1878:8 *1880:8 0.000627856 +13 *1878:14 *1880:8 0.0195492 +*RES +1 *2821:wbs_dat_o[30] *1880:7 47.1488 +2 *1880:7 *1880:8 306.865 +3 *1880:8 *2820:mprj_dat_i_user[30] 44.2421 +*END + +*D_NET *1881 0.0636375 +*CONN +*I *2820:mprj_dat_i_user[31] I *D mgmt_protect +*I *2821:wbs_dat_o[31] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[31] 0.00192754 +2 *2821:wbs_dat_o[31] 0.00142022 +3 *1881:10 0.00192754 +4 *1881:8 0.00250453 +5 *1881:7 0.00392475 +6 *2820:mprj_dat_i_user[31] *1945:7 0 +7 *1881:8 *1886:18 0.00725056 +8 *1881:8 *1923:14 0.00887335 +9 *1881:8 *1925:8 0.000684308 +10 *1881:8 *1935:10 0 +11 *905:14 *1881:8 0.000667704 +12 *927:8 *1881:8 0.0048785 +13 *977:14 *1881:8 0.0189619 +14 *1193:7 *2820:mprj_dat_i_user[31] 7.03198e-05 +15 *1813:7 *2820:mprj_dat_i_user[31] 0 +16 *1871:18 *1881:8 0.0105463 +*RES +1 *2821:wbs_dat_o[31] *1881:7 38.8438 +2 *1881:7 *1881:8 293.554 +3 *1881:8 *1881:10 4.5 +4 *1881:10 *2820:mprj_dat_i_user[31] 48.0471 +*END + +*D_NET *1882 0.134311 +*CONN +*I *2820:mprj_dat_i_user[3] I *D mgmt_protect +*I *2821:wbs_dat_o[3] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[3] 0.000837926 +2 *2821:wbs_dat_o[3] 0.00128113 +3 *1882:20 0.00151617 +4 *1882:19 0.00182933 +5 *1882:14 0.00230401 +6 *1882:13 0.00133566 +7 *1882:8 0.00352536 +8 *1882:7 0.00462375 +9 *2820:mprj_dat_i_user[3] *1946:7 0 +10 *1882:8 *1886:8 0.0372013 +11 *1882:8 *1947:20 0.00306986 +12 *1882:8 *2491:8 0.00312813 +13 *1882:13 *1935:17 2.05972e-05 +14 *1882:14 *1937:8 0.000131242 +15 *1882:14 *1939:8 0.000183679 +16 *1882:19 *2821:wbs_dat_i[31] 6.25467e-05 +17 *1882:20 *1946:8 0.00669368 +18 *1882:20 *1947:10 0.00017754 +19 *2821:wbs_adr_i[26] *1882:13 0.000242984 +20 *1795:8 *1882:20 0.00192534 +21 *1803:15 *1882:13 0.000147999 +22 *1807:14 *1882:14 0.00585757 +23 *1812:8 *1882:20 0.00211713 +24 *1814:7 *2820:mprj_dat_i_user[3] 0 +25 *1824:14 *1882:8 0.000526688 +26 *1857:8 *1882:8 0.0460252 +27 *1860:8 *1882:14 0.000135387 +28 *1875:10 *1882:14 0.00197564 +29 *1875:12 *1882:14 0.00515848 +30 *1877:8 *1882:14 0.0022771 +*RES +1 *2821:wbs_dat_o[3] *1882:7 39.6743 +2 *1882:7 *1882:8 483.783 +3 *1882:8 *1882:13 14.154 +4 *1882:13 *1882:14 116.081 +5 *1882:14 *1882:19 28.6878 +6 *1882:19 *1882:20 71.1581 +7 *1882:20 *2820:mprj_dat_i_user[3] 27.2167 +*END + +*D_NET *1883 0.142379 +*CONN +*I *2820:mprj_dat_i_user[4] I *D mgmt_protect +*I *2821:wbs_dat_o[4] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[4] 0.001208 +2 *2821:wbs_dat_o[4] 0.00188354 +3 *1883:8 0.00590408 +4 *1883:7 0.00469608 +5 *1883:5 0.00188354 +6 *2820:mprj_dat_i_user[4] *1886:23 0.000568639 +7 *2820:mprj_dat_i_user[4] *1947:10 0 +8 *1883:8 *1921:8 0.000313928 +9 *1883:8 *1948:8 0.000419745 +10 *1883:8 *2494:8 0.00633355 +11 *1815:5 *2820:mprj_dat_i_user[4] 0 +12 *1816:8 *1883:8 0.0616266 +13 *1818:8 *1883:8 0.0575415 +*RES +1 *2821:wbs_dat_o[4] *1883:5 50.1234 +2 *1883:5 *1883:7 4.5 +3 *1883:7 *1883:8 653.492 +4 *1883:8 *2820:mprj_dat_i_user[4] 36.7675 +*END + +*D_NET *1884 0.156283 +*CONN +*I *2820:mprj_dat_i_user[5] I *D mgmt_protect +*I *2821:wbs_dat_o[5] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[5] 0.00103182 +2 *2821:wbs_dat_o[5] 0.00110276 +3 *1884:13 0.00267881 +4 *1884:8 0.0102263 +5 *1884:7 0.00968211 +6 *2820:mprj_dat_i_user[5] *1948:7 0 +7 *1884:8 *1949:8 0.0662452 +8 *1884:13 *2494:7 0 +9 *2821:la_data_in[3] *1884:13 0 +10 *2821:la_oenb[2] *1884:13 0 +11 *1161:14 *2820:mprj_dat_i_user[5] 0.000633287 +12 *1795:8 *2820:mprj_dat_i_user[5] 0.00145608 +13 *1799:8 *1884:8 0.000165394 +14 *1814:7 *1884:13 0 +15 *1815:5 *1884:13 0 +16 *1815:8 *1884:8 0.000198316 +17 *1816:7 *2820:mprj_dat_i_user[5] 0 +18 *1817:8 *1884:8 0.000328086 +19 *1819:8 *1884:8 0.0623826 +20 *1869:8 *1884:8 0.000152056 +*RES +1 *2821:wbs_dat_o[5] *1884:7 34.1829 +2 *1884:7 *1884:8 86.1778 +3 *1884:8 *1884:13 36.8998 +4 *1884:13 *2820:mprj_dat_i_user[5] 42.9144 +*END + +*D_NET *1885 0.161369 +*CONN +*I *2820:mprj_dat_i_user[6] I *D mgmt_protect +*I *2821:wbs_dat_o[6] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[6] 0.00138799 +2 *2821:wbs_dat_o[6] 0.00183698 +3 *1885:8 0.0071657 +4 *1885:7 0.00577771 +5 *1885:5 0.00183698 +6 *2820:mprj_dat_i_user[6] *1923:13 0.000142465 +7 *2820:mprj_dat_i_user[6] *1949:5 0 +8 *1885:8 *1948:8 0.067673 +9 *1885:8 *1950:8 0.0666897 +10 *1794:8 *1885:8 0 +11 *1817:5 *2820:mprj_dat_i_user[6] 0 +12 *1859:8 *1885:8 0.00500149 +13 *1861:8 *1885:8 0.00385702 +*RES +1 *2821:wbs_dat_o[6] *1885:5 48.2547 +2 *1885:5 *1885:7 3.36879 +3 *1885:7 *1885:8 86.4838 +4 *1885:8 *2820:mprj_dat_i_user[6] 37.505 +*END + +*D_NET *1886 0.123678 +*CONN +*I *2820:mprj_dat_i_user[7] I *D mgmt_protect +*I *2821:wbs_dat_o[7] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[7] 0.00139329 +2 *2821:wbs_dat_o[7] 0.00130635 +3 *1886:23 0.00264694 +4 *1886:18 0.00258077 +5 *1886:17 0.00144413 +6 *1886:8 0.00307456 +7 *1886:7 0.0042639 +8 *2820:mprj_dat_i_user[7] *1923:10 0.000583244 +9 *2820:mprj_dat_i_user[7] *1950:7 0 +10 *1886:8 *1923:14 0.00083916 +11 *1886:8 *1943:10 0.00280392 +12 *1886:8 *1947:20 0.0398798 +13 *1886:17 *2821:wbs_dat_i[28] 5.38612e-06 +14 *1886:18 *1923:14 0.00201661 +15 *1886:23 *1947:10 0 +16 *2820:mprj_dat_i_user[4] *1886:23 0.000568639 +17 *905:14 *1886:18 0.00656241 +18 *1161:14 *2820:mprj_dat_i_user[7] 0.000698415 +19 *1222:5 *1886:23 0.000150416 +20 *1810:16 *1886:18 0.00238245 +21 *1815:5 *1886:23 0 +22 *1816:7 *1886:23 0 +23 *1818:7 *2820:mprj_dat_i_user[7] 0 +24 *1857:8 *1886:8 0.00156008 +25 *1857:8 *1886:18 0.00340191 +26 *1876:13 *1886:8 0.00106346 +27 *1881:8 *1886:18 0.00725056 +28 *1882:8 *1886:8 0.0372013 +*RES +1 *2821:wbs_dat_o[7] *1886:7 39.2591 +2 *1886:7 *1886:8 439.415 +3 *1886:8 *1886:17 11.0518 +4 *1886:17 *1886:18 149.357 +5 *1886:18 *1886:23 35.7471 +6 *1886:23 *2820:mprj_dat_i_user[7] 49.0179 +*END + +*D_NET *1887 0.149255 +*CONN +*I *2820:mprj_dat_i_user[8] I *D mgmt_protect +*I *2821:wbs_dat_o[8] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[8] 0.00134332 +2 *2821:wbs_dat_o[8] 0.00180489 +3 *1887:8 0.00815811 +4 *1887:7 0.00861969 +5 *2820:mprj_dat_i_user[8] *1951:5 0 +6 *1887:8 *1924:8 0.0567917 +7 *1887:8 *1928:12 0.00389601 +8 *1887:8 *1950:8 0.0647163 +9 *2821:la_oenb[4] *2820:mprj_dat_i_user[8] 6.61225e-05 +10 *1794:8 *1887:8 0 +11 *1819:5 *2820:mprj_dat_i_user[8] 0 +12 *1865:8 *1887:8 0.00320782 +13 *1870:13 *2820:mprj_dat_i_user[8] 0.000650572 +*RES +1 *2821:wbs_dat_o[8] *1887:7 49.9625 +2 *1887:7 *1887:8 82.8123 +3 *1887:8 *2820:mprj_dat_i_user[8] 39.166 +*END + +*D_NET *1888 0.103732 +*CONN +*I *2820:mprj_dat_i_user[9] I *D mgmt_protect +*I *2821:wbs_dat_o[9] O *D user_project_wrapper +*CAP +1 *2820:mprj_dat_i_user[9] 0.0022322 +2 *2821:wbs_dat_o[9] 0.00101513 +3 *1888:10 0.0022322 +4 *1888:8 0.0165628 +5 *1888:7 0.017578 +6 *2820:mprj_dat_i_user[9] *1952:7 0 +7 *1888:8 *1951:8 0.0630579 +8 *988:11 *2820:mprj_dat_i_user[9] 0.00105355 +9 *1244:5 *2820:mprj_dat_i_user[9] 0 +10 *1820:7 *2820:mprj_dat_i_user[9] 0 +*RES +1 *2821:wbs_dat_o[9] *1888:7 31.6914 +2 *1888:7 *1888:8 80.9 +3 *1888:8 *1888:10 3.36879 +4 *1888:10 *2820:mprj_dat_i_user[9] 54.0683 +*END + +*D_NET *1889 0.278427 +*CONN +*I *2819:wb_dat_i[0] I *D housekeeping +*I *2820:mprj_dat_o_core[0] I *D mgmt_protect +*I *2826:mprj_dat_o[0] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[0] 0.00127645 +2 *2820:mprj_dat_o_core[0] 0.00233184 +3 *2826:mprj_dat_o[0] 0.00274745 +4 *1889:29 0.00611239 +5 *1889:27 0.00577108 +6 *1889:12 0.00233184 +7 *1889:10 0.0170493 +8 *1889:9 0.0188616 +9 *2819:wb_dat_i[0] *2819:wb_dat_i[1] 0 +10 *2820:mprj_dat_o_core[0] *2820:mprj_sel_o_core[0] 0 +11 *1889:9 *2487:7 0 +12 *1889:10 *2488:10 0.0716646 +13 *1889:27 *2487:7 0 +14 *1889:27 *2487:31 0 +15 *1889:27 *2488:25 0.000277007 +16 *1889:29 *1900:31 0 +17 *1889:29 *1900:35 0.0717864 +18 *1889:29 *2488:27 0.0737779 +19 *2820:mprj_adr_o_core[1] *2820:mprj_dat_o_core[0] 0 +20 *99:10 *1889:29 0.000344331 +21 *1039:14 *1889:10 0.000118356 +22 *1042:10 *1889:10 0.000265141 +23 *1042:21 *2820:mprj_dat_o_core[0] 0.000173332 +24 *1061:10 *1889:10 0 +25 *1301:10 *1889:10 0.000279755 +26 *1425:12 *1889:10 0.000144814 +27 *1427:10 *1889:10 0.000398943 +28 *1541:12 *1889:10 0.000272907 +29 *1750:10 *1889:27 0.00244202 +30 *1758:16 *1889:10 0 +31 *1781:13 *2819:wb_dat_i[0] 0 +32 *1825:7 *2820:mprj_dat_o_core[0] 0 +33 *1825:17 *1889:9 0 +*RES +1 *2826:mprj_dat_o[0] *1889:9 42.9643 +2 *1889:9 *1889:10 92.909 +3 *1889:10 *1889:12 3.36879 +4 *1889:12 *2820:mprj_dat_o_core[0] 49.9158 +5 *2826:mprj_dat_o[0] *1889:27 41.1484 +6 *1889:27 *1889:29 772.732 +7 *1889:29 *2819:wb_dat_i[0] 39.2957 +*END + +*D_NET *1890 0.308916 +*CONN +*I *2819:wb_dat_i[10] I *D housekeeping +*I *2820:mprj_dat_o_core[10] I *D mgmt_protect +*I *2826:mprj_dat_o[10] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[10] 1.28869e-05 +2 *2820:mprj_dat_o_core[10] 0.000791597 +3 *2826:mprj_dat_o[10] 0.00100019 +4 *1890:28 0.00259782 +5 *1890:27 0.00258493 +6 *1890:25 0.00837187 +7 *1890:16 0.00756394 +8 *1890:15 0.00895357 +9 *1890:12 0.00224119 +10 *1890:9 0.00943203 +11 *1890:16 *1892:24 0.0825391 +12 *1890:16 *1907:30 0.00858519 +13 *1890:16 *1914:10 0 +14 *1890:16 *1920:10 0.0822163 +15 *1890:25 *2826:irq[0] 0.00111012 +16 *1890:25 *1893:10 0.0132652 +17 *1890:25 *2489:10 0.00393918 +18 *1890:25 *2741:12 0.000589689 +19 *1890:28 *2819:wb_dat_i[9] 0 +20 *1890:28 *1891:13 0 +21 *1890:28 *1919:32 0 +22 *2820:mprj_adr_o_core[11] *2820:mprj_dat_o_core[10] 0.000276202 +23 *778:13 *2820:mprj_dat_o_core[10] 0.000128425 +24 *1759:7 *1890:9 0 +25 *1759:21 *1890:9 0 +26 *1770:10 *1890:25 0.0012481 +27 *1774:15 *1890:25 0.00040048 +28 *1774:25 *1890:25 0.00455975 +29 *1778:27 *1890:25 0.0266852 +30 *1780:25 *1890:25 0.0274265 +31 *1785:10 *1890:12 9.51286e-05 +32 *1785:10 *1890:25 0.00105257 +33 *1826:5 *2820:mprj_dat_o_core[10] 0 +34 *1828:14 *1890:12 0.000383703 +35 *1828:14 *1890:25 0.00214518 +36 *1830:16 *1890:25 0.00121044 +37 *1839:8 *1890:16 0.00750908 +*RES +1 *2826:mprj_dat_o[10] *1890:9 28.9388 +2 *1890:9 *1890:12 8.55102 +3 *1890:12 *1890:15 48.5946 +4 *1890:15 *1890:16 106.601 +5 *1890:16 *2820:mprj_dat_o_core[10] 23.3864 +6 *1890:9 *1890:25 652.937 +7 *1890:25 *1890:27 4.5 +8 *1890:27 *1890:28 66.4037 +9 *1890:28 *2819:wb_dat_i[10] 0.366399 +*END + +*D_NET *1891 0.369611 +*CONN +*I *2820:mprj_dat_o_core[11] I *D mgmt_protect +*I *2819:wb_dat_i[11] I *D housekeeping +*I *2826:mprj_dat_o[11] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[11] 0.00280116 +2 *2819:wb_dat_i[11] 1.28869e-05 +3 *2826:mprj_dat_o[11] 0.00121786 +4 *1891:26 0.00280116 +5 *1891:24 0.0113687 +6 *1891:23 0.0116327 +7 *1891:13 0.00279151 +8 *1891:12 0.00277863 +9 *1891:10 0.00685346 +10 *1891:9 0.00685346 +11 *1891:7 0.00148184 +12 *1891:10 *1892:10 0.0681465 +13 *1891:10 *1901:10 0.000111627 +14 *1891:10 *1903:10 0.00375699 +15 *1891:10 *1919:29 0.0689758 +16 *1891:10 *2490:10 0.000104965 +17 *1891:13 *1892:13 0 +18 *1891:24 *1895:24 0.0819116 +19 *1891:24 *1901:24 0.000315907 +20 *1891:24 *1902:10 0.000301277 +21 *1891:24 *1904:10 0.000176586 +22 *1891:24 *1919:16 0.0787106 +23 *2820:mprj_adr_o_core[11] *2820:mprj_dat_o_core[11] 0 +24 *2820:mprj_adr_o_core[12] *2820:mprj_dat_o_core[11] 0 +25 *1418:12 *1891:24 9.47718e-05 +26 *1420:10 *1891:24 0.000656921 +27 *1763:10 *1891:10 0.00407213 +28 *1770:24 *1891:24 0.000337459 +29 *1826:8 *1891:24 0.000108607 +30 *1827:7 *2820:mprj_dat_o_core[11] 0 +31 *1827:17 *1891:7 0 +32 *1827:17 *1891:23 0 +33 *1828:5 *2820:mprj_dat_o_core[11] 0 +34 *1834:8 *1891:24 0.000300034 +35 *1838:8 *1891:24 0.000300034 +36 *1840:14 *1891:24 0.00492242 +37 *1842:10 *1891:24 0.00010238 +38 *1842:12 *1891:24 0.00443132 +39 *1849:10 *1891:10 0.000431104 +40 *1851:8 *1891:24 0.000118134 +41 *1855:8 *1891:24 0.000629947 +42 *1890:28 *1891:13 0 +*RES +1 *2826:mprj_dat_o[11] *1891:7 28.2372 +2 *1891:7 *1891:9 3.36879 +3 *1891:9 *1891:10 88.855 +4 *1891:10 *1891:12 3.36879 +5 *1891:12 *1891:13 70.7639 +6 *1891:13 *2819:wb_dat_i[11] 0.366399 +7 *1891:7 *1891:23 8.5228 +8 *1891:23 *1891:24 107.901 +9 *1891:24 *1891:26 3.36879 +10 *1891:26 *2820:mprj_dat_o_core[11] 55.7293 +*END + +*D_NET *1892 0.333638 +*CONN +*I *2820:mprj_dat_o_core[12] I *D mgmt_protect +*I *2819:wb_dat_i[12] I *D housekeeping +*I *2826:mprj_dat_o[12] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[12] 0.00099596 +2 *2819:wb_dat_i[12] 1.28869e-05 +3 *2826:mprj_dat_o[12] 0.00121109 +4 *1892:24 0.00870611 +5 *1892:23 0.009574 +6 *1892:13 0.00282805 +7 *1892:12 0.00281516 +8 *1892:10 0.0131672 +9 *1892:9 0.0131672 +10 *1892:7 0.00307494 +11 *1892:10 *1905:31 0.00383665 +12 *1892:10 *1913:29 0.00280289 +13 *1892:10 *2490:10 0 +14 *1892:13 *1893:13 0 +15 *1892:24 *1894:10 0.0846141 +16 *1892:24 *1914:10 0 +17 *2820:mprj_adr_o_core[13] *2820:mprj_dat_o_core[12] 0 +18 *2826:mprj_dat_i[12] *1892:7 0 +19 *1761:7 *1892:7 0 +20 *1761:23 *1892:7 0 +21 *1761:23 *1892:23 0 +22 *1764:10 *1892:10 0.00411151 +23 *1765:31 *1892:10 0.00291713 +24 *1766:10 *1892:10 0.00256727 +25 *1778:21 *1892:10 0.000101794 +26 *1828:5 *2820:mprj_dat_o_core[12] 0 +27 *1849:10 *1892:10 0.0126975 +28 *1850:8 *1892:24 0.00629978 +29 *1852:8 *1892:24 0.007451 +30 *1890:16 *1892:24 0.0825391 +31 *1891:10 *1892:10 0.0681465 +32 *1891:13 *1892:13 0 +*RES +1 *2826:mprj_dat_o[12] *1892:7 27.944 +2 *1892:7 *1892:9 3.36879 +3 *1892:9 *1892:10 87.0192 +4 *1892:10 *1892:12 3.36879 +5 *1892:12 *1892:13 71.5944 +6 *1892:13 *2819:wb_dat_i[12] 0.366399 +7 *1892:7 *1892:23 42.5735 +8 *1892:23 *1892:24 109.201 +9 *1892:24 *2820:mprj_dat_o_core[12] 24.2169 +*END + +*D_NET *1893 0.244513 +*CONN +*I *2820:mprj_dat_o_core[13] I *D mgmt_protect +*I *2819:wb_dat_i[13] I *D housekeeping +*I *2826:mprj_dat_o[13] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[13] 0.00236649 +2 *2819:wb_dat_i[13] 1.28869e-05 +3 *2826:mprj_dat_o[13] 0.000987653 +4 *1893:26 0.00236649 +5 *1893:24 0.0144721 +6 *1893:23 0.0151695 +7 *1893:13 0.00262858 +8 *1893:12 0.00261569 +9 *1893:10 0.00597878 +10 *1893:9 0.00597878 +11 *1893:7 0.00168502 +12 *1893:10 *1895:10 0.00175964 +13 *1893:10 *2490:10 0.003693 +14 *1893:10 *2741:12 0.000585477 +15 *1893:13 *2819:wb_dat_i[14] 0 +16 *1893:13 *1895:13 0 +17 *1893:24 *1902:16 0.00612238 +18 *1893:24 *1911:16 0.000233528 +19 *2820:mprj_adr_o_core[14] *2820:mprj_dat_o_core[13] 0 +20 *1039:12 *1893:24 0 +21 *1039:14 *1893:24 0 +22 *1291:7 *2820:mprj_dat_o_core[13] 0.000337386 +23 *1301:10 *1893:24 0 +24 *1425:12 *1893:24 9.12806e-05 +25 *1427:10 *1893:24 0 +26 *1762:7 *1893:7 0 +27 *1762:23 *1893:7 0 +28 *1762:23 *1893:23 0 +29 *1779:14 *1893:24 0.000565068 +30 *1780:25 *1893:10 0.0274223 +31 *1782:25 *1893:24 0.000234007 +32 *1783:10 *1893:24 0.000644459 +33 *1784:22 *1893:24 0.0123318 +34 *1785:10 *1893:10 0.0482883 +35 *1786:10 *1893:10 0.000113197 +36 *1787:10 *1893:10 6.44576e-05 +37 *1788:16 *1893:24 0 +38 *1829:7 *2820:mprj_dat_o_core[13] 0.000243842 +39 *1830:5 *2820:mprj_dat_o_core[13] 0 +40 *1832:8 *1893:24 0.0742558 +41 *1890:25 *1893:10 0.0132652 +42 *1892:13 *1893:13 0 +*RES +1 *2826:mprj_dat_o[13] *1893:7 23.5839 +2 *1893:7 *1893:9 4.5 +3 *1893:9 *1893:10 617.997 +4 *1893:10 *1893:12 4.5 +5 *1893:12 *1893:13 67.2342 +6 *1893:13 *2819:wb_dat_i[13] 0.366399 +7 *1893:7 *1893:23 17.5438 +8 *1893:23 *1893:24 801.016 +9 *1893:24 *1893:26 4.5 +10 *1893:26 *2820:mprj_dat_o_core[13] 51.3691 +*END + +*D_NET *1894 0.332364 +*CONN +*I *2819:wb_dat_i[14] I *D housekeeping +*I *2820:mprj_dat_o_core[14] I *D mgmt_protect +*I *2826:mprj_dat_o[14] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[14] 0.00136406 +2 *2820:mprj_dat_o_core[14] 0.00104199 +3 *2826:mprj_dat_o[14] 0.000419759 +4 *1894:27 0.00579284 +5 *1894:25 0.00483249 +6 *1894:10 0.00855247 +7 *1894:9 0.00751048 +8 *1894:7 0.00298915 +9 *1894:5 0.0030052 +10 *2819:wb_dat_i[14] *1895:13 0 +11 *1894:10 *1896:10 0.086594 +12 *1894:10 *1914:10 0.000149947 +13 *1894:27 *1896:26 0.000374422 +14 *1894:27 *1896:28 0.0532068 +15 *1894:27 *1920:29 0.0562267 +16 *1894:27 *2488:27 0 +17 *2820:mprj_adr_o_core[15] *2820:mprj_dat_o_core[14] 0 +18 *99:10 *1894:27 0.000510617 +19 *1750:10 *1894:27 0 +20 *1763:7 *1894:7 0 +21 *1763:7 *1894:25 0 +22 *1763:23 *1894:7 0 +23 *1830:5 *2820:mprj_dat_o_core[14] 0 +24 *1831:7 *2820:mprj_dat_o_core[14] 0 +25 *1854:8 *1894:10 0.00708183 +26 *1856:8 *1894:10 0.00809686 +27 *1892:24 *1894:10 0.0846141 +28 *1893:13 *2819:wb_dat_i[14] 0 +*RES +1 *2826:mprj_dat_o[14] *1894:5 0.366399 +2 *1894:5 *1894:7 65.7808 +3 *1894:7 *1894:9 3.36879 +4 *1894:9 *1894:10 111.649 +5 *1894:10 *2820:mprj_dat_o_core[14] 25.0474 +6 *2826:mprj_dat_o[14] *1894:25 14.1425 +7 *1894:25 *1894:27 603.578 +8 *1894:27 *2819:wb_dat_i[14] 41.7872 +*END + +*D_NET *1895 0.33669 +*CONN +*I *2820:mprj_dat_o_core[15] I *D mgmt_protect +*I *2819:wb_dat_i[15] I *D housekeeping +*I *2826:mprj_dat_o[15] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[15] 0.00267773 +2 *2819:wb_dat_i[15] 1.28869e-05 +3 *2826:mprj_dat_o[15] 0.00114175 +4 *1895:26 0.00267773 +5 *1895:24 0.0125009 +6 *1895:23 0.0128261 +7 *1895:13 0.00268599 +8 *1895:12 0.00267311 +9 *1895:10 0.00493889 +10 *1895:9 0.00493889 +11 *1895:7 0.00146691 +12 *1895:10 *1897:15 0.00137986 +13 *1895:10 *1897:25 0.0533804 +14 *1895:10 *1917:10 0.00367129 +15 *1895:13 *2819:wb_dat_i[16] 0 +16 *1895:24 *1897:16 0.0871548 +17 *1895:24 *1904:10 0.000101537 +18 *1895:24 *1906:10 0.00540283 +19 *2819:wb_dat_i[14] *1895:13 0 +20 *2820:mprj_adr_o_core[16] *2820:mprj_dat_o_core[15] 0 +21 *782:13 *2820:mprj_dat_o_core[15] 0.000673289 +22 *1420:10 *1895:24 0.00056957 +23 *1764:7 *1895:7 0 +24 *1764:23 *1895:23 0 +25 *1774:16 *1895:24 0.00468474 +26 *1787:10 *1895:10 0.0460369 +27 *1788:31 *1895:10 0.000721049 +28 *1826:8 *1895:24 0.00010238 +29 *1831:7 *2820:mprj_dat_o_core[15] 0 +30 *1831:17 *1895:7 0 +31 *1831:17 *1895:23 0 +32 *1840:14 *1895:24 0.000228897 +33 *1842:12 *1895:24 0.000210902 +34 *1851:8 *1895:24 0.000159297 +35 *1891:24 *1895:24 0.0819116 +36 *1893:10 *1895:10 0.00175964 +37 *1893:13 *1895:13 0 +*RES +1 *2826:mprj_dat_o[15] *1895:7 26.3685 +2 *1895:7 *1895:9 4.5 +3 *1895:9 *1895:10 594.149 +4 *1895:10 *1895:12 4.5 +5 *1895:12 *1895:13 68.8952 +6 *1895:13 *2819:wb_dat_i[15] 0.366399 +7 *1895:7 *1895:23 9.56093 +8 *1895:23 *1895:24 112.72 +9 *1895:24 *1895:26 3.36879 +10 *1895:26 *2820:mprj_dat_o_core[15] 56.5598 +*END + +*D_NET *1896 0.334312 +*CONN +*I *2819:wb_dat_i[16] I *D housekeeping +*I *2820:mprj_dat_o_core[16] I *D mgmt_protect +*I *2826:mprj_dat_o[16] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[16] 0.00137866 +2 *2820:mprj_dat_o_core[16] 0.00108842 +3 *2826:mprj_dat_o[16] 1.60434e-05 +4 *1896:28 0.00510176 +5 *1896:26 0.00437438 +6 *1896:10 0.00844337 +7 *1896:9 0.00735495 +8 *1896:7 0.00270711 +9 *1896:5 0.00337444 +10 *2819:wb_dat_i[16] *1897:28 0 +11 *1896:7 *1897:15 0.000245754 +12 *1896:10 *1899:16 0.0878948 +13 *1896:10 *1914:10 0.000371906 +14 *1896:28 *1898:27 0.0522179 +15 *1896:28 *2488:27 0 +16 *2820:mprj_adr_o_core[17] *2820:mprj_dat_o_core[16] 0 +17 *99:10 *1896:28 0.000469437 +18 *1292:7 *2820:mprj_dat_o_core[16] 7.08723e-06 +19 *1750:10 *1896:26 0.000374691 +20 *1750:10 *1896:28 0.000102255 +21 *1765:9 *1896:7 0 +22 *1765:9 *1896:26 0 +23 *1765:15 *1896:7 0.000425505 +24 *1832:5 *2820:mprj_dat_o_core[16] 0 +25 *1837:8 *1896:10 0.00866638 +26 *1843:8 *1896:10 0.00952246 +27 *1894:10 *1896:10 0.086594 +28 *1894:27 *1896:26 0.000374422 +29 *1894:27 *1896:28 0.0532068 +30 *1895:13 *2819:wb_dat_i[16] 0 +*RES +1 *2826:mprj_dat_o[16] *1896:5 0.366399 +2 *1896:5 *1896:7 64.9503 +3 *1896:7 *1896:9 3.36879 +4 *1896:9 *1896:10 114.173 +5 *1896:10 *2820:mprj_dat_o_core[16] 25.8779 +6 *1896:5 *1896:26 28.403 +7 *1896:26 *1896:28 563.923 +8 *1896:28 *2819:wb_dat_i[16] 42.2025 +*END + +*D_NET *1897 0.33518 +*CONN +*I *2819:wb_dat_i[17] I *D housekeeping +*I *2820:mprj_dat_o_core[17] I *D mgmt_protect +*I *2826:mprj_dat_o[17] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[17] 1.28869e-05 +2 *2820:mprj_dat_o_core[17] 0.0029896 +3 *2826:mprj_dat_o[17] 0.00112273 +4 *1897:28 0.00269035 +5 *1897:27 0.00267746 +6 *1897:25 0.00409786 +7 *1897:18 0.0029896 +8 *1897:16 0.0112801 +9 *1897:15 0.0115624 +10 *1897:9 0.00550288 +11 *1897:16 *1908:10 0.00530045 +12 *1897:25 *1899:25 0.0047663 +13 *1897:25 *1915:10 0.0041981 +14 *1897:25 *1919:29 0.000137573 +15 *1897:28 *2819:wb_dat_i[18] 0 +16 *1897:28 *1899:28 0 +17 *2819:wb_dat_i[16] *1897:28 0 +18 *2820:mprj_adr_o_core[17] *2820:mprj_dat_o_core[17] 0 +19 *2820:mprj_adr_o_core[18] *2820:mprj_dat_o_core[17] 0 +20 *782:14 *1897:16 0.000159297 +21 *783:14 *1897:16 0.000341421 +22 *1293:15 *2820:mprj_dat_o_core[17] 0 +23 *1420:10 *1897:16 0.000276702 +24 *1765:9 *1897:15 0 +25 *1765:15 *1897:15 7.84967e-05 +26 *1778:10 *1897:16 0.00468474 +27 *1788:31 *1897:15 0.00137566 +28 *1788:31 *1897:25 0.043314 +29 *1823:10 *1897:16 0.00383165 +30 *1833:7 *2820:mprj_dat_o_core[17] 0 +31 *1834:5 *2820:mprj_dat_o_core[17] 0 +32 *1845:8 *1897:16 0.00010238 +33 *1849:10 *1897:16 0.0795263 +34 *1895:10 *1897:15 0.00137986 +35 *1895:10 *1897:25 0.0533804 +36 *1895:24 *1897:16 0.0871548 +37 *1896:7 *1897:15 0.000245754 +*RES +1 *2826:mprj_dat_o[17] *1897:9 30.1601 +2 *1897:9 *1897:15 27.4037 +3 *1897:15 *1897:16 113.332 +4 *1897:16 *1897:18 3.36879 +5 *1897:18 *2820:mprj_dat_o_core[17] 57.3903 +6 *1897:9 *1897:25 568.638 +7 *1897:25 *1897:27 4.5 +8 *1897:27 *1897:28 69.3105 +9 *1897:28 *2819:wb_dat_i[17] 0.366399 +*END + +*D_NET *1898 0.295222 +*CONN +*I *2819:wb_dat_i[18] I *D housekeeping +*I *2820:mprj_dat_o_core[18] I *D mgmt_protect +*I *2826:mprj_dat_o[18] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[18] 0.00139327 +2 *2820:mprj_dat_o_core[18] 0.00239786 +3 *2826:mprj_dat_o[18] 0.00216238 +4 *1898:27 0.00522992 +5 *1898:25 0.00399788 +6 *1898:12 0.00239786 +7 *1898:10 0.00598176 +8 *1898:9 0.00798291 +9 *2819:wb_dat_i[18] *1899:28 0 +10 *1898:9 *1899:15 0 +11 *1898:10 *1917:24 0.000170045 +12 *1898:10 *2490:24 0.00441048 +13 *1898:27 *1902:31 0.048547 +14 *1898:27 *2488:27 0 +15 *2820:mprj_adr_o_core[19] *2820:mprj_dat_o_core[18] 0.000441647 +16 *2826:mprj_dat_i[18] *1898:9 0 +17 *99:10 *1898:27 0.000424011 +18 *807:13 *2820:mprj_dat_o_core[18] 3.23649e-05 +19 *1037:19 *2820:mprj_dat_o_core[18] 0.000322279 +20 *1750:10 *1898:27 0.000807497 +21 *1784:22 *1898:10 0.000873324 +22 *1832:8 *1898:10 0.0764799 +23 *1834:5 *2820:mprj_dat_o_core[18] 0 +24 *1834:8 *1898:10 0.000725963 +25 *1838:8 *1898:10 0.078226 +26 *1896:28 *1898:27 0.0522179 +27 *1897:28 *2819:wb_dat_i[18] 0 +*RES +1 *2826:mprj_dat_o[18] *1898:9 47.6252 +2 *1898:9 *1898:10 842.612 +3 *1898:10 *1898:12 4.5 +4 *1898:12 *2820:mprj_dat_o_core[18] 52.1996 +5 *2826:mprj_dat_o[18] *1898:25 7.50916 +6 *1898:25 *1898:27 554.218 +7 *1898:27 *2819:wb_dat_i[18] 42.6177 +*END + +*D_NET *1899 0.334484 +*CONN +*I *2819:wb_dat_i[19] I *D housekeeping +*I *2820:mprj_dat_o_core[19] I *D mgmt_protect +*I *2826:mprj_dat_o[19] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[19] 1.28869e-05 +2 *2820:mprj_dat_o_core[19] 0.00115678 +3 *2826:mprj_dat_o[19] 0.00117725 +4 *1899:28 0.00271615 +5 *1899:27 0.00270326 +6 *1899:25 0.00394155 +7 *1899:16 0.00831457 +8 *1899:15 0.00885472 +9 *1899:12 0.00181517 +10 *1899:9 0.00523703 +11 *1899:16 *1903:24 0.0895174 +12 *1899:16 *1914:10 0.000494402 +13 *1899:25 *1901:10 0.0500135 +14 *1899:25 *1919:29 0.00402488 +15 *1899:28 *1901:13 0 +16 *2819:wb_dat_i[18] *1899:28 0 +17 *2820:mprj_adr_o_core[19] *2820:mprj_dat_o_core[19] 0 +18 *2820:mprj_adr_o_core[20] *2820:mprj_dat_o_core[19] 0 +19 *1763:10 *1899:12 0.00046538 +20 *1763:10 *1899:25 0.000750112 +21 *1767:7 *1899:15 0 +22 *1767:23 *1899:15 0 +23 *1788:31 *1899:12 0.00106121 +24 *1788:31 *1899:25 0.0404707 +25 *1835:7 *2820:mprj_dat_o_core[19] 0 +26 *1841:8 *1899:16 0.00910085 +27 *1844:8 *1899:16 0.00999504 +28 *1896:10 *1899:16 0.0878948 +29 *1897:25 *1899:25 0.0047663 +30 *1897:28 *1899:28 0 +31 *1898:9 *1899:15 0 +*RES +1 *2826:mprj_dat_o[19] *1899:9 30.9906 +2 *1899:9 *1899:12 15.7609 +3 *1899:12 *1899:15 41.5353 +4 *1899:15 *1899:16 116.162 +5 *1899:16 *2820:mprj_dat_o_core[19] 26.7084 +6 *1899:9 *1899:25 544.235 +7 *1899:25 *1899:27 4.5 +8 *1899:27 *1899:28 70.141 +9 *1899:28 *2819:wb_dat_i[19] 0.366399 +*END + +*D_NET *1900 0.316102 +*CONN +*I *2819:wb_dat_i[1] I *D housekeeping +*I *2820:mprj_dat_o_core[1] I *D mgmt_protect +*I *2826:mprj_dat_o[1] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[1] 0.00129345 +2 *2820:mprj_dat_o_core[1] 0.00118337 +3 *2826:mprj_dat_o[1] 0.000934834 +4 *1900:35 0.00644845 +5 *1900:33 0.00527793 +6 *1900:31 0.00104173 +7 *1900:10 0.0101824 +8 *1900:9 0.00899905 +9 *1900:7 0.00273688 +10 *1900:5 0.00275293 +11 *2819:wb_dat_i[1] *1911:28 0 +12 *2820:mprj_dat_o_core[1] *2820:mprj_sel_o_core[1] 0 +13 *1900:7 *2488:9 0 +14 *1900:10 *1905:22 0.057857 +15 *1900:10 *1914:10 0.00599524 +16 *1900:10 *2487:16 0.066513 +17 *1900:31 *2488:9 5.22654e-06 +18 *1900:31 *2488:25 0 +19 *1900:35 *1914:27 0 +20 *1900:35 *1914:29 0.0675644 +21 *1900:35 *2488:27 0.000137345 +22 *2819:wb_dat_i[0] *2819:wb_dat_i[1] 0 +23 *2826:mprj_dat_i[1] *1900:7 0 +24 *99:10 *1900:35 0.000361052 +25 *1036:15 *2820:mprj_dat_o_core[1] 0.000374708 +26 *1442:16 *1900:10 0.00116569 +27 *1445:16 *1900:10 0.00164372 +28 *1750:10 *1900:31 0.000459466 +29 *1753:17 *1900:31 0.000691706 +30 *1754:15 *1900:31 0.000695903 +31 *1759:24 *1900:10 0 +32 *1836:5 *2820:mprj_dat_o_core[1] 0 +33 *1889:29 *1900:31 0 +34 *1889:29 *1900:35 0.0717864 +*RES +1 *2826:mprj_dat_o[1] *1900:5 0.366399 +2 *1900:5 *1900:7 59.9673 +3 *1900:7 *1900:9 3.36879 +4 *1900:9 *1900:10 94.7447 +5 *1900:10 *2820:mprj_dat_o_core[1] 30.8609 +6 *2826:mprj_dat_o[1] *1900:31 47.487 +7 *1900:31 *1900:33 3.44819 +8 *1900:33 *1900:35 755.262 +9 *1900:35 *2819:wb_dat_i[1] 39.7109 +*END + +*D_NET *1901 0.299624 +*CONN +*I *2820:mprj_dat_o_core[20] I *D mgmt_protect +*I *2819:wb_dat_i[20] I *D housekeeping +*I *2826:mprj_dat_o[20] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[20] 0.00259727 +2 *2819:wb_dat_i[20] 1.28869e-05 +3 *2826:mprj_dat_o[20] 0.00127831 +4 *1901:26 0.00259727 +5 *1901:24 0.00592133 +6 *1901:23 0.0063126 +7 *1901:13 0.00272734 +8 *1901:12 0.00271445 +9 *1901:10 0.00371315 +10 *1901:9 0.00371315 +11 *1901:7 0.00166959 +12 *1901:10 *1903:10 0.00442671 +13 *1901:10 *1919:29 0.00361216 +14 *1901:13 *2819:wb_dat_i[21] 0 +15 *1901:13 *1903:13 0 +16 *1901:24 *1902:10 0.075208 +17 *1901:24 *1904:16 0.00464826 +18 *1901:24 *1915:24 0.00422798 +19 *1901:24 *1917:24 0.000101365 +20 *2820:mprj_adr_o_core[20] *2820:mprj_dat_o_core[20] 0 +21 *1763:10 *1901:10 0.040398 +22 *1770:7 *1901:7 0 +23 *1770:23 *1901:7 0 +24 *1770:23 *1901:23 0 +25 *1770:24 *1901:24 0.0817262 +26 *1770:27 *2820:mprj_dat_o_core[20] 0.000600739 +27 *1788:16 *1901:24 7.24449e-05 +28 *1834:8 *1901:24 0.000904272 +29 *1837:7 *2820:mprj_dat_o_core[20] 0 +30 *1891:10 *1901:10 0.000111627 +31 *1891:24 *1901:24 0.000315907 +32 *1899:25 *1901:10 0.0500135 +33 *1899:28 *1901:13 0 +*RES +1 *2826:mprj_dat_o[20] *1901:7 28.5913 +2 *1901:7 *1901:9 4.5 +3 *1901:9 *1901:10 529.261 +4 *1901:10 *1901:12 4.5 +5 *1901:12 *1901:13 70.5562 +6 *1901:13 *2819:wb_dat_i[20] 0.366399 +7 *1901:7 *1901:23 11.7303 +8 *1901:23 *1901:24 864.241 +9 *1901:24 *1901:26 4.5 +10 *1901:26 *2820:mprj_dat_o_core[20] 53.8607 +*END + +*D_NET *1902 0.292222 +*CONN +*I *2819:wb_dat_i[21] I *D housekeeping +*I *2820:mprj_dat_o_core[21] I *D mgmt_protect +*I *2826:mprj_dat_o[21] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[21] 0.00140787 +2 *2820:mprj_dat_o_core[21] 0.00243023 +3 *2826:mprj_dat_o[21] 0.00192701 +4 *1902:31 0.00495383 +5 *1902:30 0.00385077 +6 *1902:18 0.00243023 +7 *1902:16 0.000747437 +8 *1902:15 0.000920024 +9 *1902:10 0.00580489 +10 *1902:9 0.00725449 +11 *2819:wb_dat_i[21] *1903:13 0 +12 *1902:10 *1904:10 0.000227819 +13 *1902:10 *1915:24 0.00369876 +14 *1902:10 *1917:24 0.000110257 +15 *1902:15 *1904:15 7.09666e-06 +16 *1902:16 *1911:16 0.000115848 +17 *1902:31 *1904:33 0.0464098 +18 *1902:31 *2488:27 0 +19 *2820:mprj_adr_o_core[22] *2820:mprj_dat_o_core[21] 0 +20 *99:10 *1902:31 0.000390675 +21 *1039:12 *1902:16 0 +22 *1042:10 *1902:16 0 +23 *1425:12 *1902:16 0.000159414 +24 *1750:10 *1902:31 0.000773072 +25 *1767:10 *1902:10 0.000149232 +26 *1770:24 *1902:10 0.000113197 +27 *1771:7 *1902:9 0 +28 *1771:7 *1902:30 0 +29 *1834:8 *1902:10 0.0714783 +30 *1838:5 *2820:mprj_dat_o_core[21] 0.000579466 +31 *1838:8 *1902:10 0.00192735 +32 *1840:8 *1902:16 0.00417674 +33 *1891:24 *1902:10 0.000301277 +34 *1893:24 *1902:16 0.00612238 +35 *1898:27 *1902:31 0.048547 +36 *1901:13 *2819:wb_dat_i[21] 0 +37 *1901:24 *1902:10 0.075208 +*RES +1 *2826:mprj_dat_o[21] *1902:9 39.4423 +2 *1902:9 *1902:10 805.453 +3 *1902:10 *1902:15 11.6625 +4 *1902:15 *1902:16 65.0574 +5 *1902:16 *1902:18 4.5 +6 *1902:18 *2820:mprj_dat_o_core[21] 50.9539 +7 *2826:mprj_dat_o[21] *1902:30 11.6204 +8 *1902:30 *1902:31 518.169 +9 *1902:31 *2819:wb_dat_i[21] 43.033 +*END + +*D_NET *1903 0.32844 +*CONN +*I *2820:mprj_dat_o_core[22] I *D mgmt_protect +*I *2819:wb_dat_i[22] I *D housekeeping +*I *2826:mprj_dat_o[22] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[22] 0.00121938 +2 *2819:wb_dat_i[22] 1.28869e-05 +3 *2826:mprj_dat_o[22] 0.00126585 +4 *1903:24 0.00902899 +5 *1903:23 0.00934009 +6 *1903:13 0.00275313 +7 *1903:12 0.00274024 +8 *1903:10 0.00381831 +9 *1903:9 0.00381831 +10 *1903:7 0.00279633 +11 *1903:10 *1905:31 0.00456254 +12 *1903:13 *2819:wb_dat_i[23] 0 +13 *1903:13 *1905:34 0 +14 *1903:24 *1910:10 0.0107971 +15 *1903:24 *1914:10 0.00215166 +16 *2819:wb_dat_i[21] *1903:13 0 +17 *2820:mprj_adr_o_core[22] *2820:mprj_dat_o_core[22] 0 +18 *2820:mprj_adr_o_core[23] *2820:mprj_dat_o_core[22] 0 +19 *1763:10 *1903:10 0.0377282 +20 *1764:10 *1903:10 0.0379937 +21 *1772:7 *1903:7 0 +22 *1772:7 *1903:23 0 +23 *1772:23 *1903:23 0 +24 *1839:7 *2820:mprj_dat_o_core[22] 0 +25 *1841:8 *1903:24 0.000402729 +26 *1846:8 *1903:24 0.00983221 +27 *1848:8 *1903:24 0.0904777 +28 *1891:10 *1903:10 0.00375699 +29 *1899:16 *1903:24 0.0895174 +30 *1901:10 *1903:10 0.00442671 +31 *1901:13 *1903:13 0 +*RES +1 *2826:mprj_dat_o[22] *1903:7 27.7364 +2 *1903:7 *1903:9 4.5 +3 *1903:9 *1903:10 506.522 +4 *1903:10 *1903:12 4.5 +5 *1903:12 *1903:13 71.3867 +6 *1903:13 *2819:wb_dat_i[22] 0.366399 +7 *1903:7 *1903:23 39.4591 +8 *1903:23 *1903:24 121.363 +9 *1903:24 *2820:mprj_dat_o_core[22] 27.5389 +*END + +*D_NET *1904 0.294593 +*CONN +*I *2819:wb_dat_i[23] I *D housekeeping +*I *2820:mprj_dat_o_core[23] I *D mgmt_protect +*I *2826:mprj_dat_o[23] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[23] 0.00142248 +2 *2820:mprj_dat_o_core[23] 6.22868e-05 +3 *2826:mprj_dat_o[23] 0.00191636 +4 *1904:33 0.00466497 +5 *1904:32 0.0035327 +6 *1904:19 0.00227237 +7 *1904:18 0.00221008 +8 *1904:16 0.000395708 +9 *1904:15 0.000484304 +10 *1904:10 0.00608984 +11 *1904:9 0.00762739 +12 *2819:wb_dat_i[23] *1905:34 0 +13 *1904:10 *1919:16 0.00436322 +14 *1904:33 *1906:25 0.0439073 +15 *2820:mprj_adr_o_core[24] *1904:19 0.00194615 +16 *2826:mprj_dat_i[23] *1904:9 0 +17 *99:10 *1904:33 0.000365725 +18 *1296:15 *1904:19 0.000426605 +19 *1750:10 *1904:33 0.00137154 +20 *1767:10 *1904:10 0 +21 *1770:24 *1904:10 0.0755031 +22 *1771:10 *1904:10 9.49073e-05 +23 *1772:10 *1904:10 9.69703e-05 +24 *1773:9 *1904:32 0 +25 *1773:15 *1904:10 0.000436811 +26 *1788:15 *1904:15 7.09666e-06 +27 *1834:8 *1904:16 0.00464407 +28 *1840:5 *1904:19 0 +29 *1842:12 *1904:10 0.0791797 +30 *1891:24 *1904:10 0.000176586 +31 *1895:24 *1904:10 0.000101537 +32 *1901:24 *1904:16 0.00464826 +33 *1902:10 *1904:10 0.000227819 +34 *1902:15 *1904:15 7.09666e-06 +35 *1902:31 *1904:33 0.0464098 +36 *1903:13 *2819:wb_dat_i[23] 0 +*RES +1 *2826:mprj_dat_o[23] *1904:9 39.3201 +2 *1904:9 *1904:10 835.402 +3 *1904:10 *1904:15 10.4167 +4 *1904:15 *1904:16 49.5285 +5 *1904:16 *1904:18 4.5 +6 *1904:18 *1904:19 53.5309 +7 *1904:19 *2820:mprj_dat_o_core[23] 1.77093 +8 *2826:mprj_dat_o[23] *1904:32 11.2051 +9 *1904:32 *1904:33 493.211 +10 *1904:33 *2819:wb_dat_i[23] 43.4482 +*END + +*D_NET *1905 0.2903 +*CONN +*I *2819:wb_dat_i[24] I *D housekeeping +*I *2820:mprj_dat_o_core[24] I *D mgmt_protect +*I *2826:mprj_dat_o[24] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[24] 1.28869e-05 +2 *2820:mprj_dat_o_core[24] 0.00136296 +3 *2826:mprj_dat_o[24] 0.00131987 +4 *1905:34 0.00277551 +5 *1905:33 0.00276262 +6 *1905:31 0.00406531 +7 *1905:22 0.00865725 +8 *1905:21 0.00770206 +9 *1905:16 0.00229115 +10 *1905:15 0.00269604 +11 *1905:9 0.00619784 +12 *1905:16 *1907:24 0.0191424 +13 *1905:22 *1914:10 0.0621021 +14 *1905:31 *1913:29 0.00265091 +15 *1905:34 *2819:wb_dat_i[25] 0 +16 *1905:34 *1907:13 0 +17 *2819:wb_dat_i[23] *1905:34 0 +18 *2820:mprj_adr_o_core[24] *2820:mprj_dat_o_core[24] 0 +19 *2820:mprj_adr_o_core[25] *2820:mprj_dat_o_core[24] 0 +20 *1759:24 *1905:22 0 +21 *1764:10 *1905:15 0.000383703 +22 *1764:10 *1905:31 0.0351037 +23 *1764:24 *1905:16 0.000935874 +24 *1765:31 *1905:15 0.000379505 +25 *1765:31 *1905:31 0.0354366 +26 *1766:10 *1905:31 0.000113197 +27 *1766:24 *1905:16 0.000260492 +28 *1773:16 *1905:16 0.00028933 +29 *1774:9 *1905:9 0 +30 *1774:15 *1905:9 0 +31 *1774:15 *1905:15 0.000172431 +32 *1785:30 *1905:22 0.00363502 +33 *1829:14 *1905:16 0.00070936 +34 *1839:14 *1905:16 0.0175556 +35 *1841:7 *2820:mprj_dat_o_core[24] 0 +36 *1841:11 *1905:9 0 +37 *1841:11 *1905:15 0.000506002 +38 *1848:8 *1905:22 0.00482388 +39 *1892:10 *1905:31 0.00383665 +40 *1900:10 *1905:22 0.057857 +41 *1903:10 *1905:31 0.00456254 +42 *1903:13 *1905:34 0 +*RES +1 *2826:mprj_dat_o[24] *1905:9 33.0669 +2 *1905:9 *1905:15 36.0609 +3 *1905:15 *1905:16 217.573 +4 *1905:16 *1905:21 17.7982 +5 *1905:21 *1905:22 93.2914 +6 *1905:22 *2820:mprj_dat_o_core[24] 30.0304 +7 *1905:9 *1905:31 481.565 +8 *1905:31 *1905:33 4.5 +9 *1905:33 *1905:34 72.2172 +10 *1905:34 *2819:wb_dat_i[24] 0.366399 +*END + +*D_NET *1906 0.294997 +*CONN +*I *2819:wb_dat_i[25] I *D housekeeping +*I *2820:mprj_dat_o_core[25] I *D mgmt_protect +*I *2826:mprj_dat_o[25] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[25] 0.00143708 +2 *2820:mprj_dat_o_core[25] 0.00305724 +3 *2826:mprj_dat_o[25] 0.00199974 +4 *1906:25 0.00447977 +5 *1906:24 0.00320862 +6 *1906:12 0.00305724 +7 *1906:10 0.00648593 +8 *1906:9 0.00831974 +9 *2819:wb_dat_i[25] *1907:13 0 +10 *1906:10 *1908:10 0.000431253 +11 *2820:mprj_adr_o_core[26] *2820:mprj_dat_o_core[25] 0 +12 *2826:mprj_dat_i[25] *1906:9 0 +13 *99:10 *1906:25 0.000348787 +14 *783:14 *1906:10 0.000163617 +15 *1295:7 *2820:mprj_dat_o_core[25] 0 +16 *1301:15 *2820:mprj_dat_o_core[25] 9.21302e-05 +17 *1750:10 *1906:25 0.0444278 +18 *1773:25 *1906:10 3.04269e-05 +19 *1774:16 *1906:10 0.0839518 +20 *1778:10 *1906:10 0.0834465 +21 *1786:24 *1906:10 3.58099e-05 +22 *1842:5 *2820:mprj_dat_o_core[25] 0 +23 *1842:12 *1906:10 0.00031258 +24 *1849:10 *1906:10 0.000400519 +25 *1895:24 *1906:10 0.00540283 +26 *1904:33 *1906:25 0.0439073 +27 *1905:34 *2819:wb_dat_i[25] 0 +*RES +1 *2826:mprj_dat_o[25] *1906:9 42.6421 +2 *1906:9 *1906:10 904.173 +3 *1906:10 *1906:12 4.5 +4 *1906:12 *2820:mprj_dat_o_core[25] 56.3522 +5 *2826:mprj_dat_o[25] *1906:24 6.93045 +6 *1906:24 *1906:25 467.145 +7 *1906:25 *2819:wb_dat_i[25] 43.8635 +*END + +*D_NET *1907 0.270015 +*CONN +*I *2820:mprj_dat_o_core[26] I *D mgmt_protect +*I *2819:wb_dat_i[26] I *D housekeeping +*I *2826:mprj_dat_o[26] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[26] 0.000848595 +2 *2819:wb_dat_i[26] 1.28869e-05 +3 *2826:mprj_dat_o[26] 0.00158116 +4 *1907:30 0.00597363 +5 *1907:29 0.00564848 +6 *1907:24 0.0029713 +7 *1907:23 0.00323775 +8 *1907:13 0.00289233 +9 *1907:12 0.00287944 +10 *1907:10 0.00446361 +11 *1907:9 0.00446361 +12 *1907:7 0.00237106 +13 *1907:10 *1909:10 0.00213525 +14 *1907:10 *1913:29 0.000578662 +15 *1907:13 *2819:wb_dat_i[27] 0 +16 *1907:13 *1909:13 0 +17 *1907:24 *1909:24 0.00887754 +18 *1907:29 *1909:29 0.000950475 +19 *1907:30 *1909:30 0.00142626 +20 *2819:wb_dat_i[25] *1907:13 0 +21 *2820:mprj_adr_o_core[27] *2820:mprj_dat_o_core[26] 0.000262305 +22 *1759:21 *1907:29 6.99045e-05 +23 *1766:24 *1907:24 0.00118625 +24 *1773:16 *1907:24 0.000290528 +25 *1773:25 *1907:10 9.48476e-05 +26 *1775:10 *1907:10 0.0347913 +27 *1776:7 *1907:7 0 +28 *1776:7 *1907:23 0 +29 *1776:10 *1907:10 0.000540322 +30 *1776:23 *1907:23 0 +31 *1777:10 *1907:10 0.0335827 +32 *1829:14 *1907:24 0.00290708 +33 *1837:8 *1907:30 0.000192826 +34 *1839:8 *1907:30 0.0615879 +35 *1843:7 *2820:mprj_dat_o_core[26] 0 +36 *1850:8 *1907:30 0.0526298 +37 *1852:8 *1907:30 0.00153795 +38 *1854:8 *1907:30 0.000764726 +39 *1856:8 *1907:30 0.000536886 +40 *1890:16 *1907:30 0.00858519 +41 *1905:16 *1907:24 0.0191424 +42 *1905:34 *1907:13 0 +*RES +1 *2826:mprj_dat_o[26] *1907:7 33.5744 +2 *1907:7 *1907:9 4.5 +3 *1907:9 *1907:10 454.944 +4 *1907:10 *1907:12 4.5 +5 *1907:12 *1907:13 75.5393 +6 *1907:13 *2819:wb_dat_i[26] 0.366399 +7 *1907:7 *1907:23 23.7726 +8 *1907:23 *1907:24 236.985 +9 *1907:24 *1907:29 26.1963 +10 *1907:29 *1907:30 679.558 +11 *1907:30 *2820:mprj_dat_o_core[26] 24.31 +*END + +*D_NET *1908 0.291597 +*CONN +*I *2819:wb_dat_i[27] I *D housekeeping +*I *2820:mprj_dat_o_core[27] I *D mgmt_protect +*I *2826:mprj_dat_o[27] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[27] 0.00161633 +2 *2820:mprj_dat_o_core[27] 0.00297255 +3 *2826:mprj_dat_o[27] 0.00166001 +4 *1908:25 0.00460307 +5 *1908:24 0.00310671 +6 *1908:12 0.00297255 +7 *1908:10 0.00636901 +8 *1908:9 0.00790907 +9 *2819:wb_dat_i[27] *1909:13 0 +10 *1908:25 *1910:25 0.0391637 +11 *1908:25 *1912:25 0.0376809 +12 *1908:25 *2497:11 0.00130401 +13 *1908:25 *2498:11 0.0019677 +14 *2820:mprj_adr_o_core[28] *2820:mprj_dat_o_core[27] 0 +15 *1039:9 *2820:mprj_dat_o_core[27] 0 +16 *1423:7 *2820:mprj_dat_o_core[27] 0.000529552 +17 *1777:7 *1908:9 0 +18 *1778:10 *1908:10 0.0864327 +19 *1786:24 *1908:10 0.000106845 +20 *1844:7 *2820:mprj_dat_o_core[27] 0 +21 *1845:5 *2820:mprj_dat_o_core[27] 0 +22 *1845:8 *1908:10 0.0870062 +23 *1849:10 *1908:10 0.00046435 +24 *1897:16 *1908:10 0.00530045 +25 *1906:10 *1908:10 0.000431253 +26 *1907:13 *2819:wb_dat_i[27] 0 +*RES +1 *2826:mprj_dat_o[27] *1908:9 37.366 +2 *1908:9 *1908:10 923.029 +3 *1908:10 *1908:12 4.5 +4 *1908:12 *2820:mprj_dat_o_core[27] 57.1827 +5 *2826:mprj_dat_o[27] *1908:24 6.93045 +6 *1908:24 *1908:25 442.188 +7 *1908:25 *2819:wb_dat_i[27] 47.6007 +*END + +*D_NET *1909 0.243118 +*CONN +*I *2820:mprj_dat_o_core[28] I *D mgmt_protect +*I *2819:wb_dat_i[28] I *D housekeeping +*I *2826:mprj_dat_o[28] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[28] 0.000913379 +2 *2819:wb_dat_i[28] 1.28869e-05 +3 *2826:mprj_dat_o[28] 0.00155801 +4 *1909:30 0.00934545 +5 *1909:29 0.00906052 +6 *1909:24 0.00537426 +7 *1909:23 0.00543878 +8 *1909:13 0.00292931 +9 *1909:12 0.00291642 +10 *1909:10 0.00456583 +11 *1909:9 0.00456583 +12 *1909:7 0.00225098 +13 *1909:10 *1913:29 0 +14 *1909:13 *2819:wb_dat_i[29] 0 +15 *1909:13 *2819:wb_dat_i[30] 0 +16 *1909:24 *1913:16 0 +17 *1909:30 *1920:10 0.00838696 +18 *2819:wb_dat_i[27] *1909:13 0 +19 *1759:21 *1909:29 4.83562e-06 +20 *1763:24 *1909:30 0 +21 *1767:24 *1909:24 0.00128162 +22 *1771:24 *1909:24 0.00126155 +23 *1773:16 *1909:24 0.000325419 +24 *1773:25 *1909:10 0.031584 +25 *1775:24 *1909:24 0 +26 *1776:10 *1909:10 0.0323741 +27 *1777:10 *1909:10 0.000113197 +28 *1777:24 *1909:24 0 +29 *1778:9 *1909:7 0 +30 *1778:13 *2820:mprj_dat_o_core[28] 0 +31 *1781:10 *1909:10 0.000488332 +32 *1825:8 *1909:30 0.0332978 +33 *1827:17 *1909:29 7.09666e-06 +34 *1829:14 *1909:24 0.00285622 +35 *1831:14 *1909:24 0.00575555 +36 *1833:8 *1909:30 0.00126894 +37 *1833:14 *1909:24 0.00128137 +38 *1835:8 *1909:30 0.000106586 +39 *1839:8 *1909:30 0.0604028 +40 *1845:5 *2820:mprj_dat_o_core[28] 0 +41 *1907:10 *1909:10 0.00213525 +42 *1907:13 *1909:13 0 +43 *1907:24 *1909:24 0.00887754 +44 *1907:29 *1909:29 0.000950475 +45 *1907:30 *1909:30 0.00142626 +*RES +1 *2826:mprj_dat_o[28] *1909:7 33.1347 +2 *1909:7 *1909:9 4.5 +3 *1909:9 *1909:10 432.205 +4 *1909:10 *1909:12 4.5 +5 *1909:12 *1909:13 76.785 +6 *1909:13 *2819:wb_dat_i[28] 0.366399 +7 *1909:7 *1909:23 21.6963 +8 *1909:23 *1909:24 264.715 +9 *1909:24 *1909:29 27.8573 +10 *1909:29 *1909:30 667.357 +11 *1909:30 *2820:mprj_dat_o_core[28] 23.4795 +*END + +*D_NET *1910 0.297755 +*CONN +*I *2819:wb_dat_i[29] I *D housekeeping +*I *2820:mprj_dat_o_core[29] I *D mgmt_protect +*I *2826:mprj_dat_o[29] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[29] 0.00159489 +2 *2820:mprj_dat_o_core[29] 0.00111972 +3 *2826:mprj_dat_o[29] 0.000163759 +4 *1910:25 0.00431893 +5 *1910:24 0.00287175 +6 *1910:10 0.00688427 +7 *1910:9 0.00576455 +8 *1910:7 0.00272239 +9 *1910:5 0.00273843 +10 *2819:wb_dat_i[29] *2819:wb_dat_i[30] 0 +11 *1910:10 *1912:10 0.0891457 +12 *1910:25 *1912:25 0.000226394 +13 *1910:25 *2497:11 0.0396861 +14 *2820:mprj_adr_o_core[30] *2820:mprj_dat_o_core[29] 0 +15 *1780:9 *1910:7 0 +16 *1780:9 *1910:24 3.67528e-06 +17 *1827:8 *1910:10 0.00027469 +18 *1846:7 *2820:mprj_dat_o_core[29] 0.000282677 +19 *1846:8 *1910:10 0.0891393 +20 *1848:8 *1910:10 0.000856794 +21 *1903:24 *1910:10 0.0107971 +22 *1908:25 *1910:25 0.0391637 +23 *1909:13 *2819:wb_dat_i[29] 0 +*RES +1 *2826:mprj_dat_o[29] *1910:5 0.366399 +2 *1910:5 *1910:7 63.4969 +3 *1910:7 *1910:9 4.5 +4 *1910:9 *1910:10 941.331 +5 *1910:10 *2820:mprj_dat_o_core[29] 28.4625 +6 *2826:mprj_dat_o[29] *1910:24 8.02964 +7 *1910:24 *1910:25 417.785 +8 *1910:25 *2819:wb_dat_i[29] 47.1855 +*END + +*D_NET *1911 0.360991 +*CONN +*I *2819:wb_dat_i[2] I *D housekeeping +*I *2820:mprj_dat_o_core[2] I *D mgmt_protect +*I *2826:mprj_dat_o[2] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[2] 1.28869e-05 +2 *2820:mprj_dat_o_core[2] 0.00250284 +3 *2826:mprj_dat_o[2] 0.000957377 +4 *1911:28 0.00268226 +5 *1911:27 0.00266937 +6 *1911:25 0.0101619 +7 *1911:18 0.00250284 +8 *1911:16 0.00606982 +9 *1911:15 0.00723428 +10 *1911:9 0.0122837 +11 *2820:mprj_dat_o_core[2] *2820:mprj_sel_o_core[2] 0 +12 *1911:9 *2489:7 0 +13 *1911:9 *2489:21 0 +14 *1911:16 *2488:10 0.0736658 +15 *1911:16 *2490:24 0.0733768 +16 *1911:25 *1915:10 0.00119051 +17 *1911:25 *1917:10 0.075414 +18 *1911:25 *2489:10 0.00110192 +19 *1911:25 *2490:10 0.0798902 +20 *1911:28 *2819:wb_dat_i[3] 0 +21 *1911:28 *1915:13 0 +22 *2819:wb_dat_i[1] *1911:28 0 +23 *2820:mprj_adr_o_core[3] *2820:mprj_dat_o_core[2] 0 +24 *1158:12 *1911:16 0.000385943 +25 *1541:12 *1911:16 0.000216058 +26 *1758:16 *1911:16 0 +27 *1779:11 *1911:15 0.000605259 +28 *1785:10 *1911:25 0.00411934 +29 *1786:10 *1911:25 0.00359888 +30 *1847:5 *2820:mprj_dat_o_core[2] 0 +31 *1849:10 *1911:15 0 +32 *1849:10 *1911:25 0 +33 *1893:24 *1911:16 0.000233528 +34 *1902:16 *1911:16 0.000115848 +*RES +1 *2826:mprj_dat_o[2] *1911:9 27.1603 +2 *1911:9 *1911:15 20.7163 +3 *1911:15 *1911:16 95.0507 +4 *1911:16 *1911:18 3.36879 +5 *1911:18 *2820:mprj_dat_o_core[2] 51.5768 +6 *1911:9 *1911:25 105.53 +7 *1911:25 *1911:27 3.36879 +8 *1911:27 *1911:28 67.4418 +9 *1911:28 *2819:wb_dat_i[2] 0.366399 +*END + +*D_NET *1912 0.26343 +*CONN +*I *2819:wb_dat_i[30] I *D housekeeping +*I *2820:mprj_dat_o_core[30] I *D mgmt_protect +*I *2826:mprj_dat_o[30] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[30] 0.0016275 +2 *2820:mprj_dat_o_core[30] 0.00126778 +3 *2826:mprj_dat_o[30] 0.000152338 +4 *1912:25 0.00430209 +5 *1912:24 0.00281089 +6 *1912:10 0.0164648 +7 *1912:9 0.015197 +8 *1912:7 0.00269347 +9 *1912:5 0.00270952 +10 *2819:wb_dat_i[30] *1913:32 0 +11 *1912:25 *2497:11 6.44576e-05 +12 *1912:25 *2498:11 0.0384668 +13 *2819:wb_dat_i[29] *2819:wb_dat_i[30] 0 +14 *2820:mprj_adr_o_core[31] *2820:mprj_dat_o_core[30] 0 +15 *1781:7 *1912:7 0 +16 *1781:7 *1912:24 3.67528e-06 +17 *1781:23 *1912:7 0 +18 *1827:8 *1912:10 0.0321659 +19 *1848:7 *2820:mprj_dat_o_core[30] 0 +20 *1848:8 *1912:10 0.0184504 +21 *1908:25 *1912:25 0.0376809 +22 *1909:13 *2819:wb_dat_i[30] 0 +23 *1910:10 *1912:10 0.0891457 +24 *1910:25 *1912:25 0.000226394 +*RES +1 *2826:mprj_dat_o[30] *1912:5 0.366399 +2 *1912:5 *1912:7 63.0817 +3 *1912:7 *1912:9 4.5 +4 *1912:9 *1912:10 949.65 +5 *1912:10 *2820:mprj_dat_o_core[30] 28.8777 +6 *2826:mprj_dat_o[30] *1912:24 7.76095 +7 *1912:24 *1912:25 405.029 +8 *1912:25 *2819:wb_dat_i[30] 48.016 +*END + +*D_NET *1913 0.232297 +*CONN +*I *2819:wb_dat_i[31] I *D housekeeping +*I *2820:mprj_dat_o_core[31] I *D mgmt_protect +*I *2826:mprj_dat_o[31] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[31] 1.28869e-05 +2 *2820:mprj_dat_o_core[31] 0.00229496 +3 *2826:mprj_dat_o[31] 0.00144991 +4 *1913:32 0.00278857 +5 *1913:31 0.00277568 +6 *1913:29 0.00663005 +7 *1913:27 0.00687256 +8 *1913:16 0.0186723 +9 *1913:15 0.0169552 +10 *1913:10 0.00227028 +11 *2820:mprj_dat_o_core[31] *2820:mprj_iena_wb 0 +12 *1913:10 *2739:7 0 +13 *1913:15 *2739:7 0.000271492 +14 *1913:32 *2819:wb_sel_i[0] 0 +15 *2819:wb_dat_i[30] *1913:32 0 +16 *1051:10 *1913:16 0.0190844 +17 *1303:10 *1913:16 0.00996546 +18 *1318:10 *1913:16 0 +19 *1442:10 *1913:16 0.000393014 +20 *1444:10 *1913:16 0.0232203 +21 *1758:21 *2820:mprj_dat_o_core[31] 0 +22 *1766:10 *1913:27 0.000308494 +23 *1766:10 *1913:29 0.0236326 +24 *1769:24 *1913:16 0.000199305 +25 *1775:10 *1913:27 6.04938e-05 +26 *1775:10 *1913:29 0.00483046 +27 *1777:24 *1913:16 0.0659322 +28 *1778:21 *1913:10 0.000166542 +29 *1778:21 *1913:27 0.000595132 +30 *1780:21 *1913:10 0.000383717 +31 *1780:21 *1913:27 0.000872795 +32 *1781:24 *1913:16 0.015626 +33 *1849:7 *2820:mprj_dat_o_core[31] 0 +34 *1892:10 *1913:29 0.00280289 +35 *1905:31 *1913:29 0.00265091 +36 *1907:10 *1913:29 0.000578662 +37 *1909:10 *1913:29 0 +38 *1909:24 *1913:16 0 +*RES +1 *2826:mprj_dat_o[31] *1913:10 38.7789 +2 *1913:10 *1913:15 25.3658 +3 *1913:15 *1913:16 962.961 +4 *1913:16 *2820:mprj_dat_o_core[31] 45.9031 +5 *1913:10 *1913:27 17.6629 +6 *1913:27 *1913:29 373.14 +7 *1913:29 *1913:31 4.5 +8 *1913:31 *1913:32 73.463 +9 *1913:32 *2819:wb_dat_i[31] 0.366399 +*END + +*D_NET *1914 0.318143 +*CONN +*I *2819:wb_dat_i[3] I *D housekeeping +*I *2820:mprj_dat_o_core[3] I *D mgmt_protect +*I *2826:mprj_dat_o[3] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[3] 0.00130564 +2 *2820:mprj_dat_o_core[3] 0.00120926 +3 *2826:mprj_dat_o[3] 0.00123091 +4 *1914:29 0.00590687 +5 *1914:27 0.00571808 +6 *1914:10 0.0135199 +7 *1914:9 0.0123106 +8 *1914:7 0.00304596 +9 *1914:5 0.00316002 +10 *2819:wb_dat_i[3] *1915:13 0 +11 *2820:mprj_dat_o_core[3] *2820:mprj_sel_o_core[3] 0 +12 *1914:10 *1916:10 0 +13 *1914:10 *1918:10 0 +14 *1914:10 *1920:10 0 +15 *1914:29 *1916:27 0 +16 *1914:29 *1916:29 0.0658585 +17 *1914:29 *2488:27 0.000128915 +18 *2820:mprj_adr_o_core[3] *2820:mprj_dat_o_core[3] 0 +19 *99:10 *1914:29 0.000385684 +20 *1427:16 *1914:10 0.000108607 +21 *1750:10 *1914:27 0.000819759 +22 *1750:10 *1914:29 0 +23 *1779:34 *1914:10 0.00318204 +24 *1782:24 *1914:7 0 +25 *1783:30 *1914:10 0.0031137 +26 *1785:30 *1914:10 0.000118134 +27 *1848:8 *1914:10 0.0581913 +28 *1850:7 *2820:mprj_dat_o_core[3] 0 +29 *1850:11 *1914:7 0 +30 *1890:16 *1914:10 0 +31 *1892:24 *1914:10 0 +32 *1894:10 *1914:10 0.000149947 +33 *1896:10 *1914:10 0.000371906 +34 *1899:16 *1914:10 0.000494402 +35 *1900:10 *1914:10 0.00599524 +36 *1900:35 *1914:27 0 +37 *1900:35 *1914:29 0.0675644 +38 *1903:24 *1914:10 0.00215166 +39 *1905:22 *1914:10 0.0621021 +40 *1911:28 *2819:wb_dat_i[3] 0 +*RES +1 *2826:mprj_dat_o[3] *1914:5 2.05183 +2 *1914:5 *1914:7 67.4418 +3 *1914:7 *1914:9 3.36879 +4 *1914:9 *1914:10 97.7278 +5 *1914:10 *2820:mprj_dat_o_core[3] 29.1999 +6 *2826:mprj_dat_o[3] *1914:27 42.3045 +7 *1914:27 *1914:29 713.389 +8 *1914:29 *2819:wb_dat_i[3] 40.1262 +*END + +*D_NET *1915 0.374431 +*CONN +*I *2820:mprj_dat_o_core[4] I *D mgmt_protect +*I *2819:wb_dat_i[4] I *D housekeeping +*I *2826:mprj_dat_o[4] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[4] 6.22868e-05 +2 *2819:wb_dat_i[4] 1.28869e-05 +3 *2826:mprj_dat_o[4] 0.00112052 +4 *1915:33 0.00179002 +5 *1915:32 0.00212166 +6 *1915:24 0.00863877 +7 *1915:23 0.00871068 +8 *1915:13 0.00275576 +9 *1915:12 0.00274288 +10 *1915:10 0.00857732 +11 *1915:9 0.00857732 +12 *1915:7 0.00158637 +13 *1915:10 *1917:10 0.076027 +14 *1915:10 *1919:15 0.0012339 +15 *1915:10 *1919:29 0.0725268 +16 *1915:13 *2819:wb_dat_i[5] 0 +17 *1915:13 *1917:13 0 +18 *1915:24 *1917:24 0.0743651 +19 *1915:24 *1919:16 0.0743629 +20 *1915:32 *1919:16 0.000123966 +21 *1915:32 *1919:22 2.05602e-05 +22 *2819:wb_dat_i[3] *1915:13 0 +23 *2820:mprj_adr_o_core[5] *1915:33 0.00292763 +24 *2826:la_input[98] *1915:33 0.000139486 +25 *779:11 *1915:32 8.67307e-05 +26 *1290:12 *1915:32 0.00367441 +27 *1297:19 *1915:33 0.000572025 +28 *1418:12 *1915:32 0.00385521 +29 *1784:7 *1915:7 0 +30 *1784:7 *1915:23 0 +31 *1784:21 *1915:23 0 +32 *1788:31 *1915:10 0.00380887 +33 *1826:5 *1915:32 8.67307e-05 +34 *1849:10 *1915:10 0.000607951 +35 *1851:5 *1915:33 0 +36 *1897:25 *1915:10 0.0041981 +37 *1901:24 *1915:24 0.00422798 +38 *1902:10 *1915:24 0.00369876 +39 *1911:25 *1915:10 0.00119051 +40 *1911:28 *1915:13 0 +*RES +1 *2826:mprj_dat_o[4] *1915:7 27.138 +2 *1915:7 *1915:9 3.36879 +3 *1915:9 *1915:10 100.405 +4 *1915:10 *1915:12 3.36879 +5 *1915:12 *1915:13 69.1029 +6 *1915:13 *2819:wb_dat_i[4] 0.366399 +7 *1915:7 *1915:23 11.8448 +8 *1915:23 *1915:24 94.7447 +9 *1915:24 *1915:32 16.8836 +10 *1915:32 *1915:33 55.8148 +11 *1915:33 *2820:mprj_dat_o_core[4] 1.77093 +*END + +*D_NET *1916 0.330349 +*CONN +*I *2819:wb_dat_i[5] I *D housekeeping +*I *2820:mprj_dat_o_core[5] I *D mgmt_protect +*I *2826:mprj_dat_o[5] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[5] 0.00132024 +2 *2820:mprj_dat_o_core[5] 0.000684729 +3 *2826:mprj_dat_o[5] 0.000849902 +4 *1916:29 0.00564882 +5 *1916:27 0.00506006 +6 *1916:10 0.00814363 +7 *1916:9 0.00745891 +8 *1916:7 0.00350791 +9 *1916:5 0.00362634 +10 *2819:wb_dat_i[5] *1917:13 0 +11 *1916:10 *1918:10 0.0776233 +12 *1916:10 *2489:24 0.0735209 +13 *1916:29 *1918:27 0.0653741 +14 *1916:29 *2488:27 8.8758e-05 +15 *2820:mprj_adr_o_core[6] *2820:mprj_dat_o_core[5] 0 +16 *99:10 *1916:29 0.000418593 +17 *781:11 *2820:mprj_dat_o_core[5] 3.29488e-05 +18 *1750:10 *1916:27 0.000537176 +19 *1750:10 *1916:29 0 +20 *1763:24 *1916:10 0.000513389 +21 *1831:8 *1916:10 0.00490697 +22 *1835:8 *1916:10 0.00491675 +23 *1852:7 *2820:mprj_dat_o_core[5] 0.000256964 +24 *1852:11 *1916:7 0 +25 *1914:10 *1916:10 0 +26 *1914:29 *1916:27 0 +27 *1914:29 *1916:29 0.0658585 +28 *1915:13 *2819:wb_dat_i[5] 0 +*RES +1 *2826:mprj_dat_o[5] *1916:5 2.05183 +2 *1916:5 *1916:7 75.7469 +3 *1916:7 *1916:9 3.36879 +4 *1916:9 *1916:10 100.558 +5 *1916:10 *2820:mprj_dat_o_core[5] 20.8949 +6 *2826:mprj_dat_o[5] *1916:27 29.6789 +7 *1916:27 *1916:29 695.642 +8 *1916:29 *2819:wb_dat_i[5] 40.5414 +*END + +*D_NET *1917 0.372861 +*CONN +*I *2820:mprj_dat_o_core[6] I *D mgmt_protect +*I *2819:wb_dat_i[6] I *D housekeeping +*I *2826:mprj_dat_o[6] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_dat_o_core[6] 0.00262818 +2 *2819:wb_dat_i[6] 1.28869e-05 +3 *2826:mprj_dat_o[6] 0.00109663 +4 *1917:26 0.00262818 +5 *1917:24 0.010394 +6 *1917:23 0.0109239 +7 *1917:13 0.00269761 +8 *1917:12 0.00268472 +9 *1917:10 0.00814651 +10 *1917:9 0.00814651 +11 *1917:7 0.00162655 +12 *1917:10 *1919:29 0.000249792 +13 *1917:10 *2490:10 0.000499585 +14 *1917:13 *2819:wb_dat_i[7] 0 +15 *1917:13 *1919:32 0 +16 *1917:24 *1919:16 0.00121336 +17 *1917:24 *1919:22 0.001208 +18 *1917:24 *2490:24 0.075337 +19 *2819:wb_dat_i[5] *1917:13 0 +20 *2826:mprj_dat_i[6] *1917:7 0 +21 *2826:mprj_dat_i[6] *1917:23 0 +22 *783:11 *2820:mprj_dat_o_core[6] 0 +23 *1290:12 *1917:24 0.00055542 +24 *1786:7 *1917:7 0 +25 *1786:23 *1917:23 0 +26 *1786:27 *2820:mprj_dat_o_core[6] 0 +27 *1787:10 *1917:10 0.00422959 +28 *1832:8 *1917:24 0.000156676 +29 *1834:8 *1917:24 0.00456391 +30 *1838:8 *1917:24 0.00400287 +31 *1853:5 *2820:mprj_dat_o_core[6] 0 +32 *1895:10 *1917:10 0.00367129 +33 *1898:10 *1917:24 0.000170045 +34 *1901:24 *1917:24 0.000101365 +35 *1902:10 *1917:24 0.000110257 +36 *1911:25 *1917:10 0.075414 +37 *1915:10 *1917:10 0.076027 +38 *1915:13 *1917:13 0 +39 *1915:24 *1917:24 0.0743651 +*RES +1 *2826:mprj_dat_o[6] *1917:7 26.3074 +2 *1917:7 *1917:9 3.36879 +3 *1917:9 *1917:10 97.4984 +4 *1917:10 *1917:12 3.36879 +5 *1917:12 *1917:13 68.2723 +6 *1917:13 *2819:wb_dat_i[6] 0.366399 +7 *1917:7 *1917:23 13.5058 +8 *1917:23 *1917:24 101.782 +9 *1917:24 *1917:26 3.36879 +10 *1917:26 *2820:mprj_dat_o_core[6] 53.2378 +*END + +*D_NET *1918 0.330391 +*CONN +*I *2819:wb_dat_i[7] I *D housekeeping +*I *2820:mprj_dat_o_core[7] I *D mgmt_protect +*I *2826:mprj_dat_o[7] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[7] 0.00133485 +2 *2820:mprj_dat_o_core[7] 0.000841865 +3 *2826:mprj_dat_o[7] 0.000448988 +4 *1918:27 0.00602508 +5 *1918:25 0.00512318 +6 *1918:10 0.00835826 +7 *1918:9 0.0075164 +8 *1918:7 0.00319654 +9 *1918:5 0.00321258 +10 *2819:wb_dat_i[7] *1919:32 0 +11 *1918:7 *1919:15 0 +12 *1918:10 *1920:10 0.0797655 +13 *1918:27 *1920:27 3.08263e-05 +14 *1918:27 *1920:29 0.0625264 +15 *1918:27 *2488:27 3.18408e-05 +16 *2820:mprj_adr_o_core[8] *2820:mprj_dat_o_core[7] 0 +17 *99:10 *1918:27 0.000463439 +18 *806:8 *1918:10 0.00353649 +19 *1750:10 *1918:27 0 +20 *1763:24 *1918:10 0.000310774 +21 *1787:7 *1918:7 0 +22 *1787:7 *1918:25 0 +23 *1787:23 *1918:7 0 +24 *1833:8 *1918:10 0.00467054 +25 *1854:7 *2820:mprj_dat_o_core[7] 0 +26 *1855:5 *2820:mprj_dat_o_core[7] 0 +27 *1914:10 *1918:10 0 +28 *1916:10 *1918:10 0.0776233 +29 *1916:29 *1918:27 0.0653741 +30 *1917:13 *2819:wb_dat_i[7] 0 +*RES +1 *2826:mprj_dat_o[7] *1918:5 0.366399 +2 *1918:5 *1918:7 69.1029 +3 *1918:7 *1918:9 3.36879 +4 *1918:9 *1918:10 103.159 +5 *1918:10 *2820:mprj_dat_o_core[7] 21.7254 +6 *2826:mprj_dat_o[7] *1918:25 14.9624 +7 *1918:25 *1918:27 690.65 +8 *1918:27 *2819:wb_dat_i[7] 40.9567 +*END + +*D_NET *1919 0.367724 +*CONN +*I *2819:wb_dat_i[8] I *D housekeeping +*I *2820:mprj_dat_o_core[8] I *D mgmt_protect +*I *2826:mprj_dat_o[8] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[8] 1.28869e-05 +2 *2820:mprj_dat_o_core[8] 0.00263227 +3 *2826:mprj_dat_o[8] 0.00111829 +4 *1919:32 0.00276718 +5 *1919:31 0.00275429 +6 *1919:29 0.00748459 +7 *1919:22 0.00280255 +8 *1919:16 0.00990997 +9 *1919:15 0.0101849 +10 *1919:9 0.00904805 +11 *1919:29 *2490:10 0.000110017 +12 *1919:32 *2819:wb_dat_i[9] 0 +13 *2819:wb_dat_i[7] *1919:32 0 +14 *2820:mprj_adr_o_core[9] *2820:mprj_dat_o_core[8] 0 +15 *1290:12 *1919:22 0.00112571 +16 *1418:12 *1919:16 0.000328712 +17 *1543:9 *2820:mprj_dat_o_core[8] 0.000154302 +18 *1770:24 *1919:16 0.00422857 +19 *1787:23 *1919:15 0.000341446 +20 *1788:9 *1919:9 0 +21 *1788:16 *1919:16 0.00085334 +22 *1849:10 *1919:15 0.000223197 +23 *1849:10 *1919:29 0.000880138 +24 *1855:5 *2820:mprj_dat_o_core[8] 0 +25 *1890:28 *1919:32 0 +26 *1891:10 *1919:29 0.0689758 +27 *1891:24 *1919:16 0.0787106 +28 *1897:25 *1919:29 0.000137573 +29 *1899:25 *1919:29 0.00402488 +30 *1901:10 *1919:29 0.00361216 +31 *1904:10 *1919:16 0.00436322 +32 *1915:10 *1919:15 0.0012339 +33 *1915:10 *1919:29 0.0725268 +34 *1915:24 *1919:16 0.0743629 +35 *1915:32 *1919:16 0.000123966 +36 *1915:32 *1919:22 2.05602e-05 +37 *1917:10 *1919:29 0.000249792 +38 *1917:13 *1919:32 0 +39 *1917:24 *1919:16 0.00121336 +40 *1917:24 *1919:22 0.001208 +41 *1918:7 *1919:15 0 +*RES +1 *2826:mprj_dat_o[8] *1919:9 29.6518 +2 *1919:9 *1919:15 15.1323 +3 *1919:15 *1919:16 101.438 +4 *1919:16 *1919:22 5.07319 +5 *1919:22 *2820:mprj_dat_o_core[8] 54.0683 +6 *1919:9 *1919:29 93.8268 +7 *1919:29 *1919:31 3.36879 +8 *1919:31 *1919:32 69.9334 +9 *1919:32 *2819:wb_dat_i[8] 0.366399 +*END + +*D_NET *1920 0.329666 +*CONN +*I *2819:wb_dat_i[9] I *D housekeeping +*I *2820:mprj_dat_o_core[9] I *D mgmt_protect +*I *2826:mprj_dat_o[9] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_dat_i[9] 0.00134945 +2 *2820:mprj_dat_o_core[9] 0.000903198 +3 *2826:mprj_dat_o[9] 0.000627591 +4 *1920:29 0.00645531 +5 *1920:27 0.00564361 +6 *1920:10 0.00774357 +7 *1920:9 0.00684037 +8 *1920:7 0.00313757 +9 *1920:5 0.00322742 +10 *1920:29 *2488:27 0 +11 *2820:mprj_adr_o_core[10] *2820:mprj_dat_o_core[9] 0 +12 *99:10 *1920:29 0.000504093 +13 *1750:10 *1920:27 0.000120367 +14 *1750:10 *1920:29 0 +15 *1758:9 *1920:27 0 +16 *1825:8 *1920:10 0.00396092 +17 *1856:7 *2820:mprj_dat_o_core[9] 0 +18 *1856:11 *1920:7 0 +19 *1890:16 *1920:10 0.0822163 +20 *1890:28 *2819:wb_dat_i[9] 0 +21 *1894:27 *1920:29 0.0562267 +22 *1909:30 *1920:10 0.00838696 +23 *1914:10 *1920:10 0 +24 *1918:10 *1920:10 0.0797655 +25 *1918:27 *1920:27 3.08263e-05 +26 *1918:27 *1920:29 0.0625264 +27 *1919:32 *2819:wb_dat_i[9] 0 +*RES +1 *2826:mprj_dat_o[9] *1920:5 2.05183 +2 *1920:5 *1920:7 68.2723 +3 *1920:7 *1920:9 3.36879 +4 *1920:9 *1920:10 105.377 +5 *1920:10 *2820:mprj_dat_o_core[9] 22.5559 +6 *2826:mprj_dat_o[9] *1920:27 19.4354 +7 *1920:27 *1920:29 660.979 +8 *1920:29 *2819:wb_dat_i[9] 41.372 +*END + +*D_NET *1921 0.152187 +*CONN +*I *2821:wbs_dat_i[0] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[0] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[0] 0.00184119 +2 *2820:mprj_dat_o_user[0] 0.00133099 +3 *1921:10 0.00184119 +4 *1921:8 0.00651909 +5 *1921:7 0.00785008 +6 *1921:7 *2491:7 0 +7 *1921:8 *1932:8 0.00015065 +8 *1921:8 *2492:8 0.0659734 +9 *1921:8 *2500:8 0.000650002 +10 *2820:mprj_dat_i_user[0] *1921:7 0 +11 *1200:11 *1921:7 9.32704e-05 +12 *1816:8 *1921:8 0.0578183 +13 *1868:8 *1921:8 0.000179648 +14 *1879:8 *1921:8 0.00762504 +15 *1883:8 *1921:8 0.000313928 +*RES +1 *2820:mprj_dat_o_user[0] *1921:7 35.937 +2 *1921:7 *1921:8 734.464 +3 *1921:8 *1921:10 4.5 +4 *1921:10 *2821:wbs_dat_i[0] 50.9539 +*END + +*D_NET *1922 0.130723 +*CONN +*I *2821:wbs_dat_i[10] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[10] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[10] 0.00192475 +2 *2820:mprj_dat_o_user[10] 0.00142327 +3 *1922:10 0.00192475 +4 *1922:8 0.00370772 +5 *1922:7 0.005131 +6 *1922:8 *1948:8 0.00609631 +7 *1922:8 *1952:8 0.0547386 +8 *2820:mprj_dat_i_user[10] *1922:7 0 +9 *2820:mprj_dat_i_user[11] *1922:7 0 +10 *988:8 *1922:8 0.00109722 +11 *1233:8 *1922:8 6.24819e-05 +12 *1791:7 *1922:7 0 +13 *1820:8 *1922:8 0.0542777 +14 *1859:8 *1922:8 0.000339591 +*RES +1 *2820:mprj_dat_o_user[10] *1922:7 38.0133 +2 *1922:7 *1922:8 583.612 +3 *1922:8 *1922:10 4.5 +4 *1922:10 *2821:wbs_dat_i[10] 48.8776 +*END + +*D_NET *1923 0.116535 +*CONN +*I *2821:wbs_dat_i[11] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[11] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[11] 0.00127891 +2 *2820:mprj_dat_o_user[11] 0.000766806 +3 *1923:14 0.00557087 +4 *1923:13 0.00550317 +5 *1923:10 0.00209135 +6 *1923:7 0.00164694 +7 *1923:13 *1949:5 0.000495853 +8 *1923:14 *1925:8 0.0461345 +9 *1923:14 *1947:20 0.0324475 +10 *1923:14 *1949:8 0.000129117 +11 *1923:14 *2493:8 0.00174065 +12 *2820:mprj_dat_i_user[11] *1923:7 0 +13 *2820:mprj_dat_i_user[6] *1923:13 0.000142465 +14 *2820:mprj_dat_i_user[7] *1923:10 0.000583244 +15 *2821:la_data_in[4] *1923:13 1.87469e-05 +16 *1161:14 *1923:10 0.00386037 +17 *1792:7 *1923:7 0 +18 *1810:16 *1923:14 0.00237825 +19 *1817:5 *1923:13 0 +20 *1857:8 *1923:14 1.71154e-05 +21 *1881:8 *1923:14 0.00887335 +22 *1886:8 *1923:14 0.00083916 +23 *1886:18 *1923:14 0.00201661 +*RES +1 *2820:mprj_dat_o_user[11] *1923:7 25.971 +2 *1923:7 *1923:10 45.7095 +3 *1923:10 *1923:13 31.6624 +4 *1923:13 *1923:14 528.706 +5 *1923:14 *2821:wbs_dat_i[11] 38.4285 +*END + +*D_NET *1924 0.142544 +*CONN +*I *2821:wbs_dat_i[12] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[12] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[12] 0.00180174 +2 *2820:mprj_dat_o_user[12] 0.0015359 +3 *1924:8 0.00952615 +4 *1924:7 0.00926031 +5 *1924:8 *1926:8 0.0558209 +6 *1924:8 *1928:12 0.000364593 +7 *2820:mprj_dat_i_user[12] *1924:7 0 +8 *2820:mprj_dat_i_user[13] *1924:7 0 +9 *1255:5 *1924:7 9.84343e-05 +10 *1793:7 *1924:7 0 +11 *1794:8 *1924:8 0 +12 *1796:19 *1924:8 0.000268006 +13 *1798:12 *1924:8 0.00369398 +14 *1858:8 *1924:8 0 +15 *1859:8 *1924:8 0.000275322 +16 *1860:8 *1924:8 0 +17 *1861:8 *1924:8 0.000357385 +18 *1867:8 *1924:8 0.00274909 +19 *1887:8 *1924:8 0.0567917 +*RES +1 *2820:mprj_dat_o_user[12] *1924:7 39.9965 +2 *1924:7 *1924:8 76.846 +3 *1924:8 *2821:wbs_dat_i[12] 49.132 +*END + +*D_NET *1925 0.116049 +*CONN +*I *2821:wbs_dat_i[13] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[13] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[13] 0.0012678 +2 *2820:mprj_dat_o_user[13] 0.00205923 +3 *1925:8 0.00535427 +4 *1925:7 0.00408647 +5 *1925:5 0.00205923 +6 *1925:8 *2493:8 0.00142649 +7 *2820:mprj_dat_i_user[13] *1925:5 0 +8 *2821:la_oenb[6] *1925:5 0.000305303 +9 *1794:7 *1925:5 0 +10 *1862:8 *1925:8 0.0488381 +11 *1862:11 *1925:5 0 +12 *1871:18 *1925:8 0.00383301 +13 *1881:8 *1925:8 0.000684308 +14 *1923:14 *1925:8 0.0461345 +*RES +1 *2820:mprj_dat_o_user[13] *1925:5 48.8776 +2 *1925:5 *1925:7 4.5 +3 *1925:7 *1925:8 543.126 +4 *1925:8 *2821:wbs_dat_i[13] 38.0133 +*END + +*D_NET *1926 0.101771 +*CONN +*I *2821:wbs_dat_i[14] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[14] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[14] 0.0017897 +2 *2820:mprj_dat_o_user[14] 0.00159381 +3 *1926:8 0.0190365 +4 *1926:7 0.0188406 +5 *1926:8 *1941:14 0.000380407 +6 *1926:8 *1945:8 0.000186162 +7 *2820:mprj_dat_i_user[15] *1926:7 0 +8 *2821:la_data_in[7] *1926:7 0 +9 *1266:5 *1926:7 0 +10 *1795:7 *1926:7 0 +11 *1809:14 *1926:8 0.000749867 +12 *1813:8 *1926:8 0.000176808 +13 *1860:8 *1926:8 0 +14 *1862:11 *1926:7 0 +15 *1870:8 *1926:8 0.00252827 +16 *1878:8 *1926:8 0.000355551 +17 *1880:8 *1926:8 0.000312037 +18 *1924:8 *1926:8 0.0558209 +*RES +1 *2820:mprj_dat_o_user[14] *1926:7 40.827 +2 *1926:7 *1926:8 73.098 +3 *1926:8 *2821:wbs_dat_i[14] 48.3015 +*END + +*D_NET *1927 0.10988 +*CONN +*I *2821:wbs_dat_i[15] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[15] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[15] 0.00122962 +2 *2820:mprj_dat_o_user[15] 0.0021793 +3 *1927:8 0.00520524 +4 *1927:7 0.00397562 +5 *1927:5 0.0021793 +6 *2820:mprj_dat_i_user[15] *1927:5 0 +7 *2821:la_oenb[7] *1927:5 0 +8 *1266:5 *1927:5 0 +9 *1796:7 *1927:5 0 +10 *1797:10 *1927:8 0.0456178 +11 *1815:8 *1927:8 0.00106916 +12 *1862:8 *1927:8 0.0478419 +13 *1864:8 *1927:8 0.00028978 +14 *1871:18 *1927:8 0.000292277 +*RES +1 *2820:mprj_dat_o_user[15] *1927:5 49.7081 +2 *1927:5 *1927:7 4.5 +3 *1927:7 *1927:8 516.505 +4 *1927:8 *2821:wbs_dat_i[15] 37.1828 +*END + +*D_NET *1928 0.111142 +*CONN +*I *2821:wbs_dat_i[16] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[16] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[16] 0.00188663 +2 *2820:mprj_dat_o_user[16] 0.00152756 +3 *1928:14 0.00188663 +4 *1928:12 0.00321858 +5 *1928:10 0.00323945 +6 *1928:8 0.000561753 +7 *1928:7 0.00206845 +8 *2820:mprj_dat_i_user[16] *1928:7 0 +9 *1266:8 *1928:8 0.000218849 +10 *1796:8 *1928:8 0.0054809 +11 *1797:7 *1928:7 0 +12 *1863:8 *1928:8 1.41853e-05 +13 *1863:8 *1928:12 0.0417299 +14 *1865:8 *1928:12 0.0389725 +15 *1865:10 *1928:10 9.95922e-06 +16 *1865:12 *1928:8 0.00605219 +17 *1865:12 *1928:12 1.41689e-05 +18 *1887:8 *1928:12 0.00389601 +19 *1924:8 *1928:12 0.000364593 +*RES +1 *2820:mprj_dat_o_user[16] *1928:7 40.9201 +2 *1928:7 *1928:8 64.5028 +3 *1928:8 *1928:10 0.578717 +4 *1928:10 *1928:12 438.86 +5 *1928:12 *1928:14 4.5 +6 *1928:14 *2821:wbs_dat_i[16] 46.3861 +*END + +*D_NET *1929 0.103611 +*CONN +*I *2821:wbs_dat_i[17] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[17] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[17] 0.00117226 +2 *2820:mprj_dat_o_user[17] 0.0022197 +3 *1929:8 0.00505486 +4 *1929:7 0.0038826 +5 *1929:5 0.0022197 +6 *1929:8 *1931:8 0.0423912 +7 *1929:8 *1949:8 0.000567089 +8 *2820:mprj_dat_i_user[17] *1929:5 0 +9 *2821:la_data_in[8] *1929:5 0 +10 *1277:5 *1929:5 0 +11 *1792:14 *1929:8 0 +12 *1797:10 *1929:8 0.00020979 +13 *1798:7 *1929:5 0 +14 *1799:8 *1929:8 0.000313928 +15 *1864:8 *1929:8 0.0454585 +16 *1866:8 *1929:8 0.000121125 +17 *1871:18 *1929:8 0 +*RES +1 *2820:mprj_dat_o_user[17] *1929:5 50.9539 +2 *1929:5 *1929:7 4.5 +3 *1929:7 *1929:8 489.884 +4 *1929:8 *2821:wbs_dat_i[17] 35.937 +*END + +*D_NET *1930 0.111334 +*CONN +*I *2821:wbs_dat_i[18] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[18] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[18] 0.002482 +2 *2820:mprj_dat_o_user[18] 0.00100329 +3 *1930:10 0.002482 +4 *1930:8 0.00831775 +5 *1930:7 0.00932104 +6 *1930:8 *1934:8 0.0446889 +7 *1930:8 *1938:8 0.00189817 +8 *2820:mprj_dat_i_user[18] *1930:7 0 +9 *2821:la_oenb[8] *1930:7 7.98939e-05 +10 *1794:8 *1930:8 0.00577638 +11 *1799:5 *1930:7 0 +12 *1806:8 *1930:8 0.00174509 +13 *1824:8 *1930:8 0.03354 +*RES +1 *2820:mprj_dat_o_user[18] *1930:7 30.0304 +2 *1930:7 *1930:8 65.755 +3 *1930:8 *1930:10 3.36879 +4 *1930:10 *2821:wbs_dat_i[18] 55.7293 +*END + +*D_NET *1931 0.100846 +*CONN +*I *2821:wbs_dat_i[19] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[19] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[19] 0.00116929 +2 *2820:mprj_dat_o_user[19] 0.00170176 +3 *1931:8 0.00456309 +4 *1931:7 0.0033938 +5 *1931:5 0.00170176 +6 *1931:8 *1949:8 0.000521356 +7 *2820:mprj_dat_i_user[19] *1931:5 0 +8 *2821:la_data_in[9] *1931:5 0.000827593 +9 *1799:5 *1931:5 0 +10 *1799:8 *1931:8 0.0434362 +11 *1801:7 *1931:5 0.000930632 +12 *1869:8 *1931:8 0.00020979 +13 *1871:18 *1931:8 0 +14 *1929:8 *1931:8 0.0423912 +*RES +1 *2820:mprj_dat_o_user[19] *1931:5 51.3691 +2 *1931:5 *1931:7 4.5 +3 *1931:7 *1931:8 463.263 +4 *1931:8 *2821:wbs_dat_i[19] 35.5218 +*END + +*D_NET *1932 0.187483 +*CONN +*I *2821:wbs_dat_i[1] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[1] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[1] 0.00191984 +2 *2820:mprj_dat_o_user[1] 0.00125695 +3 *1932:10 0.00191984 +4 *1932:8 0.00605749 +5 *1932:7 0.00731443 +6 *1932:7 *2492:7 0 +7 *1932:8 *2492:8 0.000163504 +8 *2820:mprj_dat_i_user[1] *1932:7 0 +9 *1794:8 *1932:8 0.000235627 +10 *1795:13 *1932:7 0 +11 *1800:8 *1932:8 0.0775758 +12 *1811:8 *1932:8 0.00790745 +13 *1868:8 *1932:8 0.00870862 +14 *1879:8 *1932:8 0.0742727 +15 *1921:8 *1932:8 0.00015065 +*RES +1 *2820:mprj_dat_o_user[1] *1932:7 33.3524 +2 *1932:7 *1932:8 98.8752 +3 *1932:8 *1932:10 3.36879 +4 *1932:10 *2821:wbs_dat_i[1] 52.4073 +*END + +*D_NET *1933 0.0971223 +*CONN +*I *2821:wbs_dat_i[20] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[20] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[20] 0.0010848 +2 *2820:mprj_dat_o_user[20] 0.00215628 +3 *1933:8 0.00424449 +4 *1933:7 0.00315968 +5 *1933:5 0.00215628 +6 *1933:8 *1935:12 0.000298839 +7 *2820:mprj_dat_i_user[20] *1933:5 0 +8 *1288:5 *1933:5 0.000380194 +9 *1801:10 *1933:8 0.0419621 +10 *1802:7 *1933:5 0 +11 *1819:8 *1933:8 0.000152056 +12 *1866:8 *1933:8 0.0408575 +13 *1869:8 *1933:8 0.000670106 +*RES +1 *2820:mprj_dat_o_user[20] *1933:5 53.0301 +2 *1933:5 *1933:7 4.5 +3 *1933:7 *1933:8 449.952 +4 *1933:8 *2821:wbs_dat_i[20] 33.8608 +*END + +*D_NET *1934 0.103706 +*CONN +*I *2821:wbs_dat_i[21] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[21] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[21] 0.00258523 +2 *2820:mprj_dat_o_user[21] 0.000630658 +3 *1934:10 0.00258523 +4 *1934:8 0.0155506 +5 *1934:7 0.0161812 +6 *1934:8 *1940:8 0.000724102 +7 *1934:8 *1942:8 0.00015065 +8 *1934:8 *1947:10 0.0118171 +9 *2820:mprj_dat_i_user[21] *1934:7 0 +10 *1792:8 *1934:8 0.00207939 +11 *1802:7 *1934:7 0 +12 *1802:8 *1934:8 0.00182703 +13 *1803:7 *1934:7 0.00156885 +14 *1808:8 *1934:8 0.00137579 +15 *1874:8 *1934:8 0.00149647 +16 *1876:14 *1934:8 0.000444742 +17 *1930:8 *1934:8 0.0446889 +*RES +1 *2820:mprj_dat_o_user[21] *1934:7 29.1999 +2 *1934:7 *1934:8 60.3241 +3 *1934:8 *1934:10 3.36879 +4 *1934:10 *2821:wbs_dat_i[21] 56.5598 +*END + +*D_NET *1935 0.0867904 +*CONN +*I *2821:wbs_dat_i[22] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[22] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[22] 0.0015755 +2 *2820:mprj_dat_o_user[22] 0.00176649 +3 *1935:18 0.00208475 +4 *1935:17 0.00103537 +5 *1935:12 0.00468543 +6 *1935:10 0.00447152 +7 *1935:5 0.0020787 +8 *2821:la_data_in[10] *1935:5 0 +9 *2821:wbs_adr_i[26] *1935:17 4.09058e-05 +10 *1172:7 *1935:5 0 +11 *1795:20 *1935:18 0.00728054 +12 *1801:10 *1935:12 0.0306536 +13 *1803:15 *1935:17 7.09666e-06 +14 *1804:7 *1935:5 0 +15 *1821:10 *1935:18 0.00727213 +16 *1858:8 *1935:18 0.000152056 +17 *1871:12 *1935:12 0.0221533 +18 *1871:18 *1935:10 2.10179e-05 +19 *1871:21 *1935:5 0.00119261 +20 *1881:8 *1935:10 0 +21 *1882:13 *1935:17 2.05972e-05 +22 *1933:8 *1935:12 0.000298839 +*RES +1 *2820:mprj_dat_o_user[22] *1935:5 50.5386 +2 *1935:5 *1935:10 12.8311 +3 *1935:10 *1935:12 342.082 +4 *1935:12 *1935:17 17.476 +5 *1935:17 *1935:18 76.7041 +6 *1935:18 *2821:wbs_dat_i[22] 41.3353 +*END + +*D_NET *1936 0.0917904 +*CONN +*I *2821:wbs_dat_i[23] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[23] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[23] 0.00251731 +2 *2820:mprj_dat_o_user[23] 0.00108587 +3 *1936:10 0.00251731 +4 *1936:8 0.0031072 +5 *1936:7 0.00419307 +6 *2820:mprj_dat_i_user[23] *1936:7 0 +7 *1200:12 *1936:8 0.000135103 +8 *1792:8 *1936:8 0 +9 *1794:8 *1936:8 0.000110257 +10 *1804:8 *1936:8 0.0381881 +11 *1805:7 *1936:7 0 +12 *1806:8 *1936:8 0.000113197 +13 *1824:8 *1936:8 0.00201302 +14 *1872:8 *1936:8 0.0378099 +*RES +1 *2820:mprj_dat_o_user[23] *1936:7 32.615 +2 *1936:7 *1936:8 410.021 +3 *1936:8 *1936:10 4.5 +4 *1936:10 *2821:wbs_dat_i[23] 54.2759 +*END + +*D_NET *1937 0.0848358 +*CONN +*I *2821:wbs_dat_i[24] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[24] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[24] 0.00167627 +2 *2820:mprj_dat_o_user[24] 0.00156475 +3 *1937:8 0.00497428 +4 *1937:7 0.00486277 +5 *1937:8 *1939:8 0.0333682 +6 *2820:mprj_dat_i_user[24] *1937:7 0.000723419 +7 *966:8 *1937:8 3.61993e-05 +8 *1803:16 *1937:8 0.000857698 +9 *1805:14 *1937:8 0.000309013 +10 *1806:7 *1937:7 0 +11 *1860:8 *1937:8 0.000763973 +12 *1873:8 *1937:8 0.0347775 +13 *1873:14 *1937:8 0.000790462 +14 *1882:14 *1937:8 0.000131242 +*RES +1 *2820:mprj_dat_o_user[24] *1937:7 48.3946 +2 *1937:7 *1937:8 396.71 +3 *1937:8 *2821:wbs_dat_i[24] 42.9963 +*END + +*D_NET *1938 0.0850236 +*CONN +*I *2821:wbs_dat_i[25] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[25] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[25] 0.00262357 +2 *2820:mprj_dat_o_user[25] 0.00102156 +3 *1938:10 0.00262357 +4 *1938:8 0.00302811 +5 *1938:7 0.00404966 +6 *2820:mprj_dat_i_user[25] *1938:7 0 +7 *1200:12 *1938:8 0 +8 *1792:8 *1938:8 0.00016955 +9 *1806:8 *1938:8 0.0356132 +10 *1807:7 *1938:7 0 +11 *1808:8 *1938:8 0.0327454 +12 *1874:8 *1938:8 0.0012508 +13 *1930:8 *1938:8 0.00189817 +*RES +1 *2820:mprj_dat_o_user[25] *1938:7 31.3693 +2 *1938:7 *1938:8 383.4 +3 *1938:8 *1938:10 4.5 +4 *1938:10 *2821:wbs_dat_i[25] 55.5217 +*END + +*D_NET *1939 0.081763 +*CONN +*I *2821:wbs_dat_i[26] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[26] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[26] 0.00170768 +2 *2820:mprj_dat_o_user[26] 0.00159048 +3 *1939:8 0.00460835 +4 *1939:7 0.00449115 +5 *2820:mprj_dat_i_user[26] *1939:7 0 +6 *905:13 *1939:7 0.000943126 +7 *966:8 *1939:8 0.000176505 +8 *1183:8 *1939:8 0.00026805 +9 *1807:8 *1939:8 0.0292179 +10 *1808:7 *1939:7 0 +11 *1860:8 *1939:8 0.000608238 +12 *1875:10 *1939:8 0.00442788 +13 *1875:12 *1939:8 7.90692e-05 +14 *1877:12 *1939:8 9.27159e-05 +15 *1878:19 *1939:7 0 +16 *1882:14 *1939:8 0.000183679 +17 *1937:8 *1939:8 0.0333682 +*RES +1 *2820:mprj_dat_o_user[26] *1939:7 47.9793 +2 *1939:7 *1939:8 370.089 +3 *1939:8 *2821:wbs_dat_i[26] 43.4116 +*END + +*D_NET *1940 0.079133 +*CONN +*I *2821:wbs_dat_i[27] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[27] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[27] 0.00270603 +2 *2820:mprj_dat_o_user[27] 0.000942665 +3 *1940:10 0.00270603 +4 *1940:8 0.00309003 +5 *1940:7 0.0040327 +6 *2821:wbs_dat_i[27] *1947:19 0.000120576 +7 *1940:8 *1942:8 0.000113197 +8 *1940:8 *1946:8 0 +9 *1940:8 *1947:10 0.000598596 +10 *2820:mprj_dat_i_user[27] *1940:7 0 +11 *1010:8 *1940:8 0.0100634 +12 *1792:8 *1940:8 0.0217315 +13 *1809:7 *1940:7 0 +14 *1874:8 *1940:8 0.000765812 +15 *1876:14 *1940:8 0.0315383 +16 *1934:8 *1940:8 0.000724102 +*RES +1 *2820:mprj_dat_o_user[27] *1940:7 29.7083 +2 *1940:7 *1940:8 356.779 +3 *1940:8 *1940:10 4.5 +4 *1940:10 *2821:wbs_dat_i[27] 57.1827 +*END + +*D_NET *1941 0.0729614 +*CONN +*I *2821:wbs_dat_i[28] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[28] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[28] 0.00184885 +2 *2820:mprj_dat_o_user[28] 0.00115945 +3 *1941:14 0.00313209 +4 *1941:13 0.00132238 +5 *1941:8 0.00185661 +6 *1941:7 0.00297693 +7 *1941:8 *1944:8 0.000242965 +8 *1941:14 *1944:8 0.000620311 +9 *1941:14 *1947:14 0.0017543 +10 *2820:mprj_dat_i_user[28] *1941:7 0.00243599 +11 *2821:la_oenb[1] *1941:13 0.000101133 +12 *1795:13 *1941:13 9.22013e-06 +13 *1809:8 *1941:8 0.0209106 +14 *1809:13 *1941:13 7.50872e-05 +15 *1809:14 *1941:14 0.000518784 +16 *1810:7 *1941:7 0 +17 *1813:8 *1941:14 0.00546422 +18 *1876:13 *2821:wbs_dat_i[28] 0 +19 *1877:8 *1941:14 3.59437e-05 +20 *1877:12 *1941:8 0.0214417 +21 *1878:8 *1941:14 0.000514312 +22 *1880:8 *1941:14 0.00615465 +23 *1886:17 *2821:wbs_dat_i[28] 5.38612e-06 +24 *1926:8 *1941:14 0.000380407 +*RES +1 *2820:mprj_dat_o_user[28] *1941:7 46.3183 +2 *1941:7 *1941:8 228.665 +3 *1941:8 *1941:13 10.832 +4 *1941:13 *1941:14 114.972 +5 *1941:14 *2821:wbs_dat_i[28] 46.7336 +*END + +*D_NET *1942 0.0729616 +*CONN +*I *2821:wbs_dat_i[29] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[29] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[29] 0.00281911 +2 *2820:mprj_dat_o_user[29] 0.000895362 +3 *1942:10 0.00281911 +4 *1942:8 0.00294372 +5 *1942:7 0.00383908 +6 *1942:8 *1946:8 9.42921e-05 +7 *1942:8 *1947:10 0.000556304 +8 *2820:mprj_dat_i_user[29] *1942:7 0 +9 *2820:mprj_dat_i_user[30] *1942:7 0 +10 *1010:8 *1942:8 0.000384979 +11 *1810:8 *1942:8 0.0287638 +12 *1812:7 *1942:7 0 +13 *1812:8 *1942:8 0.000373913 +14 *1876:14 *1942:8 0.029208 +15 *1934:8 *1942:8 0.00015065 +16 *1940:8 *1942:8 0.000113197 +*RES +1 *2820:mprj_dat_o_user[29] *1942:7 28.8777 +2 *1942:7 *1942:8 330.158 +3 *1942:8 *1942:10 4.5 +4 *1942:10 *2821:wbs_dat_i[29] 58.0132 +*END + +*D_NET *1943 0.180543 +*CONN +*I *2821:wbs_dat_i[2] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[2] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[2] 0.00125563 +2 *2820:mprj_dat_o_user[2] 0.00190729 +3 *1943:10 0.0104641 +4 *1943:9 0.00920844 +5 *1943:7 0.00190729 +6 *1943:7 *2493:5 0 +7 *1943:10 *1947:20 0.00275883 +8 *1943:10 *2491:8 0.0738982 +9 *1943:10 *2493:8 0.0741277 +10 *2820:mprj_dat_i_user[2] *1943:7 0.00177629 +11 *2821:la_data_in[2] *1943:7 0 +12 *1161:13 *1943:7 0 +13 *1211:7 *1943:7 0 +14 *1858:8 *1943:10 0.000435066 +15 *1886:8 *1943:10 0.00280392 +*RES +1 *2820:mprj_dat_o_user[2] *1943:7 49.8425 +2 *1943:7 *1943:9 3.36879 +3 *1943:9 *1943:10 96.1216 +4 *1943:10 *2821:wbs_dat_i[2] 38.3355 +*END + +*D_NET *1944 0.0675411 +*CONN +*I *2821:wbs_dat_i[30] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[30] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[30] 0.00182331 +2 *2820:mprj_dat_o_user[30] 0.00165371 +3 *1944:8 0.00475688 +4 *1944:7 0.00458727 +5 *2821:wbs_dat_i[30] *1947:13 1.77537e-06 +6 *2820:mprj_dat_i_user[30] *1944:7 0 +7 *1183:8 *1944:8 0 +8 *1809:8 *1944:8 0.0209106 +9 *1810:13 *2821:wbs_dat_i[30] 0 +10 *1813:7 *1944:7 0 +11 *1813:8 *1944:8 0.0284549 +12 *1877:8 *1944:8 0.00370371 +13 *1877:12 *1944:8 0.000785585 +14 *1941:8 *1944:8 0.000242965 +15 *1941:14 *1944:8 0.000620311 +*RES +1 *2820:mprj_dat_o_user[30] *1944:7 45.4878 +2 *1944:7 *1944:8 316.847 +3 *1944:8 *2821:wbs_dat_i[30] 45.9031 +*END + +*D_NET *1945 0.0644795 +*CONN +*I *2821:wbs_dat_i[31] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[31] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[31] 0.00188414 +2 *2820:mprj_dat_o_user[31] 0.00157923 +3 *1945:8 0.00486817 +4 *1945:7 0.00456325 +5 *2820:mprj_dat_i_user[31] *1945:7 0 +6 *905:7 *1945:7 0 +7 *944:14 *1945:8 0.00093549 +8 *1172:8 *1945:8 3.83336e-05 +9 *1556:12 *1945:8 0.00575932 +10 *1805:8 *1945:8 0.0116186 +11 *1813:8 *1945:8 0.000128915 +12 *1867:8 *1945:8 1.75637e-06 +13 *1867:12 *1945:8 2.01874e-05 +14 *1870:8 *1945:8 0.00040761 +15 *1878:8 *1945:8 0.00492669 +16 *1880:8 *1945:8 0.027499 +17 *1882:19 *2821:wbs_dat_i[31] 6.25467e-05 +18 *1926:8 *1945:8 0.000186162 +*RES +1 *2820:mprj_dat_o_user[31] *1945:7 43.8268 +2 *1945:7 *1945:8 303.537 +3 *1945:8 *2821:wbs_dat_i[31] 47.5641 +*END + +*D_NET *1946 0.0609956 +*CONN +*I *2821:wbs_dat_i[3] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[3] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[3] 0.00227564 +2 *2820:mprj_dat_o_user[3] 0.00081649 +3 *1946:10 0.00227564 +4 *1946:8 0.0228494 +5 *1946:7 0.0236659 +6 *1946:7 *2494:7 0 +7 *2820:mprj_dat_i_user[3] *1946:7 0 +8 *1161:14 *1946:8 0.00146253 +9 *1792:8 *1946:8 0 +10 *1795:8 *1946:8 0.000113197 +11 *1812:8 *1946:8 0.000748844 +12 *1876:14 *1946:8 0 +13 *1882:20 *1946:8 0.00669368 +14 *1940:8 *1946:8 0 +15 *1942:8 *1946:8 9.42921e-05 +*RES +1 *2820:mprj_dat_o_user[3] *1946:7 26.8015 +2 *1946:7 *1946:8 680.667 +3 *1946:8 *1946:10 4.5 +4 *1946:10 *2821:wbs_dat_i[3] 60.0894 +*END + +*D_NET *1947 0.137643 +*CONN +*I *2821:wbs_dat_i[4] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[4] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[4] 0.001252 +2 *2820:mprj_dat_o_user[4] 0.00592398 +3 *1947:20 0.00496721 +4 *1947:19 0.00408443 +5 *1947:14 0.00129634 +6 *1947:13 0.00184561 +7 *1947:10 0.00684247 +8 *2820:mprj_dat_i_user[4] *1947:10 0 +9 *2821:wbs_dat_i[27] *1947:19 0.000120576 +10 *2821:wbs_dat_i[30] *1947:13 1.77537e-06 +11 *1792:8 *1947:10 0.000550322 +12 *1807:14 *1947:14 0.00124477 +13 *1809:14 *1947:14 0 +14 *1810:8 *1947:10 0.000502471 +15 *1810:13 *1947:13 0.000136276 +16 *1812:8 *1947:10 0.000462967 +17 *1816:7 *1947:10 0 +18 *1824:14 *1947:20 0.0115207 +19 *1870:8 *1947:14 0 +20 *1876:14 *1947:10 0.000605103 +21 *1877:8 *1947:14 0.00322573 +22 *1882:8 *1947:20 0.00306986 +23 *1882:20 *1947:10 0.00017754 +24 *1886:8 *1947:20 0.0398798 +25 *1886:23 *1947:10 0 +26 *1923:14 *1947:20 0.0324475 +27 *1934:8 *1947:10 0.0118171 +28 *1940:8 *1947:10 0.000598596 +29 *1941:14 *1947:14 0.0017543 +30 *1942:8 *1947:10 0.000556304 +31 *1943:10 *1947:20 0.00275883 +*RES +1 *2820:mprj_dat_o_user[4] *1947:10 46.8566 +2 *1947:10 *1947:13 20.6582 +3 *1947:13 *1947:14 63.3936 +4 *1947:14 *1947:19 16.2303 +5 *1947:19 *1947:20 490.993 +6 *1947:20 *2821:wbs_dat_i[4] 38.8438 +*END + +*D_NET *1948 0.167017 +*CONN +*I *2821:wbs_dat_i[5] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[5] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[5] 0.0018391 +2 *2820:mprj_dat_o_user[5] 0.00139375 +3 *1948:10 0.0018391 +4 *1948:8 0.00636412 +5 *1948:7 0.00775788 +6 *1948:8 *1952:8 0.00505184 +7 *1948:8 *2494:8 0.000338389 +8 *2820:mprj_dat_i_user[5] *1948:7 0 +9 *2821:la_oenb[3] *1948:7 3.99645e-05 +10 *1794:8 *1948:8 0 +11 *1814:8 *1948:8 0.068204 +12 *1816:7 *1948:7 0 +13 *1817:5 *1948:7 0 +14 *1883:8 *1948:8 0.000419745 +15 *1885:8 *1948:8 0.067673 +16 *1922:8 *1948:8 0.00609631 +*RES +1 *2820:mprj_dat_o_user[5] *1948:7 36.6745 +2 *1948:7 *1948:8 89.6199 +3 *1948:8 *1948:10 3.36879 +4 *1948:10 *2821:wbs_dat_i[5] 49.0853 +*END + +*D_NET *1949 0.161525 +*CONN +*I *2821:wbs_dat_i[6] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[6] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[6] 0.001141 +2 *2820:mprj_dat_o_user[6] 0.0021754 +3 *1949:8 0.00973775 +4 *1949:7 0.00859675 +5 *1949:5 0.0021754 +6 *2820:mprj_dat_i_user[6] *1949:5 0 +7 *2821:la_data_in[4] *1949:5 0 +8 *1233:5 *1949:5 0 +9 *1817:8 *1949:8 0.068542 +10 *1818:7 *1949:5 0 +11 *1819:8 *1949:8 0.00119759 +12 *1858:8 *1949:8 0 +13 *1884:8 *1949:8 0.0662452 +14 *1923:13 *1949:5 0.000495853 +15 *1923:14 *1949:8 0.000129117 +16 *1929:8 *1949:8 0.000567089 +17 *1931:8 *1949:8 0.000521356 +*RES +1 *2820:mprj_dat_o_user[6] *1949:5 50.7463 +2 *1949:5 *1949:7 3.36879 +3 *1949:7 *1949:8 87.8606 +4 *1949:8 *2821:wbs_dat_i[6] 35.0134 +*END + +*D_NET *1950 0.159012 +*CONN +*I *2821:wbs_dat_i[7] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[7] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[7] 0.00180777 +2 *2820:mprj_dat_o_user[7] 0.001495 +3 *1950:10 0.00180777 +4 *1950:8 0.00624873 +5 *1950:7 0.00774373 +6 *2820:mprj_dat_i_user[7] *1950:7 0 +7 *1233:5 *1950:7 0 +8 *1794:8 *1950:8 0 +9 *1796:20 *1950:8 0.00439499 +10 *1818:7 *1950:7 0 +11 *1818:8 *1950:8 0.000345279 +12 *1819:5 *1950:7 0 +13 *1863:8 *1950:8 0.00376288 +14 *1885:8 *1950:8 0.0666897 +15 *1887:8 *1950:8 0.0647163 +*RES +1 *2820:mprj_dat_o_user[7] *1950:7 38.3355 +2 *1950:7 *1950:8 85.9484 +3 *1950:8 *1950:10 3.36879 +4 *1950:10 *2821:wbs_dat_i[7] 47.4242 +*END + +*D_NET *1951 0.153057 +*CONN +*I *2821:wbs_dat_i[8] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[8] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[8] 0.00104434 +2 *2820:mprj_dat_o_user[8] 0.00236678 +3 *1951:8 0.00954764 +4 *1951:7 0.00850329 +5 *1951:5 0.00236678 +6 *2820:mprj_dat_i_user[8] *1951:5 0 +7 *2821:la_oenb[4] *1951:5 0 +8 *988:11 *1951:5 0 +9 *1796:19 *1951:5 2.18541e-05 +10 *1805:13 *1951:5 0.000291882 +11 *1819:8 *1951:8 0.0656115 +12 *1820:7 *1951:5 0 +13 *1858:8 *1951:8 0 +14 *1870:13 *1951:5 0 +15 *1871:17 *1951:5 0.000245081 +16 *1888:8 *1951:8 0.0630579 +*RES +1 *2820:mprj_dat_o_user[8] *1951:5 53.2378 +2 *1951:5 *1951:7 3.36879 +3 *1951:7 *1951:8 84.1891 +4 *1951:8 *2821:wbs_dat_i[8] 32.5219 +*END + +*D_NET *1952 0.128466 +*CONN +*I *2821:wbs_dat_i[9] I *D user_project_wrapper +*I *2820:mprj_dat_o_user[9] O *D mgmt_protect +*CAP +1 *2821:wbs_dat_i[9] 0.0018966 +2 *2820:mprj_dat_o_user[9] 0.00144467 +3 *1952:10 0.0018966 +4 *1952:8 0.00454836 +5 *1952:7 0.00599303 +6 *2820:mprj_dat_i_user[10] *1952:7 0 +7 *2820:mprj_dat_i_user[9] *1952:7 0 +8 *1244:5 *1952:7 0 +9 *1790:7 *1952:7 0 +10 *1820:8 *1952:8 0.00090253 +11 *1859:8 *1952:8 0.0519941 +12 *1922:8 *1952:8 0.0547386 +13 *1948:8 *1952:8 0.00505184 +*RES +1 *2820:mprj_dat_o_user[9] *1952:7 38.4285 +2 *1952:7 *1952:8 596.368 +3 *1952:8 *1952:10 4.5 +4 *1952:10 *2821:wbs_dat_i[9] 48.4624 +*END + +*D_NET *1953 0.0625601 +*CONN +*I *2820:mprj_iena_wb I *D mgmt_protect +*I *2826:mprj_wb_iena O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_iena_wb 0.00351809 +2 *2826:mprj_wb_iena 0.00100961 +3 *1953:12 0.00351809 +4 *1953:10 0.0057328 +5 *1953:9 0.00674241 +6 *1953:9 *2495:9 0 +7 *1953:10 *2495:10 0.000125334 +8 *2820:mprj_dat_o_core[31] *2820:mprj_iena_wb 0 +9 *1297:9 *2820:mprj_iena_wb 0.000280319 +10 *1433:10 *1953:10 0.0145043 +11 *1441:10 *1953:10 0.00604768 +12 *1443:10 *1953:10 0.000980169 +13 *1447:10 *1953:10 0.00138843 +14 *1768:24 *1953:10 0 +15 *1788:10 *1953:10 0.0187129 +16 *1788:15 *2820:mprj_iena_wb 0 +17 *1849:7 *2820:mprj_iena_wb 0 +*RES +1 *2826:mprj_wb_iena *1953:9 29.7693 +2 *1953:9 *1953:10 442.188 +3 *1953:10 *1953:12 4.5 +4 *1953:12 *2820:mprj_iena_wb 64.242 +*END + +*D_NET *1954 0.0134183 +*CONN +*I *2822:mprj_io_analog_en[0] I *D chip_io +*I *2781:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[0] 0.000489083 +2 *2781:pad_gpio_ana_en 0.000547975 +3 *1954:14 0.00281696 +4 *1954:13 0.00287586 +5 *2822:mprj_io_analog_en[0] *2822:mprj_io_dm[0] 0 +6 *1954:13 *1992:13 2.72062e-05 +7 *1954:13 *2334:8 4.05974e-05 +8 *1954:14 *1992:14 0.00600344 +9 *1954:14 *2068:14 6.8162e-05 +10 *1954:14 *2093:14 0 +11 *1954:14 *2104:16 0 +12 *1954:14 *2372:14 0 +13 *1954:14 *2448:14 0 +14 *2781:mgmt_gpio_out *1954:13 0.000548988 +*RES +1 *2781:pad_gpio_ana_en *1954:13 28.1039 +2 *1954:13 *1954:14 103.154 +3 *1954:14 *2822:mprj_io_analog_en[0] 22.2122 +*END + +*D_NET *1955 0.0613947 +*CONN +*I *2822:mprj_io_analog_en[10] I *D chip_io +*I *2789:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[10] 0.000988329 +2 *2789:pad_gpio_ana_en 3.60638e-05 +3 *1955:8 0.00751151 +4 *1955:7 0.00655924 +5 *2822:mprj_io_analog_en[10] *2822:mprj_io_analog_pol[10] 0.00054759 +6 *2822:mprj_io_analog_en[10] *2822:mprj_io_dm[30] 0.000195214 +7 *2822:mprj_io_analog_en[10] *2822:mprj_io_inp_dis[10] 0.000182819 +8 *2822:mprj_io_analog_en[10] *2108:14 0 +9 *2822:mprj_io_analog_en[10] *2298:14 0.000275937 +10 *2822:mprj_io_analog_en[10] *2612:13 0.000284208 +11 *1955:8 *1956:13 8.21151e-05 +12 *1955:8 *1993:8 0.0309874 +13 *1955:8 *1994:13 0.011963 +14 *1955:8 *2032:8 1.48618e-05 +15 *1955:8 *2067:13 0.00174938 +16 *2788:serial_clock_out *1955:8 1.69979e-05 +*RES +1 *2789:pad_gpio_ana_en *1955:7 0.51465 +2 *1955:7 *1955:8 61.8888 +3 *1955:8 *2822:mprj_io_analog_en[10] 27.5606 +*END + +*D_NET *1956 0.0551129 +*CONN +*I *2822:mprj_io_analog_en[11] I *D chip_io +*I *2790:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[11] 0.000643111 +2 *2790:pad_gpio_ana_en 0.00548819 +3 *1956:20 0.0022772 +4 *1956:19 0.00178838 +5 *1956:14 0.00144694 +6 *1956:13 0.00678084 +7 *2822:mprj_io_analog_en[11] *2822:mprj_io_dm[33] 0 +8 *2822:mprj_io_analog_en[11] *2613:13 0 +9 *1956:13 *1994:13 0.0178493 +10 *1956:13 *2032:8 2.40249e-06 +11 *1956:14 *1994:14 0.00418243 +12 *1956:14 *2033:14 0.000375297 +13 *1956:14 *2374:8 0.000632098 +14 *1956:20 *2109:18 0.00823385 +15 *1956:20 *2260:11 0.00291275 +16 *1956:20 *2261:19 0.000472836 +17 *1956:20 *2261:23 7.2193e-05 +18 *1956:20 *2374:8 0.000136527 +19 *1956:20 *2412:23 0.00149535 +20 *663:14 *1956:20 0.000241167 +21 *1955:8 *1956:13 8.21151e-05 +*RES +1 *2790:pad_gpio_ana_en *1956:13 39.0044 +2 *1956:13 *1956:14 66.819 +3 *1956:14 *1956:19 11.9418 +4 *1956:19 *1956:20 140.111 +5 *1956:20 *2822:mprj_io_analog_en[11] 22.8684 +*END + +*D_NET *1957 0.0577966 +*CONN +*I *2822:mprj_io_analog_en[12] I *D chip_io +*I *2791:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[12] 0.000303081 +2 *2791:pad_gpio_ana_en 0.000962212 +3 *1957:30 0.000820174 +4 *1957:24 0.00504431 +5 *1957:23 0.00670428 +6 *1957:17 0.00313927 +7 *2822:mprj_io_analog_en[12] *2822:mprj_io_dm[36] 0 +8 *2822:mprj_io_analog_en[12] *2614:11 0 +9 *1957:17 *1995:19 0.000156881 +10 *1957:17 *2033:13 0.000124334 +11 *1957:17 *2111:13 0.000124334 +12 *1957:17 *2112:13 0.000343222 +13 *1957:17 *2298:20 0 +14 *1957:17 *2299:13 0.000172732 +15 *1957:17 *2375:13 0.000167452 +16 *1957:23 *1995:25 0.00198434 +17 *1957:23 *2032:8 0.0114552 +18 *1957:23 *2113:8 0 +19 *1957:23 *2222:10 0.0133474 +20 *1957:23 *2336:8 4.7332e-05 +21 *1957:24 *2113:8 0.00139004 +22 *1957:24 *2114:13 0 +23 *1957:24 *2116:13 0 +24 *1957:24 *2117:8 0.00733039 +25 *1957:24 *2261:11 0 +26 *1957:30 *2822:mprj_io_slow_sel[12] 0.000913655 +27 *1957:30 *2112:21 0.000261327 +28 *1957:30 *2117:21 0.00122621 +29 *1957:30 *2117:24 0.00065321 +30 *1957:30 *2186:13 0.000114314 +31 *1957:30 *2223:8 0.000198292 +32 *1957:30 *2261:10 0 +33 *1957:30 *2261:11 0.000184383 +34 *1957:30 *2614:11 0.000601103 +35 *2791:mgmt_gpio_out *1957:17 2.72062e-05 +*RES +1 *2791:pad_gpio_ana_en *1957:17 32.1081 +2 *1957:17 *1957:23 33.5577 +3 *1957:23 *1957:24 170.632 +4 *1957:24 *1957:30 47.9666 +5 *1957:30 *2822:mprj_io_analog_en[12] 16.5296 +*END + +*D_NET *1958 0.0893549 +*CONN +*I *2822:mprj_io_analog_en[13] I *D chip_io +*I *2792:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[13] 0.00039604 +2 *2792:pad_gpio_ana_en 0.00384662 +3 *1958:14 0.00961298 +4 *1958:13 0.0130636 +5 *2822:mprj_io_analog_en[13] *2615:13 0 +6 *1958:13 *1996:13 0.0166498 +7 *1958:13 *2034:10 0.0189501 +8 *1958:13 *2113:8 0 +9 *1958:14 *1996:14 0.0268359 +10 *1958:14 *2117:38 0 +11 *1958:14 *2186:32 0 +*RES +1 *2792:pad_gpio_ana_en *1958:13 44.5253 +2 *1958:13 *1958:14 428.088 +3 *1958:14 *2822:mprj_io_analog_en[13] 18.6087 +*END + +*D_NET *1959 0.0233647 +*CONN +*I *2822:mprj_io_analog_en[14] I *D chip_io +*I *2793:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[14] 0.000348232 +2 *2793:pad_gpio_ana_en 0.000561974 +3 *1959:14 0.00203959 +4 *1959:13 0.00225333 +5 *2822:mprj_io_analog_en[14] *2616:11 0 +6 *1959:13 *1997:15 0.000448386 +7 *1959:13 *2035:13 0.000270852 +8 *1959:13 *2118:15 7.22422e-05 +9 *1959:14 *1997:16 0.000437312 +10 *1959:14 *2119:14 0.00868887 +11 *1959:14 *2263:11 6.22044e-05 +12 *1959:14 *2301:16 0.0081817 +*RES +1 *2793:pad_gpio_ana_en *1959:13 31.1416 +2 *1959:13 *1959:14 154.645 +3 *1959:14 *2822:mprj_io_analog_en[14] 16.9449 +*END + +*D_NET *1960 0.0527076 +*CONN +*I *2822:mprj_io_analog_en[15] I *D chip_io +*I *2794:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[15] 0.000420615 +2 *2794:pad_gpio_ana_en 0.000741591 +3 *1960:29 0.01145 +4 *1960:28 0.0117545 +5 *1960:23 0.00216991 +6 *1960:22 0.00261083 +7 *1960:19 0.00254614 +8 *1960:16 0.00212172 +9 *1960:16 *2794:pad_gpio_in 0.00165184 +10 *1960:16 *2121:13 0.000284848 +11 *1960:16 *2226:18 0.00064924 +12 *1960:16 *2340:10 0 +13 *1960:16 *2378:13 3.91685e-05 +14 *1960:19 *2188:13 0 +15 *1960:19 *2378:13 0 +16 *1960:19 *2378:15 0 +17 *1960:22 *2122:16 0.000810613 +18 *1960:23 *1998:17 0.00234327 +19 *1960:28 *1998:22 0.000281884 +20 *1960:28 *2188:25 0.000750031 +21 *1960:28 *2340:20 2.82537e-05 +22 *1960:29 *2036:23 0.000338665 +23 *1960:29 *2122:23 0.00464178 +24 *1960:29 *2264:10 0.00707268 +*RES +1 *2794:pad_gpio_ana_en *1960:16 46.6343 +2 *1960:16 *1960:19 41.8272 +3 *1960:19 *1960:22 39.9674 +4 *1960:22 *1960:23 56.1838 +5 *1960:23 *1960:28 36.1624 +6 *1960:28 *1960:29 388.946 +7 *1960:29 *2822:mprj_io_analog_en[15] 16.066 +*END + +*D_NET *1961 0.0441093 +*CONN +*I *2822:mprj_io_analog_en[16] I *D chip_io +*I *2795:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[16] 0.00112323 +2 *2795:pad_gpio_ana_en 8.99935e-05 +3 *1961:17 0.00196845 +4 *1961:16 0.000845218 +5 *1961:14 0.00102765 +6 *1961:11 0.00166142 +7 *1961:10 0.000723764 +8 *2822:mprj_io_analog_en[16] *2822:mprj_io_analog_pol[16] 0.0021113 +9 *2822:mprj_io_analog_en[16] *2822:mprj_io_dm[48] 6.10203e-05 +10 *2822:mprj_io_analog_en[16] *2822:mprj_io_holdover[16] 0.00336298 +11 *2822:mprj_io_analog_en[16] *2822:mprj_io_slow_sel[16] 0.00706763 +12 *2822:mprj_io_analog_en[16] *2037:18 0 +13 *2822:mprj_io_analog_en[16] *2124:14 0 +14 *1961:11 *2037:13 0.00204748 +15 *1961:11 *2125:13 0.00404392 +16 *1961:14 *2125:16 0.0025195 +17 *1961:17 *1999:17 0.00826752 +18 *1961:17 *2125:17 0.00717162 +19 *623:13 *1961:14 1.66626e-05 +*RES +1 *2795:pad_gpio_ana_en *1961:10 8.46357 +2 *1961:10 *1961:11 52.3015 +3 *1961:11 *1961:14 46.6115 +4 *1961:14 *1961:16 4.5 +5 *1961:16 *1961:17 87.7962 +6 *1961:17 *2822:mprj_io_analog_en[16] 40.1413 +*END + +*D_NET *1962 0.0509905 +*CONN +*I *2822:mprj_io_analog_en[17] I *D chip_io +*I *2796:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[17] 0.000344978 +2 *2796:pad_gpio_ana_en 7.96089e-05 +3 *1962:23 0.00515084 +4 *1962:22 0.00480586 +5 *1962:20 0.00171328 +6 *1962:19 0.00237613 +7 *1962:16 0.00138055 +8 *1962:11 0.00312577 +9 *1962:10 0.00248768 +10 *2822:mprj_io_analog_en[17] *2822:mprj_io_dm[51] 0 +11 *1962:11 *2000:11 0.000282235 +12 *1962:11 *2038:11 0.00999615 +13 *1962:11 *2456:11 0 +14 *1962:16 *2000:16 0.000698604 +15 *1962:16 *2038:16 0 +16 *1962:16 *2128:14 0 +17 *1962:19 *2038:19 0.00249091 +18 *1962:20 *2038:20 0 +19 *1962:23 *2822:mprj_io_analog_sel[17] 0.0024693 +20 *1962:23 *2822:mprj_io_dm[52] 0.000252038 +21 *1962:23 *2000:23 0.00617442 +22 *1962:23 *2266:8 0.00716213 +*RES +1 *2796:pad_gpio_ana_en *1962:10 8.46357 +2 *1962:10 *1962:11 113.308 +3 *1962:11 *1962:16 34.0861 +4 *1962:16 *1962:19 32.9536 +5 *1962:19 *1962:20 47.925 +6 *1962:20 *1962:22 4.5 +7 *1962:22 *1962:23 217.019 +8 *1962:23 *2822:mprj_io_analog_en[17] 13.9287 +*END + +*D_NET *1963 0.0343778 +*CONN +*I *2822:mprj_io_analog_en[18] I *D chip_io +*I *2787:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[18] 0.000100165 +2 *2787:pad_gpio_ana_en 6.99824e-05 +3 *1963:17 0.00215056 +4 *1963:16 0.0020504 +5 *1963:14 0.00172571 +6 *1963:13 0.00172571 +7 *1963:11 0.00196902 +8 *1963:10 0.002039 +9 *1963:11 *2001:11 0.000332911 +10 *1963:11 *2039:11 0.00621268 +11 *1963:11 *2131:11 0.0124922 +12 *1963:14 *2229:27 1.52448e-05 +13 *1963:14 *2457:22 0.0018307 +14 *1963:17 *2822:mprj_io_dm[56] 0.00076256 +15 *1963:17 *2822:mprj_io_vtrip_sel[18] 0.000141291 +16 *1963:17 *2229:27 0.000759667 +*RES +1 *2787:pad_gpio_ana_en *1963:10 8.38708 +2 *1963:10 *1963:11 164.886 +3 *1963:11 *1963:13 4.5 +4 *1963:13 *1963:14 59.1368 +5 *1963:14 *1963:16 4.5 +6 *1963:16 *1963:17 61.7298 +7 *1963:17 *2822:mprj_io_analog_en[18] 7.21135 +*END + +*D_NET *1964 0.0130547 +*CONN +*I *2822:mprj_io_analog_en[19] I *D chip_io +*I *2803:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[19] 0.000185312 +2 *2803:pad_gpio_ana_en 0.000171208 +3 *1964:11 0.00104654 +4 *1964:10 0.00103244 +5 *1964:10 *2002:10 0 +6 *1964:10 *2192:12 4.31122e-05 +7 *1964:11 *2803:pad_gpio_in 0.00014667 +8 *1964:11 *2134:11 0.00147912 +9 *1964:11 *2192:13 0.00472561 +10 *1964:11 *2230:11 0.00201704 +11 *1964:11 *2420:17 0.0017603 +12 *640:68 *1964:11 0.000277187 +13 *1715:26 *1964:11 0.000170188 +*RES +1 *2803:pad_gpio_ana_en *1964:10 9.70932 +2 *1964:10 *1964:11 77.2587 +3 *1964:11 *2822:mprj_io_analog_en[19] 8.20532 +*END + +*D_NET *1965 0.0171108 +*CONN +*I *2822:mprj_io_analog_en[1] I *D chip_io +*I *2782:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[1] 0.000484813 +2 *2782:pad_gpio_ana_en 0.000512163 +3 *1965:14 0.00147201 +4 *1965:13 0.00149936 +5 *2822:mprj_io_analog_en[1] *2822:mprj_io_dm[3] 0 +6 *1965:13 *2003:13 0.000550368 +7 *1965:13 *2041:13 0 +8 *1965:13 *2345:8 1.32509e-05 +9 *1965:14 *2003:14 0.000114237 +10 *1965:14 *2115:14 0.00632107 +11 *1965:14 *2126:14 0.000114237 +12 *1965:14 *2307:14 0.00580014 +13 *2782:mgmt_gpio_out *1965:13 0.000229211 +*RES +1 *2782:pad_gpio_ana_en *1965:13 28.3826 +2 *1965:13 *1965:14 104.815 +3 *1965:14 *2822:mprj_io_analog_en[1] 22.0756 +*END + +*D_NET *1966 0.0162691 +*CONN +*I *2822:mprj_io_analog_en[20] I *D chip_io +*I *2810:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[20] 0.000394281 +2 *2810:pad_gpio_ana_en 0.00081921 +3 *1966:16 0.00113616 +4 *1966:11 0.00156109 +5 *2822:mprj_io_analog_en[20] *2822:mprj_io_dm[60] 0.000440786 +6 *2822:mprj_io_analog_en[20] *2822:mprj_io_slow_sel[20] 1.41291e-05 +7 *2822:mprj_io_analog_en[20] *2004:17 0.00362102 +8 *2822:mprj_io_analog_en[20] *2308:23 0.00330199 +9 *1966:11 *2004:13 2.20702e-05 +10 *1966:11 *2138:13 0.00207671 +11 *1966:11 *2139:13 0.000185642 +12 *1966:11 *2194:13 0.00186651 +13 *1966:11 *2384:11 0.000389428 +14 *1966:16 *2822:mprj_io_out[20] 0 +15 *110:17 *2822:mprj_io_analog_en[20] 1.44742e-05 +16 *689:20 *1966:16 0.000425555 +*RES +1 *2810:pad_gpio_ana_en *1966:11 48.0092 +2 *1966:11 *1966:16 32.4251 +3 *1966:16 *2822:mprj_io_analog_en[20] 44.8123 +*END + +*D_NET *1967 0.016271 +*CONN +*I *2822:mprj_io_analog_en[21] I *D chip_io +*I *2811:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[21] 0.000425144 +2 *2811:pad_gpio_ana_en 0.00016494 +3 *1967:16 0.000682897 +4 *1967:13 0.000915541 +5 *1967:10 0.000822729 +6 *2822:mprj_io_analog_en[21] *2822:mprj_io_dm[64] 4.82966e-05 +7 *2822:mprj_io_analog_en[21] *2822:mprj_io_slow_sel[21] 0.000158904 +8 *2822:mprj_io_analog_en[21] *2005:17 0.00129416 +9 *2822:mprj_io_analog_en[21] *2143:23 0.00329817 +10 *2822:mprj_io_analog_en[21] *2271:15 0.000540793 +11 *1967:13 *2141:11 0.000710825 +12 *1967:13 *2142:11 7.92757e-06 +13 *1967:13 *2195:11 0.00218937 +14 *1967:13 *2233:11 0.00223826 +15 *1967:13 *2271:16 3.99086e-06 +16 *1967:16 *2142:16 0.00139121 +17 *1967:16 *2143:22 5.1493e-06 +18 *1967:16 *2271:15 0.00137272 +*RES +1 *2811:pad_gpio_ana_en *1967:10 8.46357 +2 *1967:10 *1967:13 46.2641 +3 *1967:13 *1967:16 27.5099 +4 *1967:16 *2822:mprj_io_analog_en[21] 42.5939 +*END + +*D_NET *1968 0.0239016 +*CONN +*I *2822:mprj_io_analog_en[22] I *D chip_io +*I *2812:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[22] 9.41226e-05 +2 *2812:pad_gpio_ana_en 0.000780684 +3 *1968:23 0.000481835 +4 *1968:20 0.000919603 +5 *1968:19 0.00131257 +6 *2822:mprj_io_analog_en[22] *2822:mprj_io_dm[66] 0 +7 *1968:19 *2006:19 0.00331734 +8 *1968:19 *2044:13 1.41291e-05 +9 *1968:19 *2144:11 0.00139576 +10 *1968:19 *2146:11 0.000135445 +11 *1968:19 *2196:11 0.000134886 +12 *1968:19 *2234:17 0.000815957 +13 *1968:19 *2272:21 2.02035e-05 +14 *1968:20 *2146:14 0.00344465 +15 *1968:20 *2196:14 0.00344934 +16 *1968:23 *2822:mprj_io_dm[67] 0.00266714 +17 *1968:23 *2822:mprj_io_inp_dis[22] 0.000354817 +18 *1968:23 *2144:19 0.000348618 +19 *1968:23 *2146:17 0.00407963 +20 *691:23 *1968:19 0.000134886 +*RES +1 *2812:pad_gpio_ana_en *1968:19 36.1904 +2 *1968:19 *1968:20 56.0224 +3 *1968:20 *1968:23 47.3733 +4 *1968:23 *2822:mprj_io_analog_en[22] 6.08773 +*END + +*D_NET *1969 0.0143272 +*CONN +*I *2822:mprj_io_analog_en[23] I *D chip_io +*I *2813:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[23] 0.00114805 +2 *2813:pad_gpio_ana_en 0.000747802 +3 *1969:16 0.00132587 +4 *1969:11 0.000925619 +5 *2822:mprj_io_analog_en[23] *2822:mprj_io_dm[69] 0.000361169 +6 *2822:mprj_io_analog_en[23] *2822:mprj_io_dm[71] 0.000439196 +7 *2822:mprj_io_analog_en[23] *2822:mprj_io_slow_sel[23] 1.01044e-05 +8 *2822:mprj_io_analog_en[23] *2235:17 5.04054e-06 +9 *2822:mprj_io_analog_en[23] *2597:16 0.00130751 +10 *1969:11 *2007:13 4.0752e-05 +11 *1969:11 *2147:11 3.99086e-06 +12 *1969:11 *2149:13 0.00229558 +13 *1969:11 *2150:11 0.0025038 +14 *1969:11 *2197:11 0.00057348 +15 *1969:11 *2597:25 3.4123e-05 +16 *1969:16 *2822:mprj_io_holdover[23] 0.00130076 +17 *1969:16 *2311:14 0.00130436 +*RES +1 *2813:pad_gpio_ana_en *1969:11 49.1184 +2 *1969:11 *1969:16 29.9336 +3 *1969:16 *2822:mprj_io_analog_en[23] 45.3807 +*END + +*D_NET *1970 0.0233508 +*CONN +*I *2822:mprj_io_analog_en[24] I *D chip_io +*I *2814:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[24] 0.000408145 +2 *2814:pad_gpio_ana_en 0.000416223 +3 *1970:8 0.00425876 +4 *1970:7 0.00426684 +5 *2822:mprj_io_analog_en[24] *2822:mprj_io_dm[72] 0 +6 *1970:7 *2008:7 0.000268918 +7 *1970:8 *2814:user_gpio_oeb 0.000874377 +8 *1970:8 *2008:8 0 +9 *1970:8 *2151:8 0 +10 *1970:8 *2152:8 0.0108573 +11 *1970:8 *2426:14 0 +12 *2814:mgmt_gpio_out *1970:7 4.80336e-05 +13 *617:20 *1970:8 0.000272174 +14 *632:67 *1970:8 0.00168006 +*RES +1 *2814:pad_gpio_ana_en *1970:7 4.96178 +2 *1970:7 *1970:8 184.335 +3 *1970:8 *2822:mprj_io_analog_en[24] 19.5785 +*END + +*D_NET *1971 0.00909851 +*CONN +*I *2822:mprj_io_analog_en[25] I *D chip_io +*I *2815:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[25] 0.00294522 +2 *2815:pad_gpio_ana_en 0.00294522 +3 *2822:mprj_io_analog_en[25] *2009:13 4.70618e-05 +4 *2822:mprj_io_analog_en[25] *2047:13 0.000120046 +5 *2822:mprj_io_analog_en[25] *2154:13 0.000120046 +6 *2822:mprj_io_analog_en[25] *2156:23 0.000565394 +7 *2822:mprj_io_analog_en[25] *2237:8 0.00042524 +8 *2822:mprj_io_analog_en[25] *2275:11 0.000117561 +9 *2822:mprj_io_analog_en[25] *2351:29 0.00139831 +10 *2815:mgmt_gpio_out *2822:mprj_io_analog_en[25] 3.18067e-05 +11 *106:43 *2822:mprj_io_analog_en[25] 0 +12 *618:34 *2822:mprj_io_analog_en[25] 0 +13 *694:19 *2822:mprj_io_analog_en[25] 0.000110968 +14 *694:20 *2822:mprj_io_analog_en[25] 0.000271637 +*RES +1 *2815:pad_gpio_ana_en *2822:mprj_io_analog_en[25] 18.1804 +*END + +*D_NET *1972 0.0116674 +*CONN +*I *2822:mprj_io_analog_en[26] I *D chip_io +*I *2816:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[26] 9.48156e-05 +2 *2816:pad_gpio_ana_en 0.000950339 +3 *1972:19 0.000655362 +4 *1972:15 0.00098948 +5 *1972:12 0.00137927 +6 *2822:mprj_io_analog_en[26] *2238:33 0 +7 *1972:12 *2010:12 0.00187162 +8 *1972:12 *2600:19 0.000210973 +9 *1972:19 *2822:mprj_io_dm[78] 0 +10 *1972:19 *2010:16 1.87125e-05 +11 *1972:19 *2158:8 0.00186869 +12 *2816:mgmt_gpio_out *1972:12 0.000475383 +13 *116:22 *1972:19 0.000449924 +14 *634:14 *1972:19 0.00235848 +15 *656:13 *1972:19 0.000302851 +16 *695:27 *1972:15 2.48087e-05 +17 *1723:33 *1972:12 1.66771e-05 +*RES +1 *2816:pad_gpio_ana_en *1972:12 48.3378 +2 *1972:12 *1972:15 13.5424 +3 *1972:15 *1972:19 47.6192 +4 *1972:19 *2822:mprj_io_analog_en[26] 7.05869 +*END + +*D_NET *1973 0.0148317 +*CONN +*I *2822:mprj_io_analog_en[27] I *D chip_io +*I *2817:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[27] 0.000771363 +2 *2817:pad_gpio_ana_en 0.000746392 +3 *1973:8 0.00140605 +4 *1973:7 0.00138107 +5 *2822:mprj_io_analog_en[27] *2822:mprj_io_dm[81] 0 +6 *2822:mprj_io_analog_en[27] *2239:20 3.66465e-05 +7 *2822:mprj_io_analog_en[27] *2353:16 0 +8 *2822:mprj_io_analog_en[27] *2391:26 0.000340658 +9 *1973:7 *2011:7 0.000215751 +10 *1973:7 *2161:7 0 +11 *1973:8 *2161:8 1.1246e-05 +12 *1973:8 *2315:8 0.00392301 +13 *1973:8 *2391:22 0.000552609 +14 *1973:8 *2467:8 1.87125e-05 +15 *2817:mgmt_gpio_out *1973:7 4.80459e-05 +16 *642:52 *1973:8 0.000630292 +17 *657:8 *1973:8 0.00448586 +18 *657:20 *2822:mprj_io_analog_en[27] 0.000264004 +*RES +1 *2817:pad_gpio_ana_en *1973:7 5.42072 +2 *1973:7 *1973:8 72.6325 +3 *1973:8 *2822:mprj_io_analog_en[27] 38.4587 +*END + +*D_NET *1974 0.0126397 +*CONN +*I *2822:mprj_io_analog_en[28] I *D chip_io +*I *2818:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[28] 0.000398662 +2 *2818:pad_gpio_ana_en 0.000500428 +3 *1974:14 0.00118035 +4 *1974:13 0.00128211 +5 *2822:mprj_io_analog_en[28] *2240:20 0 +6 *1974:13 *2012:13 5.36925e-05 +7 *1974:13 *2278:11 0.000119662 +8 *1974:13 *2354:13 5.04829e-06 +9 *1974:14 *2012:14 0.00479664 +10 *1974:14 *2316:14 0.00248871 +11 *1974:14 *2392:8 0.00111496 +12 *2818:mgmt_gpio_out *1974:13 5.36925e-05 +13 *106:37 *1974:14 0.000645725 +*RES +1 *2818:pad_gpio_ana_en *1974:13 23.1889 +2 *1974:13 *1974:14 76.9926 +3 *1974:14 *2822:mprj_io_analog_en[28] 18.0541 +*END + +*D_NET *1975 0.00815292 +*CONN +*I *2822:mprj_io_analog_en[29] I *D chip_io +*I *2804:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[29] 0.000205775 +2 *2804:pad_gpio_ana_en 0.00134049 +3 *1975:18 0.00137772 +4 *1975:15 0.00207438 +5 *1975:12 0.00224292 +6 *2822:mprj_io_analog_en[29] *2822:mprj_io_dm[87] 0 +7 *1975:18 *2822:mprj_io_dm[88] 0 +8 *1975:18 *2822:mprj_io_slow_sel[29] 0 +9 *1975:18 *2279:10 0 +10 *1975:18 *2279:11 0 +11 *1975:18 *2604:10 0 +12 *641:12 *2822:mprj_io_analog_en[29] 0 +13 *641:12 *1975:18 0.000911644 +*RES +1 *2804:pad_gpio_ana_en *1975:12 42.996 +2 *1975:12 *1975:15 29.0714 +3 *1975:15 *1975:18 47.8572 +4 *1975:18 *2822:mprj_io_analog_en[29] 9.81119 +*END + +*D_NET *1976 0.0143005 +*CONN +*I *2822:mprj_io_analog_en[2] I *D chip_io +*I *2797:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[2] 0.000350751 +2 *2797:pad_gpio_ana_en 0.000379135 +3 *1976:20 0.000886682 +4 *1976:19 0.000679399 +5 *1976:16 0.00124552 +6 *1976:13 0.00148119 +7 *2822:mprj_io_analog_en[2] *2822:mprj_io_dm[6] 0 +8 *1976:13 *2014:13 0.000892829 +9 *1976:16 *2159:8 0.00121192 +10 *1976:19 *2822:mprj_io_oeb[2] 8.05684e-05 +11 *1976:19 *2159:13 3.94907e-05 +12 *1976:20 *2242:14 0.000205332 +13 *2797:mgmt_gpio_out *1976:13 0.000138686 +14 *110:52 *2822:mprj_io_analog_en[2] 0 +15 *110:52 *1976:16 0 +16 *626:66 *1976:20 2.01595e-05 +17 *650:56 *1976:20 0.00345874 +18 *669:20 *1976:20 0.00322582 +19 *669:30 *1976:13 4.3116e-06 +20 *1727:29 *1976:13 0 +*RES +1 *2797:pad_gpio_ana_en *1976:13 25.1831 +2 *1976:13 *1976:16 46.1962 +3 *1976:16 *1976:19 8.55102 +4 *1976:19 *1976:20 56.23 +5 *1976:20 *2822:mprj_io_analog_en[2] 17.3601 +*END + +*D_NET *1977 0.0127565 +*CONN +*I *2822:mprj_io_analog_en[30] I *D chip_io +*I *2805:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[30] 0.000102763 +2 *2805:pad_gpio_ana_en 0.000294354 +3 *1977:17 0.000637159 +4 *1977:8 0.00128765 +5 *1977:7 0.0010476 +6 *2822:mprj_io_analog_en[30] *2357:20 0 +7 *1977:7 *2015:7 0.000198952 +8 *1977:8 *2172:16 0.00156989 +9 *1977:8 *2433:8 0.00313591 +10 *1977:17 *2822:mprj_io_dm[90] 0 +11 *1977:17 *2822:mprj_io_slow_sel[30] 1.3813e-05 +12 *1977:17 *2319:13 5.04829e-06 +13 *1977:17 *2357:19 0.000103329 +14 *1977:17 *2433:8 4.10997e-05 +15 *2805:mgmt_gpio_out *1977:7 3.09106e-06 +16 *102:26 *1977:17 0.00173578 +17 *106:37 *1977:8 0.000500639 +18 *106:37 *1977:17 0.00016534 +19 *642:8 *1977:8 0 +20 *642:13 *1977:17 0.000110297 +21 *681:8 *1977:17 0.00180374 +*RES +1 *2805:pad_gpio_ana_en *1977:7 4.50284 +2 *1977:7 *1977:8 50.2089 +3 *1977:8 *1977:17 49.1769 +4 *1977:17 *2822:mprj_io_analog_en[30] 7.28463 +*END + +*D_NET *1978 0.01248 +*CONN +*I *2822:mprj_io_analog_en[31] I *D chip_io +*I *2806:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[31] 0.000260584 +2 *2806:pad_gpio_ana_en 0.000457448 +3 *1978:16 0.00117453 +4 *1978:15 0.00137139 +5 *2822:mprj_io_analog_en[31] *2358:12 0 +6 *1978:15 *2016:15 1.10565e-05 +7 *1978:15 *2054:15 0.000127932 +8 *1978:15 *2174:12 0.000119662 +9 *1978:16 *2822:mprj_io_dm[94] 0 +10 *1978:16 *2822:mprj_io_slow_sel[31] 0 +11 *1978:16 *2175:8 0.004247 +12 *1978:16 *2358:8 8.35615e-06 +13 *1978:16 *2358:12 0.000462947 +14 *1978:16 *2434:8 0.00354884 +15 *1978:16 *2606:13 0 +16 *2806:mgmt_gpio_out *1978:15 1.10565e-05 +17 *106:37 *1978:16 0.00067925 +*RES +1 *2806:pad_gpio_ana_en *1978:15 22.5678 +2 *1978:15 *1978:16 76.1621 +3 *1978:16 *2822:mprj_io_analog_en[31] 15.8357 +*END + +*D_NET *1979 0.0107081 +*CONN +*I *2822:mprj_io_analog_en[32] I *D chip_io +*I *2807:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[32] 0.000265951 +2 *2807:pad_gpio_ana_en 0.000363024 +3 *1979:8 0.00204064 +4 *1979:7 0.00213771 +5 *2822:mprj_io_analog_en[32] *2177:21 0 +6 *1979:7 *2017:7 0.000169336 +7 *1979:8 *2822:mprj_io_dm[97] 0 +8 *1979:8 *2822:mprj_io_slow_sel[32] 0 +9 *1979:8 *2177:16 1.69327e-05 +10 *1979:8 *2177:21 0.00214069 +11 *1979:8 *2283:10 0 +12 *1979:8 *2283:11 0 +13 *1979:8 *2473:8 0 +14 *1979:8 *2607:8 0 +15 *2807:mgmt_gpio_out *1979:7 5.02212e-05 +16 *106:31 *1979:8 0.00274191 +17 *619:20 *1979:8 0.000781717 +*RES +1 *2807:pad_gpio_ana_en *1979:7 4.50284 +2 *1979:7 *1979:8 79.2765 +3 *1979:8 *2822:mprj_io_analog_en[32] 15.975 +*END + +*D_NET *1980 0.0115389 +*CONN +*I *2822:mprj_io_analog_en[33] I *D chip_io +*I *2808:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[33] 0.000257051 +2 *2808:pad_gpio_ana_en 0.000998758 +3 *1980:16 0.000951981 +4 *1980:15 0.00169369 +5 *2822:mprj_io_analog_en[33] *2822:mprj_io_dm[99] 0 +6 *2822:mprj_io_analog_en[33] *2398:16 0 +7 *1980:15 *2018:15 0.000956242 +8 *1980:15 *2056:13 0.00134775 +9 *1980:15 *2284:11 0 +10 *1980:15 *2322:13 5.04829e-06 +11 *1980:16 *2822:mprj_io_dm[100] 0 +12 *1980:16 *2822:mprj_io_slow_sel[33] 0 +13 *1980:16 *2069:8 0.00255097 +14 *1980:16 *2398:16 0.000236262 +15 *1980:16 *2436:8 0.00185281 +16 *1980:16 *2608:7 0 +17 *2808:mgmt_gpio_out *1980:15 1.02886e-05 +18 *106:25 *1980:15 0.000266428 +19 *619:20 *1980:16 0.000411592 +*RES +1 *2808:pad_gpio_ana_en *1980:15 49.9744 +2 *1980:15 *1980:16 48.7555 +3 *1980:16 *2822:mprj_io_analog_en[33] 15.8357 +*END + +*D_NET *1981 0.0129583 +*CONN +*I *2822:mprj_io_analog_en[34] I *D chip_io +*I *2809:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[34] 0.000421644 +2 *2809:pad_gpio_ana_en 0.000554862 +3 *1981:8 0.00127158 +4 *1981:7 0.0014048 +5 *2822:mprj_io_analog_en[34] *2609:13 0 +6 *1981:7 *2019:7 0.000166365 +7 *1981:7 *2071:7 0 +8 *1981:8 *2019:8 0.000909006 +9 *1981:8 *2071:8 0 +10 *1981:8 *2073:16 0.00307189 +11 *1981:8 *2323:8 0.00451635 +12 *2809:mgmt_gpio_out *1981:7 4.03816e-05 +13 *619:20 *1981:8 0.000601465 +*RES +1 *2809:pad_gpio_ana_en *1981:7 4.88529 +2 *1981:7 *1981:8 79.2765 +3 *1981:8 *2822:mprj_io_analog_en[34] 18.6087 +*END + +*D_NET *1982 0.0113783 +*CONN +*I *2822:mprj_io_analog_en[35] I *D chip_io +*I *2783:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[35] 0.000567343 +2 *2783:pad_gpio_ana_en 0.000766577 +3 *1982:14 0.00218001 +4 *1982:13 0.00237924 +5 *2822:mprj_io_analog_en[35] *2822:mprj_io_dm[105] 0 +6 *2822:mprj_io_analog_en[35] *2074:23 0 +7 *1982:13 *2020:13 0.00153069 +8 *1982:13 *2248:8 0 +9 *1982:14 *2020:14 0.000916779 +10 *1982:14 *2286:11 0.00242582 +11 *2783:mgmt_gpio_out *1982:13 3.23036e-05 +12 *1745:13 *1982:14 0.000579555 +*RES +1 *2783:pad_gpio_ana_en *1982:13 33.9282 +2 *1982:13 *1982:14 69.1029 +3 *1982:14 *2822:mprj_io_analog_en[35] 21.9363 +*END + +*D_NET *1983 0.0142339 +*CONN +*I *2822:mprj_io_analog_en[36] I *D chip_io +*I *2784:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[36] 0.000234583 +2 *2784:pad_gpio_ana_en 0.000493899 +3 *1983:16 0.00160011 +4 *1983:15 0.0015399 +5 *1983:12 0.000668265 +6 *2822:mprj_io_analog_en[36] *2021:16 0 +7 *1983:12 *2021:12 0.00107637 +8 *1983:15 *2021:15 0.00254375 +9 *1983:15 *2059:15 0.00253955 +10 *1983:16 *2822:mprj_io_dm[109] 0 +11 *1983:16 *2822:mprj_io_slow_sel[36] 0 +12 *1983:16 *2021:16 0.000236262 +13 *1983:16 *2078:12 0.00330121 +14 *1983:16 *2287:13 0 +*RES +1 *2784:pad_gpio_ana_en *1983:12 25.4989 +2 *1983:12 *1983:15 31.2898 +3 *1983:15 *1983:16 60.7978 +4 *1983:16 *2822:mprj_io_analog_en[36] 15.104 +*END + +*D_NET *1984 0.0118548 +*CONN +*I *2822:mprj_io_analog_en[37] I *D chip_io +*I *2785:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[37] 0.000295965 +2 *2785:pad_gpio_ana_en 0.000327822 +3 *1984:8 0.00141379 +4 *1984:7 0.00144565 +5 *2822:mprj_io_analog_en[37] *2022:14 0 +6 *1984:7 *2822:mprj_io_analog_sel[37] 0 +7 *1984:7 *2022:13 0.000114713 +8 *1984:7 *2081:7 0 +9 *1984:8 *2822:mprj_io_analog_sel[37] 0.000614731 +10 *1984:8 *2022:13 0 +11 *1984:8 *2022:14 0 +12 *1984:8 *2081:8 0.000236262 +13 *1984:8 *2082:8 0.00446426 +14 *1984:8 *2440:16 0.00294164 +*RES +1 *2785:pad_gpio_ana_en *1984:7 4.57933 +2 *1984:7 *1984:8 79.2765 +3 *1984:8 *2822:mprj_io_analog_en[37] 16.3903 +*END + +*D_NET *1985 0.0181429 +*CONN +*I *2822:mprj_io_analog_en[3] I *D chip_io +*I *2798:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[3] 0.000549497 +2 *2798:pad_gpio_ana_en 0.000595294 +3 *1985:16 0.00139888 +4 *1985:15 0.00144468 +5 *2822:mprj_io_analog_en[3] *2822:mprj_io_dm[10] 0 +6 *2822:mprj_io_analog_en[3] *2822:mprj_io_dm[9] 0 +7 *1985:15 *2023:13 0.00191714 +8 *1985:15 *2061:15 0 +9 *1985:16 *2023:14 0.00012693 +10 *1985:16 *2079:14 0.000114237 +11 *1985:16 *2181:14 0.00552889 +12 *1985:16 *2289:11 0 +13 *1985:16 *2327:16 0.00506005 +14 *2797:resetn_out *1985:15 0.000104808 +15 *111:36 *2822:mprj_io_analog_en[3] 0 +16 *1736:25 *1985:15 0.00130248 +*RES +1 *2798:pad_gpio_ana_en *1985:15 39.2656 +2 *1985:15 *1985:16 91.9417 +3 *1985:16 *2822:mprj_io_analog_en[3] 22.7313 +*END + +*D_NET *1986 0.0192125 +*CONN +*I *2822:mprj_io_analog_en[4] I *D chip_io +*I *2799:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[4] 0.000512936 +2 *2799:pad_gpio_ana_en 0.000579395 +3 *1986:14 0.00134274 +4 *1986:13 0.0014092 +5 *2822:mprj_io_analog_en[4] *2822:mprj_io_dm[12] 0 +6 *1986:13 *2024:15 0.00111952 +7 *1986:13 *2062:13 4.15236e-05 +8 *1986:13 *2085:15 0 +9 *1986:13 *2366:8 2.08076e-05 +10 *1986:14 *2086:16 0.0065881 +11 *1986:14 *2442:14 0.00659528 +12 *116:49 *1986:14 0.00100298 +13 *671:14 *2822:mprj_io_analog_en[4] 0 +*RES +1 *2799:pad_gpio_ana_en *1986:13 27.4892 +2 *1986:13 *1986:14 105.23 +3 *1986:14 *2822:mprj_io_analog_en[4] 22.0756 +*END + +*D_NET *1987 0.0176054 +*CONN +*I *2822:mprj_io_analog_en[5] I *D chip_io +*I *2800:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[5] 0.000499428 +2 *2800:pad_gpio_ana_en 0.000672413 +3 *1987:14 0.00174151 +4 *1987:13 0.00191449 +5 *2822:mprj_io_analog_en[5] *2822:mprj_io_dm[15] 0 +6 *1987:13 *2025:13 3.26002e-05 +7 *1987:13 *2063:13 0.00144866 +8 *1987:13 *2253:8 0 +9 *1987:14 *2063:14 0 +10 *1987:14 *2088:14 0.00598247 +11 *1987:14 *2090:14 0.00432568 +12 *2800:mgmt_gpio_out *1987:13 2.45091e-05 +13 *115:64 *1987:14 0.000963661 +14 *672:14 *1987:14 0 +*RES +1 *2800:pad_gpio_ana_en *1987:13 32.7481 +2 *1987:13 *1987:14 98.5858 +3 *1987:14 *2822:mprj_io_analog_en[5] 22.3515 +*END + +*D_NET *1988 0.0151114 +*CONN +*I *2822:mprj_io_analog_en[6] I *D chip_io +*I *2801:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[6] 0.000326649 +2 *2801:pad_gpio_ana_en 0.000743734 +3 *1988:14 0.00186677 +4 *1988:13 0.00228386 +5 *2822:mprj_io_analog_en[6] *2822:mprj_io_dm[19] 0.00070508 +6 *1988:13 *2026:13 3.84497e-05 +7 *1988:13 *2368:8 0.000383985 +8 *1988:14 *2026:14 0.00528939 +9 *1988:14 *2091:14 0 +10 *1988:14 *2092:14 0 +11 *654:59 *1988:14 0.00167723 +12 *655:45 *1988:14 0.000797342 +13 *673:14 *1988:14 0 +14 *1739:26 *1988:13 0.000998917 +*RES +1 *2801:pad_gpio_ana_en *1988:13 36.682 +2 *1988:13 *1988:14 91.9417 +3 *1988:14 *2822:mprj_io_analog_en[6] 20.1331 +*END + +*D_NET *1989 0.0583123 +*CONN +*I *2822:mprj_io_analog_en[7] I *D chip_io +*I *2802:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[7] 0.000570537 +2 *2802:pad_gpio_ana_en 0.000473625 +3 *1989:14 0.00511815 +4 *1989:13 0.00502124 +5 *2822:mprj_io_analog_en[7] *2822:mprj_io_dm[21] 0 +6 *2822:mprj_io_analog_en[7] *2590:9 0.000171079 +7 *1989:13 *2027:13 0.00126085 +8 *1989:14 *2027:14 0.000769369 +9 *1989:14 *2095:8 0.000254382 +10 *1989:14 *2096:14 0.00787341 +11 *1989:14 *2098:14 0.00968668 +12 *1989:14 *2256:10 0.00132849 +13 *1989:14 *2407:14 0.0252628 +14 *2802:mgmt_gpio_out *1989:13 3.27908e-05 +15 *655:51 *1989:13 0.000246801 +16 *674:10 *1989:13 0.000242125 +*RES +1 *2802:pad_gpio_ana_en *1989:13 31.2893 +2 *1989:13 *1989:14 429.126 +3 *1989:14 *2822:mprj_io_analog_en[7] 22.4909 +*END + +*D_NET *1990 0.0592631 +*CONN +*I *2822:mprj_io_analog_en[8] I *D chip_io +*I *2786:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[8] 0.000954267 +2 *2786:pad_gpio_ana_en 0.00349194 +3 *1990:29 0.00148421 +4 *1990:19 0.000600132 +5 *1990:14 0.00311411 +6 *1990:13 0.00653585 +7 *2822:mprj_io_analog_en[8] *2822:mprj_io_analog_pol[8] 2.6506e-05 +8 *2822:mprj_io_analog_en[8] *2822:mprj_io_dm[24] 0 +9 *2822:mprj_io_analog_en[8] *2822:mprj_io_dm[25] 0 +10 *2822:mprj_io_analog_en[8] *2822:mprj_io_slow_sel[8] 0 +11 *2822:mprj_io_analog_en[8] *2028:14 0.00118624 +12 *2822:mprj_io_analog_en[8] *2294:10 0 +13 *2822:mprj_io_analog_en[8] *2601:10 0 +14 *2822:mprj_io_analog_en[8] *2601:13 0.000601118 +15 *1990:13 *2822:mprj_io_analog_sel[7] 0.00902032 +16 *1990:13 *2028:13 0.0170376 +17 *1990:13 *2066:8 2.40249e-06 +18 *1990:13 *2255:8 0 +19 *1990:14 *2028:14 0.00842723 +20 *1990:14 *2100:10 0.0011913 +21 *1990:14 *2103:8 0 +22 *1990:19 *2103:13 5.04829e-06 +23 *1990:29 *2028:14 0.00253686 +24 *1990:29 *2100:10 0.000404445 +25 *1990:29 *2103:23 9.83988e-05 +26 *1990:29 *2446:18 0.00254513 +27 *676:20 *1990:14 0 +*RES +1 *2786:pad_gpio_ana_en *1990:13 37.9901 +2 *1990:13 *1990:14 135.751 +3 *1990:14 *1990:19 10.278 +4 *1990:19 *1990:29 47.8114 +5 *1990:29 *2822:mprj_io_analog_en[8] 45.8365 +*END + +*D_NET *1991 0.0601745 +*CONN +*I *2822:mprj_io_analog_en[9] I *D chip_io +*I *2788:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_en[9] 0.00039104 +2 *2788:pad_gpio_ana_en 0.0033715 +3 *1991:14 0.003055 +4 *1991:13 0.00603547 +5 *2822:mprj_io_analog_en[9] *2611:11 0 +6 *1991:13 *2029:13 0.0173336 +7 *1991:13 *2066:8 0.000875525 +8 *1991:13 *2067:13 8.00779e-06 +9 *1991:14 *2067:14 1.23804e-05 +10 *1991:14 *2067:18 0.00203649 +11 *1991:14 *2259:11 0.00851289 +12 *1991:14 *2295:11 0 +13 *1991:14 *2297:8 0.00124056 +14 *1991:14 *2371:8 0.000447726 +15 *1991:14 *2409:30 0.0105349 +16 *2788:serial_clock_out *1991:13 0.00631948 +*RES +1 *2788:pad_gpio_ana_en *1991:13 38.6568 +2 *1991:13 *1991:14 203.022 +3 *1991:14 *2822:mprj_io_analog_en[9] 18.0541 +*END + +*D_NET *1992 0.0162777 +*CONN +*I *2822:mprj_io_analog_pol[0] I *D chip_io +*I *2781:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[0] 0.000487385 +2 *2781:pad_gpio_ana_pol 0.000738763 +3 *1992:14 0.00133521 +4 *1992:13 0.00158659 +5 *2822:mprj_io_analog_pol[0] *2822:mprj_io_dm[0] 0 +6 *2822:mprj_io_analog_pol[0] *2822:mprj_io_inp_dis[0] 0 +7 *1992:13 *2030:13 2.72062e-05 +8 *1992:13 *2104:15 0 +9 *1992:13 *2220:13 0 +10 *1992:13 *2334:8 4.05974e-05 +11 *1992:14 *2068:14 0.00012693 +12 *1992:14 *2296:14 0.00590433 +13 *1992:14 *2448:14 0 +14 *1954:13 *1992:13 2.72062e-05 +15 *1954:14 *1992:14 0.00600344 +*RES +1 *2781:pad_gpio_ana_pol *1992:13 28.6585 +2 *1992:13 *1992:14 98.5858 +3 *1992:14 *2822:mprj_io_analog_pol[0] 21.9363 +*END + +*D_NET *1993 0.0612905 +*CONN +*I *2822:mprj_io_analog_pol[10] I *D chip_io +*I *2789:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[10] 0.000297454 +2 *2789:pad_gpio_ana_pol 3.60638e-05 +3 *1993:8 0.00455086 +4 *1993:7 0.00428947 +5 *1993:8 *2031:8 0.00879493 +6 *1993:8 *2032:8 0.011732 +7 *2788:serial_clock_out *1993:8 5.47006e-05 +8 *2822:mprj_io_analog_en[10] *2822:mprj_io_analog_pol[10] 0.00054759 +9 *1955:8 *1993:8 0.0309874 +*RES +1 *2789:pad_gpio_ana_pol *1993:7 0.51465 +2 *1993:7 *1993:8 61.4316 +3 *1993:8 *2822:mprj_io_analog_pol[10] 5.85321 +*END + +*D_NET *1994 0.0695354 +*CONN +*I *2822:mprj_io_analog_pol[11] I *D chip_io +*I *2790:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[11] 0.000757797 +2 *2790:pad_gpio_ana_pol 0.00253696 +3 *1994:14 0.00247449 +4 *1994:13 0.00425365 +5 *2822:mprj_io_analog_pol[11] *2822:mprj_io_inp_dis[11] 0 +6 *2822:mprj_io_analog_pol[11] *2261:19 0.00145279 +7 *2822:mprj_io_analog_pol[11] *2374:8 0.000225478 +8 *2822:mprj_io_analog_pol[11] *2413:14 2.01595e-05 +9 *2822:mprj_io_analog_pol[11] *2413:20 0.0013971 +10 *2822:mprj_io_analog_pol[11] *2613:13 0 +11 *1994:13 *2032:8 0.0012479 +12 *1994:14 *2033:14 0 +13 *1994:14 *2260:11 1.87269e-05 +14 *1994:14 *2261:19 2.01595e-05 +15 *1994:14 *2261:23 0.0016509 +16 *1994:14 *2374:8 0.00185622 +17 *1994:14 *2375:14 6.24797e-05 +18 *1994:14 *2412:14 0.0102683 +19 *1994:14 *2413:14 0.00729757 +20 *1955:8 *1994:13 0.011963 +21 *1956:13 *1994:13 0.0178493 +22 *1956:14 *1994:14 0.00418243 +*RES +1 *2790:pad_gpio_ana_pol *1994:13 38.4707 +2 *1994:13 *1994:14 192.848 +3 *1994:14 *2822:mprj_io_analog_pol[11] 44.7152 +*END + +*D_NET *1995 0.0519954 +*CONN +*I *2822:mprj_io_analog_pol[12] I *D chip_io +*I *2791:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[12] 0.000636965 +2 *2791:pad_gpio_ana_pol 0.00103243 +3 *1995:26 0.00428382 +4 *1995:25 0.00909984 +5 *1995:19 0.00648541 +6 *2822:mprj_io_analog_pol[12] *2822:mprj_io_dm[36] 0 +7 *2822:mprj_io_analog_pol[12] *2822:mprj_io_inp_dis[12] 0 +8 *2822:mprj_io_analog_pol[12] *2614:11 0 +9 *1995:19 *2032:8 0.000184845 +10 *1995:19 *2033:13 2.89493e-05 +11 *1995:19 *2111:13 0 +12 *1995:19 *2112:13 0 +13 *1995:19 *2299:13 0 +14 *1995:25 *2032:8 0.0116346 +15 *1995:25 *2113:8 0 +16 *1995:26 *2116:14 0.0101199 +17 *1995:26 *2186:14 0.00255457 +18 *1995:26 *2300:20 0.00125814 +19 *1995:26 *2375:14 0 +20 *663:14 *1995:26 0.00253483 +21 *1957:17 *1995:19 0.000156881 +22 *1957:23 *1995:25 0.00198434 +*RES +1 *2791:pad_gpio_ana_pol *1995:19 41.6424 +2 *1995:19 *1995:25 35.5842 +3 *1995:25 *1995:26 211.742 +4 *1995:26 *2822:mprj_io_analog_pol[12] 22.7696 +*END + +*D_NET *1996 0.0825524 +*CONN +*I *2822:mprj_io_analog_pol[13] I *D chip_io +*I *2792:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[13] 0.000430418 +2 *2792:pad_gpio_ana_pol 0.00600272 +3 *1996:14 0.00635044 +4 *1996:13 0.0119227 +5 *2822:mprj_io_analog_pol[13] *2822:mprj_io_dm[39] 0 +6 *2822:mprj_io_analog_pol[13] *2615:13 0 +7 *1996:14 *2186:20 0 +8 *1996:14 *2186:32 0 +9 *1996:14 *2300:32 0.0143604 +10 *1958:13 *1996:13 0.0166498 +11 *1958:14 *1996:14 0.0268359 +*RES +1 *2792:pad_gpio_ana_pol *1996:13 39.6111 +2 *1996:13 *1996:14 470.444 +3 *1996:14 *2822:mprj_io_analog_pol[13] 19.5785 +*END + +*D_NET *1997 0.0246179 +*CONN +*I *2822:mprj_io_analog_pol[14] I *D chip_io +*I *2793:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[14] 0.000398613 +2 *2793:pad_gpio_ana_pol 0.000537906 +3 *1997:16 0.00203562 +4 *1997:15 0.00217491 +5 *2822:mprj_io_analog_pol[14] *2822:mprj_io_dm[42] 0 +6 *2822:mprj_io_analog_pol[14] *2822:mprj_io_inp_dis[14] 0 +7 *2822:mprj_io_analog_pol[14] *2616:11 0 +8 *1997:15 *2035:13 4.69062e-05 +9 *1997:15 *2118:15 0 +10 *1997:16 *2118:16 0.00968941 +11 *1997:16 *2119:14 0.00869605 +12 *1997:16 *2120:16 0 +13 *1997:16 *2301:16 0.000152805 +14 *1959:13 *1997:15 0.000448386 +15 *1959:14 *1997:16 0.000437312 +*RES +1 *2793:pad_gpio_ana_pol *1997:15 27.9088 +2 *1997:15 *1997:16 163.78 +3 *1997:16 *2822:mprj_io_analog_pol[14] 18.4693 +*END + +*D_NET *1998 0.0867234 +*CONN +*I *2822:mprj_io_analog_pol[15] I *D chip_io +*I *2794:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[15] 0.000433233 +2 *2794:pad_gpio_ana_pol 0.00120513 +3 *1998:23 0.00842674 +4 *1998:22 0.00872957 +5 *1998:17 0.00212948 +6 *1998:16 0.00220917 +7 *1998:13 0.00202089 +8 *2822:mprj_io_analog_pol[15] *2822:mprj_io_inp_dis[15] 0 +9 *1998:13 *2264:13 0 +10 *1998:13 *2416:11 0.000113289 +11 *1998:17 *2188:17 0.00712075 +12 *1998:22 *2188:25 0.00026104 +13 *1998:22 *2340:20 0.000206816 +14 *1998:22 *2416:20 0.000283455 +15 *1998:23 *2036:23 0.00114883 +16 *1998:23 *2122:23 0.0389726 +17 *1998:23 *2264:10 0.0108171 +18 *2794:resetn *1998:16 2.02035e-05 +19 *2794:resetn_out *1998:16 0 +20 *2794:serial_clock *1998:16 0 +21 *1960:23 *1998:17 0.00234327 +22 *1960:28 *1998:22 0.000281884 +*RES +1 *2794:pad_gpio_ana_pol *1998:13 44.7448 +2 *1998:13 *1998:16 26.2641 +3 *1998:16 *1998:17 85.0231 +4 *1998:17 *1998:22 35.654 +5 *1998:22 *1998:23 52.5986 +6 *1998:23 *2822:mprj_io_analog_pol[15] 14.7027 +*END + +*D_NET *1999 0.0389788 +*CONN +*I *2822:mprj_io_analog_pol[16] I *D chip_io +*I *2795:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[16] 0.000662669 +2 *2795:pad_gpio_ana_pol 7.83259e-05 +3 *1999:17 0.00148565 +4 *1999:16 0.00107059 +5 *1999:13 0.00116209 +6 *1999:10 0.000992805 +7 *2822:mprj_io_analog_pol[16] *2822:mprj_io_dm[48] 0.00615143 +8 *2822:mprj_io_analog_pol[16] *2822:mprj_io_holdover[16] 0.00330232 +9 *2822:mprj_io_analog_pol[16] *2303:22 0.000705674 +10 *2822:mprj_io_analog_pol[16] *2379:25 3.46002e-05 +11 *1999:13 *2795:pad_gpio_in 0.00144585 +12 *1999:13 *2189:11 0 +13 *1999:13 *2303:16 0.00207894 +14 *1999:16 *2303:16 0.000178932 +15 *1999:17 *2303:17 0.00895146 +16 *2795:resetn *1999:13 0 +17 *2822:mprj_io_analog_en[16] *2822:mprj_io_analog_pol[16] 0.0021113 +18 *107:13 *1999:13 0.000171456 +19 *647:13 *1999:13 0.000127162 +20 *1961:17 *1999:17 0.00826752 +*RES +1 *2795:pad_gpio_ana_pol *1999:10 8.38708 +2 *1999:10 *1999:13 47.9279 +3 *1999:13 *1999:16 13.3913 +4 *1999:16 *1999:17 95.006 +5 *1999:17 *2822:mprj_io_analog_pol[16] 35.2567 +*END + +*D_NET *2000 0.0546504 +*CONN +*I *2822:mprj_io_analog_pol[17] I *D chip_io +*I *2796:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[17] 0.000389544 +2 *2796:pad_gpio_ana_pol 6.13534e-05 +3 *2000:23 0.00305312 +4 *2000:22 0.00266357 +5 *2000:20 0.00121415 +6 *2000:19 0.00172827 +7 *2000:16 0.00122841 +8 *2000:11 0.00167972 +9 *2000:10 0.00102678 +10 *2822:mprj_io_analog_pol[17] *2822:mprj_io_dm[51] 0 +11 *2000:11 *2038:11 0.00999195 +12 *2000:11 *2128:11 0.00466304 +13 *2000:16 *2128:14 0 +14 *2000:19 *2038:19 0.00228913 +15 *2000:20 *2821:analog_io[11] 0.00150913 +16 *2000:20 *2038:20 0.00074193 +17 *2000:23 *2822:mprj_io_dm[51] 0.00272025 +18 *2000:23 *2266:8 0.00643795 +19 *2000:23 *2418:23 0.00384201 +20 *647:17 *2000:23 0.0022549 +21 *1962:11 *2000:11 0.000282235 +22 *1962:16 *2000:16 0.000698604 +23 *1962:23 *2000:23 0.00617442 +*RES +1 *2796:pad_gpio_ana_pol *2000:10 8.38708 +2 *2000:10 *2000:11 112.199 +3 *2000:11 *2000:16 34.0861 +4 *2000:16 *2000:19 28.5167 +5 *2000:19 *2000:20 49.586 +6 *2000:20 *2000:22 4.5 +7 *2000:22 *2000:23 212.582 +8 *2000:23 *2822:mprj_io_analog_pol[17] 14.7592 +*END + +*D_NET *2001 0.031167 +*CONN +*I *2822:mprj_io_analog_pol[18] I *D chip_io +*I *2787:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[18] 0.000910986 +2 *2787:pad_gpio_ana_pol 0.0001008 +3 *2001:14 0.00296308 +4 *2001:13 0.00205209 +5 *2001:11 0.0033264 +6 *2001:10 0.0034272 +7 *2822:mprj_io_analog_pol[18] *2822:mprj_io_dm[54] 0 +8 *2822:mprj_io_analog_pol[18] *2822:mprj_io_dm[55] 0.00345878 +9 *2822:mprj_io_analog_pol[18] *2822:mprj_io_inp_dis[18] 0.00779649 +10 *2001:11 *2131:11 0.00567235 +11 *2001:14 *2822:mprj_io_dm[56] 0 +12 *2001:14 *2039:14 0.00112589 +13 *2001:14 *2132:14 0 +14 *1963:11 *2001:11 0.000332911 +*RES +1 *2787:pad_gpio_ana_pol *2001:10 8.46357 +2 *2001:10 *2001:11 143.811 +3 *2001:11 *2001:13 4.5 +4 *2001:13 *2001:14 69.3105 +5 *2001:14 *2822:mprj_io_analog_pol[18] 24.5972 +*END + +*D_NET *2002 0.0188117 +*CONN +*I *2822:mprj_io_analog_pol[19] I *D chip_io +*I *2803:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[19] 0.000133521 +2 *2803:pad_gpio_ana_pol 0.000256864 +3 *2002:11 0.000724656 +4 *2002:10 0.000847999 +5 *2002:10 *2040:10 0 +6 *2002:10 *2192:12 2.31947e-05 +7 *2002:11 *2822:mprj_io_inp_dis[19] 0.000960687 +8 *2002:11 *2040:11 0.0083834 +9 *2002:11 *2134:11 0.000482966 +10 *2002:11 *2135:11 0.0069984 +11 *2002:11 *2192:13 0 +12 *1964:10 *2002:10 0 +*RES +1 *2803:pad_gpio_ana_pol *2002:10 11.7091 +2 *2002:10 *2002:11 87.7962 +3 *2002:11 *2822:mprj_io_analog_pol[19] 7.21135 +*END + +*D_NET *2003 0.0132779 +*CONN +*I *2822:mprj_io_analog_pol[1] I *D chip_io +*I *2782:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[1] 0.000459872 +2 *2782:pad_gpio_ana_pol 0.00053903 +3 *2003:14 0.00209872 +4 *2003:13 0.00217787 +5 *2822:mprj_io_analog_pol[1] *2822:mprj_io_inp_dis[1] 0 +6 *2003:13 *2041:13 0.000206486 +7 *2003:13 *2115:13 0 +8 *2003:13 *2345:8 7.93673e-05 +9 *2003:14 *2041:14 0 +10 *2003:14 *2193:14 0 +11 *2003:14 *2307:14 0.00584716 +12 *2003:14 *2459:14 0.00120483 +13 *1965:13 *2003:13 0.000550368 +14 *1965:14 *2003:14 0.000114237 +*RES +1 *2782:pad_gpio_ana_pol *2003:13 28.4562 +2 *2003:13 *2003:14 97.34 +3 *2003:14 *2822:mprj_io_analog_pol[1] 21.3817 +*END + +*D_NET *2004 0.0155699 +*CONN +*I *2822:mprj_io_analog_pol[20] I *D chip_io +*I *2810:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[20] 0.000102401 +2 *2810:pad_gpio_ana_pol 0.000437379 +3 *2004:17 0.00167573 +4 *2004:16 0.00198906 +5 *2004:13 0.000853112 +6 *2004:13 *2042:13 0.000556925 +7 *2004:13 *2138:13 0.000129801 +8 *2004:13 *2140:13 0.00202584 +9 *2004:13 *2232:13 0.000967899 +10 *2004:16 *2822:mprj_io_dm[60] 0.000652311 +11 *2004:16 *2822:mprj_io_dm[61] 0.000637148 +12 *2004:17 *2822:mprj_io_dm[60] 0.00156603 +13 *2004:17 *2308:23 0.000333162 +14 *2822:mprj_io_analog_en[20] *2004:17 0.00362102 +15 *110:17 *2004:17 0 +16 *1966:11 *2004:13 2.20702e-05 +*RES +1 *2810:pad_gpio_ana_pol *2004:13 38.6441 +2 *2004:13 *2004:16 27.0946 +3 *2004:16 *2004:17 62.2844 +4 *2004:17 *2822:mprj_io_analog_pol[20] 6.93045 +*END + +*D_NET *2005 0.0158775 +*CONN +*I *2822:mprj_io_analog_pol[21] I *D chip_io +*I *2811:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[21] 0.000149926 +2 *2811:pad_gpio_ana_pol 0.00068647 +3 *2005:17 0.000974851 +4 *2005:16 0.00130133 +5 *2005:13 0.00116288 +6 *2005:13 *2043:13 0.00035468 +7 *2005:13 *2143:13 0.00154787 +8 *2005:16 *2043:16 0.00126304 +9 *2005:17 *2822:mprj_io_dm[64] 6.11359e-06 +10 *2005:17 *2822:mprj_io_slow_sel[21] 0.000375267 +11 *2005:17 *2043:17 0.000110786 +12 *2005:17 *2143:23 0.000197808 +13 *2005:17 *2195:17 0.00590191 +14 *2005:17 *2271:15 0.000532369 +15 *2822:mprj_io_analog_en[21] *2005:17 0.00129416 +16 *111:63 *2005:17 1.80122e-05 +*RES +1 *2811:pad_gpio_ana_pol *2005:13 37.5349 +2 *2005:13 *2005:16 25.0183 +3 *2005:16 *2005:17 63.3936 +4 *2005:17 *2822:mprj_io_analog_pol[21] 7.3457 +*END + +*D_NET *2006 0.0220978 +*CONN +*I *2822:mprj_io_analog_pol[22] I *D chip_io +*I *2812:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[22] 0.00020438 +2 *2812:pad_gpio_ana_pol 0.000822524 +3 *2006:23 0.000626413 +4 *2006:22 0.000422033 +5 *2006:20 0.00141578 +6 *2006:19 0.00223831 +7 *2822:mprj_io_analog_pol[22] *2822:mprj_io_dm[66] 0 +8 *2822:mprj_io_analog_pol[22] *2144:19 0 +9 *2822:mprj_io_analog_pol[22] *2146:17 1.84293e-05 +10 *2006:19 *2044:13 2.15184e-05 +11 *2006:19 *2144:11 9.16621e-05 +12 *2006:19 *2145:13 0.00133965 +13 *2006:19 *2145:16 1.91391e-05 +14 *2006:19 *2234:17 1.98294e-05 +15 *2006:19 *2272:21 1.57662e-05 +16 *2006:20 *2144:14 0.00159318 +17 *2006:23 *2822:mprj_io_inp_dis[22] 0.000386906 +18 *2006:23 *2144:19 0.00457626 +19 *2006:23 *2146:17 1.70293e-05 +20 *652:19 *2822:mprj_io_analog_pol[22] 1.00981e-05 +21 *652:19 *2006:23 0.00494157 +22 *1968:19 *2006:19 0.00331734 +*RES +1 *2812:pad_gpio_ana_pol *2006:19 37.887 +2 *2006:19 *2006:20 53.5309 +3 *2006:20 *2006:22 4.5 +4 *2006:22 *2006:23 52.3015 +5 *2006:23 *2822:mprj_io_analog_pol[22] 9.33864 +*END + +*D_NET *2007 0.0202033 +*CONN +*I *2822:mprj_io_analog_pol[23] I *D chip_io +*I *2813:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[23] 0.000948447 +2 *2813:pad_gpio_ana_pol 0.000333594 +3 *2007:13 0.00128204 +4 *2822:mprj_io_analog_pol[23] *2822:mprj_io_analog_sel[23] 0.000686467 +5 *2822:mprj_io_analog_pol[23] *2822:mprj_io_dm[70] 0.00138132 +6 *2822:mprj_io_analog_pol[23] *2822:mprj_io_dm[71] 0.000628643 +7 *2822:mprj_io_analog_pol[23] *2822:mprj_io_holdover[23] 0.00500575 +8 *2822:mprj_io_analog_pol[23] *2822:mprj_io_inp_dis[23] 0.00488447 +9 *2007:13 *2045:13 0.00245491 +10 *2007:13 *2149:13 0.00255691 +11 *1969:11 *2007:13 4.0752e-05 +*RES +1 *2813:pad_gpio_ana_pol *2007:13 40.786 +2 *2007:13 *2822:mprj_io_analog_pol[23] 40.1133 +*END + +*D_NET *2008 0.0225715 +*CONN +*I *2822:mprj_io_analog_pol[24] I *D chip_io +*I *2814:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[24] 0.000442216 +2 *2814:pad_gpio_ana_pol 0.000493593 +3 *2008:8 0.00413708 +4 *2008:7 0.00418846 +5 *2822:mprj_io_analog_pol[24] *2822:mprj_io_dm[72] 0 +6 *2008:7 *2046:7 0.000295006 +7 *2008:8 *2814:user_gpio_oeb 0.000870918 +8 *2008:8 *2046:8 0.00959117 +9 *2008:8 *2151:8 0.000578973 +10 *618:34 *2008:8 0.00170515 +11 *1970:7 *2008:7 0.000268918 +12 *1970:8 *2008:8 0 +*RES +1 *2814:pad_gpio_ana_pol *2008:7 5.26774 +2 *2008:7 *2008:8 175.615 +3 *2008:8 *2822:mprj_io_analog_pol[24] 20.1359 +*END + +*D_NET *2009 0.0121295 +*CONN +*I *2822:mprj_io_analog_pol[25] I *D chip_io +*I *2815:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[25] 0.000709909 +2 *2815:pad_gpio_ana_pol 0.000798594 +3 *2009:28 0.00156265 +4 *2009:16 0.00207147 +5 *2009:13 0.00201732 +6 *2822:mprj_io_analog_pol[25] *2822:mprj_io_dm[75] 0 +7 *2822:mprj_io_analog_pol[25] *2822:mprj_io_inp_dis[25] 0 +8 *2822:mprj_io_analog_pol[25] *2156:23 6.55232e-05 +9 *2009:13 *2047:13 4.2387e-05 +10 *2009:13 *2275:11 1.66771e-05 +11 *2009:13 *2351:16 7.86825e-06 +12 *2009:28 *2237:14 0.000175149 +13 *2009:28 *2599:13 0.0012313 +14 *2822:mprj_io_analog_en[25] *2009:13 4.70618e-05 +15 *102:49 *2009:16 0.000466331 +16 *102:49 *2009:28 0.000343944 +17 *115:36 *2009:28 0.00116115 +18 *633:29 *2009:16 0.00141212 +19 *633:29 *2009:28 0 +*RES +1 *2815:pad_gpio_ana_pol *2009:13 27.0739 +2 *2009:13 *2009:16 47.7662 +3 *2009:16 *2009:28 48.6267 +4 *2009:28 *2822:mprj_io_analog_pol[25] 19.5154 +*END + +*D_NET *2010 0.0138093 +*CONN +*I *2822:mprj_io_analog_pol[26] I *D chip_io +*I *2816:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[26] 0.000402758 +2 *2816:pad_gpio_ana_pol 0.000601382 +3 *2010:16 0.0008825 +4 *2010:15 0.000952275 +5 *2010:12 0.00107392 +6 *2822:mprj_io_analog_pol[26] *2822:mprj_io_dm[78] 0 +7 *2822:mprj_io_analog_pol[26] *2160:17 5.04829e-06 +8 *2822:mprj_io_analog_pol[26] *2238:33 1.44113e-05 +9 *2010:12 *2048:7 1.66948e-05 +10 *2010:12 *2276:11 0.000500617 +11 *2010:12 *2600:19 0.00017947 +12 *2010:16 *2158:8 0.0024938 +13 *116:22 *2010:16 0.000878945 +14 *617:14 *2822:mprj_io_analog_pol[26] 0 +15 *632:20 *2010:16 0.00341621 +16 *656:13 *2010:16 0.00050095 +17 *695:28 *2010:12 0 +18 *1972:12 *2010:12 0.00187162 +19 *1972:19 *2010:16 1.87125e-05 +*RES +1 *2816:pad_gpio_ana_pol *2010:12 40.9397 +2 *2010:12 *2010:15 14.0971 +3 *2010:15 *2010:16 54.9843 +4 *2010:16 *2822:mprj_io_analog_pol[26] 19.0239 +*END + +*D_NET *2011 0.0142922 +*CONN +*I *2822:mprj_io_analog_pol[27] I *D chip_io +*I *2817:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[27] 0.000378873 +2 *2817:pad_gpio_ana_pol 0.000330183 +3 *2011:8 0.00133992 +4 *2011:7 0.00129123 +5 *2822:mprj_io_analog_pol[27] *2822:mprj_io_dm[81] 0 +6 *2822:mprj_io_analog_pol[27] *2822:mprj_io_inp_dis[27] 0 +7 *2822:mprj_io_analog_pol[27] *2353:16 0 +8 *2011:7 *2049:7 0.000215751 +9 *2011:8 *2049:8 1.87125e-05 +10 *2011:8 *2162:19 0.00244485 +11 *2011:8 *2163:24 0.00156278 +12 *2011:8 *2429:8 0.00390251 +13 *106:37 *2011:8 0.000403321 +14 *117:21 *2011:8 0.00026938 +15 *117:22 *2011:8 0.00068251 +16 *634:14 *2011:8 0.00105054 +17 *657:19 *2011:8 0.000185867 +18 *1973:7 *2011:7 0.000215751 +*RES +1 *2817:pad_gpio_ana_pol *2011:7 4.73231 +2 *2011:7 *2011:8 89.2426 +3 *2011:8 *2822:mprj_io_analog_pol[27] 17.9147 +*END + +*D_NET *2012 0.0149331 +*CONN +*I *2822:mprj_io_analog_pol[28] I *D chip_io +*I *2818:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[28] 0.000431178 +2 *2818:pad_gpio_ana_pol 0.000549537 +3 *2012:14 0.00120957 +4 *2012:13 0.00132793 +5 *2822:mprj_io_analog_pol[28] *2822:mprj_io_dm[84] 0 +6 *2822:mprj_io_analog_pol[28] *2822:mprj_io_inp_dis[28] 0 +7 *2822:mprj_io_analog_pol[28] *2050:41 5.04829e-06 +8 *2822:mprj_io_analog_pol[28] *2240:20 0 +9 *2012:13 *2164:7 0 +10 *2012:13 *2278:11 0.000119662 +11 *2012:13 *2354:13 5.20546e-06 +12 *2012:14 *2164:8 0.00523434 +13 *2012:14 *2316:14 0.000218663 +14 *2012:14 *2354:13 0.000107729 +15 *2012:14 *2392:8 1.28326e-05 +16 *106:37 *2012:14 0.00070493 +17 *634:74 *2012:14 1.87125e-05 +18 *658:36 *2012:14 0.000137372 +19 *1974:13 *2012:13 5.36925e-05 +20 *1974:14 *2012:14 0.00479664 +*RES +1 *2818:pad_gpio_ana_pol *2012:13 23.7435 +2 *2012:13 *2012:14 86.9587 +3 *2012:14 *2822:mprj_io_analog_pol[28] 19.0239 +*END + +*D_NET *2013 0.0151085 +*CONN +*I *2822:mprj_io_analog_pol[29] I *D chip_io +*I *2804:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[29] 0.000410691 +2 *2804:pad_gpio_ana_pol 0.000467265 +3 *2013:10 0.00116167 +4 *2013:9 0.00121824 +5 *2822:mprj_io_analog_pol[29] *2822:mprj_io_dm[87] 0 +6 *2013:9 *2051:9 0.000247249 +7 *2013:10 *2203:34 1.23455e-05 +8 *2013:10 *2241:38 0.00371287 +9 *2013:10 *2393:10 0.0016983 +10 *2804:mgmt_gpio_out *2013:9 0 +11 *106:37 *2013:10 0.000730323 +12 *617:70 *2013:10 0.00544955 +13 *641:12 *2822:mprj_io_analog_pol[29] 0 +*RES +1 *2804:pad_gpio_ana_pol *2013:9 5.02829 +2 *2013:9 *2013:10 87.5816 +3 *2013:10 *2822:mprj_io_analog_pol[29] 19.0239 +*END + +*D_NET *2014 0.0168195 +*CONN +*I *2822:mprj_io_analog_pol[2] I *D chip_io +*I *2797:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[2] 0.000539719 +2 *2797:pad_gpio_ana_pol 0.000779196 +3 *2014:14 0.00129011 +4 *2014:13 0.00152959 +5 *2822:mprj_io_analog_pol[2] *2822:mprj_io_dm[6] 0 +6 *2014:13 *2052:13 0.000158111 +7 *2014:14 *2148:14 0.00574954 +8 *2014:14 *2280:11 0.000152316 +9 *2014:14 *2318:14 0.00544567 +10 *110:52 *2822:mprj_io_analog_pol[2] 0 +11 *669:30 *2014:13 0.000282456 +12 *1727:29 *2014:13 0 +13 *1976:13 *2014:13 0.000892829 +*RES +1 *2797:pad_gpio_ana_pol *2014:13 35.6549 +2 *2014:13 *2014:14 91.9417 +3 *2014:14 *2822:mprj_io_analog_pol[2] 21.2451 +*END + +*D_NET *2015 0.0156517 +*CONN +*I *2822:mprj_io_analog_pol[30] I *D chip_io +*I *2805:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[30] 0.000437742 +2 *2805:pad_gpio_ana_pol 0.000415735 +3 *2015:8 0.00119641 +4 *2015:7 0.0011744 +5 *2822:mprj_io_analog_pol[30] *2822:mprj_io_inp_dis[30] 0 +6 *2822:mprj_io_analog_pol[30] *2357:20 0 +7 *2015:7 *2053:7 0.000267524 +8 *2015:8 *2173:14 0.00560835 +9 *2015:8 *2357:19 0.000102523 +10 *106:37 *2015:8 0.00064172 +11 *618:8 *2015:8 0.00560835 +12 *1977:7 *2015:7 0.000198952 +*RES +1 *2805:pad_gpio_ana_pol *2015:7 5.03827 +2 *2015:7 *2015:8 90.0731 +3 *2015:8 *2822:mprj_io_analog_pol[30] 19.1255 +*END + +*D_NET *2016 0.0137962 +*CONN +*I *2822:mprj_io_analog_pol[31] I *D chip_io +*I *2806:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[31] 0.000473854 +2 *2806:pad_gpio_ana_pol 0.000672683 +3 *2016:16 0.00143454 +4 *2016:15 0.00163337 +5 *2822:mprj_io_analog_pol[31] *2822:mprj_io_dm[93] 0 +6 *2822:mprj_io_analog_pol[31] *2358:12 0 +7 *2016:15 *2054:15 0.00013419 +8 *2016:15 *2174:12 0.000119662 +9 *2016:16 *2244:8 0.00536189 +10 *2016:16 *2472:8 0.0019648 +11 *2016:16 *2606:13 0.00130168 +12 *682:8 *2016:16 0.000688531 +13 *1978:15 *2016:15 1.10565e-05 +*RES +1 *2806:pad_gpio_ana_pol *2016:15 26.45 +2 *2016:15 *2016:16 86.1282 +3 *2016:16 *2822:mprj_io_analog_pol[31] 20.1331 +*END + +*D_NET *2017 0.0135982 +*CONN +*I *2822:mprj_io_analog_pol[32] I *D chip_io +*I *2807:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[32] 0.000324804 +2 *2807:pad_gpio_ana_pol 0.000376295 +3 *2017:8 0.00132486 +4 *2017:7 0.00137635 +5 *2822:mprj_io_analog_pol[32] *2177:21 0 +6 *2822:mprj_io_analog_pol[32] *2473:14 0 +7 *2017:7 *2055:7 0.000205194 +8 *2017:8 *2177:16 0.001109 +9 *2017:8 *2177:21 1.23804e-05 +10 *2017:8 *2435:8 0.00113257 +11 *2017:8 *2473:14 0.00262403 +12 *106:31 *2017:8 0.000891407 +13 *619:20 *2017:8 0.000776783 +14 *620:23 *2017:8 0.00327517 +15 *1979:7 *2017:7 0.000169336 +*RES +1 *2807:pad_gpio_ana_pol *2017:7 4.73231 +2 *2017:7 *2017:8 90.0731 +3 *2017:8 *2822:mprj_io_analog_pol[32] 17.2236 +*END + +*D_NET *2018 0.0145721 +*CONN +*I *2822:mprj_io_analog_pol[33] I *D chip_io +*I *2808:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[33] 0.000360553 +2 *2808:pad_gpio_ana_pol 0.000881647 +3 *2018:16 0.000992359 +4 *2018:15 0.00151345 +5 *2822:mprj_io_analog_pol[33] *2822:mprj_io_dm[99] 0 +6 *2822:mprj_io_analog_pol[33] *2822:mprj_io_inp_dis[33] 0 +7 *2822:mprj_io_analog_pol[33] *2398:16 0 +8 *2018:15 *2056:13 7.54478e-05 +9 *2018:15 *2284:16 0.000523745 +10 *2018:16 *2180:8 0.000396366 +11 *2018:16 *2322:14 0.00353563 +12 *2018:16 *2398:16 0.00435894 +13 *103:18 *2018:15 0.000311148 +14 *621:15 *2018:16 0.000666555 +15 *1980:15 *2018:15 0.000956242 +*RES +1 *2808:pad_gpio_ana_pol *2018:15 40.5658 +2 *2018:15 *2018:16 69.9334 +3 *2018:16 *2822:mprj_io_analog_pol[33] 18.33 +*END + +*D_NET *2019 0.0142343 +*CONN +*I *2822:mprj_io_analog_pol[34] I *D chip_io +*I *2809:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[34] 0.000369976 +2 *2809:pad_gpio_ana_pol 0.00044819 +3 *2019:8 0.00127922 +4 *2019:7 0.00135744 +5 *2822:mprj_io_analog_pol[34] *2822:mprj_io_dm[102] 0 +6 *2822:mprj_io_analog_pol[34] *2057:14 0 +7 *2822:mprj_io_analog_pol[34] *2609:13 0 +8 *2822:mprj_io_analog_pol[34] *2609:14 0 +9 *2019:7 *2057:7 0.000156769 +10 *2019:8 *2822:mprj_io_inp_dis[34] 0.000904991 +11 *2019:8 *2057:8 0.00399919 +12 *2019:8 *2057:14 0.000318445 +13 *2019:8 *2073:16 0.00353055 +14 *2019:8 *2323:8 4.61488e-05 +15 *619:20 *2019:8 0.000747974 +16 *1981:7 *2019:7 0.000166365 +17 *1981:8 *2019:8 0.000909006 +*RES +1 *2809:pad_gpio_ana_pol *2019:7 4.73231 +2 *2019:7 *2019:8 89.2426 +3 *2019:8 *2822:mprj_io_analog_pol[34] 17.9147 +*END + +*D_NET *2020 0.0141171 +*CONN +*I *2822:mprj_io_analog_pol[35] I *D chip_io +*I *2783:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[35] 0.000491994 +2 *2783:pad_gpio_ana_pol 0.000806558 +3 *2020:14 0.00139631 +4 *2020:13 0.00171087 +5 *2822:mprj_io_analog_pol[35] *2822:mprj_io_dm[105] 0 +6 *2822:mprj_io_analog_pol[35] *2822:mprj_io_inp_dis[35] 0 +7 *2822:mprj_io_analog_pol[35] *2248:8 0 +8 *2020:13 *2058:7 2.69096e-05 +9 *2020:14 *2075:8 0.00382214 +10 *2020:14 *2210:8 0.000439023 +11 *2020:14 *2286:11 0.00239977 +12 *1745:13 *2020:14 0.000576072 +13 *1982:13 *2020:13 0.00153069 +14 *1982:14 *2020:14 0.000916779 +*RES +1 *2783:pad_gpio_ana_pol *2020:13 36.2039 +2 *2020:13 *2020:14 76.1621 +3 *2020:14 *2822:mprj_io_analog_pol[35] 20.6877 +*END + +*D_NET *2021 0.0170996 +*CONN +*I *2822:mprj_io_analog_pol[36] I *D chip_io +*I *2784:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[36] 0.00025513 +2 *2784:pad_gpio_ana_pol 0.000322602 +3 *2021:16 0.000977111 +4 *2021:15 0.00136293 +5 *2021:12 0.000963552 +6 *2822:mprj_io_analog_pol[36] *2822:mprj_io_dm[108] 0 +7 *2822:mprj_io_analog_pol[36] *2822:mprj_io_inp_dis[36] 0 +8 *2822:mprj_io_analog_pol[36] *2059:16 0 +9 *2021:12 *2059:12 0.00120967 +10 *2021:15 *2059:15 5.24081e-05 +11 *2021:16 *2822:mprj_io_dm[108] 0 +12 *2021:16 *2059:16 0.000109332 +13 *2021:16 *2077:12 0.00405901 +14 *2021:16 *2078:12 0.00328026 +15 *2822:mprj_io_analog_en[36] *2021:16 0 +16 *1733:8 *2021:16 0.000651203 +17 *1983:12 *2021:12 0.00107637 +18 *1983:15 *2021:15 0.00254375 +19 *1983:16 *2021:16 0.000236262 +*RES +1 *2784:pad_gpio_ana_pol *2021:12 28.4056 +2 *2021:12 *2021:15 32.9536 +3 *2021:15 *2021:16 68.6876 +4 *2021:16 *2822:mprj_io_analog_pol[36] 15.7735 +*END + +*D_NET *2022 0.00839303 +*CONN +*I *2822:mprj_io_analog_pol[37] I *D chip_io +*I *2785:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[37] 0.000217166 +2 *2785:pad_gpio_ana_pol 0.00137865 +3 *2022:14 0.00193737 +4 *2022:13 0.00309886 +5 *2822:mprj_io_analog_pol[37] *2822:mprj_io_dm[111] 0 +6 *2022:13 *2822:mprj_io_analog_sel[37] 0.000409284 +7 *2022:13 *2082:8 0 +8 *2022:13 *2288:13 0.000158225 +9 *2022:13 *2440:16 0 +10 *2022:14 *2822:mprj_io_dm[111] 0 +11 *2022:14 *2822:mprj_io_dm[112] 0 +12 *2022:14 *2822:mprj_io_out[37] 0.000456787 +13 *2022:14 *2822:mprj_io_slow_sel[37] 0 +14 *2022:14 *2081:8 0 +15 *2022:14 *2288:13 0 +16 *2022:14 *2440:16 0.000621972 +17 *2822:mprj_io_analog_en[37] *2022:14 0 +18 *1984:7 *2022:13 0.000114713 +19 *1984:8 *2022:13 0 +20 *1984:8 *2022:14 0 +*RES +1 *2785:pad_gpio_ana_pol *2022:13 49.3687 +2 *2022:13 *2022:14 55.3995 +3 *2022:14 *2822:mprj_io_analog_pol[37] 14.5871 +*END + +*D_NET *2023 0.0144261 +*CONN +*I *2822:mprj_io_analog_pol[3] I *D chip_io +*I *2798:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[3] 0.000481601 +2 *2798:pad_gpio_ana_pol 0.000694598 +3 *2023:14 0.00162851 +4 *2023:13 0.00184151 +5 *2822:mprj_io_analog_pol[3] *2822:mprj_io_dm[9] 0 +6 *2822:mprj_io_analog_pol[3] *2822:mprj_io_inp_dis[3] 0 +7 *2023:13 *2061:15 4.69062e-05 +8 *2023:13 *2181:13 0 +9 *2023:13 *2365:8 0.000467916 +10 *2023:14 *2289:11 0 +11 *2023:14 *2289:15 0.00207258 +12 *2023:14 *2327:16 0.00503402 +13 *2797:resetn_out *2023:13 0.00011443 +14 *111:36 *2822:mprj_io_analog_pol[3] 0 +15 *1985:15 *2023:13 0.00191714 +16 *1985:16 *2023:14 0.00012693 +*RES +1 *2798:pad_gpio_ana_pol *2023:13 41.2526 +2 *2023:13 *2023:14 84.4672 +3 *2023:14 *2822:mprj_io_analog_pol[3] 20.816 +*END + +*D_NET *2024 0.0153073 +*CONN +*I *2822:mprj_io_analog_pol[4] I *D chip_io +*I *2799:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[4] 0.000435023 +2 *2799:pad_gpio_ana_pol 0.000390906 +3 *2024:16 0.00196669 +4 *2024:15 0.00192258 +5 *2822:mprj_io_analog_pol[4] *2822:mprj_io_inp_dis[4] 0 +6 *2024:15 *2062:13 0.00101271 +7 *2024:15 *2085:15 0 +8 *2024:16 *2062:14 0 +9 *2024:16 *2085:16 0.000114237 +10 *2024:16 *2328:16 0.00581031 +11 *2024:16 *2404:14 0 +12 *2024:16 *2480:14 0.00171041 +13 *655:45 *2024:16 0.00082487 +14 *671:14 *2822:mprj_io_analog_pol[4] 0 +15 *671:14 *2024:16 0 +16 *1986:13 *2024:15 0.00111952 +*RES +1 *2799:pad_gpio_ana_pol *2024:15 28.4662 +2 *2024:15 *2024:16 96.5095 +3 *2024:16 *2822:mprj_io_analog_pol[4] 20.2725 +*END + +*D_NET *2025 0.0176162 +*CONN +*I *2822:mprj_io_analog_pol[5] I *D chip_io +*I *2800:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[5] 0.000547339 +2 *2800:pad_gpio_ana_pol 0.000797772 +3 *2025:14 0.00134173 +4 *2025:13 0.00159217 +5 *2822:mprj_io_analog_pol[5] *2822:mprj_io_inp_dis[5] 0 +6 *2025:13 *2063:13 0.000146868 +7 *2025:13 *2253:8 0 +8 *2025:14 *2088:14 0.00614023 +9 *2025:14 *2329:14 0.0059145 +10 *116:49 *2025:14 0.000988756 +11 *672:14 *2025:14 0.000114237 +12 *1987:13 *2025:13 3.26002e-05 +*RES +1 *2800:pad_gpio_ana_pol *2025:13 29.2896 +2 *2025:13 *2025:14 98.1705 +3 *2025:14 *2822:mprj_io_analog_pol[5] 23.0455 +*END + +*D_NET *2026 0.0140141 +*CONN +*I *2822:mprj_io_analog_pol[6] I *D chip_io +*I *2801:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[6] 0.000337558 +2 *2801:pad_gpio_ana_pol 0.000661911 +3 *2026:14 0.00171696 +4 *2026:13 0.00204131 +5 *2822:mprj_io_analog_pol[6] *2822:mprj_io_dm[18] 0.00073905 +6 *2026:13 *2064:13 3.84497e-05 +7 *2026:13 *2091:13 0 +8 *2026:13 *2368:8 5.88867e-05 +9 *2026:14 *2091:14 0 +10 *2026:14 *2330:16 0.00192378 +11 *654:59 *2026:14 0.000277764 +12 *655:45 *2026:14 0.000890627 +13 *1988:13 *2026:13 3.84497e-05 +14 *1988:14 *2026:14 0.00528939 +*RES +1 *2801:pad_gpio_ana_pol *2026:13 26.44 +2 *2026:13 *2026:14 97.7553 +3 *2026:14 *2822:mprj_io_analog_pol[6] 20.2725 +*END + +*D_NET *2027 0.0509075 +*CONN +*I *2822:mprj_io_analog_pol[7] I *D chip_io +*I *2802:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[7] 0.000597988 +2 *2802:pad_gpio_ana_pol 0.000511892 +3 *2027:14 0.0101909 +4 *2027:13 0.0101048 +5 *2822:mprj_io_analog_pol[7] *2822:mprj_io_inp_dis[7] 0 +6 *2822:mprj_io_analog_pol[7] *2590:9 0.000133925 +7 *2027:13 *2095:7 0 +8 *2027:14 *2256:10 0.00129258 +9 *2027:14 *2407:14 0.0257837 +10 *115:70 *2027:13 6.7566e-05 +11 *116:56 *2027:14 0.000121621 +12 *631:42 *2027:13 7.22422e-05 +13 *658:59 *2027:14 0 +14 *1989:13 *2027:13 0.00126085 +15 *1989:14 *2027:14 0.000769369 +*RES +1 *2802:pad_gpio_ana_pol *2027:13 28.0574 +2 *2027:13 *2027:14 437.847 +3 *2027:14 *2822:mprj_io_analog_pol[7] 23.0078 +*END + +*D_NET *2028 0.0624814 +*CONN +*I *2822:mprj_io_analog_pol[8] I *D chip_io +*I *2786:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[8] 0.000506558 +2 *2786:pad_gpio_ana_pol 0.00330222 +3 *2028:14 0.00255566 +4 *2028:13 0.00535132 +5 *2822:mprj_io_analog_pol[8] *2822:mprj_io_dm[24] 0 +6 *2822:mprj_io_analog_pol[8] *2822:mprj_io_inp_dis[8] 0 +7 *2822:mprj_io_analog_pol[8] *2100:10 0.000181563 +8 *2822:mprj_io_analog_pol[8] *2409:30 0.00118565 +9 *2822:mprj_io_analog_pol[8] *2601:13 0.00111694 +10 *2028:13 *2822:mprj_io_analog_sel[7] 0.00416612 +11 *2028:13 *2066:8 0.00356236 +12 *2028:13 *2255:8 8.49896e-06 +13 *2028:14 *2822:mprj_io_slow_sel[8] 0.000658455 +14 *2028:14 *2100:10 0.0019273 +15 *2028:14 *2103:23 0.00248835 +16 *2028:14 *2409:30 0.00025498 +17 *2028:14 *2446:14 0.0036695 +18 *2028:14 *2446:18 0.0023315 +19 *2822:mprj_io_analog_en[8] *2822:mprj_io_analog_pol[8] 2.6506e-05 +20 *2822:mprj_io_analog_en[8] *2028:14 0.00118624 +21 *676:20 *2028:14 0 +22 *1990:13 *2028:13 0.0170376 +23 *1990:14 *2028:14 0.00842723 +24 *1990:29 *2028:14 0.00253686 +*RES +1 *2786:pad_gpio_ana_pol *2028:13 37.4564 +2 *2028:13 *2028:14 197.001 +3 *2028:14 *2822:mprj_io_analog_pol[8] 36.4667 +*END + +*D_NET *2029 0.0545791 +*CONN +*I *2822:mprj_io_analog_pol[9] I *D chip_io +*I *2788:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_pol[9] 0.000311871 +2 *2788:pad_gpio_ana_pol 0.00294084 +3 *2029:14 0.00508595 +4 *2029:13 0.00771492 +5 *2822:mprj_io_analog_pol[9] *2822:mprj_io_dm[27] 0 +6 *2822:mprj_io_analog_pol[9] *2611:11 0 +7 *2029:13 *2067:13 0.00758393 +8 *2029:14 *2822:mprj_io_slow_sel[9] 0 +9 *2029:14 *2067:14 0.00654247 +10 *2029:14 *2067:18 2.6506e-05 +11 *2029:14 *2103:36 0.00243006 +12 *2029:14 *2183:8 0.00110709 +13 *2029:14 *2295:10 0 +14 *2029:14 *2371:8 0.000506598 +15 *2029:14 *2409:30 0.00196153 +16 *2029:14 *2611:11 0.00103372 +17 *1991:13 *2029:13 0.0173336 +*RES +1 *2788:pad_gpio_ana_pol *2029:13 38.1231 +2 *2029:13 *2029:14 211.327 +3 *2029:14 *2822:mprj_io_analog_pol[9] 16.8055 +*END + +*D_NET *2030 0.00984034 +*CONN +*I *2822:mprj_io_analog_sel[0] I *D chip_io +*I *2781:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[0] 0.00019507 +2 *2781:pad_gpio_ana_sel 0.000222852 +3 *2030:14 0.00230551 +4 *2030:13 0.00233329 +5 *2822:mprj_io_analog_sel[0] *2822:mprj_io_dm[2] 0 +6 *2030:13 *2068:13 3.05206e-05 +7 *2030:13 *2104:15 0.00047711 +8 *2030:13 *2220:13 0.000463343 +9 *2030:13 *2334:8 1.48603e-05 +10 *2030:14 *2822:mprj_io_dm[2] 4.76719e-05 +11 *2030:14 *2822:mprj_io_holdover[0] 5.65975e-05 +12 *2030:14 *2822:mprj_io_ib_mode_sel[0] 6.94365e-05 +13 *2030:14 *2822:mprj_io_out[0] 4.76719e-05 +14 *2030:14 *2822:mprj_io_vtrip_sel[0] 4.76719e-05 +15 *2030:14 *2104:16 0 +16 *2030:14 *2182:14 0 +17 *2030:14 *2220:14 0.00350152 +18 *2030:14 *2334:8 0 +19 *1992:13 *2030:13 2.72062e-05 +*RES +1 *2781:pad_gpio_ana_sel *2030:13 18.6155 +2 *2030:13 *2030:14 83.2214 +3 *2030:14 *2822:mprj_io_analog_sel[0] 14.0325 +*END + +*D_NET *2031 0.0546151 +*CONN +*I *2822:mprj_io_analog_sel[10] I *D chip_io +*I *2789:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[10] 0.00028968 +2 *2789:pad_gpio_ana_sel 3.60638e-05 +3 *2031:8 0.0075154 +4 *2031:7 0.00726178 +5 *2031:8 *2032:8 0.0127085 +6 *2031:8 *2222:10 0.0119137 +7 *2031:8 *2257:8 0.0041127 +8 *2031:8 *2335:8 0.000503185 +9 *2788:serial_clock_out *2031:8 0.00147918 +10 *1993:8 *2031:8 0.00879493 +*RES +1 *2789:pad_gpio_ana_sel *2031:7 0.51465 +2 *2031:7 *2031:8 63.4891 +3 *2031:8 *2822:mprj_io_analog_sel[10] 6.37776 +*END + +*D_NET *2032 0.0635221 +*CONN +*I *2822:mprj_io_analog_sel[11] I *D chip_io +*I *2790:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[11] 0.000371221 +2 *2790:pad_gpio_ana_sel 3.60638e-05 +3 *2032:8 0.00554933 +4 *2032:7 0.00521418 +5 *2032:8 *2222:10 0.00337096 +6 *1955:8 *2032:8 1.48618e-05 +7 *1956:13 *2032:8 2.40249e-06 +8 *1957:23 *2032:8 0.0114552 +9 *1993:8 *2032:8 0.011732 +10 *1994:13 *2032:8 0.0012479 +11 *1995:19 *2032:8 0.000184845 +12 *1995:25 *2032:8 0.0116346 +13 *2031:8 *2032:8 0.0127085 +*RES +1 *2790:pad_gpio_ana_sel *2032:7 0.51465 +2 *2032:7 *2032:8 63.4891 +3 *2032:8 *2822:mprj_io_analog_sel[11] 6.53074 +*END + +*D_NET *2033 0.0680948 +*CONN +*I *2822:mprj_io_analog_sel[12] I *D chip_io +*I *2791:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[12] 0.000416837 +2 *2791:pad_gpio_ana_sel 0.000565707 +3 *2033:18 0.00139251 +4 *2033:16 0.000999476 +5 *2033:14 0.00355021 +6 *2033:13 0.00409212 +7 *2822:mprj_io_analog_sel[12] *2117:26 0 +8 *2822:mprj_io_analog_sel[12] *2186:19 5.98635e-05 +9 *2822:mprj_io_analog_sel[12] *2614:14 0 +10 *2033:13 *2111:13 2.72062e-05 +11 *2033:14 *2111:14 0.0237725 +12 *2033:14 *2184:8 0.00186827 +13 *2033:14 *2375:14 0.0224889 +14 *2033:14 *2375:20 8.36973e-06 +15 *2033:14 *2451:8 0.00179373 +16 *2033:16 *2111:16 6.99044e-06 +17 *2033:18 *2111:14 2.01595e-05 +18 *2033:18 *2111:18 0.00316515 +19 *2033:18 *2299:18 0.000210876 +20 *2033:18 *2300:26 0.000308866 +21 *2033:18 *2375:20 0.00222102 +22 *2033:18 *2451:8 0.000597423 +23 *1956:14 *2033:14 0.000375297 +24 *1957:17 *2033:13 0.000124334 +25 *1994:14 *2033:14 0 +26 *1995:19 *2033:13 2.89493e-05 +*RES +1 *2791:pad_gpio_ana_sel *2033:13 25.3308 +2 *2033:13 *2033:14 385.317 +3 *2033:14 *2033:16 0.732798 +4 *2033:16 *2033:18 73.463 +5 *2033:18 *2822:mprj_io_analog_sel[12] 19.7179 +*END + +*D_NET *2034 0.0926106 +*CONN +*I *2822:mprj_io_analog_sel[13] I *D chip_io +*I *2792:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[13] 0.000235844 +2 *2792:pad_gpio_ana_sel 0.000112165 +3 *2034:10 0.0117645 +4 *2034:9 0.0116408 +5 *2034:9 *2114:13 3.91372e-05 +6 *2034:10 *2113:8 0 +7 *2034:10 *2224:8 0.0498681 +8 *1958:13 *2034:10 0.0189501 +*RES +1 *2792:pad_gpio_ana_sel *2034:9 0.717515 +2 *2034:9 *2034:10 100.524 +3 *2034:10 *2822:mprj_io_analog_sel[13] 6.22478 +*END + +*D_NET *2035 0.0282624 +*CONN +*I *2822:mprj_io_analog_sel[14] I *D chip_io +*I *2793:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[14] 0.000550145 +2 *2793:pad_gpio_ana_sel 0.000682461 +3 *2035:14 0.00209301 +4 *2035:13 0.00222533 +5 *2822:mprj_io_analog_sel[14] *2822:mprj_io_dm[44] 0 +6 *2035:13 *2118:15 0.000193706 +7 *2035:13 *2119:13 0.000275528 +8 *2035:13 *2187:13 0.000320777 +9 *2035:14 *2118:16 0 +10 *2035:14 *2187:14 0.0109165 +11 *2035:14 *2225:14 0.0106872 +12 *621:48 *2822:mprj_io_analog_sel[14] 0 +13 *1959:13 *2035:13 0.000270852 +14 *1997:15 *2035:13 4.69062e-05 +*RES +1 *2793:pad_gpio_ana_sel *2035:13 36.2095 +2 *2035:13 *2035:14 176.238 +3 *2035:14 *2822:mprj_io_analog_sel[14] 22.2649 +*END + +*D_NET *2036 0.0943794 +*CONN +*I *2822:mprj_io_analog_sel[15] I *D chip_io +*I *2794:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[15] 0.000424579 +2 *2794:pad_gpio_ana_sel 7.00063e-05 +3 *2036:23 0.00381252 +4 *2036:22 0.00424304 +5 *2036:17 0.00256512 +6 *2036:16 0.002435 +7 *2036:11 0.00175208 +8 *2036:10 0.00109709 +9 *2036:11 *2122:11 0.00418391 +10 *2036:11 *2302:25 0.00022875 +11 *2036:16 *2625:11 2.02035e-05 +12 *2036:17 *2123:17 0 +13 *2036:17 *2188:17 0.000279912 +14 *2036:22 *2454:16 0 +15 *2036:23 *2122:23 0.0367894 +16 *2036:23 *2264:10 0.000356404 +17 *2036:23 *2302:39 0.000333928 +18 *2036:23 *2416:27 0.0342976 +19 *2794:serial_load_out *2036:16 2.33103e-06 +20 *645:49 *2036:16 0 +21 *1960:29 *2036:23 0.000338665 +22 *1998:23 *2036:23 0.00114883 +*RES +1 *2794:pad_gpio_ana_sel *2036:10 8.38708 +2 *2036:10 *2036:11 48.9739 +3 *2036:11 *2036:16 26.6116 +4 *2036:16 *2036:17 47.3101 +5 *2036:17 *2036:22 31.5015 +6 *2036:22 *2036:23 52.3692 +7 *2036:23 *2822:mprj_io_analog_sel[15] 14.7272 +*END + +*D_NET *2037 0.0284425 +*CONN +*I *2822:mprj_io_analog_sel[16] I *D chip_io +*I *2795:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[16] 6.8495e-05 +2 *2795:pad_gpio_ana_sel 9.15731e-05 +3 *2037:21 0.000428596 +4 *2037:18 0.00160183 +5 *2037:17 0.00124173 +6 *2037:15 0.00239147 +7 *2037:13 0.00286646 +8 *2037:10 0.000566565 +9 *2037:13 *2124:11 0.00442408 +10 *2037:13 *2125:13 7.92757e-06 +11 *2037:15 *2124:11 0.00147771 +12 *2037:18 *2124:14 0.00337314 +13 *2037:21 *2125:23 0.00407729 +14 *2037:21 *2303:23 0.00360156 +15 *2037:21 *2379:25 0.0001766 +16 *2822:mprj_io_analog_en[16] *2037:18 0 +17 *666:17 *2037:21 0 +18 *1961:11 *2037:13 0.00204748 +*RES +1 *2795:pad_gpio_ana_sel *2037:10 8.46357 +2 *2037:10 *2037:13 49.8179 +3 *2037:13 *2037:15 82.8047 +4 *2037:15 *2037:17 4.5 +5 *2037:17 *2037:18 54.569 +6 *2037:18 *2037:21 47.3733 +7 *2037:21 *2822:mprj_io_analog_sel[16] 5.52592 +*END + +*D_NET *2038 0.0716473 +*CONN +*I *2822:mprj_io_analog_sel[17] I *D chip_io +*I *2796:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[17] 0.00339987 +2 *2796:pad_gpio_ana_sel 9.93606e-05 +3 *2038:20 0.00458398 +4 *2038:19 0.00154146 +5 *2038:16 0.00131076 +6 *2038:11 0.00153515 +7 *2038:10 0.000681099 +8 *2822:mprj_io_analog_sel[17] *2822:mprj_io_dm[51] 0.0211369 +9 *2822:mprj_io_analog_sel[17] *2822:mprj_io_dm[52] 0.00719546 +10 *2822:mprj_io_analog_sel[17] *2266:8 0.000685257 +11 *2038:20 *2821:analog_io[11] 0.00149866 +12 *1962:11 *2038:11 0.00999615 +13 *1962:16 *2038:16 0 +14 *1962:19 *2038:19 0.00249091 +15 *1962:20 *2038:20 0 +16 *1962:23 *2822:mprj_io_analog_sel[17] 0.0024693 +17 *2000:11 *2038:11 0.00999195 +18 *2000:19 *2038:19 0.00228913 +19 *2000:20 *2038:20 0.00074193 +*RES +1 *2796:pad_gpio_ana_sel *2038:10 8.46357 +2 *2038:10 *2038:11 104.434 +3 *2038:11 *2038:16 34.0861 +4 *2038:16 *2038:19 34.6174 +5 *2038:19 *2038:20 48.9631 +6 *2038:20 *2822:mprj_io_analog_sel[17] 43.4576 +*END + +*D_NET *2039 0.023924 +*CONN +*I *2822:mprj_io_analog_sel[18] I *D chip_io +*I *2787:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[18] 0.00195218 +2 *2787:pad_gpio_ana_sel 0.000106442 +3 *2039:14 0.00325524 +4 *2039:13 0.00130306 +5 *2039:11 0.00173472 +6 *2039:10 0.00184116 +7 *2822:mprj_io_analog_sel[18] *2822:mprj_io_dm[56] 0 +8 *2822:mprj_io_analog_sel[18] *2822:mprj_io_holdover[18] 0.000593433 +9 *2822:mprj_io_analog_sel[18] *2822:mprj_io_inp_dis[18] 0 +10 *2822:mprj_io_analog_sel[18] *2822:mprj_io_out[18] 0 +11 *2039:11 *2132:11 0.00105741 +12 *2039:11 *2381:11 0.00395782 +13 *2039:14 *2822:mprj_io_dm[56] 0.000784009 +14 *668:25 *2822:mprj_io_analog_sel[18] 0 +15 *1963:11 *2039:11 0.00621268 +16 *2001:14 *2039:14 0.00112589 +*RES +1 *2787:pad_gpio_ana_sel *2039:10 8.46357 +2 *2039:10 *2039:11 143.257 +3 *2039:11 *2039:13 4.5 +4 *2039:13 *2039:14 53.9461 +5 *2039:14 *2822:mprj_io_analog_sel[18] 16.0048 +*END + +*D_NET *2040 0.0161433 +*CONN +*I *2822:mprj_io_analog_sel[19] I *D chip_io +*I *2803:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[19] 0.000728859 +2 *2803:pad_gpio_ana_sel 0.000306298 +3 *2040:11 0.00222896 +4 *2040:10 0.00180639 +5 *2822:mprj_io_analog_sel[19] *2136:17 0 +6 *2822:mprj_io_analog_sel[19] *2192:13 0.000119341 +7 *2040:10 *2134:10 0 +8 *2040:10 *2192:12 3.84497e-05 +9 *2040:11 *2822:mprj_io_inp_dis[19] 0.00140724 +10 *2040:11 *2135:11 9.16621e-05 +11 *2040:11 *2136:17 0.00103266 +12 *2040:11 *2192:13 0 +13 *2002:10 *2040:10 0 +14 *2002:11 *2040:11 0.0083834 +*RES +1 *2803:pad_gpio_ana_sel *2040:10 12.2008 +2 *2040:10 *2040:11 90.5692 +3 *2040:11 *2822:mprj_io_analog_sel[19] 26.1089 +*END + +*D_NET *2041 0.0129614 +*CONN +*I *2822:mprj_io_analog_sel[1] I *D chip_io +*I *2782:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[1] 0.000270274 +2 *2782:pad_gpio_ana_sel 0.000454567 +3 *2041:14 0.00112471 +4 *2041:13 0.001309 +5 *2041:13 *2115:13 0.000263266 +6 *2041:13 *2345:8 8.35615e-06 +7 *2041:14 *2822:mprj_io_dm[5] 0 +8 *2041:14 *2137:14 0.00473797 +9 *2041:14 *2193:14 0.00458679 +10 *1965:13 *2041:13 0 +11 *2003:13 *2041:13 0.000206486 +12 *2003:14 *2041:14 0 +*RES +1 *2782:pad_gpio_ana_sel *2041:13 24.7734 +2 *2041:13 *2041:14 81.1452 +3 *2041:14 *2822:mprj_io_analog_sel[1] 16.1144 +*END + +*D_NET *2042 0.0232042 +*CONN +*I *2822:mprj_io_analog_sel[20] I *D chip_io +*I *2810:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[20] 0.00139018 +2 *2810:pad_gpio_ana_sel 0.000735729 +3 *2042:13 0.00212591 +4 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[60] 0.00161724 +5 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[61] 0.00505985 +6 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[62] 2.30558e-05 +7 *2822:mprj_io_analog_sel[20] *2822:mprj_io_holdover[20] 0.00932532 +8 *2822:mprj_io_analog_sel[20] *2822:mprj_io_out[20] 0.000976097 +9 *2822:mprj_io_analog_sel[20] *2822:mprj_io_slow_sel[20] 0.000217791 +10 *2042:13 *2232:13 0.00117612 +11 *2004:13 *2042:13 0.000556925 +*RES +1 *2810:pad_gpio_ana_sel *2042:13 38.6441 +2 *2042:13 *2822:mprj_io_analog_sel[20] 40.7599 +*END + +*D_NET *2043 0.0211611 +*CONN +*I *2822:mprj_io_analog_sel[21] I *D chip_io +*I *2811:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[21] 7.90497e-05 +2 *2811:pad_gpio_ana_sel 0.000339404 +3 *2043:17 0.00103484 +4 *2043:16 0.00124814 +5 *2043:13 0.00063175 +6 *2043:13 *2143:13 0.00176451 +7 *2043:13 *2195:11 0.00261002 +8 *2043:16 *2195:16 0.00128909 +9 *2043:16 *2233:16 5.8218e-05 +10 *2043:17 *2822:mprj_io_dm[63] 0.00387815 +11 *2043:17 *2822:mprj_io_dm[64] 0.00282441 +12 *2043:17 *2822:mprj_io_slow_sel[21] 0.000334328 +13 *2043:17 *2143:23 0.00153303 +14 *2043:17 *2233:17 0.00180769 +15 *2005:13 *2043:13 0.00035468 +16 *2005:16 *2043:16 0.00126304 +17 *2005:17 *2043:17 0.000110786 +*RES +1 *2811:pad_gpio_ana_sel *2043:13 40.3079 +2 *2043:13 *2043:16 27.9251 +3 *2043:16 *2043:17 83.9139 +4 *2043:17 *2822:mprj_io_analog_sel[21] 5.80682 +*END + +*D_NET *2044 0.0201898 +*CONN +*I *2822:mprj_io_analog_sel[22] I *D chip_io +*I *2812:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[22] 0.00223588 +2 *2812:pad_gpio_ana_sel 0.000690301 +3 *2044:14 0.00377124 +4 *2044:13 0.00222566 +5 *2822:mprj_io_analog_sel[22] *2822:mprj_io_holdover[22] 0.00760146 +6 *2822:mprj_io_analog_sel[22] *2146:17 0.000199184 +7 *2044:13 *2144:11 0.000100974 +8 *2044:13 *2145:13 0.00160098 +9 *2044:14 *2234:20 0.00172852 +10 *2044:14 *2272:21 0 +11 *1968:19 *2044:13 1.41291e-05 +12 *2006:19 *2044:13 2.15184e-05 +*RES +1 *2812:pad_gpio_ana_sel *2044:13 36.9038 +2 *2044:13 *2044:14 58.0987 +3 *2044:14 *2822:mprj_io_analog_sel[22] 20.4664 +*END + +*D_NET *2045 0.017623 +*CONN +*I *2822:mprj_io_analog_sel[23] I *D chip_io +*I *2813:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[23] 0.00255072 +2 *2813:pad_gpio_ana_sel 0.00072033 +3 *2045:13 0.00327105 +4 *2822:mprj_io_analog_sel[23] *2822:mprj_io_dm[70] 0.000664392 +5 *2822:mprj_io_analog_sel[23] *2822:mprj_io_holdover[23] 0.00718351 +6 *2822:mprj_io_analog_sel[23] *2387:15 0 +7 *2045:13 *2149:13 9.16621e-05 +8 *2822:mprj_io_analog_pol[23] *2822:mprj_io_analog_sel[23] 0.000686467 +9 *2007:13 *2045:13 0.00245491 +*RES +1 *2813:pad_gpio_ana_sel *2045:13 40.8625 +2 *2045:13 *2822:mprj_io_analog_sel[23] 44.3942 +*END + +*D_NET *2046 0.0257954 +*CONN +*I *2822:mprj_io_analog_sel[24] I *D chip_io +*I *2814:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[24] 0.00052679 +2 *2814:pad_gpio_ana_sel 0.00051466 +3 *2046:8 0.00185462 +4 *2046:7 0.00184249 +5 *2822:mprj_io_analog_sel[24] *2822:mprj_io_dm[74] 0 +6 *2822:mprj_io_analog_sel[24] *2312:11 0 +7 *2046:7 *2151:7 0.000296461 +8 *2046:8 *2151:8 0.00944265 +9 *618:34 *2046:8 0.00143158 +10 *2008:7 *2046:7 0.000295006 +11 *2008:8 *2046:8 0.00959117 +*RES +1 *2814:pad_gpio_ana_sel *2046:7 5.34423 +2 *2046:7 *2046:8 154.022 +3 *2046:8 *2822:mprj_io_analog_sel[24] 21.6604 +*END + +*D_NET *2047 0.0190447 +*CONN +*I *2822:mprj_io_analog_sel[25] I *D chip_io +*I *2815:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[25] 0.00043562 +2 *2815:pad_gpio_ana_sel 0.000501543 +3 *2047:14 0.00130956 +4 *2047:13 0.00137548 +5 *2822:mprj_io_analog_sel[25] *2156:23 5.65975e-05 +6 *2822:mprj_io_analog_sel[25] *2237:22 5.04829e-06 +7 *2047:13 *2154:13 0.0016858 +8 *2047:13 *2237:8 0.00106542 +9 *2047:14 *2155:8 0.00363508 +10 *2047:14 *2199:8 0.000464736 +11 *2047:14 *2313:8 0.00481795 +12 *2047:14 *2389:22 0.00211973 +13 *2047:14 *2465:20 1.2366e-05 +14 *2822:mprj_io_analog_en[25] *2047:13 0.000120046 +15 *618:34 *2047:14 0.000704135 +16 *1722:30 *2047:13 0.000693161 +17 *2009:13 *2047:13 4.2387e-05 +*RES +1 *2815:pad_gpio_ana_sel *2047:13 38.2281 +2 *2047:13 *2047:14 93.1875 +3 *2047:14 *2822:mprj_io_analog_sel[25] 19.1633 +*END + +*D_NET *2048 0.0177441 +*CONN +*I *2822:mprj_io_analog_sel[26] I *D chip_io +*I *2816:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[26] 0.000938671 +2 *2816:pad_gpio_ana_sel 0.000530126 +3 *2048:14 0.00207171 +4 *2048:13 0.00133097 +5 *2048:8 0.00067628 +6 *2048:7 0.00100848 +7 *2822:mprj_io_analog_sel[26] *2822:mprj_io_dm[80] 3.31882e-05 +8 *2822:mprj_io_analog_sel[26] *2160:17 0.000572468 +9 *2822:mprj_io_analog_sel[26] *2390:20 0 +10 *2822:mprj_io_analog_sel[26] *2390:25 0.00103596 +11 *2048:7 *2157:7 0.000255845 +12 *2048:8 *2200:8 0.000693224 +13 *2048:8 *2314:12 0.00292096 +14 *2048:13 *2200:20 0.000247443 +15 *2048:14 *2200:20 0.00020018 +16 *2048:14 *2390:20 0.00254661 +17 *2048:14 *2390:25 0.000103433 +18 *2048:14 *2466:8 7.77309e-06 +19 *102:49 *2822:mprj_io_analog_sel[26] 0.000165203 +20 *102:49 *2048:14 0.000461226 +21 *116:16 *2048:8 0.00148308 +22 *617:14 *2822:mprj_io_analog_sel[26] 0 +23 *618:34 *2048:8 0.000442108 +24 *695:27 *2048:13 2.41274e-06 +25 *2010:12 *2048:7 1.66948e-05 +*RES +1 *2816:pad_gpio_ana_sel *2048:7 5.11476 +2 *2048:7 *2048:8 46.8869 +3 *2048:8 *2048:13 13.6056 +4 *2048:13 *2048:14 50.6241 +5 *2048:14 *2822:mprj_io_analog_sel[26] 40.3618 +*END + +*D_NET *2049 0.0185786 +*CONN +*I *2822:mprj_io_analog_sel[27] I *D chip_io +*I *2817:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[27] 0.000400954 +2 *2817:pad_gpio_ana_sel 0.000380125 +3 *2049:8 0.00141794 +4 *2049:7 0.00139711 +5 *2822:mprj_io_analog_sel[27] *2822:mprj_io_dm[83] 6.8952e-05 +6 *2049:7 *2161:7 0.000240602 +7 *2049:8 *2163:24 0.0025178 +8 *2049:8 *2429:8 0.00405879 +9 *106:37 *2049:8 0.000643666 +10 *117:21 *2049:8 0.000272629 +11 *117:22 *2049:8 7.57306e-05 +12 *633:14 *2049:8 0.00686988 +13 *2011:7 *2049:7 0.000215751 +14 *2011:8 *2049:8 1.87125e-05 +*RES +1 *2817:pad_gpio_ana_sel *2049:7 4.88529 +2 *2049:7 *2049:8 110.836 +3 *2049:8 *2822:mprj_io_analog_sel[27] 18.748 +*END + +*D_NET *2050 0.0567511 +*CONN +*I *2822:mprj_io_analog_sel[28] I *D chip_io +*I *2818:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[28] 0.000600347 +2 *2818:pad_gpio_ana_sel 0.000861737 +3 *2050:41 0.00104217 +4 *2050:37 0.00131849 +5 *2050:29 0.00550656 +6 *2050:28 0.0049231 +7 *2050:17 0.00567259 +8 *2050:16 0.00624112 +9 *2822:mprj_io_analog_sel[28] *2822:mprj_io_dm[86] 0 +10 *2822:mprj_io_analog_sel[28] *2822:mprj_io_ib_mode_sel[28] 1.53125e-05 +11 *2822:mprj_io_analog_sel[28] *2822:mprj_io_out[28] 0.000519635 +12 *2822:mprj_io_analog_sel[28] *2240:20 0 +13 *2822:mprj_io_analog_sel[28] *2316:14 9.06192e-05 +14 *2822:mprj_io_analog_sel[28] *2392:8 0.000149592 +15 *2050:37 *2240:8 0.000535672 +16 *2050:37 *2278:11 0 +17 *2050:37 *2430:8 0.000551798 +18 *2050:37 *2468:23 5.04829e-06 +19 *2822:mprj_io_analog_pol[28] *2050:41 5.04829e-06 +20 *106:37 *2822:mprj_io_analog_sel[28] 0.000154671 +21 *106:37 *2050:37 0.000218612 +22 *618:34 *2050:41 0.000377629 +23 *634:74 *2050:41 0.00246267 +24 *658:24 *2050:28 0.000544667 +25 *658:27 *2050:29 0.0213418 +26 *658:36 *2822:mprj_io_analog_sel[28] 0.00114957 +27 *679:10 *2050:41 0.00246267 +*RES +1 *2818:pad_gpio_ana_sel *2050:16 12.6224 +2 *2050:16 *2050:17 150.466 +3 *2050:17 *2050:28 27.4942 +4 *2050:28 *2050:29 223.119 +5 *2050:29 *2050:37 47.0712 +6 *2050:37 *2050:41 45.9525 +7 *2050:41 *2822:mprj_io_analog_sel[28] 41.5508 +*END + +*D_NET *2051 0.0169578 +*CONN +*I *2822:mprj_io_analog_sel[29] I *D chip_io +*I *2804:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[29] 0.000570573 +2 *2804:pad_gpio_ana_sel 0.000704646 +3 *2051:10 0.00184514 +4 *2051:9 0.00197921 +5 *2822:mprj_io_analog_sel[29] *2822:mprj_io_dm[89] 0 +6 *2822:mprj_io_analog_sel[29] *2822:mprj_io_holdover[29] 0.000171064 +7 *2051:9 *2167:9 0.000272112 +8 *2051:10 *2169:16 0.0050463 +9 *2051:10 *2431:10 0.000858234 +10 *2051:10 *2604:13 0.00177827 +11 *642:52 *2051:10 0.00103579 +12 *680:8 *2051:10 0.00244918 +13 *2013:9 *2051:9 0.000247249 +*RES +1 *2804:pad_gpio_ana_sel *2051:9 5.7167 +2 *2051:9 *2051:10 109.175 +3 *2051:10 *2822:mprj_io_analog_sel[29] 23.0455 +*END + +*D_NET *2052 0.0132469 +*CONN +*I *2822:mprj_io_analog_sel[2] I *D chip_io +*I *2797:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[2] 0.000474608 +2 *2797:pad_gpio_ana_sel 0.000746616 +3 *2052:14 0.00129652 +4 *2052:13 0.00156853 +5 *2052:13 *2148:13 3.84497e-05 +6 *2052:14 *2148:14 0.000152316 +7 *2052:14 *2170:14 0.0047113 +8 *2052:14 *2204:14 0.000507721 +9 *2052:14 *2470:14 0.00353922 +10 *110:52 *2822:mprj_io_analog_sel[2] 0 +11 *626:66 *2052:14 0 +12 *669:30 *2052:13 5.35541e-05 +13 *2014:13 *2052:13 0.000158111 +*RES +1 *2797:pad_gpio_ana_sel *2052:13 29.4862 +2 *2052:13 *2052:14 80.3147 +3 *2052:14 *2822:mprj_io_analog_sel[2] 19.8572 +*END + +*D_NET *2053 0.0181026 +*CONN +*I *2822:mprj_io_analog_sel[30] I *D chip_io +*I *2805:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[30] 0.000560613 +2 *2805:pad_gpio_ana_sel 0.000523867 +3 *2053:8 0.00151452 +4 *2053:7 0.00147777 +5 *2822:mprj_io_analog_sel[30] *2822:mprj_io_dm[92] 0 +6 *2822:mprj_io_analog_sel[30] *2357:20 0 +7 *2053:7 *2171:7 0.000238736 +8 *2053:8 *2205:8 0.00336901 +9 *2053:8 *2205:12 1.23804e-05 +10 *2053:8 *2243:14 0.00355398 +11 *2053:8 *2395:8 0.000204269 +12 *2053:8 *2395:14 0.00348354 +13 *102:14 *2053:8 0.00289642 +14 *2015:7 *2053:7 0.000267524 +*RES +1 *2805:pad_gpio_ana_sel *2053:7 5.34423 +2 *2053:7 *2053:8 110.42 +3 *2053:8 *2822:mprj_io_analog_sel[30] 21.9363 +*END + +*D_NET *2054 0.0174429 +*CONN +*I *2822:mprj_io_analog_sel[31] I *D chip_io +*I *2806:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[31] 0.000533777 +2 *2806:pad_gpio_ana_sel 0.00101029 +3 *2054:16 0.00143599 +4 *2054:15 0.00191251 +5 *2822:mprj_io_analog_sel[31] *2822:mprj_io_dm[95] 0 +6 *2822:mprj_io_analog_sel[31] *2720:14 0 +7 *2054:15 *2174:12 0.000128928 +8 *2054:16 *2174:16 0.000565939 +9 *2054:16 *2320:8 0.000298872 +10 *2054:16 *2472:8 0.00567444 +11 *2806:mgmt_gpio_out *2054:15 0.000468828 +12 *643:14 *2054:16 0.00362062 +13 *682:8 *2054:16 0.00086048 +14 *1729:34 *2054:15 0.000490094 +15 *1729:37 *2054:15 0.000180024 +16 *1978:15 *2054:15 0.000127932 +17 *2016:15 *2054:15 0.00013419 +*RES +1 *2806:pad_gpio_ana_sel *2054:15 45.2785 +2 *2054:15 *2054:16 91.1112 +3 *2054:16 *2822:mprj_io_analog_sel[31] 21.1802 +*END + +*D_NET *2055 0.0174514 +*CONN +*I *2822:mprj_io_analog_sel[32] I *D chip_io +*I *2807:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[32] 0.000416506 +2 *2807:pad_gpio_ana_sel 0.000489056 +3 *2055:8 0.00150339 +4 *2055:7 0.00157594 +5 *2822:mprj_io_analog_sel[32] *2473:14 0 +6 *2055:7 *2177:11 5.76949e-05 +7 *2055:7 *2179:7 0 +8 *2055:8 *2207:8 0.00139421 +9 *2055:8 *2397:8 0.0040164 +10 *2055:8 *2397:18 0.00283747 +11 *2055:8 *2435:8 0.0040164 +12 *619:20 *2055:8 0.000921869 +13 *620:23 *2055:8 1.72799e-05 +14 *2017:7 *2055:7 0.000205194 +*RES +1 *2807:pad_gpio_ana_sel *2055:7 4.96178 +2 *2055:7 *2055:8 110.42 +3 *2055:8 *2822:mprj_io_analog_sel[32] 19.1633 +*END + +*D_NET *2056 0.0149357 +*CONN +*I *2822:mprj_io_analog_sel[33] I *D chip_io +*I *2808:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[33] 0.000575918 +2 *2808:pad_gpio_ana_sel 0.00135326 +3 *2056:16 0.0023619 +4 *2056:15 0.00178598 +5 *2056:13 0.00135326 +6 *2822:mprj_io_analog_sel[33] *2822:mprj_io_dm[101] 0 +7 *2822:mprj_io_analog_sel[33] *2398:16 0 +8 *2056:13 *2180:7 7.42816e-06 +9 *2056:13 *2284:11 0 +10 *2056:16 *2208:8 0.00515557 +11 *2808:mgmt_gpio_out *2056:13 0.00022036 +12 *645:15 *2056:16 0.000691 +13 *1731:33 *2056:13 7.86825e-06 +14 *1980:15 *2056:13 0.00134775 +15 *2018:15 *2056:13 7.54478e-05 +*RES +1 *2808:pad_gpio_ana_sel *2056:13 48.6055 +2 *2056:13 *2056:15 4.5 +3 *2056:15 *2056:16 82.3909 +4 *2056:16 *2822:mprj_io_analog_sel[33] 22.4909 +*END + +*D_NET *2057 0.0164958 +*CONN +*I *2822:mprj_io_analog_sel[34] I *D chip_io +*I *2809:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[34] 0.000276717 +2 *2809:pad_gpio_ana_sel 0.000419375 +3 *2057:14 0.00110684 +4 *2057:8 0.00142362 +5 *2057:7 0.00101287 +6 *2057:7 *2071:7 0.000156769 +7 *2057:8 *2822:mprj_io_dm[103] 2.01595e-05 +8 *2057:8 *2072:8 0.00409561 +9 *2057:8 *2323:8 0 +10 *2057:14 *2822:mprj_io_dm[103] 0.000626213 +11 *2057:14 *2822:mprj_io_inp_dis[34] 0.00121098 +12 *2057:14 *2073:16 0.000298872 +13 *2057:14 *2609:13 0.000374328 +14 *2822:mprj_io_analog_pol[34] *2057:14 0 +15 *619:20 *2057:8 0.000556909 +16 *619:20 *2057:14 0.000442119 +17 *2019:7 *2057:7 0.000156769 +18 *2019:8 *2057:8 0.00399919 +19 *2019:8 *2057:14 0.000318445 +*RES +1 *2809:pad_gpio_ana_sel *2057:7 4.65582 +2 *2057:7 *2057:8 66.6113 +3 *2057:8 *2057:14 49.6281 +4 *2057:14 *2822:mprj_io_analog_sel[34] 11.7132 +*END + +*D_NET *2058 0.0181853 +*CONN +*I *2822:mprj_io_analog_sel[35] I *D chip_io +*I *2783:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[35] 0.000384288 +2 *2783:pad_gpio_ana_sel 0.000645753 +3 *2058:8 0.00140599 +4 *2058:7 0.00166746 +5 *2822:mprj_io_analog_sel[35] *2822:mprj_io_dm[107] 0 +6 *2822:mprj_io_analog_sel[35] *2248:8 0 +7 *2058:7 *2075:7 0 +8 *2058:8 *2076:8 0.000316724 +9 *2058:8 *2210:8 0.00686655 +10 *2058:8 *2324:8 0.00592113 +11 *2783:mgmt_gpio_out *2058:7 0 +12 *1745:13 *2058:8 0.000950463 +13 *2020:13 *2058:7 2.69096e-05 +*RES +1 *2783:pad_gpio_ana_sel *2058:7 4.88529 +2 *2058:7 *2058:8 110.42 +3 *2058:8 *2822:mprj_io_analog_sel[35] 18.6087 +*END + +*D_NET *2059 0.0196802 +*CONN +*I *2822:mprj_io_analog_sel[36] I *D chip_io +*I *2784:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[36] 0.000321196 +2 *2784:pad_gpio_ana_sel 0.00060894 +3 *2059:16 0.0013022 +4 *2059:15 0.00170409 +5 *2059:12 0.00133202 +6 *2059:15 *2477:15 2.16355e-05 +7 *2059:16 *2822:mprj_io_inp_dis[36] 0 +8 *2059:16 *2077:12 0.00410596 +9 *2059:16 *2325:12 1.23518e-05 +10 *2059:16 *2401:18 0.00542288 +11 *2822:mprj_io_analog_pol[36] *2059:16 0 +12 *1733:8 *2059:16 0.000938008 +13 *1983:15 *2059:15 0.00253955 +14 *2021:12 *2059:12 0.00120967 +15 *2021:15 *2059:15 5.24081e-05 +16 *2021:16 *2059:16 0.000109332 +*RES +1 *2784:pad_gpio_ana_sel *2059:12 30.0667 +2 *2059:12 *2059:15 34.6174 +3 *2059:15 *2059:16 87.3739 +4 *2059:16 *2822:mprj_io_analog_sel[36] 17.4995 +*END + +*D_NET *2060 0.0152289 +*CONN +*I *2822:mprj_io_analog_sel[37] I *D chip_io +*I *2785:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[37] 0.00330674 +2 *2785:pad_gpio_ana_sel 0.00330674 +3 *2822:mprj_io_analog_sel[37] *2822:mprj_io_holdover[37] 6.96236e-05 +4 *2822:mprj_io_analog_sel[37] *2822:mprj_io_out[37] 0.00548335 +5 *2822:mprj_io_analog_sel[37] *2081:7 0.000177738 +6 *2822:mprj_io_analog_sel[37] *2081:8 0.0007458 +7 *2822:mprj_io_analog_sel[37] *2082:8 0.00064132 +8 *2822:mprj_io_analog_sel[37] *2250:29 4.44899e-05 +9 *2822:mprj_io_analog_sel[37] *2440:16 0.000429068 +10 *1984:7 *2822:mprj_io_analog_sel[37] 0 +11 *1984:8 *2822:mprj_io_analog_sel[37] 0.000614731 +12 *2022:13 *2822:mprj_io_analog_sel[37] 0.000409284 +*RES +1 *2785:pad_gpio_ana_sel *2822:mprj_io_analog_sel[37] 22.0457 +*END + +*D_NET *2061 0.00864245 +*CONN +*I *2822:mprj_io_analog_sel[3] I *D chip_io +*I *2798:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[3] 0.000527807 +2 *2798:pad_gpio_ana_sel 0.000216703 +3 *2061:16 0.00216789 +4 *2061:15 0.00185678 +5 *2822:mprj_io_analog_sel[3] *2822:mprj_io_dm[11] 4.25527e-05 +6 *2822:mprj_io_analog_sel[3] *2822:mprj_io_holdover[3] 5.65975e-05 +7 *2822:mprj_io_analog_sel[3] *2822:mprj_io_out[3] 0 +8 *2061:15 *2084:13 0.000522263 +9 *2061:15 *2181:13 1.67063e-05 +10 *2061:15 *2213:15 3.53886e-05 +11 *2061:15 *2251:13 0.000484359 +12 *2061:16 *2822:mprj_io_ib_mode_sel[3] 0 +13 *2061:16 *2822:mprj_io_out[3] 0 +14 *2061:16 *2822:mprj_io_vtrip_sel[3] 0 +15 *2061:16 *2251:14 0.00166883 +16 *111:30 *2061:16 0 +17 *111:36 *2822:mprj_io_analog_sel[3] 0 +18 *111:36 *2061:16 0.000999667 +19 *670:14 *2061:16 0 +20 *1985:15 *2061:15 0 +21 *2023:13 *2061:15 4.69062e-05 +*RES +1 *2798:pad_gpio_ana_sel *2061:15 19.8741 +2 *2061:15 *2061:16 73.0477 +3 *2061:16 *2822:mprj_io_analog_sel[3] 24.2794 +*END + +*D_NET *2062 0.0140058 +*CONN +*I *2822:mprj_io_analog_sel[4] I *D chip_io +*I *2799:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[4] 0.000327756 +2 *2799:pad_gpio_ana_sel 0.000431317 +3 *2062:14 0.00111657 +4 *2062:13 0.00122013 +5 *2822:mprj_io_analog_sel[4] *2822:mprj_io_dm[14] 0 +6 *2062:13 *2085:15 0.000212818 +7 *2062:13 *2366:8 0.000111131 +8 *2062:14 *2087:14 0.00462807 +9 *2062:14 *2404:14 0.00411224 +10 *654:43 *2062:14 0.000706134 +11 *671:14 *2822:mprj_io_analog_sel[4] 0 +12 *671:14 *2062:14 8.53927e-05 +13 *1986:13 *2062:13 4.15236e-05 +14 *2024:15 *2062:13 0.00101271 +15 *2024:16 *2062:14 0 +*RES +1 *2799:pad_gpio_ana_sel *2062:13 28.2348 +2 *2062:13 *2062:14 76.9926 +3 *2062:14 *2822:mprj_io_analog_sel[4] 17.3601 +*END + +*D_NET *2063 0.01359 +*CONN +*I *2822:mprj_io_analog_sel[5] I *D chip_io +*I *2800:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[5] 0.00043752 +2 *2800:pad_gpio_ana_sel 0.000715734 +3 *2063:14 0.00126855 +4 *2063:13 0.00154677 +5 *2822:mprj_io_analog_sel[5] *2822:mprj_io_dm[17] 0 +6 *2063:13 *2088:13 2.72062e-05 +7 *2063:13 *2253:8 0 +8 *2063:14 *2090:14 0.000389486 +9 *2063:14 *2215:14 0.0040811 +10 *2063:14 *2367:14 0.00260666 +11 *2063:14 *2481:14 0.000263095 +12 *630:29 *2063:14 0.00065835 +13 *1738:29 *2063:13 0 +14 *1987:13 *2063:13 0.00144866 +15 *1987:14 *2063:14 0 +16 *2025:13 *2063:13 0.000146868 +*RES +1 *2800:pad_gpio_ana_sel *2063:13 36.4061 +2 *2063:13 *2063:14 71.5944 +3 *2063:14 *2822:mprj_io_analog_sel[5] 20.6877 +*END + +*D_NET *2064 0.0123574 +*CONN +*I *2822:mprj_io_analog_sel[6] I *D chip_io +*I *2801:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[6] 0.000493973 +2 *2801:pad_gpio_ana_sel 0.000245451 +3 *2064:14 0.00108442 +4 *2064:13 0.000835895 +5 *2822:mprj_io_analog_sel[6] *2822:mprj_io_dm[20] 0.00019711 +6 *2822:mprj_io_analog_sel[6] *2822:mprj_io_holdover[6] 0.000171064 +7 *2822:mprj_io_analog_sel[6] *2822:mprj_io_out[6] 0.000223156 +8 *2064:13 *2091:13 5.29561e-05 +9 *2064:13 *2094:15 0.000501425 +10 *2064:13 *2368:8 1.55462e-05 +11 *2064:14 *2822:mprj_io_vtrip_sel[6] 0.000216644 +12 *2064:14 *2254:8 0.0036672 +13 *630:47 *2822:mprj_io_analog_sel[6] 0.000570698 +14 *630:47 *2064:14 0.00404338 +15 *2026:13 *2064:13 3.84497e-05 +*RES +1 *2801:pad_gpio_ana_sel *2064:13 18.9543 +2 *2064:13 *2064:14 64.9503 +3 *2064:14 *2822:mprj_io_analog_sel[6] 31.4205 +*END + +*D_NET *2065 0.0468535 +*CONN +*I *2822:mprj_io_analog_sel[7] I *D chip_io +*I *2802:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[7] 0.0060211 +2 *2802:pad_gpio_ana_sel 0.00624199 +3 *2065:10 0.0122631 +4 *2822:mprj_io_analog_sel[7] *2066:8 0.00197382 +5 *2822:mprj_io_analog_sel[7] *2255:8 0 +6 *2065:10 *2097:8 0 +7 *2065:10 *2217:8 0 +8 *2065:10 *2255:8 0.00410399 +9 *116:65 *2065:10 0.00129085 +10 *675:16 *2822:mprj_io_analog_sel[7] 0.00177224 +11 *1990:13 *2822:mprj_io_analog_sel[7] 0.00902032 +12 *2028:13 *2822:mprj_io_analog_sel[7] 0.00416612 +*RES +1 *2802:pad_gpio_ana_sel *2065:10 28.9693 +2 *2065:10 *2822:mprj_io_analog_sel[7] 42.9615 +*END + +*D_NET *2066 0.059096 +*CONN +*I *2822:mprj_io_analog_sel[8] I *D chip_io +*I *2786:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[8] 0.000168155 +2 *2786:pad_gpio_ana_sel 0.000146391 +3 *2066:8 0.00637825 +4 *2066:7 0.00635648 +5 *2066:7 *2098:13 3.27908e-05 +6 *2066:8 *2218:10 0.00237293 +7 *2066:8 *2255:8 0.0147356 +8 *2066:8 *2257:8 0.0121501 +9 *2788:serial_clock_out *2066:8 0.0103411 +10 *2822:mprj_io_analog_sel[7] *2066:8 0.00197382 +11 *1990:13 *2066:8 2.40249e-06 +12 *1991:13 *2066:8 0.000875525 +13 *2028:13 *2066:8 0.00356236 +*RES +1 *2786:pad_gpio_ana_sel *2066:7 0.707538 +2 *2066:7 *2066:8 63.6606 +3 *2066:8 *2822:mprj_io_analog_sel[8] 6.0718 +*END + +*D_NET *2067 0.0519082 +*CONN +*I *2822:mprj_io_analog_sel[9] I *D chip_io +*I *2788:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_analog_sel[9] 0.00036206 +2 *2788:pad_gpio_ana_sel 0.00840124 +3 *2067:18 0.00097556 +4 *2067:16 0.000640396 +5 *2067:14 0.000966973 +6 *2067:13 0.00934131 +7 *2822:mprj_io_analog_sel[9] *2822:mprj_io_dm[29] 0 +8 *2822:mprj_io_analog_sel[9] *2103:36 0 +9 *2822:mprj_io_analog_sel[9] *2611:14 0 +10 *2067:13 *2297:7 5.71289e-05 +11 *2067:13 *2335:7 0.000160787 +12 *2067:13 *2373:7 0.000573079 +13 *2067:14 *2297:8 0.000867592 +14 *2067:14 *2409:30 0.00650446 +15 *2067:18 *2103:36 0.00361799 +16 *2067:18 *2259:11 0.000797637 +17 *2067:18 *2297:8 0.00058725 +18 *2788:serial_clock_out *2067:13 9.56027e-05 +19 *1955:8 *2067:13 0.00174938 +20 *1991:13 *2067:13 8.00779e-06 +21 *1991:14 *2067:14 1.23804e-05 +22 *1991:14 *2067:18 0.00203649 +23 *2029:13 *2067:13 0.00758393 +24 *2029:14 *2067:14 0.00654247 +25 *2029:14 *2067:18 2.6506e-05 +*RES +1 *2788:pad_gpio_ana_sel *2067:13 47.1926 +2 *2067:13 *2067:14 105.645 +3 *2067:14 *2067:16 0.732798 +4 *2067:16 *2067:18 59.3444 +5 *2067:18 *2822:mprj_io_analog_sel[9] 18.0541 +*END + +*D_NET *2068 0.0187219 +*CONN +*I *2822:mprj_io_dm[0] I *D chip_io +*I *2781:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[0] 0.000545256 +2 *2781:pad_gpio_dm[0] 0.000572536 +3 *2068:14 0.00143091 +4 *2068:13 0.00145819 +5 *2068:13 *2093:13 3.59146e-05 +6 *2068:13 *2104:15 0.000688043 +7 *2068:13 *2182:13 0.000758246 +8 *2068:13 *2220:13 7.77309e-06 +9 *2068:13 *2334:8 0.000145299 +10 *2068:14 *2093:14 0.00666325 +11 *2068:14 *2296:14 0.00619082 +12 *2822:mprj_io_analog_en[0] *2822:mprj_io_dm[0] 0 +13 *2822:mprj_io_analog_pol[0] *2822:mprj_io_dm[0] 0 +14 *1954:14 *2068:14 6.8162e-05 +15 *1992:14 *2068:14 0.00012693 +16 *2030:13 *2068:13 3.05206e-05 +*RES +1 *2781:pad_gpio_dm[0] *2068:13 29.2267 +2 *2068:13 *2068:14 106.476 +3 *2068:14 *2822:mprj_io_dm[0] 23.4607 +*END + +*D_NET *2069 0.0131633 +*CONN +*I *2822:mprj_io_dm[100] I *D chip_io +*I *2808:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[100] 0.000308654 +2 *2808:pad_gpio_dm[1] 0.000305358 +3 *2069:8 0.00109248 +4 *2069:7 0.00108918 +5 *2822:mprj_io_dm[100] *2608:8 0 +6 *2069:7 *2070:7 0.000190282 +7 *2069:7 *2180:7 0.000190282 +8 *2069:8 *2322:8 0.00244079 +9 *2069:8 *2398:14 0.000743661 +10 *2069:8 *2398:16 0.00349 +11 *2069:8 *2436:8 1.87269e-05 +12 *619:20 *2069:8 0.000742907 +13 *1980:16 *2822:mprj_io_dm[100] 0 +14 *1980:16 *2069:8 0.00255097 +*RES +1 *2808:pad_gpio_dm[1] *2069:7 4.57933 +2 *2069:7 *2069:8 80.9375 +3 *2069:8 *2822:mprj_io_dm[100] 16.8055 +*END + +*D_NET *2070 0.020766 +*CONN +*I *2822:mprj_io_dm[101] I *D chip_io +*I *2808:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[101] 0.000500745 +2 *2808:pad_gpio_dm[2] 0.000580104 +3 *2070:8 0.00148298 +4 *2070:7 0.00156234 +5 *2822:mprj_io_dm[101] *2822:mprj_io_holdover[33] 0 +6 *2822:mprj_io_dm[101] *2398:16 0 +7 *2070:7 *2208:7 0.000253659 +8 *2070:8 *2208:8 0.00757453 +9 *2822:mprj_io_analog_sel[33] *2822:mprj_io_dm[101] 0 +10 *645:15 *2070:8 0.00104687 +11 *684:16 *2070:8 0.00757453 +12 *2069:7 *2070:7 0.000190282 +*RES +1 *2808:pad_gpio_dm[2] *2070:7 5.19125 +2 *2070:7 *2070:8 121.217 +3 *2070:8 *2822:mprj_io_dm[101] 21.2423 +*END + +*D_NET *2071 0.0091988 +*CONN +*I *2822:mprj_io_dm[102] I *D chip_io +*I *2809:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[102] 0.000478158 +2 *2809:pad_gpio_dm[0] 0.000593308 +3 *2071:8 0.00300381 +4 *2071:7 0.00311896 +5 *2822:mprj_io_dm[102] *2609:13 0 +6 *2071:7 *2072:7 0.000147173 +7 *2071:8 *2073:16 0 +8 *2071:8 *2323:8 0.00170063 +9 *2822:mprj_io_analog_pol[34] *2822:mprj_io_dm[102] 0 +10 *685:14 *2071:8 0 +11 *1981:7 *2071:7 0 +12 *1981:8 *2071:8 0 +13 *2057:7 *2071:7 0.000156769 +*RES +1 *2809:pad_gpio_dm[0] *2071:7 5.19125 +2 *2071:7 *2071:8 91.3188 +3 *2071:8 *2822:mprj_io_dm[102] 20.1859 +*END + +*D_NET *2072 0.0119121 +*CONN +*I *2822:mprj_io_dm[103] I *D chip_io +*I *2809:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[103] 0.000540305 +2 *2809:pad_gpio_dm[1] 0.000442663 +3 *2072:8 0.00198658 +4 *2072:7 0.00188894 +5 *2822:mprj_io_dm[103] *2822:mprj_io_slow_sel[34] 0 +6 *2822:mprj_io_dm[103] *2609:13 0.000105778 +7 *2072:7 *2822:mprj_io_holdover[34] 0 +8 *2072:7 *2073:12 4.02086e-05 +9 *2072:8 *2822:mprj_io_slow_sel[34] 0.000915154 +10 *2072:8 *2323:8 0 +11 *619:20 *2822:mprj_io_dm[103] 0.000100007 +12 *619:20 *2072:8 0.0010033 +13 *2057:8 *2822:mprj_io_dm[103] 2.01595e-05 +14 *2057:8 *2072:8 0.00409561 +15 *2057:14 *2822:mprj_io_dm[103] 0.000626213 +16 *2071:7 *2072:7 0.000147173 +*RES +1 *2809:pad_gpio_dm[1] *2072:7 4.57933 +2 *2072:7 *2072:8 70.7639 +3 *2072:8 *2822:mprj_io_dm[103] 28.2982 +*END + +*D_NET *2073 0.0154267 +*CONN +*I *2822:mprj_io_dm[104] I *D chip_io +*I *2809:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[104] 0.00031526 +2 *2809:pad_gpio_dm[2] 0.00158062 +3 *2073:16 0.0015652 +4 *2073:15 0.00167856 +5 *2073:12 0.00200924 +6 *2073:12 *2822:mprj_io_holdover[34] 1.48814e-06 +7 *2073:12 *2285:11 0 +8 *2073:16 *2822:mprj_io_inp_dis[34] 0.000142505 +9 *2809:mgmt_gpio_out *2073:12 0.000153257 +10 *106:13 *2073:12 0.00039902 +11 *619:20 *2073:16 0.000635277 +12 *1732:37 *2073:12 4.75721e-06 +13 *1981:8 *2073:16 0.00307189 +14 *2019:8 *2073:16 0.00353055 +15 *2057:14 *2073:16 0.000298872 +16 *2071:8 *2073:16 0 +17 *2072:7 *2073:12 4.02086e-05 +*RES +1 *2809:pad_gpio_dm[2] *2073:12 49.2813 +2 *2073:12 *2073:15 14.6517 +3 *2073:15 *2073:16 80.3147 +4 *2073:16 *2822:mprj_io_dm[104] 17.2236 +*END + +*D_NET *2074 0.0356554 +*CONN +*I *2822:mprj_io_dm[105] I *D chip_io +*I *2783:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[105] 0.000252967 +2 *2783:pad_gpio_dm[0] 0.000882926 +3 *2074:36 2.68684e-05 +4 *2074:23 0.00166903 +5 *2074:22 0.00141606 +6 *2074:20 0.00803799 +7 *2074:19 0.00898168 +8 *2074:16 0.00247311 +9 *2074:8 0.00386321 +10 *2074:7 0.00318984 +11 *2822:mprj_io_dm[105] *2248:8 0 +12 *2074:23 *2822:mprj_io_dm[106] 0 +13 *2074:23 *2822:mprj_io_slow_sel[35] 0 +14 *2074:23 *2248:8 0.00395541 +15 *2074:23 *2286:10 0 +16 *2074:23 *2610:8 0 +17 *2822:mprj_io_analog_en[35] *2822:mprj_io_dm[105] 0 +18 *2822:mprj_io_analog_en[35] *2074:23 0 +19 *2822:mprj_io_analog_pol[35] *2822:mprj_io_dm[105] 0 +20 *619:20 *2074:23 0.000906339 +*RES +1 *2783:pad_gpio_dm[0] *2074:7 31.9168 +2 *2074:7 *2074:8 130.501 +3 *2074:8 *2074:16 37.7831 +4 *2074:16 *2074:19 31.0395 +5 *2074:19 *2074:20 224.783 +6 *2074:20 *2074:22 4.5 +7 *2074:22 *2074:23 63.7046 +8 *2074:23 *2822:mprj_io_dm[105] 15.6963 +9 *2783:pad_gpio_dm[0] *2074:36 0.0631875 +*END + +*D_NET *2075 0.0139313 +*CONN +*I *2822:mprj_io_dm[106] I *D chip_io +*I *2783:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[106] 0.000487253 +2 *2783:pad_gpio_dm[1] 0.000638077 +3 *2075:8 0.00124527 +4 *2075:7 0.00139609 +5 *2075:7 *2076:7 0.000148988 +6 *2075:7 *2210:7 0 +7 *2075:8 *2210:8 0.00506634 +8 *2075:8 *2286:11 0.000596244 +9 *1745:13 *2075:8 0.000530855 +10 *2020:14 *2075:8 0.00382214 +11 *2058:7 *2075:7 0 +12 *2074:23 *2822:mprj_io_dm[106] 0 +*RES +1 *2783:pad_gpio_dm[1] *2075:7 5.03827 +2 *2075:7 *2075:8 81.3528 +3 *2075:8 *2822:mprj_io_dm[106] 20.1331 +*END + +*D_NET *2076 0.0154778 +*CONN +*I *2822:mprj_io_dm[107] I *D chip_io +*I *2783:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[107] 0.000350763 +2 *2783:pad_gpio_dm[2] 0.000421793 +3 *2076:8 0.00212119 +4 *2076:7 0.00219222 +5 *2822:mprj_io_dm[107] *2248:8 0 +6 *2076:7 *2210:7 0.000148988 +7 *2076:8 *2822:mprj_io_out[35] 0.000125376 +8 *2076:8 *2210:8 0 +9 *2076:8 *2248:8 0.003602 +10 *2076:8 *2324:8 0.000906641 +11 *2076:8 *2438:8 0.0044677 +12 *2822:mprj_io_analog_sel[35] *2822:mprj_io_dm[107] 0 +13 *619:20 *2076:8 0.000178927 +14 *1733:8 *2076:8 0.000496457 +15 *2058:8 *2076:8 0.000316724 +16 *2075:7 *2076:7 0.000148988 +*RES +1 *2783:pad_gpio_dm[2] *2076:7 4.65582 +2 *2076:7 *2076:8 121.217 +3 *2076:8 *2822:mprj_io_dm[107] 17.9147 +*END + +*D_NET *2077 0.0152772 +*CONN +*I *2822:mprj_io_dm[108] I *D chip_io +*I *2784:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[108] 0.000284611 +2 *2784:pad_gpio_dm[0] 0.000668916 +3 *2077:12 0.000832307 +4 *2077:10 0.00121661 +5 *2077:10 *2078:10 0.000184083 +6 *2077:10 *2078:12 6.17825e-05 +7 *2077:10 *2080:15 0 +8 *2077:10 *2325:10 9.71323e-06 +9 *2077:10 *2401:15 0.00144469 +10 *2077:10 *2477:8 0.00150672 +11 *2077:12 *2078:12 2.11664e-05 +12 *2077:12 *2325:10 4.22808e-06 +13 *2077:12 *2325:12 3.80436e-07 +14 *2822:mprj_io_analog_pol[36] *2822:mprj_io_dm[108] 0 +15 *108:38 *2077:10 0 +16 *1733:8 *2077:10 0.000225834 +17 *1733:8 *2077:12 0.000651203 +18 *2021:16 *2822:mprj_io_dm[108] 0 +19 *2021:16 *2077:12 0.00405901 +20 *2059:16 *2077:12 0.00410596 +*RES +1 *2784:pad_gpio_dm[0] *2077:10 30.3982 +2 *2077:10 *2077:12 65.9885 +3 *2077:12 *2822:mprj_io_dm[108] 16.1144 +*END + +*D_NET *2078 0.0126911 +*CONN +*I *2822:mprj_io_dm[109] I *D chip_io +*I *2784:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[109] 0.000288881 +2 *2784:pad_gpio_dm[1] 0.000814193 +3 *2078:12 0.000761895 +4 *2078:10 0.00128721 +5 *2078:10 *2080:15 0.000163923 +6 *2078:10 *2401:15 0.00159788 +7 *108:38 *2078:10 0.000219405 +8 *648:32 *2078:10 0 +9 *648:36 *2078:10 0 +10 *1733:8 *2078:10 0.000208848 +11 *1733:8 *2078:12 0.000500324 +12 *1983:16 *2822:mprj_io_dm[109] 0 +13 *1983:16 *2078:12 0.00330121 +14 *2021:16 *2078:12 0.00328026 +15 *2077:10 *2078:10 0.000184083 +16 *2077:10 *2078:12 6.17825e-05 +17 *2077:12 *2078:12 2.11664e-05 +*RES +1 *2784:pad_gpio_dm[1] *2078:10 31.9063 +2 *2078:10 *2078:12 54.3614 +3 *2078:12 *2822:mprj_io_dm[109] 16.2509 +*END + +*D_NET *2079 0.0194026 +*CONN +*I *2822:mprj_io_dm[10] I *D chip_io +*I *2798:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[10] 0.000702529 +2 *2798:pad_gpio_dm[1] 0.000805824 +3 *2079:14 0.00170726 +4 *2079:13 0.00181055 +5 *2079:13 *2084:13 3.89371e-05 +6 *2079:13 *2181:13 7.24012e-05 +7 *2079:14 *2181:14 0.00664737 +8 *2079:14 *2289:11 0 +9 *2079:14 *2327:16 5.92227e-05 +10 *2079:14 *2441:16 0.00732456 +11 *2822:mprj_io_analog_en[3] *2822:mprj_io_dm[10] 0 +12 *111:30 *2079:13 0.000119662 +13 *111:36 *2822:mprj_io_dm[10] 0 +14 *1985:16 *2079:14 0.000114237 +*RES +1 *2798:pad_gpio_dm[1] *2079:13 27.3771 +2 *2079:13 *2079:14 116.857 +3 *2079:14 *2822:mprj_io_dm[10] 26.961 +*END + +*D_NET *2080 0.0186725 +*CONN +*I *2822:mprj_io_dm[110] I *D chip_io +*I *2784:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[110] 0.000438982 +2 *2784:pad_gpio_dm[2] 0.000938131 +3 *2080:16 0.00134525 +4 *2080:15 0.0018444 +5 *2822:mprj_io_dm[110] *2822:mprj_io_holdover[36] 0 +6 *2080:15 *2211:13 0.000229787 +7 *2080:15 *2325:10 0.000867775 +8 *2080:15 *2477:15 3.26421e-05 +9 *2080:16 *2211:14 0.00150556 +10 *2080:16 *2439:8 0.00268988 +11 *687:16 *2080:15 0.00179741 +12 *687:16 *2080:16 0.00578427 +13 *1745:13 *2080:15 0.000259922 +14 *1745:13 *2080:16 0.000774583 +15 *2077:10 *2080:15 0 +16 *2078:10 *2080:15 0.000163923 +*RES +1 *2784:pad_gpio_dm[2] *2080:15 45.2594 +2 *2080:15 *2080:16 92.357 +3 *2080:16 *2822:mprj_io_dm[110] 19.8572 +*END + +*D_NET *2081 0.0116627 +*CONN +*I *2822:mprj_io_dm[111] I *D chip_io +*I *2785:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[111] 0.000330242 +2 *2785:pad_gpio_dm[0] 0.000251915 +3 *2081:8 0.00240699 +4 *2081:7 0.00232866 +5 *2081:7 *2082:7 0.000252009 +6 *2081:8 *2082:8 0.00493309 +7 *2822:mprj_io_analog_pol[37] *2822:mprj_io_dm[111] 0 +8 *2822:mprj_io_analog_sel[37] *2081:7 0.000177738 +9 *2822:mprj_io_analog_sel[37] *2081:8 0.0007458 +10 *1984:7 *2081:7 0 +11 *1984:8 *2081:8 0.000236262 +12 *2022:14 *2822:mprj_io_dm[111] 0 +13 *2022:14 *2081:8 0 +*RES +1 *2785:pad_gpio_dm[0] *2081:7 4.73231 +2 *2081:7 *2081:8 91.3188 +3 *2081:8 *2822:mprj_io_dm[111] 17.2236 +*END + +*D_NET *2082 0.0132125 +*CONN +*I *2822:mprj_io_dm[112] I *D chip_io +*I *2785:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[112] 0.000362248 +2 *2785:pad_gpio_dm[1] 0.000261273 +3 *2082:8 0.00119964 +4 *2082:7 0.00109867 +5 *2822:mprj_io_analog_sel[37] *2082:8 0.00064132 +6 *1984:8 *2082:8 0.00446426 +7 *2022:13 *2082:8 0 +8 *2022:14 *2822:mprj_io_dm[112] 0 +9 *2081:7 *2082:7 0.000252009 +10 *2081:8 *2082:8 0.00493309 +*RES +1 *2785:pad_gpio_dm[1] *2082:7 4.65582 +2 *2082:7 *2082:8 81.3528 +3 *2082:8 *2822:mprj_io_dm[112] 17.9147 +*END + +*D_NET *2083 0.0170535 +*CONN +*I *2822:mprj_io_dm[113] I *D chip_io +*I *2785:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[113] 0.00191169 +2 *2785:pad_gpio_dm[2] 0.00181684 +3 *2083:15 0.00372853 +4 *2822:mprj_io_dm[113] *2822:mprj_io_holdover[37] 0.00116396 +5 *2822:mprj_io_dm[113] *2822:mprj_io_oeb[37] 0.00461676 +6 *2822:mprj_io_dm[113] *2250:29 0.00117204 +7 *2822:mprj_io_dm[113] *2326:27 1.93857e-05 +8 *2083:15 *2785:pad_gpio_in 0 +9 *2083:15 *2822:mprj_io_holdover[37] 0.00181309 +10 *2083:15 *2212:16 0 +11 *2083:15 *2250:15 0 +12 *2083:15 *2288:19 0 +13 *2083:15 *2364:15 0.000305566 +14 *2083:15 *2402:15 2.5386e-05 +15 *2083:15 *2402:21 0.000480206 +*RES +1 *2785:pad_gpio_dm[2] *2083:15 47.9154 +2 *2083:15 *2822:mprj_io_dm[113] 19.2039 +*END + +*D_NET *2084 0.0148263 +*CONN +*I *2822:mprj_io_dm[11] I *D chip_io +*I *2798:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[11] 0.000346337 +2 *2798:pad_gpio_dm[2] 0.000371824 +3 *2084:14 0.00106405 +4 *2084:13 0.00108953 +5 *2084:13 *2181:13 1.65872e-05 +6 *2084:13 *2213:15 0.000872703 +7 *2084:13 *2251:13 0.000489728 +8 *2084:13 *2365:8 0.000494416 +9 *2084:14 *2213:16 0.00469601 +10 *2084:14 *2289:15 0.000178513 +11 *2084:14 *2403:14 0.00446669 +12 *2822:mprj_io_analog_sel[3] *2822:mprj_io_dm[11] 4.25527e-05 +13 *670:14 *2084:14 0.000136165 +14 *2061:15 *2084:13 0.000522263 +15 *2079:13 *2084:13 3.89371e-05 +*RES +1 *2798:pad_gpio_dm[2] *2084:13 30.5269 +2 *2084:13 *2084:14 79.8994 +3 *2084:14 *2822:mprj_io_dm[11] 17.4007 +*END + +*D_NET *2085 0.0182626 +*CONN +*I *2822:mprj_io_dm[12] I *D chip_io +*I *2799:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[12] 0.000466649 +2 *2799:pad_gpio_dm[0] 0.000810882 +3 *2085:16 0.00137289 +4 *2085:15 0.00171712 +5 *2085:15 *2086:15 2.81691e-05 +6 *2085:15 *2087:13 7.22572e-05 +7 *2085:15 *2366:8 0 +8 *2085:16 *2086:16 0.0065381 +9 *2085:16 *2328:16 0.00601358 +10 *2822:mprj_io_analog_en[4] *2822:mprj_io_dm[12] 0 +11 *115:64 *2085:16 0.000915902 +12 *671:14 *2822:mprj_io_dm[12] 0 +13 *671:14 *2085:16 0 +14 *1986:13 *2085:15 0 +15 *2024:15 *2085:15 0 +16 *2024:16 *2085:16 0.000114237 +17 *2062:13 *2085:15 0.000212818 +*RES +1 *2799:pad_gpio_dm[0] *2085:15 31.2364 +2 *2085:15 *2085:16 104.399 +3 *2085:16 *2822:mprj_io_dm[12] 21.1058 +*END + +*D_NET *2086 0.0199321 +*CONN +*I *2822:mprj_io_dm[13] I *D chip_io +*I *2799:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[13] 0.000530661 +2 *2799:pad_gpio_dm[1] 0.000701966 +3 *2086:16 0.00168645 +4 *2086:15 0.00185775 +5 *2086:15 *2087:13 0.00026862 +6 *2086:16 *2328:16 0.000148843 +7 *2086:16 *2442:14 0.000431563 +8 *115:64 *2086:16 0.00115187 +9 *671:14 *2822:mprj_io_dm[13] 0 +10 *1986:14 *2086:16 0.0065881 +11 *2085:15 *2086:15 2.81691e-05 +12 *2085:16 *2086:16 0.0065381 +*RES +1 *2799:pad_gpio_dm[1] *2086:15 29.2995 +2 *2086:15 *2086:16 119.348 +3 *2086:16 *2822:mprj_io_dm[13] 22.9061 +*END + +*D_NET *2087 0.0141364 +*CONN +*I *2822:mprj_io_dm[14] I *D chip_io +*I *2799:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[14] 0.000275053 +2 *2799:pad_gpio_dm[2] 0.000510235 +3 *2087:14 0.000989099 +4 *2087:13 0.00122428 +5 *2087:13 *2822:mprj_io_holdover[4] 3.84497e-05 +6 *2087:13 *2366:8 0.000143105 +7 *2087:14 *2404:14 0.00020105 +8 *2822:mprj_io_analog_sel[4] *2822:mprj_io_dm[14] 0 +9 *654:43 *2087:14 0.000739296 +10 *671:14 *2822:mprj_io_dm[14] 0 +11 *671:14 *2087:14 0.00504691 +12 *2062:14 *2087:14 0.00462807 +13 *2085:15 *2087:13 7.22572e-05 +14 *2086:15 *2087:13 0.00026862 +*RES +1 *2799:pad_gpio_dm[2] *2087:13 28.0954 +2 *2087:13 *2087:14 81.1452 +3 *2087:14 *2822:mprj_io_dm[14] 15.975 +*END + +*D_NET *2088 0.0182308 +*CONN +*I *2822:mprj_io_dm[15] I *D chip_io +*I *2800:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[15] 0.000493958 +2 *2800:pad_gpio_dm[0] 0.00076218 +3 *2088:14 0.00144619 +4 *2088:13 0.00171441 +5 *2088:13 *2822:mprj_io_dm[16] 2.72062e-05 +6 *2088:13 *2253:8 0 +7 *2088:14 *2090:14 0.000359719 +8 *2088:14 *2329:14 0.000152316 +9 *2822:mprj_io_analog_en[5] *2822:mprj_io_dm[15] 0 +10 *116:49 *2088:14 0.00102672 +11 *672:14 *2088:14 9.81824e-05 +12 *1987:14 *2088:14 0.00598247 +13 *2025:14 *2088:14 0.00614023 +14 *2063:13 *2088:13 2.72062e-05 +*RES +1 *2800:pad_gpio_dm[0] *2088:13 29.2131 +2 *2088:13 *2088:14 107.721 +3 *2088:14 *2822:mprj_io_dm[15] 21.8619 +*END + +*D_NET *2089 0.0155014 +*CONN +*I *2822:mprj_io_dm[16] I *D chip_io +*I *2800:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[16] 0.0032007 +2 *2800:pad_gpio_dm[1] 0.0032007 +3 *2822:mprj_io_dm[16] *2800:pad_gpio_in 0.00848729 +4 *2822:mprj_io_dm[16] *2090:13 3.64393e-05 +5 *2822:mprj_io_dm[16] *2253:8 0.00054903 +6 *654:43 *2822:mprj_io_dm[16] 0 +7 *2088:13 *2822:mprj_io_dm[16] 2.72062e-05 +*RES +1 *2800:pad_gpio_dm[1] *2822:mprj_io_dm[16] 24.7654 +*END + +*D_NET *2090 0.0150681 +*CONN +*I *2822:mprj_io_dm[17] I *D chip_io +*I *2800:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[17] 0.000456864 +2 *2800:pad_gpio_dm[2] 0.00052225 +3 *2090:14 0.00135816 +4 *2090:13 0.00142355 +5 *2822:mprj_io_dm[17] *2822:mprj_io_holdover[5] 0 +6 *2090:13 *2215:13 0.00114271 +7 *2090:13 *2253:8 0 +8 *2090:13 *2329:13 0 +9 *2090:14 *2329:14 0 +10 *2090:14 *2481:14 0.0042323 +11 *2822:mprj_io_analog_sel[5] *2822:mprj_io_dm[17] 0 +12 *2822:mprj_io_dm[16] *2090:13 3.64393e-05 +13 *115:64 *2090:14 0.000820912 +14 *1987:14 *2090:14 0.00432568 +15 *2063:14 *2090:14 0.000389486 +16 *2088:14 *2090:14 0.000359719 +*RES +1 *2800:pad_gpio_dm[2] *2090:13 27.6257 +2 *2090:13 *2090:14 86.1282 +3 *2090:14 *2822:mprj_io_dm[17] 20.9664 +*END + +*D_NET *2091 0.0192726 +*CONN +*I *2822:mprj_io_dm[18] I *D chip_io +*I *2801:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[18] 0.000475407 +2 *2801:pad_gpio_dm[0] 0.000568437 +3 *2091:14 0.00139624 +4 *2091:13 0.00148927 +5 *2091:13 *2092:13 4.2387e-05 +6 *2091:13 *2094:15 0.000569791 +7 *2091:13 *2216:13 0.000320859 +8 *2091:13 *2368:8 0.00017136 +9 *2091:14 *2092:14 0.00641295 +10 *2091:14 *2330:16 0.00588844 +11 *2822:mprj_io_analog_pol[6] *2822:mprj_io_dm[18] 0.00073905 +12 *115:64 *2091:14 0.000946932 +13 *630:47 *2822:mprj_io_dm[18] 0.000198495 +14 *1988:14 *2091:14 0 +15 *2026:13 *2091:13 0 +16 *2026:14 *2091:14 0 +17 *2064:13 *2091:13 5.29561e-05 +*RES +1 *2801:pad_gpio_dm[0] *2091:13 29.0108 +2 *2091:13 *2091:14 102.323 +3 *2091:14 *2822:mprj_io_dm[18] 25.8518 +*END + +*D_NET *2092 0.0205548 +*CONN +*I *2822:mprj_io_dm[19] I *D chip_io +*I *2801:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[19] 0.000573743 +2 *2801:pad_gpio_dm[1] 0.000737131 +3 *2092:14 0.0015794 +4 *2092:13 0.00174279 +5 *2092:13 *2094:15 4.10643e-05 +6 *2092:13 *2216:13 0.000119662 +7 *2092:13 *2368:8 0.000124349 +8 *2092:14 *2292:11 0.00709015 +9 *2092:14 *2330:16 9.80712e-05 +10 *2822:mprj_io_analog_en[6] *2822:mprj_io_dm[19] 0.00070508 +11 *116:49 *2092:14 0.00097527 +12 *630:47 *2822:mprj_io_dm[19] 0.000312733 +13 *673:14 *2092:14 0 +14 *1988:14 *2092:14 0 +15 *2091:13 *2092:13 4.2387e-05 +16 *2091:14 *2092:14 0.00641295 +*RES +1 *2801:pad_gpio_dm[1] *2092:13 28.7349 +2 *2092:13 *2092:14 113.12 +3 *2092:14 *2822:mprj_io_dm[19] 30.1436 +*END + +*D_NET *2093 0.0154372 +*CONN +*I *2822:mprj_io_dm[1] I *D chip_io +*I *2781:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[1] 0.000573341 +2 *2781:pad_gpio_dm[1] 0.000792788 +3 *2093:14 0.00336108 +4 *2093:13 0.00358053 +5 *2093:13 *2104:15 0.000100878 +6 *2093:13 *2182:13 0.000119662 +7 *2093:13 *2334:8 0.000124349 +8 *2093:14 *2296:14 8.53782e-05 +9 *1727:20 *2093:14 0 +10 *1743:8 *2093:14 0 +11 *1954:14 *2093:14 0 +12 *2068:13 *2093:13 3.59146e-05 +13 *2068:14 *2093:14 0.00666325 +*RES +1 *2781:pad_gpio_dm[1] *2093:13 29.366 +2 *2093:13 *2093:14 120.594 +3 *2093:14 *2822:mprj_io_dm[1] 24.57 +*END + +*D_NET *2094 0.0119556 +*CONN +*I *2822:mprj_io_dm[20] I *D chip_io +*I *2801:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[20] 0.000216514 +2 *2801:pad_gpio_dm[2] 0.000452441 +3 *2094:16 0.00121137 +4 *2094:15 0.0014473 +5 *2822:mprj_io_dm[20] *2822:mprj_io_holdover[6] 0 +6 *2094:15 *2216:13 0.000396074 +7 *2094:16 *2216:14 0.00468585 +8 *2094:16 *2406:14 0.000539577 +9 *2822:mprj_io_analog_sel[6] *2822:mprj_io_dm[20] 0.00019711 +10 *114:54 *2094:16 0.00159904 +11 *630:47 *2094:16 9.80857e-05 +12 *2064:13 *2094:15 0.000501425 +13 *2091:13 *2094:15 0.000569791 +14 *2092:13 *2094:15 4.10643e-05 +*RES +1 *2801:pad_gpio_dm[2] *2094:15 27.488 +2 *2094:15 *2094:16 78.6536 +3 *2094:16 *2822:mprj_io_dm[20] 15.1417 +*END + +*D_NET *2095 0.0650555 +*CONN +*I *2822:mprj_io_dm[21] I *D chip_io +*I *2802:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[21] 0.000496543 +2 *2802:pad_gpio_dm[0] 0.00059092 +3 *2095:8 0.00442998 +4 *2095:7 0.00452436 +5 *2822:mprj_io_dm[21] *2590:9 0.000197125 +6 *2095:7 *2096:13 2.97005e-05 +7 *2095:7 *2097:7 0 +8 *2095:8 *2096:14 0.0253664 +9 *2095:8 *2097:8 0.00215227 +10 *2095:8 *2098:14 0.000337806 +11 *2095:8 *2256:10 0.00149605 +12 *2095:8 *2293:11 0 +13 *2095:8 *2331:14 0.0251799 +14 *2822:mprj_io_analog_en[7] *2822:mprj_io_dm[21] 0 +15 *1989:14 *2095:8 0.000254382 +16 *2027:13 *2095:7 0 +*RES +1 *2802:pad_gpio_dm[0] *2095:7 5.34423 +2 *2095:7 *2095:8 428.919 +3 *2095:8 *2822:mprj_io_dm[21] 21.2423 +*END + +*D_NET *2096 0.0563252 +*CONN +*I *2822:mprj_io_dm[22] I *D chip_io +*I *2802:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[22] 0.0005278 +2 *2802:pad_gpio_dm[1] 0.000650619 +3 *2096:14 0.00471337 +4 *2096:13 0.00483619 +5 *2822:mprj_io_dm[22] *2590:9 0.000197125 +6 *2096:13 *2802:pad_gpio_in 0.000151484 +7 *2096:13 *2097:7 2.50257e-05 +8 *2096:14 *2098:14 0.00919182 +9 *2096:14 *2256:10 0.00138915 +10 *116:56 *2096:14 0.000216508 +11 *655:51 *2096:13 0.000569872 +12 *656:40 *2096:13 2.14855e-05 +13 *674:10 *2096:13 0.000565196 +14 *1989:14 *2096:14 0.00787341 +15 *2095:7 *2096:13 2.97005e-05 +16 *2095:8 *2096:14 0.0253664 +*RES +1 *2802:pad_gpio_dm[1] *2096:13 35.5784 +2 *2096:13 *2096:14 405.872 +3 *2096:14 *2822:mprj_io_dm[22] 21.7969 +*END + +*D_NET *2097 0.060357 +*CONN +*I *2822:mprj_io_dm[23] I *D chip_io +*I *2802:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[23] 0.00045977 +2 *2802:pad_gpio_dm[2] 0.00040573 +3 *2097:8 0.00849712 +4 *2097:7 0.00844308 +5 *2822:mprj_io_dm[23] *2822:mprj_io_holdover[7] 5.28744e-05 +6 *2097:7 *2217:7 0.000213021 +7 *2097:8 *2100:10 0.012599 +8 *2097:8 *2217:8 0.00879573 +9 *2097:8 *2256:10 0.000156378 +10 *2097:8 *2293:11 0.00363493 +11 *2097:8 *2331:14 0.00204779 +12 *2097:8 *2408:10 0.0117626 +13 *2097:8 *2446:14 0.000907986 +14 *116:56 *2097:8 0.000203694 +15 *676:14 *2822:mprj_io_dm[23] 0 +16 *2065:10 *2097:8 0 +17 *2095:7 *2097:7 0 +18 *2095:8 *2097:8 0.00215227 +19 *2096:13 *2097:7 2.50257e-05 +*RES +1 *2802:pad_gpio_dm[2] *2097:7 1.93138 +2 *2097:7 *2097:8 62.2318 +3 *2097:8 *2822:mprj_io_dm[23] 6.34495 +*END + +*D_NET *2098 0.059174 +*CONN +*I *2822:mprj_io_dm[24] I *D chip_io +*I *2786:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[24] 0.000561392 +2 *2786:pad_gpio_dm[0] 0.000679228 +3 *2098:14 0.0072269 +4 *2098:13 0.00734474 +5 *2822:mprj_io_dm[24] *2601:13 0 +6 *2098:13 *2099:13 3.74656e-05 +7 *2098:14 *2099:14 0 +8 *2098:14 *2101:14 0.000174244 +9 *2098:14 *2102:14 0.00967654 +10 *2098:14 *2219:8 0.00151696 +11 *2098:14 *2256:10 0.00249125 +12 *2098:14 *2295:11 8.92567e-05 +13 *2098:14 *2331:14 0.000191764 +14 *2098:14 *2333:14 0.00853051 +15 *2098:14 *2407:14 0.00116717 +16 *2822:mprj_io_analog_en[8] *2822:mprj_io_dm[24] 0 +17 *2822:mprj_io_analog_pol[8] *2822:mprj_io_dm[24] 0 +18 *116:65 *2098:13 0.000113149 +19 *632:85 *2098:13 0.000124334 +20 *658:63 *2098:14 0 +21 *1989:14 *2098:14 0.00968668 +22 *2066:7 *2098:13 3.27908e-05 +23 *2095:8 *2098:14 0.000337806 +24 *2096:14 *2098:14 0.00919182 +*RES +1 *2786:pad_gpio_dm[0] *2098:13 28.6585 +2 *2098:13 *2098:14 435.355 +3 *2098:14 *2822:mprj_io_dm[24] 21.521 +*END + +*D_NET *2099 0.0539005 +*CONN +*I *2822:mprj_io_dm[25] I *D chip_io +*I *2786:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[25] 0.000438374 +2 *2786:pad_gpio_dm[1] 0.000638953 +3 *2099:14 0.0067667 +4 *2099:13 0.00696728 +5 *2822:mprj_io_dm[25] *2601:10 6.84044e-05 +6 *2099:13 *2786:pad_gpio_in 0 +7 *2099:13 *2100:9 1.95874e-05 +8 *2099:14 *2293:11 5.03106e-05 +9 *2099:14 *2294:11 0.000223569 +10 *2099:14 *2295:11 0.00388261 +11 *2099:14 *2331:14 0.00475842 +12 *2099:14 *2332:14 0.0251298 +13 *2099:14 *2484:10 0.00379048 +14 *2822:mprj_io_analog_en[8] *2822:mprj_io_dm[25] 0 +15 *116:65 *2099:13 0.00055861 +16 *632:85 *2099:13 0.000569872 +17 *657:55 *2099:13 0 +18 *2098:13 *2099:13 3.74656e-05 +19 *2098:14 *2099:14 0 +*RES +1 *2786:pad_gpio_dm[1] *2099:13 33.36 +2 *2099:13 *2099:14 413.347 +3 *2099:14 *2822:mprj_io_dm[25] 19.5785 +*END + +*D_NET *2100 0.0704481 +*CONN +*I *2822:mprj_io_dm[26] I *D chip_io +*I *2786:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[26] 0.000382708 +2 *2786:pad_gpio_dm[2] 0.000632493 +3 *2100:10 0.00629504 +4 *2100:9 0.00654483 +5 *2822:mprj_io_dm[26] *2822:mprj_io_out[8] 0 +6 *2100:9 *2218:9 8.5409e-05 +7 *2100:9 *2256:9 0 +8 *2100:10 *2103:23 0.000372041 +9 *2100:10 *2217:8 0.0127574 +10 *2100:10 *2369:8 0.000793233 +11 *2100:10 *2370:10 0.000537626 +12 *2100:10 *2371:8 0.0117224 +13 *2100:10 *2408:10 0.00906398 +14 *2100:10 *2409:30 0.000371692 +15 *2100:10 *2445:14 0.00100672 +16 *2100:10 *2446:14 0.00155249 +17 *2100:10 *2446:18 0.0011266 +18 *2100:10 *2601:13 0.000149334 +19 *2822:mprj_io_analog_pol[8] *2100:10 0.000181563 +20 *676:14 *2100:10 0.000730859 +21 *1990:14 *2100:10 0.0011913 +22 *1990:29 *2100:10 0.000404445 +23 *2028:14 *2100:10 0.0019273 +24 *2097:8 *2100:10 0.012599 +25 *2099:13 *2100:9 1.95874e-05 +*RES +1 *2786:pad_gpio_dm[2] *2100:9 1.99789 +2 *2100:9 *2100:10 63.0319 +3 *2100:10 *2822:mprj_io_dm[26] 6.26846 +*END + +*D_NET *2101 0.0542607 +*CONN +*I *2822:mprj_io_dm[27] I *D chip_io +*I *2788:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[27] 0.000595318 +2 *2788:pad_gpio_dm[0] 0.00078582 +3 *2101:14 0.00861861 +4 *2101:13 0.00880911 +5 *2822:mprj_io_dm[27] *2611:11 0 +6 *2101:13 *2102:13 3.84497e-05 +7 *2101:14 *2102:14 0.0260467 +8 *2101:14 *2105:16 0 +9 *2101:14 *2106:14 6.8162e-05 +10 *2101:14 *2107:16 0.00467584 +11 *2101:14 *2219:8 0.00265457 +12 *2101:14 *2221:8 0.00141657 +13 *2101:14 *2333:18 0.000377318 +14 *2822:mprj_io_analog_pol[9] *2822:mprj_io_dm[27] 0 +15 *658:63 *2101:14 0 +16 *658:78 *2101:14 0 +17 *676:20 *2101:13 0 +18 *2098:14 *2101:14 0.000174244 +*RES +1 *2788:pad_gpio_dm[0] *2101:13 29.7677 +2 *2101:13 *2101:14 435.771 +3 *2101:14 *2822:mprj_io_dm[27] 22.4532 +*END + +*D_NET *2102 0.0610469 +*CONN +*I *2822:mprj_io_dm[28] I *D chip_io +*I *2788:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[28] 0.000595815 +2 *2788:pad_gpio_dm[1] 0.000890447 +3 *2102:14 0.00476629 +4 *2102:13 0.00506093 +5 *2822:mprj_io_dm[28] *2611:11 9.2668e-05 +6 *2102:13 *2103:7 4.31122e-05 +7 *2102:13 *2103:8 0 +8 *2102:14 *2219:8 0.00280511 +9 *2102:14 *2221:8 0.00112683 +10 *2102:14 *2333:14 0.00605755 +11 *2102:14 *2333:18 0.00384641 +12 *676:20 *2102:13 0 +13 *2098:14 *2102:14 0.00967654 +14 *2101:13 *2102:13 3.84497e-05 +15 *2101:14 *2102:14 0.0260467 +*RES +1 *2788:pad_gpio_dm[1] *2102:13 32.5351 +2 *2102:13 *2102:14 417.499 +3 *2102:14 *2822:mprj_io_dm[28] 23.1199 +*END + +*D_NET *2103 0.050615 +*CONN +*I *2822:mprj_io_dm[29] I *D chip_io +*I *2788:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[29] 0.000315713 +2 *2788:pad_gpio_dm[2] 0.000138455 +3 *2103:36 0.00101872 +4 *2103:35 0.000915566 +5 *2103:30 0.00675933 +6 *2103:29 0.00685864 +7 *2103:24 0.0017837 +8 *2103:23 0.00275814 +9 *2103:13 0.0015077 +10 *2103:8 0.00319774 +11 *2103:7 0.0031148 +12 *2103:7 *2219:7 7.83101e-05 +13 *2103:8 *2788:pad_gpio_in 0 +14 *2103:8 *2788:user_gpio_oeb 0 +15 *2103:8 *2788:user_gpio_out 0 +16 *2103:8 *2218:10 0.000350273 +17 *2103:8 *2333:13 0.000217538 +18 *2103:23 *2409:16 0 +19 *2103:24 *2822:mprj_io_slow_sel[8] 3.26582e-06 +20 *2103:24 *2295:11 0 +21 *2103:24 *2332:14 0.00250532 +22 *2103:24 *2408:10 0.000625335 +23 *2103:24 *2409:30 0.00566139 +24 *2103:30 *2789:pad_gpio_in 0 +25 *2103:30 *2789:user_gpio_oeb 0 +26 *2103:30 *2789:user_gpio_out 0 +27 *2103:30 *2105:15 0 +28 *2103:30 *2106:13 0 +29 *2103:30 *2107:15 0 +30 *2103:30 *2257:8 0.00100707 +31 *2103:30 *2335:8 0.00149129 +32 *2103:30 *2411:13 0 +33 *2103:30 *2620:10 0 +34 *2103:35 *2295:10 0.000131809 +35 *2103:36 *2259:11 7.14054e-05 +36 *2103:36 *2297:8 0.000524071 +37 *2103:36 *2611:11 0.000473956 +38 *2788:resetn_out *2103:8 4.89469e-06 +39 *2788:serial_clock *2103:8 0 +40 *2788:serial_data_in *2103:8 0 +41 *2788:serial_load_out *2103:8 0 +42 *2789:resetn *2103:30 0 +43 *2789:serial_data_in *2103:30 3.93117e-06 +44 *2822:mprj_io_analog_sel[9] *2822:mprj_io_dm[29] 0 +45 *2822:mprj_io_analog_sel[9] *2103:36 0 +46 *617:43 *2103:35 3.77235e-05 +47 *661:13 *2103:30 3.93117e-06 +48 *676:20 *2103:8 0 +49 *677:13 *2103:8 0 +50 *1990:14 *2103:8 0 +51 *1990:19 *2103:13 5.04829e-06 +52 *1990:29 *2103:23 9.83988e-05 +53 *2028:14 *2103:23 0.00248835 +54 *2029:14 *2103:36 0.00243006 +55 *2067:18 *2103:36 0.00361799 +56 *2100:10 *2103:23 0.000372041 +57 *2102:13 *2103:7 4.31122e-05 +58 *2102:13 *2103:8 0 +*RES +1 *2788:pad_gpio_dm[2] *2103:7 3.89091 +2 *2103:7 *2103:8 83.4291 +3 *2103:8 *2103:13 14.7148 +4 *2103:13 *2103:23 47.7424 +5 *2103:23 *2103:24 91.3188 +6 *2103:24 *2103:29 16.9332 +7 *2103:29 *2103:30 179.975 +8 *2103:30 *2103:35 15.824 +9 *2103:35 *2103:36 61.6283 +10 *2103:36 *2822:mprj_io_dm[29] 17.3601 +*END + +*D_NET *2104 0.0142034 +*CONN +*I *2822:mprj_io_dm[2] I *D chip_io +*I *2781:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[2] 0.000258828 +2 *2781:pad_gpio_dm[2] 0.000484265 +3 *2104:16 0.00110656 +4 *2104:15 0.00133199 +5 *2104:15 *2182:13 2.77992e-05 +6 *2104:15 *2220:13 0.00038152 +7 *2104:16 *2182:14 0.00473797 +8 *2104:16 *2372:14 0.00456074 +9 *2822:mprj_io_analog_sel[0] *2822:mprj_io_dm[2] 0 +10 *1954:14 *2104:16 0 +11 *1992:13 *2104:15 0 +12 *2030:13 *2104:15 0.00047711 +13 *2030:14 *2822:mprj_io_dm[2] 4.76719e-05 +14 *2030:14 *2104:16 0 +15 *2068:13 *2104:15 0.000688043 +16 *2093:13 *2104:15 0.000100878 +*RES +1 *2781:pad_gpio_dm[2] *2104:15 28.0191 +2 *2104:15 *2104:16 80.7299 +3 *2104:16 *2822:mprj_io_dm[2] 15.975 +*END + +*D_NET *2105 0.0648672 +*CONN +*I *2822:mprj_io_dm[30] I *D chip_io +*I *2789:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[30] 0.000631975 +2 *2789:pad_gpio_dm[0] 0.00078436 +3 *2105:16 0.00540023 +4 *2105:15 0.00555261 +5 *2822:mprj_io_dm[30] *2108:14 0 +6 *2105:15 *2106:13 0.000259011 +7 *2105:16 *2106:14 0.0263461 +8 *2105:16 *2107:16 0.000377318 +9 *2105:16 *2221:8 0.00352809 +10 *2822:mprj_io_analog_en[10] *2822:mprj_io_dm[30] 0.000195214 +11 *617:32 *2105:16 0 +12 *617:38 *2105:16 0 +13 *641:57 *2105:16 0.0170978 +14 *658:78 *2105:16 0.0011271 +15 *661:14 *2105:16 0.000242012 +16 *662:16 *2105:16 0.00300243 +17 *677:14 *2105:16 0.000323024 +18 *2101:14 *2105:16 0 +19 *2103:30 *2105:15 0 +*RES +1 *2789:pad_gpio_dm[0] *2105:15 31.4443 +2 *2105:15 *2105:16 436.186 +3 *2105:16 *2822:mprj_io_dm[30] 24.988 +*END + +*D_NET *2106 0.0662433 +*CONN +*I *2822:mprj_io_dm[31] I *D chip_io +*I *2789:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[31] 0.000641796 +2 *2789:pad_gpio_dm[1] 0.000751813 +3 *2106:14 0.00412459 +4 *2106:13 0.0042346 +5 *2822:mprj_io_dm[31] *2108:14 0 +6 *2822:mprj_io_dm[31] *2612:13 8.62584e-05 +7 *2106:13 *2107:15 3.95327e-06 +8 *2106:14 *2107:16 0.0261341 +9 *2106:14 *2221:8 0.003593 +10 *2101:14 *2106:14 6.8162e-05 +11 *2103:30 *2106:13 0 +12 *2105:15 *2106:13 0.000259011 +13 *2105:16 *2106:14 0.0263461 +*RES +1 *2789:pad_gpio_dm[1] *2106:13 29.7705 +2 *2106:13 *2106:14 422.067 +3 *2106:14 *2822:mprj_io_dm[31] 25.1246 +*END + +*D_NET *2107 0.0566601 +*CONN +*I *2822:mprj_io_dm[32] I *D chip_io +*I *2789:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[32] 0.000608603 +2 *2789:pad_gpio_dm[2] 0.000832191 +3 *2107:16 0.00767554 +4 *2107:15 0.00789913 +5 *2822:mprj_io_dm[32] *2108:14 0 +6 *2107:15 *2183:7 1.38992e-05 +7 *2107:16 *2109:14 0.00372941 +8 *2107:16 *2221:8 0.00415239 +9 *2107:16 *2333:18 0 +10 *2107:16 *2409:36 0 +11 *2107:16 *2411:14 0 +12 *662:16 *2107:16 0.000557717 +13 *2101:14 *2107:16 0.00467584 +14 *2103:30 *2107:15 0 +15 *2105:16 *2107:16 0.000377318 +16 *2106:13 *2107:15 3.95327e-06 +17 *2106:14 *2107:16 0.0261341 +*RES +1 *2789:pad_gpio_dm[2] *2107:15 30.335 +2 *2107:15 *2107:16 457.364 +3 *2107:16 *2822:mprj_io_dm[32] 23.7395 +*END + +*D_NET *2108 0.0538154 +*CONN +*I *2822:mprj_io_dm[33] I *D chip_io +*I *2790:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[33] 0.000512459 +2 *2790:pad_gpio_dm[0] 0.000216715 +3 *2108:26 0.00339969 +4 *2108:25 0.00299823 +5 *2108:20 0.00164055 +6 *2108:19 0.00163446 +7 *2108:14 0.00275604 +8 *2108:13 0.00276212 +9 *2108:8 0.0027632 +10 *2108:7 0.00286892 +11 *2822:mprj_io_dm[33] *2112:14 0.000977286 +12 *2822:mprj_io_dm[33] *2223:8 0.000131375 +13 *2822:mprj_io_dm[33] *2613:10 0 +14 *2822:mprj_io_dm[33] *2613:13 0.00080439 +15 *2108:7 *2109:13 3.53471e-05 +16 *2108:7 *2110:7 0 +17 *2108:8 *2790:pad_gpio_in 0 +18 *2108:8 *2109:13 0 +19 *2108:8 *2298:8 0.00571264 +20 *2108:8 *2298:14 0 +21 *2108:8 *2373:8 0.00169793 +22 *2108:13 *2298:13 0.000428132 +23 *2108:14 *2822:mprj_io_holdover[10] 0 +24 *2108:14 *2822:mprj_io_ib_mode_sel[10] 0 +25 *2108:14 *2822:mprj_io_inp_dis[10] 0 +26 *2108:14 *2822:mprj_io_oeb[10] 0 +27 *2108:14 *2822:mprj_io_out[10] 0 +28 *2108:14 *2822:mprj_io_slow_sel[10] 0 +29 *2108:14 *2822:mprj_io_vtrip_sel[10] 0 +30 *2108:14 *2184:8 0.000306064 +31 *2108:14 *2259:10 0 +32 *2108:14 *2298:14 0.00730381 +33 *2108:14 *2412:14 0 +34 *2108:14 *2612:13 0 +35 *2108:19 *2298:19 0.000436825 +36 *2108:20 *2112:14 0 +37 *2108:20 *2298:20 0.00414288 +38 *2108:20 *2298:26 0 +39 *2108:20 *2336:8 0.000983629 +40 *2108:25 *2298:25 0.000428132 +41 *2108:26 *2822:mprj_io_slow_sel[11] 0 +42 *2108:26 *2112:14 1.23804e-05 +43 *2108:26 *2223:8 0.000978762 +44 *2108:26 *2260:10 0 +45 *2108:26 *2298:26 0.00788338 +46 *2108:26 *2613:10 0 +47 *2822:mprj_io_analog_en[10] *2108:14 0 +48 *2822:mprj_io_dm[30] *2108:14 0 +49 *2822:mprj_io_dm[31] *2108:14 0 +50 *2822:mprj_io_dm[32] *2108:14 0 +51 *2822:mprj_io_analog_en[11] *2822:mprj_io_dm[33] 0 +*RES +1 *2790:pad_gpio_dm[0] *2108:7 4.04389 +2 *2108:7 *2108:8 107.514 +3 *2108:8 *2108:13 13.6056 +4 *2108:13 *2108:14 118.103 +5 *2108:14 *2108:19 13.6056 +6 *2108:19 *2108:20 67.4418 +7 *2108:20 *2108:25 13.6056 +8 *2108:25 *2108:26 127.861 +9 *2108:26 *2822:mprj_io_dm[33] 33.2812 +*END + +*D_NET *2109 0.05227 +*CONN +*I *2822:mprj_io_dm[34] I *D chip_io +*I *2790:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[34] 0.000668779 +2 *2790:pad_gpio_dm[1] 0.00098628 +3 *2109:18 0.00365097 +4 *2109:16 0.00302773 +5 *2109:14 0.00339538 +6 *2109:13 0.00433612 +7 *2822:mprj_io_dm[34] *2613:10 6.40706e-05 +8 *2822:mprj_io_dm[34] *2613:13 0 +9 *2109:13 *2790:pad_gpio_in 0 +10 *2109:13 *2110:7 3.53471e-05 +11 *2109:14 *2221:8 0.00187091 +12 *2109:14 *2260:11 0.0136767 +13 *2109:14 *2411:14 0 +14 *2109:18 *2260:11 0.00125996 +15 *2109:18 *2374:8 0.00049341 +16 *642:67 *2109:13 0 +17 *662:16 *2109:14 0 +18 *662:16 *2109:18 0 +19 *663:14 *2109:18 0.00680578 +20 *1956:20 *2109:18 0.00823385 +21 *2107:16 *2109:14 0.00372941 +22 *2108:7 *2109:13 3.53471e-05 +23 *2108:8 *2109:13 0 +*RES +1 *2790:pad_gpio_dm[1] *2109:13 36.6876 +2 *2109:13 *2109:14 221.879 +3 *2109:14 *2109:16 1.29461 +4 *2109:16 *2109:18 191.224 +5 *2109:18 *2822:mprj_io_dm[34] 24.0154 +*END + +*D_NET *2110 0.0751131 +*CONN +*I *2822:mprj_io_dm[35] I *D chip_io +*I *2790:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[35] 0.000649993 +2 *2790:pad_gpio_dm[2] 0.000601543 +3 *2110:8 0.00384263 +4 *2110:7 0.00379418 +5 *2822:mprj_io_dm[35] *2822:mprj_io_holdover[11] 9.94353e-05 +6 *2822:mprj_io_dm[35] *2261:11 0 +7 *2110:7 *2184:7 0.000239941 +8 *2110:8 *2111:14 0.000145 +9 *2110:8 *2184:8 0.0315903 +10 *2110:8 *2221:8 0.000273133 +11 *2110:8 *2297:8 7.3119e-05 +12 *2110:8 *2374:8 0.030929 +13 *2110:8 *2375:14 0.00157802 +14 *2110:8 *2411:14 0.00126139 +15 *2108:7 *2110:7 0 +16 *2109:13 *2110:7 3.53471e-05 +*RES +1 *2790:pad_gpio_dm[2] *2110:7 2.23734 +2 *2110:7 *2110:8 63.1462 +3 *2110:8 *2822:mprj_io_dm[35] 7.06617 +*END + +*D_NET *2111 0.0668761 +*CONN +*I *2822:mprj_io_dm[36] I *D chip_io +*I *2791:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[36] 0.00039683 +2 *2791:pad_gpio_dm[0] 0.000527719 +3 *2111:18 0.000812614 +4 *2111:16 0.000438759 +5 *2111:14 0.00349758 +6 *2111:13 0.00400232 +7 *2822:mprj_io_dm[36] *2614:11 0 +8 *2111:13 *2112:13 2.89493e-05 +9 *2111:14 *2112:14 0.000510603 +10 *2111:14 *2184:8 0.00154636 +11 *2111:14 *2299:14 0.0223762 +12 *2111:14 *2451:8 0.00190382 +13 *2111:16 *2299:16 3.3344e-06 +14 *2111:18 *2299:14 4.55455e-05 +15 *2111:18 *2299:18 0.00305737 +16 *2111:18 *2451:8 0.000466682 +17 *2822:mprj_io_analog_en[12] *2822:mprj_io_dm[36] 0 +18 *2822:mprj_io_analog_pol[12] *2822:mprj_io_dm[36] 0 +19 *1957:17 *2111:13 0.000124334 +20 *1995:19 *2111:13 0 +21 *2033:13 *2111:13 2.72062e-05 +22 *2033:14 *2111:14 0.0237725 +23 *2033:16 *2111:16 6.99044e-06 +24 *2033:18 *2111:14 2.01595e-05 +25 *2033:18 *2111:18 0.00316515 +26 *2110:8 *2111:14 0.000145 +*RES +1 *2791:pad_gpio_dm[0] *2111:13 24.7762 +2 *2111:13 *2111:14 383.656 +3 *2111:14 *2111:16 0.732798 +4 *2111:16 *2111:18 50.6241 +5 *2111:18 *2822:mprj_io_dm[36] 18.1934 +*END + +*D_NET *2112 0.0632547 +*CONN +*I *2822:mprj_io_dm[37] I *D chip_io +*I *2791:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[37] 0.000117348 +2 *2791:pad_gpio_dm[1] 0.00059378 +3 *2112:21 0.000692043 +4 *2112:14 0.00415395 +5 *2112:13 0.00417303 +6 *2822:mprj_io_dm[37] *2614:11 0 +7 *2112:13 *2113:7 2.72062e-05 +8 *2112:14 *2298:26 0.00790942 +9 *2112:14 *2299:14 0.0224742 +10 *2112:14 *2299:18 2.01595e-05 +11 *2112:14 *2413:24 0.0126028 +12 *2112:14 *2451:8 0.00334208 +13 *2112:14 *2613:13 0.000745097 +14 *2112:21 *2822:mprj_io_slow_sel[12] 0.00150997 +15 *2112:21 *2117:24 0.000163403 +16 *2112:21 *2299:16 6.67835e-06 +17 *2112:21 *2299:18 0.00225862 +18 *2112:21 *2300:25 5.04829e-06 +19 *2112:21 *2413:24 2.01595e-05 +20 *2112:21 *2451:8 0.000242207 +21 *2112:21 *2614:11 6.37283e-05 +22 *2822:mprj_io_dm[33] *2112:14 0.000977286 +23 *1957:17 *2112:13 0.000343222 +24 *1957:30 *2112:21 0.000261327 +25 *1995:19 *2112:13 0 +26 *2108:20 *2112:14 0 +27 *2108:26 *2112:14 1.23804e-05 +28 *2111:13 *2112:13 2.89493e-05 +29 *2111:14 *2112:14 0.000510603 +*RES +1 *2791:pad_gpio_dm[1] *2112:13 27.4043 +2 *2112:13 *2112:14 379.919 +3 *2112:14 *2112:21 47.0379 +4 *2112:21 *2822:mprj_io_dm[37] 7.69988 +*END + +*D_NET *2113 0.0580955 +*CONN +*I *2822:mprj_io_dm[38] I *D chip_io +*I *2791:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[38] 0.000181779 +2 *2791:pad_gpio_dm[2] 0.000349322 +3 *2113:8 0.00642339 +4 *2113:7 0.00659093 +5 *2822:mprj_io_dm[38] *2822:mprj_io_holdover[12] 1.50849e-05 +6 *2822:mprj_io_dm[38] *2117:26 0 +7 *2113:7 *2185:7 0.000115656 +8 *2113:8 *2184:8 0 +9 *2113:8 *2185:8 0.0315406 +10 *2113:8 *2224:8 0.00010127 +11 *2113:8 *2336:8 0.00449696 +12 *2113:8 *2376:16 0.00478798 +13 *2113:8 *2452:8 0.00207529 +14 *1957:23 *2113:8 0 +15 *1957:24 *2113:8 0.00139004 +16 *1958:13 *2113:8 0 +17 *1995:25 *2113:8 0 +18 *2034:10 *2113:8 0 +19 *2112:13 *2113:7 2.72062e-05 +*RES +1 *2791:pad_gpio_dm[2] *2113:7 1.31946 +2 *2113:7 *2113:8 63.1462 +3 *2113:8 *2822:mprj_io_dm[38] 4.97902 +*END + +*D_NET *2114 0.100501 +*CONN +*I *2822:mprj_io_dm[39] I *D chip_io +*I *2792:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[39] 0.000516763 +2 *2792:pad_gpio_dm[0] 0.000598902 +3 *2114:14 0.00670761 +4 *2114:13 0.00678974 +5 *2822:mprj_io_dm[39] *2615:13 0 +6 *2114:13 *2116:13 0.00126187 +7 *2114:14 *2116:14 0.043209 +8 *2114:14 *2262:11 0.000456949 +9 *2114:14 *2300:32 0.000500906 +10 *2114:14 *2375:14 0 +11 *2114:14 *2414:14 0.0404201 +12 *2822:mprj_io_analog_pol[13] *2822:mprj_io_dm[39] 0 +13 *1957:24 *2114:13 0 +14 *2034:9 *2114:13 3.91372e-05 +*RES +1 *2792:pad_gpio_dm[0] *2114:13 29.9042 +2 *2114:13 *2114:14 702.778 +3 *2114:14 *2822:mprj_io_dm[39] 21.3439 +*END + +*D_NET *2115 0.0178731 +*CONN +*I *2822:mprj_io_dm[3] I *D chip_io +*I *2782:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[3] 0.000508553 +2 *2782:pad_gpio_dm[0] 0.000780416 +3 *2115:14 0.00136846 +4 *2115:13 0.00164033 +5 *2115:13 *2126:13 3.26002e-05 +6 *2115:13 *2137:13 0.000119662 +7 *2115:13 *2345:8 0.000130139 +8 *2115:14 *2126:14 0.00658511 +9 *2115:14 *2307:14 0.000123457 +10 *2822:mprj_io_analog_en[1] *2822:mprj_io_dm[3] 0 +11 *1965:14 *2115:14 0.00632107 +12 *2003:13 *2115:13 0 +13 *2041:13 *2115:13 0.000263266 +*RES +1 *2782:pad_gpio_dm[0] *2115:13 31.7811 +2 *2115:13 *2115:14 105.23 +3 *2115:14 *2822:mprj_io_dm[3] 22.4165 +*END + +*D_NET *2116 0.0825549 +*CONN +*I *2822:mprj_io_dm[40] I *D chip_io +*I *2792:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[40] 0.000578761 +2 *2792:pad_gpio_dm[1] 0.0005339 +3 *2116:14 0.0131511 +4 *2116:13 0.0131063 +5 *2822:mprj_io_dm[40] *2615:13 6.6461e-05 +6 *2116:13 *2117:7 2.83019e-05 +7 *2116:14 *2186:14 0.000499342 +8 *2116:14 *2375:14 0 +9 *1957:24 *2116:13 0 +10 *1995:26 *2116:14 0.0101199 +11 *2114:13 *2116:13 0.00126187 +12 *2114:14 *2116:14 0.043209 +*RES +1 *2792:pad_gpio_dm[1] *2116:13 27.0739 +2 *2116:13 *2116:14 690.735 +3 *2116:14 *2822:mprj_io_dm[40] 23.1199 +*END + +*D_NET *2117 0.0797433 +*CONN +*I *2822:mprj_io_dm[41] I *D chip_io +*I *2792:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[41] 0.000274175 +2 *2792:pad_gpio_dm[2] 0.00020641 +3 *2117:38 0.00313782 +4 *2117:37 0.00306451 +5 *2117:32 0.009079 +6 *2117:31 0.00900171 +7 *2117:26 0.00196784 +8 *2117:24 0.00231752 +9 *2117:21 0.00121399 +10 *2117:8 0.0023066 +11 *2117:7 0.00177228 +12 *2822:mprj_io_dm[41] *2186:32 0 +13 *2117:7 *2186:7 6.55821e-05 +14 *2117:8 *2186:8 0.00348979 +15 *2117:8 *2224:8 0.000129642 +16 *2117:8 *2261:11 0 +17 *2117:8 *2452:8 0.000900175 +18 *2117:21 *2822:mprj_io_slow_sel[12] 0 +19 *2117:21 *2186:13 0 +20 *2117:21 *2261:10 0.000182272 +21 *2117:21 *2614:11 5.04829e-06 +22 *2117:24 *2299:18 1.87125e-05 +23 *2117:24 *2300:26 0.00195329 +24 *2117:24 *2451:8 0.000322219 +25 *2117:24 *2614:11 0.00069262 +26 *2117:26 *2822:mprj_io_holdover[12] 0 +27 *2117:26 *2822:mprj_io_ib_mode_sel[12] 0 +28 *2117:26 *2822:mprj_io_oeb[12] 0 +29 *2117:26 *2822:mprj_io_out[12] 0 +30 *2117:26 *2822:mprj_io_vtrip_sel[12] 0 +31 *2117:26 *2186:20 0.00515684 +32 *2117:26 *2300:26 8.35615e-06 +33 *2117:31 *2186:25 0.000479213 +34 *2117:32 *2186:20 0 +35 *2117:32 *2186:26 0.0108046 +36 *2117:32 *2338:16 0.00694687 +37 *2117:38 *2822:mprj_io_slow_sel[13] 0 +38 *2117:38 *2186:32 0.00402966 +39 *2117:38 *2262:10 0 +40 *2117:38 *2615:13 0.000814965 +41 *2822:mprj_io_analog_sel[12] *2117:26 0 +42 *2822:mprj_io_dm[38] *2117:26 0 +43 *1957:24 *2117:8 0.00733039 +44 *1957:30 *2117:21 0.00122621 +45 *1957:30 *2117:24 0.00065321 +46 *1958:14 *2117:38 0 +47 *2112:21 *2117:24 0.000163403 +48 *2116:13 *2117:7 2.83019e-05 +*RES +1 *2792:pad_gpio_dm[2] *2117:7 3.9674 +2 *2117:7 *2117:8 119.971 +3 *2117:8 *2117:21 46.3445 +4 *2117:21 *2117:24 36.1392 +5 *2117:24 *2117:26 83.4291 +6 *2117:26 *2117:31 14.1602 +7 *2117:31 *2117:32 354.381 +8 *2117:32 *2117:37 14.1602 +9 *2117:37 *2117:38 112.704 +10 *2117:38 *2822:mprj_io_dm[41] 16.2509 +*END + +*D_NET *2118 0.0196489 +*CONN +*I *2822:mprj_io_dm[42] I *D chip_io +*I *2793:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[42] 0.000409573 +2 *2793:pad_gpio_dm[0] 0.000597025 +3 *2118:16 0.00253226 +4 *2118:15 0.00271971 +5 *2822:mprj_io_dm[42] *2616:11 0 +6 *2118:15 *2119:13 0.000218656 +7 *2118:15 *2120:15 0 +8 *2118:16 *2120:16 0.00321629 +9 *2118:16 *2187:14 0 +10 *2822:mprj_io_analog_pol[14] *2822:mprj_io_dm[42] 0 +11 *1959:13 *2118:15 7.22422e-05 +12 *1997:15 *2118:15 0 +13 *1997:16 *2118:16 0.00968941 +14 *2035:13 *2118:15 0.000193706 +15 *2035:14 *2118:16 0 +*RES +1 *2793:pad_gpio_dm[0] *2118:15 28.4634 +2 *2118:15 *2118:16 155.891 +3 *2118:16 *2822:mprj_io_dm[42] 18.3328 +*END + +*D_NET *2119 0.023003 +*CONN +*I *2822:mprj_io_dm[43] I *D chip_io +*I *2793:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[43] 0.000390063 +2 *2793:pad_gpio_dm[1] 0.000384372 +3 *2119:14 0.00157653 +4 *2119:13 0.00157083 +5 *2822:mprj_io_dm[43] *2616:11 6.68703e-05 +6 *2119:13 *2120:15 0.0010937 +7 *2119:13 *2187:13 4.15201e-05 +8 *2119:13 *2225:13 0 +9 *1959:14 *2119:14 0.00868887 +10 *1997:16 *2119:14 0.00869605 +11 *2035:13 *2119:13 0.000275528 +12 *2118:15 *2119:13 0.000218656 +*RES +1 *2793:pad_gpio_dm[1] *2119:13 28.7894 +2 *2119:13 *2119:14 139.696 +3 *2119:14 *2822:mprj_io_dm[43] 18.4693 +*END + +*D_NET *2120 0.0219781 +*CONN +*I *2822:mprj_io_dm[44] I *D chip_io +*I *2793:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[44] 0.000716383 +2 *2793:pad_gpio_dm[2] 0.000361591 +3 *2120:16 0.00331136 +4 *2120:15 0.00295657 +5 *2822:mprj_io_dm[44] *2339:20 0 +6 *2822:mprj_io_dm[44] *2377:18 0.000542165 +7 *2120:15 *2187:13 0.00114892 +8 *2120:15 *2225:13 0 +9 *2120:16 *2187:14 0.000325649 +10 *2120:16 *2301:16 0 +11 *2120:16 *2339:17 0.00117561 +12 *2120:16 *2339:20 0 +13 *2120:16 *2377:14 0.00591285 +14 *2120:16 *2377:18 0.00121704 +15 *2822:mprj_io_analog_sel[14] *2822:mprj_io_dm[44] 0 +16 *621:48 *2822:mprj_io_dm[44] 0 +17 *1997:16 *2120:16 0 +18 *2118:15 *2120:15 0 +19 *2118:16 *2120:16 0.00321629 +20 *2119:13 *2120:15 0.0010937 +*RES +1 *2793:pad_gpio_dm[2] *2120:15 28.4662 +2 *2120:15 *2120:16 169.264 +3 *2120:16 *2822:mprj_io_dm[44] 30.7809 +*END + +*D_NET *2121 0.0593176 +*CONN +*I *2822:mprj_io_dm[45] I *D chip_io +*I *2794:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[45] 0.000811781 +2 *2794:pad_gpio_dm[0] 0.000365008 +3 *2121:29 0.00970979 +4 *2121:26 0.00957229 +5 *2121:23 0.00178907 +6 *2121:20 0.00210741 +7 *2121:15 0.00261934 +8 *2121:13 0.00199174 +9 *2121:13 *2794:pad_gpio_in 0.000967899 +10 *2121:13 *2123:13 0.0013203 +11 *2121:13 *2226:18 5.00728e-05 +12 *2121:15 *2123:13 1.67988e-05 +13 *2121:15 *2226:18 0.00033295 +14 *2121:15 *2340:11 0.00786121 +15 *2121:20 *2226:24 0.00132531 +16 *2121:26 *2122:22 0 +17 *2121:26 *2618:25 0 +18 *2121:29 *2822:mprj_io_holdover[15] 0.00595881 +19 *2121:29 *2188:25 0.012233 +20 *1960:16 *2121:13 0.000284848 +*RES +1 *2794:pad_gpio_dm[0] *2121:13 28.0676 +2 *2121:13 *2121:15 82.8047 +3 *2121:15 *2121:20 44.4674 +4 *2121:20 *2121:23 34.0628 +5 *2121:23 *2121:26 20.7727 +6 *2121:26 *2121:29 48.0907 +7 *2121:29 *2822:mprj_io_dm[45] 8.10942 +*END + +*D_NET *2122 0.109901 +*CONN +*I *2822:mprj_io_dm[46] I *D chip_io +*I *2794:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[46] 0.000443151 +2 *2794:pad_gpio_dm[1] 7.61808e-05 +3 *2122:23 0.00285698 +4 *2122:22 0.00318813 +5 *2122:17 0.00265986 +6 *2122:16 0.00254724 +7 *2122:11 0.00187745 +8 *2122:10 0.00129195 +9 *2122:11 *2302:25 0 +10 *2122:11 *2618:20 0 +11 *2122:17 *2123:17 0.0078707 +12 *2122:23 *2264:10 0.000156978 +13 *2122:23 *2416:27 0.00153413 +14 *645:49 *2122:16 0 +15 *1960:22 *2122:16 0.000810613 +16 *1960:29 *2122:23 0.00464178 +17 *1998:23 *2122:23 0.0389726 +18 *2036:11 *2122:11 0.00418391 +19 *2036:23 *2122:23 0.0367894 +20 *2121:26 *2122:22 0 +*RES +1 *2794:pad_gpio_dm[1] *2122:10 8.38708 +2 *2122:10 *2122:11 51.1923 +3 *2122:11 *2122:16 29.9336 +4 *2122:16 *2122:17 83.3593 +5 *2122:17 *2122:22 28.5948 +6 *2122:22 *2122:23 50.9923 +7 *2122:23 *2822:mprj_io_dm[46] 15.5577 +*END + +*D_NET *2123 0.0599748 +*CONN +*I *2822:mprj_io_dm[47] I *D chip_io +*I *2794:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[47] 0.00107788 +2 *2794:pad_gpio_dm[2] 0.00037371 +3 *2123:32 0.00161847 +4 *2123:27 0.00656058 +5 *2123:25 0.00707989 +6 *2123:17 0.00510503 +7 *2123:16 0.00404513 +8 *2123:14 0.00134188 +9 *2123:13 0.00171559 +10 *2822:mprj_io_dm[47] *2822:mprj_io_holdover[15] 0.000422603 +11 *2822:mprj_io_dm[47] *2454:40 0.00117592 +12 *2123:13 *2188:13 0.00252466 +13 *2123:13 *2340:11 0.0013863 +14 *2123:14 *2188:16 0.00114261 +15 *2123:17 *2302:31 0.00502492 +16 *2123:17 *2454:17 0.00328568 +17 *2123:27 *2454:27 0.00584957 +18 *2123:32 *2822:mprj_io_ib_mode_sel[15] 0.000740664 +19 *2123:32 *2454:40 0.000274483 +20 *2794:serial_clock *2123:14 2.33103e-06 +21 *2794:serial_clock_out *2123:14 1.91391e-05 +22 *2036:17 *2123:17 0 +23 *2121:13 *2123:13 0.0013203 +24 *2121:15 *2123:13 1.67988e-05 +25 *2122:17 *2123:17 0.0078707 +*RES +1 *2794:pad_gpio_dm[2] *2123:13 41.9717 +2 *2123:13 *2123:14 45.8487 +3 *2123:14 *2123:16 4.5 +4 *2123:16 *2123:17 192.616 +5 *2123:17 *2123:25 37.9064 +6 *2123:25 *2123:27 194.557 +7 *2123:27 *2123:32 30.3488 +8 *2123:32 *2822:mprj_io_dm[47] 38.7116 +*END + +*D_NET *2124 0.0315605 +*CONN +*I *2822:mprj_io_dm[48] I *D chip_io +*I *2795:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[48] 0.00149377 +2 *2795:pad_gpio_dm[0] 0.000108733 +3 *2124:14 0.0028763 +4 *2124:13 0.00138253 +5 *2124:11 0.00330988 +6 *2124:10 0.00341861 +7 *2822:mprj_io_dm[48] *2822:mprj_io_dm[50] 2.95956e-05 +8 *2822:mprj_io_dm[48] *2822:mprj_io_holdover[16] 0.000224106 +9 *2822:mprj_io_dm[48] *2125:23 0.00041583 +10 *2822:mprj_io_dm[48] *2227:31 0 +11 *2822:mprj_io_dm[48] *2303:23 0.000173095 +12 *2822:mprj_io_dm[48] *2379:25 0.00264066 +13 *2124:11 *2341:11 0 +14 *2124:11 *2417:11 0 +15 *2822:mprj_io_analog_en[16] *2822:mprj_io_dm[48] 6.10203e-05 +16 *2822:mprj_io_analog_en[16] *2124:14 0 +17 *2822:mprj_io_analog_pol[16] *2822:mprj_io_dm[48] 0.00615143 +18 *2037:13 *2124:11 0.00442408 +19 *2037:15 *2124:11 0.00147771 +20 *2037:18 *2124:14 0.00337314 +*RES +1 *2795:pad_gpio_dm[0] *2124:10 8.46357 +2 *2124:10 *2124:11 128.282 +3 *2124:11 *2124:13 4.5 +4 *2124:13 *2124:14 58.0987 +5 *2124:14 *2822:mprj_io_dm[48] 17.2783 +*END + +*D_NET *2125 0.0326845 +*CONN +*I *2822:mprj_io_dm[49] I *D chip_io +*I *2795:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[49] 4.82071e-05 +2 *2795:pad_gpio_dm[1] 0.000116705 +3 *2125:23 0.00227836 +4 *2125:22 0.00266979 +5 *2125:17 0.00219389 +6 *2125:16 0.00274027 +7 *2125:13 0.00189742 +8 *2125:10 0.00102812 +9 *2125:16 *2795:user_gpio_oeb 0 +10 *2125:16 *2626:13 8.13812e-06 +11 *2125:22 *2822:mprj_io_holdover[16] 7.0814e-05 +12 *2125:22 *2822:mprj_io_slow_sel[16] 0.00026412 +13 *2125:23 *2303:23 0.000531263 +14 *2125:23 *2379:25 0.000601327 +15 *2822:mprj_io_dm[48] *2125:23 0.00041583 +16 *666:17 *2125:23 0 +17 *1961:11 *2125:13 0.00404392 +18 *1961:14 *2125:16 0.0025195 +19 *1961:17 *2125:17 0.00717162 +20 *2037:13 *2125:13 7.92757e-06 +21 *2037:21 *2125:23 0.00407729 +*RES +1 *2795:pad_gpio_dm[1] *2125:10 8.46357 +2 *2125:10 *2125:13 47.3733 +3 *2125:13 *2125:16 45.781 +4 *2125:16 *2125:17 76.1495 +5 *2125:17 *2125:22 22.8743 +6 *2125:22 *2125:23 83.3593 +7 *2125:23 *2822:mprj_io_dm[49] 5.52592 +*END + +*D_NET *2126 0.0194177 +*CONN +*I *2822:mprj_io_dm[4] I *D chip_io +*I *2782:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[4] 0.000561516 +2 *2782:pad_gpio_dm[1] 0.000781576 +3 *2126:14 0.00164513 +4 *2126:13 0.0018652 +5 *2126:13 *2137:13 0.000146868 +6 *2126:13 *2345:8 5.88867e-05 +7 *2126:14 *2307:14 0.000103851 +8 *660:16 *2126:14 0.00752277 +9 *1965:14 *2126:14 0.000114237 +10 *2115:13 *2126:13 3.26002e-05 +11 *2115:14 *2126:14 0.00658511 +*RES +1 *2782:pad_gpio_dm[1] *2126:13 29.8442 +2 *2126:13 *2126:14 120.179 +3 *2126:14 *2822:mprj_io_dm[4] 24.2413 +*END + +*D_NET *2127 0.0215269 +*CONN +*I *2822:mprj_io_dm[50] I *D chip_io +*I *2795:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[50] 0.00249781 +2 *2795:pad_gpio_dm[2] 0.001192 +3 *2127:26 0.00335082 +4 *2127:17 0.00236039 +5 *2127:16 0.00150738 +6 *2127:14 0.001192 +7 *2822:mprj_io_dm[50] *2822:mprj_io_oeb[16] 0.000163504 +8 *2822:mprj_io_dm[50] *2227:31 0.00600799 +9 *2822:mprj_io_dm[50] *2379:25 0 +10 *2127:14 *2379:11 0.000842694 +11 *2127:17 *2379:11 0.000921648 +12 *2127:26 *2189:19 6.34651e-06 +13 *2127:26 *2227:31 0.00145474 +14 *2127:26 *2455:16 0 +15 *2822:mprj_io_dm[48] *2822:mprj_io_dm[50] 2.95956e-05 +*RES +1 *2795:pad_gpio_dm[2] *2127:14 49.6257 +2 *2127:14 *2127:16 4.5 +3 *2127:16 *2127:17 51.7469 +4 *2127:17 *2127:26 39.4646 +5 *2127:26 *2822:mprj_io_dm[50] 16.6764 +*END + +*D_NET *2128 0.0614888 +*CONN +*I *2822:mprj_io_dm[51] I *D chip_io +*I *2796:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[51] 0.00544402 +2 *2796:pad_gpio_dm[0] 6.45019e-05 +3 *2128:22 0.00667172 +4 *2128:14 0.00308611 +5 *2128:13 0.0018584 +6 *2128:11 0.00253392 +7 *2128:10 0.00259842 +8 *2822:mprj_io_dm[51] *2822:mprj_io_dm[52] 0.00102747 +9 *2822:mprj_io_dm[51] *2418:23 0.00124966 +10 *2128:22 *2592:26 0.00025458 +11 *2822:mprj_io_analog_en[17] *2822:mprj_io_dm[51] 0 +12 *2822:mprj_io_analog_pol[17] *2822:mprj_io_dm[51] 0 +13 *2822:mprj_io_analog_sel[17] *2822:mprj_io_dm[51] 0.0211369 +14 *107:17 *2822:mprj_io_dm[51] 0.00462219 +15 *623:17 *2822:mprj_io_dm[51] 0.00246876 +16 *647:17 *2822:mprj_io_dm[51] 0.00108889 +17 *1962:16 *2128:14 0 +18 *2000:11 *2128:11 0.00466304 +19 *2000:16 *2128:14 0 +20 *2000:23 *2822:mprj_io_dm[51] 0.00272025 +*RES +1 *2796:pad_gpio_dm[0] *2128:10 8.38708 +2 *2128:10 *2128:11 109.98 +3 *2128:11 *2128:13 4.5 +4 *2128:13 *2128:14 50.0013 +5 *2128:14 *2128:22 48.6447 +6 *2128:22 *2822:mprj_io_dm[51] 45.6617 +*END + +*D_NET *2129 0.0671255 +*CONN +*I *2822:mprj_io_dm[52] I *D chip_io +*I *2796:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[52] 0.0028001 +2 *2796:pad_gpio_dm[1] 7.96089e-05 +3 *2129:20 0.00454391 +4 *2129:19 0.0026577 +5 *2129:16 0.00156866 +6 *2129:11 0.00223084 +7 *2129:10 0.00165568 +8 *2822:mprj_io_dm[52] *2266:8 0.000231712 +9 *2822:mprj_io_dm[52] *2418:23 0.000112833 +10 *2129:11 *2130:11 0.000325617 +11 *2129:11 *2266:14 0.021278 +12 *2129:11 *2304:11 0.0210698 +13 *2129:16 *2418:19 9.60216e-05 +14 *2129:20 *2418:20 0 +15 *2822:mprj_io_analog_sel[17] *2822:mprj_io_dm[52] 0.00719546 +16 *2822:mprj_io_dm[51] *2822:mprj_io_dm[52] 0.00102747 +17 *1962:23 *2822:mprj_io_dm[52] 0.000252038 +*RES +1 *2796:pad_gpio_dm[1] *2129:10 8.46357 +2 *2129:10 *2129:11 232.548 +3 *2129:11 *2129:16 27.4421 +4 *2129:16 *2129:19 29.0714 +5 *2129:19 *2129:20 48.5479 +6 *2129:20 *2822:mprj_io_dm[52] 29.6238 +*END + +*D_NET *2130 0.0673675 +*CONN +*I *2822:mprj_io_dm[53] I *D chip_io +*I *2796:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[53] 0.00132078 +2 *2796:pad_gpio_dm[2] 9.76718e-05 +3 *2130:14 0.00194202 +4 *2130:13 0.000621241 +5 *2130:11 0.00165724 +6 *2130:10 0.00175491 +7 *2822:mprj_io_dm[53] *2822:mprj_io_holdover[17] 0.00413263 +8 *2130:11 *2190:11 0.0257068 +9 *2130:11 *2266:14 0.0251864 +10 *2130:11 *2304:11 7.92757e-06 +11 *2130:14 *2190:14 0.00149404 +12 *2130:14 *2266:11 0.00312023 +13 *2129:11 *2130:11 0.000325617 +*RES +1 *2796:pad_gpio_dm[2] *2130:10 8.46357 +2 *2130:10 *2130:11 271.925 +3 *2130:11 *2130:13 4.5 +4 *2130:13 *2130:14 50.2089 +5 *2130:14 *2822:mprj_io_dm[53] 14.8408 +*END + +*D_NET *2131 0.039443 +*CONN +*I *2822:mprj_io_dm[54] I *D chip_io +*I *2787:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[54] 0.000401001 +2 *2787:pad_gpio_dm[0] 0.000110215 +3 *2131:17 0.0022017 +4 *2131:16 0.0018007 +5 *2131:14 0.00262476 +6 *2131:13 0.00262476 +7 *2131:11 0.000988816 +8 *2131:10 0.00109903 +9 *2131:14 *2132:14 0 +10 *2131:14 *2305:20 0 +11 *2131:17 *2822:mprj_io_slow_sel[18] 0.000912412 +12 *2131:17 *2267:8 0.00829448 +13 *2131:17 *2593:8 0.000220531 +14 *2822:mprj_io_analog_pol[18] *2822:mprj_io_dm[54] 0 +15 *1963:11 *2131:11 0.0124922 +16 *2001:11 *2131:11 0.00567235 +*RES +1 *2787:pad_gpio_dm[0] *2131:10 8.46357 +2 *2131:10 *2131:11 130.501 +3 *2131:11 *2131:13 4.5 +4 *2131:13 *2131:14 71.5944 +5 *2131:14 *2131:16 4.5 +6 *2131:16 *2131:17 86.687 +7 *2131:17 *2822:mprj_io_dm[54] 15.1744 +*END + +*D_NET *2132 0.0378397 +*CONN +*I *2822:mprj_io_dm[55] I *D chip_io +*I *2787:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[55] 0.00127784 +2 *2787:pad_gpio_dm[1] 5.96217e-05 +3 *2132:14 0.00372584 +4 *2132:13 0.00244799 +5 *2132:11 0.00255801 +6 *2132:10 0.00261764 +7 *2822:mprj_io_dm[55] *2822:mprj_io_inp_dis[18] 8.6868e-05 +8 *2822:mprj_io_dm[55] *2822:mprj_io_slow_sel[18] 0.0101604 +9 *2132:11 *2343:11 0.00166374 +10 *2132:11 *2381:11 0.00872157 +11 *2132:11 *2457:13 3.99086e-06 +12 *2822:mprj_io_analog_pol[18] *2822:mprj_io_dm[55] 0.00345878 +13 *2001:14 *2132:14 0 +14 *2039:11 *2132:11 0.00105741 +15 *2131:14 *2132:14 0 +*RES +1 *2787:pad_gpio_dm[1] *2132:10 8.38708 +2 *2132:10 *2132:11 133.274 +3 *2132:11 *2132:13 4.5 +4 *2132:13 *2132:14 67.6495 +5 *2132:14 *2822:mprj_io_dm[55] 28.7824 +*END + +*D_NET *2133 0.027961 +*CONN +*I *2822:mprj_io_dm[56] I *D chip_io +*I *2787:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[56] 0.000920033 +2 *2787:pad_gpio_dm[2] 0.000573181 +3 *2133:17 0.00492499 +4 *2133:16 0.00453539 +5 *2133:13 0.00110362 +6 *2822:mprj_io_dm[56] *2822:mprj_io_holdover[18] 0.00572056 +7 *2822:mprj_io_dm[56] *2822:mprj_io_inp_dis[18] 0 +8 *2822:mprj_io_dm[56] *2822:mprj_io_out[18] 0.00452716 +9 *2133:13 *2191:13 0.0017603 +10 *2133:16 *2191:16 0.00126963 +11 *2133:17 *2191:17 0 +12 *2133:17 *2419:19 0.0010796 +13 *2822:mprj_io_analog_sel[18] *2822:mprj_io_dm[56] 0 +14 *109:13 *2133:17 0 +15 *649:17 *2133:17 0 +16 *1963:17 *2822:mprj_io_dm[56] 0.00076256 +17 *2001:14 *2822:mprj_io_dm[56] 0 +18 *2039:14 *2822:mprj_io_dm[56] 0.000784009 +*RES +1 *2787:pad_gpio_dm[2] *2133:13 34.1308 +2 *2133:13 *2133:16 25.4336 +3 *2133:16 *2133:17 115.526 +4 *2133:17 *2822:mprj_io_dm[56] 37.5322 +*END + +*D_NET *2134 0.013852 +*CONN +*I *2822:mprj_io_dm[57] I *D chip_io +*I *2803:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[57] 0.00011723 +2 *2803:pad_gpio_dm[0] 0.00022989 +3 *2134:11 0.00120065 +4 *2134:10 0.00131331 +5 *2134:10 *2135:10 0 +6 *2134:10 *2192:12 3.84497e-05 +7 *2134:11 *2803:pad_gpio_in 0.00046344 +8 *2134:11 *2822:mprj_io_inp_dis[19] 0.000123675 +9 *2134:11 *2135:11 0.00741038 +10 *2134:11 *2192:13 7.67829e-05 +11 *2134:11 *2230:11 0.000115059 +12 *2134:11 *2420:17 0.000801041 +13 *1964:11 *2134:11 0.00147912 +14 *2002:11 *2134:11 0.000482966 +15 *2040:10 *2134:10 0 +*RES +1 *2803:pad_gpio_dm[0] *2134:10 10.9551 +2 *2134:10 *2134:11 88.9054 +3 *2134:11 *2822:mprj_io_dm[57] 6.64954 +*END + +*D_NET *2135 0.0173835 +*CONN +*I *2822:mprj_io_dm[58] I *D chip_io +*I *2803:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[58] 0.000109972 +2 *2803:pad_gpio_dm[1] 0.000253081 +3 *2135:11 0.000724472 +4 *2135:10 0.000867581 +5 *2135:10 *2192:12 3.84497e-05 +6 *2135:11 *2803:pad_gpio_in 0.00061298 +7 *2135:11 *2822:mprj_io_inp_dis[19] 0.000259836 +8 *2135:11 *2230:11 1.66869e-05 +9 *2002:11 *2135:11 0.0069984 +10 *2040:11 *2135:11 9.16621e-05 +11 *2134:10 *2135:10 0 +12 *2134:11 *2135:11 0.00741038 +*RES +1 *2803:pad_gpio_dm[1] *2135:10 11.3703 +2 *2135:10 *2135:11 80.5863 +3 *2135:11 *2822:mprj_io_dm[58] 6.64954 +*END + +*D_NET *2136 0.0142062 +*CONN +*I *2822:mprj_io_dm[59] I *D chip_io +*I *2803:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[59] 5.89269e-05 +2 *2803:pad_gpio_dm[2] 0.0009723 +3 *2136:17 0.00255818 +4 *2136:16 0.00277392 +5 *2136:11 0.00124696 +6 *2136:11 *2192:12 3.25789e-05 +7 *2136:16 *2192:12 9.21574e-05 +8 *2136:17 *2822:mprj_io_inp_dis[19] 0.000690927 +9 *2136:17 *2594:12 0.000838616 +10 *2822:mprj_io_analog_sel[19] *2136:17 0 +11 *616:17 *2136:11 0.00384779 +12 *1715:23 *2136:16 6.11345e-05 +13 *2040:11 *2136:17 0.00103266 +*RES +1 *2803:pad_gpio_dm[2] *2136:11 49.1184 +2 *2136:11 *2136:16 15.3998 +3 *2136:16 *2136:17 81.1409 +4 *2136:17 *2822:mprj_io_dm[59] 6.03888 +*END + +*D_NET *2137 0.0131266 +*CONN +*I *2822:mprj_io_dm[5] I *D chip_io +*I *2782:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[5] 0.000273539 +2 *2782:pad_gpio_dm[2] 0.000609837 +3 *2137:14 0.00108336 +4 *2137:13 0.00141966 +5 *2822:mprj_io_dm[5] *2822:mprj_io_holdover[1] 0 +6 *2137:13 *2193:13 2.72062e-05 +7 *2137:13 *2345:8 6.19102e-05 +8 *2137:14 *2822:mprj_io_holdover[1] 0 +9 *2137:14 *2822:mprj_io_out[1] 0 +10 *2137:14 *2193:14 0.000122025 +11 *2137:14 *2383:14 0.00452452 +12 *2041:14 *2822:mprj_io_dm[5] 0 +13 *2041:14 *2137:14 0.00473797 +14 *2115:13 *2137:13 0.000119662 +15 *2126:13 *2137:13 0.000146868 +*RES +1 *2782:pad_gpio_dm[2] *2137:13 27.5408 +2 *2137:13 *2137:14 80.3147 +3 *2137:14 *2822:mprj_io_dm[5] 16.2509 +*END + +*D_NET *2138 0.0224608 +*CONN +*I *2822:mprj_io_dm[60] I *D chip_io +*I *2810:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[60] 0.00101372 +2 *2810:pad_gpio_dm[0] 0.000367729 +3 *2138:13 0.00138145 +4 *2822:mprj_io_dm[60] *2822:mprj_io_dm[61] 0.0050664 +5 *2822:mprj_io_dm[60] *2822:mprj_io_dm[62] 0.000924723 +6 *2822:mprj_io_dm[60] *2822:mprj_io_holdover[20] 0 +7 *2822:mprj_io_dm[60] *2822:mprj_io_out[20] 0.00445996 +8 *2138:13 *2140:13 0.00245491 +9 *2138:13 *2194:13 0.000308997 +10 *2822:mprj_io_analog_en[20] *2822:mprj_io_dm[60] 0.000440786 +11 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[60] 0.00161724 +12 *1966:11 *2138:13 0.00207671 +13 *2004:13 *2138:13 0.000129801 +14 *2004:16 *2822:mprj_io_dm[60] 0.000652311 +15 *2004:17 *2822:mprj_io_dm[60] 0.00156603 +*RES +1 *2810:pad_gpio_dm[0] *2138:13 41.9717 +2 *2138:13 *2822:mprj_io_dm[60] 39.6858 +*END + +*D_NET *2139 0.0205348 +*CONN +*I *2822:mprj_io_dm[61] I *D chip_io +*I *2810:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[61] 0.00125031 +2 *2810:pad_gpio_dm[1] 0.000363779 +3 *2139:13 0.00161409 +4 *2822:mprj_io_dm[61] *2822:mprj_io_holdover[20] 0 +5 *2822:mprj_io_dm[61] *2308:23 0.000290034 +6 *2139:13 *2194:13 0.00282021 +7 *2139:13 *2384:11 0.00324731 +8 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[61] 0.00505985 +9 *2822:mprj_io_dm[60] *2822:mprj_io_dm[61] 0.0050664 +10 *1966:11 *2139:13 0.000185642 +11 *2004:16 *2822:mprj_io_dm[61] 0.000637148 +*RES +1 *2810:pad_gpio_dm[1] *2139:13 46.9632 +2 *2139:13 *2822:mprj_io_dm[61] 39.236 +*END + +*D_NET *2140 0.020636 +*CONN +*I *2822:mprj_io_dm[62] I *D chip_io +*I *2810:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[62] 0.00233245 +2 *2810:pad_gpio_dm[2] 0.000380806 +3 *2140:13 0.00271326 +4 *2822:mprj_io_dm[62] *2822:mprj_io_holdover[20] 0.000620506 +5 *2822:mprj_io_dm[62] *2822:mprj_io_ib_mode_sel[20] 0 +6 *2822:mprj_io_dm[62] *2822:mprj_io_out[20] 0.00740116 +7 *2822:mprj_io_dm[62] *2594:12 0.00122569 +8 *2140:13 *2194:13 0.000123118 +9 *2140:13 *2232:13 0.000410521 +10 *2822:mprj_io_analog_sel[20] *2822:mprj_io_dm[62] 2.30558e-05 +11 *2822:mprj_io_dm[60] *2822:mprj_io_dm[62] 0.000924723 +12 *2004:13 *2140:13 0.00202584 +13 *2138:13 *2140:13 0.00245491 +*RES +1 *2810:pad_gpio_dm[2] *2140:13 43.6356 +2 *2140:13 *2822:mprj_io_dm[62] 45.0881 +*END + +*D_NET *2141 0.0216295 +*CONN +*I *2822:mprj_io_dm[63] I *D chip_io +*I *2811:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[63] 0.000380283 +2 *2811:pad_gpio_dm[0] 0.000137133 +3 *2141:16 0.000679083 +4 *2141:11 0.000658448 +5 *2141:10 0.00049678 +6 *2822:mprj_io_dm[63] *2822:mprj_io_slow_sel[21] 0.00018518 +7 *2822:mprj_io_dm[63] *2233:17 0.00388656 +8 *2141:11 *2142:11 0.00460228 +9 *2141:11 *2233:11 0.00298598 +10 *2141:16 *2142:16 0.00149271 +11 *2141:16 *2347:14 0.00153611 +12 *1967:13 *2141:11 0.000710825 +13 *2043:17 *2822:mprj_io_dm[63] 0.00387815 +*RES +1 *2811:pad_gpio_dm[0] *2141:10 8.46357 +2 *2141:10 *2141:11 48.4193 +3 *2141:11 *2141:16 34.5014 +4 *2141:16 *2822:mprj_io_dm[63] 47.5853 +*END + +*D_NET *2142 0.0203724 +*CONN +*I *2822:mprj_io_dm[64] I *D chip_io +*I *2811:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[64] 0.000305331 +2 *2811:pad_gpio_dm[1] 0.00011904 +3 *2142:16 0.000601427 +4 *2142:11 0.000636339 +5 *2142:10 0.000459283 +6 *2822:mprj_io_dm[64] *2822:mprj_io_slow_sel[21] 0.00019281 +7 *2822:mprj_io_dm[64] *2143:23 0.00266734 +8 *2142:10 *2670:11 2.33103e-06 +9 *2142:11 *2233:11 9.65932e-05 +10 *2142:11 *2271:16 0.00486783 +11 *2142:16 *2143:22 3.809e-06 +12 *2142:16 *2271:15 3.8079e-05 +13 *2142:16 *2347:14 9.22013e-06 +14 *2822:mprj_io_analog_en[21] *2822:mprj_io_dm[64] 4.82966e-05 +15 *1967:13 *2142:11 7.92757e-06 +16 *1967:16 *2142:16 0.00139121 +17 *2005:17 *2822:mprj_io_dm[64] 6.11359e-06 +18 *2043:17 *2822:mprj_io_dm[64] 0.00282441 +19 *2141:11 *2142:11 0.00460228 +20 *2141:16 *2142:16 0.00149271 +*RES +1 *2811:pad_gpio_dm[1] *2142:10 8.46357 +2 *2142:10 *2142:11 51.1923 +3 *2142:11 *2142:16 34.0861 +4 *2142:16 *2822:mprj_io_dm[64] 35.9314 +*END + +*D_NET *2143 0.0191221 +*CONN +*I *2822:mprj_io_dm[65] I *D chip_io +*I *2811:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[65] 0.000103501 +2 *2811:pad_gpio_dm[2] 0.000425604 +3 *2143:23 0.000657941 +4 *2143:22 0.00168488 +5 *2143:16 0.00176633 +6 *2143:13 0.00106149 +7 *2143:13 *2195:11 0.0003256 +8 *2143:13 *2670:11 8.11463e-06 +9 *2143:22 *2271:15 0.000209895 +10 *2143:23 *2822:mprj_io_holdover[21] 0.000944219 +11 *2143:23 *2822:mprj_io_slow_sel[21] 0.000208728 +12 *2143:23 *2195:17 7.02172e-06 +13 *2143:23 *2233:17 2.01874e-05 +14 *2822:mprj_io_analog_en[21] *2143:23 0.00329817 +15 *2822:mprj_io_dm[64] *2143:23 0.00266734 +16 *627:59 *2143:22 0.000680923 +17 *1967:16 *2143:22 5.1493e-06 +18 *2005:13 *2143:13 0.00154787 +19 *2005:17 *2143:23 0.000197808 +20 *2043:13 *2143:13 0.00176451 +21 *2043:17 *2143:23 0.00153303 +22 *2142:16 *2143:22 3.809e-06 +*RES +1 *2811:pad_gpio_dm[2] *2143:13 39.1222 +2 *2143:13 *2143:16 22.1116 +3 *2143:16 *2143:22 43.3015 +4 *2143:22 *2143:23 64.5028 +5 *2143:23 *2822:mprj_io_dm[65] 6.93045 +*END + +*D_NET *2144 0.019202 +*CONN +*I *2822:mprj_io_dm[66] I *D chip_io +*I *2812:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[66] 0.00013254 +2 *2812:pad_gpio_dm[0] 0.000905607 +3 *2144:19 0.000721136 +4 *2144:16 0.000588596 +5 *2144:14 0.00096775 +6 *2144:13 0.00096775 +7 *2144:11 0.000905607 +8 *2144:11 *2812:pad_gpio_in 0.00197273 +9 *2144:11 *2145:13 0.000120974 +10 *2144:11 *2146:11 0.000351195 +11 *2144:14 *2146:14 0.000149406 +12 *2144:19 *2822:mprj_io_dm[67] 0.000974402 +13 *2144:19 *2822:mprj_io_inp_dis[22] 0.000377487 +14 *2144:19 *2146:17 6.66759e-05 +15 *2144:19 *2272:18 0.00048572 +16 *2822:mprj_io_analog_en[22] *2822:mprj_io_dm[66] 0 +17 *2822:mprj_io_analog_pol[22] *2822:mprj_io_dm[66] 0 +18 *2822:mprj_io_analog_pol[22] *2144:19 0 +19 *691:20 *2144:14 0.00140801 +20 *1968:19 *2144:11 0.00139576 +21 *1968:23 *2144:19 0.000348618 +22 *2006:19 *2144:11 9.16621e-05 +23 *2006:20 *2144:14 0.00159318 +24 *2006:23 *2144:19 0.00457626 +25 *2044:13 *2144:11 0.000100974 +*RES +1 *2812:pad_gpio_dm[0] *2144:11 49.1184 +2 *2144:11 *2144:13 4.5 +3 *2144:13 *2144:14 55.3995 +4 *2144:14 *2144:16 4.5 +5 *2144:16 *2144:19 48.998 +6 *2144:19 *2822:mprj_io_dm[66] 7.06479 +*END + +*D_NET *2145 0.0186198 +*CONN +*I *2822:mprj_io_dm[67] I *D chip_io +*I *2812:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[67] 0.000365191 +2 *2812:pad_gpio_dm[1] 0.000368494 +3 *2145:22 0.000648485 +4 *2145:19 0.00104347 +5 *2145:16 0.00168839 +6 *2145:13 0.00129671 +7 *2822:mprj_io_dm[67] *2822:mprj_io_inp_dis[22] 0.000246529 +8 *2822:mprj_io_dm[67] *2272:18 0.000489932 +9 *2145:13 *2812:pad_gpio_in 4.64885e-05 +10 *2145:16 *2272:21 0.000713307 +11 *2145:19 *2272:18 0.000165394 +12 *2145:19 *2310:11 0.000171907 +13 *2145:19 *2424:17 0.00244996 +14 *2145:22 *2822:mprj_io_inp_dis[22] 0.00025714 +15 *2145:22 *2822:mprj_io_out[22] 0.000721482 +16 *2145:22 *2272:18 0.00122468 +17 *1968:23 *2822:mprj_io_dm[67] 0.00266714 +18 *2006:19 *2145:13 0.00133965 +19 *2006:19 *2145:16 1.91391e-05 +20 *2044:13 *2145:13 0.00160098 +21 *2144:11 *2145:13 0.000120974 +22 *2144:19 *2822:mprj_io_dm[67] 0.000974402 +*RES +1 *2812:pad_gpio_dm[1] *2145:13 34.6854 +2 *2145:13 *2145:16 38.7217 +3 *2145:16 *2145:19 35.7266 +4 *2145:19 *2145:22 25.4336 +5 *2145:22 *2822:mprj_io_dm[67] 34.5485 +*END + +*D_NET *2146 0.0262801 +*CONN +*I *2822:mprj_io_dm[68] I *D chip_io +*I *2812:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[68] 0.000100185 +2 *2812:pad_gpio_dm[2] 0.000155557 +3 *2146:17 0.00204338 +4 *2146:16 0.00194319 +5 *2146:14 0.000643858 +6 *2146:13 0.000643858 +7 *2146:11 0.000510506 +8 *2146:10 0.000666063 +9 *2146:11 *2812:pad_gpio_in 2.20702e-05 +10 *2146:11 *2196:11 0.00451543 +11 *2146:11 *2234:17 0.00021242 +12 *2146:14 *2196:14 7.75847e-05 +13 *2146:17 *2822:mprj_io_inp_dis[22] 0.00116161 +14 *2146:17 *2822:mprj_io_out[22] 0.000216982 +15 *2812:mgmt_gpio_in *2146:11 0.000432613 +16 *2822:mprj_io_analog_pol[22] *2146:17 1.84293e-05 +17 *2822:mprj_io_analog_sel[22] *2146:17 0.000199184 +18 *652:19 *2146:17 0 +19 *691:20 *2146:14 0.00302733 +20 *1719:40 *2146:11 0.00144587 +21 *1968:19 *2146:11 0.000135445 +22 *1968:20 *2146:14 0.00344465 +23 *1968:23 *2146:17 0.00407963 +24 *2006:23 *2146:17 1.70293e-05 +25 *2144:11 *2146:11 0.000351195 +26 *2144:14 *2146:14 0.000149406 +27 *2144:19 *2146:17 6.66759e-05 +*RES +1 *2812:pad_gpio_dm[2] *2146:10 8.46357 +2 *2146:10 *2146:11 47.3101 +3 *2146:11 *2146:13 4.5 +4 *2146:13 *2146:14 58.7215 +5 *2146:14 *2146:16 4.5 +6 *2146:16 *2146:17 72.2673 +7 *2146:17 *2822:mprj_io_dm[68] 7.21135 +*END + +*D_NET *2147 0.0186957 +*CONN +*I *2822:mprj_io_dm[69] I *D chip_io +*I *2813:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[69] 0.000507328 +2 *2813:pad_gpio_dm[0] 0.0001655 +3 *2147:23 0.00114111 +4 *2147:11 0.00105382 +5 *2147:10 0.000585546 +6 *2822:mprj_io_dm[69] *2822:mprj_io_dm[70] 0.000111473 +7 *2822:mprj_io_dm[69] *2822:mprj_io_slow_sel[23] 0.000861684 +8 *2822:mprj_io_dm[69] *2235:17 0.000965932 +9 *2822:mprj_io_dm[69] *2597:16 0.00122931 +10 *2147:11 *2197:11 1.65872e-05 +11 *2147:11 *2235:11 0.00446007 +12 *2147:11 *2273:20 0.00475514 +13 *2147:23 *2822:mprj_io_slow_sel[23] 8.92437e-05 +14 *2147:23 *2273:19 0.00178514 +15 *2147:23 *2597:16 0.000602629 +16 *2822:mprj_io_analog_en[23] *2822:mprj_io_dm[69] 0.000361169 +17 *1969:11 *2147:11 3.99086e-06 +*RES +1 *2813:pad_gpio_dm[0] *2147:10 8.46357 +2 *2147:10 *2147:11 50.6377 +3 *2147:11 *2147:23 40.177 +4 *2147:23 *2822:mprj_io_dm[69] 38.4379 +*END + +*D_NET *2148 0.0164768 +*CONN +*I *2822:mprj_io_dm[6] I *D chip_io +*I *2797:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[6] 0.000514153 +2 *2797:pad_gpio_dm[0] 0.000724072 +3 *2148:14 0.00176663 +4 *2148:13 0.00197654 +5 *2148:13 *2159:7 3.84497e-05 +6 *2148:13 *2242:13 0 +7 *2148:14 *2170:14 0.00502384 +8 *2148:14 *2280:11 7.14054e-05 +9 *2148:14 *2318:14 0.00038079 +10 *2822:mprj_io_analog_en[2] *2822:mprj_io_dm[6] 0 +11 *2822:mprj_io_analog_pol[2] *2822:mprj_io_dm[6] 0 +12 *110:52 *2822:mprj_io_dm[6] 0 +13 *626:66 *2148:14 0 +14 *669:30 *2148:13 4.05974e-05 +15 *2014:14 *2148:14 0.00574954 +16 *2052:13 *2148:13 3.84497e-05 +17 *2052:14 *2148:14 0.000152316 +*RES +1 *2797:pad_gpio_dm[0] *2148:13 28.1039 +2 *2148:13 *2148:14 107.721 +3 *2148:14 *2822:mprj_io_dm[6] 20.9664 +*END + +*D_NET *2149 0.0188057 +*CONN +*I *2822:mprj_io_dm[70] I *D chip_io +*I *2813:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[70] 0.00117188 +2 *2813:pad_gpio_dm[1] 0.000404649 +3 *2149:13 0.00157653 +4 *2822:mprj_io_dm[70] *2822:mprj_io_dm[71] 0.00478448 +5 *2822:mprj_io_dm[70] *2822:mprj_io_inp_dis[23] 0.00318645 +6 *2822:mprj_io_dm[70] *2597:16 0.000158211 +7 *2149:13 *2150:11 0.000422194 +8 *2822:mprj_io_analog_pol[23] *2822:mprj_io_dm[70] 0.00138132 +9 *2822:mprj_io_analog_sel[23] *2822:mprj_io_dm[70] 0.000664392 +10 *2822:mprj_io_dm[69] *2822:mprj_io_dm[70] 0.000111473 +11 *1969:11 *2149:13 0.00229558 +12 *2007:13 *2149:13 0.00255691 +13 *2045:13 *2149:13 9.16621e-05 +*RES +1 *2813:pad_gpio_dm[1] *2149:13 46.8867 +2 *2149:13 *2822:mprj_io_dm[70] 37.4406 +*END + +*D_NET *2150 0.0213396 +*CONN +*I *2822:mprj_io_dm[71] I *D chip_io +*I *2813:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[71] 0.00290477 +2 *2813:pad_gpio_dm[2] 0.000425353 +3 *2150:11 0.00333012 +4 *2822:mprj_io_dm[71] *2822:mprj_io_holdover[23] 0.000497771 +5 *2822:mprj_io_dm[71] *2822:mprj_io_inp_dis[23] 0.00149862 +6 *2822:mprj_io_dm[71] *2235:17 0.000238969 +7 *2150:11 *2197:11 0.00366572 +8 *2822:mprj_io_analog_en[23] *2822:mprj_io_dm[71] 0.000439196 +9 *2822:mprj_io_analog_pol[23] *2822:mprj_io_dm[71] 0.000628643 +10 *2822:mprj_io_dm[70] *2822:mprj_io_dm[71] 0.00478448 +11 *1969:11 *2150:11 0.0025038 +12 *2149:13 *2150:11 0.000422194 +*RES +1 *2813:pad_gpio_dm[2] *2150:11 46.9 +2 *2150:11 *2822:mprj_io_dm[71] 46.487 +*END + +*D_NET *2151 0.0226681 +*CONN +*I *2822:mprj_io_dm[72] I *D chip_io +*I *2814:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[72] 0.000507424 +2 *2814:pad_gpio_dm[0] 0.000595962 +3 *2151:8 0.0037624 +4 *2151:7 0.00385094 +5 *2151:7 *2152:7 0.000255769 +6 *2151:8 *2426:14 0.00163891 +7 *2822:mprj_io_analog_en[24] *2822:mprj_io_dm[72] 0 +8 *2822:mprj_io_analog_pol[24] *2822:mprj_io_dm[72] 0 +9 *642:52 *2151:8 0.00173861 +10 *1970:8 *2151:8 0 +11 *2008:8 *2151:8 0.000578973 +12 *2046:7 *2151:7 0.000296461 +13 *2046:8 *2151:8 0.00944265 +*RES +1 *2814:pad_gpio_dm[0] *2151:7 5.42072 +2 *2151:7 *2151:8 173.954 +3 *2151:8 *2822:mprj_io_dm[72] 21.8375 +*END + +*D_NET *2152 0.0272644 +*CONN +*I *2822:mprj_io_dm[73] I *D chip_io +*I *2814:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[73] 0.000383975 +2 *2814:pad_gpio_dm[1] 0.000411553 +3 *2152:8 0.00258902 +4 *2152:7 0.0026166 +5 *2152:8 *2153:24 0.00035377 +6 *2152:8 *2312:11 0 +7 *2152:8 *2426:14 0 +8 *106:55 *2152:8 0.00179571 +9 *617:20 *2152:8 0.00799157 +10 *634:18 *2152:8 9.22013e-06 +11 *1970:8 *2152:8 0.0108573 +12 *2151:7 *2152:7 0.000255769 +*RES +1 *2814:pad_gpio_dm[1] *2152:7 4.88529 +2 *2152:7 *2152:8 181.429 +3 *2152:8 *2822:mprj_io_dm[73] 19.2254 +*END + +*D_NET *2153 0.0404142 +*CONN +*I *2822:mprj_io_dm[74] I *D chip_io +*I *2814:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[74] 0.000283945 +2 *2814:pad_gpio_dm[2] 1.65112e-05 +3 *2153:24 0.000776977 +4 *2153:23 0.000493032 +5 *2153:21 0.00283207 +6 *2153:20 0.0043522 +7 *2153:17 0.00382887 +8 *2153:8 0.00343769 +9 *2153:7 0.00114546 +10 *2822:mprj_io_dm[74] *2822:mprj_io_holdover[24] 0 +11 *2822:mprj_io_dm[74] *2312:11 0 +12 *2153:8 *2198:5 0.00296952 +13 *2153:17 *2198:8 0.00166983 +14 *2153:20 *2198:11 0 +15 *2153:21 *2198:14 0.0127148 +16 *2153:24 *2198:17 0.0028686 +17 *2153:24 *2312:11 0.000109332 +18 *2822:mprj_io_analog_sel[24] *2822:mprj_io_dm[74] 0 +19 *106:55 *2153:24 0.000472618 +20 *629:29 *2153:21 2.41483e-05 +21 *634:18 *2153:24 0.00206477 +22 *2152:8 *2153:24 0.00035377 +*RES +1 *2814:pad_gpio_dm[2] *2153:7 3.43197 +2 *2153:7 *2153:8 50.0013 +3 *2153:8 *2153:17 16.1791 +4 *2153:17 *2153:20 47.2343 +5 *2153:20 *2153:21 133.828 +6 *2153:21 *2153:23 4.5 +7 *2153:23 *2153:24 49.586 +8 *2153:24 *2822:mprj_io_dm[74] 16.1144 +*END + +*D_NET *2154 0.0151269 +*CONN +*I *2822:mprj_io_dm[75] I *D chip_io +*I *2815:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[75] 0.000375114 +2 *2815:pad_gpio_dm[0] 0.000556287 +3 *2154:14 0.000995216 +4 *2154:13 0.00117639 +5 *2822:mprj_io_dm[75] *2156:23 7.44489e-05 +6 *2154:13 *2155:7 6.72383e-05 +7 *2154:13 *2237:8 7.7278e-05 +8 *2154:13 *2275:11 0.00124358 +9 *2154:14 *2199:8 0.0043072 +10 *2154:14 *2427:8 0.0029315 +11 *2822:mprj_io_analog_en[25] *2154:13 0.000120046 +12 *2822:mprj_io_analog_pol[25] *2822:mprj_io_dm[75] 0 +13 *115:36 *2822:mprj_io_dm[75] 5.04829e-06 +14 *634:14 *2154:14 1.87125e-05 +15 *655:16 *2154:14 0.00133223 +16 *694:19 *2154:14 0.000142034 +17 *1722:30 *2154:13 1.88152e-05 +18 *2047:13 *2154:13 0.0016858 +*RES +1 *2815:pad_gpio_dm[0] *2154:13 39.9492 +2 *2154:13 *2154:14 69.5181 +3 *2154:14 *2822:mprj_io_dm[75] 18.33 +*END + +*D_NET *2155 0.0139028 +*CONN +*I *2822:mprj_io_dm[76] I *D chip_io +*I *2815:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[76] 0.000516711 +2 *2815:pad_gpio_dm[1] 0.000562199 +3 *2155:8 0.00135189 +4 *2155:7 0.00139737 +5 *2155:7 *2156:7 0.000157084 +6 *2155:7 *2199:7 0 +7 *2155:8 *2313:8 0.000658013 +8 *2155:8 *2465:19 0 +9 *2155:8 *2465:20 0.000564554 +10 *106:43 *2822:mprj_io_dm[76] 0 +11 *618:34 *2155:8 0.000628012 +12 *632:43 *2155:8 2.60879e-06 +13 *655:8 *2155:8 0.00435768 +14 *694:8 *2155:8 4.31485e-06 +15 *2047:14 *2155:8 0.00363508 +16 *2154:13 *2155:7 6.72383e-05 +*RES +1 *2815:pad_gpio_dm[1] *2155:7 5.03827 +2 *2155:7 *2155:8 80.9375 +3 *2155:8 *2822:mprj_io_dm[76] 20.3713 +*END + +*D_NET *2156 0.0143994 +*CONN +*I *2822:mprj_io_dm[77] I *D chip_io +*I *2815:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[77] 0.000114778 +2 *2815:pad_gpio_dm[2] 0.000229189 +3 *2156:23 0.00164667 +4 *2156:8 0.00271441 +5 *2156:7 0.00141171 +6 *2156:7 *2199:7 0.000157084 +7 *2156:8 *2822:mprj_io_slow_sel[25] 0 +8 *2156:8 *2237:8 0 +9 *2156:8 *2275:10 0 +10 *2156:8 *2275:11 0 +11 *2156:8 *2465:8 0.00119865 +12 *2156:23 *2822:mprj_io_holdover[25] 0 +13 *2156:23 *2822:mprj_io_inp_dis[25] 5.65975e-05 +14 *2156:23 *2351:29 0.000182281 +15 *2156:23 *2389:19 0 +16 *2822:mprj_io_analog_en[25] *2156:23 0.000565394 +17 *2822:mprj_io_analog_pol[25] *2156:23 6.55232e-05 +18 *2822:mprj_io_analog_sel[25] *2156:23 5.65975e-05 +19 *2822:mprj_io_dm[75] *2156:23 7.44489e-05 +20 *106:43 *2822:mprj_io_dm[77] 0 +21 *106:43 *2156:8 0.00457625 +22 *106:43 *2156:23 0.000747119 +23 *617:14 *2156:23 0.000144315 +24 *618:34 *2156:23 0 +25 *634:14 *2156:23 0.000144315 +26 *694:19 *2156:8 0.000150388 +27 *694:19 *2156:23 6.55666e-06 +28 *2155:7 *2156:7 0.000157084 +*RES +1 *2815:pad_gpio_dm[2] *2156:7 4.34986 +2 *2156:7 *2156:8 74.0859 +3 *2156:8 *2156:23 45.7488 +4 *2156:23 *2822:mprj_io_dm[77] 7.69988 +*END + +*D_NET *2157 0.0157145 +*CONN +*I *2822:mprj_io_dm[78] I *D chip_io +*I *2816:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[78] 0.000379002 +2 *2816:pad_gpio_dm[0] 0.000387316 +3 *2157:8 0.00114747 +4 *2157:7 0.00115578 +5 *2822:mprj_io_dm[78] *2238:33 0.000150501 +6 *2157:7 *2158:7 0.000221385 +7 *2157:7 *2160:7 0 +8 *2157:8 *2314:12 0.0056292 +9 *2822:mprj_io_analog_pol[26] *2822:mprj_io_dm[78] 0 +10 *617:14 *2822:mprj_io_dm[78] 0 +11 *618:34 *2157:8 0.000758778 +12 *632:20 *2157:8 0.0056292 +13 *1972:19 *2822:mprj_io_dm[78] 0 +14 *2048:7 *2157:7 0.000255845 +*RES +1 *2816:pad_gpio_dm[0] *2157:7 4.96178 +2 *2157:7 *2157:8 90.4883 +3 *2157:8 *2822:mprj_io_dm[78] 18.748 +*END + +*D_NET *2158 0.0117433 +*CONN +*I *2822:mprj_io_dm[79] I *D chip_io +*I *2816:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[79] 0.000380794 +2 *2816:pad_gpio_dm[1] 0.000314875 +3 *2158:8 0.00142921 +4 *2158:7 0.00136329 +5 *2822:mprj_io_dm[79] *2238:33 0 +6 *2158:7 *2160:7 0.000221385 +7 *116:21 *2822:mprj_io_dm[79] 5.04829e-06 +8 *632:20 *2158:8 0.00121298 +9 *634:14 *2158:8 0.00151271 +10 *656:13 *2158:8 0.00071916 +11 *1972:19 *2158:8 0.00186869 +12 *2010:16 *2158:8 0.0024938 +13 *2157:7 *2158:7 0.000221385 +*RES +1 *2816:pad_gpio_dm[1] *2158:7 4.73231 +2 *2158:7 *2158:8 80.9375 +3 *2158:8 *2822:mprj_io_dm[79] 17.9147 +*END + +*D_NET *2159 0.0173514 +*CONN +*I *2822:mprj_io_dm[7] I *D chip_io +*I *2797:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[7] 0.000283885 +2 *2797:pad_gpio_dm[1] 0.000253781 +3 *2159:14 0.000924186 +4 *2159:13 0.000805882 +5 *2159:8 0.00104164 +6 *2159:7 0.00112984 +7 *2159:7 *2170:13 6.79757e-05 +8 *2159:13 *2822:mprj_io_oeb[2] 0 +9 *110:52 *2159:8 0 +10 *110:52 *2159:14 0.00386532 +11 *626:56 *2159:8 0.00355242 +12 *626:65 *2159:13 0 +13 *626:71 *2822:mprj_io_dm[7] 5.20546e-06 +14 *626:72 *2822:mprj_io_dm[7] 0 +15 *626:72 *2159:14 0.000107729 +16 *650:56 *2159:14 0.00401861 +17 *669:19 *2822:mprj_io_dm[7] 5.04829e-06 +18 *1976:16 *2159:8 0.00121192 +19 *1976:19 *2159:13 3.94907e-05 +20 *2148:13 *2159:7 3.84497e-05 +*RES +1 *2797:pad_gpio_dm[1] *2159:7 4.12039 +2 *2159:7 *2159:8 57.2682 +3 *2159:8 *2159:13 13.051 +4 *2159:13 *2159:14 65.3656 +5 *2159:14 *2822:mprj_io_dm[7] 16.2509 +*END + +*D_NET *2160 0.0214577 +*CONN +*I *2822:mprj_io_dm[80] I *D chip_io +*I *2816:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[80] 0.000121473 +2 *2816:pad_gpio_dm[2] 0.000647983 +3 *2160:17 0.000766516 +4 *2160:8 0.00153246 +5 *2160:7 0.0015354 +6 *2160:7 *2200:7 0.000305625 +7 *2160:8 *2200:20 0.00181127 +8 *2160:8 *2390:20 0.000426657 +9 *2160:8 *2466:8 0.00345925 +10 *2822:mprj_io_analog_pol[26] *2160:17 5.04829e-06 +11 *2822:mprj_io_analog_sel[26] *2822:mprj_io_dm[80] 3.31882e-05 +12 *2822:mprj_io_analog_sel[26] *2160:17 0.000572468 +13 *116:22 *2160:17 0.00135645 +14 *617:14 *2822:mprj_io_dm[80] 0 +15 *632:20 *2160:17 0.00135285 +16 *633:29 *2160:8 0.00617883 +17 *642:52 *2160:8 0.000932536 +18 *656:13 *2160:17 0.000198288 +19 *2157:7 *2160:7 0 +20 *2158:7 *2160:7 0.000221385 +*RES +1 *2816:pad_gpio_dm[2] *2160:7 5.49721 +2 *2160:7 *2160:8 99.2086 +3 *2160:8 *2160:17 46.6938 +4 *2160:17 *2822:mprj_io_dm[80] 7.91362 +*END + +*D_NET *2161 0.0161708 +*CONN +*I *2822:mprj_io_dm[81] I *D chip_io +*I *2817:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[81] 0.000601436 +2 *2817:pad_gpio_dm[0] 0.000620535 +3 *2161:8 0.00140072 +4 *2161:7 0.00141982 +5 *2822:mprj_io_dm[81] *2353:16 0 +6 *2161:7 *2162:12 4.46752e-05 +7 *2161:7 *2201:7 0 +8 *2161:8 *2201:8 0.00434859 +9 *2161:8 *2201:12 1.3813e-05 +10 *2161:8 *2315:16 0.00120738 +11 *2161:8 *2391:22 9.71323e-06 +12 *2161:8 *2391:26 0.000583014 +13 *2822:mprj_io_analog_en[27] *2822:mprj_io_dm[81] 0 +14 *2822:mprj_io_analog_pol[27] *2822:mprj_io_dm[81] 0 +15 *618:34 *2161:8 0.000722303 +16 *657:8 *2161:8 0.00494693 +17 *1973:7 *2161:7 0 +18 *1973:8 *2161:8 1.1246e-05 +19 *2049:7 *2161:7 0.000240602 +*RES +1 *2817:pad_gpio_dm[0] *2161:7 5.26774 +2 *2161:7 *2161:8 90.0731 +3 *2161:8 *2822:mprj_io_dm[81] 21.7969 +*END + +*D_NET *2162 0.0119846 +*CONN +*I *2822:mprj_io_dm[82] I *D chip_io +*I *2817:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[82] 0.000117368 +2 *2817:pad_gpio_dm[1] 0.00108394 +3 *2162:19 0.000715597 +4 *2162:15 0.0010021 +5 *2162:12 0.00148781 +6 *2822:mprj_io_dm[82] *2353:16 0 +7 *2162:12 *2163:12 0.00208406 +8 *2162:12 *2277:11 0 +9 *2162:15 *2602:14 3.30464e-05 +10 *2817:mgmt_gpio_out *2162:12 0.000153257 +11 *106:37 *2162:19 0.000138868 +12 *117:21 *2162:19 0.000224424 +13 *634:14 *2162:19 0.00244485 +14 *657:19 *2162:19 5.04829e-06 +15 *1724:37 *2162:12 4.75721e-06 +16 *2011:8 *2162:19 0.00244485 +17 *2161:7 *2162:12 4.46752e-05 +*RES +1 *2817:pad_gpio_dm[1] *2162:12 48.4508 +2 *2162:12 *2162:15 13.5424 +3 *2162:15 *2162:19 49.2802 +4 *2162:19 *2822:mprj_io_dm[82] 7.69988 +*END + +*D_NET *2163 0.0182256 +*CONN +*I *2822:mprj_io_dm[83] I *D chip_io +*I *2817:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[83] 0.000537175 +2 *2817:pad_gpio_dm[2] 0.00093002 +3 *2163:24 0.000976467 +4 *2163:21 0.000897207 +5 *2163:15 0.00107203 +6 *2163:12 0.00154413 +7 *2822:mprj_io_dm[83] *2822:mprj_io_oeb[27] 0.000307827 +8 *2163:12 *2201:7 2.44479e-06 +9 *2163:12 *2277:11 0 +10 *2163:21 *2822:mprj_io_slow_sel[27] 5.04829e-06 +11 *2163:21 *2201:8 0.00227526 +12 *2163:21 *2353:8 0.00227526 +13 *2822:mprj_io_analog_sel[27] *2822:mprj_io_dm[83] 6.8952e-05 +14 *106:37 *2163:24 0.000218373 +15 *117:21 *2163:24 0.00014097 +16 *117:22 *2163:24 0.000459831 +17 *618:34 *2163:21 0.000349924 +18 *2011:8 *2163:24 0.00156278 +19 *2049:8 *2163:24 0.0025178 +20 *2162:12 *2163:12 0.00208406 +*RES +1 *2817:pad_gpio_dm[2] *2163:12 43.8831 +2 *2163:12 *2163:15 17.4247 +3 *2163:15 *2163:21 48.1004 +4 *2163:21 *2163:24 45.3657 +5 *2163:24 *2822:mprj_io_dm[83] 21.2068 +*END + +*D_NET *2164 0.0155337 +*CONN +*I *2822:mprj_io_dm[84] I *D chip_io +*I *2818:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[84] 0.000426138 +2 *2818:pad_gpio_dm[0] 0.000475335 +3 *2164:8 0.00121708 +4 *2164:7 0.00126628 +5 *2822:mprj_io_dm[84] *2240:20 0 +6 *2164:7 *2165:7 0.000259424 +7 *2164:8 *2354:13 0.000203102 +8 *2822:mprj_io_analog_pol[28] *2822:mprj_io_dm[84] 0 +9 *618:34 *2164:8 0.000783986 +10 *634:74 *2164:8 0.00566301 +11 *658:35 *2822:mprj_io_dm[84] 5.04829e-06 +12 *2012:13 *2164:7 0 +13 *2012:14 *2164:8 0.00523434 +*RES +1 *2818:pad_gpio_dm[0] *2164:7 4.96178 +2 *2164:7 *2164:8 90.9036 +3 *2164:8 *2822:mprj_io_dm[84] 18.5465 +*END + +*D_NET *2165 0.0137436 +*CONN +*I *2822:mprj_io_dm[85] I *D chip_io +*I *2818:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[85] 0.00069941 +2 *2818:pad_gpio_dm[1] 0.000680387 +3 *2165:20 0.0010399 +4 *2165:8 0.00154928 +5 *2165:7 0.00188918 +6 *2822:mprj_io_dm[85] *2240:20 0 +7 *2822:mprj_io_dm[85] *2468:23 5.04829e-06 +8 *2165:7 *2166:7 0.000310758 +9 *2165:8 *2202:8 0.00349112 +10 *2165:20 *2166:8 0.000132291 +11 *2165:20 *2166:18 2.68153e-05 +12 *2165:20 *2166:22 0.000332717 +13 *2165:20 *2202:8 1.3813e-05 +14 *2165:20 *2202:23 0.000155125 +15 *2165:20 *2202:26 0.00130436 +16 *2165:20 *2468:23 0.000137072 +17 *2165:20 *2603:20 0.000996199 +18 *102:49 *2165:8 0.000555378 +19 *642:52 *2165:20 0.000150051 +20 *658:30 *2165:20 1.5254e-05 +21 *2164:7 *2165:7 0.000259424 +*RES +1 *2818:pad_gpio_dm[1] *2165:7 5.5737 +2 *2165:7 *2165:8 55.8148 +3 *2165:8 *2165:20 40.9755 +4 *2165:20 *2822:mprj_io_dm[85] 19.1501 +*END + +*D_NET *2166 0.0191377 +*CONN +*I *2822:mprj_io_dm[86] I *D chip_io +*I *2818:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[86] 0.000661643 +2 *2818:pad_gpio_dm[2] 0.000552173 +3 *2166:22 0.00154255 +4 *2166:18 0.00113771 +5 *2166:8 0.000930492 +6 *2166:7 0.00122586 +7 *2822:mprj_io_dm[86] *2822:mprj_io_ib_mode_sel[28] 1.90694e-05 +8 *2166:7 *2202:7 0.000310758 +9 *2166:8 *2202:8 0.0036787 +10 *2166:8 *2202:23 1.5254e-05 +11 *2166:8 *2354:14 0.000612147 +12 *2166:18 *2202:23 5.67421e-05 +13 *2166:18 *2468:23 0.00101349 +14 *2166:18 *2603:20 0.000949098 +15 *2166:22 *2202:26 1.87125e-05 +16 *2166:22 *2468:23 0.00018059 +17 *2166:22 *2468:24 0.00232164 +18 *2822:mprj_io_analog_sel[28] *2822:mprj_io_dm[86] 0 +19 *102:49 *2166:18 0.000154008 +20 *102:49 *2166:22 0.000372229 +21 *642:52 *2166:8 0.000563374 +22 *658:30 *2166:8 0.00174204 +23 *679:10 *2166:8 0.000276864 +24 *2165:7 *2166:7 0.000310758 +25 *2165:20 *2166:8 0.000132291 +26 *2165:20 *2166:18 2.68153e-05 +27 *2165:20 *2166:22 0.000332717 +*RES +1 *2818:pad_gpio_dm[2] *2166:7 5.42072 +2 *2166:7 *2166:8 61.8359 +3 *2166:8 *2166:18 28.3023 +4 *2166:18 *2166:22 47.6496 +5 *2166:22 *2822:mprj_io_dm[86] 19.5154 +*END + +*D_NET *2167 0.0156198 +*CONN +*I *2822:mprj_io_dm[87] I *D chip_io +*I *2804:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[87] 0.000420491 +2 *2804:pad_gpio_dm[0] 0.000429183 +3 *2167:10 0.00118253 +4 *2167:9 0.00119122 +5 *2167:9 *2168:9 0.00021846 +6 *2167:9 *2169:13 0 +7 *2167:10 *2317:10 0.0055771 +8 *2822:mprj_io_analog_en[29] *2822:mprj_io_dm[87] 0 +9 *2822:mprj_io_analog_pol[29] *2822:mprj_io_dm[87] 0 +10 *617:70 *2167:10 0.0055771 +11 *618:34 *2167:10 0.000751575 +12 *641:12 *2822:mprj_io_dm[87] 0 +13 *2051:9 *2167:9 0.000272112 +*RES +1 *2804:pad_gpio_dm[0] *2167:9 5.18127 +2 *2167:9 *2167:10 89.6578 +3 *2167:10 *2822:mprj_io_dm[87] 18.748 +*END + +*D_NET *2168 0.0136816 +*CONN +*I *2822:mprj_io_dm[88] I *D chip_io +*I *2804:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[88] 0.000320114 +2 *2804:pad_gpio_dm[1] 0.000299111 +3 *2168:10 0.0010307 +4 *2168:9 0.00100969 +5 *2168:9 *2169:13 0.00021846 +6 *2168:10 *2203:34 0.0028222 +7 *2168:10 *2393:10 1.87269e-05 +8 *2168:10 *2469:10 0.00210041 +9 *106:37 *2168:10 0.000681211 +10 *641:12 *2168:10 0.0049625 +11 *1975:18 *2822:mprj_io_dm[88] 0 +12 *2167:9 *2168:9 0.00021846 +*RES +1 *2804:pad_gpio_dm[1] *2168:9 4.79882 +2 *2168:9 *2168:10 80.107 +3 *2168:10 *2822:mprj_io_dm[88] 16.8055 +*END + +*D_NET *2169 0.0196935 +*CONN +*I *2822:mprj_io_dm[89] I *D chip_io +*I *2804:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[89] 0.000583669 +2 *2804:pad_gpio_dm[2] 0.000984314 +3 *2169:16 0.00146335 +4 *2169:15 0.00087968 +5 *2169:13 0.000984314 +6 *2822:mprj_io_dm[89] *2822:mprj_io_holdover[29] 9.4338e-05 +7 *2169:13 *2317:10 0.00224617 +8 *2169:13 *2355:10 0.00224259 +9 *2169:13 *2469:15 0.000111708 +10 *2169:16 *2431:10 0.00221237 +11 *2169:16 *2469:16 0.00147037 +12 *2822:mprj_io_analog_sel[29] *2822:mprj_io_dm[89] 0 +13 *101:19 *2169:13 7.98171e-06 +14 *618:34 *2169:13 0.000267961 +15 *642:52 *2169:16 0.000813097 +16 *680:8 *2169:16 6.68049e-05 +17 *2051:10 *2169:16 0.0050463 +18 *2167:9 *2169:13 0 +19 *2168:9 *2169:13 0.00021846 +*RES +1 *2804:pad_gpio_dm[2] *2169:13 48.3118 +2 *2169:13 *2169:15 4.5 +3 *2169:15 *2169:16 84.0519 +4 *2169:16 *2822:mprj_io_dm[89] 23.4607 +*END + +*D_NET *2170 0.0149207 +*CONN +*I *2822:mprj_io_dm[8] I *D chip_io +*I *2797:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[8] 0.000519561 +2 *2797:pad_gpio_dm[2] 0.000461129 +3 *2170:14 0.00126858 +4 *2170:13 0.00121015 +5 *2822:mprj_io_dm[8] *2822:mprj_io_holdover[2] 3.35033e-05 +6 *2170:13 *2204:13 0.00109691 +7 *2170:14 *2318:14 0.000107108 +8 *2170:14 *2470:14 0.000296254 +9 *110:52 *2822:mprj_io_dm[8] 0 +10 *669:30 *2170:13 0.000124349 +11 *2052:14 *2170:14 0.0047113 +12 *2148:14 *2170:14 0.00502384 +13 *2159:7 *2170:13 6.79757e-05 +*RES +1 *2797:pad_gpio_dm[2] *2170:13 26.593 +2 *2170:13 *2170:14 85.2977 +3 *2170:14 *2822:mprj_io_dm[8] 21.2423 +*END + +*D_NET *2171 0.0152372 +*CONN +*I *2822:mprj_io_dm[90] I *D chip_io +*I *2805:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[90] 0.000390918 +2 *2805:pad_gpio_dm[0] 0.00039217 +3 *2171:8 0.00117175 +4 *2171:7 0.001173 +5 *2822:mprj_io_dm[90] *2357:20 0 +6 *2171:7 *2173:13 0 +7 *2171:8 *2243:8 0.00348199 +8 *2171:8 *2319:16 0.00207198 +9 *2171:8 *2357:19 0.000106488 +10 *106:37 *2171:8 0.000599928 +11 *618:8 *2171:8 1.75318e-05 +12 *681:8 *2171:8 0.00559271 +13 *1977:17 *2822:mprj_io_dm[90] 0 +14 *2053:7 *2171:7 0.000238736 +*RES +1 *2805:pad_gpio_dm[0] *2171:7 4.8088 +2 *2171:7 *2171:8 90.0731 +3 *2171:8 *2822:mprj_io_dm[90] 18.4693 +*END + +*D_NET *2172 0.0103111 +*CONN +*I *2822:mprj_io_dm[91] I *D chip_io +*I *2805:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[91] 0.000254771 +2 *2805:pad_gpio_dm[1] 0.001291 +3 *2172:16 0.00105426 +4 *2172:15 0.00173315 +5 *2172:12 0.00222467 +6 *2822:mprj_io_dm[91] *2357:20 0 +7 *2172:16 *2822:mprj_io_slow_sel[30] 0.000592128 +8 *2172:16 *2281:10 0 +9 *2172:16 *2357:20 0.000666233 +10 *2172:16 *2433:8 9.92046e-06 +11 *2172:16 *2605:11 0 +12 *102:26 *2172:16 1.2366e-05 +13 *106:37 *2172:16 0.000361035 +14 *642:8 *2172:16 0.000541641 +15 *1977:8 *2172:16 0.00156989 +*RES +1 *2805:pad_gpio_dm[1] *2172:12 41.8068 +2 *2172:12 *2172:15 29.626 +3 *2172:15 *2172:16 46.264 +4 *2172:16 *2822:mprj_io_dm[91] 15.6963 +*END + +*D_NET *2173 0.0189155 +*CONN +*I *2822:mprj_io_dm[92] I *D chip_io +*I *2805:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[92] 0.000477699 +2 *2805:pad_gpio_dm[2] 0.000570573 +3 *2173:14 0.00156702 +4 *2173:13 0.0016599 +5 *2822:mprj_io_dm[92] *2822:mprj_io_holdover[30] 0 +6 *2822:mprj_io_dm[92] *2357:20 0 +7 *2173:13 *2205:7 0.000238736 +8 *2173:13 *2243:8 0.000275937 +9 *2173:14 *2243:14 1.87269e-05 +10 *2173:14 *2395:8 0.00358909 +11 *2173:14 *2471:13 0.000308333 +12 *2822:mprj_io_analog_sel[30] *2822:mprj_io_dm[92] 0 +13 *618:8 *2173:14 0.000660824 +14 *642:14 *2173:14 0.00366078 +15 *681:8 *2173:13 0.00027952 +16 *2015:8 *2173:14 0.00560835 +17 *2171:7 *2173:13 0 +*RES +1 *2805:pad_gpio_dm[2] *2173:13 20.7271 +2 *2173:13 *2173:14 117.272 +3 *2173:14 *2822:mprj_io_dm[92] 20.6877 +*END + +*D_NET *2174 0.0140254 +*CONN +*I *2822:mprj_io_dm[93] I *D chip_io +*I *2806:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[93] 0.000598323 +2 *2806:pad_gpio_dm[0] 0.000800228 +3 *2174:16 0.00112202 +4 *2174:15 0.00117802 +5 *2174:12 0.00145456 +6 *2822:mprj_io_dm[93] *2358:12 0 +7 *2174:12 *2175:7 1.66948e-05 +8 *2174:12 *2282:11 0.00104981 +9 *2174:16 *2320:8 0.00342877 +10 *2806:mgmt_gpio_out *2174:12 0.000470189 +11 *2822:mprj_io_analog_pol[31] *2822:mprj_io_dm[93] 0 +12 *643:14 *2174:16 0.00225543 +13 *682:8 *2174:16 0.000545415 +14 *1729:37 *2174:12 0.000171753 +15 *1978:15 *2174:12 0.000119662 +16 *2016:15 *2174:12 0.000119662 +17 *2054:15 *2174:12 0.000128928 +18 *2054:16 *2174:16 0.000565939 +*RES +1 *2806:pad_gpio_dm[0] *2174:12 43.4312 +2 *2174:12 *2174:15 18.5339 +3 *2174:15 *2174:16 54.9843 +4 *2174:16 *2822:mprj_io_dm[93] 22.215 +*END + +*D_NET *2175 0.0134503 +*CONN +*I *2822:mprj_io_dm[94] I *D chip_io +*I *2806:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[94] 0.000328427 +2 *2806:pad_gpio_dm[1] 0.000341621 +3 *2175:8 0.00106601 +4 *2175:7 0.0010792 +5 *2175:7 *2176:7 0.000201512 +6 *2175:8 *2206:8 0.00503523 +7 *2175:8 *2434:8 0.000375899 +8 *106:37 *2175:8 0.000758727 +9 *1978:16 *2822:mprj_io_dm[94] 0 +10 *1978:16 *2175:8 0.004247 +11 *2174:12 *2175:7 1.66948e-05 +*RES +1 *2806:pad_gpio_dm[1] *2175:7 4.57933 +2 *2175:7 *2175:8 80.9375 +3 *2175:8 *2822:mprj_io_dm[94] 17.3601 +*END + +*D_NET *2176 0.0191632 +*CONN +*I *2822:mprj_io_dm[95] I *D chip_io +*I *2806:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[95] 0.000402428 +2 *2806:pad_gpio_dm[2] 0.0003564 +3 *2176:14 0.000911359 +4 *2176:8 0.0012197 +5 *2176:7 0.00106717 +6 *2822:mprj_io_dm[95] *2822:mprj_io_holdover[31] 0 +7 *2822:mprj_io_dm[95] *2720:14 0 +8 *2176:7 *2206:7 0.000216767 +9 *2176:8 *2358:8 0.00523415 +10 *2176:8 *2358:12 4.46284e-06 +11 *2176:8 *2396:8 0.00524718 +12 *2176:14 *2206:13 1.51284e-05 +13 *2176:14 *2244:8 0.00106884 +14 *2176:14 *2396:12 0.00222169 +15 *2822:mprj_io_analog_sel[31] *2822:mprj_io_dm[95] 0 +16 *106:37 *2176:8 0.000695486 +17 *106:37 *2176:14 0.000300942 +18 *2175:7 *2176:7 0.000201512 +*RES +1 *2806:pad_gpio_dm[2] *2176:7 4.8088 +2 *2176:7 *2176:8 84.2596 +3 *2176:8 *2176:14 46.2812 +4 *2176:14 *2822:mprj_io_dm[95] 18.4693 +*END + +*D_NET *2177 0.0142729 +*CONN +*I *2822:mprj_io_dm[96] I *D chip_io +*I *2807:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[96] 8.59507e-05 +2 *2807:pad_gpio_dm[0] 0.00110312 +3 *2177:21 0.000645972 +4 *2177:16 0.000744333 +5 *2177:11 0.00128743 +6 *2822:mprj_io_dm[96] *2473:14 0 +7 *2177:11 *2822:mprj_io_dm[97] 5.76949e-05 +8 *2177:11 *2822:mprj_io_inp_dis[32] 0.000442086 +9 *2177:11 *2283:11 0.00214384 +10 *2177:21 *2473:14 0.00236357 +11 *2807:mgmt_gpio_out *2177:11 0.000469124 +12 *2822:mprj_io_analog_en[32] *2177:21 0 +13 *2822:mprj_io_analog_pol[32] *2177:21 0 +14 *106:31 *2177:16 0.00105223 +15 *619:20 *2177:16 0.000162347 +16 *619:20 *2177:21 0.000361797 +17 *1730:35 *2177:11 1.66771e-05 +18 *1979:8 *2177:16 1.69327e-05 +19 *1979:8 *2177:21 0.00214069 +20 *2017:8 *2177:16 0.001109 +21 *2017:8 *2177:21 1.23804e-05 +22 *2055:7 *2177:11 5.76949e-05 +*RES +1 *2807:pad_gpio_dm[0] *2177:11 49.9065 +2 *2177:11 *2177:16 23.052 +3 *2177:16 *2177:21 47.6874 +4 *2177:21 *2822:mprj_io_dm[96] 6.86938 +*END + +*D_NET *2178 0.0106131 +*CONN +*I *2822:mprj_io_dm[97] I *D chip_io +*I *2807:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[97] 0.00197134 +2 *2807:pad_gpio_dm[1] 0.00197134 +3 *2822:mprj_io_dm[97] *2822:mprj_io_inp_dis[32] 0.00559312 +4 *2822:mprj_io_dm[97] *2179:7 6.85536e-05 +5 *2822:mprj_io_dm[97] *2473:8 0.000411609 +6 *619:20 *2822:mprj_io_dm[97] 0.000539459 +7 *1979:8 *2822:mprj_io_dm[97] 0 +8 *2177:11 *2822:mprj_io_dm[97] 5.76949e-05 +*RES +1 *2807:pad_gpio_dm[1] *2822:mprj_io_dm[97] 18.9385 +*END + +*D_NET *2179 0.0165361 +*CONN +*I *2822:mprj_io_dm[98] I *D chip_io +*I *2807:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[98] 0.000527248 +2 *2807:pad_gpio_dm[2] 0.000550507 +3 *2179:14 0.0011111 +4 *2179:13 0.000619096 +5 *2179:8 0.000910772 +6 *2179:7 0.00142603 +7 *2822:mprj_io_dm[98] *2822:mprj_io_holdover[32] 0 +8 *2822:mprj_io_dm[98] *2473:14 0 +9 *2179:7 *2207:7 0.000284267 +10 *2179:7 *2245:7 0 +11 *2179:8 *2207:8 0.000654547 +12 *2179:8 *2359:8 0.00451993 +13 *2179:13 *2397:17 0 +14 *2179:14 *2245:8 0.00141179 +15 *2179:14 *2359:8 0.000189464 +16 *2179:14 *2359:14 0.0029156 +17 *2822:mprj_io_dm[97] *2179:7 6.85536e-05 +18 *104:20 *2179:8 0.00125836 +19 *104:20 *2179:14 8.88511e-05 +20 *2055:7 *2179:7 0 +*RES +1 *2807:pad_gpio_dm[2] *2179:7 5.34423 +2 *2179:7 *2179:8 72.2172 +3 *2179:8 *2179:13 9.98864 +4 *2179:13 *2179:14 49.586 +5 *2179:14 *2822:mprj_io_dm[98] 21.2951 +*END + +*D_NET *2180 0.0147092 +*CONN +*I *2822:mprj_io_dm[99] I *D chip_io +*I *2808:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[99] 0.00041201 +2 *2808:pad_gpio_dm[0] 0.000546568 +3 *2180:8 0.00128382 +4 *2180:7 0.00141838 +5 *2822:mprj_io_dm[99] *2398:16 0 +6 *2180:8 *2246:8 0.00560012 +7 *2180:8 *2322:14 0.0033063 +8 *2180:8 *2398:14 0.000680844 +9 *2180:8 *2398:16 1.72954e-05 +10 *2822:mprj_io_analog_en[33] *2822:mprj_io_dm[99] 0 +11 *2822:mprj_io_analog_pol[33] *2822:mprj_io_dm[99] 0 +12 *105:16 *2180:8 0.00084977 +13 *2018:16 *2180:8 0.000396366 +14 *2056:13 *2180:7 7.42816e-06 +15 *2069:7 *2180:7 0.000190282 +*RES +1 *2808:pad_gpio_dm[0] *2180:7 4.88529 +2 *2180:7 *2180:8 89.6578 +3 *2180:8 *2822:mprj_io_dm[99] 19.0239 +*END + +*D_NET *2181 0.0179485 +*CONN +*I *2822:mprj_io_dm[9] I *D chip_io +*I *2798:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2822:mprj_io_dm[9] 0.0005774 +2 *2798:pad_gpio_dm[0] 0.00069235 +3 *2181:14 0.00147798 +4 *2181:13 0.00159293 +5 *2181:13 *2213:15 0.000642796 +6 *2181:14 *2327:16 0.000537421 +7 *2822:mprj_io_analog_en[3] *2822:mprj_io_dm[9] 0 +8 *2822:mprj_io_analog_pol[3] *2822:mprj_io_dm[9] 0 +9 *111:30 *2181:13 0.000145708 +10 *111:36 *2822:mprj_io_dm[9] 0 +11 *1985:16 *2181:14 0.00552889 +12 *2023:13 *2181:13 0 +13 *2061:15 *2181:13 1.67063e-05 +14 *2079:13 *2181:13 7.24012e-05 +15 *2079:14 *2181:14 0.00664737 +16 *2084:13 *2181:13 1.65872e-05 +*RES +1 *2798:pad_gpio_dm[0] *2181:13 27.2377 +2 *2181:13 *2181:14 106.06 +3 *2181:14 *2822:mprj_io_dm[9] 23.0843 +*END + +*D_NET *2182 0.0113286 +*CONN +*I *2822:mprj_io_holdover[0] I *D chip_io +*I *2781:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[0] 0.000249934 +2 *2781:pad_gpio_holdover 0.000446943 +3 *2182:14 0.00197456 +4 *2182:13 0.00217157 +5 *2822:mprj_io_holdover[0] *2822:mprj_io_out[0] 0 +6 *2182:13 *2220:13 0.000600169 +7 *2182:13 *2334:8 0.000164682 +8 *2182:14 *2372:14 2.04806e-05 +9 *2030:14 *2822:mprj_io_holdover[0] 5.65975e-05 +10 *2030:14 *2182:14 0 +11 *2068:13 *2182:13 0.000758246 +12 *2093:13 *2182:13 0.000119662 +13 *2104:15 *2182:13 2.77992e-05 +14 *2104:16 *2182:14 0.00473797 +*RES +1 *2781:pad_gpio_holdover *2182:13 29.5542 +2 *2182:13 *2182:14 76.9926 +3 *2182:14 *2822:mprj_io_holdover[0] 15.8357 +*END + +*D_NET *2183 0.0647755 +*CONN +*I *2822:mprj_io_holdover[10] I *D chip_io +*I *2789:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[10] 0.000424661 +2 *2789:pad_gpio_holdover 0.000520691 +3 *2183:8 0.00569588 +4 *2183:7 0.00579191 +5 *2822:mprj_io_holdover[10] *2822:mprj_io_out[10] 5.51377e-06 +6 *2183:7 *2221:7 0.000195185 +7 *2183:8 *2184:8 0.000737943 +8 *2183:8 *2219:8 0 +9 *2183:8 *2297:8 0.0297098 +10 *2183:8 *2298:14 0.000822667 +11 *2183:8 *2371:8 0.0142737 +12 *2183:8 *2449:16 0.00547643 +13 *2029:14 *2183:8 0.00110709 +14 *2107:15 *2183:7 1.38992e-05 +15 *2108:14 *2822:mprj_io_holdover[10] 0 +*RES +1 *2789:pad_gpio_holdover *2183:7 1.7784 +2 *2183:7 *2183:8 63.2605 +3 *2183:8 *2822:mprj_io_holdover[10] 7.09897 +*END + +*D_NET *2184 0.0732146 +*CONN +*I *2822:mprj_io_holdover[11] I *D chip_io +*I *2790:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[11] 0.000612444 +2 *2790:pad_gpio_holdover 0.000557754 +3 *2184:8 0.00552221 +4 *2184:7 0.00546752 +5 *2822:mprj_io_holdover[11] *2822:mprj_io_out[11] 0 +6 *2822:mprj_io_holdover[11] *2261:11 0 +7 *2184:7 *2222:9 1.83645e-05 +8 *2184:7 *2298:7 0 +9 *2184:8 *2185:8 1.69979e-05 +10 *2184:8 *2223:8 0.000491838 +11 *2184:8 *2259:11 0.00110291 +12 *2184:8 *2297:8 0.0112341 +13 *2184:8 *2298:14 0.000264661 +14 *2184:8 *2335:8 0 +15 *2184:8 *2336:8 0 +16 *2184:8 *2374:8 8.21151e-05 +17 *2184:8 *2449:16 0 +18 *2184:8 *2451:8 0.0114553 +19 *2822:mprj_io_dm[35] *2822:mprj_io_holdover[11] 9.94353e-05 +20 *2033:14 *2184:8 0.00186827 +21 *2108:14 *2184:8 0.000306064 +22 *2110:7 *2184:7 0.000239941 +23 *2110:8 *2184:8 0.0315903 +24 *2111:14 *2184:8 0.00154636 +25 *2113:8 *2184:8 0 +26 *2183:8 *2184:8 0.000737943 +*RES +1 *2790:pad_gpio_holdover *2184:7 2.08436 +2 *2184:7 *2184:8 63.1462 +3 *2184:8 *2822:mprj_io_holdover[11] 8.15894 +*END + +*D_NET *2185 0.0709905 +*CONN +*I *2822:mprj_io_holdover[12] I *D chip_io +*I *2791:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[12] 0.000212388 +2 *2791:pad_gpio_holdover 0.000435241 +3 *2185:8 0.00347919 +4 *2185:7 0.00370204 +5 *2185:7 *2223:7 0.000130636 +6 *2185:8 *2223:8 0.000319464 +7 *2185:8 *2337:8 0.0309228 +8 *2185:8 *2376:16 0.000100472 +9 *2822:mprj_io_dm[38] *2822:mprj_io_holdover[12] 1.50849e-05 +10 *2113:7 *2185:7 0.000115656 +11 *2113:8 *2185:8 0.0315406 +12 *2117:26 *2822:mprj_io_holdover[12] 0 +13 *2184:8 *2185:8 1.69979e-05 +*RES +1 *2791:pad_gpio_holdover *2185:7 1.47244 +2 *2185:7 *2185:8 63.2605 +3 *2185:8 *2822:mprj_io_holdover[12] 5.88601 +*END + +*D_NET *2186 0.0744538 +*CONN +*I *2822:mprj_io_holdover[13] I *D chip_io +*I *2792:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[13] 0.000265732 +2 *2792:pad_gpio_holdover 0.000122143 +3 *2186:32 0.00189551 +4 *2186:31 0.00190275 +5 *2186:26 0.0108171 +6 *2186:25 0.0107429 +7 *2186:20 0.00188848 +8 *2186:19 0.00199368 +9 *2186:14 0.00127046 +10 *2186:13 0.00157933 +11 *2186:8 0.00344806 +12 *2186:7 0.00295739 +13 *2186:7 *2224:7 4.26995e-05 +14 *2186:8 *2792:pad_gpio_in 0.00018279 +15 *2186:8 *2792:user_gpio_oeb 0 +16 *2186:8 *2414:13 0.000300949 +17 *2186:8 *2452:8 0.000830183 +18 *2186:13 *2261:10 7.7236e-05 +19 *2186:14 *2300:20 0.00159593 +20 *2186:19 *2614:14 8.09757e-05 +21 *2186:20 *2223:8 0.000249698 +22 *2186:20 *2300:26 0.00043274 +23 *2186:20 *2300:32 0 +24 *2186:26 *2452:8 0.00443624 +25 *2186:32 *2300:32 0 +26 *2792:serial_clock *2186:8 0 +27 *2792:serial_data_in *2186:8 7.08723e-06 +28 *2822:mprj_io_analog_sel[12] *2186:19 5.98635e-05 +29 *2822:mprj_io_dm[41] *2186:32 0 +30 *619:53 *2186:8 8.00339e-05 +31 *620:74 *2186:8 0 +32 *1957:30 *2186:13 0.000114314 +33 *1958:14 *2186:32 0 +34 *1995:26 *2186:14 0.00255457 +35 *1996:14 *2186:20 0 +36 *1996:14 *2186:32 0 +37 *2116:14 *2186:14 0.000499342 +38 *2117:7 *2186:7 6.55821e-05 +39 *2117:8 *2186:8 0.00348979 +40 *2117:21 *2186:13 0 +41 *2117:26 *2186:20 0.00515684 +42 *2117:31 *2186:25 0.000479213 +43 *2117:32 *2186:20 0 +44 *2117:32 *2186:26 0.0108046 +45 *2117:38 *2186:32 0.00402966 +*RES +1 *2792:pad_gpio_holdover *2186:7 3.81442 +2 *2186:7 *2186:8 117.064 +3 *2186:8 *2186:13 23.0339 +4 *2186:13 *2186:14 57.4758 +5 *2186:14 *2186:19 16.3786 +6 *2186:19 *2186:20 83.2214 +7 *2186:20 *2186:25 15.824 +8 *2186:25 *2186:26 401.72 +9 *2186:26 *2186:31 15.824 +10 *2186:31 *2186:32 69.9334 +11 *2186:32 *2822:mprj_io_holdover[13] 15.975 +*END + +*D_NET *2187 0.0283828 +*CONN +*I *2822:mprj_io_holdover[14] I *D chip_io +*I *2793:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[14] 0.000505911 +2 *2793:pad_gpio_holdover 0.000332068 +3 *2187:14 0.00213606 +4 *2187:13 0.00196222 +5 *2822:mprj_io_holdover[14] *2822:mprj_io_out[14] 0 +6 *2187:13 *2225:13 3.27908e-05 +7 *2187:14 *2225:14 0.00025386 +8 *2187:14 *2377:14 3.77665e-05 +9 *2187:14 *2377:18 0.00454199 +10 *2187:14 *2453:14 0.00582676 +11 *621:48 *2822:mprj_io_holdover[14] 0 +12 *2035:13 *2187:13 0.000320777 +13 *2035:14 *2187:14 0.0109165 +14 *2118:16 *2187:14 0 +15 *2119:13 *2187:13 4.15201e-05 +16 *2120:15 *2187:13 0.00114892 +17 *2120:16 *2187:14 0.000325649 +*RES +1 *2793:pad_gpio_holdover *2187:13 26.9346 +2 *2187:13 *2187:14 183.297 +3 *2187:14 *2822:mprj_io_holdover[14] 21.6604 +*END + +*D_NET *2188 0.0605054 +*CONN +*I *2822:mprj_io_holdover[15] I *D chip_io +*I *2794:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[15] 0.00608989 +2 *2794:pad_gpio_holdover 0.000473794 +3 *2188:25 0.00947838 +4 *2188:17 0.0050024 +5 *2188:16 0.00273616 +6 *2188:13 0.00159604 +7 *2822:mprj_io_holdover[15] *2822:mprj_io_ib_mode_sel[15] 0.00035991 +8 *2822:mprj_io_holdover[15] *2822:mprj_io_oeb[15] 0.00191294 +9 *2822:mprj_io_holdover[15] *2378:33 0 +10 *2188:13 *2302:24 0.000220183 +11 *2188:13 *2340:11 4.0752e-05 +12 *2188:13 *2378:13 0.0016943 +13 *2188:17 *2226:25 0 +14 *2188:25 *2340:20 0.000184368 +15 *2794:serial_clock_out *2188:16 1.5714e-05 +16 *2794:serial_data_in *2188:16 7.20173e-06 +17 *2822:mprj_io_dm[47] *2822:mprj_io_holdover[15] 0.000422603 +18 *666:13 *2188:16 0 +19 *1960:19 *2188:13 0 +20 *1960:28 *2188:25 0.000750031 +21 *1998:17 *2188:17 0.00712075 +22 *1998:22 *2188:25 0.00026104 +23 *2036:17 *2188:17 0.000279912 +24 *2121:29 *2822:mprj_io_holdover[15] 0.00595881 +25 *2121:29 *2188:25 0.012233 +26 *2123:13 *2188:13 0.00252466 +27 *2123:14 *2188:16 0.00114261 +*RES +1 *2794:pad_gpio_holdover *2188:13 40.8625 +2 *2188:13 *2188:16 44.5352 +3 *2188:16 *2188:17 75.5949 +4 *2188:17 *2188:25 38.8585 +5 *2188:25 *2822:mprj_io_holdover[15] 35.8323 +*END + +*D_NET *2189 0.026512 +*CONN +*I *2822:mprj_io_holdover[16] I *D chip_io +*I *2795:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[16] 0.0013191 +2 *2795:pad_gpio_holdover 8.99935e-05 +3 *2189:19 0.00268501 +4 *2189:11 0.00256618 +5 *2189:10 0.00129027 +6 *2822:mprj_io_holdover[16] *2822:mprj_io_slow_sel[16] 0.00132538 +7 *2822:mprj_io_holdover[16] *2822:mprj_io_vtrip_sel[16] 0 +8 *2822:mprj_io_holdover[16] *2227:31 0 +9 *2189:11 *2227:11 0 +10 *2189:11 *2455:11 0.00562843 +11 *2189:19 *2227:31 0.000228518 +12 *2189:19 *2455:16 0 +13 *2795:resetn *2189:11 0.000647089 +14 *2795:serial_load *2189:11 0.00376548 +15 *2822:mprj_io_analog_en[16] *2822:mprj_io_holdover[16] 0.00336298 +16 *2822:mprj_io_analog_pol[16] *2822:mprj_io_holdover[16] 0.00330232 +17 *2822:mprj_io_dm[48] *2822:mprj_io_holdover[16] 0.000224106 +18 *1999:13 *2189:11 0 +19 *2125:22 *2822:mprj_io_holdover[16] 7.0814e-05 +20 *2127:26 *2189:19 6.34651e-06 +*RES +1 *2795:pad_gpio_holdover *2189:10 8.46357 +2 *2189:10 *2189:11 79.4771 +3 *2189:11 *2189:19 46.7873 +4 *2189:19 *2822:mprj_io_holdover[16] 43.0482 +*END + +*D_NET *2190 0.0491164 +*CONN +*I *2822:mprj_io_holdover[17] I *D chip_io +*I *2796:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[17] 0.0011431 +2 *2796:pad_gpio_holdover 9.87279e-05 +3 *2190:14 0.0024582 +4 *2190:13 0.0013151 +5 *2190:11 0.0053885 +6 *2190:10 0.00548723 +7 *2190:11 *2304:11 1.40978e-05 +8 *2190:11 *2418:19 0.00186007 +9 *2190:14 *2266:11 1.78514e-05 +10 *2822:mprj_io_dm[53] *2822:mprj_io_holdover[17] 0.00413263 +11 *2130:11 *2190:11 0.0257068 +12 *2130:14 *2190:14 0.00149404 +*RES +1 *2796:pad_gpio_holdover *2190:10 8.46357 +2 *2190:10 *2190:11 270.261 +3 *2190:11 *2190:13 4.5 +4 *2190:13 *2190:14 50.6241 +5 *2190:14 *2822:mprj_io_holdover[17] 14.4248 +*END + +*D_NET *2191 0.0233281 +*CONN +*I *2822:mprj_io_holdover[18] I *D chip_io +*I *2787:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[18] 0.00131962 +2 *2787:pad_gpio_holdover 0.000530705 +3 *2191:17 0.0051846 +4 *2191:16 0.00442604 +5 *2191:13 0.00109176 +6 *2822:mprj_io_holdover[18] *2822:mprj_io_vtrip_sel[18] 0.000135081 +7 *2191:17 *2305:20 0 +8 *2191:17 *2419:19 0.000430816 +9 *2787:resetn *2191:16 7.86825e-06 +10 *2787:serial_clock *2191:16 0 +11 *2822:mprj_io_analog_sel[18] *2822:mprj_io_holdover[18] 0.000593433 +12 *2822:mprj_io_dm[56] *2822:mprj_io_holdover[18] 0.00572056 +13 *649:17 *2191:17 0.000857657 +14 *2133:13 *2191:13 0.0017603 +15 *2133:16 *2191:16 0.00126963 +16 *2133:17 *2191:17 0 +*RES +1 *2787:pad_gpio_holdover *2191:13 31.9889 +2 *2191:13 *2191:16 27.5099 +3 *2191:16 *2191:17 115.526 +4 *2191:17 *2822:mprj_io_holdover[18] 33.5983 +*END + +*D_NET *2192 0.0250749 +*CONN +*I *2822:mprj_io_holdover[19] I *D chip_io +*I *2803:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[19] 0.000152405 +2 *2803:pad_gpio_holdover 0.000894717 +3 *2192:13 0.00108056 +4 *2192:12 0.00182287 +5 *2192:12 *2803:pad_gpio_in 0.00477386 +6 *2192:13 *2803:pad_gpio_in 0.000139878 +7 *2803:mgmt_gpio_out *2192:12 0.000849006 +8 *2822:mprj_io_analog_sel[19] *2192:13 0.000119341 +9 *640:68 *2192:13 0.00801827 +10 *1715:23 *2192:12 5.60269e-05 +11 *1715:26 *2192:12 0.00205915 +12 *1964:10 *2192:12 4.31122e-05 +13 *1964:11 *2192:13 0.00472561 +14 *2002:10 *2192:12 2.31947e-05 +15 *2002:11 *2192:13 0 +16 *2040:10 *2192:12 3.84497e-05 +17 *2040:11 *2192:13 0 +18 *2134:10 *2192:12 3.84497e-05 +19 *2134:11 *2192:13 7.67829e-05 +20 *2135:10 *2192:12 3.84497e-05 +21 *2136:11 *2192:12 3.25789e-05 +22 *2136:16 *2192:12 9.21574e-05 +*RES +1 *2803:pad_gpio_holdover *2192:12 15.9117 +2 *2192:12 *2192:13 83.9139 +3 *2192:13 *2822:mprj_io_holdover[19] 8.05343 +*END + +*D_NET *2193 0.0109331 +*CONN +*I *2822:mprj_io_holdover[1] I *D chip_io +*I *2782:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[1] 0.000287074 +2 *2782:pad_gpio_holdover 0.000300174 +3 *2193:14 0.00228741 +4 *2193:13 0.00230051 +5 *2193:13 *2231:15 0.000473912 +6 *2193:13 *2307:13 0.000343439 +7 *2193:13 *2345:8 7.08723e-06 +8 *2193:14 *2383:14 0.000197433 +9 *2193:14 *2459:14 0 +10 *2822:mprj_io_dm[5] *2822:mprj_io_holdover[1] 0 +11 *2003:14 *2193:14 0 +12 *2041:14 *2193:14 0.00458679 +13 *2137:13 *2193:13 2.72062e-05 +14 *2137:14 *2822:mprj_io_holdover[1] 0 +15 *2137:14 *2193:14 0.000122025 +*RES +1 *2782:pad_gpio_holdover *2193:13 21.5279 +2 *2193:13 *2193:14 87.3739 +3 *2193:14 *2822:mprj_io_holdover[1] 15.8762 +*END + +*D_NET *2194 0.0254626 +*CONN +*I *2822:mprj_io_holdover[20] I *D chip_io +*I *2810:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[20] 0.00297976 +2 *2810:pad_gpio_holdover 0.000434261 +3 *2194:13 0.00341402 +4 *2822:mprj_io_holdover[20] *2822:mprj_io_out[20] 0.000326173 +5 *2194:13 *2232:13 1.81331e-05 +6 *2194:13 *2384:11 0.000212404 +7 *2822:mprj_io_analog_sel[20] *2822:mprj_io_holdover[20] 0.00932532 +8 *2822:mprj_io_dm[60] *2822:mprj_io_holdover[20] 0 +9 *2822:mprj_io_dm[61] *2822:mprj_io_holdover[20] 0 +10 *2822:mprj_io_dm[62] *2822:mprj_io_holdover[20] 0.000620506 +11 *110:17 *2822:mprj_io_holdover[20] 0.00120936 +12 *626:23 *2822:mprj_io_holdover[20] 0.000860423 +13 *650:17 *2822:mprj_io_holdover[20] 0.000943385 +14 *689:17 *2822:mprj_io_holdover[20] 0 +15 *1966:11 *2194:13 0.00186651 +16 *2138:13 *2194:13 0.000308997 +17 *2139:13 *2194:13 0.00282021 +18 *2140:13 *2194:13 0.000123118 +*RES +1 *2810:pad_gpio_holdover *2194:13 47.5178 +2 *2194:13 *2822:mprj_io_holdover[20] 43.8576 +*END + +*D_NET *2195 0.0223381 +*CONN +*I *2822:mprj_io_holdover[21] I *D chip_io +*I *2811:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[21] 0.000590452 +2 *2811:pad_gpio_holdover 0.000447933 +3 *2195:17 0.0011705 +4 *2195:16 0.000788844 +5 *2195:11 0.000656727 +6 *2822:mprj_io_holdover[21] *2233:17 0 +7 *2195:11 *2233:11 0.000639528 +8 *2195:11 *2670:11 0 +9 *2195:16 *2233:16 0.00131513 +10 *2195:17 *2822:mprj_io_slow_sel[21] 0.000338953 +11 *111:63 *2822:mprj_io_holdover[21] 0.00045966 +12 *111:63 *2195:17 0.00266316 +13 *1967:13 *2195:11 0.00218937 +14 *2005:17 *2195:17 0.00590191 +15 *2043:13 *2195:11 0.00261002 +16 *2043:16 *2195:16 0.00128909 +17 *2143:13 *2195:11 0.0003256 +18 *2143:23 *2822:mprj_io_holdover[21] 0.000944219 +19 *2143:23 *2195:17 7.02172e-06 +*RES +1 *2811:pad_gpio_holdover *2195:11 46.3454 +2 *2195:11 *2195:16 30.3488 +3 *2195:16 *2195:17 62.839 +4 *2195:17 *2822:mprj_io_holdover[21] 33.5996 +*END + +*D_NET *2196 0.0358999 +*CONN +*I *2822:mprj_io_holdover[22] I *D chip_io +*I *2812:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[22] 0.000763677 +2 *2812:pad_gpio_holdover 0.000136456 +3 *2196:14 0.0013883 +4 *2196:13 0.000624621 +5 *2196:11 0.000332333 +6 *2196:10 0.00046879 +7 *2822:mprj_io_holdover[22] *2822:mprj_io_inp_dis[22] 2.56868e-05 +8 *2822:mprj_io_holdover[22] *2822:mprj_io_out[22] 0.0077888 +9 *2822:mprj_io_holdover[22] *2822:mprj_io_slow_sel[22] 0 +10 *2196:11 *2671:14 6.49003e-05 +11 *2196:14 *2671:11 0.00375062 +12 *2822:mprj_io_analog_sel[22] *2822:mprj_io_holdover[22] 0.00760146 +13 *691:20 *2196:14 5.66868e-06 +14 *691:23 *2196:11 0.00467476 +15 *1719:40 *2196:11 9.65932e-05 +16 *1968:19 *2196:11 0.000134886 +17 *1968:20 *2196:14 0.00344934 +18 *2146:11 *2196:11 0.00451543 +19 *2146:14 *2196:14 7.75847e-05 +*RES +1 *2812:pad_gpio_holdover *2196:10 8.46357 +2 *2196:10 *2196:11 50.6377 +3 *2196:11 *2196:13 4.5 +4 *2196:13 *2196:14 61.0054 +5 *2196:14 *2822:mprj_io_holdover[22] 18.3356 +*END + +*D_NET *2197 0.0289049 +*CONN +*I *2822:mprj_io_holdover[23] I *D chip_io +*I *2813:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[23] 0.00114492 +2 *2813:pad_gpio_holdover 0.000133182 +3 *2197:11 0.00157974 +4 *2197:10 0.000567996 +5 *2822:mprj_io_holdover[23] *2822:mprj_io_inp_dis[23] 0.000179807 +6 *2822:mprj_io_holdover[23] *2822:mprj_io_out[23] 0 +7 *2822:mprj_io_holdover[23] *2235:16 0.00146246 +8 *2822:mprj_io_holdover[23] *2235:17 0.000282082 +9 *2822:mprj_io_holdover[23] *2311:14 6.90884e-05 +10 *2822:mprj_io_holdover[23] *2672:11 4.2657e-05 +11 *2197:11 *2235:11 0.00519936 +12 *2822:mprj_io_analog_pol[23] *2822:mprj_io_holdover[23] 0.00500575 +13 *2822:mprj_io_analog_sel[23] *2822:mprj_io_holdover[23] 0.00718351 +14 *2822:mprj_io_dm[71] *2822:mprj_io_holdover[23] 0.000497771 +15 *1969:11 *2197:11 0.00057348 +16 *1969:16 *2822:mprj_io_holdover[23] 0.00130076 +17 *2147:11 *2197:11 1.65872e-05 +18 *2150:11 *2197:11 0.00366572 +*RES +1 *2813:pad_gpio_holdover *2197:10 8.46357 +2 *2197:10 *2197:11 55.0746 +3 *2197:11 *2822:mprj_io_holdover[23] 48.0092 +*END + +*D_NET *2198 0.0402489 +*CONN +*I *2822:mprj_io_holdover[24] I *D chip_io +*I *2814:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[24] 0.00026934 +2 *2814:pad_gpio_holdover 2.68958e-05 +3 *2198:30 2.68958e-05 +4 *2198:17 0.000886373 +5 *2198:16 0.000617033 +6 *2198:14 0.00285972 +7 *2198:13 0.00285972 +8 *2198:11 0.00153304 +9 *2198:8 0.00366969 +10 *2198:7 0.00213666 +11 *2198:5 0.00104674 +12 *2198:4 0.00104674 +13 *2822:mprj_io_holdover[24] *2312:11 0 +14 *2198:17 *2822:mprj_io_vtrip_sel[24] 2.04806e-05 +15 *2198:17 *2312:11 0.00180072 +16 *2822:mprj_io_dm[74] *2822:mprj_io_holdover[24] 0 +17 *106:55 *2198:17 0.000569049 +18 *629:29 *2198:14 0.000649254 +19 *634:18 *2198:17 7.77309e-06 +20 *2153:8 *2198:5 0.00296952 +21 *2153:17 *2198:8 0.00166983 +22 *2153:20 *2198:11 0 +23 *2153:21 *2198:14 0.0127148 +24 *2153:24 *2198:17 0.0028686 +*RES +1 *2814:pad_gpio_holdover *2198:4 3.36879 +2 *2198:4 *2198:5 47.7174 +3 *2198:5 *2198:7 4.5 +4 *2198:7 *2198:8 59.5114 +5 *2198:8 *2198:11 47.442 +6 *2198:11 *2198:13 4.5 +7 *2198:13 *2198:14 137.156 +8 *2198:14 *2198:16 4.5 +9 *2198:16 *2198:17 46.264 +10 *2198:17 *2822:mprj_io_holdover[24] 15.6991 +11 *2814:pad_gpio_holdover *2198:30 0.0631875 +*END + +*D_NET *2199 0.0196434 +*CONN +*I *2822:mprj_io_holdover[25] I *D chip_io +*I *2815:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[25] 0.000411431 +2 *2815:pad_gpio_holdover 0.000428582 +3 *2199:8 0.0017461 +4 *2199:7 0.00176325 +5 *2822:mprj_io_holdover[25] *2822:mprj_io_out[25] 0 +6 *2199:7 *2237:7 9.21018e-05 +7 *2199:7 *2313:7 0 +8 *2199:8 *2237:22 0.000204856 +9 *2199:8 *2313:8 0.00649477 +10 *2199:8 *2389:22 1.2819e-05 +11 *2199:8 *2427:8 0.000809988 +12 *106:43 *2822:mprj_io_holdover[25] 0 +13 *115:39 *2199:8 0.00186361 +14 *618:34 *2199:8 0.000737698 +15 *632:42 *2822:mprj_io_holdover[25] 5.04829e-06 +16 *655:16 *2199:8 1.87125e-05 +17 *694:19 *2199:8 0.000125376 +18 *2047:14 *2199:8 0.000464736 +19 *2154:14 *2199:8 0.0043072 +20 *2155:7 *2199:7 0 +21 *2156:7 *2199:7 0.000157084 +22 *2156:23 *2822:mprj_io_holdover[25] 0 +*RES +1 *2815:pad_gpio_holdover *2199:7 4.8088 +2 *2199:7 *2199:8 127.861 +3 *2199:8 *2822:mprj_io_holdover[25] 18.4693 +*END + +*D_NET *2200 0.0218208 +*CONN +*I *2822:mprj_io_holdover[26] I *D chip_io +*I *2816:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[26] 0.000622131 +2 *2816:pad_gpio_holdover 0.000598557 +3 *2200:26 0.00100559 +4 *2200:20 0.000768211 +5 *2200:8 0.00101482 +6 *2200:7 0.00122862 +7 *2822:mprj_io_holdover[26] *2238:34 0.00139552 +8 *2822:mprj_io_holdover[26] *2390:25 0 +9 *2822:mprj_io_holdover[26] *2466:14 9.71323e-06 +10 *2200:7 *2314:10 0 +11 *2200:8 *2314:12 0.000199406 +12 *2200:8 *2352:12 0.00356075 +13 *2200:20 *2390:20 0.0016184 +14 *2200:20 *2466:8 5.56367e-05 +15 *2200:20 *2466:13 7.13972e-05 +16 *2200:26 *2238:34 8.35615e-06 +17 *2200:26 *2466:14 0.00118714 +18 *102:49 *2200:20 0.000261028 +19 *116:16 *2200:8 0.00150763 +20 *116:21 *2200:26 5.04829e-06 +21 *617:14 *2822:mprj_io_holdover[26] 0 +22 *618:34 *2822:mprj_io_holdover[26] 0.000198288 +23 *618:34 *2200:8 0.000546645 +24 *618:34 *2200:26 0.000192019 +25 *695:16 *2822:mprj_io_holdover[26] 0.00135645 +26 *695:22 *2200:26 0.00115167 +27 *2048:8 *2200:8 0.000693224 +28 *2048:13 *2200:20 0.000247443 +29 *2048:14 *2200:20 0.00020018 +30 *2160:7 *2200:7 0.000305625 +31 *2160:8 *2200:20 0.00181127 +*RES +1 *2816:pad_gpio_holdover *2200:7 5.26774 +2 *2200:7 *2200:8 57.2682 +3 *2200:8 *2200:20 47.83 +4 *2200:20 *2200:26 27.8014 +5 *2200:26 *2822:mprj_io_holdover[26] 41.2743 +*END + +*D_NET *2201 0.0197608 +*CONN +*I *2822:mprj_io_holdover[27] I *D chip_io +*I *2817:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[27] 0.000476639 +2 *2817:pad_gpio_holdover 0.000613319 +3 *2201:12 0.00104945 +4 *2201:10 0.000599147 +5 *2201:8 0.000874781 +6 *2201:7 0.00146177 +7 *2822:mprj_io_holdover[27] *2822:mprj_io_oeb[27] 0 +8 *2822:mprj_io_holdover[27] *2822:mprj_io_out[27] 0 +9 *2201:7 *2239:7 0.000103407 +10 *2201:7 *2315:7 0 +11 *2201:8 *2353:8 0.00120977 +12 *2201:12 *2315:16 0.00170077 +13 *2201:12 *2353:8 0.000150395 +14 *2201:12 *2391:26 0.000504163 +15 *2201:12 *2467:14 0.00302552 +16 *618:34 *2201:8 0.000624018 +17 *618:34 *2201:12 0.00048845 +18 *633:14 *2201:12 1.87125e-05 +19 *657:8 *2201:8 0.00022036 +20 *2161:7 *2201:7 0 +21 *2161:8 *2201:8 0.00434859 +22 *2161:8 *2201:12 1.3813e-05 +23 *2163:12 *2201:7 2.44479e-06 +24 *2163:21 *2201:8 0.00227526 +*RES +1 *2817:pad_gpio_holdover *2201:7 5.19125 +2 *2201:7 *2201:8 77.4079 +3 *2201:8 *2201:10 0.732798 +4 *2201:10 *2201:12 51.8699 +5 *2201:12 *2822:mprj_io_holdover[27] 19.9966 +*END + +*D_NET *2202 0.0214698 +*CONN +*I *2822:mprj_io_holdover[28] I *D chip_io +*I *2818:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[28] 0.000752628 +2 *2818:pad_gpio_holdover 0.000672954 +3 *2202:26 0.00121434 +4 *2202:23 0.000689101 +5 *2202:8 0.000835858 +6 *2202:7 0.00128143 +7 *2822:mprj_io_holdover[28] *2822:mprj_io_ib_mode_sel[28] 0 +8 *2822:mprj_io_holdover[28] *2822:mprj_io_out[28] 0 +9 *2822:mprj_io_holdover[28] *2354:14 0.00103547 +10 *2822:mprj_io_holdover[28] *2468:24 0.00049525 +11 *2202:7 *2240:7 0.000103407 +12 *2202:23 *2468:23 2.66942e-05 +13 *2202:23 *2603:20 3.31882e-05 +14 *2202:26 *2354:14 0.000390916 +15 *2202:26 *2468:24 0.00160796 +16 *618:34 *2822:mprj_io_holdover[28] 0.000157035 +17 *642:52 *2202:8 0.000527202 +18 *642:52 *2202:26 0.000469038 +19 *658:30 *2202:26 0.00213275 +20 *679:10 *2202:8 0 +21 *2165:8 *2202:8 0.00349112 +22 *2165:20 *2202:8 1.3813e-05 +23 *2165:20 *2202:23 0.000155125 +24 *2165:20 *2202:26 0.00130436 +25 *2166:7 *2202:7 0.000310758 +26 *2166:8 *2202:8 0.0036787 +27 *2166:8 *2202:23 1.5254e-05 +28 *2166:18 *2202:23 5.67421e-05 +29 *2166:22 *2202:26 1.87125e-05 +*RES +1 *2818:pad_gpio_holdover *2202:7 5.49721 +2 *2202:7 *2202:8 61.4207 +3 *2202:8 *2202:23 16.5133 +4 *2202:23 *2202:26 47.9616 +5 *2202:26 *2822:mprj_io_holdover[28] 37.9907 +*END + +*D_NET *2203 0.0703172 +*CONN +*I *2822:mprj_io_holdover[29] I *D chip_io +*I *2804:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[29] 0.000789569 +2 *2804:pad_gpio_holdover 0.00216452 +3 *2203:34 0.00152075 +4 *2203:33 0.000731185 +5 *2203:31 0.00478528 +6 *2203:30 0.00478528 +7 *2203:28 0.00135657 +8 *2203:25 0.00303313 +9 *2203:19 0.00371239 +10 *2203:18 0.00203583 +11 *2203:16 0.00216452 +12 *2822:mprj_io_holdover[29] *2822:mprj_io_out[29] 0 +13 *2203:16 *2241:12 0.00144801 +14 *2203:16 *2241:20 0.000223845 +15 *2203:19 *2241:23 0.00940777 +16 *2203:28 *2241:34 0.00104898 +17 *2203:31 *2241:35 0.000719534 +18 *2203:31 *2469:15 5.04829e-06 +19 *2203:34 *2241:38 0.00445805 +20 *2203:34 *2393:10 8.62625e-06 +21 *2822:mprj_io_analog_sel[29] *2822:mprj_io_holdover[29] 0.000171064 +22 *2822:mprj_io_dm[89] *2822:mprj_io_holdover[29] 9.4338e-05 +23 *101:16 *2203:25 0.00115683 +24 *101:19 *2203:31 0.0200544 +25 *106:37 *2822:mprj_io_holdover[29] 0.000149447 +26 *106:37 *2203:34 0.000652281 +27 *641:12 *2822:mprj_io_holdover[29] 0 +28 *641:12 *2203:34 0.000805424 +29 *2013:10 *2203:34 1.23455e-05 +30 *2168:10 *2203:34 0.0028222 +*RES +1 *2804:pad_gpio_holdover *2203:16 48.3064 +2 *2203:16 *2203:18 4.5 +3 *2203:18 *2203:19 98.3336 +4 *2203:19 *2203:25 48.6094 +5 *2203:25 *2203:28 48.1794 +6 *2203:28 *2203:30 4.5 +7 *2203:30 *2203:31 227.002 +8 *2203:31 *2203:33 4.5 +9 *2203:33 *2203:34 72.4249 +10 *2203:34 *2822:mprj_io_holdover[29] 32.0721 +*END + +*D_NET *2204 0.0149036 +*CONN +*I *2822:mprj_io_holdover[2] I *D chip_io +*I *2797:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[2] 0.000386604 +2 *2797:pad_gpio_holdover 0.000305287 +3 *2204:14 0.00114865 +4 *2204:13 0.00106733 +5 *2204:13 *2242:13 0.00115951 +6 *2204:14 *2394:14 0.00482627 +7 *2204:14 *2470:14 0.00412813 +8 *2822:mprj_io_dm[8] *2822:mprj_io_holdover[2] 3.35033e-05 +9 *110:52 *2822:mprj_io_holdover[2] 0 +10 *626:66 *2204:14 0.000161551 +11 *669:30 *2204:13 8.21013e-05 +12 *2052:14 *2204:14 0.000507721 +13 *2170:13 *2204:13 0.00109691 +*RES +1 *2797:pad_gpio_holdover *2204:13 28.3141 +2 *2204:13 *2204:14 82.8062 +3 *2204:14 *2822:mprj_io_holdover[2] 17.9175 +*END + +*D_NET *2205 0.0206212 +*CONN +*I *2822:mprj_io_holdover[30] I *D chip_io +*I *2805:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[30] 0.000611006 +2 *2805:pad_gpio_holdover 0.000529918 +3 *2205:12 0.00113229 +4 *2205:10 0.000547057 +5 *2205:8 0.000612236 +6 *2205:7 0.00111638 +7 *2822:mprj_io_holdover[30] *2822:mprj_io_out[30] 0 +8 *2822:mprj_io_holdover[30] *2357:20 0 +9 *2205:7 *2243:7 0.000254003 +10 *2205:8 *2357:8 0.00393734 +11 *2205:8 *2471:14 1.69327e-05 +12 *2205:12 *2395:14 0.00395237 +13 *2205:12 *2471:14 0.00399504 +14 *2822:mprj_io_dm[92] *2822:mprj_io_holdover[30] 0 +15 *102:14 *2205:8 0.000296518 +16 *2053:8 *2205:8 0.00336901 +17 *2053:8 *2205:12 1.23804e-05 +18 *2173:13 *2205:7 0.000238736 +*RES +1 *2805:pad_gpio_holdover *2205:7 5.42072 +2 *2205:7 *2205:8 64.1198 +3 *2205:8 *2205:10 0.732798 +4 *2205:10 *2205:12 63.9122 +5 *2205:12 *2822:mprj_io_holdover[30] 23.4607 +*END + +*D_NET *2206 0.0206722 +*CONN +*I *2822:mprj_io_holdover[31] I *D chip_io +*I *2806:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[31] 0.00034657 +2 *2806:pad_gpio_holdover 0.000280946 +3 *2206:16 0.000715995 +4 *2206:13 0.000421154 +5 *2206:8 0.00082316 +6 *2206:7 0.00105238 +7 *2822:mprj_io_holdover[31] *2720:14 0 +8 *2206:7 *2244:7 0.000216767 +9 *2206:8 *2358:8 0.0053436 +10 *2206:8 *2434:8 9.22975e-05 +11 *2206:16 *2358:12 0.00250311 +12 *2206:16 *2396:8 4.46284e-06 +13 *2206:16 *2396:12 0.00249009 +14 *2822:mprj_io_dm[95] *2822:mprj_io_holdover[31] 0 +15 *106:37 *2206:8 0.000747953 +16 *106:37 *2206:16 0.000366644 +17 *2175:8 *2206:8 0.00503523 +18 *2176:7 *2206:7 0.000216767 +19 *2176:14 *2206:13 1.51284e-05 +*RES +1 *2806:pad_gpio_holdover *2206:7 4.65582 +2 *2206:7 *2206:8 85.9206 +3 *2206:8 *2206:13 10.3986 +4 *2206:13 *2206:16 44.9505 +5 *2206:16 *2822:mprj_io_holdover[31] 13.0494 +*END + +*D_NET *2207 0.0178948 +*CONN +*I *2822:mprj_io_holdover[32] I *D chip_io +*I *2807:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[32] 0.000470631 +2 *2807:pad_gpio_holdover 0.00038104 +3 *2207:8 0.00191219 +4 *2207:7 0.0018226 +5 *2822:mprj_io_holdover[32] *2822:mprj_io_out[32] 0 +6 *2822:mprj_io_holdover[32] *2473:14 0 +7 *2207:7 *2245:7 0.000284267 +8 *2207:8 *2359:8 0 +9 *2207:8 *2397:8 0.00463374 +10 *2207:8 *2397:18 0.000151461 +11 *2822:mprj_io_dm[98] *2822:mprj_io_holdover[32] 0 +12 *104:20 *2207:8 0.00590582 +13 *2055:8 *2207:8 0.00139421 +14 *2179:7 *2207:7 0.000284267 +15 *2179:8 *2207:8 0.000654547 +*RES +1 *2807:pad_gpio_holdover *2207:7 5.11476 +2 *2207:7 *2207:8 127.861 +3 *2207:8 *2822:mprj_io_holdover[32] 20.6877 +*END + +*D_NET *2208 0.0199268 +*CONN +*I *2822:mprj_io_holdover[33] I *D chip_io +*I *2808:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[33] 0.000520517 +2 *2808:pad_gpio_holdover 0.000573797 +3 *2208:8 0.00220573 +4 *2208:7 0.00225901 +5 *2822:mprj_io_holdover[33] *2822:mprj_io_out[33] 0 +6 *2822:mprj_io_holdover[33] *2398:16 0 +7 *2208:7 *2246:7 0.00022558 +8 *2822:mprj_io_dm[101] *2822:mprj_io_holdover[33] 0 +9 *645:15 *2208:8 0.000981074 +10 *684:16 *2208:8 0.000177375 +11 *2056:16 *2208:8 0.00515557 +12 *2070:7 *2208:7 0.000253659 +13 *2070:8 *2208:8 0.00757453 +*RES +1 *2808:pad_gpio_holdover *2208:7 5.26774 +2 *2208:7 *2208:8 127.446 +3 *2208:8 *2822:mprj_io_holdover[33] 21.7969 +*END + +*D_NET *2209 0.0172379 +*CONN +*I *2822:mprj_io_holdover[34] I *D chip_io +*I *2809:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[34] 0.00201151 +2 *2809:pad_gpio_holdover 0.00201151 +3 *2822:mprj_io_holdover[34] *2822:mprj_io_ib_mode_sel[34] 0.00889863 +4 *619:20 *2822:mprj_io_holdover[34] 0.00414029 +5 *644:65 *2822:mprj_io_holdover[34] 0.000174474 +6 *2072:7 *2822:mprj_io_holdover[34] 0 +7 *2073:12 *2822:mprj_io_holdover[34] 1.48814e-06 +*RES +1 *2809:pad_gpio_holdover *2822:mprj_io_holdover[34] 26.0122 +*END + +*D_NET *2210 0.0189187 +*CONN +*I *2822:mprj_io_holdover[35] I *D chip_io +*I *2783:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[35] 0.000382166 +2 *2783:pad_gpio_holdover 0.000541901 +3 *2210:8 0.00209757 +4 *2210:7 0.00225731 +5 *2822:mprj_io_holdover[35] *2248:8 0 +6 *2210:7 *2783:pad_gpio_in 0 +7 *2210:7 *2248:7 0.000124846 +8 *2210:8 *2248:8 0 +9 *2210:8 *2286:11 9.97028e-05 +10 *2210:8 *2324:8 0.000288403 +11 *1745:13 *2210:8 0.00060588 +12 *2020:14 *2210:8 0.000439023 +13 *2058:8 *2210:8 0.00686655 +14 *2075:7 *2210:7 0 +15 *2075:8 *2210:8 0.00506634 +16 *2076:7 *2210:7 0.000148988 +17 *2076:8 *2210:8 0 +*RES +1 *2783:pad_gpio_holdover *2210:7 4.96178 +2 *2210:7 *2210:8 128.692 +3 *2210:8 *2822:mprj_io_holdover[35] 18.748 +*END + +*D_NET *2211 0.0180001 +*CONN +*I *2822:mprj_io_holdover[36] I *D chip_io +*I *2784:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[36] 0.00051147 +2 *2784:pad_gpio_holdover 0.000858842 +3 *2211:14 0.00180206 +4 *2211:13 0.00214943 +5 *2211:13 *2249:7 0.000254639 +6 *2211:13 *2439:8 0.00196064 +7 *2211:14 *2249:8 0.00292261 +8 *2211:14 *2439:8 0.00272426 +9 *2822:mprj_io_dm[110] *2822:mprj_io_holdover[36] 0 +10 *687:16 *2211:13 0.00196521 +11 *687:16 *2211:14 5.78792e-05 +12 *1745:13 *2211:13 0.000257706 +13 *1745:13 *2211:14 0.000799976 +14 *2080:15 *2211:13 0.000229787 +15 *2080:16 *2211:14 0.00150556 +*RES +1 *2784:pad_gpio_holdover *2211:13 43.7853 +2 *2211:13 *2211:14 95.8866 +3 *2211:14 *2822:mprj_io_holdover[36] 21.7969 +*END + +*D_NET *2212 0.0220534 +*CONN +*I *2822:mprj_io_holdover[37] I *D chip_io +*I *2785:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[37] 0.00119917 +2 *2785:pad_gpio_holdover 0.00125504 +3 *2212:16 0.00245421 +4 *2822:mprj_io_holdover[37] *2822:mprj_io_out[37] 0.00600066 +5 *2822:mprj_io_holdover[37] *2250:29 0.00481446 +6 *2822:mprj_io_holdover[37] *2326:27 3.21888e-05 +7 *2822:mprj_io_holdover[37] *2364:21 0.00163116 +8 *2822:mprj_io_holdover[37] *2402:21 5.56719e-05 +9 *2212:16 *2785:pad_gpio_in 0.000460277 +10 *2212:16 *2288:19 0.00110392 +11 *2212:16 *2326:27 0 +12 *2212:16 *2364:21 0 +13 *2822:mprj_io_analog_sel[37] *2822:mprj_io_holdover[37] 6.96236e-05 +14 *2822:mprj_io_dm[113] *2822:mprj_io_holdover[37] 0.00116396 +15 *2083:15 *2822:mprj_io_holdover[37] 0.00181309 +16 *2083:15 *2212:16 0 +*RES +1 *2785:pad_gpio_holdover *2212:16 47.7471 +2 *2212:16 *2822:mprj_io_holdover[37] 20.8171 +*END + +*D_NET *2213 0.0145919 +*CONN +*I *2822:mprj_io_holdover[3] I *D chip_io +*I *2798:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[3] 0.000343708 +2 *2798:pad_gpio_holdover 0.000505843 +3 *2213:16 0.000982044 +4 *2213:15 0.00114418 +5 *2822:mprj_io_holdover[3] *2822:mprj_io_out[3] 0 +6 *2213:15 *2251:13 0.000586594 +7 *2213:15 *2327:15 0 +8 *2213:16 *2403:14 1.2693e-05 +9 *2822:mprj_io_analog_sel[3] *2822:mprj_io_holdover[3] 5.65975e-05 +10 *670:14 *2213:16 0.00471338 +11 *2061:15 *2213:15 3.53886e-05 +12 *2084:13 *2213:15 0.000872703 +13 *2084:14 *2213:16 0.00469601 +14 *2181:13 *2213:15 0.000642796 +*RES +1 *2798:pad_gpio_holdover *2213:15 32.3372 +2 *2213:15 *2213:16 75.7469 +3 *2213:16 *2822:mprj_io_holdover[3] 17.4995 +*END + +*D_NET *2214 0.00698594 +*CONN +*I *2822:mprj_io_holdover[4] I *D chip_io +*I *2799:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[4] 0.00345219 +2 *2799:pad_gpio_holdover 0.00345219 +3 *2822:mprj_io_holdover[4] *2799:pad_gpio_in 0 +4 *2822:mprj_io_holdover[4] *2252:15 4.31122e-05 +5 *654:43 *2822:mprj_io_holdover[4] 0 +6 *2087:13 *2822:mprj_io_holdover[4] 3.84497e-05 +*RES +1 *2799:pad_gpio_holdover *2822:mprj_io_holdover[4] 18.7174 +*END + +*D_NET *2215 0.014769 +*CONN +*I *2822:mprj_io_holdover[5] I *D chip_io +*I *2800:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[5] 0.000341614 +2 *2800:pad_gpio_holdover 0.000498753 +3 *2215:14 0.00116829 +4 *2215:13 0.00132543 +5 *2215:13 *2253:7 2.72062e-05 +6 *2215:13 *2253:8 0 +7 *2215:13 *2329:13 0.000124349 +8 *2215:14 *2367:14 0.000505697 +9 *2215:14 *2405:14 0.00481609 +10 *2822:mprj_io_dm[17] *2822:mprj_io_holdover[5] 0 +11 *630:29 *2215:14 0.000737797 +12 *2063:14 *2215:14 0.0040811 +13 *2090:13 *2215:13 0.00114271 +*RES +1 *2800:pad_gpio_holdover *2215:13 28.7922 +2 *2215:13 *2215:14 82.3909 +3 *2215:14 *2822:mprj_io_holdover[5] 18.0946 +*END + +*D_NET *2216 0.013287 +*CONN +*I *2822:mprj_io_holdover[6] I *D chip_io +*I *2801:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[6] 0.000184106 +2 *2801:pad_gpio_holdover 0.00045364 +3 *2216:14 0.000866439 +4 *2216:13 0.00113597 +5 *2822:mprj_io_holdover[6] *2822:mprj_io_out[6] 0 +6 *2216:13 *2254:7 3.84497e-05 +7 *2216:13 *2368:8 0.000164682 +8 *2216:14 *2406:14 0 +9 *2822:mprj_io_analog_sel[6] *2822:mprj_io_holdover[6] 0.000171064 +10 *2822:mprj_io_dm[20] *2822:mprj_io_holdover[6] 0 +11 *630:47 *2216:14 0.00475023 +12 *2091:13 *2216:13 0.000320859 +13 *2092:13 *2216:13 0.000119662 +14 *2094:15 *2216:13 0.000396074 +15 *2094:16 *2216:14 0.00468585 +*RES +1 *2801:pad_gpio_holdover *2216:13 28.3685 +2 *2216:13 *2216:14 76.5774 +3 *2216:14 *2822:mprj_io_holdover[6] 14.1718 +*END + +*D_NET *2217 0.0642379 +*CONN +*I *2822:mprj_io_holdover[7] I *D chip_io +*I *2802:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[7] 0.000334771 +2 *2802:pad_gpio_holdover 0.000312382 +3 *2217:8 0.00459478 +4 *2217:7 0.0045724 +5 *2217:7 *2255:7 6.42836e-05 +6 *2217:8 *2255:8 0 +7 *2217:8 *2369:8 0.0304397 +8 *2217:8 *2445:14 0.00210046 +9 *2822:mprj_io_dm[23] *2822:mprj_io_holdover[7] 5.28744e-05 +10 *676:14 *2822:mprj_io_holdover[7] 0 +11 *2065:10 *2217:8 0 +12 *2097:7 *2217:7 0.000213021 +13 *2097:8 *2217:8 0.00879573 +14 *2100:10 *2217:8 0.0127574 +*RES +1 *2802:pad_gpio_holdover *2217:7 1.62542 +2 *2217:7 *2217:8 62.2318 +3 *2217:8 *2822:mprj_io_holdover[7] 7.28475 +*END + +*D_NET *2218 0.0693292 +*CONN +*I *2822:mprj_io_holdover[8] I *D chip_io +*I *2786:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[8] 7.66425e-05 +2 *2786:pad_gpio_holdover 0.000301462 +3 *2218:10 0.00389577 +4 *2218:9 0.00412059 +5 *2218:9 *2256:9 7.51118e-05 +6 *2218:10 *2255:8 0.0139675 +7 *2218:10 *2257:8 0.0127669 +8 *2218:10 *2370:10 0.0309327 +9 *2218:10 *2483:8 0.000383951 +10 *2066:8 *2218:10 0.00237293 +11 *2100:9 *2218:9 8.5409e-05 +12 *2103:8 *2218:10 0.000350273 +*RES +1 *2786:pad_gpio_holdover *2218:9 1.23299 +2 *2218:9 *2218:10 63.3748 +3 *2218:10 *2822:mprj_io_holdover[8] 4.74955 +*END + +*D_NET *2219 0.0676653 +*CONN +*I *2822:mprj_io_holdover[9] I *D chip_io +*I *2788:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2822:mprj_io_holdover[9] 0.000744838 +2 *2788:pad_gpio_holdover 0.00100496 +3 *2219:8 0.0111717 +4 *2219:7 0.0114318 +5 *2219:7 *2257:7 7.35121e-05 +6 *2219:8 *2221:8 0.0126209 +7 *2219:8 *2256:10 0.0142599 +8 *2219:8 *2295:11 0.00180025 +9 *2219:8 *2297:8 0.00112255 +10 *2219:8 *2333:14 0.00301834 +11 *2219:8 *2333:18 0.000823061 +12 *2219:8 *2371:8 0 +13 *2219:8 *2409:36 0.000651876 +14 *2219:8 *2447:14 0.00164082 +15 *2789:serial_clock *2219:8 0.000245749 +16 *2098:14 *2219:8 0.00151696 +17 *2101:14 *2219:8 0.00265457 +18 *2102:14 *2219:8 0.00280511 +19 *2103:7 *2219:7 7.83101e-05 +20 *2183:8 *2219:8 0 +*RES +1 *2788:pad_gpio_holdover *2219:7 2.5433 +2 *2219:7 *2219:8 63.4891 +3 *2219:8 *2822:mprj_io_holdover[9] 6.95688 +*END + +*D_NET *2220 0.0088974 +*CONN +*I *2822:mprj_io_ib_mode_sel[0] I *D chip_io +*I *2781:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[0] 0.00015218 +2 *2781:pad_gpio_ib_mode_sel 0.000497165 +3 *2220:14 0.00139693 +4 *2220:13 0.00174191 +5 *2220:13 *2781:pad_gpio_in 2.72062e-05 +6 *2220:13 *2334:8 5.82461e-05 +7 *2220:14 *2822:mprj_io_oeb[0] 0 +8 *2220:14 *2334:8 0 +9 *1992:13 *2220:13 0 +10 *2030:13 *2220:13 0.000463343 +11 *2030:14 *2822:mprj_io_ib_mode_sel[0] 6.94365e-05 +12 *2030:14 *2220:14 0.00350152 +13 *2068:13 *2220:13 7.77309e-06 +14 *2104:15 *2220:13 0.00038152 +15 *2182:13 *2220:13 0.000600169 +*RES +1 *2781:pad_gpio_ib_mode_sel *2220:13 31.4119 +2 *2220:13 *2220:14 56.23 +3 *2220:14 *2822:mprj_io_ib_mode_sel[0] 13.6794 +*END + +*D_NET *2221 0.0682738 +*CONN +*I *2822:mprj_io_ib_mode_sel[10] I *D chip_io +*I *2789:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[10] 0.000673779 +2 *2789:pad_gpio_ib_mode_sel 0.00085079 +3 *2221:8 0.0131311 +4 *2221:7 0.0133081 +5 *2822:mprj_io_ib_mode_sel[10] *2822:mprj_io_vtrip_sel[10] 1.74716e-05 +6 *2221:7 *2789:pad_gpio_in 2.11673e-05 +7 *2221:7 *2297:7 0 +8 *2221:8 *2297:8 0 +9 *2221:8 *2374:8 0.00637302 +10 *641:57 *2221:8 0.00229056 +11 *661:14 *2221:8 0.00220944 +12 *662:16 *2221:8 0.000621346 +13 *2101:14 *2221:8 0.00141657 +14 *2102:14 *2221:8 0.00112683 +15 *2105:16 *2221:8 0.00352809 +16 *2106:14 *2221:8 0.003593 +17 *2107:16 *2221:8 0.00415239 +18 *2108:14 *2822:mprj_io_ib_mode_sel[10] 0 +19 *2109:14 *2221:8 0.00187091 +20 *2110:8 *2221:8 0.000273133 +21 *2183:7 *2221:7 0.000195185 +22 *2219:8 *2221:8 0.0126209 +*RES +1 *2789:pad_gpio_ib_mode_sel *2221:7 2.69628 +2 *2221:7 *2221:8 65.5466 +3 *2221:8 *2822:mprj_io_ib_mode_sel[10] 7.52511 +*END + +*D_NET *2222 0.0698155 +*CONN +*I *2822:mprj_io_ib_mode_sel[11] I *D chip_io +*I *2790:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[11] 0.000180666 +2 *2790:pad_gpio_ib_mode_sel 0.000102973 +3 *2222:10 0.00441101 +4 *2222:9 0.00433332 +5 *2822:mprj_io_ib_mode_sel[11] *2822:mprj_io_oeb[11] 1.13978e-06 +6 *2222:9 *2790:pad_gpio_in 3.76849e-05 +7 *2222:10 *2335:8 0.000189249 +8 *2222:10 *2336:8 0.000319464 +9 *2222:10 *2450:8 0.0315897 +10 *1957:23 *2222:10 0.0133474 +11 *2031:8 *2222:10 0.0119137 +12 *2032:8 *2222:10 0.00337096 +13 *2184:7 *2222:9 1.83645e-05 +*RES +1 *2790:pad_gpio_ib_mode_sel *2222:9 0.717515 +2 *2222:9 *2222:10 65.5466 +3 *2222:10 *2822:mprj_io_ib_mode_sel[11] 5.39427 +*END + +*D_NET *2223 0.0817613 +*CONN +*I *2822:mprj_io_ib_mode_sel[12] I *D chip_io +*I *2791:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[12] 0.000308162 +2 *2791:pad_gpio_ib_mode_sel 0.000546641 +3 *2223:8 0.00452526 +4 *2223:7 0.00476373 +5 *2822:mprj_io_ib_mode_sel[12] *2822:mprj_io_oeb[12] 8.2204e-05 +6 *2822:mprj_io_ib_mode_sel[12] *2822:mprj_io_vtrip_sel[12] 6.76169e-05 +7 *2223:7 *2299:13 0 +8 *2223:8 *2261:11 0.00188116 +9 *2223:8 *2298:26 0.00119492 +10 *2223:8 *2337:8 0.0321853 +11 *2223:8 *2413:24 0.00193727 +12 *2223:8 *2451:8 0.0316276 +13 *2223:8 *2613:13 0.00014132 +14 *2822:mprj_io_dm[33] *2223:8 0.000131375 +15 *1957:30 *2223:8 0.000198292 +16 *2108:26 *2223:8 0.000978762 +17 *2117:26 *2822:mprj_io_ib_mode_sel[12] 0 +18 *2184:8 *2223:8 0.000491838 +19 *2185:7 *2223:7 0.000130636 +20 *2185:8 *2223:8 0.000319464 +21 *2186:20 *2223:8 0.000249698 +*RES +1 *2791:pad_gpio_ib_mode_sel *2223:7 1.7784 +2 *2223:7 *2223:8 65.4323 +3 *2223:8 *2822:mprj_io_ib_mode_sel[12] 6.40571 +*END + +*D_NET *2224 0.11246 +*CONN +*I *2822:mprj_io_ib_mode_sel[13] I *D chip_io +*I *2792:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[13] 0.000129082 +2 *2792:pad_gpio_ib_mode_sel 0.000111283 +3 *2224:8 0.00576759 +4 *2224:7 0.0057498 +5 *2822:mprj_io_ib_mode_sel[13] *2822:mprj_io_vtrip_sel[13] 4.327e-07 +6 *2224:7 *2792:pad_gpio_in 2.11673e-05 +7 *2224:8 *2338:16 0.000136859 +8 *2224:8 *2452:8 0.0504025 +9 *2034:10 *2224:8 0.0498681 +10 *2113:8 *2224:8 0.00010127 +11 *2117:8 *2224:8 0.000129642 +12 *2186:7 *2224:7 4.26995e-05 +*RES +1 *2792:pad_gpio_ib_mode_sel *2224:7 0.707538 +2 *2224:7 *2224:8 102.581 +3 *2224:8 *2822:mprj_io_ib_mode_sel[13] 5.65654 +*END + +*D_NET *2225 0.0259089 +*CONN +*I *2822:mprj_io_ib_mode_sel[14] I *D chip_io +*I *2793:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[14] 0.000514199 +2 *2793:pad_gpio_ib_mode_sel 0.000760925 +3 *2225:14 0.00391315 +4 *2225:13 0.00415988 +5 *2822:mprj_io_ib_mode_sel[14] *2822:mprj_io_oeb[14] 0 +6 *2225:13 *2793:pad_gpio_in 3.84497e-05 +7 *2225:14 *2377:18 8.03311e-05 +8 *2225:14 *2453:20 0.00546812 +9 *621:48 *2822:mprj_io_ib_mode_sel[14] 0 +10 *2035:14 *2225:14 0.0106872 +11 *2119:13 *2225:13 0 +12 *2120:15 *2225:13 0 +13 *2187:13 *2225:13 3.27908e-05 +14 *2187:14 *2225:14 0.00025386 +*RES +1 *2793:pad_gpio_ib_mode_sel *2225:13 29.7677 +2 *2225:13 *2225:14 198.246 +3 *2225:14 *2822:mprj_io_ib_mode_sel[14] 22.215 +*END + +*D_NET *2226 0.0599271 +*CONN +*I *2822:mprj_io_ib_mode_sel[15] I *D chip_io +*I *2794:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[15] 0.000496106 +2 *2794:pad_gpio_ib_mode_sel 0.00110649 +3 *2226:25 0.00785836 +4 *2226:24 0.00798558 +5 *2226:19 0.00333595 +6 *2226:18 0.00381911 +7 *2822:mprj_io_ib_mode_sel[15] *2822:mprj_io_oeb[15] 0.00058534 +8 *2822:mprj_io_ib_mode_sel[15] *2378:33 0 +9 *2822:mprj_io_ib_mode_sel[15] *2454:40 0.000722032 +10 *2226:18 *2794:pad_gpio_in 9.22833e-06 +11 *2226:18 *2302:24 4.97307e-06 +12 *2226:19 *2416:11 0 +13 *2226:24 *2302:30 0.000410435 +14 *2226:25 *2340:21 0.0300745 +15 *2226:25 *2454:17 6.08489e-05 +16 *2822:mprj_io_holdover[15] *2822:mprj_io_ib_mode_sel[15] 0.00035991 +17 *1960:16 *2226:18 0.00064924 +18 *2121:13 *2226:18 5.00728e-05 +19 *2121:15 *2226:18 0.00033295 +20 *2121:20 *2226:24 0.00132531 +21 *2123:32 *2822:mprj_io_ib_mode_sel[15] 0.000740664 +22 *2188:17 *2226:25 0 +*RES +1 *2794:pad_gpio_ib_mode_sel *2226:18 49.8042 +2 *2226:18 *2226:19 74.4857 +3 *2226:19 *2226:24 38.6539 +4 *2226:24 *2226:25 336.813 +5 *2226:25 *2822:mprj_io_ib_mode_sel[15] 27.8146 +*END + +*D_NET *2227 0.0192527 +*CONN +*I *2822:mprj_io_ib_mode_sel[16] I *D chip_io +*I *2795:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[16] 0.000149462 +2 *2795:pad_gpio_ib_mode_sel 7.96089e-05 +3 *2227:31 0.00236479 +4 *2227:11 0.0050369 +5 *2227:10 0.00290119 +6 *2227:10 *2795:pad_gpio_in 0 +7 *2227:11 *2455:11 0 +8 *2227:31 *2822:mprj_io_oeb[16] 6.48684e-05 +9 *2227:31 *2379:25 0.000255616 +10 *2227:31 *2455:16 0 +11 *2822:mprj_io_dm[48] *2227:31 0 +12 *2822:mprj_io_dm[50] *2227:31 0.00600799 +13 *2822:mprj_io_holdover[16] *2227:31 0 +14 *666:17 *2227:31 0.000709007 +15 *2127:26 *2227:31 0.00145474 +16 *2189:11 *2227:11 0 +17 *2189:19 *2227:31 0.000228518 +*RES +1 *2795:pad_gpio_ib_mode_sel *2227:10 8.46357 +2 *2227:10 *2227:11 77.8133 +3 *2227:11 *2227:31 48.0203 +4 *2227:31 *2822:mprj_io_ib_mode_sel[16] 5.13971 +*END + +*D_NET *2228 0.0438303 +*CONN +*I *2822:mprj_io_ib_mode_sel[17] I *D chip_io +*I *2796:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[17] 0.000167188 +2 *2796:pad_gpio_ib_mode_sel 7.96089e-05 +3 *2228:17 0.000508319 +4 *2228:11 0.00634512 +5 *2228:10 0.0060836 +6 *2822:mprj_io_ib_mode_sel[17] *2822:mprj_io_vtrip_sel[17] 0 +7 *2228:11 *2342:11 0.025645 +8 *2228:17 *2822:mprj_io_oeb[17] 0.00215451 +9 *2228:17 *2822:mprj_io_out[17] 0.000217335 +10 *2228:17 *2822:mprj_io_vtrip_sel[17] 0.000851014 +11 *2228:17 *2380:22 0.0017786 +*RES +1 *2796:pad_gpio_ib_mode_sel *2228:10 8.46357 +2 *2228:10 *2228:11 278.58 +3 *2228:11 *2228:17 46.8658 +4 *2228:17 *2822:mprj_io_ib_mode_sel[17] 7.06479 +*END + +*D_NET *2229 0.016515 +*CONN +*I *2822:mprj_io_ib_mode_sel[18] I *D chip_io +*I *2787:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[18] 0.000182974 +2 *2787:pad_gpio_ib_mode_sel 0.000556471 +3 *2229:27 0.000629414 +4 *2229:15 0.00505453 +5 *2229:13 0.00516456 +6 *2229:13 *2787:pad_gpio_in 0 +7 *2229:13 *2305:11 0.000477091 +8 *2229:15 *2305:11 0 +9 *2229:15 *2343:17 0.000638365 +10 *2229:15 *2628:11 0 +11 *2229:27 *2822:mprj_io_vtrip_sel[18] 0.000156579 +12 *2229:27 *2343:30 0.00207363 +13 *2229:27 *2457:22 0.000806469 +14 *2787:resetn *2229:15 0 +15 *1963:14 *2229:27 1.52448e-05 +16 *1963:17 *2229:27 0.000759667 +*RES +1 *2787:pad_gpio_ib_mode_sel *2229:13 26.1706 +2 *2229:13 *2229:15 132.996 +3 *2229:15 *2229:27 49.9147 +4 *2229:27 *2822:mprj_io_ib_mode_sel[18] 2.71135 +*END + +*D_NET *2230 0.0239142 +*CONN +*I *2822:mprj_io_ib_mode_sel[19] I *D chip_io +*I *2803:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[19] 0.000357645 +2 *2803:pad_gpio_ib_mode_sel 0.000634732 +3 *2230:17 0.00271963 +4 *2230:16 0.00290697 +5 *2230:11 0.00117972 +6 *2822:mprj_io_ib_mode_sel[19] *2822:mprj_io_oeb[19] 0 +7 *2822:mprj_io_ib_mode_sel[19] *2822:mprj_io_vtrip_sel[19] 0 +8 *2230:11 *2803:pad_gpio_in 3.25789e-05 +9 *2230:17 *2344:17 0.000644459 +10 *2230:17 *2382:13 0.00912711 +11 *2230:17 *2593:8 0.000379349 +12 *640:68 *2230:11 0.00362675 +13 *1715:26 *2230:11 0.000156463 +14 *1964:11 *2230:11 0.00201704 +15 *2134:11 *2230:11 0.000115059 +16 *2135:11 *2230:11 1.66869e-05 +*RES +1 *2803:pad_gpio_ib_mode_sel *2230:11 47.7305 +2 *2230:11 *2230:16 22.0438 +3 *2230:16 *2230:17 110.535 +4 *2230:17 *2822:mprj_io_ib_mode_sel[19] 14.3439 +*END + +*D_NET *2231 0.00640054 +*CONN +*I *2822:mprj_io_ib_mode_sel[1] I *D chip_io +*I *2782:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[1] 0.000137392 +2 *2782:pad_gpio_ib_mode_sel 0.000334717 +3 *2231:16 0.00256038 +4 *2231:15 0.0027577 +5 *2822:mprj_io_ib_mode_sel[1] *2822:mprj_io_vtrip_sel[1] 0 +6 *2822:mprj_io_ib_mode_sel[1] *2383:14 0 +7 *2231:15 *2782:pad_gpio_in 2.45091e-05 +8 *2231:15 *2307:13 9.83032e-05 +9 *2231:15 *2345:8 1.36313e-05 +10 *2231:15 *2383:13 0 +11 *2231:16 *2822:mprj_io_oeb[1] 0 +12 *2231:16 *2345:8 0 +13 *2231:16 *2383:14 0 +14 *2193:13 *2231:15 0.000473912 +*RES +1 *2782:pad_gpio_ib_mode_sel *2231:15 21.6039 +2 *2231:15 *2231:16 67.0266 +3 *2231:16 *2822:mprj_io_ib_mode_sel[1] 12.6474 +*END + +*D_NET *2232 0.0254398 +*CONN +*I *2822:mprj_io_ib_mode_sel[20] I *D chip_io +*I *2810:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[20] 0.00308861 +2 *2810:pad_gpio_ib_mode_sel 0.000531918 +3 *2232:16 0.00414432 +4 *2232:13 0.00158764 +5 *2822:mprj_io_ib_mode_sel[20] *2822:mprj_io_oeb[20] 0 +6 *2822:mprj_io_ib_mode_sel[20] *2822:mprj_io_vtrip_sel[20] 0 +7 *2822:mprj_io_ib_mode_sel[20] *2460:15 0.0128959 +8 *2822:mprj_io_ib_mode_sel[20] *2594:12 0 +9 *2232:13 *2384:11 0 +10 *2232:16 *2460:15 0.000618701 +11 *2822:mprj_io_dm[62] *2822:mprj_io_ib_mode_sel[20] 0 +12 *2004:13 *2232:13 0.000967899 +13 *2042:13 *2232:13 0.00117612 +14 *2140:13 *2232:13 0.000410521 +15 *2194:13 *2232:13 1.81331e-05 +*RES +1 *2810:pad_gpio_ib_mode_sel *2232:13 37.5349 +2 *2232:13 *2232:16 35.7218 +3 *2232:16 *2822:mprj_io_ib_mode_sel[20] 31.6049 +*END + +*D_NET *2233 0.0269206 +*CONN +*I *2822:mprj_io_ib_mode_sel[21] I *D chip_io +*I *2811:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[21] 0.000111211 +2 *2811:pad_gpio_ib_mode_sel 0.000467041 +3 *2233:17 0.00144746 +4 *2233:16 0.00199515 +5 *2233:11 0.00112595 +6 *2822:mprj_io_ib_mode_sel[21] *2822:mprj_io_oeb[21] 0 +7 *2822:mprj_io_ib_mode_sel[21] *2822:mprj_io_vtrip_sel[21] 8.03562e-06 +8 *2233:11 *2271:16 0.000187575 +9 *2233:17 *2822:mprj_io_slow_sel[21] 0.000427598 +10 *2233:17 *2347:17 4.9452e-05 +11 *2233:17 *2385:17 0.00755944 +12 *2233:17 *2461:17 0.000493488 +13 *2822:mprj_io_dm[63] *2233:17 0.00388656 +14 *2822:mprj_io_holdover[21] *2233:17 0 +15 *111:63 *2233:17 0 +16 *1967:13 *2233:11 0.00223826 +17 *2043:16 *2233:16 5.8218e-05 +18 *2043:17 *2233:17 0.00180769 +19 *2141:11 *2233:11 0.00298598 +20 *2142:11 *2233:11 9.65932e-05 +21 *2143:23 *2233:17 2.01874e-05 +22 *2195:11 *2233:11 0.000639528 +23 *2195:16 *2233:16 0.00131513 +*RES +1 *2811:pad_gpio_ib_mode_sel *2233:11 49.5965 +2 *2233:11 *2233:16 34.5014 +3 *2233:16 *2233:17 106.098 +4 *2233:17 *2822:mprj_io_ib_mode_sel[21] 7.3457 +*END + +*D_NET *2234 0.0296657 +*CONN +*I *2822:mprj_io_ib_mode_sel[22] I *D chip_io +*I *2812:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[22] 0.000363235 +2 *2812:pad_gpio_ib_mode_sel 0.000698513 +3 *2234:23 0.00270338 +4 *2234:22 0.00234015 +5 *2234:20 0.00193432 +6 *2234:19 0.00193432 +7 *2234:17 0.000698513 +8 *2822:mprj_io_ib_mode_sel[22] *2822:mprj_io_oeb[22] 0 +9 *2822:mprj_io_ib_mode_sel[22] *2822:mprj_io_vtrip_sel[22] 0 +10 *2234:17 *2812:pad_gpio_in 0.00282021 +11 *2234:17 *2272:21 4.69495e-06 +12 *2234:23 *2348:17 0.000169038 +13 *2234:23 *2462:17 0.0103019 +14 *2234:23 *2596:10 0.000572046 +15 *2812:mgmt_gpio_in *2234:17 0.000441022 +16 *1719:40 *2234:17 0.00190766 +17 *1968:19 *2234:17 0.000815957 +18 *2006:19 *2234:17 1.98294e-05 +19 *2044:14 *2234:20 0.00172852 +20 *2146:11 *2234:17 0.00021242 +*RES +1 *2812:pad_gpio_ib_mode_sel *2234:17 47.7333 +2 *2234:17 *2234:19 3.36879 +3 *2234:19 *2234:20 68.48 +4 *2234:20 *2234:22 4.5 +5 *2234:22 *2234:23 111.644 +6 *2234:23 *2822:mprj_io_ib_mode_sel[22] 14.7592 +*END + +*D_NET *2235 0.024527 +*CONN +*I *2822:mprj_io_ib_mode_sel[23] I *D chip_io +*I *2813:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[23] 8.04252e-05 +2 *2813:pad_gpio_ib_mode_sel 0.000113883 +3 *2235:17 0.00280273 +4 *2235:16 0.00296172 +5 *2235:11 0.000646271 +6 *2235:10 0.00052074 +7 *2235:11 *2273:20 0.000422194 +8 *2235:16 *2311:14 8.92568e-06 +9 *2235:16 *2672:11 0.00148341 +10 *2235:17 *2822:mprj_io_inp_dis[23] 0.00121675 +11 *2235:17 *2822:mprj_io_slow_sel[23] 0.00165605 +12 *2822:mprj_io_analog_en[23] *2235:17 5.04054e-06 +13 *2822:mprj_io_dm[69] *2235:17 0.000965932 +14 *2822:mprj_io_dm[71] *2235:17 0.000238969 +15 *2822:mprj_io_holdover[23] *2235:16 0.00146246 +16 *2822:mprj_io_holdover[23] *2235:17 0.000282082 +17 *2147:11 *2235:11 0.00446007 +18 *2197:11 *2235:11 0.00519936 +*RES +1 *2813:pad_gpio_ib_mode_sel *2235:10 8.46357 +2 *2235:10 *2235:11 56.7384 +3 *2235:11 *2235:16 33.2556 +4 *2235:16 *2235:17 90.5692 +5 *2235:17 *2822:mprj_io_ib_mode_sel[23] 6.64954 +*END + +*D_NET *2236 0.0201236 +*CONN +*I *2822:mprj_io_ib_mode_sel[24] I *D chip_io +*I *2814:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[24] 0.000516638 +2 *2814:pad_gpio_ib_mode_sel 1.65112e-05 +3 *2236:24 1.65112e-05 +4 *2236:8 0.00176514 +5 *2236:7 0.0012485 +6 *2236:5 0.00168354 +7 *2236:4 0.00168354 +8 *2822:mprj_io_ib_mode_sel[24] *2822:mprj_io_oeb[24] 0 +9 *2822:mprj_io_ib_mode_sel[24] *2822:mprj_io_out[24] 0 +10 *2236:5 *2274:17 0.00308271 +11 *2236:5 *2312:5 0 +12 *2236:5 *2388:5 0.00293711 +13 *2236:5 *2464:8 4.46284e-06 +14 *2236:8 *2274:16 0.00154897 +15 *2236:8 *2464:11 0.00561991 +16 *114:5 *2236:5 0 +*RES +1 *2814:pad_gpio_ib_mode_sel *2236:4 3.36879 +2 *2236:4 *2236:5 104.192 +3 *2236:5 *2236:7 4.5 +4 *2236:7 *2236:8 58.9568 +5 *2236:8 *2822:mprj_io_ib_mode_sel[24] 26.9311 +6 *2814:pad_gpio_ib_mode_sel *2236:24 0.0631875 +*END + +*D_NET *2237 0.0205852 +*CONN +*I *2822:mprj_io_ib_mode_sel[25] I *D chip_io +*I *2815:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[25] 0.000440218 +2 *2815:pad_gpio_ib_mode_sel 0.000162657 +3 *2237:22 0.00106515 +4 *2237:14 0.00140459 +5 *2237:13 0.00126288 +6 *2237:8 0.0015637 +7 *2237:7 0.00124314 +8 *2822:mprj_io_ib_mode_sel[25] *2822:mprj_io_oeb[25] 0 +9 *2237:7 *2815:pad_gpio_in 5.76421e-05 +10 *2237:7 *2313:7 0 +11 *2237:8 *2275:11 0.000715147 +12 *2237:8 *2465:8 0.00185328 +13 *2237:13 *2465:19 0.000290497 +14 *2237:22 *2389:22 0.000649613 +15 *2237:22 *2465:20 0.000337806 +16 *2822:mprj_io_analog_en[25] *2237:8 0.00042524 +17 *2822:mprj_io_analog_sel[25] *2237:22 5.04829e-06 +18 *106:43 *2822:mprj_io_ib_mode_sel[25] 0 +19 *115:32 *2237:13 7.98171e-06 +20 *115:36 *2237:14 0.00229051 +21 *115:39 *2237:22 1.2819e-05 +22 *632:37 *2237:22 0.00055967 +23 *632:55 *2237:8 0.000258117 +24 *633:29 *2237:14 0.00373116 +25 *642:52 *2237:14 0.000539902 +26 *694:8 *2237:22 9.35819e-05 +27 *2009:28 *2237:14 0.000175149 +28 *2047:13 *2237:8 0.00106542 +29 *2154:13 *2237:8 7.7278e-05 +30 *2156:8 *2237:8 0 +31 *2199:7 *2237:7 9.21018e-05 +32 *2199:8 *2237:22 0.000204856 +*RES +1 *2815:pad_gpio_ib_mode_sel *2237:7 3.9674 +2 *2237:7 *2237:8 63.9122 +3 *2237:8 *2237:13 20.2609 +4 *2237:13 *2237:14 59.9673 +5 *2237:14 *2237:22 43.8829 +6 *2237:22 *2822:mprj_io_ib_mode_sel[25] 14.3874 +*END + +*D_NET *2238 0.0223846 +*CONN +*I *2822:mprj_io_ib_mode_sel[26] I *D chip_io +*I *2816:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[26] 0.000453417 +2 *2816:pad_gpio_ib_mode_sel 0.000856614 +3 *2238:34 0.000939418 +4 *2238:33 0.00135934 +5 *2238:27 0.00173589 +6 *2238:15 0.00143968 +7 *2238:12 0.00143375 +8 *2822:mprj_io_ib_mode_sel[26] *2822:mprj_io_oeb[26] 0 +9 *2822:mprj_io_ib_mode_sel[26] *2822:mprj_io_vtrip_sel[26] 0 +10 *2238:27 *2390:12 0.00174387 +11 *2238:27 *2428:8 0.000579483 +12 *2238:27 *2600:19 0.000358893 +13 *2238:33 *2822:mprj_io_slow_sel[26] 0 +14 *2238:33 *2600:19 0 +15 *2238:34 *2314:12 0.000441245 +16 *2822:mprj_io_analog_en[26] *2238:33 0 +17 *2822:mprj_io_analog_pol[26] *2238:33 1.44113e-05 +18 *2822:mprj_io_dm[78] *2238:33 0.000150501 +19 *2822:mprj_io_dm[79] *2238:33 0 +20 *2822:mprj_io_holdover[26] *2238:34 0.00139552 +21 *106:37 *2238:27 0.000229988 +22 *106:37 *2238:33 0.000248171 +23 *116:10 *2238:12 0.00218088 +24 *116:15 *2238:15 0.00226329 +25 *617:14 *2822:mprj_io_ib_mode_sel[26] 0 +26 *617:14 *2238:33 0.000788158 +27 *618:34 *2238:34 0.000341794 +28 *632:20 *2238:34 0.00291625 +29 *695:16 *2238:34 0.000505697 +30 *2200:26 *2238:34 8.35615e-06 +*RES +1 *2816:pad_gpio_ib_mode_sel *2238:12 43.3547 +2 *2238:12 *2238:15 29.0714 +3 *2238:15 *2238:27 37.71 +4 *2238:27 *2238:33 40.1451 +5 *2238:33 *2238:34 47.0945 +6 *2238:34 *2822:mprj_io_ib_mode_sel[26] 19.9938 +*END + +*D_NET *2239 0.0224601 +*CONN +*I *2822:mprj_io_ib_mode_sel[27] I *D chip_io +*I *2817:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[27] 0.000568663 +2 *2817:pad_gpio_ib_mode_sel 0.000131939 +3 *2239:20 0.00110107 +4 *2239:19 0.000532403 +5 *2239:17 0.00125021 +6 *2239:8 0.00217612 +7 *2239:7 0.00105786 +8 *2822:mprj_io_ib_mode_sel[27] *2822:mprj_io_oeb[27] 4.76719e-05 +9 *2822:mprj_io_ib_mode_sel[27] *2822:mprj_io_vtrip_sel[27] 0 +10 *2239:7 *2817:pad_gpio_in 6.89476e-05 +11 *2239:7 *2315:7 0 +12 *2239:8 *2391:8 0.00132724 +13 *2239:17 *2391:19 0.000837016 +14 *2239:17 *2467:13 5.04829e-06 +15 *2239:20 *2391:22 0.000440483 +16 *2239:20 *2391:26 9.71323e-06 +17 *2822:mprj_io_analog_en[27] *2239:20 3.66465e-05 +18 *102:49 *2239:17 0.000167137 +19 *102:49 *2239:20 0.000666715 +20 *117:8 *2239:8 0.0036339 +21 *117:21 *2239:8 0.000369038 +22 *634:13 *2822:mprj_io_ib_mode_sel[27] 1.82679e-05 +23 *657:20 *2239:20 0.00359789 +24 *696:8 *2239:17 0.000167891 +25 *696:8 *2239:20 0.00414486 +26 *2201:7 *2239:7 0.000103407 +*RES +1 *2817:pad_gpio_ib_mode_sel *2239:7 3.9674 +2 *2239:7 *2239:8 64.7427 +3 *2239:8 *2239:17 48.0845 +4 *2239:17 *2239:19 4.5 +5 *2239:19 *2239:20 66.1961 +6 *2239:20 *2822:mprj_io_ib_mode_sel[27] 23.1848 +*END + +*D_NET *2240 0.0157514 +*CONN +*I *2822:mprj_io_ib_mode_sel[28] I *D chip_io +*I *2818:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[28] 0.000933384 +2 *2818:pad_gpio_ib_mode_sel 0.000131939 +3 *2240:20 0.00198509 +4 *2240:19 0.00171921 +5 *2240:8 0.00215817 +6 *2240:7 0.0016226 +7 *2822:mprj_io_ib_mode_sel[28] *2822:mprj_io_out[28] 0 +8 *2822:mprj_io_ib_mode_sel[28] *2822:mprj_io_vtrip_sel[28] 0 +9 *2240:7 *2818:pad_gpio_in 6.89476e-05 +10 *2240:7 *2392:7 0 +11 *2240:8 *2278:11 0.000165125 +12 *2240:8 *2316:8 0.00165977 +13 *2240:8 *2430:8 0 +14 *2240:20 *2822:mprj_io_inp_dis[28] 0 +15 *2240:20 *2822:mprj_io_slow_sel[28] 0 +16 *2240:20 *2603:20 0 +17 *2822:mprj_io_analog_en[28] *2240:20 0 +18 *2822:mprj_io_analog_pol[28] *2240:20 0 +19 *2822:mprj_io_analog_sel[28] *2822:mprj_io_ib_mode_sel[28] 1.53125e-05 +20 *2822:mprj_io_analog_sel[28] *2240:20 0 +21 *2822:mprj_io_dm[84] *2240:20 0 +22 *2822:mprj_io_dm[85] *2240:20 0 +23 *2822:mprj_io_dm[86] *2822:mprj_io_ib_mode_sel[28] 1.90694e-05 +24 *2822:mprj_io_holdover[28] *2822:mprj_io_ib_mode_sel[28] 0 +25 *106:37 *2822:mprj_io_ib_mode_sel[28] 0.00021943 +26 *106:37 *2240:19 0.000458838 +27 *106:37 *2240:20 0.000589698 +28 *617:14 *2822:mprj_io_ib_mode_sel[28] 0.000494687 +29 *617:14 *2240:20 0.00287101 +30 *2050:37 *2240:8 0.000535672 +31 *2202:7 *2240:7 0.000103407 +*RES +1 *2818:pad_gpio_ib_mode_sel *2240:7 3.9674 +2 *2240:7 *2240:8 64.1198 +3 *2240:8 *2240:19 10.0431 +4 *2240:19 *2240:20 46.4716 +5 *2240:20 *2822:mprj_io_ib_mode_sel[28] 49.1207 +*END + +*D_NET *2241 0.0675276 +*CONN +*I *2822:mprj_io_ib_mode_sel[29] I *D chip_io +*I *2804:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[29] 0.000355692 +2 *2804:pad_gpio_ib_mode_sel 0.000683789 +3 *2241:38 0.00157356 +4 *2241:37 0.00121787 +5 *2241:35 0.00476873 +6 *2241:34 0.00525599 +7 *2241:23 0.00318784 +8 *2241:22 0.00270059 +9 *2241:20 0.00152329 +10 *2241:12 0.00220708 +11 *2822:mprj_io_ib_mode_sel[29] *2822:mprj_io_vtrip_sel[29] 0 +12 *101:19 *2241:35 0.0201052 +13 *106:37 *2241:38 0.000905377 +14 *617:70 *2241:38 0.00134141 +15 *641:12 *2822:mprj_io_ib_mode_sel[29] 0 +16 *641:12 *2241:38 0.000682126 +17 *2013:10 *2241:38 0.00371287 +18 *2203:16 *2241:12 0.00144801 +19 *2203:16 *2241:20 0.000223845 +20 *2203:19 *2241:23 0.00940777 +21 *2203:28 *2241:34 0.00104898 +22 *2203:31 *2241:35 0.000719534 +23 *2203:34 *2241:38 0.00445805 +*RES +1 *2804:pad_gpio_ib_mode_sel *2241:12 33.8605 +2 *2241:12 *2241:20 47.3752 +3 *2241:20 *2241:22 4.5 +4 *2241:22 *2241:23 116.081 +5 *2241:23 *2241:34 46.0568 +6 *2241:34 *2241:35 227.002 +7 *2241:35 *2241:37 4.5 +8 *2241:37 *2241:38 104.399 +9 *2241:38 *2822:mprj_io_ib_mode_sel[29] 18.1284 +*END + +*D_NET *2242 0.0107809 +*CONN +*I *2822:mprj_io_ib_mode_sel[2] I *D chip_io +*I *2797:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[2] 0.000279179 +2 *2797:pad_gpio_ib_mode_sel 0.000526069 +3 *2242:14 0.00102575 +4 *2242:13 0.00127264 +5 *2822:mprj_io_ib_mode_sel[2] *2822:mprj_io_oeb[2] 0 +6 *2822:mprj_io_ib_mode_sel[2] *2822:mprj_io_vtrip_sel[2] 0 +7 *2242:13 *2797:pad_gpio_in 0.000162799 +8 *2242:14 *2356:14 0.00373744 +9 *2242:14 *2394:14 2.01595e-05 +10 *110:52 *2822:mprj_io_ib_mode_sel[2] 0 +11 *626:65 *2822:mprj_io_ib_mode_sel[2] 6.1557e-05 +12 *626:66 *2242:14 8.88627e-05 +13 *650:56 *2242:14 0.00176662 +14 *669:29 *2822:mprj_io_ib_mode_sel[2] 0.000464099 +15 *669:30 *2242:13 1.09128e-05 +16 *1976:20 *2242:14 0.000205332 +17 *2148:13 *2242:13 0 +18 *2204:13 *2242:13 0.00115951 +*RES +1 *2797:pad_gpio_ib_mode_sel *2242:13 30.0352 +2 *2242:13 *2242:14 62.0436 +3 *2242:14 *2822:mprj_io_ib_mode_sel[2] 18.0929 +*END + +*D_NET *2243 0.0230821 +*CONN +*I *2822:mprj_io_ib_mode_sel[30] I *D chip_io +*I *2805:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[30] 0.000532475 +2 *2805:pad_gpio_ib_mode_sel 0.000383308 +3 *2243:14 0.00149606 +4 *2243:13 0.00112056 +5 *2243:8 0.000764918 +6 *2243:7 0.000991246 +7 *2822:mprj_io_ib_mode_sel[30] *2822:mprj_io_vtrip_sel[30] 0 +8 *2822:mprj_io_ib_mode_sel[30] *2357:20 0 +9 *2243:7 *2805:pad_gpio_in 6.89476e-05 +10 *2243:7 *2319:7 0 +11 *2243:7 *2395:7 0 +12 *2243:13 *2319:13 5.04829e-06 +13 *2243:13 *2395:13 1.03403e-05 +14 *2243:14 *2395:8 7.90605e-05 +15 *2243:14 *2395:14 0.000332901 +16 *2243:14 *2471:14 0 +17 *106:37 *2243:8 0.000417908 +18 *618:8 *2243:8 0.00409073 +19 *642:13 *2243:13 5.20754e-06 +20 *642:14 *2243:14 0.00505202 +21 *681:8 *2243:8 0.000146685 +22 *2053:8 *2243:14 0.00355398 +23 *2171:8 *2243:8 0.00348199 +24 *2173:13 *2243:8 0.000275937 +25 *2173:14 *2243:14 1.87269e-05 +26 *2205:7 *2243:7 0.000254003 +*RES +1 *2805:pad_gpio_ib_mode_sel *2243:7 4.88529 +2 *2243:7 *2243:8 65.9885 +3 *2243:8 *2243:13 11.9418 +4 *2243:13 *2243:14 83.2214 +5 *2243:14 *2822:mprj_io_ib_mode_sel[30] 21.7969 +*END + +*D_NET *2244 0.0211219 +*CONN +*I *2822:mprj_io_ib_mode_sel[31] I *D chip_io +*I *2806:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[31] 0.000297169 +2 *2806:pad_gpio_ib_mode_sel 0.000456954 +3 *2244:8 0.00222203 +4 *2244:7 0.00238182 +5 *2822:mprj_io_ib_mode_sel[31] *2822:mprj_io_vtrip_sel[31] 0.000720481 +6 *2822:mprj_io_ib_mode_sel[31] *2720:14 0 +7 *2244:7 *2806:pad_gpio_in 5.02212e-05 +8 *2244:7 *2320:7 0 +9 *2244:8 *2358:12 0 +10 *2244:8 *2396:8 0.0055988 +11 *2244:8 *2396:12 0.000192552 +12 *2244:8 *2472:8 0.0013191 +13 *682:8 *2244:8 0.0012353 +14 *2016:16 *2244:8 0.00536189 +15 *2176:14 *2244:8 0.00106884 +16 *2206:7 *2244:7 0.000216767 +*RES +1 *2806:pad_gpio_ib_mode_sel *2244:7 4.96178 +2 *2244:7 *2244:8 148.624 +3 *2244:8 *2822:mprj_io_ib_mode_sel[31] 19.5785 +*END + +*D_NET *2245 0.0218611 +*CONN +*I *2822:mprj_io_ib_mode_sel[32] I *D chip_io +*I *2807:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[32] 0.000534056 +2 *2807:pad_gpio_ib_mode_sel 0.000581745 +3 *2245:8 0.00200901 +4 *2245:7 0.0020567 +5 *2822:mprj_io_ib_mode_sel[32] *2822:mprj_io_oeb[32] 0 +6 *2822:mprj_io_ib_mode_sel[32] *2822:mprj_io_vtrip_sel[32] 0 +7 *2245:7 *2807:pad_gpio_in 6.86894e-05 +8 *2245:7 *2397:7 0 +9 *2245:8 *2359:8 0.00505555 +10 *2245:8 *2359:14 0.000477386 +11 *683:8 *2245:8 0.00938187 +12 *2179:7 *2245:7 0 +13 *2179:14 *2245:8 0.00141179 +14 *2207:7 *2245:7 0.000284267 +*RES +1 *2807:pad_gpio_ib_mode_sel *2245:7 5.49721 +2 *2245:7 *2245:8 149.869 +3 *2245:8 *2822:mprj_io_ib_mode_sel[32] 22.4532 +*END + +*D_NET *2246 0.0226747 +*CONN +*I *2822:mprj_io_ib_mode_sel[33] I *D chip_io +*I *2808:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[33] 0.000399917 +2 *2808:pad_gpio_ib_mode_sel 0.000544754 +3 *2246:8 0.00231023 +4 *2246:7 0.00245507 +5 *2822:mprj_io_ib_mode_sel[33] *2822:mprj_io_vtrip_sel[33] 0 +6 *2246:7 *2322:7 0 +7 *2246:8 *2322:14 0.000236262 +8 *2246:8 *2398:14 0.000192 +9 *2246:8 *2398:16 0 +10 *2246:8 *2474:8 0.00905951 +11 *645:15 *2246:8 0.00155459 +12 *684:16 *2246:8 9.66387e-05 +13 *2180:8 *2246:8 0.00560012 +14 *2208:7 *2246:7 0.00022558 +*RES +1 *2808:pad_gpio_ib_mode_sel *2246:7 4.96178 +2 *2246:7 *2246:8 148.624 +3 *2246:8 *2822:mprj_io_ib_mode_sel[33] 19.5785 +*END + +*D_NET *2247 0.0225623 +*CONN +*I *2822:mprj_io_ib_mode_sel[34] I *D chip_io +*I *2809:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[34] 0.00171666 +2 *2809:pad_gpio_ib_mode_sel 0.00171666 +3 *2822:mprj_io_ib_mode_sel[34] *2809:pad_gpio_in 4.99467e-05 +4 *2822:mprj_io_ib_mode_sel[34] *2822:mprj_io_oeb[34] 0.000480199 +5 *2822:mprj_io_ib_mode_sel[34] *2822:mprj_io_out[34] 0.00926698 +6 *2822:mprj_io_holdover[34] *2822:mprj_io_ib_mode_sel[34] 0.00889863 +7 *619:20 *2822:mprj_io_ib_mode_sel[34] 0.000433199 +*RES +1 *2809:pad_gpio_ib_mode_sel *2822:mprj_io_ib_mode_sel[34] 28.0492 +*END + +*D_NET *2248 0.0170771 +*CONN +*I *2822:mprj_io_ib_mode_sel[35] I *D chip_io +*I *2783:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[35] 0.000238032 +2 *2783:pad_gpio_ib_mode_sel 0.000383671 +3 *2248:8 0.0035731 +4 *2248:7 0.00371874 +5 *2248:7 *2783:pad_gpio_in 0.000124846 +6 *2248:8 *2822:mprj_io_inp_dis[35] 0 +7 *2248:8 *2822:mprj_io_out[35] 0 +8 *2248:8 *2822:mprj_io_vtrip_sel[35] 0 +9 *2248:8 *2438:8 9.22975e-05 +10 *2822:mprj_io_analog_pol[35] *2248:8 0 +11 *2822:mprj_io_analog_sel[35] *2248:8 0 +12 *2822:mprj_io_dm[105] *2248:8 0 +13 *2822:mprj_io_dm[107] *2248:8 0 +14 *2822:mprj_io_holdover[35] *2248:8 0 +15 *619:20 *2248:8 0.000694257 +16 *1733:8 *2248:8 0.000569924 +17 *1982:13 *2248:8 0 +18 *2074:23 *2248:8 0.00395541 +19 *2076:8 *2248:8 0.003602 +20 *2210:7 *2248:7 0.000124846 +21 *2210:8 *2248:8 0 +*RES +1 *2783:pad_gpio_ib_mode_sel *2248:7 4.50284 +2 *2248:7 *2248:8 149.869 +3 *2248:8 *2822:mprj_io_ib_mode_sel[35] 15.1945 +*END + +*D_NET *2249 0.0142588 +*CONN +*I *2822:mprj_io_ib_mode_sel[36] I *D chip_io +*I *2784:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[36] 0.000499078 +2 *2784:pad_gpio_ib_mode_sel 0.000663601 +3 *2249:8 0.00452181 +4 *2249:7 0.00468633 +5 *2249:7 *2325:10 0 +6 *2249:8 *2439:8 0.000710699 +7 *687:16 *2249:8 0 +8 *2211:13 *2249:7 0.000254639 +9 *2211:14 *2249:8 0.00292261 +*RES +1 *2784:pad_gpio_ib_mode_sel *2249:7 5.42072 +2 *2249:7 *2249:8 149.454 +3 *2249:8 *2822:mprj_io_ib_mode_sel[36] 22.0634 +*END + +*D_NET *2250 0.0243327 +*CONN +*I *2822:mprj_io_ib_mode_sel[37] I *D chip_io +*I *2785:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[37] 0.000125292 +2 *2785:pad_gpio_ib_mode_sel 0.000512831 +3 *2250:29 0.00235905 +4 *2250:15 0.00274659 +5 *2250:15 *2785:pad_gpio_in 0.000703474 +6 *2250:15 *2326:15 0.000562443 +7 *2250:15 *2402:15 0.000282893 +8 *2250:29 *2822:mprj_io_oeb[37] 0.00274303 +9 *2250:29 *2822:mprj_io_out[37] 7.29341e-05 +10 *2250:29 *2288:19 0.000318594 +11 *2250:29 *2326:27 0.00699212 +12 *2250:29 *2364:21 0.000882482 +13 *2822:mprj_io_analog_sel[37] *2250:29 4.44899e-05 +14 *2822:mprj_io_dm[113] *2250:29 0.00117204 +15 *2822:mprj_io_holdover[37] *2250:29 0.00481446 +16 *2083:15 *2250:15 0 +*RES +1 *2785:pad_gpio_ib_mode_sel *2250:15 30.5679 +2 *2250:15 *2250:29 49.3907 +3 *2250:29 *2822:mprj_io_ib_mode_sel[37] 6.7763 +*END + +*D_NET *2251 0.00756949 +*CONN +*I *2822:mprj_io_ib_mode_sel[3] I *D chip_io +*I *2798:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[3] 0.000194065 +2 *2798:pad_gpio_ib_mode_sel 0.000446321 +3 *2251:14 0.00162052 +4 *2251:13 0.00187277 +5 *2251:13 *2798:pad_gpio_in 3.84497e-05 +6 *2251:13 *2365:8 0.000167862 +7 *2251:14 *2822:mprj_io_oeb[3] 0 +8 *2251:14 *2365:8 0 +9 *111:30 *2251:14 0 +10 *2061:15 *2251:13 0.000484359 +11 *2061:16 *2822:mprj_io_ib_mode_sel[3] 0 +12 *2061:16 *2251:14 0.00166883 +13 *2084:13 *2251:13 0.000489728 +14 *2213:15 *2251:13 0.000586594 +*RES +1 *2798:pad_gpio_ib_mode_sel *2251:13 31.8271 +2 *2251:13 *2251:14 55.3995 +3 *2251:14 *2822:mprj_io_ib_mode_sel[3] 14.0325 +*END + +*D_NET *2252 0.00681345 +*CONN +*I *2822:mprj_io_ib_mode_sel[4] I *D chip_io +*I *2799:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[4] 0.00139264 +2 *2799:pad_gpio_ib_mode_sel 0.000266525 +3 *2252:18 0.00258962 +4 *2252:15 0.00146351 +5 *2822:mprj_io_ib_mode_sel[4] *2822:mprj_io_oeb[4] 0 +6 *2822:mprj_io_ib_mode_sel[4] *2822:mprj_io_vtrip_sel[4] 0 +7 *2822:mprj_io_ib_mode_sel[4] *2366:8 0 +8 *2252:15 *2799:pad_gpio_in 0.000131094 +9 *2252:15 *2328:15 0.000651906 +10 *2252:15 *2366:8 8.62321e-06 +11 *2252:18 *2366:8 0 +12 *2822:mprj_io_holdover[4] *2252:15 4.31122e-05 +13 *654:43 *2252:18 0.000266423 +14 *671:14 *2822:mprj_io_ib_mode_sel[4] 0 +15 *671:14 *2252:18 0 +*RES +1 *2799:pad_gpio_ib_mode_sel *2252:15 21.7433 +2 *2252:15 *2252:18 32.6706 +3 *2252:18 *2822:mprj_io_ib_mode_sel[4] 48.1331 +*END + +*D_NET *2253 0.00659928 +*CONN +*I *2822:mprj_io_ib_mode_sel[5] I *D chip_io +*I *2800:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[5] 3.05493e-05 +2 *2800:pad_gpio_ib_mode_sel 0.000314108 +3 *2253:8 0.00263861 +4 *2253:7 0.00292217 +5 *2253:7 *2800:pad_gpio_in 3.59644e-05 +6 *2253:8 *2822:mprj_io_oeb[5] 8.1645e-05 +7 *2253:8 *2329:13 0 +8 *2822:mprj_io_dm[16] *2253:8 0.00054903 +9 *1738:29 *2253:8 0 +10 *1987:13 *2253:8 0 +11 *2025:13 *2253:8 0 +12 *2063:13 *2253:8 0 +13 *2088:13 *2253:8 0 +14 *2090:13 *2253:8 0 +15 *2215:13 *2253:7 2.72062e-05 +16 *2215:13 *2253:8 0 +*RES +1 *2800:pad_gpio_ib_mode_sel *2253:7 4.19688 +2 *2253:7 *2253:8 72.8401 +3 *2253:8 *2822:mprj_io_ib_mode_sel[5] 0.647305 +*END + +*D_NET *2254 0.00810803 +*CONN +*I *2822:mprj_io_ib_mode_sel[6] I *D chip_io +*I *2801:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[6] 2.27669e-05 +2 *2801:pad_gpio_ib_mode_sel 0.000277932 +3 *2254:8 0.00171005 +4 *2254:7 0.00196521 +5 *2822:mprj_io_ib_mode_sel[6] *2822:mprj_io_vtrip_sel[6] 0 +6 *2254:7 *2801:pad_gpio_in 3.84497e-05 +7 *2254:8 *2822:mprj_io_oeb[6] 0 +8 *2254:8 *2368:8 0 +9 *630:47 *2254:8 0.000387981 +10 *673:20 *2254:8 0 +11 *2064:14 *2254:8 0.0036672 +12 *2216:13 *2254:7 3.84497e-05 +*RES +1 *2801:pad_gpio_ib_mode_sel *2254:7 4.19688 +2 *2254:7 *2254:8 72.4249 +3 *2254:8 *2822:mprj_io_ib_mode_sel[6] 0.647305 +*END + +*D_NET *2255 0.0624451 +*CONN +*I *2822:mprj_io_ib_mode_sel[7] I *D chip_io +*I *2802:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[7] 0.00011699 +2 *2802:pad_gpio_ib_mode_sel 0.00017755 +3 *2255:8 0.00785361 +4 *2255:7 0.00791417 +5 *2255:7 *2802:pad_gpio_in 2.50257e-05 +6 *2255:7 *2407:13 0 +7 *2255:8 *2369:8 3.15058e-05 +8 *2255:8 *2483:8 0.00551226 +9 *2822:mprj_io_analog_sel[7] *2255:8 0 +10 *116:64 *2255:8 0.000191158 +11 *116:65 *2255:8 0.000550669 +12 *632:87 *2255:8 0.0017491 +13 *656:50 *2255:8 0.000613356 +14 *656:54 *2255:8 0.00215736 +15 *656:58 *2255:8 0.00143367 +16 *676:8 *2255:8 0.00123884 +17 *676:14 *2822:mprj_io_ib_mode_sel[7] 0 +18 *1990:13 *2255:8 0 +19 *2028:13 *2255:8 8.49896e-06 +20 *2065:10 *2255:8 0.00410399 +21 *2066:8 *2255:8 0.0147356 +22 *2217:7 *2255:7 6.42836e-05 +23 *2217:8 *2255:8 0 +24 *2218:10 *2255:8 0.0139675 +*RES +1 *2802:pad_gpio_ib_mode_sel *2255:7 0.860519 +2 *2255:7 *2255:8 64.6321 +3 *2255:8 *2822:mprj_io_ib_mode_sel[7] 5.58005 +*END + +*D_NET *2256 0.0782925 +*CONN +*I *2822:mprj_io_ib_mode_sel[8] I *D chip_io +*I *2786:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[8] 0.000557089 +2 *2786:pad_gpio_ib_mode_sel 0.00105514 +3 *2256:10 0.00773716 +4 *2256:9 0.00823521 +5 *2822:mprj_io_ib_mode_sel[8] *2822:mprj_io_oeb[8] 1.93857e-05 +6 *2822:mprj_io_ib_mode_sel[8] *2822:mprj_io_vtrip_sel[8] 0 +7 *2822:mprj_io_ib_mode_sel[8] *2409:30 0 +8 *2256:9 *2786:pad_gpio_in 8.53327e-05 +9 *2256:9 *2408:9 0 +10 *2256:10 *2295:11 0.00122857 +11 *2256:10 *2331:14 0.00150167 +12 *2256:10 *2371:8 0 +13 *2256:10 *2407:14 0.00159945 +14 *2256:10 *2408:10 0.000246345 +15 *2256:10 *2447:14 0.00187245 +16 *2256:10 *2484:10 0.0316658 +17 *1989:14 *2256:10 0.00132849 +18 *2027:14 *2256:10 0.00129258 +19 *2095:8 *2256:10 0.00149605 +20 *2096:14 *2256:10 0.00138915 +21 *2097:8 *2256:10 0.000156378 +22 *2098:14 *2256:10 0.00249125 +23 *2100:9 *2256:9 0 +24 *2218:9 *2256:9 7.51118e-05 +25 *2219:8 *2256:10 0.0142599 +*RES +1 *2786:pad_gpio_ib_mode_sel *2256:9 2.60982 +2 *2256:9 *2256:10 65.5466 +3 *2256:10 *2822:mprj_io_ib_mode_sel[8] 6.18712 +*END + +*D_NET *2257 0.0609783 +*CONN +*I *2822:mprj_io_ib_mode_sel[9] I *D chip_io +*I *2788:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_ib_mode_sel[9] 0.000119705 +2 *2788:pad_gpio_ib_mode_sel 0.000132807 +3 *2257:8 0.00630518 +4 *2257:7 0.00631828 +5 *2257:7 *2788:pad_gpio_in 4.31122e-05 +6 *2257:7 *2333:13 0 +7 *2257:8 *2335:8 0.0131722 +8 *2257:8 *2370:10 0.000610432 +9 *2257:8 *2373:8 5.95141e-05 +10 *2257:8 *2485:8 0.00052442 +11 *2788:serial_clock_out *2257:8 0.00358235 +12 *2031:8 *2257:8 0.0041127 +13 *2066:8 *2257:8 0.0121501 +14 *2103:30 *2257:8 0.00100707 +15 *2218:10 *2257:8 0.0127669 +16 *2219:7 *2257:7 7.35121e-05 +*RES +1 *2788:pad_gpio_ib_mode_sel *2257:7 0.860519 +2 *2257:7 *2257:8 65.6609 +3 *2257:8 *2822:mprj_io_ib_mode_sel[9] 5.58005 +*END + +*D_NET *2258 0.0185331 +*CONN +*I *2781:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[0] O *D chip_io +*CAP +1 *2781:pad_gpio_in 0.00418242 +2 *2822:mprj_io_in[0] 0.00418242 +3 *2781:pad_gpio_in *2822:mprj_io_slow_sel[0] 0.00995524 +4 *2781:pad_gpio_in *2296:13 3.64393e-05 +5 *2781:pad_gpio_in *2334:8 0.000149352 +6 *2220:13 *2781:pad_gpio_in 2.72062e-05 +*RES +1 *2822:mprj_io_in[0] *2781:pad_gpio_in 28.6198 +*END + +*D_NET *2259 0.0519115 +*CONN +*I *2789:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[10] O *D chip_io +*CAP +1 *2789:pad_gpio_in 0.000659046 +2 *2822:mprj_io_in[10] 0.000422841 +3 *2259:11 0.00708464 +4 *2259:10 0.00684844 +5 *2789:pad_gpio_in *2297:7 1.94242e-05 +6 *2259:11 *2295:11 0.000351142 +7 *2259:11 *2297:8 0.00226274 +8 *2259:11 *2298:14 0 +9 *2259:11 *2411:14 0.0227727 +10 *2789:resetn *2789:pad_gpio_in 0.000984522 +11 *1991:14 *2259:11 0.00851289 +12 *2067:18 *2259:11 0.000797637 +13 *2103:30 *2789:pad_gpio_in 0 +14 *2103:36 *2259:11 7.14054e-05 +15 *2108:14 *2259:10 0 +16 *2184:8 *2259:11 0.00110291 +17 *2221:7 *2789:pad_gpio_in 2.11673e-05 +*RES +1 *2822:mprj_io_in[10] *2259:10 19.5785 +2 *2259:10 *2259:11 383.034 +3 *2259:11 *2789:pad_gpio_in 30.3139 +*END + +*D_NET *2260 0.0548934 +*CONN +*I *2790:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[11] O *D chip_io +*CAP +1 *2790:pad_gpio_in 0.000599369 +2 *2822:mprj_io_in[11] 0.000574291 +3 *2260:11 0.00471518 +4 *2260:10 0.0046901 +5 *2790:pad_gpio_in *2298:7 1.94242e-05 +6 *2260:11 *2261:23 0.000840599 +7 *2260:11 *2374:8 0.00195857 +8 *2260:11 *2411:14 0 +9 *2260:11 *2412:14 0.022371 +10 *2260:11 *2412:23 0.000892705 +11 *642:67 *2790:pad_gpio_in 0.000326366 +12 *1956:20 *2260:11 0.00291275 +13 *1994:14 *2260:11 1.87269e-05 +14 *2108:8 *2790:pad_gpio_in 0 +15 *2108:26 *2260:10 0 +16 *2109:13 *2790:pad_gpio_in 0 +17 *2109:14 *2260:11 0.0136767 +18 *2109:18 *2260:11 0.00125996 +19 *2222:9 *2790:pad_gpio_in 3.76849e-05 +*RES +1 *2822:mprj_io_in[11] *2260:10 21.2451 +2 *2260:10 *2260:11 389.678 +3 *2260:11 *2790:pad_gpio_in 28.6585 +*END + +*D_NET *2261 0.0442985 +*CONN +*I *2791:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[12] O *D chip_io +*CAP +1 *2791:pad_gpio_in 1.65112e-05 +2 *2822:mprj_io_in[12] 0.000246122 +3 *2261:31 1.65112e-05 +4 *2261:27 0.00361644 +5 *2261:26 0.00485784 +6 *2261:23 0.00151217 +7 *2261:19 0.00121956 +8 *2261:16 0.00118759 +9 *2261:11 0.00506114 +10 *2261:10 0.00506846 +11 *2261:11 *2822:mprj_io_oeb[11] 0 +12 *2261:11 *2822:mprj_io_out[11] 0 +13 *2261:11 *2822:mprj_io_slow_sel[12] 0.000280366 +14 *2261:11 *2413:24 0.012587 +15 *2261:16 *2613:14 0.000212959 +16 *2261:19 *2374:8 0.000411271 +17 *2261:19 *2375:14 0 +18 *2261:19 *2413:20 0.000609265 +19 *2261:23 *2374:8 0.000267301 +20 *2261:23 *2412:23 0.000288466 +21 *2261:26 *2412:23 5.04829e-06 +22 *2822:mprj_io_analog_pol[11] *2261:19 0.00145279 +23 *2822:mprj_io_dm[35] *2261:11 0 +24 *2822:mprj_io_holdover[11] *2261:11 0 +25 *663:14 *2261:19 0 +26 *1956:20 *2261:19 0.000472836 +27 *1956:20 *2261:23 7.2193e-05 +28 *1957:24 *2261:11 0 +29 *1957:30 *2261:10 0 +30 *1957:30 *2261:11 0.000184383 +31 *1994:14 *2261:19 2.01595e-05 +32 *1994:14 *2261:23 0.0016509 +33 *2117:8 *2261:11 0 +34 *2117:21 *2261:10 0.000182272 +35 *2186:13 *2261:10 7.7236e-05 +36 *2223:8 *2261:11 0.00188116 +37 *2260:11 *2261:23 0.000840599 +*RES +1 *2822:mprj_io_in[12] *2261:10 17.2208 +2 *2261:10 *2261:11 214.856 +3 *2261:11 *2261:16 15.2694 +4 *2261:16 *2261:19 45.9586 +5 *2261:19 *2261:23 31.0395 +6 *2261:23 *2261:26 35.7266 +7 *2261:26 *2261:27 102.531 +8 *2261:27 *2791:pad_gpio_in 3.36879 +9 *2791:pad_gpio_in *2261:31 0.0631875 +*END + +*D_NET *2262 0.0924413 +*CONN +*I *2792:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[13] O *D chip_io +*CAP +1 *2792:pad_gpio_in 0.000759244 +2 *2822:mprj_io_in[13] 0.000533127 +3 *2262:11 0.00639768 +4 *2262:10 0.00617156 +5 *2262:11 *2300:26 0 +6 *2262:11 *2300:32 0.0297777 +7 *2262:11 *2375:14 0.00182968 +8 *2262:11 *2375:20 0.00540288 +9 *2262:11 *2414:14 0.0397132 +10 *2792:resetn *2792:pad_gpio_in 7.77309e-06 +11 *2792:serial_clock *2792:pad_gpio_in 2.95757e-05 +12 *103:41 *2792:pad_gpio_in 0.0011537 +13 *644:98 *2792:pad_gpio_in 4.3116e-06 +14 *2114:14 *2262:11 0.000456949 +15 *2117:38 *2262:10 0 +16 *2186:8 *2792:pad_gpio_in 0.00018279 +17 *2224:7 *2792:pad_gpio_in 2.11673e-05 +*RES +1 *2822:mprj_io_in[13] *2262:10 21.7969 +2 *2262:10 *2262:11 649.21 +3 *2262:11 *2792:pad_gpio_in 35.0238 +*END + +*D_NET *2263 0.0142549 +*CONN +*I *2793:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[14] O *D chip_io +*CAP +1 *2793:pad_gpio_in 0.000541306 +2 *2822:mprj_io_in[14] 0.000217664 +3 *2263:11 0.00290615 +4 *2263:10 0.00258251 +5 *2793:pad_gpio_in *2301:15 0.000390319 +6 *2793:pad_gpio_in *2377:13 0.000307694 +7 *2263:10 *2415:14 0 +8 *2263:11 *2301:16 9.2346e-06 +9 *2263:11 *2339:8 0 +10 *2263:11 *2415:14 0.0062567 +11 *2793:serial_clock_out *2263:11 0.000802641 +12 *621:48 *2263:10 0 +13 *621:55 *2263:10 0.00013999 +14 *621:56 *2263:11 0 +15 *1959:14 *2263:11 6.22044e-05 +16 *2225:13 *2793:pad_gpio_in 3.84497e-05 +*RES +1 *2822:mprj_io_in[14] *2263:10 15.6963 +2 *2263:10 *2263:11 104.399 +3 *2263:11 *2793:pad_gpio_in 29.4778 +*END + +*D_NET *2264 0.065162 +*CONN +*I *2794:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[15] O *D chip_io +*CAP +1 *2794:pad_gpio_in 0.000406973 +2 *2822:mprj_io_in[15] 0.00047002 +3 *2264:13 0.00285099 +4 *2264:12 0.00244402 +5 *2264:10 0.0152316 +6 *2264:9 0.0157017 +7 *106:80 *2264:10 0.00663748 +8 *622:56 *2264:10 0.000387123 +9 *1960:16 *2794:pad_gpio_in 0.00165184 +10 *1960:29 *2264:10 0.00707268 +11 *1998:13 *2264:13 0 +12 *1998:23 *2264:10 0.0108171 +13 *2036:23 *2264:10 0.000356404 +14 *2121:13 *2794:pad_gpio_in 0.000967899 +15 *2122:23 *2264:10 0.000156978 +16 *2226:18 *2794:pad_gpio_in 9.22833e-06 +*RES +1 *2822:mprj_io_in[15] *2264:9 17.727 +2 *2264:9 *2264:10 537.025 +3 *2264:10 *2264:12 4.5 +4 *2264:12 *2264:13 68.6876 +5 *2264:13 *2794:pad_gpio_in 31.9889 +*END + +*D_NET *2265 0.0367013 +*CONN +*I *2795:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[16] O *D chip_io +*CAP +1 *2795:pad_gpio_in 0.000769458 +2 *2822:mprj_io_in[16] 0.000394846 +3 *2265:13 0.00198228 +4 *2265:8 0.00645034 +5 *2265:7 0.00563236 +6 *2265:8 *2822:mprj_io_vtrip_sel[16] 0.00066375 +7 *2265:13 *2591:13 2.87136e-06 +8 *106:62 *2265:8 0.000691706 +9 *106:80 *2265:8 0.0156464 +10 *623:17 *2265:8 1.55995e-05 +11 *646:38 *2265:8 1.65872e-05 +12 *647:17 *2265:8 0.00298926 +13 *1999:13 *2795:pad_gpio_in 0.00144585 +14 *2227:10 *2795:pad_gpio_in 0 +*RES +1 *2822:mprj_io_in[16] *2265:7 15.5897 +2 *2265:7 *2265:8 241.421 +3 *2265:8 *2265:13 42.8064 +4 *2265:13 *2795:pad_gpio_in 33.5895 +*END + +*D_NET *2266 0.0770817 +*CONN +*I *2796:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[17] O *D chip_io +*CAP +1 *2796:pad_gpio_in 0.000111942 +2 *2822:mprj_io_in[17] 0.00035103 +3 *2266:14 0.00172718 +4 *2266:13 0.00161524 +5 *2266:11 0.00174226 +6 *2266:10 0.00174226 +7 *2266:8 0.00135381 +8 *2266:7 0.00170484 +9 *2266:8 *2418:23 0.000660025 +10 *2266:8 *2591:10 0.00012426 +11 *2266:14 *2304:11 0.00175678 +12 *2822:mprj_io_analog_sel[17] *2266:8 0.000685257 +13 *2822:mprj_io_dm[52] *2266:8 0.000231712 +14 *647:17 *2266:8 7.25324e-05 +15 *1962:23 *2266:8 0.00716213 +16 *2000:23 *2266:8 0.00643795 +17 *2129:11 *2266:14 0.021278 +18 *2130:11 *2266:14 0.0251864 +19 *2130:14 *2266:11 0.00312023 +20 *2190:14 *2266:11 1.78514e-05 +*RES +1 *2822:mprj_io_in[17] *2266:7 14.3439 +2 *2266:7 *2266:8 104.434 +3 *2266:8 *2266:10 4.5 +4 *2266:10 *2266:11 67.0266 +5 *2266:11 *2266:13 4.5 +6 *2266:13 *2266:14 263.605 +7 *2266:14 *2796:pad_gpio_in 8.46357 +*END + +*D_NET *2267 0.0441892 +*CONN +*I *2787:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[18] O *D chip_io +*CAP +1 *2787:pad_gpio_in 0.000792628 +2 *2822:mprj_io_in[18] 0.000394846 +3 *2267:13 0.00200665 +4 *2267:8 0.00643006 +5 *2267:7 0.00561089 +6 *2267:8 *2822:mprj_io_slow_sel[18] 0.0016167 +7 *2267:8 *2593:8 0.00119449 +8 *108:17 *2267:8 7.92757e-06 +9 *624:17 *2267:8 0.0175583 +10 *648:17 *2267:8 0.000282235 +11 *2131:17 *2267:8 0.00829448 +12 *2229:13 *2787:pad_gpio_in 0 +*RES +1 *2822:mprj_io_in[18] *2267:7 15.5897 +2 *2267:7 *2267:8 260.278 +3 *2267:8 *2267:13 42.8064 +4 *2267:13 *2787:pad_gpio_in 28.0435 +*END + +*D_NET *2268 0.0169151 +*CONN +*I *2803:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[19] O *D chip_io +*CAP +1 *2803:pad_gpio_in 0.00140704 +2 *2822:mprj_io_in[19] 0.00140704 +3 *2803:pad_gpio_in *2822:mprj_io_inp_dis[19] 0.00765305 +4 *100:17 *2803:pad_gpio_in 0.000139301 +5 *640:68 *2803:pad_gpio_in 0.000139301 +6 *1964:11 *2803:pad_gpio_in 0.00014667 +7 *2134:11 *2803:pad_gpio_in 0.00046344 +8 *2135:11 *2803:pad_gpio_in 0.00061298 +9 *2192:12 *2803:pad_gpio_in 0.00477386 +10 *2192:13 *2803:pad_gpio_in 0.000139878 +11 *2230:11 *2803:pad_gpio_in 3.25789e-05 +*RES +1 *2822:mprj_io_in[19] *2803:pad_gpio_in 15.1899 +*END + +*D_NET *2269 0.0176432 +*CONN +*I *2782:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[1] O *D chip_io +*CAP +1 *2782:pad_gpio_in 0.00383027 +2 *2822:mprj_io_in[1] 0.00383027 +3 *2782:pad_gpio_in *2822:mprj_io_slow_sel[1] 0.00992454 +4 *2782:pad_gpio_in *2307:13 3.36517e-05 +5 *2231:15 *2782:pad_gpio_in 2.45091e-05 +*RES +1 *2822:mprj_io_in[1] *2782:pad_gpio_in 27.2361 +*END + +*D_NET *2270 0.0170227 +*CONN +*I *2810:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[20] O *D chip_io +*CAP +1 *2810:pad_gpio_in 9.87386e-05 +2 *2822:mprj_io_in[20] 0.000807088 +3 *2270:20 0.000488827 +4 *2270:19 0.00119718 +5 *2270:19 *2822:mprj_io_slow_sel[20] 0.000538827 +6 *2270:19 *2308:22 0.00124122 +7 *2270:19 *2308:23 0.000543039 +8 *2270:19 *2422:16 0.000211344 +9 *2270:20 *2308:11 0.00599599 +10 *2270:20 *2308:22 0 +11 *2270:20 *2384:11 0.00590044 +*RES +1 *2822:mprj_io_in[20] *2270:19 46.0963 +2 *2270:19 *2270:20 62.839 +3 *2270:20 *2810:pad_gpio_in 8.38708 +*END + +*D_NET *2271 0.0131234 +*CONN +*I *2811:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[21] O *D chip_io +*CAP +1 *2811:pad_gpio_in 7.53734e-05 +2 *2822:mprj_io_in[21] 0.000578948 +3 *2271:16 0.00162774 +4 *2271:15 0.00213131 +5 *2811:pad_gpio_in *2423:14 0 +6 *2271:15 *2309:16 0.000956727 +7 *2271:15 *2347:14 0 +8 *2822:mprj_io_analog_en[21] *2271:15 0.000540793 +9 *1967:13 *2271:16 3.99086e-06 +10 *1967:16 *2271:15 0.00137272 +11 *2005:17 *2271:15 0.000532369 +12 *2142:11 *2271:16 0.00486783 +13 *2142:16 *2271:15 3.8079e-05 +14 *2143:22 *2271:15 0.000209895 +15 *2233:11 *2271:16 0.000187575 +*RES +1 *2822:mprj_io_in[21] *2271:15 45.9009 +2 *2271:15 *2271:16 64.5028 +3 *2271:16 *2811:pad_gpio_in 8.38708 +*END + +*D_NET *2272 0.0160686 +*CONN +*I *2812:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[22] O *D chip_io +*CAP +1 *2812:pad_gpio_in 0.000500203 +2 *2822:mprj_io_in[22] 0.00224674 +3 *2272:21 0.00152923 +4 *2272:18 0.00327576 +5 *2272:18 *2310:11 0.000112976 +6 *2272:18 *2424:17 0.000330788 +7 *2822:mprj_io_dm[67] *2272:18 0.000489932 +8 *1719:40 *2812:pad_gpio_in 9.16621e-05 +9 *1968:19 *2272:21 2.02035e-05 +10 *2006:19 *2272:21 1.57662e-05 +11 *2044:14 *2272:21 0 +12 *2144:11 *2812:pad_gpio_in 0.00197273 +13 *2144:19 *2272:18 0.00048572 +14 *2145:13 *2812:pad_gpio_in 4.64885e-05 +15 *2145:16 *2272:21 0.000713307 +16 *2145:19 *2272:18 0.000165394 +17 *2145:22 *2272:18 0.00122468 +18 *2146:11 *2812:pad_gpio_in 2.20702e-05 +19 *2234:17 *2812:pad_gpio_in 0.00282021 +20 *2234:17 *2272:21 4.69495e-06 +*RES +1 *2822:mprj_io_in[22] *2272:18 47.9537 +2 *2272:18 *2272:21 40.5903 +3 *2272:21 *2812:pad_gpio_in 41.354 +*END + +*D_NET *2273 0.0169498 +*CONN +*I *2813:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[23] O *D chip_io +*CAP +1 *2813:pad_gpio_in 8.74429e-05 +2 *2822:mprj_io_in[23] 0.000515985 +3 *2273:20 0.000581619 +4 *2273:19 0.00101016 +5 *2273:19 *2822:mprj_io_slow_sel[23] 0.000441022 +6 *2273:19 *2597:16 1.92172e-05 +7 *2273:19 *2597:25 0.00124966 +8 *2273:19 *2710:11 0.000198881 +9 *2273:20 *2425:11 0.00588332 +10 *2147:11 *2273:20 0.00475514 +11 *2147:23 *2273:19 0.00178514 +12 *2235:11 *2273:20 0.000422194 +*RES +1 *2822:mprj_io_in[23] *2273:19 45.9764 +2 *2273:19 *2273:20 62.839 +3 *2273:20 *2813:pad_gpio_in 8.38708 +*END + +*D_NET *2274 0.0274006 +*CONN +*I *2814:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[24] O *D chip_io +*CAP +1 *2814:pad_gpio_in 2.68684e-05 +2 *2822:mprj_io_in[24] 0.0059431 +3 *2274:21 2.68684e-05 +4 *2274:17 0.00129907 +5 *2274:16 0.00724217 +6 *2274:16 *2312:8 0.000592564 +7 *2274:16 *2350:8 0.000643125 +8 *2274:16 *2388:8 0.000566003 +9 *2274:16 *2464:11 0.000712027 +10 *2274:16 *2598:11 0 +11 *2274:17 *2350:5 0.000147428 +12 *2274:17 *2464:8 0.00556971 +13 *106:55 *2274:16 0 +14 *2236:5 *2274:17 0.00308271 +15 *2236:8 *2274:16 0.00154897 +*RES +1 *2822:mprj_io_in[24] *2274:16 31.6963 +2 *2274:16 *2274:17 101.493 +3 *2274:17 *2814:pad_gpio_in 3.36879 +4 *2814:pad_gpio_in *2274:21 0.0631875 +*END + +*D_NET *2275 0.00830685 +*CONN +*I *2815:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[25] O *D chip_io +*CAP +1 *2815:pad_gpio_in 9.02153e-05 +2 *2822:mprj_io_in[25] 0.000173705 +3 *2275:11 0.00110674 +4 *2275:10 0.00119023 +5 *2815:pad_gpio_in *2313:7 5.76421e-05 +6 *2275:11 *2351:16 0.000432584 +7 *2275:11 *2351:29 0.00212897 +8 *2815:mgmt_gpio_out *2275:11 0.000253467 +9 *2822:mprj_io_analog_en[25] *2275:11 0.000117561 +10 *632:55 *2275:11 0.000717295 +11 *1722:33 *2275:11 5.39635e-06 +12 *2009:13 *2275:11 1.66771e-05 +13 *2154:13 *2275:11 0.00124358 +14 *2156:8 *2275:10 0 +15 *2156:8 *2275:11 0 +16 *2237:7 *2815:pad_gpio_in 5.76421e-05 +17 *2237:8 *2275:11 0.000715147 +*RES +1 *2822:mprj_io_in[25] *2275:10 13.7878 +2 *2275:10 *2275:11 67.2342 +3 *2275:11 *2815:pad_gpio_in 3.73793 +*END + +*D_NET *2276 0.00924885 +*CONN +*I *2816:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[26] O *D chip_io +*CAP +1 *2816:pad_gpio_in 7.62032e-05 +2 *2822:mprj_io_in[26] 0.000182862 +3 *2276:17 0.00139735 +4 *2276:11 0.00187635 +5 *2276:10 0.000738059 +6 *2276:10 *2428:8 0 +7 *2276:11 *2390:12 0.000107318 +8 *2276:11 *2600:19 0.00133366 +9 *116:10 *2276:17 0.000429165 +10 *695:28 *2276:11 0.00260727 +11 *2010:12 *2276:11 0.000500617 +*RES +1 *2822:mprj_io_in[26] *2276:10 14.0084 +2 *2276:10 *2276:11 45.8487 +3 *2276:11 *2276:17 49.9998 +4 *2276:17 *2816:pad_gpio_in 3.59493 +*END + +*D_NET *2277 0.00648193 +*CONN +*I *2817:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[27] O *D chip_io +*CAP +1 *2817:pad_gpio_in 5.94977e-05 +2 *2822:mprj_io_in[27] 0.000181661 +3 *2277:11 0.00175404 +4 *2277:10 0.0018762 +5 *2817:pad_gpio_in *2315:7 6.89476e-05 +6 *2277:10 *2391:8 0 +7 *2277:10 *2602:11 0 +8 *2817:mgmt_gpio_out *2277:11 0.000469124 +9 *117:8 *2277:11 0.00180392 +10 *117:21 *2277:11 0.000182929 +11 *1724:37 *2277:11 1.66771e-05 +12 *2162:12 *2277:11 0 +13 *2163:12 *2277:11 0 +14 *2239:7 *2817:pad_gpio_in 6.89476e-05 +*RES +1 *2822:mprj_io_in[27] *2277:10 14.0084 +2 *2277:10 *2277:11 67.2342 +3 *2277:11 *2817:pad_gpio_in 3.73793 +*END + +*D_NET *2278 0.00797894 +*CONN +*I *2818:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[28] O *D chip_io +*CAP +1 *2818:pad_gpio_in 5.94977e-05 +2 *2822:mprj_io_in[28] 0.000167046 +3 *2278:11 0.0014947 +4 *2278:10 0.00160225 +5 *2818:pad_gpio_in *2316:7 6.89476e-05 +6 *2278:10 *2430:8 0 +7 *2278:11 *2316:8 0.0036273 +8 *2818:mgmt_gpio_out *2278:11 0.000469124 +9 *1725:33 *2278:11 1.66771e-05 +10 *1974:13 *2278:11 0.000119662 +11 *2012:13 *2278:11 0.000119662 +12 *2050:37 *2278:11 0 +13 *2240:7 *2818:pad_gpio_in 6.89476e-05 +14 *2240:8 *2278:11 0.000165125 +*RES +1 *2822:mprj_io_in[28] *2278:10 13.5985 +2 *2278:10 *2278:11 67.2342 +3 *2278:11 *2818:pad_gpio_in 3.73793 +*END + +*D_NET *2279 0.00557147 +*CONN +*I *2804:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[29] O *D chip_io +*CAP +1 *2804:pad_gpio_in 5.67007e-05 +2 *2822:mprj_io_in[29] 0.00018167 +3 *2279:11 0.00246112 +4 *2279:10 0.00258609 +5 *2804:pad_gpio_in *2317:9 0.000128167 +6 *2804:mgmt_gpio_out *2279:11 0.00015485 +7 *1726:33 *2279:11 2.87136e-06 +8 *1975:18 *2279:10 0 +9 *1975:18 *2279:11 0 +*RES +1 *2822:mprj_io_in[29] *2279:10 14.003 +2 *2279:10 *2279:11 67.2342 +3 *2279:11 *2804:pad_gpio_in 3.66144 +*END + +*D_NET *2280 0.0226108 +*CONN +*I *2797:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[2] O *D chip_io +*CAP +1 *2797:pad_gpio_in 0.000770285 +2 *2822:mprj_io_in[2] 0.000579712 +3 *2280:11 0.00259372 +4 *2280:10 0.00240314 +5 *2797:pad_gpio_in *2318:13 4.80459e-05 +6 *2797:pad_gpio_in *2394:13 0.000119662 +7 *2280:11 *2318:14 0.00685214 +8 *2280:11 *2432:14 0.00872746 +9 *626:66 *2280:11 0 +10 *626:72 *2280:10 0 +11 *669:20 *2280:11 0 +12 *669:30 *2797:pad_gpio_in 0.000130139 +13 *1737:20 *2280:11 0 +14 *2014:14 *2280:11 0.000152316 +15 *2148:14 *2280:11 7.14054e-05 +16 *2242:13 *2797:pad_gpio_in 0.000162799 +*RES +1 *2822:mprj_io_in[2] *2280:10 23.4607 +2 *2280:10 *2280:11 150.492 +3 *2280:11 *2797:pad_gpio_in 31.7811 +*END + +*D_NET *2281 0.00554681 +*CONN +*I *2805:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[30] O *D chip_io +*CAP +1 *2805:pad_gpio_in 5.94977e-05 +2 *2822:mprj_io_in[30] 0.000181661 +3 *2281:11 0.00252279 +4 *2281:10 0.00264496 +5 *2805:pad_gpio_in *2319:7 6.89476e-05 +6 *2805:mgmt_gpio_out *2281:11 0 +7 *642:8 *2281:10 0 +8 *642:8 *2281:11 0 +9 *2172:16 *2281:10 0 +10 *2243:7 *2805:pad_gpio_in 6.89476e-05 +*RES +1 *2822:mprj_io_in[30] *2281:10 14.0084 +2 *2281:10 *2281:11 67.2342 +3 *2281:11 *2805:pad_gpio_in 3.73793 +*END + +*D_NET *2282 0.00655993 +*CONN +*I *2806:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[31] O *D chip_io +*CAP +1 *2806:pad_gpio_in 6.60922e-05 +2 *2822:mprj_io_in[31] 0.000181661 +3 *2282:11 0.00214739 +4 *2282:10 0.00226296 +5 *2806:pad_gpio_in *2320:7 5.02212e-05 +6 *2282:10 *2434:8 0 +7 *2282:11 *2434:8 0 +8 *643:13 *2282:11 0.00075158 +9 *2174:12 *2282:11 0.00104981 +10 *2244:7 *2806:pad_gpio_in 5.02212e-05 +*RES +1 *2822:mprj_io_in[31] *2282:10 14.0084 +2 *2282:10 *2282:11 66.819 +3 *2282:11 *2806:pad_gpio_in 3.66144 +*END + +*D_NET *2283 0.00805527 +*CONN +*I *2807:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[32] O *D chip_io +*CAP +1 *2807:pad_gpio_in 0.000109633 +2 *2822:mprj_io_in[32] 0.000181661 +3 *2283:11 0.00147083 +4 *2283:10 0.00154285 +5 *2807:pad_gpio_in *2822:mprj_io_inp_dis[32] 5.56976e-05 +6 *2807:pad_gpio_in *2397:7 0 +7 *2283:11 *2822:mprj_io_inp_dis[32] 0.00056713 +8 *2283:11 *2473:8 0.00191494 +9 *1979:8 *2283:10 0 +10 *1979:8 *2283:11 0 +11 *2177:11 *2283:11 0.00214384 +12 *2245:7 *2807:pad_gpio_in 6.86894e-05 +*RES +1 *2822:mprj_io_in[32] *2283:10 14.0084 +2 *2283:10 *2283:11 67.2342 +3 *2283:11 *2807:pad_gpio_in 3.81442 +*END + +*D_NET *2284 0.00757223 +*CONN +*I *2808:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[33] O *D chip_io +*CAP +1 *2808:pad_gpio_in 0.00130527 +2 *2822:mprj_io_in[33] 0.00136118 +3 *2284:16 0.00216307 +4 *2284:11 0.00221897 +5 *2284:11 *2436:8 0 +6 *1980:15 *2284:11 0 +7 *2018:15 *2284:16 0.000523745 +8 *2056:13 *2284:11 0 +*RES +1 *2822:mprj_io_in[33] *2284:11 46.4538 +2 *2284:11 *2284:16 34.126 +3 *2284:16 *2808:pad_gpio_in 38.4395 +*END + +*D_NET *2285 0.00652984 +*CONN +*I *2809:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[34] O *D chip_io +*CAP +1 *2809:pad_gpio_in 0.00011086 +2 *2822:mprj_io_in[34] 0.000167046 +3 *2285:11 0.00249074 +4 *2285:10 0.00254693 +5 *2809:pad_gpio_in *2323:7 4.99467e-05 +6 *2285:10 *2822:mprj_io_slow_sel[34] 0 +7 *2285:11 *2822:mprj_io_oeb[34] 0.00062857 +8 *2285:11 *2822:mprj_io_slow_sel[34] 0 +9 *2809:mgmt_gpio_out *2285:11 0.000469124 +10 *2822:mprj_io_ib_mode_sel[34] *2809:pad_gpio_in 4.99467e-05 +11 *1732:37 *2285:11 1.66771e-05 +12 *2073:12 *2285:11 0 +*RES +1 *2822:mprj_io_in[34] *2285:10 13.5985 +2 *2285:10 *2285:11 67.6495 +3 *2285:11 *2809:pad_gpio_in 3.73793 +*END + +*D_NET *2286 0.0107509 +*CONN +*I *2783:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[35] O *D chip_io +*CAP +1 *2783:pad_gpio_in 0.000583174 +2 *2822:mprj_io_in[35] 0.00056901 +3 *2286:11 0.00173261 +4 *2286:10 0.00171845 +5 *2783:pad_gpio_in *2324:7 0.000175947 +6 *2286:11 *2324:8 0 +7 *1745:13 *2286:11 0.000325321 +8 *1982:14 *2286:11 0.00242582 +9 *2020:14 *2286:11 0.00239977 +10 *2074:23 *2286:10 0 +11 *2075:8 *2286:11 0.000596244 +12 *2210:7 *2783:pad_gpio_in 0 +13 *2210:8 *2286:11 9.97028e-05 +14 *2248:7 *2783:pad_gpio_in 0.000124846 +*RES +1 *2822:mprj_io_in[35] *2286:10 21.4805 +2 *2286:10 *2286:11 68.48 +3 *2286:11 *2783:pad_gpio_in 5.19125 +*END + +*D_NET *2287 0.0635539 +*CONN +*I *2784:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[36] O *D chip_io +*CAP +1 *2784:pad_gpio_in 0.00128444 +2 *2822:mprj_io_in[36] 0.000985815 +3 *2287:28 0.00205913 +4 *2287:25 0.00236217 +5 *2287:17 0.00246073 +6 *2287:16 0.000873253 +7 *2287:14 0.00787269 +8 *2287:13 0.0088585 +9 *2784:gpio_defaults[0] *2287:25 1.7767e-06 +10 *2784:resetn_out *2784:pad_gpio_in 0.000144202 +11 *2784:serial_clock_out *2784:pad_gpio_in 0.00124379 +12 *108:45 *2287:13 0.000455138 +13 *108:77 *2287:17 0.00272784 +14 *108:88 *2287:25 0.000831757 +15 *108:88 *2287:28 0.0143405 +16 *648:40 *2287:17 0.00275499 +17 *648:51 *2287:25 1.72594e-05 +18 *648:51 *2287:28 0.0142799 +19 *1983:16 *2287:13 0 +*RES +1 *2822:mprj_io_in[36] *2287:13 41.103 +2 *2287:13 *2287:14 220.346 +3 *2287:14 *2287:16 4.5 +4 *2287:16 *2287:17 58.5139 +5 *2287:17 *2287:25 42.7496 +6 *2287:25 *2287:28 21.5468 +7 *2287:28 *2784:pad_gpio_in 46.2347 +*END + +*D_NET *2288 0.00881169 +*CONN +*I *2785:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[37] O *D chip_io +*CAP +1 *2785:pad_gpio_in 0.000662486 +2 *2822:mprj_io_in[37] 0.00102888 +3 *2288:19 0.00187477 +4 *2288:13 0.00224116 +5 *2785:pad_gpio_in *2326:15 7.29433e-05 +6 *2288:13 *2326:27 0.000186963 +7 *2022:13 *2288:13 0.000158225 +8 *2022:14 *2288:13 0 +9 *2083:15 *2785:pad_gpio_in 0 +10 *2083:15 *2288:19 0 +11 *2212:16 *2785:pad_gpio_in 0.000460277 +12 *2212:16 *2288:19 0.00110392 +13 *2250:15 *2785:pad_gpio_in 0.000703474 +14 *2250:29 *2288:19 0.000318594 +*RES +1 *2822:mprj_io_in[37] *2288:13 43.1792 +2 *2288:13 *2288:19 43.1937 +3 *2288:19 *2785:pad_gpio_in 27.1331 +*END + +*D_NET *2289 0.0168928 +*CONN +*I *2798:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[3] O *D chip_io +*CAP +1 *2798:pad_gpio_in 0.000605391 +2 *2822:mprj_io_in[3] 0.000410045 +3 *2289:15 0.00195416 +4 *2289:13 0.0013943 +5 *2289:11 0.00150391 +6 *2289:10 0.00186842 +7 *2798:pad_gpio_in *2327:15 4.69062e-05 +8 *2798:pad_gpio_in *2365:8 5.88867e-05 +9 *2798:pad_gpio_in *2403:13 0 +10 *2289:11 *2441:16 0 +11 *2289:15 *2327:16 0.00065101 +12 *2289:15 *2403:14 0.000317325 +13 *2289:15 *2479:14 0.00466997 +14 *111:36 *2289:10 0 +15 *670:14 *2289:11 0.00112293 +16 *670:14 *2289:15 0 +17 *1985:16 *2289:11 0 +18 *2023:14 *2289:11 0 +19 *2023:14 *2289:15 0.00207258 +20 *2079:14 *2289:11 0 +21 *2084:14 *2289:15 0.000178513 +22 *2251:13 *2798:pad_gpio_in 3.84497e-05 +*RES +1 *2822:mprj_io_in[3] *2289:10 19.0239 +2 *2289:10 *2289:11 53.6775 +3 *2289:11 *2289:13 1.29461 +4 *2289:13 *2289:15 99.0621 +5 *2289:15 *2798:pad_gpio_in 26.44 +*END + +*D_NET *2290 0.0213337 +*CONN +*I *2799:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[4] O *D chip_io +*CAP +1 *2799:pad_gpio_in 0.000556102 +2 *2822:mprj_io_in[4] 0.000578378 +3 *2290:11 0.0029119 +4 *2290:10 0.00293417 +5 *2799:pad_gpio_in *2328:15 0.00119931 +6 *2799:pad_gpio_in *2366:8 0.000117446 +7 *2799:pad_gpio_in *2404:13 0.000171478 +8 *2290:11 *2442:14 0.00872745 +9 *2822:mprj_io_holdover[4] *2799:pad_gpio_in 0 +10 *116:49 *2290:11 0.00151573 +11 *671:14 *2290:10 0 +12 *1737:20 *2290:11 0.00249068 +13 *1738:20 *2290:11 0 +14 *2252:15 *2799:pad_gpio_in 0.000131094 +*RES +1 *2822:mprj_io_in[4] *2290:10 24.1924 +2 *2290:10 *2290:11 150.492 +3 *2290:11 *2799:pad_gpio_in 31.9204 +*END + +*D_NET *2291 0.022965 +*CONN +*I *2800:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[5] O *D chip_io +*CAP +1 *2800:pad_gpio_in 0.00216655 +2 *2822:mprj_io_in[5] 0.00216655 +3 *2800:pad_gpio_in *2822:mprj_io_slow_sel[5] 0.0100761 +4 *2800:pad_gpio_in *2329:13 3.26002e-05 +5 *2822:mprj_io_dm[16] *2800:pad_gpio_in 0.00848729 +6 *654:43 *2800:pad_gpio_in 0 +7 *2253:7 *2800:pad_gpio_in 3.59644e-05 +*RES +1 *2822:mprj_io_in[5] *2800:pad_gpio_in 29.336 +*END + +*D_NET *2292 0.024095 +*CONN +*I *2801:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[6] O *D chip_io +*CAP +1 *2801:pad_gpio_in 0.000833537 +2 *2822:mprj_io_in[6] 0.000538663 +3 *2292:11 0.00261151 +4 *2292:10 0.00231664 +5 *2801:pad_gpio_in *2330:15 4.69062e-05 +6 *2801:pad_gpio_in *2368:8 0.00012693 +7 *2801:pad_gpio_in *2406:13 0 +8 *2292:11 *2330:16 0.000157405 +9 *2292:11 *2444:16 0.00870142 +10 *116:49 *2292:11 0.00143627 +11 *630:35 *2292:10 0.00019711 +12 *1739:20 *2292:11 0 +13 *2092:14 *2292:11 0.00709015 +14 *2254:7 *2801:pad_gpio_in 3.84497e-05 +*RES +1 *2822:mprj_io_in[6] *2292:10 23.4607 +2 *2292:10 *2292:11 150.077 +3 *2292:11 *2801:pad_gpio_in 32.2592 +*END + +*D_NET *2293 0.043297 +*CONN +*I *2802:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[7] O *D chip_io +*CAP +1 *2802:pad_gpio_in 0.000315143 +2 *2822:mprj_io_in[7] 0.000264914 +3 *2293:11 0.00856267 +4 *2293:10 0.00851245 +5 *2802:pad_gpio_in *2331:13 2.50257e-05 +6 *2293:10 *2822:mprj_io_slow_sel[7] 0.000533277 +7 *2293:11 *2294:11 0.00700938 +8 *2293:11 *2331:14 0 +9 *2293:11 *2445:14 0.00746047 +10 *2293:11 *2446:14 0.00596718 +11 *655:51 *2802:pad_gpio_in 7.22422e-05 +12 *656:40 *2802:pad_gpio_in 0.000644917 +13 *674:10 *2802:pad_gpio_in 6.7566e-05 +14 *2095:8 *2293:11 0 +15 *2096:13 *2802:pad_gpio_in 0.000151484 +16 *2097:8 *2293:11 0.00363493 +17 *2099:14 *2293:11 5.03106e-05 +18 *2255:7 *2802:pad_gpio_in 2.50257e-05 +*RES +1 *2822:mprj_io_in[7] *2293:10 17.4995 +2 *2293:10 *2293:11 382.203 +3 *2293:11 *2802:pad_gpio_in 23.3911 +*END + +*D_NET *2294 0.0572721 +*CONN +*I *2786:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[8] O *D chip_io +*CAP +1 *2786:pad_gpio_in 0.000341923 +2 *2822:mprj_io_in[8] 0.000369168 +3 *2294:11 0.00422445 +4 *2294:10 0.00425169 +5 *2786:pad_gpio_in *2332:13 3.74656e-05 +6 *2294:10 *2409:29 3.58458e-05 +7 *2294:11 *2822:mprj_io_slow_sel[8] 1.55666e-05 +8 *2294:11 *2332:14 0.0238015 +9 *2294:11 *2408:10 0.00356628 +10 *2294:11 *2446:14 0.0047478 +11 *2294:11 *2446:18 0.00741064 +12 *2822:mprj_io_analog_en[8] *2294:10 0 +13 *116:65 *2786:pad_gpio_in 0.000113149 +14 *632:85 *2786:pad_gpio_in 0.000124334 +15 *657:55 *2786:pad_gpio_in 0.000914023 +16 *2099:13 *2786:pad_gpio_in 0 +17 *2099:14 *2294:11 0.000223569 +18 *2256:9 *2786:pad_gpio_in 8.53327e-05 +19 *2293:11 *2294:11 0.00700938 +*RES +1 *2822:mprj_io_in[8] *2294:10 17.7782 +2 *2294:10 *2294:11 389.678 +3 *2294:11 *2786:pad_gpio_in 24.7762 +*END + +*D_NET *2295 0.0490555 +*CONN +*I *2788:pad_gpio_in I *D gpio_control_block +*I *2822:mprj_io_in[9] O *D chip_io +*CAP +1 *2788:pad_gpio_in 0.000855667 +2 *2822:mprj_io_in[9] 0.000478488 +3 *2295:11 0.00676263 +4 *2295:10 0.00638545 +5 *2788:pad_gpio_in *2333:13 0.000467559 +6 *2295:10 *2409:35 0 +7 *2295:11 *2332:14 0.000423832 +8 *2295:11 *2333:14 9.2346e-06 +9 *2295:11 *2409:30 0 +10 *2295:11 *2411:14 0.00269924 +11 *2295:11 *2447:14 0.0234466 +12 *1991:14 *2295:11 0 +13 *2029:14 *2295:10 0 +14 *2098:14 *2295:11 8.92567e-05 +15 *2099:14 *2295:11 0.00388261 +16 *2103:8 *2788:pad_gpio_in 0 +17 *2103:24 *2295:11 0 +18 *2103:35 *2295:10 0.000131809 +19 *2219:8 *2295:11 0.00180025 +20 *2256:10 *2295:11 0.00122857 +21 *2257:7 *2788:pad_gpio_in 4.31122e-05 +22 *2259:11 *2295:11 0.000351142 +*RES +1 *2822:mprj_io_in[9] *2295:10 21.2423 +2 *2295:10 *2295:11 380.957 +3 *2295:11 *2788:pad_gpio_in 34.4692 +*END + +*D_NET *2296 0.018177 +*CONN +*I *2822:mprj_io_inp_dis[0] I *D chip_io +*I *2781:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[0] 0.000575117 +2 *2781:pad_gpio_inenb 0.00052832 +3 *2296:14 0.0019865 +4 *2296:13 0.0019397 +5 *2296:13 *2334:7 0 +6 *2296:13 *2334:8 3.35007e-05 +7 *2296:13 *2372:13 0.000896908 +8 *2296:13 *2448:13 0 +9 *2296:14 *2448:14 0 +10 *2781:pad_gpio_in *2296:13 3.64393e-05 +11 *2822:mprj_io_analog_pol[0] *2822:mprj_io_inp_dis[0] 0 +12 *1727:20 *2296:14 0 +13 *1992:14 *2296:14 0.00590433 +14 *2068:14 *2296:14 0.00619082 +15 *2093:14 *2296:14 8.53782e-05 +*RES +1 *2781:pad_gpio_inenb *2296:13 27.9044 +2 *2296:13 *2296:14 115.196 +3 *2296:14 *2822:mprj_io_inp_dis[0] 23.876 +*END + +*D_NET *2297 0.0665759 +*CONN +*I *2822:mprj_io_inp_dis[10] I *D chip_io +*I *2789:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[10] 0.000403275 +2 *2789:pad_gpio_inenb 0.000557755 +3 *2297:8 0.00669243 +4 *2297:7 0.00684691 +5 *2297:7 *2373:7 0.000114501 +6 *2297:8 *2409:30 0.000910521 +7 *2297:8 *2411:14 0.0022083 +8 *2297:8 *2612:13 0.000154747 +9 *2789:pad_gpio_in *2297:7 1.94242e-05 +10 *2789:serial_clock *2297:8 0.00080632 +11 *2822:mprj_io_analog_en[10] *2822:mprj_io_inp_dis[10] 0.000182819 +12 *1991:14 *2297:8 0.00124056 +13 *2067:13 *2297:7 5.71289e-05 +14 *2067:14 *2297:8 0.000867592 +15 *2067:18 *2297:8 0.00058725 +16 *2103:36 *2297:8 0.000524071 +17 *2108:14 *2822:mprj_io_inp_dis[10] 0 +18 *2110:8 *2297:8 7.3119e-05 +19 *2183:8 *2297:8 0.0297098 +20 *2184:8 *2297:8 0.0112341 +21 *2219:8 *2297:8 0.00112255 +22 *2221:7 *2297:7 0 +23 *2221:8 *2297:8 0 +24 *2259:11 *2297:8 0.00226274 +*RES +1 *2789:pad_gpio_inenb *2297:7 1.93138 +2 *2297:7 *2297:8 59.1456 +3 *2297:8 *2822:mprj_io_inp_dis[10] 6.76021 +*END + +*D_NET *2298 0.0587053 +*CONN +*I *2822:mprj_io_inp_dis[11] I *D chip_io +*I *2790:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[11] 0.000493696 +2 *2790:pad_gpio_inenb 0.000225379 +3 *2298:34 0.00101653 +4 *2298:26 0.00166522 +5 *2298:25 0.00130814 +6 *2298:20 0.00170861 +7 *2298:19 0.00170253 +8 *2298:14 0.00251511 +9 *2298:13 0.00252118 +10 *2298:8 0.0022396 +11 *2298:7 0.00229921 +12 *2822:mprj_io_inp_dis[11] *2613:13 0 +13 *2298:7 *2374:7 8.96945e-05 +14 *2298:8 *2790:user_gpio_oeb 0 +15 *2298:8 *2790:user_gpio_out 0 +16 *2298:8 *2335:8 0.000566708 +17 *2298:8 *2412:13 0 +18 *2298:14 *2411:14 0 +19 *2298:14 *2412:14 0 +20 *2298:14 *2612:13 0.00065422 +21 *2298:20 *2336:8 0.000419524 +22 *2298:34 *2374:8 0.000231187 +23 *2298:34 *2375:14 0.000719774 +24 *2298:34 *2413:20 0.00150615 +25 *2790:pad_gpio_in *2298:7 1.94242e-05 +26 *2790:serial_clock *2298:8 0 +27 *2790:serial_clock_out *2298:8 0 +28 *2790:serial_data_in *2298:8 0 +29 *2790:serial_load *2298:8 0 +30 *2790:serial_load_out *2298:8 0 +31 *2791:mgmt_gpio_out *2298:20 0 +32 *2822:mprj_io_analog_en[10] *2298:14 0.000275937 +33 *2822:mprj_io_analog_pol[11] *2822:mprj_io_inp_dis[11] 0 +34 *1957:17 *2298:20 0 +35 *2108:8 *2298:8 0.00571264 +36 *2108:8 *2298:14 0 +37 *2108:13 *2298:13 0.000428132 +38 *2108:14 *2298:14 0.00730381 +39 *2108:19 *2298:19 0.000436825 +40 *2108:20 *2298:20 0.00414288 +41 *2108:20 *2298:26 0 +42 *2108:25 *2298:25 0.000428132 +43 *2108:26 *2298:26 0.00788338 +44 *2112:14 *2298:26 0.00790942 +45 *2183:8 *2298:14 0.000822667 +46 *2184:7 *2298:7 0 +47 *2184:8 *2298:14 0.000264661 +48 *2223:8 *2298:26 0.00119492 +49 *2259:11 *2298:14 0 +*RES +1 *2790:pad_gpio_inenb *2298:7 3.9674 +2 *2298:7 *2298:8 92.1493 +3 *2298:8 *2298:13 14.7148 +4 *2298:13 *2298:14 118.103 +5 *2298:14 *2298:19 14.7148 +6 *2298:19 *2298:20 67.4418 +7 *2298:20 *2298:25 14.7148 +8 *2298:25 *2298:26 127.653 +9 *2298:26 *2298:34 41.8066 +10 *2298:34 *2822:mprj_io_inp_dis[11] 15.7725 +*END + +*D_NET *2299 0.0666056 +*CONN +*I *2822:mprj_io_inp_dis[12] I *D chip_io +*I *2791:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[12] 0.000385991 +2 *2791:pad_gpio_inenb 0.000635038 +3 *2299:18 0.00088291 +4 *2299:16 0.000520597 +5 *2299:14 0.0031739 +6 *2299:13 0.00378526 +7 *2822:mprj_io_inp_dis[12] *2614:11 0 +8 *2299:13 *2375:13 0.000268171 +9 *2299:13 *2413:13 0.000966624 +10 *2299:13 *2451:7 0.00010238 +11 *2299:14 *2451:8 0.00355165 +12 *2299:18 *2300:26 0.0011394 +13 *2299:18 *2451:8 0.00054924 +14 *2791:resetn *2299:13 0 +15 *2822:mprj_io_analog_pol[12] *2822:mprj_io_inp_dis[12] 0 +16 *1957:17 *2299:13 0.000172732 +17 *1995:19 *2299:13 0 +18 *2033:18 *2299:18 0.000210876 +19 *2111:14 *2299:14 0.0223762 +20 *2111:16 *2299:16 3.3344e-06 +21 *2111:18 *2299:14 4.55455e-05 +22 *2111:18 *2299:18 0.00305737 +23 *2112:14 *2299:14 0.0224742 +24 *2112:14 *2299:18 2.01595e-05 +25 *2112:21 *2299:16 6.67835e-06 +26 *2112:21 *2299:18 0.00225862 +27 *2117:24 *2299:18 1.87125e-05 +28 *2223:7 *2299:13 0 +*RES +1 *2791:pad_gpio_inenb *2299:13 32.5267 +2 *2299:13 *2299:14 362.063 +3 *2299:14 *2299:16 0.732798 +4 *2299:16 *2299:18 56.0224 +5 *2299:18 *2822:mprj_io_inp_dis[12] 18.1934 +*END + +*D_NET *2300 0.0830556 +*CONN +*I *2822:mprj_io_inp_dis[13] I *D chip_io +*I *2792:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[13] 0.000411543 +2 *2792:pad_gpio_inenb 5.90925e-05 +3 *2300:32 0.00702367 +4 *2300:31 0.00669619 +5 *2300:26 0.000816688 +6 *2300:25 0.00110389 +7 *2300:20 0.00139123 +8 *2300:15 0.00246789 +9 *2300:10 0.00318932 +10 *2300:9 0.00180049 +11 *2822:mprj_io_inp_dis[13] *2615:13 0 +12 *2300:10 *2792:user_gpio_out 0.000250342 +13 *2300:10 *2338:10 0.00522935 +14 *2300:10 *2376:10 7.61581e-05 +15 *2300:26 *2375:20 0 +16 *2300:26 *2451:8 0.000465593 +17 *2300:31 *2822:mprj_io_out[12] 0.000117045 +18 *2300:32 *2414:14 0.000339239 +19 *2792:serial_clock_out *2300:10 0.000277119 +20 *617:32 *2300:20 0 +21 *1995:26 *2300:20 0.00125814 +22 *1996:14 *2300:32 0.0143604 +23 *2033:18 *2300:26 0.000308866 +24 *2112:21 *2300:25 5.04829e-06 +25 *2114:14 *2300:32 0.000500906 +26 *2117:24 *2300:26 0.00195329 +27 *2117:26 *2300:26 8.35615e-06 +28 *2186:14 *2300:20 0.00159593 +29 *2186:20 *2300:26 0.00043274 +30 *2186:20 *2300:32 0 +31 *2186:32 *2300:32 0 +32 *2262:11 *2300:26 0 +33 *2262:11 *2300:32 0.0297777 +34 *2299:18 *2300:26 0.0011394 +*RES +1 *2792:pad_gpio_inenb *2300:9 3.59493 +2 *2300:9 *2300:10 88.4121 +3 *2300:10 *2300:15 44.1088 +4 *2300:15 *2300:20 46.7525 +5 *2300:20 *2300:25 15.824 +6 *2300:25 *2300:26 46.6792 +7 *2300:26 *2300:31 11.9418 +8 *2300:31 *2300:32 517.575 +9 *2300:32 *2822:mprj_io_inp_dis[13] 19.0267 +*END + +*D_NET *2301 0.0246885 +*CONN +*I *2822:mprj_io_inp_dis[14] I *D chip_io +*I *2793:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[14] 0.000316974 +2 *2793:pad_gpio_inenb 0.000443696 +3 *2301:16 0.00162529 +4 *2301:15 0.00175201 +5 *2822:mprj_io_inp_dis[14] *2616:11 0 +6 *2301:15 *2377:13 5.34447e-05 +7 *2301:15 *2415:13 0.000901284 +8 *2301:15 *2453:13 0.000742567 +9 *2301:16 *2339:20 0.00183248 +10 *2301:16 *2415:14 0.0069713 +11 *2793:pad_gpio_in *2301:15 0.000390319 +12 *2793:resetn *2301:15 3.58208e-05 +13 *2793:serial_clock_out *2301:16 0.00126082 +14 *2822:mprj_io_analog_pol[14] *2822:mprj_io_inp_dis[14] 0 +15 *621:48 *2301:16 1.87125e-05 +16 *1959:14 *2301:16 0.0081817 +17 *1997:16 *2301:16 0.000152805 +18 *2120:16 *2301:16 0 +19 *2263:11 *2301:16 9.2346e-06 +*RES +1 *2793:pad_gpio_inenb *2301:15 31.228 +2 *2301:15 *2301:16 142.602 +3 *2301:16 *2822:mprj_io_inp_dis[14] 16.3903 +*END + +*D_NET *2302 0.0977439 +*CONN +*I *2822:mprj_io_inp_dis[15] I *D chip_io +*I *2794:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[15] 0.000346504 +2 *2794:pad_gpio_inenb 0.00104529 +3 *2302:39 0.0027027 +4 *2302:36 0.00306187 +5 *2302:31 0.00104538 +6 *2302:30 0.00088142 +7 *2302:25 0.00370729 +8 *2302:24 0.00421086 +9 *2302:30 *2454:16 0.000304684 +10 *2302:31 *2454:17 0.00502072 +11 *2302:36 *2378:30 0 +12 *2302:39 *2378:33 0.0332655 +13 *2302:39 *2416:27 0.0359284 +14 *2822:mprj_io_analog_pol[15] *2822:mprj_io_inp_dis[15] 0 +15 *2036:11 *2302:25 0.00022875 +16 *2036:23 *2302:39 0.000333928 +17 *2122:11 *2302:25 0 +18 *2123:17 *2302:31 0.00502492 +19 *2188:13 *2302:24 0.000220183 +20 *2226:18 *2302:24 4.97307e-06 +21 *2226:24 *2302:30 0.000410435 +*RES +1 *2794:pad_gpio_inenb *2302:24 44.3507 +2 *2302:24 *2302:25 89.46 +3 *2302:25 *2302:30 31.5946 +4 *2302:30 *2302:31 52.8561 +5 *2302:31 *2302:36 26.9337 +6 *2302:36 *2302:39 49.6952 +7 *2302:39 *2822:mprj_io_inp_dis[15] 9.69736 +*END + +*D_NET *2303 0.0258581 +*CONN +*I *2822:mprj_io_inp_dis[16] I *D chip_io +*I *2795:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[16] 0.000177246 +2 *2795:pad_gpio_inenb 0.000797022 +3 *2303:23 0.00112851 +4 *2303:22 0.00118563 +5 *2303:17 0.00251409 +6 *2303:16 0.00307674 +7 *2303:17 *2417:23 0 +8 *2303:22 *2822:mprj_io_oeb[16] 2.83209e-05 +9 *2303:22 *2379:25 0.000248461 +10 *2303:23 *2379:25 0.000162716 +11 *2822:mprj_io_analog_pol[16] *2303:22 0.000705674 +12 *2822:mprj_io_dm[48] *2303:23 0.000173095 +13 *666:17 *2303:23 0.000318426 +14 *1999:13 *2303:16 0.00207894 +15 *1999:16 *2303:16 0.000178932 +16 *1999:17 *2303:17 0.00895146 +17 *2037:21 *2303:23 0.00360156 +18 *2125:23 *2303:23 0.000531263 +*RES +1 *2795:pad_gpio_inenb *2303:16 48.1532 +2 *2303:16 *2303:17 97.2244 +3 *2303:17 *2303:22 20.798 +4 *2303:22 *2303:23 50.0831 +5 *2303:23 *2822:mprj_io_inp_dis[16] 7.62661 +*END + +*D_NET *2304 0.0617653 +*CONN +*I *2822:mprj_io_inp_dis[17] I *D chip_io +*I *2796:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[17] 0.000683468 +2 *2796:pad_gpio_inenb 0.000125403 +3 *2304:23 0.00111742 +4 *2304:18 0.00182796 +5 *2304:16 0.00153782 +6 *2304:11 0.00235656 +7 *2304:10 0.00233815 +8 *2304:11 *2380:11 0.0240309 +9 *2304:18 *2822:mprj_io_oeb[17] 0.00175763 +10 *2304:18 *2380:22 0.000173913 +11 *2304:23 *2822:mprj_io_out[17] 0.000177707 +12 *2304:23 *2822:mprj_io_vtrip_sel[17] 0.00118453 +13 *667:17 *2822:mprj_io_inp_dis[17] 0.00036236 +14 *667:17 *2304:23 0.00124287 +15 *2129:11 *2304:11 0.0210698 +16 *2130:11 *2304:11 7.92757e-06 +17 *2190:11 *2304:11 1.40978e-05 +18 *2266:14 *2304:11 0.00175678 +*RES +1 *2796:pad_gpio_inenb *2304:10 8.46357 +2 *2304:10 *2304:11 274.143 +3 *2304:11 *2304:16 8.51818 +4 *2304:16 *2304:18 51.8699 +5 *2304:18 *2304:23 34.2195 +6 *2304:23 *2822:mprj_io_inp_dis[17] 27.4766 +*END + +*D_NET *2305 0.0296378 +*CONN +*I *2822:mprj_io_inp_dis[18] I *D chip_io +*I *2787:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[18] 0.00212454 +2 *2787:pad_gpio_inenb 7.83498e-05 +3 *2305:20 0.0037258 +4 *2305:16 0.00233061 +5 *2305:11 0.00242694 +6 *2305:10 0.00177594 +7 *2822:mprj_io_inp_dis[18] *2822:mprj_io_out[18] 0 +8 *2822:mprj_io_inp_dis[18] *2822:mprj_io_slow_sel[18] 0.000202241 +9 *2305:11 *2343:17 0.00415013 +10 *2305:11 *2457:17 0.00410122 +11 *2822:mprj_io_analog_pol[18] *2822:mprj_io_inp_dis[18] 0.00779649 +12 *2822:mprj_io_analog_sel[18] *2822:mprj_io_inp_dis[18] 0 +13 *2822:mprj_io_dm[55] *2822:mprj_io_inp_dis[18] 8.6868e-05 +14 *2822:mprj_io_dm[56] *2822:mprj_io_inp_dis[18] 0 +15 *668:25 *2305:20 0.000361565 +16 *2131:14 *2305:20 0 +17 *2191:17 *2305:20 0 +18 *2229:13 *2305:11 0.000477091 +19 *2229:15 *2305:11 0 +*RES +1 *2787:pad_gpio_inenb *2305:10 8.38708 +2 *2305:10 *2305:11 86.687 +3 *2305:11 *2305:16 28.6878 +4 *2305:16 *2305:20 48.1363 +5 *2305:20 *2822:mprj_io_inp_dis[18] 25.1435 +*END + +*D_NET *2306 0.0206944 +*CONN +*I *2822:mprj_io_inp_dis[19] I *D chip_io +*I *2803:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[19] 0.00347747 +2 *2803:pad_gpio_inenb 0.00347747 +3 *2822:mprj_io_inp_dis[19] *2382:12 0.00207135 +4 *2822:mprj_io_inp_dis[19] *2594:12 8.92601e-06 +5 *2803:pad_gpio_in *2822:mprj_io_inp_dis[19] 0.00765305 +6 *100:17 *2822:mprj_io_inp_dis[19] 0.000275217 +7 *640:68 *2822:mprj_io_inp_dis[19] 0.000288582 +8 *2002:11 *2822:mprj_io_inp_dis[19] 0.000960687 +9 *2040:11 *2822:mprj_io_inp_dis[19] 0.00140724 +10 *2134:11 *2822:mprj_io_inp_dis[19] 0.000123675 +11 *2135:11 *2822:mprj_io_inp_dis[19] 0.000259836 +12 *2136:17 *2822:mprj_io_inp_dis[19] 0.000690927 +*RES +1 *2803:pad_gpio_inenb *2822:mprj_io_inp_dis[19] 22.0804 +*END + +*D_NET *2307 0.0176386 +*CONN +*I *2822:mprj_io_inp_dis[1] I *D chip_io +*I *2782:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[1] 0.000505736 +2 *2782:pad_gpio_inenb 0.000596649 +3 *2307:14 0.001774 +4 *2307:13 0.00186491 +5 *2307:13 *2345:7 0 +6 *2307:13 *2345:8 0.000193604 +7 *2307:13 *2383:13 0.000353731 +8 *2307:14 *2459:14 0 +9 *2782:pad_gpio_in *2307:13 3.36517e-05 +10 *2822:mprj_io_analog_pol[1] *2822:mprj_io_inp_dis[1] 0 +11 *660:16 *2307:14 0 +12 *1965:14 *2307:14 0.00580014 +13 *2003:14 *2307:14 0.00584716 +14 *2115:14 *2307:14 0.000123457 +15 *2126:14 *2307:14 0.000103851 +16 *2193:13 *2307:13 0.000343439 +17 *2231:15 *2307:13 9.83032e-05 +*RES +1 *2782:pad_gpio_inenb *2307:13 32.7481 +2 *2307:13 *2307:14 109.798 +3 *2307:14 *2822:mprj_io_inp_dis[1] 22.3515 +*END + +*D_NET *2308 0.0235657 +*CONN +*I *2822:mprj_io_inp_dis[20] I *D chip_io +*I *2810:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[20] 0.000118931 +2 *2810:pad_gpio_inenb 0.00012153 +3 *2308:23 0.000726114 +4 *2308:22 0.00104743 +5 *2308:11 0.000850515 +6 *2308:10 0.000531796 +7 *2308:11 *2384:11 9.65932e-05 +8 *2308:11 *2422:11 0.00616177 +9 *2308:22 *2822:mprj_io_out[20] 1.37385e-05 +10 *2308:22 *2422:16 0.00122398 +11 *2308:23 *2822:mprj_io_slow_sel[20] 0.000446342 +12 *2822:mprj_io_analog_en[20] *2308:23 0.00330199 +13 *2822:mprj_io_dm[61] *2308:23 0.000290034 +14 *110:17 *2308:23 0.000521541 +15 *2004:17 *2308:23 0.000333162 +16 *2270:19 *2308:22 0.00124122 +17 *2270:19 *2308:23 0.000543039 +18 *2270:20 *2308:11 0.00599599 +19 *2270:20 *2308:22 0 +*RES +1 *2810:pad_gpio_inenb *2308:10 8.46357 +2 *2308:10 *2308:11 65.612 +3 *2308:11 *2308:22 35.0938 +4 *2308:22 *2308:23 45.6463 +5 *2308:23 *2822:mprj_io_inp_dis[20] 6.93045 +*END + +*D_NET *2309 0.0211419 +*CONN +*I *2822:mprj_io_inp_dis[21] I *D chip_io +*I *2811:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[21] 0.0015051 +2 *2811:pad_gpio_inenb 0.000131794 +3 *2309:16 0.00292551 +4 *2309:13 0.00142041 +5 *2309:11 0.00139178 +6 *2309:10 0.00152357 +7 *2309:11 *2385:11 0.00566446 +8 *2309:11 *2423:13 0.000224395 +9 *2309:16 *2347:14 0 +10 *2811:mgmt_gpio_in *2309:11 0.00048572 +11 *690:19 *2822:mprj_io_inp_dis[21] 0.00491246 +12 *2271:15 *2309:16 0.000956727 +*RES +1 *2811:pad_gpio_inenb *2309:10 8.46357 +2 *2309:10 *2309:11 65.0574 +3 *2309:11 *2309:13 4.5 +4 *2309:13 *2309:16 49.4251 +5 *2309:16 *2822:mprj_io_inp_dis[21] 13.8536 +*END + +*D_NET *2310 0.0185586 +*CONN +*I *2822:mprj_io_inp_dis[22] I *D chip_io +*I *2812:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[22] 0.00206252 +2 *2812:pad_gpio_inenb 8.24748e-05 +3 *2310:11 0.00441188 +4 *2310:10 0.00243183 +5 *2822:mprj_io_inp_dis[22] *2822:mprj_io_out[22] 0.00583825 +6 *2822:mprj_io_inp_dis[22] *2822:mprj_io_slow_sel[22] 6.98716e-05 +7 *2822:mprj_io_inp_dis[22] *2671:11 0 +8 *2310:10 *2709:5 2.01653e-05 +9 *2310:11 *2424:17 0 +10 *2822:mprj_io_dm[67] *2822:mprj_io_inp_dis[22] 0.000246529 +11 *2822:mprj_io_holdover[22] *2822:mprj_io_inp_dis[22] 2.56868e-05 +12 *628:17 *2822:mprj_io_inp_dis[22] 0.000273267 +13 *652:19 *2822:mprj_io_inp_dis[22] 0.000273267 +14 *1968:23 *2822:mprj_io_inp_dis[22] 0.000354817 +15 *2006:23 *2822:mprj_io_inp_dis[22] 0.000386906 +16 *2144:19 *2822:mprj_io_inp_dis[22] 0.000377487 +17 *2145:19 *2310:11 0.000171907 +18 *2145:22 *2822:mprj_io_inp_dis[22] 0.00025714 +19 *2146:17 *2822:mprj_io_inp_dis[22] 0.00116161 +20 *2272:18 *2310:11 0.000112976 +*RES +1 *2812:pad_gpio_inenb *2310:10 8.46357 +2 *2310:10 *2310:11 63.9482 +3 *2310:11 *2822:mprj_io_inp_dis[22] 44.0934 +*END + +*D_NET *2311 0.0246844 +*CONN +*I *2822:mprj_io_inp_dis[23] I *D chip_io +*I *2813:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[23] 0.000455141 +2 *2813:pad_gpio_inenb 0.000112464 +3 *2311:14 0.00151887 +4 *2311:13 0.00106373 +5 *2311:11 0.00183968 +6 *2311:10 0.00195215 +7 *2822:mprj_io_inp_dis[23] *2822:mprj_io_slow_sel[23] 0.000113345 +8 *2311:11 *2672:14 0 +9 *2311:14 *2425:16 0.00153161 +10 *2311:14 *2672:11 0 +11 *2311:14 *2710:11 0.000922312 +12 *2813:mgmt_gpio_in *2311:11 0.00049413 +13 *2822:mprj_io_analog_pol[23] *2822:mprj_io_inp_dis[23] 0.00488447 +14 *2822:mprj_io_dm[70] *2822:mprj_io_inp_dis[23] 0.00318645 +15 *2822:mprj_io_dm[71] *2822:mprj_io_inp_dis[23] 0.00149862 +16 *2822:mprj_io_holdover[23] *2822:mprj_io_inp_dis[23] 0.000179807 +17 *2822:mprj_io_holdover[23] *2311:14 6.90884e-05 +18 *1720:44 *2311:11 0.00233251 +19 *1969:16 *2311:14 0.00130436 +20 *2235:16 *2311:14 8.92568e-06 +21 *2235:17 *2822:mprj_io_inp_dis[23] 0.00121675 +*RES +1 *2813:pad_gpio_inenb *2311:10 8.46357 +2 *2311:10 *2311:11 63.3936 +3 *2311:11 *2311:13 4.5 +4 *2311:13 *2311:14 55.6072 +5 *2311:14 *2822:mprj_io_inp_dis[23] 14.6512 +*END + +*D_NET *2312 0.0271119 +*CONN +*I *2822:mprj_io_inp_dis[24] I *D chip_io +*I *2814:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[24] 0.000259918 +2 *2814:pad_gpio_inenb 1.65112e-05 +3 *2312:24 1.65112e-05 +4 *2312:11 0.00147746 +5 *2312:10 0.00121755 +6 *2312:8 0.000445884 +7 *2312:7 0.000445884 +8 *2312:5 0.00259661 +9 *2312:4 0.00259661 +10 *2312:5 *2388:5 0.00295828 +11 *2312:8 *2350:8 0.00567947 +12 *2312:8 *2388:8 0.00573034 +13 *2312:8 *2464:11 0.00011581 +14 *2312:11 *2822:mprj_io_out[24] 0 +15 *2312:11 *2822:mprj_io_vtrip_sel[24] 0.000784924 +16 *2822:mprj_io_analog_sel[24] *2312:11 0 +17 *2822:mprj_io_dm[74] *2312:11 0 +18 *2822:mprj_io_holdover[24] *2312:11 0 +19 *106:55 *2312:11 0.000267546 +20 *114:5 *2312:5 0 +21 *2152:8 *2312:11 0 +22 *2153:24 *2312:11 0.000109332 +23 *2198:17 *2312:11 0.00180072 +24 *2236:5 *2312:5 0 +25 *2274:16 *2312:8 0.000592564 +*RES +1 *2814:pad_gpio_inenb *2312:4 3.36879 +2 *2312:4 *2312:5 100.454 +3 *2312:5 *2312:7 4.5 +4 *2312:7 *2312:8 62.839 +5 *2312:8 *2312:10 4.5 +6 *2312:10 *2312:11 50.8318 +7 *2312:11 *2822:mprj_io_inp_dis[24] 15.8357 +8 *2814:pad_gpio_inenb *2312:24 0.0631875 +*END + +*D_NET *2313 0.0175581 +*CONN +*I *2822:mprj_io_inp_dis[25] I *D chip_io +*I *2815:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[25] 0.000417709 +2 *2815:pad_gpio_inenb 0.000427691 +3 *2313:8 0.00160866 +4 *2313:7 0.00161865 +5 *2313:7 *2389:7 0.000252564 +6 *2313:8 *2427:8 0.000153257 +7 *2815:pad_gpio_in *2313:7 5.76421e-05 +8 *2822:mprj_io_analog_pol[25] *2822:mprj_io_inp_dis[25] 0 +9 *618:34 *2313:8 0.00060884 +10 *655:8 *2313:8 0.000260365 +11 *694:19 *2313:8 0.000125376 +12 *2047:14 *2313:8 0.00481795 +13 *2155:8 *2313:8 0.000658013 +14 *2156:23 *2822:mprj_io_inp_dis[25] 5.65975e-05 +15 *2199:7 *2313:7 0 +16 *2199:8 *2313:8 0.00649477 +17 *2237:7 *2313:7 0 +*RES +1 *2815:pad_gpio_inenb *2313:7 4.88529 +2 *2313:7 *2313:8 112.497 +3 *2313:8 *2822:mprj_io_inp_dis[25] 18.6087 +*END + +*D_NET *2314 0.0179691 +*CONN +*I *2822:mprj_io_inp_dis[26] I *D chip_io +*I *2816:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[26] 0.000434434 +2 *2816:pad_gpio_inenb 0.000698068 +3 *2314:12 0.00146987 +4 *2314:10 0.00173351 +5 *2314:10 *2352:10 0 +6 *2314:10 *2390:10 0.000109066 +7 *2314:12 *2352:10 3.67528e-06 +8 *2314:12 *2352:12 0 +9 *116:16 *2314:12 3.14199e-05 +10 *617:14 *2822:mprj_io_inp_dis[26] 0 +11 *618:34 *2314:12 0.000859237 +12 *632:18 *2314:10 0.000191469 +13 *632:20 *2314:10 1.07248e-05 +14 *632:20 *2314:12 0.000253189 +15 *695:22 *2314:12 0.00298359 +16 *2048:8 *2314:12 0.00292096 +17 *2157:8 *2314:12 0.0056292 +18 *2200:7 *2314:10 0 +19 *2200:8 *2314:12 0.000199406 +20 *2238:34 *2314:12 0.000441245 +*RES +1 *2816:pad_gpio_inenb *2314:10 12.2898 +2 *2314:10 *2314:12 106.903 +3 *2314:12 *2822:mprj_io_inp_dis[26] 18.6859 +*END + +*D_NET *2315 0.0192688 +*CONN +*I *2822:mprj_io_inp_dis[27] I *D chip_io +*I *2817:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[27] 0.000544062 +2 *2817:pad_gpio_inenb 0.000716133 +3 *2315:16 0.0009645 +4 *2315:8 0.00121844 +5 *2315:7 0.00151414 +6 *2822:mprj_io_inp_dis[27] *2353:16 0 +7 *2315:7 *2353:7 0 +8 *2315:7 *2391:7 0.000154831 +9 *2315:8 *2467:8 0.0053295 +10 *2315:16 *2391:19 5.04829e-06 +11 *2315:16 *2391:26 0.000236262 +12 *2817:pad_gpio_in *2315:7 6.89476e-05 +13 *2822:mprj_io_analog_pol[27] *2822:mprj_io_inp_dis[27] 0 +14 *618:34 *2315:16 0.000198292 +15 *642:52 *2315:8 0.000810191 +16 *657:8 *2315:8 0.000677308 +17 *1973:8 *2315:8 0.00392301 +18 *2161:8 *2315:16 0.00120738 +19 *2201:7 *2315:7 0 +20 *2201:12 *2315:16 0.00170077 +21 *2239:7 *2315:7 0 +*RES +1 *2817:pad_gpio_inenb *2315:7 5.49721 +2 *2315:7 *2315:8 85.5053 +3 *2315:8 *2315:16 43.4648 +4 *2315:16 *2822:mprj_io_inp_dis[27] 16.3271 +*END + +*D_NET *2316 0.0160995 +*CONN +*I *2822:mprj_io_inp_dis[28] I *D chip_io +*I *2818:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[28] 0.000367404 +2 *2818:pad_gpio_inenb 8.0625e-05 +3 *2316:14 0.000863654 +4 *2316:13 0.000823357 +5 *2316:8 0.00121801 +6 *2316:7 0.000971529 +7 *2316:7 *2392:7 8.42027e-05 +8 *2316:8 *2430:8 0 +9 *2316:14 *2392:8 0.00317671 +10 *2818:pad_gpio_in *2316:7 6.89476e-05 +11 *2822:mprj_io_analog_pol[28] *2822:mprj_io_inp_dis[28] 0 +12 *2822:mprj_io_analog_sel[28] *2316:14 9.06192e-05 +13 *106:37 *2316:14 0.000347195 +14 *658:36 *2316:14 1.2819e-05 +15 *1974:14 *2316:14 0.00248871 +16 *2012:14 *2316:14 0.000218663 +17 *2240:8 *2316:8 0.00165977 +18 *2240:20 *2822:mprj_io_inp_dis[28] 0 +19 *2278:11 *2316:8 0.0036273 +*RES +1 *2818:pad_gpio_inenb *2316:7 3.81442 +2 *2316:7 *2316:8 61.4207 +3 *2316:8 *2316:13 15.824 +4 *2316:13 *2316:14 51.247 +5 *2316:14 *2822:mprj_io_inp_dis[28] 17.4995 +*END + +*D_NET *2317 0.0180955 +*CONN +*I *2822:mprj_io_inp_dis[29] I *D chip_io +*I *2804:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[29] 0.000423023 +2 *2804:pad_gpio_inenb 0.000474347 +3 *2317:10 0.00150859 +4 *2317:9 0.00155991 +5 *2317:9 *2355:9 0 +6 *2317:9 *2393:9 0.000248958 +7 *2317:10 *2355:10 0.000323032 +8 *2317:10 *2393:16 0.0040775 +9 *2804:pad_gpio_in *2317:9 0.000128167 +10 *617:70 *2317:10 0.000676981 +11 *618:34 *2317:10 0.00085167 +12 *641:12 *2822:mprj_io_inp_dis[29] 0 +13 *2167:10 *2317:10 0.0055771 +14 *2169:13 *2317:10 0.00224617 +*RES +1 *2804:pad_gpio_inenb *2317:9 5.25776 +2 *2317:9 *2317:10 112.497 +3 *2317:10 *2822:mprj_io_inp_dis[29] 19.0267 +*END + +*D_NET *2318 0.0180477 +*CONN +*I *2822:mprj_io_inp_dis[2] I *D chip_io +*I *2797:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[2] 0.00060588 +2 *2797:pad_gpio_inenb 0.000688656 +3 *2318:14 0.00173367 +4 *2318:13 0.00181644 +5 *2318:13 *2394:13 0.000158111 +6 *2318:14 *2432:14 0.000152316 +7 *2318:14 *2470:14 0 +8 *2797:pad_gpio_in *2318:13 4.80459e-05 +9 *110:52 *2822:mprj_io_inp_dis[2] 0 +10 *669:30 *2318:13 5.88867e-05 +11 *2014:14 *2318:14 0.00544567 +12 *2148:14 *2318:14 0.00038079 +13 *2170:14 *2318:14 0.000107108 +14 *2280:11 *2318:14 0.00685214 +*RES +1 *2797:pad_gpio_inenb *2318:13 28.7349 +2 *2318:13 *2318:14 114.365 +3 *2318:14 *2822:mprj_io_inp_dis[2] 22.9061 +*END + +*D_NET *2319 0.0185261 +*CONN +*I *2822:mprj_io_inp_dis[30] I *D chip_io +*I *2805:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[30] 0.00039606 +2 *2805:pad_gpio_inenb 0.000303195 +3 *2319:16 0.000790163 +4 *2319:13 0.000483792 +5 *2319:8 0.0007336 +6 *2319:7 0.000947106 +7 *2822:mprj_io_inp_dis[30] *2357:20 0 +8 *2319:7 *2395:7 0.00022519 +9 *2319:8 *2433:8 0.00443954 +10 *2319:16 *2357:19 0.000101362 +11 *2805:pad_gpio_in *2319:7 6.89476e-05 +12 *2822:mprj_io_analog_pol[30] *2822:mprj_io_inp_dis[30] 0 +13 *106:37 *2319:8 0.000453982 +14 *106:37 *2319:16 0.00024819 +15 *618:8 *2319:16 0.00256177 +16 *642:13 *2319:13 2.37827e-05 +17 *681:8 *2319:8 0.00443596 +18 *681:8 *2319:16 0.000231356 +19 *1977:17 *2319:13 5.04829e-06 +20 *2171:8 *2319:16 0.00207198 +21 *2243:7 *2319:7 0 +22 *2243:13 *2319:13 5.04829e-06 +*RES +1 *2805:pad_gpio_inenb *2319:7 4.65582 +2 *2319:7 *2319:8 71.802 +3 *2319:8 *2319:13 10.8326 +4 *2319:13 *2319:16 45.781 +5 *2319:16 *2822:mprj_io_inp_dis[30] 14.1087 +*END + +*D_NET *2320 0.0139026 +*CONN +*I *2822:mprj_io_inp_dis[31] I *D chip_io +*I *2806:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[31] 0.000569121 +2 *2806:pad_gpio_inenb 0.000623017 +3 *2320:8 0.00365204 +4 *2320:7 0.00370594 +5 *2822:mprj_io_inp_dis[31] *2358:12 0 +6 *2320:7 *2396:7 0.000258993 +7 *2320:8 *2472:8 0 +8 *2806:pad_gpio_in *2320:7 5.02212e-05 +9 *643:14 *2320:8 0.000236262 +10 *682:8 *2320:8 0.00107933 +11 *2054:16 *2320:8 0.000298872 +12 *2174:16 *2320:8 0.00342877 +13 *2244:7 *2320:7 0 +*RES +1 *2806:pad_gpio_inenb *2320:7 5.49721 +2 *2320:7 *2320:8 113.742 +3 *2320:8 *2822:mprj_io_inp_dis[31] 21.7997 +*END + +*D_NET *2321 0.0145457 +*CONN +*I *2822:mprj_io_inp_dis[32] I *D chip_io +*I *2807:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[32] 0.00241909 +2 *2807:pad_gpio_inenb 0.00241909 +3 *2822:mprj_io_inp_dis[32] *2397:7 5.56976e-05 +4 *2807:pad_gpio_in *2822:mprj_io_inp_dis[32] 5.56976e-05 +5 *2822:mprj_io_dm[97] *2822:mprj_io_inp_dis[32] 0.00559312 +6 *103:18 *2822:mprj_io_inp_dis[32] 0.00145794 +7 *106:25 *2822:mprj_io_inp_dis[32] 0.00153588 +8 *619:20 *2822:mprj_io_inp_dis[32] 0 +9 *2177:11 *2822:mprj_io_inp_dis[32] 0.000442086 +10 *2283:11 *2822:mprj_io_inp_dis[32] 0.00056713 +*RES +1 *2807:pad_gpio_inenb *2822:mprj_io_inp_dis[32] 22.4879 +*END + +*D_NET *2322 0.0180183 +*CONN +*I *2822:mprj_io_inp_dis[33] I *D chip_io +*I *2808:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[33] 0.000355283 +2 *2808:pad_gpio_inenb 0.000327304 +3 *2322:14 0.000900668 +4 *2322:13 0.000678093 +5 *2322:8 0.000680391 +6 *2322:7 0.000874987 +7 *2822:mprj_io_inp_dis[33] *2398:16 0 +8 *2322:7 *2398:14 0.000184888 +9 *2322:8 *2398:14 0.000276281 +10 *2322:8 *2436:8 0.0031947 +11 *2322:14 *2398:16 0.000122025 +12 *2822:mprj_io_analog_pol[33] *2822:mprj_io_inp_dis[33] 0 +13 *105:16 *2322:14 0.00053288 +14 *619:20 *2322:8 0.000366804 +15 *1980:15 *2322:13 5.04829e-06 +16 *2018:16 *2322:14 0.00353563 +17 *2069:8 *2322:8 0.00244079 +18 *2180:8 *2322:14 0.0033063 +19 *2246:7 *2322:7 0 +20 *2246:8 *2322:14 0.000236262 +*RES +1 *2808:pad_gpio_inenb *2322:7 4.50284 +2 *2322:7 *2322:8 51.8699 +3 *2322:8 *2322:13 11.3872 +4 *2322:13 *2322:14 60.7978 +5 *2322:14 *2822:mprj_io_inp_dis[33] 18.0541 +*END + +*D_NET *2323 0.0152145 +*CONN +*I *2822:mprj_io_inp_dis[34] I *D chip_io +*I *2809:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[34] 0.000611758 +2 *2809:pad_gpio_inenb 0.000511167 +3 *2323:8 0.00226466 +4 *2323:7 0.00216407 +5 *2822:mprj_io_inp_dis[34] *2609:14 1.15879e-05 +6 *2323:7 *2822:mprj_io_out[34] 6.29293e-05 +7 *2809:pad_gpio_in *2323:7 4.99467e-05 +8 *619:20 *2822:mprj_io_inp_dis[34] 0.000133972 +9 *619:20 *2323:8 0.000882822 +10 *1981:8 *2323:8 0.00451635 +11 *2019:8 *2822:mprj_io_inp_dis[34] 0.000904991 +12 *2019:8 *2323:8 4.61488e-05 +13 *2057:8 *2323:8 0 +14 *2057:14 *2822:mprj_io_inp_dis[34] 0.00121098 +15 *2071:8 *2323:8 0.00170063 +16 *2072:8 *2323:8 0 +17 *2073:16 *2822:mprj_io_inp_dis[34] 0.000142505 +*RES +1 *2809:pad_gpio_inenb *2323:7 4.96178 +2 *2323:7 *2323:8 94.6409 +3 *2323:8 *2822:mprj_io_inp_dis[34] 46.7741 +*END + +*D_NET *2324 0.0175379 +*CONN +*I *2822:mprj_io_inp_dis[35] I *D chip_io +*I *2783:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[35] 0.000373204 +2 *2783:pad_gpio_inenb 0.000475346 +3 *2324:8 0.00164266 +4 *2324:7 0.00174481 +5 *2324:7 *2822:mprj_io_out[35] 7.73422e-05 +6 *2324:7 *2438:7 0 +7 *2324:8 *2438:8 0.0050847 +8 *2783:pad_gpio_in *2324:7 0.000175947 +9 *2822:mprj_io_analog_pol[35] *2822:mprj_io_inp_dis[35] 0 +10 *1745:13 *2324:8 0.000847761 +11 *2058:8 *2324:8 0.00592113 +12 *2076:8 *2324:8 0.000906641 +13 *2210:8 *2324:8 0.000288403 +14 *2248:8 *2822:mprj_io_inp_dis[35] 0 +15 *2286:11 *2324:8 0 +*RES +1 *2783:pad_gpio_inenb *2324:7 4.8088 +2 *2324:7 *2324:8 112.912 +3 *2324:8 *2822:mprj_io_inp_dis[35] 18.1934 +*END + +*D_NET *2325 0.0169417 +*CONN +*I *2822:mprj_io_inp_dis[36] I *D chip_io +*I *2784:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[36] 0.000342103 +2 *2784:pad_gpio_inenb 0.00122308 +3 *2325:12 0.000939814 +4 *2325:10 0.0018208 +5 *2325:10 *2401:15 0.000179179 +6 *2325:10 *2439:7 0 +7 *2325:10 *2477:8 0.00114918 +8 *2325:10 *2477:16 6.34651e-06 +9 *2325:12 *2401:18 0.00456844 +10 *2325:12 *2477:16 0.00462522 +11 *2822:mprj_io_analog_pol[36] *2822:mprj_io_inp_dis[36] 0 +12 *687:16 *2325:10 0.000201576 +13 *1745:13 *2325:10 0.000287339 +14 *1745:13 *2325:12 0.000704155 +15 *2059:16 *2822:mprj_io_inp_dis[36] 0 +16 *2059:16 *2325:12 1.23518e-05 +17 *2077:10 *2325:10 9.71323e-06 +18 *2077:12 *2325:10 4.22808e-06 +19 *2077:12 *2325:12 3.80436e-07 +20 *2080:15 *2325:10 0.000867775 +21 *2249:7 *2325:10 0 +*RES +1 *2784:pad_gpio_inenb *2325:10 45.5768 +2 *2325:10 *2325:12 73.8783 +3 *2325:12 *2822:mprj_io_inp_dis[36] 17.3629 +*END + +*D_NET *2326 0.0197951 +*CONN +*I *2822:mprj_io_inp_dis[37] I *D chip_io +*I *2785:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[37] 7.23806e-05 +2 *2785:pad_gpio_inenb 0.000558376 +3 *2326:27 0.00140121 +4 *2326:15 0.0018872 +5 *2326:15 *2364:15 0.000221251 +6 *2326:15 *2402:15 0.00091755 +7 *2326:27 *2822:mprj_io_oeb[37] 0.0046136 +8 *2326:27 *2364:21 0.00138858 +9 *2326:27 *2402:21 0.000868866 +10 *2785:pad_gpio_in *2326:15 7.29433e-05 +11 *2822:mprj_io_dm[113] *2326:27 1.93857e-05 +12 *2822:mprj_io_holdover[37] *2326:27 3.21888e-05 +13 *2212:16 *2326:27 0 +14 *2250:15 *2326:15 0.000562443 +15 *2250:29 *2326:27 0.00699212 +16 *2288:13 *2326:27 0.000186963 +*RES +1 *2785:pad_gpio_inenb *2326:15 34.7232 +2 *2326:15 *2326:27 49.9192 +3 *2326:27 *2822:mprj_io_inp_dis[37] 1.7465 +*END + +*D_NET *2327 0.0174009 +*CONN +*I *2822:mprj_io_inp_dis[3] I *D chip_io +*I *2798:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[3] 0.000544083 +2 *2798:pad_gpio_inenb 0.000411764 +3 *2327:16 0.00191256 +4 *2327:15 0.00178025 +5 *2327:15 *2403:13 0.00105174 +6 *2327:15 *2441:15 0.000311875 +7 *2327:16 *2441:16 0 +8 *2327:16 *2479:14 0 +9 *2798:pad_gpio_in *2327:15 4.69062e-05 +10 *2822:mprj_io_analog_pol[3] *2822:mprj_io_inp_dis[3] 0 +11 *111:36 *2822:mprj_io_inp_dis[3] 0 +12 *1985:16 *2327:16 0.00506005 +13 *2023:14 *2327:16 0.00503402 +14 *2079:14 *2327:16 5.92227e-05 +15 *2181:14 *2327:16 0.000537421 +16 *2213:15 *2327:15 0 +17 *2289:15 *2327:16 0.00065101 +*RES +1 *2798:pad_gpio_inenb *2327:15 27.4992 +2 *2327:15 *2327:16 114.365 +3 *2327:16 *2822:mprj_io_inp_dis[3] 22.1522 +*END + +*D_NET *2328 0.0197006 +*CONN +*I *2822:mprj_io_inp_dis[4] I *D chip_io +*I *2799:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[4] 0.000480886 +2 *2799:pad_gpio_inenb 0.000519416 +3 *2328:16 0.00157935 +4 *2328:15 0.00161788 +5 *2328:15 *2404:13 0.000237476 +6 *2328:16 *2442:14 0 +7 *2328:16 *2480:14 0.000339969 +8 *2799:pad_gpio_in *2328:15 0.00119931 +9 *2822:mprj_io_analog_pol[4] *2822:mprj_io_inp_dis[4] 0 +10 *655:45 *2328:16 0.00110168 +11 *671:14 *2822:mprj_io_inp_dis[4] 0 +12 *2024:16 *2328:16 0.00581031 +13 *2085:16 *2328:16 0.00601358 +14 *2086:16 *2328:16 0.000148843 +15 *2252:15 *2328:15 0.000651906 +*RES +1 *2799:pad_gpio_inenb *2328:15 32.3428 +2 *2328:15 *2328:16 109.382 +3 *2328:16 *2822:mprj_io_inp_dis[4] 21.2423 +*END + +*D_NET *2329 0.0193722 +*CONN +*I *2822:mprj_io_inp_dis[5] I *D chip_io +*I *2800:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[5] 0.000593202 +2 *2800:pad_gpio_inenb 0.000971434 +3 *2329:14 0.00155557 +4 *2329:13 0.00193381 +5 *2329:13 *2405:13 0.000443893 +6 *2329:14 *2481:14 0 +7 *2800:pad_gpio_in *2329:13 3.26002e-05 +8 *2822:mprj_io_analog_pol[5] *2822:mprj_io_inp_dis[5] 0 +9 *116:49 *2329:14 0.000951114 +10 *672:14 *2329:14 0.00669946 +11 *2025:14 *2329:14 0.0059145 +12 *2088:14 *2329:14 0.000152316 +13 *2090:13 *2329:13 0 +14 *2090:14 *2329:14 0 +15 *2215:13 *2329:13 0.000124349 +16 *2253:8 *2329:13 0 +*RES +1 *2800:pad_gpio_inenb *2329:13 37.3187 +2 *2329:13 *2329:14 106.891 +3 *2329:14 *2822:mprj_io_inp_dis[5] 24.0154 +*END + +*D_NET *2330 0.0162447 +*CONN +*I *2822:mprj_io_inp_dis[6] I *D chip_io +*I *2801:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[6] 0.000630771 +2 *2801:pad_gpio_inenb 0.000383531 +3 *2330:16 0.00236107 +4 *2330:15 0.00211383 +5 *2330:15 *2406:13 0.000815431 +6 *2330:15 *2444:15 0.000547133 +7 *2330:16 *2444:16 0 +8 *2801:pad_gpio_in *2330:15 4.69062e-05 +9 *115:64 *2330:16 0.00105443 +10 *630:47 *2822:mprj_io_inp_dis[6] 0.000223881 +11 *654:59 *2330:16 0 +12 *2026:14 *2330:16 0.00192378 +13 *2091:14 *2330:16 0.00588844 +14 *2092:14 *2330:16 9.80712e-05 +15 *2292:11 *2330:16 0.000157405 +*RES +1 *2801:pad_gpio_inenb *2330:15 27.0839 +2 *2330:15 *2330:16 110.628 +3 *2330:16 *2822:mprj_io_inp_dis[6] 26.1277 +*END + +*D_NET *2331 0.0523568 +*CONN +*I *2822:mprj_io_inp_dis[7] I *D chip_io +*I *2802:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[7] 0.000429625 +2 *2802:pad_gpio_inenb 0.000726882 +3 *2331:14 0.00787395 +4 *2331:13 0.00817121 +5 *2822:mprj_io_inp_dis[7] *2590:9 9.29408e-05 +6 *2331:13 *2407:13 2.97005e-05 +7 *2802:pad_gpio_in *2331:13 2.50257e-05 +8 *2822:mprj_io_analog_pol[7] *2822:mprj_io_inp_dis[7] 0 +9 *655:51 *2331:13 0.000666293 +10 *674:10 *2331:13 0.000661617 +11 *2095:8 *2331:14 0.0251799 +12 *2097:8 *2331:14 0.00204779 +13 *2098:14 *2331:14 0.000191764 +14 *2099:14 *2331:14 0.00475842 +15 *2256:10 *2331:14 0.00150167 +16 *2293:11 *2331:14 0 +*RES +1 *2802:pad_gpio_inenb *2331:13 36.1302 +2 *2331:13 *2331:14 412.101 +3 *2331:14 *2822:mprj_io_inp_dis[7] 19.0267 +*END + +*D_NET *2332 0.0663099 +*CONN +*I *2822:mprj_io_inp_dis[8] I *D chip_io +*I *2786:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[8] 0.000379439 +2 *2786:pad_gpio_inenb 0.000616024 +3 *2332:14 0.00405744 +4 *2332:13 0.00429402 +5 *2822:mprj_io_inp_dis[8] *2601:13 0 +6 *2332:13 *2408:9 1.95874e-05 +7 *2332:14 *2822:mprj_io_slow_sel[8] 1.86389e-05 +8 *2332:14 *2408:10 0.00419746 +9 *2786:pad_gpio_in *2332:13 3.74656e-05 +10 *2822:mprj_io_analog_pol[8] *2822:mprj_io_inp_dis[8] 0 +11 *116:65 *2332:13 0.000409033 +12 *632:85 *2332:13 0.000420325 +13 *2099:14 *2332:14 0.0251298 +14 *2103:24 *2332:14 0.00250532 +15 *2294:11 *2332:14 0.0238015 +16 *2295:11 *2332:14 0.000423832 +*RES +1 *2786:pad_gpio_inenb *2332:13 30.3139 +2 *2332:13 *2332:14 423.313 +3 *2332:14 *2822:mprj_io_inp_dis[8] 17.9175 +*END + +*D_NET *2333 0.0610225 +*CONN +*I *2822:mprj_io_inp_dis[9] I *D chip_io +*I *2788:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_inp_dis[9] 0.000509821 +2 *2788:pad_gpio_inenb 0.000659371 +3 *2333:18 0.00145605 +4 *2333:16 0.000972559 +5 *2333:14 0.00356875 +6 *2333:13 0.00420179 +7 *2822:mprj_io_inp_dis[9] *2611:11 0 +8 *2333:13 *2371:7 0 +9 *2333:13 *2447:13 0.00131775 +10 *2333:14 *2447:14 0.0210303 +11 *2333:18 *2409:36 0.00286202 +12 *2333:18 *2447:14 0.00108664 +13 *2788:pad_gpio_in *2333:13 0.000467559 +14 *2788:serial_clock *2333:13 9.95542e-06 +15 *2098:14 *2333:14 0.00853051 +16 *2101:14 *2333:18 0.000377318 +17 *2102:14 *2333:14 0.00605755 +18 *2102:14 *2333:18 0.00384641 +19 *2103:8 *2333:13 0.000217538 +20 *2107:16 *2333:18 0 +21 *2219:8 *2333:14 0.00301834 +22 *2219:8 *2333:18 0.000823061 +23 *2257:7 *2333:13 0 +24 *2295:11 *2333:14 9.2346e-06 +*RES +1 *2788:pad_gpio_inenb *2333:13 36.7613 +2 *2333:13 *2333:14 337.857 +3 *2333:14 *2333:16 0.732798 +4 *2333:16 *2333:18 81.8902 +5 *2333:18 *2822:mprj_io_inp_dis[9] 20.6905 +*END + +*D_NET *2334 0.00698947 +*CONN +*I *2822:mprj_io_oeb[0] I *D chip_io +*I *2781:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[0] 0.000152441 +2 *2781:pad_gpio_outenb 0.000151378 +3 *2334:8 0.00266244 +4 *2334:7 0.00266138 +5 *2334:7 *2822:mprj_io_slow_sel[0] 0.000130362 +6 *2334:7 *2372:13 2.72062e-05 +7 *2334:8 *2822:mprj_io_slow_sel[0] 0.000324106 +8 *2334:8 *2372:13 5.78657e-05 +9 *2334:8 *2448:13 3.61259e-05 +10 *2781:mgmt_gpio_oeb *2334:8 4.89469e-06 +11 *2781:mgmt_gpio_out *2334:8 4.89469e-06 +12 *2781:pad_gpio_in *2334:8 0.000149352 +13 *1705:13 *2334:8 4.89469e-06 +14 *1954:13 *2334:8 4.05974e-05 +15 *1992:13 *2334:8 4.05974e-05 +16 *2030:13 *2334:8 1.48603e-05 +17 *2030:14 *2334:8 0 +18 *2068:13 *2334:8 0.000145299 +19 *2093:13 *2334:8 0.000124349 +20 *2182:13 *2334:8 0.000164682 +21 *2220:13 *2334:8 5.82461e-05 +22 *2220:14 *2822:mprj_io_oeb[0] 0 +23 *2220:14 *2334:8 0 +24 *2296:13 *2334:7 0 +25 *2296:13 *2334:8 3.35007e-05 +*RES +1 *2781:pad_gpio_outenb *2334:7 3.81442 +2 *2334:7 *2334:8 75.124 +3 *2334:8 *2822:mprj_io_oeb[0] 13.1832 +*END + +*D_NET *2335 0.071651 +*CONN +*I *2822:mprj_io_oeb[10] I *D chip_io +*I *2789:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[10] 9.4438e-05 +2 *2789:pad_gpio_outenb 0.000167771 +3 *2335:8 0.00490289 +4 *2335:7 0.00497623 +5 *2335:7 *2411:13 1.04136e-05 +6 *2335:8 *2336:8 0.0135917 +7 *2335:8 *2373:8 0.0310832 +8 *2335:8 *2449:16 0.000374189 +9 *2788:serial_clock_out *2335:7 0.000366744 +10 *2031:8 *2335:8 0.000503185 +11 *2067:13 *2335:7 0.000160787 +12 *2103:30 *2335:8 0.00149129 +13 *2108:14 *2822:mprj_io_oeb[10] 0 +14 *2184:8 *2335:8 0 +15 *2222:10 *2335:8 0.000189249 +16 *2257:8 *2335:8 0.0131722 +17 *2298:8 *2335:8 0.000566708 +*RES +1 *2789:pad_gpio_outenb *2335:7 1.0135 +2 *2335:7 *2335:8 64.8607 +3 *2335:8 *2822:mprj_io_oeb[10] 4.93885 +*END + +*D_NET *2336 0.0631662 +*CONN +*I *2822:mprj_io_oeb[11] I *D chip_io +*I *2790:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[11] 0.000145701 +2 *2790:pad_gpio_outenb 0.000140952 +3 *2336:8 0.0056453 +4 *2336:7 0.00564055 +5 *2336:7 *2374:7 7.32097e-05 +6 *2336:7 *2412:13 2.11673e-05 +7 *2336:7 *2450:7 0 +8 *2336:8 *2450:8 0.0316396 +9 *2822:mprj_io_ib_mode_sel[11] *2822:mprj_io_oeb[11] 1.13978e-06 +10 *1957:23 *2336:8 4.7332e-05 +11 *2108:20 *2336:8 0.000983629 +12 *2113:8 *2336:8 0.00449696 +13 *2184:8 *2336:8 0 +14 *2222:10 *2336:8 0.000319464 +15 *2261:11 *2822:mprj_io_oeb[11] 0 +16 *2298:20 *2336:8 0.000419524 +17 *2335:8 *2336:8 0.0135917 +*RES +1 *2790:pad_gpio_outenb *2336:7 0.860519 +2 *2336:7 *2336:8 64.6321 +3 *2336:8 *2822:mprj_io_oeb[11] 6.41056 +*END + +*D_NET *2337 0.0721813 +*CONN +*I *2822:mprj_io_oeb[12] I *D chip_io +*I *2791:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[12] 0.000293662 +2 *2791:pad_gpio_outenb 0.000572548 +3 *2337:8 0.0036834 +4 *2337:7 0.00396229 +5 *2337:7 *2375:13 2.65334e-05 +6 *2337:7 *2413:13 2.48182e-05 +7 *2337:7 *2451:7 0 +8 *2337:8 *2376:16 0.000427678 +9 *2822:mprj_io_ib_mode_sel[12] *2822:mprj_io_oeb[12] 8.2204e-05 +10 *2117:26 *2822:mprj_io_oeb[12] 0 +11 *2185:8 *2337:8 0.0309228 +12 *2223:8 *2337:8 0.0321853 +*RES +1 *2791:pad_gpio_outenb *2337:7 1.62542 +2 *2337:7 *2337:8 64.4035 +3 *2337:8 *2822:mprj_io_oeb[12] 7.7 +*END + +*D_NET *2338 0.120853 +*CONN +*I *2822:mprj_io_oeb[13] I *D chip_io +*I *2792:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[13] 0.000178126 +2 *2792:pad_gpio_outenb 6.87765e-05 +3 *2338:16 0.00483705 +4 *2338:15 0.00531067 +5 *2338:10 0.00168356 +6 *2338:9 0.00110059 +7 *2338:9 *2376:9 8.10367e-06 +8 *2338:10 *2376:10 0.0062003 +9 *2338:15 *2376:15 0.00246961 +10 *2338:16 *2376:16 0.0429026 +11 *2338:16 *2452:8 0.0437807 +12 *2117:32 *2338:16 0.00694687 +13 *2224:8 *2338:16 0.000136859 +14 *2300:10 *2338:10 0.00522935 +*RES +1 *2792:pad_gpio_outenb *2338:9 3.59493 +2 *2338:9 *2338:10 99.8315 +3 *2338:10 *2338:15 6.96965 +4 *2338:15 *2338:16 87.7215 +5 *2338:16 *2822:mprj_io_oeb[13] 7.24106 +*END + +*D_NET *2339 0.0248534 +*CONN +*I *2822:mprj_io_oeb[14] I *D chip_io +*I *2793:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[14] 0.000297026 +2 *2793:pad_gpio_outenb 0.000132052 +3 *2339:20 0.00158512 +4 *2339:19 0.00128809 +5 *2339:17 0.000938738 +6 *2339:8 0.00349185 +7 *2339:7 0.00268516 +8 *2339:7 *2377:13 4.2387e-05 +9 *2339:7 *2415:13 3.27908e-05 +10 *2339:8 *2793:user_gpio_oeb 0 +11 *2339:8 *2793:user_gpio_out 0 +12 *2339:8 *2377:13 0.000112544 +13 *2339:8 *2415:13 1.79429e-05 +14 *2339:8 *2453:13 7.08723e-06 +15 *2339:17 *2822:mprj_io_slow_sel[14] 5.04829e-06 +16 *2339:17 *2377:18 0.00118279 +17 *2339:20 *2377:18 0 +18 *2793:serial_clock_out *2339:20 0.000750362 +19 *2822:mprj_io_dm[44] *2339:20 0 +20 *2822:mprj_io_ib_mode_sel[14] *2822:mprj_io_oeb[14] 0 +21 *621:48 *2822:mprj_io_oeb[14] 0 +22 *621:48 *2339:20 0.0046048 +23 *621:56 *2339:8 0.00467156 +24 *665:12 *2339:8 0 +25 *2120:16 *2339:17 0.00117561 +26 *2120:16 *2339:20 0 +27 *2263:11 *2339:8 0 +28 *2301:16 *2339:20 0.00183248 +*RES +1 *2793:pad_gpio_outenb *2339:7 3.81442 +2 *2339:7 *2339:8 99.6239 +3 *2339:8 *2339:17 48.7784 +4 *2339:17 *2339:19 4.5 +5 *2339:19 *2339:20 74.5011 +6 *2339:20 *2822:mprj_io_oeb[14] 16.4646 +*END + +*D_NET *2340 0.0674716 +*CONN +*I *2822:mprj_io_oeb[15] I *D chip_io +*I *2794:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[15] 0.000991507 +2 *2794:pad_gpio_outenb 8.57798e-05 +3 *2340:21 0.00594023 +4 *2340:20 0.00603141 +5 *2340:17 0.00116684 +6 *2340:11 0.000921846 +7 *2340:10 0.000923472 +8 *2822:mprj_io_oeb[15] *2378:33 0 +9 *2340:11 *2794:user_gpio_out 0.000462196 +10 *2340:11 *2378:13 0.000191364 +11 *2340:11 *2378:15 0.00286591 +12 *2340:11 *2378:21 7.02172e-06 +13 *2340:17 *2378:21 0.000208834 +14 *2340:20 *2378:24 0.000343501 +15 *2340:20 *2416:20 0.000670707 +16 *2340:21 *2378:27 0.00193843 +17 *2340:21 *2416:21 4.62974e-05 +18 *2340:21 *2454:17 0.001525 +19 *2340:21 *2454:21 0.000870756 +20 *2822:mprj_io_holdover[15] *2822:mprj_io_oeb[15] 0.00191294 +21 *2822:mprj_io_ib_mode_sel[15] *2822:mprj_io_oeb[15] 0.00058534 +22 *1960:16 *2340:10 0 +23 *1960:28 *2340:20 2.82537e-05 +24 *1998:22 *2340:20 0.000206816 +25 *2121:15 *2340:11 0.00786121 +26 *2123:13 *2340:11 0.0013863 +27 *2188:13 *2340:11 4.0752e-05 +28 *2188:25 *2340:20 0.000184368 +29 *2226:25 *2340:21 0.0300745 +*RES +1 *2794:pad_gpio_outenb *2340:10 8.38708 +2 *2340:10 *2340:11 90.0146 +3 *2340:11 *2340:17 7.73117 +4 *2340:17 *2340:20 47.0267 +5 *2340:20 *2340:21 314.629 +6 *2340:21 *2822:mprj_io_oeb[15] 27.7162 +*END + +*D_NET *2341 0.0178917 +*CONN +*I *2822:mprj_io_oeb[16] I *D chip_io +*I *2795:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[16] 0.00139854 +2 *2795:pad_gpio_outenb 8.99696e-05 +3 *2341:22 0.00213901 +4 *2341:19 0.00182081 +5 *2341:11 0.00283182 +6 *2341:10 0.00184145 +7 *2822:mprj_io_oeb[16] *2379:25 0.00148998 +8 *2822:mprj_io_oeb[16] *2417:23 0 +9 *2341:11 *2417:11 0.00318508 +10 *2341:19 *2379:11 0.000908333 +11 *2341:22 *2379:16 0.00193004 +12 *2822:mprj_io_dm[50] *2822:mprj_io_oeb[16] 0.000163504 +13 *2124:11 *2341:11 0 +14 *2227:31 *2822:mprj_io_oeb[16] 6.48684e-05 +15 *2303:22 *2822:mprj_io_oeb[16] 2.83209e-05 +*RES +1 *2795:pad_gpio_outenb *2341:10 8.46357 +2 *2341:10 *2341:11 75.5949 +3 *2341:11 *2341:19 46.6615 +4 *2341:19 *2341:22 36.2302 +5 *2341:22 *2822:mprj_io_oeb[16] 49.4252 +*END + +*D_NET *2342 0.0425796 +*CONN +*I *2822:mprj_io_oeb[17] I *D chip_io +*I *2796:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[17] 0.000537251 +2 *2796:pad_gpio_outenb 0.000101934 +3 *2342:11 0.0062051 +4 *2342:10 0.00576979 +5 *2822:mprj_io_oeb[17] *2822:mprj_io_out[17] 0.000387915 +6 *2822:mprj_io_oeb[17] *2380:22 2.04661e-05 +7 *2228:11 *2342:11 0.025645 +8 *2228:17 *2822:mprj_io_oeb[17] 0.00215451 +9 *2304:18 *2822:mprj_io_oeb[17] 0.00175763 +*RES +1 *2796:pad_gpio_outenb *2342:10 8.46357 +2 *2342:10 *2342:11 269.152 +3 *2342:11 *2822:mprj_io_oeb[17] 49.9163 +*END + +*D_NET *2343 0.0226149 +*CONN +*I *2822:mprj_io_oeb[18] I *D chip_io +*I *2787:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[18] 0.000138422 +2 *2787:pad_gpio_outenb 0.000541907 +3 *2343:30 0.000923529 +4 *2343:17 0.00280016 +5 *2343:16 0.00262661 +6 *2343:11 0.00115346 +7 *2343:11 *2419:13 5.24855e-05 +8 *2343:11 *2457:13 0.0014464 +9 *2343:16 *2457:16 0.00154384 +10 *2343:17 *2457:17 0.0024103 +11 *2343:30 *2822:mprj_io_vtrip_sel[18] 0.000379505 +12 *2343:30 *2457:22 7.24173e-05 +13 *2132:11 *2343:11 0.00166374 +14 *2229:15 *2343:17 0.000638365 +15 *2229:27 *2343:30 0.00207363 +16 *2305:11 *2343:17 0.00415013 +*RES +1 *2787:pad_gpio_outenb *2343:11 46.9 +2 *2343:11 *2343:16 35.3319 +3 *2343:16 *2343:17 100.552 +4 *2343:17 *2343:30 49.0491 +5 *2343:30 *2822:mprj_io_oeb[18] 1.77093 +*END + +*D_NET *2344 0.0441358 +*CONN +*I *2822:mprj_io_oeb[19] I *D chip_io +*I *2803:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[19] 0.000389256 +2 *2803:pad_gpio_outenb 0.000466786 +3 *2344:17 0.00247392 +4 *2344:16 0.00285818 +5 *2344:13 0.0012403 +6 *2344:13 *2420:10 3.955e-05 +7 *2344:13 *2420:11 5.04829e-06 +8 *2344:13 *2458:13 0.000434669 +9 *2344:16 *2458:16 1.55462e-05 +10 *2344:17 *2382:13 0.0117271 +11 *2344:17 *2458:17 0.0176774 +12 *2344:17 *2593:8 0.00163831 +13 *2803:serial_data_in *2344:16 7.14746e-05 +14 *2822:mprj_io_ib_mode_sel[19] *2822:mprj_io_oeb[19] 0 +15 *100:14 *2344:13 0.00122052 +16 *616:16 *2344:13 0.000266832 +17 *640:13 *2344:13 0.000647089 +18 *640:14 *2344:16 0.00118284 +19 *640:16 *2344:16 0.000886497 +20 *688:23 *2344:13 0.000250024 +21 *2230:17 *2344:17 0.000644459 +*RES +1 *2803:pad_gpio_outenb *2344:13 40.8625 +2 *2344:13 *2344:16 38.7217 +3 *2344:16 *2344:17 192.616 +4 *2344:17 *2822:mprj_io_oeb[19] 15.1744 +*END + +*D_NET *2345 0.00664488 +*CONN +*I *2822:mprj_io_oeb[1] I *D chip_io +*I *2782:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[1] 0.000152441 +2 *2782:pad_gpio_outenb 0.000134546 +3 *2345:8 0.00264745 +4 *2345:7 0.00262956 +5 *2345:7 *2822:mprj_io_slow_sel[1] 5.57145e-05 +6 *2345:7 *2383:13 3.84497e-05 +7 *2345:8 *2822:mprj_io_slow_sel[1] 0.000352252 +8 *2345:8 *2383:13 5.35541e-05 +9 *2345:8 *2383:14 0 +10 *2782:mgmt_gpio_oeb *2345:8 4.89469e-06 +11 *2782:mgmt_gpio_out *2345:8 4.89469e-06 +12 *1716:13 *2345:8 4.89469e-06 +13 *1965:13 *2345:8 1.32509e-05 +14 *2003:13 *2345:8 7.93673e-05 +15 *2041:13 *2345:8 8.35615e-06 +16 *2115:13 *2345:8 0.000130139 +17 *2126:13 *2345:8 5.88867e-05 +18 *2137:13 *2345:8 6.19102e-05 +19 *2193:13 *2345:8 7.08723e-06 +20 *2231:15 *2345:8 1.36313e-05 +21 *2231:16 *2822:mprj_io_oeb[1] 0 +22 *2231:16 *2345:8 0 +23 *2307:13 *2345:7 0 +24 *2307:13 *2345:8 0.000193604 +*RES +1 *2782:pad_gpio_outenb *2345:7 3.81442 +2 *2345:7 *2345:8 74.7088 +3 *2345:8 *2822:mprj_io_oeb[1] 13.1832 +*END + +*D_NET *2346 0.0211317 +*CONN +*I *2822:mprj_io_oeb[20] I *D chip_io +*I *2810:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[20] 0.00047002 +2 *2810:pad_gpio_outenb 0.000871504 +3 *2346:17 0.00707531 +4 *2346:16 0.00783344 +5 *2346:13 0.00209965 +6 *2346:17 *2460:15 0.00278181 +7 *2822:mprj_io_ib_mode_sel[20] *2822:mprj_io_oeb[20] 0 +*RES +1 *2810:pad_gpio_outenb *2346:13 35.24 +2 *2346:13 *2346:16 38.3064 +3 *2346:16 *2346:17 184.852 +4 *2346:17 *2822:mprj_io_oeb[20] 17.727 +*END + +*D_NET *2347 0.0279859 +*CONN +*I *2822:mprj_io_oeb[21] I *D chip_io +*I *2811:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[21] 0.000148706 +2 *2811:pad_gpio_outenb 0.00010807 +3 *2347:17 0.00216351 +4 *2347:16 0.00201481 +5 *2347:14 0.00203571 +6 *2347:13 0.00203571 +7 *2347:11 0.00151089 +8 *2347:10 0.00161896 +9 *2347:11 *2461:11 0.00638486 +10 *2347:14 *2385:14 0 +11 *2347:14 *2461:14 0 +12 *2347:17 *2461:17 0.00836992 +13 *2822:mprj_io_ib_mode_sel[21] *2822:mprj_io_oeb[21] 0 +14 *690:20 *2347:14 0 +15 *690:23 *2347:11 0 +16 *2141:16 *2347:14 0.00153611 +17 *2142:16 *2347:14 9.22013e-06 +18 *2233:17 *2347:17 4.9452e-05 +19 *2271:15 *2347:14 0 +20 *2309:16 *2347:14 0 +*RES +1 *2811:pad_gpio_outenb *2347:10 8.46357 +2 *2347:10 *2347:11 70.0488 +3 *2347:11 *2347:13 4.5 +4 *2347:13 *2347:14 64.1198 +5 *2347:14 *2347:16 4.5 +6 *2347:16 *2347:17 93.3422 +7 *2347:17 *2822:mprj_io_oeb[21] 8.59146 +*END + +*D_NET *2348 0.0388234 +*CONN +*I *2822:mprj_io_oeb[22] I *D chip_io +*I *2812:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[22] 0.000394846 +2 *2812:pad_gpio_outenb 0.000441386 +3 *2348:17 0.0044652 +4 *2348:16 0.0046311 +5 *2348:13 0.00100213 +6 *2348:13 *2424:16 0.00032497 +7 *2348:13 *2424:17 9.08435e-05 +8 *2348:13 *2462:13 0.00206604 +9 *2348:16 *2424:16 7.77309e-06 +10 *2348:16 *2462:16 0.00210347 +11 *2348:17 *2462:17 0.0175754 +12 *2348:17 *2596:10 0.00338415 +13 *2822:mprj_io_ib_mode_sel[22] *2822:mprj_io_oeb[22] 0 +14 *112:14 *2348:13 0.000193186 +15 *112:14 *2348:16 2.82537e-05 +16 *628:16 *2348:16 0.00117052 +17 *652:13 *2348:13 1.65872e-05 +18 *652:17 *2348:16 4.3116e-06 +19 *652:40 *2348:16 5.1493e-06 +20 *690:13 *2348:13 0.000749011 +21 *2234:23 *2348:17 0.000169038 +*RES +1 *2812:pad_gpio_outenb *2348:13 40.3079 +2 *2348:13 *2348:16 39.5522 +3 *2348:16 *2348:17 192.062 +4 *2348:17 *2822:mprj_io_oeb[22] 15.5897 +*END + +*D_NET *2349 0.0223873 +*CONN +*I *2822:mprj_io_oeb[23] I *D chip_io +*I *2813:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[23] 0.000394846 +2 *2813:pad_gpio_outenb 0.000809985 +3 *2349:17 0.00704283 +4 *2349:16 0.00784664 +5 *2349:13 0.00200864 +6 *2349:13 *2425:10 4.88957e-06 +7 *2349:13 *2597:26 0 +8 *2349:17 *2463:15 0.00427942 +*RES +1 *2813:pad_gpio_outenb *2349:13 33.5762 +2 *2349:13 *2349:16 38.3064 +3 *2349:16 *2349:17 185.406 +4 *2349:17 *2822:mprj_io_oeb[23] 15.5897 +*END + +*D_NET *2350 0.0243198 +*CONN +*I *2822:mprj_io_oeb[24] I *D chip_io +*I *2814:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[24] 0.000254727 +2 *2814:pad_gpio_outenb 1.65112e-05 +3 *2350:22 1.65112e-05 +4 *2350:8 0.000712516 +5 *2350:7 0.000457789 +6 *2350:5 0.00208995 +7 *2350:4 0.00208995 +8 *2822:mprj_io_oeb[24] *2822:mprj_io_out[24] 0.000515039 +9 *2350:5 *2388:5 0 +10 *2350:5 *2464:8 0.00561345 +11 *2350:8 *2388:8 4.0752e-05 +12 *2350:8 *2464:11 0.00604254 +13 *2822:mprj_io_ib_mode_sel[24] *2822:mprj_io_oeb[24] 0 +14 *2274:16 *2350:8 0.000643125 +15 *2274:17 *2350:5 0.000147428 +16 *2312:8 *2350:8 0.00567947 +*RES +1 *2814:pad_gpio_outenb *2350:4 3.36879 +2 *2350:4 *2350:5 95.0561 +3 *2350:5 *2350:7 4.5 +4 *2350:7 *2350:8 63.9482 +5 *2350:8 *2822:mprj_io_oeb[24] 15.2477 +6 *2814:pad_gpio_outenb *2350:22 0.0631875 +*END + +*D_NET *2351 0.0246937 +*CONN +*I *2822:mprj_io_oeb[25] I *D chip_io +*I *2815:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[25] 0.000546032 +2 *2815:pad_gpio_outenb 0.000826419 +3 *2351:30 0.00124395 +4 *2351:29 0.00223739 +5 *2351:16 0.00236589 +6 *2351:16 *2389:7 3.27908e-05 +7 *2351:16 *2427:7 3.74656e-05 +8 *2815:mgmt_gpio_out *2351:16 0.000517844 +9 *2816:serial_load *2351:29 0 +10 *2822:mprj_io_analog_en[25] *2351:29 0.00139831 +11 *2822:mprj_io_ib_mode_sel[25] *2822:mprj_io_oeb[25] 0 +12 *106:43 *2822:mprj_io_oeb[25] 0 +13 *618:34 *2351:30 0.00069937 +14 *632:43 *2351:30 0.00319881 +15 *632:55 *2351:16 9.64979e-05 +16 *633:29 *2351:30 0.00460529 +17 *694:8 *2351:30 0.000680517 +18 *694:19 *2351:29 0.000551692 +19 *694:20 *2351:16 0.0021964 +20 *694:20 *2351:29 0.000687142 +21 *1722:33 *2351:16 2.02035e-05 +22 *2009:13 *2351:16 7.86825e-06 +23 *2156:23 *2351:29 0.000182281 +24 *2275:11 *2351:16 0.000432584 +25 *2275:11 *2351:29 0.00212897 +*RES +1 *2815:pad_gpio_outenb *2351:16 45.151 +2 *2351:16 *2351:29 45.7173 +3 *2351:29 *2351:30 73.8783 +4 *2351:30 *2822:mprj_io_oeb[25] 21.521 +*END + +*D_NET *2352 0.026063 +*CONN +*I *2822:mprj_io_oeb[26] I *D chip_io +*I *2816:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[26] 0.000554162 +2 *2816:pad_gpio_outenb 0.00088865 +3 *2352:12 0.0018705 +4 *2352:10 0.00220499 +5 *2352:10 *2390:10 0.000109066 +6 *2352:10 *2428:7 0.000154831 +7 *2352:10 *2466:7 0 +8 *2352:12 *2466:14 0.00529152 +9 *2822:mprj_io_ib_mode_sel[26] *2822:mprj_io_oeb[26] 0 +10 *116:16 *2352:12 2.01595e-05 +11 *617:14 *2822:mprj_io_oeb[26] 0 +12 *618:34 *2352:10 0.000109836 +13 *618:34 *2352:12 0.00139992 +14 *632:18 *2352:10 0 +15 *633:29 *2352:10 0.000343785 +16 *633:29 *2352:12 0.00940401 +17 *695:16 *2352:12 0.000147136 +18 *2200:8 *2352:12 0.00356075 +19 *2314:10 *2352:10 0 +20 *2314:12 *2352:10 3.67528e-06 +21 *2314:12 *2352:12 0 +*RES +1 *2816:pad_gpio_outenb *2352:10 17.5787 +2 *2352:10 *2352:12 150.92 +3 *2352:12 *2822:mprj_io_oeb[26] 22.3515 +*END + +*D_NET *2353 0.0204689 +*CONN +*I *2822:mprj_io_oeb[27] I *D chip_io +*I *2817:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[27] 0.0013294 +2 *2817:pad_gpio_outenb 0.000405555 +3 *2353:16 0.00234694 +4 *2353:13 0.00131362 +5 *2353:8 0.00143375 +6 *2353:7 0.00154323 +7 *2822:mprj_io_oeb[27] *2822:mprj_io_out[27] 0 +8 *2822:mprj_io_oeb[27] *2822:mprj_io_vtrip_sel[27] 3.38896e-05 +9 *2353:7 *2391:7 0.000154831 +10 *2353:7 *2429:7 0.000253605 +11 *2353:7 *2467:7 0 +12 *2353:13 *2822:mprj_io_slow_sel[27] 0 +13 *2353:13 *2467:13 5.04829e-06 +14 *2353:13 *2602:11 5.04829e-06 +15 *2353:16 *2602:11 0 +16 *2822:mprj_io_analog_en[27] *2353:16 0 +17 *2822:mprj_io_analog_pol[27] *2353:16 0 +18 *2822:mprj_io_dm[81] *2353:16 0 +19 *2822:mprj_io_dm[82] *2353:16 0 +20 *2822:mprj_io_dm[83] *2822:mprj_io_oeb[27] 0.000307827 +21 *2822:mprj_io_holdover[27] *2822:mprj_io_oeb[27] 0 +22 *2822:mprj_io_ib_mode_sel[27] *2822:mprj_io_oeb[27] 4.76719e-05 +23 *2822:mprj_io_inp_dis[27] *2353:16 0 +24 *106:37 *2822:mprj_io_oeb[27] 0.00028481 +25 *106:37 *2353:16 0.000328811 +26 *117:21 *2353:8 0.000122969 +27 *617:14 *2822:mprj_io_oeb[27] 0 +28 *617:14 *2353:16 0.000749487 +29 *618:34 *2353:8 0.00042583 +30 *633:14 *2353:8 0.00570502 +31 *634:8 *2822:mprj_io_oeb[27] 3.61346e-05 +32 *657:8 *2353:8 0 +33 *2163:21 *2353:8 0.00227526 +34 *2201:8 *2353:8 0.00120977 +35 *2201:12 *2353:8 0.000150395 +36 *2315:7 *2353:7 0 +*RES +1 *2817:pad_gpio_outenb *2353:7 5.03827 +2 *2353:7 *2353:8 92.1493 +3 *2353:8 *2353:13 14.7148 +4 *2353:13 *2353:16 36.8231 +5 *2353:16 *2822:mprj_io_oeb[27] 49.4316 +*END + +*D_NET *2354 0.0241134 +*CONN +*I *2822:mprj_io_oeb[28] I *D chip_io +*I *2818:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[28] 0.000503289 +2 *2818:pad_gpio_outenb 0.0010338 +3 *2354:14 0.0019228 +4 *2354:13 0.0024533 +5 *2354:13 *2392:7 0.000226649 +6 *2354:13 *2392:8 0.000571901 +7 *2354:13 *2430:7 0.000202772 +8 *2354:13 *2468:10 0.000139389 +9 *2354:13 *2468:12 0 +10 *2354:14 *2468:24 0.000316724 +11 *2822:mprj_io_holdover[28] *2354:14 0.00103547 +12 *617:14 *2822:mprj_io_oeb[28] 0 +13 *618:34 *2354:14 0.0012857 +14 *634:73 *2354:13 0.000536397 +15 *634:74 *2354:13 0.000217049 +16 *658:30 *2354:14 0.00392124 +17 *679:10 *2354:14 0.00842275 +18 *1974:13 *2354:13 5.04829e-06 +19 *2012:13 *2354:13 5.20546e-06 +20 *2012:14 *2354:13 0.000107729 +21 *2164:8 *2354:13 0.000203102 +22 *2166:8 *2354:14 0.000612147 +23 *2202:26 *2354:14 0.000390916 +*RES +1 *2818:pad_gpio_outenb *2354:13 45.921 +2 *2354:13 *2354:14 135.128 +3 *2354:14 *2822:mprj_io_oeb[28] 20.9664 +*END + +*D_NET *2355 0.0241492 +*CONN +*I *2822:mprj_io_oeb[29] I *D chip_io +*I *2804:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[29] 0.000434456 +2 *2804:pad_gpio_outenb 0.000437571 +3 *2355:10 0.00248967 +4 *2355:9 0.00249279 +5 *2355:9 *2393:9 0.000248958 +6 *2355:9 *2431:9 0.000331383 +7 *2355:10 *2393:16 0.00565615 +8 *2355:10 *2431:10 0.00154331 +9 *2355:10 *2469:16 0.00641149 +10 *617:70 *2355:10 0 +11 *618:34 *2355:10 0.00153785 +12 *641:12 *2822:mprj_io_oeb[29] 0 +13 *680:8 *2355:10 0 +14 *2169:13 *2355:10 0.00224259 +15 *2317:9 *2355:9 0 +16 *2317:10 *2355:10 0.000323032 +*RES +1 *2804:pad_gpio_outenb *2355:9 5.41074 +2 *2355:9 *2355:10 162.742 +3 *2355:10 *2822:mprj_io_oeb[29] 19.9966 +*END + +*D_NET *2356 0.0128743 +*CONN +*I *2822:mprj_io_oeb[2] I *D chip_io +*I *2797:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[2] 0.000244907 +2 *2797:pad_gpio_outenb 0.00034887 +3 *2356:14 0.00103875 +4 *2356:13 0.00114271 +5 *2356:13 *2394:13 3.84497e-05 +6 *2356:13 *2432:13 0.000973675 +7 *2356:13 *2470:13 6.11359e-06 +8 *2356:14 *2394:14 0.00424308 +9 *2356:14 *2470:14 0.000173913 +10 *2822:mprj_io_ib_mode_sel[2] *2822:mprj_io_oeb[2] 0 +11 *110:52 *2822:mprj_io_oeb[2] 0 +12 *626:65 *2822:mprj_io_oeb[2] 0.000510748 +13 *650:56 *2356:14 0.000330754 +14 *669:30 *2356:13 4.3116e-06 +15 *1976:19 *2822:mprj_io_oeb[2] 8.05684e-05 +16 *2159:13 *2822:mprj_io_oeb[2] 0 +17 *2242:14 *2356:14 0.00373744 +*RES +1 *2797:pad_gpio_outenb *2356:13 23.9457 +2 *2356:13 *2356:14 76.5774 +3 *2356:14 *2822:mprj_io_oeb[2] 16.7972 +*END + +*D_NET *2357 0.0242688 +*CONN +*I *2822:mprj_io_oeb[30] I *D chip_io +*I *2805:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[30] 0.000251147 +2 *2805:pad_gpio_outenb 0.00047251 +3 *2357:20 0.00182231 +4 *2357:19 0.00248412 +5 *2357:8 0.0019693 +6 *2357:7 0.00152886 +7 *2357:7 *2395:7 0.000315036 +8 *2357:7 *2433:7 0.000215594 +9 *2357:7 *2471:13 0 +10 *2357:8 *2471:13 0 +11 *2357:8 *2471:14 0.00320897 +12 *2357:20 *2822:mprj_io_out[30] 0 +13 *2357:20 *2822:mprj_io_vtrip_sel[30] 0 +14 *2822:mprj_io_analog_en[30] *2357:20 0 +15 *2822:mprj_io_analog_pol[30] *2357:20 0 +16 *2822:mprj_io_analog_sel[30] *2357:20 0 +17 *2822:mprj_io_dm[90] *2357:20 0 +18 *2822:mprj_io_dm[91] *2357:20 0 +19 *2822:mprj_io_dm[92] *2357:20 0 +20 *2822:mprj_io_holdover[30] *2357:20 0 +21 *2822:mprj_io_ib_mode_sel[30] *2357:20 0 +22 *2822:mprj_io_inp_dis[30] *2357:20 0 +23 *102:13 *2357:7 7.70397e-05 +24 *102:14 *2357:8 0.000217246 +25 *102:26 *2822:mprj_io_oeb[30] 0 +26 *102:26 *2357:20 0.00469995 +27 *106:37 *2357:19 0.00088793 +28 *106:37 *2357:20 0.000882463 +29 *618:8 *2357:19 0.000102523 +30 *681:8 *2357:19 0.000116513 +31 *1977:17 *2357:19 0.000103329 +32 *2015:8 *2357:19 0.000102523 +33 *2171:8 *2357:19 0.000106488 +34 *2172:16 *2357:20 0.000666233 +35 *2205:8 *2357:8 0.00393734 +36 *2319:16 *2357:19 0.000101362 +*RES +1 *2805:pad_gpio_outenb *2357:7 5.49721 +2 *2357:7 *2357:8 75.7469 +3 *2357:8 *2357:19 10.35 +4 *2357:19 *2357:20 75.9545 +5 *2357:20 *2822:mprj_io_oeb[30] 15.5597 +*END + +*D_NET *2358 0.0238049 +*CONN +*I *2822:mprj_io_oeb[31] I *D chip_io +*I *2806:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[31] 0.000317031 +2 *2806:pad_gpio_outenb 0.000290408 +3 *2358:12 0.00141265 +4 *2358:10 0.00114479 +5 *2358:8 0.0011796 +6 *2358:7 0.00142084 +7 *2822:mprj_io_oeb[31] *2822:mprj_io_vtrip_sel[31] 0 +8 *2822:mprj_io_oeb[31] *2720:14 0 +9 *2358:7 *2396:7 0.000234129 +10 *2358:7 *2434:7 0.000187259 +11 *2358:7 *2472:7 0 +12 *2358:8 *2396:8 0.000356446 +13 *2358:8 *2434:8 0 +14 *2358:8 *2472:8 0 +15 *2358:10 *2396:12 6.99044e-06 +16 *2358:12 *2396:8 0 +17 *2358:12 *2396:12 0.000147411 +18 *2358:12 *2720:14 0.00231718 +19 *2822:mprj_io_analog_en[31] *2358:12 0 +20 *2822:mprj_io_analog_pol[31] *2358:12 0 +21 *2822:mprj_io_dm[93] *2358:12 0 +22 *2822:mprj_io_inp_dis[31] *2358:12 0 +23 *106:37 *2358:8 0.000717354 +24 *106:37 *2358:12 0.000516155 +25 *643:14 *2358:12 0 +26 *1978:16 *2358:8 8.35615e-06 +27 *1978:16 *2358:12 0.000462947 +28 *2176:8 *2358:8 0.00523415 +29 *2176:8 *2358:12 4.46284e-06 +30 *2206:8 *2358:8 0.0053436 +31 *2206:16 *2358:12 0.00250311 +32 *2244:8 *2358:12 0 +*RES +1 *2806:pad_gpio_outenb *2358:7 4.73231 +2 *2358:7 *2358:8 99.001 +3 *2358:8 *2358:10 1.29461 +4 *2358:10 *2358:12 63.4969 +5 *2358:12 *2822:mprj_io_oeb[31] 17.0437 +*END + +*D_NET *2359 0.0241028 +*CONN +*I *2822:mprj_io_oeb[32] I *D chip_io +*I *2807:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[32] 0.000454901 +2 *2807:pad_gpio_outenb 0.000419515 +3 *2359:14 0.00121807 +4 *2359:13 0.00080952 +5 *2359:8 0.00105226 +6 *2359:7 0.00142542 +7 *2359:7 *2397:7 0.000295831 +8 *2359:7 *2435:7 0.000270967 +9 *2359:8 *2397:8 0 +10 *2359:8 *2435:8 0 +11 *2359:13 *2607:8 0.000111722 +12 *2822:mprj_io_ib_mode_sel[32] *2822:mprj_io_oeb[32] 0 +13 *104:20 *2359:8 1.41761e-05 +14 *104:20 *2359:14 0.00457599 +15 *683:8 *2359:8 0.000296518 +16 *683:8 *2359:14 0 +17 *2179:8 *2359:8 0.00451993 +18 *2179:14 *2359:8 0.000189464 +19 *2179:14 *2359:14 0.0029156 +20 *2207:8 *2359:8 0 +21 *2245:8 *2359:8 0.00505555 +22 *2245:8 *2359:14 0.000477386 +*RES +1 *2807:pad_gpio_outenb *2359:7 5.42072 +2 *2359:7 *2359:8 90.9036 +3 *2359:8 *2359:13 10.278 +4 *2359:13 *2359:14 73.2554 +5 *2359:14 *2822:mprj_io_oeb[32] 20.5512 +*END + +*D_NET *2360 0.019538 +*CONN +*I *2822:mprj_io_oeb[33] I *D chip_io +*I *2808:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[33] 0.00417531 +2 *2808:pad_gpio_outenb 0.00417531 +3 *2822:mprj_io_oeb[33] *2398:14 3.91372e-05 +4 *2822:mprj_io_oeb[33] *2436:7 1.11316e-05 +5 *106:25 *2822:mprj_io_oeb[33] 0.0111372 +6 *619:20 *2822:mprj_io_oeb[33] 0 +7 *645:15 *2822:mprj_io_oeb[33] 0 +*RES +1 *2808:pad_gpio_outenb *2822:mprj_io_oeb[33] 29.5443 +*END + +*D_NET *2361 0.0240489 +*CONN +*I *2822:mprj_io_oeb[34] I *D chip_io +*I *2809:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[34] 0.00236409 +2 *2809:pad_gpio_outenb 0.00236409 +3 *2822:mprj_io_oeb[34] *2822:mprj_io_out[34] 0.00978824 +4 *2822:mprj_io_oeb[34] *2475:27 0.00616256 +5 *2822:mprj_io_ib_mode_sel[34] *2822:mprj_io_oeb[34] 0.000480199 +6 *106:13 *2822:mprj_io_oeb[34] 0.00226118 +7 *619:20 *2822:mprj_io_oeb[34] 0 +8 *2285:11 *2822:mprj_io_oeb[34] 0.00062857 +*RES +1 *2809:pad_gpio_outenb *2822:mprj_io_oeb[34] 30.4026 +*END + +*D_NET *2362 0.0249726 +*CONN +*I *2822:mprj_io_oeb[35] I *D chip_io +*I *2783:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[35] 0.00191624 +2 *2783:pad_gpio_outenb 0.00191624 +3 *2822:mprj_io_oeb[35] *2822:mprj_io_out[35] 0.00979066 +4 *2822:mprj_io_oeb[35] *2822:mprj_io_vtrip_sel[35] 0.0108903 +5 *2822:mprj_io_oeb[35] *2438:7 7.79093e-05 +6 *2784:serial_clock *2822:mprj_io_oeb[35] 0.000237331 +7 *619:20 *2822:mprj_io_oeb[35] 2.27235e-05 +8 *1733:8 *2822:mprj_io_oeb[35] 0.00012125 +*RES +1 *2783:pad_gpio_outenb *2822:mprj_io_oeb[35] 30.2933 +*END + +*D_NET *2363 0.0179905 +*CONN +*I *2822:mprj_io_oeb[36] I *D chip_io +*I *2784:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[36] 0.00399487 +2 *2784:pad_gpio_outenb 0.00399487 +3 *2822:mprj_io_oeb[36] *2401:15 4.79986e-05 +4 *2822:mprj_io_oeb[36] *2439:7 1.78739e-05 +5 *2785:serial_load *2822:mprj_io_oeb[36] 0.000522863 +6 *108:38 *2822:mprj_io_oeb[36] 0.000573789 +7 *108:45 *2822:mprj_io_oeb[36] 0.00883824 +*RES +1 *2784:pad_gpio_outenb *2822:mprj_io_oeb[36] 28.8281 +*END + +*D_NET *2364 0.0244378 +*CONN +*I *2822:mprj_io_oeb[37] I *D chip_io +*I *2785:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[37] 0.00169221 +2 *2785:pad_gpio_outenb 0.000669548 +3 *2364:21 0.0026831 +4 *2364:15 0.00166043 +5 *2364:15 *2402:15 0.00133011 +6 *2364:21 *2402:21 0 +7 *2822:mprj_io_dm[113] *2822:mprj_io_oeb[37] 0.00461676 +8 *2822:mprj_io_holdover[37] *2364:21 0.00163116 +9 *2083:15 *2364:15 0.000305566 +10 *2212:16 *2364:21 0 +11 *2250:29 *2822:mprj_io_oeb[37] 0.00274303 +12 *2250:29 *2364:21 0.000882482 +13 *2326:15 *2364:15 0.000221251 +14 *2326:27 *2822:mprj_io_oeb[37] 0.0046136 +15 *2326:27 *2364:21 0.00138858 +*RES +1 *2785:pad_gpio_outenb *2364:15 39.4275 +2 *2364:15 *2364:21 36.9296 +3 *2364:21 *2822:mprj_io_oeb[37] 20.9365 +*END + +*D_NET *2365 0.0087859 +*CONN +*I *2822:mprj_io_oeb[3] I *D chip_io +*I *2798:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[3] 0.00102689 +2 *2798:pad_gpio_outenb 0.000120183 +3 *2365:8 0.00184285 +4 *2365:7 0.000936135 +5 *2365:7 *2403:13 3.27908e-05 +6 *2365:7 *2441:15 4.10643e-05 +7 *2365:8 *2403:13 0.000117105 +8 *2365:8 *2441:15 8.35615e-06 +9 *2365:8 *2479:13 5.39868e-05 +10 *2797:resetn_out *2822:mprj_io_oeb[3] 0.000223621 +11 *2797:resetn_out *2365:8 0.00015856 +12 *2798:pad_gpio_in *2365:8 5.88867e-05 +13 *2798:resetn *2365:7 0 +14 *627:28 *2365:8 0.00303528 +15 *2023:13 *2365:8 0.000467916 +16 *2084:13 *2365:8 0.000494416 +17 *2251:13 *2365:8 0.000167862 +18 *2251:14 *2822:mprj_io_oeb[3] 0 +19 *2251:14 *2365:8 0 +*RES +1 *2798:pad_gpio_outenb *2365:7 3.73793 +2 *2365:7 *2365:8 50.8318 +3 *2365:8 *2822:mprj_io_oeb[3] 37.9587 +*END + +*D_NET *2366 0.00618963 +*CONN +*I *2822:mprj_io_oeb[4] I *D chip_io +*I *2799:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[4] 0.000152441 +2 *2799:pad_gpio_outenb 0.00012904 +3 *2366:8 0.00265515 +4 *2366:7 0.00263175 +5 *2366:7 *2404:13 3.84497e-05 +6 *2366:7 *2442:13 3.84497e-05 +7 *2366:8 *2404:13 0.000107108 +8 *2366:8 *2442:13 3.61259e-05 +9 *2799:pad_gpio_in *2366:8 0.000117446 +10 *2822:mprj_io_ib_mode_sel[4] *2822:mprj_io_oeb[4] 0 +11 *2822:mprj_io_ib_mode_sel[4] *2366:8 0 +12 *1986:13 *2366:8 2.08076e-05 +13 *2062:13 *2366:8 0.000111131 +14 *2085:15 *2366:8 0 +15 *2087:13 *2366:8 0.000143105 +16 *2252:15 *2366:8 8.62321e-06 +17 *2252:18 *2366:8 0 +*RES +1 *2799:pad_gpio_outenb *2366:7 3.81442 +2 *2366:7 *2366:8 74.7088 +3 *2366:8 *2822:mprj_io_oeb[4] 13.1832 +*END + +*D_NET *2367 0.0126306 +*CONN +*I *2822:mprj_io_oeb[5] I *D chip_io +*I *2800:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[5] 0.000459854 +2 *2800:pad_gpio_outenb 0.000466602 +3 *2367:14 0.00133937 +4 *2367:13 0.00134612 +5 *2367:13 *2822:mprj_io_slow_sel[5] 2.45091e-05 +6 *2367:13 *2405:13 2.72062e-05 +7 *2367:13 *2481:13 0.000305015 +8 *2367:14 *2405:14 0.000106833 +9 *2367:14 *2481:14 0.00469456 +10 *655:45 *2367:14 0.000666555 +11 *2063:14 *2367:14 0.00260666 +12 *2215:14 *2367:14 0.000505697 +13 *2253:8 *2822:mprj_io_oeb[5] 8.1645e-05 +*RES +1 *2800:pad_gpio_outenb *2367:13 25.7489 +2 *2367:13 *2367:14 75.3316 +3 *2367:14 *2822:mprj_io_oeb[5] 20.8271 +*END + +*D_NET *2368 0.00825775 +*CONN +*I *2822:mprj_io_oeb[6] I *D chip_io +*I *2801:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[6] 0.00105554 +2 *2801:pad_gpio_outenb 0.000110452 +3 *2368:8 0.00187047 +4 *2368:7 0.000925385 +5 *2368:7 *2406:13 3.27908e-05 +6 *2368:7 *2444:15 4.10643e-05 +7 *2368:8 *2406:13 0.000112526 +8 *2368:8 *2444:15 8.35615e-06 +9 *2368:8 *2482:13 5.39868e-05 +10 *2801:pad_gpio_in *2368:8 0.00012693 +11 *114:60 *2368:8 0.00299366 +12 *673:20 *2368:8 7.77309e-06 +13 *1988:13 *2368:8 0.000383985 +14 *2026:13 *2368:8 5.88867e-05 +15 *2064:13 *2368:8 1.55462e-05 +16 *2091:13 *2368:8 0.00017136 +17 *2092:13 *2368:8 0.000124349 +18 *2216:13 *2368:8 0.000164682 +19 *2254:8 *2822:mprj_io_oeb[6] 0 +20 *2254:8 *2368:8 0 +*RES +1 *2801:pad_gpio_outenb *2368:7 3.73793 +2 *2368:7 *2368:8 50.0013 +3 *2368:8 *2822:mprj_io_oeb[6] 38.7892 +*END + +*D_NET *2369 0.0708056 +*CONN +*I *2822:mprj_io_oeb[7] I *D chip_io +*I *2802:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[7] 0.000243899 +2 *2802:pad_gpio_outenb 0.000436908 +3 *2369:8 0.00357636 +4 *2369:7 0.00376937 +5 *2369:7 *2407:13 4.88928e-05 +6 *2369:7 *2445:11 4.42181e-05 +7 *2369:7 *2483:7 0 +8 *2369:8 *2370:10 0.000292074 +9 *2369:8 *2483:8 0.0311294 +10 *676:14 *2822:mprj_io_oeb[7] 0 +11 *2100:10 *2369:8 0.000793233 +12 *2217:8 *2369:8 0.0304397 +13 *2255:8 *2369:8 3.15058e-05 +*RES +1 *2802:pad_gpio_outenb *2369:7 1.47244 +2 *2369:7 *2369:8 63.832 +3 *2369:8 *2822:mprj_io_oeb[7] 5.54725 +*END + +*D_NET *2370 0.0642813 +*CONN +*I *2822:mprj_io_oeb[8] I *D chip_io +*I *2786:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[8] 0.000161737 +2 *2786:pad_gpio_outenb 0.000410094 +3 *2370:10 0.00557907 +4 *2370:9 0.00582743 +5 *2822:mprj_io_oeb[8] *2409:30 0 +6 *2370:9 *2408:9 0.000100389 +7 *2370:9 *2446:13 8.53327e-05 +8 *2370:9 *2484:9 0 +9 *2370:10 *2371:8 0.000101678 +10 *2370:10 *2409:16 0.00013224 +11 *2370:10 *2483:8 0.0127686 +12 *2370:10 *2485:8 0.0061574 +13 *2822:mprj_io_ib_mode_sel[8] *2822:mprj_io_oeb[8] 1.93857e-05 +14 *676:20 *2370:10 0.000565151 +15 *2100:10 *2370:10 0.000537626 +16 *2218:10 *2370:10 0.0309327 +17 *2257:8 *2370:10 0.000610432 +18 *2369:8 *2370:10 0.000292074 +*RES +1 *2786:pad_gpio_outenb *2370:9 1.38597 +2 *2370:9 *2370:10 64.4035 +3 *2370:10 *2822:mprj_io_oeb[8] 7.24106 +*END + +*D_NET *2371 0.0710975 +*CONN +*I *2822:mprj_io_oeb[9] I *D chip_io +*I *2788:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2822:mprj_io_oeb[9] 0.000290362 +2 *2788:pad_gpio_outenb 0.000555515 +3 *2371:8 0.00512718 +4 *2371:7 0.00539233 +5 *2371:7 *2447:13 3.84497e-05 +6 *2371:7 *2485:7 0 +7 *2371:8 *2408:10 0.000156733 +8 *2371:8 *2409:30 0.000563054 +9 *2371:8 *2449:16 0.000237331 +10 *2371:8 *2484:10 7.13061e-05 +11 *2371:8 *2485:8 0.0316131 +12 *1991:14 *2371:8 0.000447726 +13 *2029:14 *2371:8 0.000506598 +14 *2100:10 *2371:8 0.0117224 +15 *2183:8 *2371:8 0.0142737 +16 *2219:8 *2371:8 0 +17 *2256:10 *2371:8 0 +18 *2333:13 *2371:7 0 +19 *2370:10 *2371:8 0.000101678 +*RES +1 *2788:pad_gpio_outenb *2371:7 1.62542 +2 *2371:7 *2371:8 64.8607 +3 *2371:8 *2822:mprj_io_oeb[9] 6.03899 +*END + +*D_NET *2372 0.0141629 +*CONN +*I *2822:mprj_io_out[0] I *D chip_io +*I *2781:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[0] 0.000301571 +2 *2781:pad_gpio_out 0.000414665 +3 *2372:14 0.00142724 +4 *2372:13 0.00154033 +5 *2372:14 *2448:14 0.00486822 +6 *2822:mprj_io_holdover[0] *2822:mprj_io_out[0] 0 +7 *1954:14 *2372:14 0 +8 *2030:14 *2822:mprj_io_out[0] 4.76719e-05 +9 *2104:16 *2372:14 0.00456074 +10 *2182:14 *2372:14 2.04806e-05 +11 *2296:13 *2372:13 0.000896908 +12 *2334:7 *2372:13 2.72062e-05 +13 *2334:8 *2372:13 5.78657e-05 +*RES +1 *2781:pad_gpio_out *2372:13 25.7433 +2 *2372:13 *2372:14 89.4502 +3 *2372:14 *2822:mprj_io_out[0] 16.5296 +*END + +*D_NET *2373 0.0699112 +*CONN +*I *2822:mprj_io_out[10] I *D chip_io +*I *2789:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[10] 0.000136239 +2 *2789:pad_gpio_out 0.000169406 +3 *2373:8 0.00427167 +4 *2373:7 0.00430484 +5 *2373:8 *2449:16 0.0241566 +6 *2373:8 *2485:8 0.00333865 +7 *2822:mprj_io_holdover[10] *2822:mprj_io_out[10] 5.51377e-06 +8 *2067:13 *2373:7 0.000573079 +9 *2108:8 *2373:8 0.00169793 +10 *2108:14 *2822:mprj_io_out[10] 0 +11 *2257:8 *2373:8 5.95141e-05 +12 *2297:7 *2373:7 0.000114501 +13 *2335:8 *2373:8 0.0310832 +*RES +1 *2789:pad_gpio_out *2373:7 1.16648 +2 *2373:7 *2373:8 62.3461 +3 *2373:8 *2822:mprj_io_out[10] 6.59986 +*END + +*D_NET *2374 0.067363 +*CONN +*I *2822:mprj_io_out[11] I *D chip_io +*I *2790:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[11] 0.000713823 +2 *2790:pad_gpio_out 0.000823544 +3 *2374:8 0.00833454 +4 *2374:7 0.00844426 +5 *2374:8 *2412:14 0.00351205 +6 *2374:8 *2412:23 0.000130189 +7 *2374:8 *2413:14 0.00112765 +8 *2374:8 *2413:20 0.000415774 +9 *2374:8 *2451:8 0.000102033 +10 *2822:mprj_io_analog_pol[11] *2374:8 0.000225478 +11 *2822:mprj_io_holdover[11] *2822:mprj_io_out[11] 0 +12 *1956:14 *2374:8 0.000632098 +13 *1956:20 *2374:8 0.000136527 +14 *1994:14 *2374:8 0.00185622 +15 *2109:18 *2374:8 0.00049341 +16 *2110:8 *2374:8 0.030929 +17 *2184:8 *2374:8 8.21151e-05 +18 *2221:8 *2374:8 0.00637302 +19 *2260:11 *2374:8 0.00195857 +20 *2261:11 *2822:mprj_io_out[11] 0 +21 *2261:19 *2374:8 0.000411271 +22 *2261:23 *2374:8 0.000267301 +23 *2298:7 *2374:7 8.96945e-05 +24 *2298:34 *2374:8 0.000231187 +25 *2336:7 *2374:7 7.32097e-05 +*RES +1 *2790:pad_gpio_out *2374:7 2.39032 +2 *2374:7 *2374:8 62.4604 +3 *2374:8 *2822:mprj_io_out[11] 6.8039 +*END + +*D_NET *2375 0.0547364 +*CONN +*I *2822:mprj_io_out[12] I *D chip_io +*I *2791:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[12] 0.000377061 +2 *2791:pad_gpio_out 0.000675973 +3 *2375:20 0.00152643 +4 *2375:19 0.00120988 +5 *2375:14 0.00575785 +6 *2375:13 0.0063733 +7 *2375:13 *2413:13 0 +8 *2375:14 *2413:14 0.00354425 +9 *2375:14 *2413:20 0.000381335 +10 *663:14 *2375:14 0 +11 *1957:17 *2375:13 0.000167452 +12 *1994:14 *2375:14 6.24797e-05 +13 *1995:26 *2375:14 0 +14 *2033:14 *2375:14 0.0224889 +15 *2033:14 *2375:20 8.36973e-06 +16 *2033:18 *2375:20 0.00222102 +17 *2110:8 *2375:14 0.00157802 +18 *2114:14 *2375:14 0 +19 *2116:14 *2375:14 0 +20 *2117:26 *2822:mprj_io_out[12] 0 +21 *2261:19 *2375:14 0 +22 *2262:11 *2375:14 0.00182968 +23 *2262:11 *2375:20 0.00540288 +24 *2298:34 *2375:14 0.000719774 +25 *2299:13 *2375:13 0.000268171 +26 *2300:26 *2375:20 0 +27 *2300:31 *2822:mprj_io_out[12] 0.000117045 +28 *2337:7 *2375:13 2.65334e-05 +*RES +1 *2791:pad_gpio_out *2375:13 27.8988 +2 *2375:13 *2375:14 362.271 +3 *2375:14 *2375:19 10.278 +4 *2375:19 *2375:20 86.5434 +5 *2375:20 *2822:mprj_io_out[12] 19.0267 +*END + +*D_NET *2376 0.0875347 +*CONN +*I *2822:mprj_io_out[13] I *D chip_io +*I *2792:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[13] 0.000148952 +2 *2792:pad_gpio_out 7.58925e-05 +3 *2376:16 0.0122531 +4 *2376:15 0.0128432 +5 *2376:10 0.00294271 +6 *2376:9 0.00227952 +7 *2376:16 *2452:8 1.83756e-05 +8 *2113:8 *2376:16 0.00478798 +9 *2185:8 *2376:16 0.000100472 +10 *2300:10 *2376:10 7.61581e-05 +11 *2337:8 *2376:16 0.000427678 +12 *2338:9 *2376:9 8.10367e-06 +13 *2338:10 *2376:10 0.0062003 +14 *2338:15 *2376:15 0.00246961 +15 *2338:16 *2376:16 0.0429026 +*RES +1 *2792:pad_gpio_out *2376:9 3.59493 +2 *2376:9 *2376:10 101.493 +3 *2376:10 *2376:15 7.19912 +4 *2376:15 *2376:16 85.3212 +5 *2376:16 *2822:mprj_io_out[13] 6.41056 +*END + +*D_NET *2377 0.0259374 +*CONN +*I *2822:mprj_io_out[14] I *D chip_io +*I *2793:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[14] 0.000444048 +2 *2793:pad_gpio_out 0.000577494 +3 *2377:18 0.00133698 +4 *2377:16 0.000918709 +5 *2377:14 0.000840068 +6 *2377:13 0.00139179 +7 *2377:13 *2415:13 9.82882e-05 +8 *2377:13 *2453:13 0.000475888 +9 *2377:14 *2453:14 0.00582318 +10 *2793:pad_gpio_in *2377:13 0.000307694 +11 *2822:mprj_io_dm[44] *2377:18 0.000542165 +12 *2822:mprj_io_holdover[14] *2822:mprj_io_out[14] 0 +13 *621:48 *2822:mprj_io_out[14] 0 +14 *2120:16 *2377:14 0.00591285 +15 *2120:16 *2377:18 0.00121704 +16 *2187:14 *2377:14 3.77665e-05 +17 *2187:14 *2377:18 0.00454199 +18 *2225:14 *2377:18 8.03311e-05 +19 *2301:15 *2377:13 5.34447e-05 +20 *2339:7 *2377:13 4.2387e-05 +21 *2339:8 *2377:13 0.000112544 +22 *2339:17 *2377:18 0.00118279 +23 *2339:20 *2377:18 0 +*RES +1 *2793:pad_gpio_out *2377:13 31.6389 +2 *2377:13 *2377:14 95.0561 +3 *2377:14 *2377:16 0.732798 +4 *2377:16 *2377:18 76.3698 +5 *2377:18 *2822:mprj_io_out[14] 20.3497 +*END + +*D_NET *2378 0.069985 +*CONN +*I *2822:mprj_io_out[15] I *D chip_io +*I *2794:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[15] 0.000341963 +2 *2794:pad_gpio_out 0.000756453 +3 *2378:33 0.00666314 +4 *2378:30 0.00689686 +5 *2378:27 0.000947202 +6 *2378:24 0.00141118 +7 *2378:21 0.00119618 +8 *2378:15 0.00167274 +9 *2378:13 0.00227269 +10 *2378:15 *2794:user_gpio_out 0.000940035 +11 *2378:24 *2416:20 0.00165373 +12 *2378:27 *2416:21 0.00430076 +13 *2378:30 *2416:26 0.00037804 +14 *2822:mprj_io_holdover[15] *2378:33 0 +15 *2822:mprj_io_ib_mode_sel[15] *2378:33 0 +16 *2822:mprj_io_oeb[15] *2378:33 0 +17 *1960:16 *2378:13 3.91685e-05 +18 *1960:19 *2378:13 0 +19 *1960:19 *2378:15 0 +20 *2188:13 *2378:13 0.0016943 +21 *2302:36 *2378:30 0 +22 *2302:39 *2378:33 0.0332655 +23 *2340:11 *2378:13 0.000191364 +24 *2340:11 *2378:15 0.00286591 +25 *2340:11 *2378:21 7.02172e-06 +26 *2340:17 *2378:21 0.000208834 +27 *2340:20 *2378:24 0.000343501 +28 *2340:21 *2378:27 0.00193843 +*RES +1 *2794:pad_gpio_out *2378:13 35.1381 +2 *2378:13 *2378:15 66.1666 +3 *2378:15 *2378:21 9.53966 +4 *2378:21 *2378:24 47.442 +5 *2378:24 *2378:27 49.5917 +6 *2378:27 *2378:30 22.849 +7 *2378:30 *2378:33 45.3353 +8 *2378:33 *2822:mprj_io_out[15] 8.86686 +*END + +*D_NET *2379 0.0202746 +*CONN +*I *2822:mprj_io_out[16] I *D chip_io +*I *2795:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[16] 0.000114788 +2 *2795:pad_gpio_out 8.14743e-05 +3 *2379:25 0.00158667 +4 *2379:16 0.0019927 +5 *2379:11 0.00293809 +6 *2379:10 0.00249875 +7 *2379:16 *2417:16 0.000349832 +8 *2379:16 *2417:23 0.000325509 +9 *2822:mprj_io_analog_pol[16] *2379:25 3.46002e-05 +10 *2822:mprj_io_dm[48] *2379:25 0.00264066 +11 *2822:mprj_io_dm[50] *2379:25 0 +12 *2822:mprj_io_oeb[16] *2379:25 0.00148998 +13 *666:17 *2379:25 0.000174143 +14 *2037:21 *2379:25 0.0001766 +15 *2125:23 *2379:25 0.000601327 +16 *2127:14 *2379:11 0.000842694 +17 *2127:17 *2379:11 0.000921648 +18 *2227:31 *2379:25 0.000255616 +19 *2303:22 *2379:25 0.000248461 +20 *2303:23 *2379:25 0.000162716 +21 *2341:19 *2379:11 0.000908333 +22 *2341:22 *2379:16 0.00193004 +*RES +1 *2795:pad_gpio_out *2379:10 8.38708 +2 *2379:10 *2379:11 87.7962 +3 *2379:11 *2379:16 41.5607 +4 *2379:16 *2379:25 49.9162 +5 *2379:25 *2822:mprj_io_out[16] 1.77093 +*END + +*D_NET *2380 0.0588451 +*CONN +*I *2822:mprj_io_out[17] I *D chip_io +*I *2796:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[17] 0.000756646 +2 *2796:pad_gpio_out 0.000126281 +3 *2380:22 0.00141702 +4 *2380:17 0.00210797 +5 *2380:11 0.00325712 +6 *2380:10 0.0019358 +7 *2822:mprj_io_out[17] *2822:mprj_io_vtrip_sel[17] 0.000123131 +8 *2380:11 *2418:11 0.0204325 +9 *2380:11 *2456:11 0.000668608 +10 *2380:22 *2822:mprj_io_vtrip_sel[17] 0.00123313 +11 *2822:mprj_io_oeb[17] *2822:mprj_io_out[17] 0.000387915 +12 *2822:mprj_io_oeb[17] *2380:22 2.04661e-05 +13 *2228:17 *2822:mprj_io_out[17] 0.000217335 +14 *2228:17 *2380:22 0.0017786 +15 *2304:11 *2380:11 0.0240309 +16 *2304:18 *2380:22 0.000173913 +17 *2304:23 *2822:mprj_io_out[17] 0.000177707 +*RES +1 *2796:pad_gpio_out *2380:10 8.46357 +2 *2380:10 *2380:11 251.404 +3 *2380:11 *2380:17 47.4373 +4 *2380:17 *2380:22 47.3742 +5 *2380:22 *2822:mprj_io_out[17] 31.2281 +*END + +*D_NET *2381 0.0274587 +*CONN +*I *2822:mprj_io_out[18] I *D chip_io +*I *2787:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[18] 0.00217273 +2 *2787:pad_gpio_out 0.000119738 +3 *2381:14 0.00431234 +4 *2381:13 0.00213961 +5 *2381:11 0.000694004 +6 *2381:10 0.000813742 +7 *2822:mprj_io_analog_sel[18] *2822:mprj_io_out[18] 0 +8 *2822:mprj_io_dm[56] *2822:mprj_io_out[18] 0.00452716 +9 *2822:mprj_io_inp_dis[18] *2822:mprj_io_out[18] 0 +10 *2039:11 *2381:11 0.00395782 +11 *2132:11 *2381:11 0.00872157 +*RES +1 *2787:pad_gpio_out *2381:10 8.46357 +2 *2381:10 *2381:11 91.1238 +3 *2381:11 *2381:13 4.5 +4 *2381:13 *2381:14 58.9292 +5 *2381:14 *2822:mprj_io_out[18] 18.7316 +*END + +*D_NET *2382 0.0286051 +*CONN +*I *2822:mprj_io_out[19] I *D chip_io +*I *2803:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[19] 0.000381482 +2 *2803:pad_gpio_out 0.000951807 +3 *2382:13 0.00150651 +4 *2382:12 0.00207684 +5 *2382:13 *2593:8 0.000762828 +6 *2822:mprj_io_inp_dis[19] *2382:12 0.00207135 +7 *2230:17 *2382:13 0.00912711 +8 *2344:17 *2382:13 0.0117271 +*RES +1 *2803:pad_gpio_out *2382:12 20.8516 +2 *2382:12 *2382:13 122.736 +3 *2382:13 *2822:mprj_io_out[19] 14.7592 +*END + +*D_NET *2383 0.0107324 +*CONN +*I *2822:mprj_io_out[1] I *D chip_io +*I *2782:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[1] 0.000273539 +2 *2782:pad_gpio_out 0.000504449 +3 *2383:14 0.0022779 +4 *2383:13 0.00250881 +5 *2383:14 *2822:mprj_io_vtrip_sel[1] 0 +6 *2383:14 *2459:14 0 +7 *2822:mprj_io_ib_mode_sel[1] *2383:14 0 +8 *2137:14 *2822:mprj_io_out[1] 0 +9 *2137:14 *2383:14 0.00452452 +10 *2193:14 *2383:14 0.000197433 +11 *2231:15 *2383:13 0 +12 *2231:16 *2383:14 0 +13 *2307:13 *2383:13 0.000353731 +14 *2345:7 *2383:13 3.84497e-05 +15 *2345:8 *2383:13 5.35541e-05 +16 *2345:8 *2383:14 0 +*RES +1 *2782:pad_gpio_out *2383:13 26.9862 +2 *2383:13 *2383:14 85.2977 +3 *2383:14 *2822:mprj_io_out[1] 16.2509 +*END + +*D_NET *2384 0.0292281 +*CONN +*I *2822:mprj_io_out[20] I *D chip_io +*I *2810:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[20] 0.00131747 +2 *2810:pad_gpio_out 7.95428e-05 +3 *2384:11 0.0020433 +4 *2384:10 0.000805376 +5 *2822:mprj_io_out[20] *2422:16 0.00143235 +6 *2384:11 *2422:11 6.66012e-05 +7 *2822:mprj_io_analog_sel[20] *2822:mprj_io_out[20] 0.000976097 +8 *2822:mprj_io_dm[60] *2822:mprj_io_out[20] 0.00445996 +9 *2822:mprj_io_dm[62] *2822:mprj_io_out[20] 0.00740116 +10 *2822:mprj_io_holdover[20] *2822:mprj_io_out[20] 0.000326173 +11 *689:20 *2822:mprj_io_out[20] 0.000460161 +12 *1966:11 *2384:11 0.000389428 +13 *1966:16 *2822:mprj_io_out[20] 0 +14 *2139:13 *2384:11 0.00324731 +15 *2194:13 *2384:11 0.000212404 +16 *2232:13 *2384:11 0 +17 *2270:20 *2384:11 0.00590044 +18 *2308:11 *2384:11 9.65932e-05 +19 *2308:22 *2822:mprj_io_out[20] 1.37385e-05 +*RES +1 *2810:pad_gpio_out *2384:10 8.38708 +2 *2384:10 *2384:11 66.7212 +3 *2384:11 *2822:mprj_io_out[20] 48.5131 +*END + +*D_NET *2385 0.0330029 +*CONN +*I *2822:mprj_io_out[21] I *D chip_io +*I *2811:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[21] 5.11528e-05 +2 *2811:pad_gpio_out 8.74015e-05 +3 *2385:17 0.000510153 +4 *2385:16 0.000459001 +5 *2385:14 0.000719621 +6 *2385:13 0.000719621 +7 *2385:11 0.00105832 +8 *2385:10 0.00114572 +9 *2385:11 *2423:13 0.000120742 +10 *2385:11 *2670:14 0.000577063 +11 *2385:14 *2461:14 0.00357605 +12 *2385:17 *2461:17 0.00757108 +13 *690:20 *2385:14 0.00293683 +14 *690:23 *2385:11 0 +15 *1718:40 *2385:11 0.000246253 +16 *2233:17 *2385:17 0.00755944 +17 *2309:11 *2385:11 0.00566446 +18 *2347:14 *2385:14 0 +*RES +1 *2811:pad_gpio_out *2385:10 8.38708 +2 *2385:10 *2385:11 62.2844 +3 *2385:11 *2385:13 4.5 +4 *2385:13 *2385:14 58.3063 +5 *2385:14 *2385:16 4.5 +6 *2385:16 *2385:17 79.1998 +7 *2385:17 *2822:mprj_io_out[21] 5.62362 +*END + +*D_NET *2386 0.0229093 +*CONN +*I *2822:mprj_io_out[22] I *D chip_io +*I *2812:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[22] 0.00124543 +2 *2812:pad_gpio_out 0.000395099 +3 *2386:11 0.00377678 +4 *2386:10 0.00292645 +5 *2386:10 *2709:5 0 +6 *2822:mprj_io_holdover[22] *2822:mprj_io_out[22] 0.0077888 +7 *2822:mprj_io_inp_dis[22] *2822:mprj_io_out[22] 0.00583825 +8 *2145:22 *2822:mprj_io_out[22] 0.000721482 +9 *2146:17 *2822:mprj_io_out[22] 0.000216982 +*RES +1 *2812:pad_gpio_out *2386:10 16.7686 +2 *2386:10 *2386:11 66.7212 +3 *2386:11 *2822:mprj_io_out[22] 37.1605 +*END + +*D_NET *2387 0.0203118 +*CONN +*I *2822:mprj_io_out[23] I *D chip_io +*I *2813:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[23] 0.000358962 +2 *2813:pad_gpio_out 0.00411355 +3 *2387:15 0.00447251 +4 *2387:15 *2463:15 0.0113668 +5 *2822:mprj_io_analog_sel[23] *2387:15 0 +6 *2822:mprj_io_holdover[23] *2822:mprj_io_out[23] 0 +*RES +1 *2813:pad_gpio_out *2387:15 41.2706 +2 *2387:15 *2822:mprj_io_out[23] 10.0515 +*END + +*D_NET *2388 0.0204217 +*CONN +*I *2822:mprj_io_out[24] I *D chip_io +*I *2814:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[24] 0.000922992 +2 *2814:pad_gpio_out 2.68684e-05 +3 *2388:30 2.68684e-05 +4 *2388:8 0.00222361 +5 *2388:7 0.00130061 +6 *2388:5 0.00153944 +7 *2388:4 0.00153944 +8 *2822:mprj_io_out[24] *2822:mprj_io_vtrip_sel[24] 9.43525e-05 +9 *2388:5 *2464:8 0 +10 *2822:mprj_io_ib_mode_sel[24] *2822:mprj_io_out[24] 0 +11 *2822:mprj_io_oeb[24] *2822:mprj_io_out[24] 0.000515039 +12 *2236:5 *2388:5 0.00293711 +13 *2274:16 *2388:8 0.000566003 +14 *2312:5 *2388:5 0.00295828 +15 *2312:8 *2388:8 0.00573034 +16 *2312:11 *2822:mprj_io_out[24] 0 +17 *2350:5 *2388:5 0 +18 *2350:8 *2388:8 4.0752e-05 +*RES +1 *2814:pad_gpio_out *2388:4 3.36879 +2 *2388:4 *2388:5 98.3781 +3 *2388:5 *2388:7 4.5 +4 *2388:7 *2388:8 61.1752 +5 *2388:8 *2822:mprj_io_out[24] 43.566 +6 *2814:pad_gpio_out *2388:30 0.0631875 +*END + +*D_NET *2389 0.0238073 +*CONN +*I *2822:mprj_io_out[25] I *D chip_io +*I *2815:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[25] 0.000480926 +2 *2815:pad_gpio_out 0.000518159 +3 *2389:22 0.000876225 +4 *2389:19 0.00119044 +5 *2389:8 0.00159745 +6 *2389:7 0.00132046 +7 *2389:7 *2427:7 0 +8 *2389:22 *2465:20 0.00280812 +9 *2822:mprj_io_holdover[25] *2822:mprj_io_out[25] 0 +10 *106:43 *2822:mprj_io_out[25] 0 +11 *618:34 *2389:8 0.000844821 +12 *618:34 *2389:19 0.000789744 +13 *618:34 *2389:22 0.00040747 +14 *632:42 *2822:mprj_io_out[25] 0 +15 *632:49 *2389:8 0.00423556 +16 *633:29 *2389:8 0.000355675 +17 *655:8 *2389:8 0.00527649 +18 *694:19 *2389:19 3.8226e-05 +19 *2047:14 *2389:22 0.00211973 +20 *2156:23 *2389:19 0 +21 *2199:8 *2389:22 1.2819e-05 +22 *2237:22 *2389:22 0.000649613 +23 *2313:7 *2389:7 0.000252564 +24 *2351:16 *2389:7 3.27908e-05 +*RES +1 *2815:pad_gpio_out *2389:7 5.19125 +2 *2389:7 *2389:8 84.8824 +3 *2389:8 *2389:19 9.39428 +4 *2389:19 *2389:22 49.7258 +5 *2389:22 *2822:mprj_io_out[25] 15.2179 +*END + +*D_NET *2390 0.0212011 +*CONN +*I *2822:mprj_io_out[26] I *D chip_io +*I *2816:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[26] 5.8947e-05 +2 *2816:pad_gpio_out 0.000297467 +3 *2390:25 0.000970883 +4 *2390:20 0.0013397 +5 *2390:17 0.00108919 +6 *2390:12 0.00174211 +7 *2390:10 0.00137815 +8 *2390:10 *2428:8 0.000183438 +9 *2390:12 *2428:8 0 +10 *2822:mprj_io_analog_sel[26] *2390:20 0 +11 *2822:mprj_io_analog_sel[26] *2390:25 0.00103596 +12 *2822:mprj_io_holdover[26] *2390:25 0 +13 *102:49 *2390:20 0.000387524 +14 *617:14 *2822:mprj_io_out[26] 0 +15 *633:29 *2390:20 8.35615e-06 +16 *633:29 *2390:25 0.00189039 +17 *642:52 *2390:25 0.000157966 +18 *695:27 *2390:17 0 +19 *695:28 *2390:10 0.000259512 +20 *695:28 *2390:12 0.00363712 +21 *2048:14 *2390:20 0.00254661 +22 *2048:14 *2390:25 0.000103433 +23 *2160:8 *2390:20 0.000426657 +24 *2200:20 *2390:20 0.0016184 +25 *2238:27 *2390:12 0.00174387 +26 *2276:11 *2390:12 0.000107318 +27 *2314:10 *2390:10 0.000109066 +28 *2352:10 *2390:10 0.000109066 +*RES +1 *2816:pad_gpio_out *2390:10 13.7869 +2 *2390:10 *2390:12 62.8863 +3 *2390:12 *2390:17 21.9247 +4 *2390:17 *2390:20 41.9527 +5 *2390:20 *2390:25 46.0376 +6 *2390:25 *2822:mprj_io_out[26] 6.03888 +*END + +*D_NET *2391 0.0180151 +*CONN +*I *2822:mprj_io_out[27] I *D chip_io +*I *2817:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[27] 0.000526378 +2 *2817:pad_gpio_out 0.000133019 +3 *2391:26 0.00124387 +4 *2391:22 0.000866069 +5 *2391:19 0.000971067 +6 *2391:8 0.00275493 +7 *2391:7 0.00206546 +8 *2391:8 *2602:11 0.00126049 +9 *2391:19 *2467:13 5.20546e-06 +10 *2391:22 *2467:8 0.000107729 +11 *2391:26 *2467:14 0 +12 *2822:mprj_io_analog_en[27] *2391:26 0.000340658 +13 *2822:mprj_io_holdover[27] *2822:mprj_io_out[27] 0 +14 *2822:mprj_io_oeb[27] *2822:mprj_io_out[27] 0 +15 *102:49 *2391:19 0.000122623 +16 *106:37 *2391:8 0.000403321 +17 *117:8 *2391:8 0 +18 *617:14 *2391:8 0 +19 *618:34 *2391:26 0.000368084 +20 *657:20 *2391:26 0.00117555 +21 *696:8 *2391:19 0.000837016 +22 *696:8 *2391:22 1.87125e-05 +23 *1973:8 *2391:22 0.000552609 +24 *2161:8 *2391:22 9.71323e-06 +25 *2161:8 *2391:26 0.000583014 +26 *2201:12 *2391:26 0.000504163 +27 *2239:8 *2391:8 0.00132724 +28 *2239:17 *2391:19 0.000837016 +29 *2239:20 *2391:22 0.000440483 +30 *2239:20 *2391:26 9.71323e-06 +31 *2277:10 *2391:8 0 +32 *2315:7 *2391:7 0.000154831 +33 *2315:16 *2391:19 5.04829e-06 +34 *2315:16 *2391:26 0.000236262 +35 *2353:7 *2391:7 0.000154831 +*RES +1 *2817:pad_gpio_out *2391:7 4.19688 +2 *2391:7 *2391:8 75.5393 +3 *2391:8 *2391:19 44.5525 +4 *2391:19 *2391:22 10.8088 +5 *2391:22 *2391:26 49.3106 +6 *2391:26 *2822:mprj_io_out[27] 16.7451 +*END + +*D_NET *2392 0.0201336 +*CONN +*I *2822:mprj_io_out[28] I *D chip_io +*I *2818:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[28] 0.000740305 +2 *2818:pad_gpio_out 0.000323676 +3 *2392:8 0.00207399 +4 *2392:7 0.00165736 +5 *2822:mprj_io_analog_sel[28] *2822:mprj_io_out[28] 0.000519635 +6 *2822:mprj_io_analog_sel[28] *2392:8 0.000149592 +7 *2822:mprj_io_holdover[28] *2822:mprj_io_out[28] 0 +8 *2822:mprj_io_ib_mode_sel[28] *2822:mprj_io_out[28] 0 +9 *106:37 *2392:8 0.000803612 +10 *118:10 *2822:mprj_io_out[28] 0.000399574 +11 *118:10 *2392:8 0.00756693 +12 *634:73 *2392:8 0.000383704 +13 *658:36 *2822:mprj_io_out[28] 0.000327995 +14 *1974:14 *2392:8 0.00111496 +15 *2012:14 *2392:8 1.28326e-05 +16 *2240:7 *2392:7 0 +17 *2316:7 *2392:7 8.42027e-05 +18 *2316:14 *2392:8 0.00317671 +19 *2354:13 *2392:7 0.000226649 +20 *2354:13 *2392:8 0.000571901 +*RES +1 *2818:pad_gpio_out *2392:7 4.65582 +2 *2392:7 *2392:8 122.182 +3 *2392:8 *2822:mprj_io_out[28] 38.9022 +*END + +*D_NET *2393 0.0221501 +*CONN +*I *2822:mprj_io_out[29] I *D chip_io +*I *2804:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[29] 0.000461355 +2 *2804:pad_gpio_out 0.000307472 +3 *2393:16 0.00134139 +4 *2393:15 0.00095824 +5 *2393:10 0.00063182 +6 *2393:9 0.000861084 +7 *2393:10 *2469:10 0.00301896 +8 *2393:15 *2469:15 8.79845e-05 +9 *2822:mprj_io_holdover[29] *2822:mprj_io_out[29] 0 +10 *101:19 *2393:15 0.000251655 +11 *106:37 *2393:10 0.000233006 +12 *617:70 *2393:10 0.000644849 +13 *617:70 *2393:16 0.000530665 +14 *618:34 *2393:16 0.000864449 +15 *641:12 *2822:mprj_io_out[29] 0 +16 *2013:10 *2393:10 0.0016983 +17 *2168:10 *2393:10 1.87269e-05 +18 *2203:34 *2393:10 8.62625e-06 +19 *2317:9 *2393:9 0.000248958 +20 *2317:10 *2393:16 0.0040775 +21 *2355:9 *2393:9 0.000248958 +22 *2355:10 *2393:16 0.00565615 +*RES +1 *2804:pad_gpio_out *2393:9 4.9518 +2 *2393:9 *2393:10 49.7936 +3 *2393:10 *2393:15 11.9418 +4 *2393:15 *2393:16 90.696 +5 *2393:16 *2822:mprj_io_out[29] 20.4507 +*END + +*D_NET *2394 0.0145715 +*CONN +*I *2822:mprj_io_out[2] I *D chip_io +*I *2797:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[2] 0.000472245 +2 *2797:pad_gpio_out 0.000697202 +3 *2394:14 0.0012301 +4 *2394:13 0.00145506 +5 *2394:14 *2470:14 0.000231356 +6 *2797:pad_gpio_in *2394:13 0.000119662 +7 *110:52 *2822:mprj_io_out[2] 0 +8 *626:66 *2394:14 0.00101785 +9 *669:30 *2394:13 6.19102e-05 +10 *2204:14 *2394:14 0.00482627 +11 *2242:14 *2394:14 2.01595e-05 +12 *2318:13 *2394:13 0.000158111 +13 *2356:13 *2394:13 3.84497e-05 +14 *2356:14 *2394:14 0.00424308 +*RES +1 *2797:pad_gpio_out *2394:13 30.3139 +2 *2394:13 *2394:14 85.2977 +3 *2394:14 *2822:mprj_io_out[2] 19.8045 +*END + +*D_NET *2395 0.0218132 +*CONN +*I *2822:mprj_io_out[30] I *D chip_io +*I *2805:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[30] 0.000588648 +2 *2805:pad_gpio_out 0.000398917 +3 *2395:14 0.00120104 +4 *2395:13 0.000703742 +5 *2395:8 0.000830416 +6 *2395:7 0.00113798 +7 *2395:8 *2471:13 0.00049962 +8 *2395:14 *2471:14 0.000109332 +9 *2822:mprj_io_holdover[30] *2822:mprj_io_out[30] 0 +10 *102:14 *2395:8 0.00413468 +11 *102:25 *2395:13 1.19726e-05 +12 *642:13 *2395:13 5.04829e-06 +13 *2053:8 *2395:8 0.000204269 +14 *2053:8 *2395:14 0.00348354 +15 *2173:14 *2395:8 0.00358909 +16 *2205:12 *2395:14 0.00395237 +17 *2243:7 *2395:7 0 +18 *2243:13 *2395:13 1.03403e-05 +19 *2243:14 *2395:8 7.90605e-05 +20 *2243:14 *2395:14 0.000332901 +21 *2319:7 *2395:7 0.00022519 +22 *2357:7 *2395:7 0.000315036 +23 *2357:20 *2822:mprj_io_out[30] 0 +*RES +1 *2805:pad_gpio_out *2395:7 5.19125 +2 *2395:7 *2395:8 74.7088 +3 *2395:8 *2395:13 10.8326 +4 *2395:13 *2395:14 67.0266 +5 *2395:14 *2822:mprj_io_out[30] 23.0455 +*END + +*D_NET *2396 0.0221007 +*CONN +*I *2822:mprj_io_out[31] I *D chip_io +*I *2806:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[31] 0.000309616 +2 *2806:pad_gpio_out 0.000316855 +3 *2396:12 0.000835405 +4 *2396:8 0.00151765 +5 *2396:7 0.00130872 +6 *2822:mprj_io_out[31] *2720:14 0 +7 *2396:7 *2472:7 0 +8 *2396:8 *2472:8 0 +9 *106:37 *2396:8 0.000742502 +10 *106:37 *2396:12 0.000311184 +11 *2176:8 *2396:8 0.00524718 +12 *2176:14 *2396:12 0.00222169 +13 *2206:16 *2396:8 4.46284e-06 +14 *2206:16 *2396:12 0.00249009 +15 *2244:8 *2396:8 0.0055988 +16 *2244:8 *2396:12 0.000192552 +17 *2320:7 *2396:7 0.000258993 +18 *2358:7 *2396:7 0.000234129 +19 *2358:8 *2396:8 0.000356446 +20 *2358:10 *2396:12 6.99044e-06 +21 *2358:12 *2396:8 0 +22 *2358:12 *2396:12 0.000147411 +*RES +1 *2806:pad_gpio_out *2396:7 4.88529 +2 *2396:7 *2396:8 97.34 +3 *2396:8 *2396:12 46.5205 +4 *2396:12 *2822:mprj_io_out[31] 16.4919 +*END + +*D_NET *2397 0.0218895 +*CONN +*I *2822:mprj_io_out[32] I *D chip_io +*I *2807:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[32] 0.000385248 +2 *2807:pad_gpio_out 0.000409045 +3 *2397:18 0.000954623 +4 *2397:17 0.000668528 +5 *2397:8 0.00102854 +6 *2397:7 0.00133843 +7 *2822:mprj_io_out[32] *2473:14 0 +8 *2397:8 *2435:8 0.000576806 +9 *2397:17 *2822:mprj_io_slow_sel[32] 3.31998e-05 +10 *2807:pad_gpio_in *2397:7 0 +11 *2822:mprj_io_holdover[32] *2822:mprj_io_out[32] 0 +12 *2822:mprj_io_inp_dis[32] *2397:7 5.56976e-05 +13 *104:20 *2397:18 0 +14 *619:20 *2397:8 0.000597982 +15 *619:20 *2397:18 0.000381043 +16 *620:23 *2397:18 0.00352547 +17 *2055:8 *2397:8 0.0040164 +18 *2055:8 *2397:18 0.00283747 +19 *2179:13 *2397:17 0 +20 *2207:8 *2397:8 0.00463374 +21 *2207:8 *2397:18 0.000151461 +22 *2245:7 *2397:7 0 +23 *2359:7 *2397:7 0.000295831 +24 *2359:8 *2397:8 0 +*RES +1 *2807:pad_gpio_out *2397:7 5.03827 +2 *2397:7 *2397:8 84.2596 +3 *2397:8 *2397:17 11.4354 +4 *2397:17 *2397:18 56.6453 +5 *2397:18 *2822:mprj_io_out[32] 18.6087 +*END + +*D_NET *2398 0.0183478 +*CONN +*I *2822:mprj_io_out[33] I *D chip_io +*I *2808:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[33] 0.000306592 +2 *2808:pad_gpio_out 0.00121919 +3 *2398:16 0.00210839 +4 *2398:14 0.00302099 +5 *2398:14 *2436:7 0 +6 *2398:14 *2436:8 4.61488e-05 +7 *2398:14 *2474:8 0 +8 *2822:mprj_io_analog_en[33] *2398:16 0 +9 *2822:mprj_io_analog_pol[33] *2398:16 0 +10 *2822:mprj_io_analog_sel[33] *2398:16 0 +11 *2822:mprj_io_dm[101] *2398:16 0 +12 *2822:mprj_io_dm[99] *2398:16 0 +13 *2822:mprj_io_holdover[33] *2822:mprj_io_out[33] 0 +14 *2822:mprj_io_holdover[33] *2398:16 0 +15 *2822:mprj_io_inp_dis[33] *2398:16 0 +16 *2822:mprj_io_oeb[33] *2398:14 3.91372e-05 +17 *621:15 *2398:14 0.000210571 +18 *621:15 *2398:16 0.00109454 +19 *1980:16 *2398:16 0.000236262 +20 *2018:16 *2398:16 0.00435894 +21 *2069:8 *2398:14 0.000743661 +22 *2069:8 *2398:16 0.00349 +23 *2180:8 *2398:14 0.000680844 +24 *2180:8 *2398:16 1.72954e-05 +25 *2246:8 *2398:14 0.000192 +26 *2246:8 *2398:16 0 +27 *2322:7 *2398:14 0.000184888 +28 *2322:8 *2398:14 0.000276281 +29 *2322:14 *2398:16 0.000122025 +*RES +1 *2808:pad_gpio_out *2398:14 46.3276 +2 *2398:14 *2398:16 101.7 +3 *2398:16 *2822:mprj_io_out[33] 17.0842 +*END + +*D_NET *2399 0.0226607 +*CONN +*I *2822:mprj_io_out[34] I *D chip_io +*I *2809:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[34] 0.00174561 +2 *2809:pad_gpio_out 0.00174561 +3 *2822:mprj_io_ib_mode_sel[34] *2822:mprj_io_out[34] 0.00926698 +4 *2822:mprj_io_oeb[34] *2822:mprj_io_out[34] 0.00978824 +5 *103:23 *2822:mprj_io_out[34] 6.88661e-06 +6 *619:20 *2822:mprj_io_out[34] 4.44764e-05 +7 *2323:7 *2822:mprj_io_out[34] 6.29293e-05 +*RES +1 *2809:pad_gpio_out *2822:mprj_io_out[34] 26.8675 +*END + +*D_NET *2400 0.0188438 +*CONN +*I *2822:mprj_io_out[35] I *D chip_io +*I *2783:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[35] 0.00231875 +2 *2783:pad_gpio_out 0.00231875 +3 *2822:mprj_io_out[35] *2822:mprj_io_vtrip_sel[35] 7.82743e-05 +4 *2822:mprj_io_oeb[35] *2822:mprj_io_out[35] 0.00979066 +5 *619:20 *2822:mprj_io_out[35] 0.0033237 +6 *1733:8 *2822:mprj_io_out[35] 0.000810937 +7 *2076:8 *2822:mprj_io_out[35] 0.000125376 +8 *2248:8 *2822:mprj_io_out[35] 0 +9 *2324:7 *2822:mprj_io_out[35] 7.73422e-05 +*RES +1 *2783:pad_gpio_out *2822:mprj_io_out[35] 26.9112 +*END + +*D_NET *2401 0.0215434 +*CONN +*I *2822:mprj_io_out[36] I *D chip_io +*I *2784:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[36] 0.000300007 +2 *2784:pad_gpio_out 0.00145717 +3 *2401:18 0.0013786 +4 *2401:17 0.0010786 +5 *2401:15 0.00145717 +6 *2401:15 *2439:7 0 +7 *2401:15 *2477:8 0.000492533 +8 *2401:18 *2477:16 0.000784944 +9 *2822:mprj_io_oeb[36] *2401:15 4.79986e-05 +10 *108:38 *2401:15 0 +11 *1733:8 *2401:15 0.000213714 +12 *1745:13 *2401:18 0.00111963 +13 *2059:16 *2401:18 0.00542288 +14 *2077:10 *2401:15 0.00144469 +15 *2078:10 *2401:15 0.00159788 +16 *2325:10 *2401:15 0.000179179 +17 *2325:12 *2401:18 0.00456844 +*RES +1 *2784:pad_gpio_out *2401:15 49.5322 +2 *2401:15 *2401:17 3.36879 +3 *2401:17 *2401:18 99.2086 +4 *2401:18 *2822:mprj_io_out[36] 16.8083 +*END + +*D_NET *2402 0.0224945 +*CONN +*I *2822:mprj_io_out[37] I *D chip_io +*I *2785:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[37] 0.00110301 +2 *2785:pad_gpio_out 0.000581428 +3 *2402:21 0.00267863 +4 *2402:15 0.00215705 +5 *2822:mprj_io_analog_sel[37] *2822:mprj_io_out[37] 0.00548335 +6 *2822:mprj_io_holdover[37] *2822:mprj_io_out[37] 0.00600066 +7 *2822:mprj_io_holdover[37] *2402:21 5.56719e-05 +8 *2022:14 *2822:mprj_io_out[37] 0.000456787 +9 *2083:15 *2402:15 2.5386e-05 +10 *2083:15 *2402:21 0.000480206 +11 *2250:15 *2402:15 0.000282893 +12 *2250:29 *2822:mprj_io_out[37] 7.29341e-05 +13 *2326:15 *2402:15 0.00091755 +14 *2326:27 *2402:21 0.000868866 +15 *2364:15 *2402:15 0.00133011 +16 *2364:21 *2402:21 0 +*RES +1 *2785:pad_gpio_out *2402:15 40.5395 +2 *2402:15 *2402:21 39.5741 +3 *2402:21 *2822:mprj_io_out[37] 17.9268 +*END + +*D_NET *2403 0.0148195 +*CONN +*I *2822:mprj_io_out[3] I *D chip_io +*I *2798:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[3] 0.000468018 +2 *2798:pad_gpio_out 0.000429004 +3 *2403:14 0.00132403 +4 *2403:13 0.00128502 +5 *2403:13 *2441:15 0.000171753 +6 *2403:14 *2479:14 0.00482118 +7 *2798:pad_gpio_in *2403:13 0 +8 *2822:mprj_io_analog_sel[3] *2822:mprj_io_out[3] 0 +9 *2822:mprj_io_holdover[3] *2822:mprj_io_out[3] 0 +10 *670:14 *2403:14 0.000322117 +11 *2061:16 *2822:mprj_io_out[3] 0 +12 *2084:14 *2403:14 0.00446669 +13 *2213:16 *2403:14 1.2693e-05 +14 *2289:15 *2403:14 0.000317325 +15 *2327:15 *2403:13 0.00105174 +16 *2365:7 *2403:13 3.27908e-05 +17 *2365:8 *2403:13 0.000117105 +*RES +1 *2798:pad_gpio_out *2403:13 27.8223 +2 *2403:13 *2403:14 87.7892 +3 *2403:14 *2822:mprj_io_out[3] 19.5785 +*END + +*D_NET *2404 0.011995 +*CONN +*I *2822:mprj_io_out[4] I *D chip_io +*I *2799:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[4] 0.000370524 +2 *2799:pad_gpio_out 0.000558536 +3 *2404:14 0.00169382 +4 *2404:13 0.00188184 +5 *2404:14 *2480:14 0.00158375 +6 *2799:pad_gpio_in *2404:13 0.000171478 +7 *654:43 *2404:14 0.000788003 +8 *671:14 *2822:mprj_io_out[4] 0 +9 *671:14 *2404:14 0.000250698 +10 *2024:16 *2404:14 0 +11 *2062:14 *2404:14 0.00411224 +12 *2087:14 *2404:14 0.00020105 +13 *2328:15 *2404:13 0.000237476 +14 *2366:7 *2404:13 3.84497e-05 +15 *2366:8 *2404:13 0.000107108 +*RES +1 *2799:pad_gpio_out *2404:13 29.2047 +2 *2404:13 *2404:14 85.2977 +3 *2404:14 *2822:mprj_io_out[4] 17.9147 +*END + +*D_NET *2405 0.0123291 +*CONN +*I *2822:mprj_io_out[5] I *D chip_io +*I *2800:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[5] 0.00039668 +2 *2800:pad_gpio_out 0.000772615 +3 *2405:14 0.00227794 +4 *2405:13 0.00265387 +5 *114:42 *2405:14 0.000834009 +6 *2215:14 *2405:14 0.00481609 +7 *2329:13 *2405:13 0.000443893 +8 *2367:13 *2405:13 2.72062e-05 +9 *2367:14 *2405:14 0.000106833 +*RES +1 *2800:pad_gpio_out *2405:13 32.8054 +2 *2405:13 *2405:14 82.8062 +3 *2405:14 *2822:mprj_io_out[5] 19.5785 +*END + +*D_NET *2406 0.0127124 +*CONN +*I *2822:mprj_io_out[6] I *D chip_io +*I *2801:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[6] 0.000317366 +2 *2801:pad_gpio_out 0.000370033 +3 *2406:14 0.00157157 +4 *2406:13 0.00162423 +5 *2406:13 *2444:15 0.000171753 +6 *2406:14 *2482:14 0.00127793 +7 *2801:pad_gpio_in *2406:13 0 +8 *2822:mprj_io_analog_sel[6] *2822:mprj_io_out[6] 0.000223156 +9 *2822:mprj_io_holdover[6] *2822:mprj_io_out[6] 0 +10 *114:54 *2406:14 0.00283599 +11 *630:47 *2406:14 0 +12 *654:58 *2822:mprj_io_out[6] 5.04829e-06 +13 *673:14 *2406:14 0.00281501 +14 *2094:16 *2406:14 0.000539577 +15 *2216:14 *2406:14 0 +16 *2330:15 *2406:13 0.000815431 +17 *2368:7 *2406:13 3.27908e-05 +18 *2368:8 *2406:13 0.000112526 +*RES +1 *2801:pad_gpio_out *2406:13 25.1887 +2 *2406:13 *2406:14 87.7892 +3 *2406:14 *2822:mprj_io_out[6] 17.2208 +*END + +*D_NET *2407 0.065427 +*CONN +*I *2822:mprj_io_out[7] I *D chip_io +*I *2802:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[7] 0.000571056 +2 *2802:pad_gpio_out 0.000765777 +3 *2407:14 0.00466774 +4 *2407:13 0.00486246 +5 *2802:serial_clock *2407:13 0.000272847 +6 *116:56 *2407:14 0.000127234 +7 *655:51 *2407:13 0.000268171 +8 *658:59 *2407:14 0 +9 *658:63 *2407:14 0 +10 *676:14 *2822:mprj_io_out[7] 0 +11 *1989:14 *2407:14 0.0252628 +12 *2027:14 *2407:14 0.0257837 +13 *2098:14 *2407:14 0.00116717 +14 *2255:7 *2407:13 0 +15 *2256:10 *2407:14 0.00159945 +16 *2331:13 *2407:13 2.97005e-05 +17 *2369:7 *2407:13 4.88928e-05 +*RES +1 *2802:pad_gpio_out *2407:13 31.303 +2 *2407:13 *2407:14 442.415 +3 *2407:14 *2822:mprj_io_out[7] 22.3543 +*END + +*D_NET *2408 0.0717394 +*CONN +*I *2822:mprj_io_out[8] I *D chip_io +*I *2786:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[8] 0.000470029 +2 *2786:pad_gpio_out 0.000848811 +3 *2408:10 0.0047015 +4 *2408:9 0.00508028 +5 *2822:mprj_io_out[8] *2409:30 0 +6 *2408:9 *2484:9 0 +7 *2408:10 *2484:10 0.0309001 +8 *2822:mprj_io_dm[26] *2822:mprj_io_out[8] 0 +9 *2097:8 *2408:10 0.0117626 +10 *2100:10 *2408:10 0.00906398 +11 *2103:24 *2408:10 0.000625335 +12 *2256:9 *2408:9 0 +13 *2256:10 *2408:10 0.000246345 +14 *2294:11 *2408:10 0.00356628 +15 *2332:13 *2408:9 1.95874e-05 +16 *2332:14 *2408:10 0.00419746 +17 *2370:9 *2408:9 0.000100389 +18 *2371:8 *2408:10 0.000156733 +*RES +1 *2786:pad_gpio_out *2408:9 2.30385 +2 *2408:9 *2408:10 62.3461 +3 *2408:10 *2822:mprj_io_out[8] 6.49793 +*END + +*D_NET *2409 0.0564954 +*CONN +*I *2822:mprj_io_out[9] I *D chip_io +*I *2788:pad_gpio_out O *D gpio_control_block +*CAP +1 *2822:mprj_io_out[9] 0.000489918 +2 *2788:pad_gpio_out 8.86388e-05 +3 *2409:36 0.00220592 +4 *2409:35 0.0018589 +5 *2409:30 0.0035134 +6 *2409:29 0.00385916 +7 *2409:16 0.00184565 +8 *2409:10 0.00452628 +9 *2409:9 0.00325793 +10 *2409:30 *2822:mprj_io_slow_sel[8] 0.000551497 +11 *2409:30 *2822:mprj_io_vtrip_sel[8] 0 +12 *2409:30 *2601:13 0.000600886 +13 *2409:36 *2411:14 0 +14 *2409:36 *2447:14 0.000892705 +15 *2788:serial_load *2409:10 0.000822903 +16 *2822:mprj_io_analog_pol[8] *2409:30 0.00118565 +17 *2822:mprj_io_ib_mode_sel[8] *2409:30 0 +18 *2822:mprj_io_oeb[8] *2409:30 0 +19 *2822:mprj_io_out[8] *2409:30 0 +20 *617:43 *2409:35 0.000351426 +21 *1991:14 *2409:30 0.0105349 +22 *2028:14 *2409:30 0.00025498 +23 *2029:14 *2409:30 0.00196153 +24 *2067:14 *2409:30 0.00650446 +25 *2100:10 *2409:30 0.000371692 +26 *2103:23 *2409:16 0 +27 *2103:24 *2409:30 0.00566139 +28 *2107:16 *2409:36 0 +29 *2219:8 *2409:36 0.000651876 +30 *2294:10 *2409:29 3.58458e-05 +31 *2295:10 *2409:35 0 +32 *2295:11 *2409:30 0 +33 *2297:8 *2409:30 0.000910521 +34 *2333:18 *2409:36 0.00286202 +35 *2370:10 *2409:16 0.00013224 +36 *2371:8 *2409:30 0.000563054 +*RES +1 *2788:pad_gpio_out *2409:9 3.708 +2 *2409:9 *2409:10 94.6409 +3 *2409:10 *2409:16 46.3365 +4 *2409:16 *2409:29 20.8878 +5 *2409:29 *2409:30 269.254 +6 *2409:30 *2409:35 13.051 +7 *2409:35 *2409:36 71.1791 +8 *2409:36 *2822:mprj_io_out[9] 21.3817 +*END + +*D_NET *2410 0.0185881 +*CONN +*I *2822:mprj_io_slow_sel[0] I *D chip_io +*I *2781:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[0] 0.00408371 +2 *2781:pad_gpio_slow_sel 0.00408371 +3 *2822:mprj_io_slow_sel[0] *2448:13 1.09344e-05 +4 *2781:pad_gpio_in *2822:mprj_io_slow_sel[0] 0.00995524 +5 *2781:resetn *2822:mprj_io_slow_sel[0] 0 +6 *2334:7 *2822:mprj_io_slow_sel[0] 0.000130362 +7 *2334:8 *2822:mprj_io_slow_sel[0] 0.000324106 +*RES +1 *2781:pad_gpio_slow_sel *2822:mprj_io_slow_sel[0] 28.8539 +*END + +*D_NET *2411 0.0479279 +*CONN +*I *2822:mprj_io_slow_sel[10] I *D chip_io +*I *2789:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[10] 0.000422841 +2 *2789:pad_gpio_slow_sel 0.000890193 +3 *2411:14 0.00791108 +4 *2411:13 0.00837844 +5 *2411:14 *2412:14 0 +6 *2411:14 *2447:14 0.000237736 +7 *2788:serial_clock_out *2411:13 1.93857e-05 +8 *2789:resetn *2411:13 0.000104529 +9 *2789:resetn_out *2411:13 0 +10 *2789:serial_load *2411:13 0.00101164 +11 *2103:30 *2411:13 0 +12 *2107:16 *2411:14 0 +13 *2108:14 *2822:mprj_io_slow_sel[10] 0 +14 *2109:14 *2411:14 0 +15 *2110:8 *2411:14 0.00126139 +16 *2259:11 *2411:14 0.0227727 +17 *2260:11 *2411:14 0 +18 *2295:11 *2411:14 0.00269924 +19 *2297:8 *2411:14 0.0022083 +20 *2298:14 *2411:14 0 +21 *2335:7 *2411:13 1.04136e-05 +22 *2409:36 *2411:14 0 +*RES +1 *2789:pad_gpio_slow_sel *2411:13 37.9278 +2 *2411:13 *2411:14 377.22 +3 *2411:14 *2822:mprj_io_slow_sel[10] 19.5785 +*END + +*D_NET *2412 0.0542838 +*CONN +*I *2822:mprj_io_slow_sel[11] I *D chip_io +*I *2790:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[11] 0.000117348 +2 *2790:pad_gpio_slow_sel 0.000790601 +3 *2412:23 0.000927436 +4 *2412:14 0.00646367 +5 *2412:13 0.00644418 +6 *2412:13 *2450:7 1.94242e-05 +7 *2412:14 *2612:13 0 +8 *2790:resetn *2412:13 8.10016e-06 +9 *2790:serial_clock *2412:13 0.000528818 +10 *1956:20 *2412:23 0.00149535 +11 *1994:14 *2412:14 0.0102683 +12 *2108:14 *2412:14 0 +13 *2108:26 *2822:mprj_io_slow_sel[11] 0 +14 *2260:11 *2412:14 0.022371 +15 *2260:11 *2412:23 0.000892705 +16 *2261:23 *2412:23 0.000288466 +17 *2261:26 *2412:23 5.04829e-06 +18 *2298:8 *2412:13 0 +19 *2298:14 *2412:14 0 +20 *2336:7 *2412:13 2.11673e-05 +21 *2374:8 *2412:14 0.00351205 +22 *2374:8 *2412:23 0.000130189 +23 *2411:14 *2412:14 0 +*RES +1 *2790:pad_gpio_slow_sel *2412:13 34.7479 +2 *2412:13 *2412:14 358.949 +3 *2412:14 *2412:23 49.3246 +4 *2412:23 *2822:mprj_io_slow_sel[11] 7.69988 +*END + +*D_NET *2413 0.0579335 +*CONN +*I *2822:mprj_io_slow_sel[12] I *D chip_io +*I *2791:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[12] 0.000597096 +2 *2791:pad_gpio_slow_sel 0.000573131 +3 *2413:24 0.00237702 +4 *2413:23 0.00193996 +5 *2413:20 0.000693042 +6 *2413:14 0.00198946 +7 *2413:13 0.00202959 +8 *2822:mprj_io_slow_sel[12] *2451:8 0.000140156 +9 *2413:13 *2451:7 2.65334e-05 +10 *2413:23 *2613:14 0.000395985 +11 *2413:24 *2613:13 2.95757e-05 +12 *2791:resetn *2413:13 0 +13 *2822:mprj_io_analog_pol[11] *2413:14 2.01595e-05 +14 *2822:mprj_io_analog_pol[11] *2413:20 0.0013971 +15 *1957:30 *2822:mprj_io_slow_sel[12] 0.000913655 +16 *1994:14 *2413:14 0.00729757 +17 *2112:14 *2413:24 0.0126028 +18 *2112:21 *2822:mprj_io_slow_sel[12] 0.00150997 +19 *2112:21 *2413:24 2.01595e-05 +20 *2117:21 *2822:mprj_io_slow_sel[12] 0 +21 *2223:8 *2413:24 0.00193727 +22 *2261:11 *2822:mprj_io_slow_sel[12] 0.000280366 +23 *2261:11 *2413:24 0.012587 +24 *2261:19 *2413:20 0.000609265 +25 *2298:34 *2413:20 0.00150615 +26 *2299:13 *2413:13 0.000966624 +27 *2337:7 *2413:13 2.48182e-05 +28 *2374:8 *2413:14 0.00112765 +29 *2374:8 *2413:20 0.000415774 +30 *2375:13 *2413:13 0 +31 *2375:14 *2413:14 0.00354425 +32 *2375:14 *2413:20 0.000381335 +*RES +1 *2791:pad_gpio_slow_sel *2413:13 26.9318 +2 *2413:13 *2413:14 118.31 +3 *2413:14 *2413:20 47.5519 +4 *2413:20 *2413:23 9.10562 +5 *2413:23 *2413:24 203.852 +6 *2413:24 *2822:mprj_io_slow_sel[12] 42.1409 +*END + +*D_NET *2414 0.0955392 +*CONN +*I *2822:mprj_io_slow_sel[13] I *D chip_io +*I *2792:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[13] 0.000539113 +2 *2792:pad_gpio_slow_sel 0.000839291 +3 *2414:14 0.0056932 +4 *2414:13 0.00599338 +5 *2414:13 *2452:7 1.69592e-05 +6 *2792:serial_clock *2414:13 0.000519205 +7 *2792:serial_data_in *2414:13 0.00114584 +8 *619:53 *2414:13 1.88014e-05 +9 *2114:14 *2414:14 0.0404201 +10 *2117:38 *2822:mprj_io_slow_sel[13] 0 +11 *2186:8 *2414:13 0.000300949 +12 *2262:11 *2414:14 0.0397132 +13 *2300:32 *2414:14 0.000339239 +*RES +1 *2792:pad_gpio_slow_sel *2414:13 40.5614 +2 *2414:13 *2414:14 645.473 +3 *2414:14 *2822:mprj_io_slow_sel[13] 21.9862 +*END + +*D_NET *2415 0.0196329 +*CONN +*I *2822:mprj_io_slow_sel[14] I *D chip_io +*I *2793:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[14] 0.000287672 +2 *2793:pad_gpio_slow_sel 0.000199207 +3 *2415:14 0.00124251 +4 *2415:13 0.00115404 +5 *2415:13 *2453:13 4.85049e-05 +6 *2793:resetn *2415:13 0.000738355 +7 *2793:serial_clock_out *2415:14 0.00102098 +8 *621:48 *2822:mprj_io_slow_sel[14] 0 +9 *621:48 *2415:14 0.000658277 +10 *2263:10 *2415:14 0 +11 *2263:11 *2415:14 0.0062567 +12 *2301:15 *2415:13 0.000901284 +13 *2301:16 *2415:14 0.0069713 +14 *2339:7 *2415:13 3.27908e-05 +15 *2339:8 *2415:13 1.79429e-05 +16 *2339:17 *2822:mprj_io_slow_sel[14] 5.04829e-06 +17 *2377:13 *2415:13 9.82882e-05 +*RES +1 *2793:pad_gpio_slow_sel *2415:13 23.8036 +2 *2415:13 *2415:14 111.874 +3 *2415:14 *2822:mprj_io_slow_sel[14] 16.2509 +*END + +*D_NET *2416 0.0995012 +*CONN +*I *2822:mprj_io_slow_sel[15] I *D chip_io +*I *2794:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[15] 0.000240114 +2 *2794:pad_gpio_slow_sel 9.70442e-05 +3 *2416:27 0.00296518 +4 *2416:26 0.00335609 +5 *2416:21 0.000942924 +6 *2416:20 0.000793124 +7 *2416:11 0.00362538 +8 *2416:10 0.0032412 +9 *2822:mprj_io_slow_sel[15] *2617:9 0.000526968 +10 *2416:21 *2454:17 0.00450675 +11 *1998:13 *2416:11 0.000113289 +12 *1998:22 *2416:20 0.000283455 +13 *2036:23 *2416:27 0.0342976 +14 *2122:23 *2416:27 0.00153413 +15 *2226:19 *2416:11 0 +16 *2302:39 *2416:27 0.0359284 +17 *2340:20 *2416:20 0.000670707 +18 *2340:21 *2416:21 4.62974e-05 +19 *2378:24 *2416:20 0.00165373 +20 *2378:27 *2416:21 0.00430076 +21 *2378:30 *2416:26 0.00037804 +*RES +1 *2794:pad_gpio_slow_sel *2416:10 8.46357 +2 *2416:10 *2416:11 87.2416 +3 *2416:11 *2416:20 39.6829 +4 *2416:20 *2416:21 47.3101 +5 *2416:21 *2416:26 28.5948 +6 *2416:26 *2416:27 49.4625 +7 *2416:27 *2822:mprj_io_slow_sel[15] 13.3348 +*END + +*D_NET *2417 0.0266889 +*CONN +*I *2822:mprj_io_slow_sel[16] I *D chip_io +*I *2795:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[16] 0.00275487 +2 *2795:pad_gpio_slow_sel 0.000100202 +3 *2417:23 0.00373565 +4 *2417:16 0.00227365 +5 *2417:11 0.0032498 +6 *2417:10 0.00205714 +7 *2822:mprj_io_slow_sel[16] *2822:mprj_io_vtrip_sel[16] 0 +8 *2822:mprj_io_analog_en[16] *2822:mprj_io_slow_sel[16] 0.00706763 +9 *2822:mprj_io_holdover[16] *2822:mprj_io_slow_sel[16] 0.00132538 +10 *2822:mprj_io_oeb[16] *2417:23 0 +11 *2124:11 *2417:11 0 +12 *2125:22 *2822:mprj_io_slow_sel[16] 0.00026412 +13 *2303:17 *2417:23 0 +14 *2341:11 *2417:11 0.00318508 +15 *2379:16 *2417:16 0.000349832 +16 *2379:16 *2417:23 0.000325509 +*RES +1 *2795:pad_gpio_slow_sel *2417:10 8.46357 +2 *2417:10 *2417:11 81.1409 +3 *2417:11 *2417:16 44.2543 +4 *2417:16 *2417:23 37.3729 +5 *2417:23 *2822:mprj_io_slow_sel[16] 37.1543 +*END + +*D_NET *2418 0.0653111 +*CONN +*I *2822:mprj_io_slow_sel[17] I *D chip_io +*I *2796:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[17] 0.00041021 +2 *2796:pad_gpio_slow_sel 9.32521e-05 +3 *2418:23 0.0016164 +4 *2418:22 0.00120619 +5 *2418:20 0.00243354 +6 *2418:19 0.00298712 +7 *2418:11 0.00181133 +8 *2418:10 0.00135101 +9 *2822:mprj_io_slow_sel[17] *2591:10 0 +10 *2418:11 *2456:11 0.020167 +11 *2418:20 *2456:20 0 +12 *2822:mprj_io_dm[51] *2418:23 0.00124966 +13 *2822:mprj_io_dm[52] *2418:23 0.000112833 +14 *647:17 *2418:23 0.0049819 +15 *2000:23 *2418:23 0.00384201 +16 *2129:16 *2418:19 9.60216e-05 +17 *2129:20 *2418:20 0 +18 *2190:11 *2418:19 0.00186007 +19 *2266:8 *2418:23 0.000660025 +20 *2380:11 *2418:11 0.0204325 +*RES +1 *2796:pad_gpio_slow_sel *2418:10 8.38708 +2 *2418:10 *2418:11 213.691 +3 *2418:11 *2418:19 36.1577 +4 *2418:19 *2418:20 67.0266 +5 *2418:20 *2418:22 4.5 +6 *2418:22 *2418:23 114.417 +7 *2418:23 *2822:mprj_io_slow_sel[17] 15.5897 +*END + +*D_NET *2419 0.04427 +*CONN +*I *2822:mprj_io_slow_sel[18] I *D chip_io +*I *2787:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[18] 0.00376242 +2 *2787:pad_gpio_slow_sel 0.000725306 +3 *2419:22 0.00438331 +4 *2419:19 0.00113727 +5 *2419:16 0.00197713 +6 *2419:13 0.00218606 +7 *2822:mprj_io_slow_sel[18] *2593:8 0.00771618 +8 *2419:13 *2457:13 0.00245489 +9 *2419:16 *2787:user_gpio_oeb 4.69495e-06 +10 *2822:mprj_io_dm[55] *2822:mprj_io_slow_sel[18] 0.0101604 +11 *2822:mprj_io_inp_dis[18] *2822:mprj_io_slow_sel[18] 0.000202241 +12 *108:17 *2822:mprj_io_slow_sel[18] 0.00179517 +13 *624:17 *2822:mprj_io_slow_sel[18] 0.00100538 +14 *625:14 *2419:22 0.00172634 +15 *648:17 *2822:mprj_io_slow_sel[18] 0.000941171 +16 *2131:17 *2822:mprj_io_slow_sel[18] 0.000912412 +17 *2133:17 *2419:19 0.0010796 +18 *2191:17 *2419:19 0.000430816 +19 *2267:8 *2822:mprj_io_slow_sel[18] 0.0016167 +20 *2343:11 *2419:13 5.24855e-05 +*RES +1 *2787:pad_gpio_slow_sel *2419:13 40.8625 +2 *2419:13 *2419:16 43.2894 +3 *2419:16 *2419:19 30.7352 +4 *2419:19 *2419:22 31.154 +5 *2419:22 *2822:mprj_io_slow_sel[18] 35.9583 +*END + +*D_NET *2420 0.0145576 +*CONN +*I *2822:mprj_io_slow_sel[19] I *D chip_io +*I *2803:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[19] 9.8972e-05 +2 *2803:pad_gpio_slow_sel 8.88211e-05 +3 *2420:17 0.00101962 +4 *2420:11 0.00248938 +5 *2420:10 0.00165755 +6 *688:22 *2420:17 0 +7 *688:23 *2420:11 0.00659728 +8 *1964:11 *2420:17 0.0017603 +9 *2134:11 *2420:17 0.000801041 +10 *2344:13 *2420:10 3.955e-05 +11 *2344:13 *2420:11 5.04829e-06 +*RES +1 *2803:pad_gpio_slow_sel *2420:10 8.46357 +2 *2420:10 *2420:11 72.8219 +3 *2420:11 *2420:17 47.9891 +4 *2420:17 *2822:mprj_io_slow_sel[19] 5.94117 +*END + +*D_NET *2421 0.0187849 +*CONN +*I *2822:mprj_io_slow_sel[1] I *D chip_io +*I *2782:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[1] 0.00420465 +2 *2782:pad_gpio_slow_sel 0.00420465 +3 *2822:mprj_io_slow_sel[1] *2459:13 4.31122e-05 +4 *2782:pad_gpio_in *2822:mprj_io_slow_sel[1] 0.00992454 +5 *2345:7 *2822:mprj_io_slow_sel[1] 5.57145e-05 +6 *2345:8 *2822:mprj_io_slow_sel[1] 0.000352252 +*RES +1 *2782:pad_gpio_slow_sel *2822:mprj_io_slow_sel[1] 28.8065 +*END + +*D_NET *2422 0.0158094 +*CONN +*I *2822:mprj_io_slow_sel[20] I *D chip_io +*I *2810:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[20] 0.000407459 +2 *2810:pad_gpio_slow_sel 6.99551e-05 +3 *2422:16 0.000704467 +4 *2422:11 0.00190768 +5 *2422:10 0.00168063 +6 *2822:mprj_io_analog_en[20] *2822:mprj_io_slow_sel[20] 1.41291e-05 +7 *2822:mprj_io_analog_sel[20] *2822:mprj_io_slow_sel[20] 0.000217791 +8 *2822:mprj_io_out[20] *2422:16 0.00143235 +9 *110:17 *2822:mprj_io_slow_sel[20] 0.000711745 +10 *689:20 *2422:16 1.42919e-05 +11 *2270:19 *2822:mprj_io_slow_sel[20] 0.000538827 +12 *2270:19 *2422:16 0.000211344 +13 *2308:11 *2422:11 0.00616177 +14 *2308:22 *2422:16 0.00122398 +15 *2308:23 *2822:mprj_io_slow_sel[20] 0.000446342 +16 *2384:11 *2422:11 6.66012e-05 +*RES +1 *2810:pad_gpio_slow_sel *2422:10 8.38708 +2 *2422:10 *2422:11 72.2673 +3 *2422:11 *2422:16 33.6709 +4 *2422:16 *2822:mprj_io_slow_sel[20] 24.7313 +*END + +*D_NET *2423 0.0165524 +*CONN +*I *2822:mprj_io_slow_sel[21] I *D chip_io +*I *2811:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[21] 0.00356791 +2 *2811:pad_gpio_slow_sel 0.000372687 +3 *2423:14 0.00554183 +4 *2423:13 0.0023466 +5 *2423:13 *2670:14 8.68633e-05 +6 *2811:pad_gpio_in *2423:14 0 +7 *2822:mprj_io_analog_en[21] *2822:mprj_io_slow_sel[21] 0.000158904 +8 *2822:mprj_io_dm[63] *2822:mprj_io_slow_sel[21] 0.00018518 +9 *2822:mprj_io_dm[64] *2822:mprj_io_slow_sel[21] 0.00019281 +10 *111:63 *2822:mprj_io_slow_sel[21] 0.000958047 +11 *627:59 *2822:mprj_io_slow_sel[21] 0.000515281 +12 *651:56 *2822:mprj_io_slow_sel[21] 0.000596249 +13 *690:19 *2822:mprj_io_slow_sel[21] 0 +14 *2005:17 *2822:mprj_io_slow_sel[21] 0.000375267 +15 *2043:17 *2822:mprj_io_slow_sel[21] 0.000334328 +16 *2143:23 *2822:mprj_io_slow_sel[21] 0.000208728 +17 *2195:17 *2822:mprj_io_slow_sel[21] 0.000338953 +18 *2233:17 *2822:mprj_io_slow_sel[21] 0.000427598 +19 *2309:11 *2423:13 0.000224395 +20 *2385:11 *2423:13 0.000120742 +*RES +1 *2811:pad_gpio_slow_sel *2423:13 23.1152 +2 *2423:13 *2423:14 54.7766 +3 *2423:14 *2822:mprj_io_slow_sel[21] 19.7009 +*END + +*D_NET *2424 0.0166941 +*CONN +*I *2822:mprj_io_slow_sel[22] I *D chip_io +*I *2812:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[22] 0.00164226 +2 *2812:pad_gpio_slow_sel 0.00079188 +3 *2424:17 0.00440199 +4 *2424:16 0.00355161 +5 *2822:mprj_io_slow_sel[22] *2671:11 0.000483368 +6 *2424:16 *2462:16 7.14746e-05 +7 *2424:17 *2462:13 0.000127162 +8 *2822:mprj_io_holdover[22] *2822:mprj_io_slow_sel[22] 0 +9 *2822:mprj_io_inp_dis[22] *2822:mprj_io_slow_sel[22] 6.98716e-05 +10 *112:14 *2424:16 0.0010699 +11 *112:17 *2822:mprj_io_slow_sel[22] 0.000169253 +12 *628:16 *2424:16 2.33103e-06 +13 *628:16 *2424:17 0.000171273 +14 *628:17 *2822:mprj_io_slow_sel[22] 0.000158444 +15 *652:13 *2424:17 0.000627856 +16 *652:19 *2822:mprj_io_slow_sel[22] 0.000151106 +17 *691:19 *2822:mprj_io_slow_sel[22] 0 +18 *2145:19 *2424:17 0.00244996 +19 *2272:18 *2424:17 0.000330788 +20 *2310:11 *2424:17 0 +21 *2348:13 *2424:16 0.00032497 +22 *2348:13 *2424:17 9.08435e-05 +23 *2348:16 *2424:16 7.77309e-06 +*RES +1 *2812:pad_gpio_slow_sel *2424:16 44.4816 +2 *2424:16 *2424:17 93.3422 +3 *2424:17 *2822:mprj_io_slow_sel[22] 32.7948 +*END + +*D_NET *2425 0.0211756 +*CONN +*I *2822:mprj_io_slow_sel[23] I *D chip_io +*I *2813:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[23] 0.000298653 +2 *2813:pad_gpio_slow_sel 9.65282e-05 +3 *2425:16 0.00055017 +4 *2425:11 0.000878867 +5 *2425:10 0.000723878 +6 *2822:mprj_io_slow_sel[23] *2597:16 1.41291e-05 +7 *2425:11 *2597:26 0.00648686 +8 *2425:16 *2710:11 0.00153522 +9 *2822:mprj_io_analog_en[23] *2822:mprj_io_slow_sel[23] 1.01044e-05 +10 *2822:mprj_io_dm[69] *2822:mprj_io_slow_sel[23] 0.000861684 +11 *2822:mprj_io_inp_dis[23] *2822:mprj_io_slow_sel[23] 0.000113345 +12 *2147:23 *2822:mprj_io_slow_sel[23] 8.92437e-05 +13 *2235:17 *2822:mprj_io_slow_sel[23] 0.00165605 +14 *2273:19 *2822:mprj_io_slow_sel[23] 0.000441022 +15 *2273:20 *2425:11 0.00588332 +16 *2311:14 *2425:16 0.00153161 +17 *2349:13 *2425:10 4.88957e-06 +*RES +1 *2813:pad_gpio_slow_sel *2425:10 8.46357 +2 *2425:10 *2425:11 71.1581 +3 *2425:11 *2425:16 34.0861 +4 *2425:16 *2822:mprj_io_slow_sel[23] 24.5729 +*END + +*D_NET *2426 0.020142 +*CONN +*I *2822:mprj_io_slow_sel[24] I *D chip_io +*I *2814:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[24] 0.000540216 +2 *2814:pad_gpio_slow_sel 0.000201523 +3 *2426:14 0.00341308 +4 *2426:13 0.00301565 +5 *2426:8 0.00189099 +6 *2426:7 0.00194974 +7 *2822:mprj_io_slow_sel[24] *2598:11 0.000130215 +8 *2814:resetn *2426:7 0 +9 *2814:serial_data_in *2426:8 0 +10 *102:49 *2426:14 0.00107026 +11 *113:53 *2426:13 0.0010712 +12 *113:54 *2426:8 0.000110764 +13 *634:14 *2426:8 0 +14 *634:18 *2426:8 0 +15 *653:42 *2426:8 0.00404251 +16 *692:8 *2426:8 0 +17 *692:11 *2426:13 0.00106699 +18 *1970:8 *2426:14 0 +19 *2151:8 *2426:14 0.00163891 +20 *2152:8 *2426:14 0 +*RES +1 *2814:pad_gpio_slow_sel *2426:7 4.04389 +2 *2426:7 *2426:8 74.2935 +3 *2426:8 *2426:13 20.8155 +4 *2426:13 *2426:14 99.8315 +5 *2426:14 *2822:mprj_io_slow_sel[24] 24.1047 +*END + +*D_NET *2427 0.0133341 +*CONN +*I *2822:mprj_io_slow_sel[25] I *D chip_io +*I *2815:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[25] 0.000376933 +2 *2815:pad_gpio_slow_sel 0.00036337 +3 *2427:8 0.00142788 +4 *2427:7 0.00141431 +5 *2427:7 *2465:7 0.00013393 +6 *2815:resetn *2427:7 0 +7 *106:43 *2822:mprj_io_slow_sel[25] 0 +8 *634:14 *2427:8 0.00554363 +9 *655:8 *2427:8 0 +10 *655:15 *2822:mprj_io_slow_sel[25] 5.04829e-06 +11 *694:19 *2427:8 0.000136754 +12 *2154:14 *2427:8 0.0029315 +13 *2156:8 *2822:mprj_io_slow_sel[25] 0 +14 *2199:8 *2427:8 0.000809988 +15 *2313:8 *2427:8 0.000153257 +16 *2351:16 *2427:7 3.74656e-05 +17 *2389:7 *2427:7 0 +*RES +1 *2815:pad_gpio_slow_sel *2427:7 4.65582 +2 *2427:7 *2427:8 89.6578 +3 *2427:8 *2822:mprj_io_slow_sel[25] 17.5738 +*END + +*D_NET *2428 0.00806427 +*CONN +*I *2822:mprj_io_slow_sel[26] I *D chip_io +*I *2816:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[26] 0.0003499 +2 *2816:pad_gpio_slow_sel 0.000133019 +3 *2428:8 0.00256437 +4 *2428:7 0.00234749 +5 *2428:7 *2466:7 0.000154831 +6 *106:43 *2428:8 0.00149068 +7 *617:14 *2822:mprj_io_slow_sel[26] 0 +8 *617:14 *2428:8 0 +9 *656:13 *2428:8 0.000106221 +10 *695:28 *2428:8 0 +11 *2238:27 *2428:8 0.000579483 +12 *2238:33 *2822:mprj_io_slow_sel[26] 0 +13 *2276:10 *2428:8 0 +14 *2352:10 *2428:7 0.000154831 +15 *2390:10 *2428:8 0.000183438 +16 *2390:12 *2428:8 0 +*RES +1 *2816:pad_gpio_slow_sel *2428:7 4.19688 +2 *2428:7 *2428:8 85.9206 +3 *2428:8 *2822:mprj_io_slow_sel[26] 27.2517 +*END + +*D_NET *2429 0.0137647 +*CONN +*I *2822:mprj_io_slow_sel[27] I *D chip_io +*I *2817:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[27] 0.000397446 +2 *2817:pad_gpio_slow_sel 0.000278478 +3 *2429:8 0.00147505 +4 *2429:7 0.00135608 +5 *2822:mprj_io_slow_sel[27] *2602:11 0 +6 *2429:7 *2467:7 0.000253605 +7 *106:37 *2429:8 0.000390047 +8 *117:21 *2429:8 0.00012841 +9 *633:14 *2429:8 0.000721007 +10 *634:14 *2429:8 0.000544663 +11 *2011:8 *2429:8 0.00390251 +12 *2049:8 *2429:8 0.00405879 +13 *2163:21 *2822:mprj_io_slow_sel[27] 5.04829e-06 +14 *2353:7 *2429:7 0.000253605 +15 *2353:13 *2822:mprj_io_slow_sel[27] 0 +*RES +1 *2817:pad_gpio_slow_sel *2429:7 4.8088 +2 *2429:7 *2429:8 90.4883 +3 *2429:8 *2822:mprj_io_slow_sel[27] 18.0541 +*END + +*D_NET *2430 0.0117 +*CONN +*I *2822:mprj_io_slow_sel[28] I *D chip_io +*I *2818:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[28] 0.000261232 +2 *2818:pad_gpio_slow_sel 0.000163057 +3 *2430:8 0.00208795 +4 *2430:7 0.00198978 +5 *2430:7 *2468:10 0.000202772 +6 *106:37 *2430:8 0.000676297 +7 *617:14 *2822:mprj_io_slow_sel[28] 0 +8 *617:14 *2430:8 0.00556434 +9 *2050:37 *2430:8 0.000551798 +10 *2240:8 *2430:8 0 +11 *2240:20 *2822:mprj_io_slow_sel[28] 0 +12 *2278:10 *2430:8 0 +13 *2316:8 *2430:8 0 +14 *2354:13 *2430:7 0.000202772 +*RES +1 *2818:pad_gpio_slow_sel *2430:7 4.42635 +2 *2430:7 *2430:8 90.0731 +3 *2430:8 *2822:mprj_io_slow_sel[28] 15.8357 +*END + +*D_NET *2431 0.0133021 +*CONN +*I *2822:mprj_io_slow_sel[29] I *D chip_io +*I *2804:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[29] 0.000629147 +2 *2804:pad_gpio_slow_sel 0.000545667 +3 *2431:10 0.00203343 +4 *2431:9 0.00194995 +5 *2822:mprj_io_slow_sel[29] *2604:10 0 +6 *2431:9 *2469:9 0.000178321 +7 *2431:10 *2469:16 0.00218633 +8 *2804:resetn *2431:9 0 +9 *617:70 *2431:10 0 +10 *618:34 *2431:10 0.000833927 +11 *680:8 *2431:10 0 +12 *1975:18 *2822:mprj_io_slow_sel[29] 0 +13 *2051:10 *2431:10 0.000858234 +14 *2169:16 *2431:10 0.00221237 +15 *2355:9 *2431:9 0.000331383 +16 *2355:10 *2431:10 0.00154331 +*RES +1 *2804:pad_gpio_slow_sel *2431:9 5.56372 +2 *2431:9 *2431:10 88.8273 +3 *2431:10 *2822:mprj_io_slow_sel[29] 22.3515 +*END + +*D_NET *2432 0.0198092 +*CONN +*I *2822:mprj_io_slow_sel[2] I *D chip_io +*I *2797:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[2] 0.00061097 +2 *2797:pad_gpio_slow_sel 0.000502502 +3 *2432:14 0.00260819 +4 *2432:13 0.00249972 +5 *2432:13 *2470:13 0.000412166 +6 *2432:14 *2470:14 0 +7 *626:72 *2822:mprj_io_slow_sel[2] 0 +8 *669:30 *2432:13 9.20603e-05 +9 *1737:20 *2432:14 0.00323017 +10 *2280:11 *2432:14 0.00872746 +11 *2318:14 *2432:14 0.000152316 +12 *2356:13 *2432:13 0.000973675 +*RES +1 *2797:pad_gpio_slow_sel *2432:13 31.0899 +2 *2432:13 *2432:14 150.492 +3 *2432:14 *2822:mprj_io_slow_sel[2] 24.0154 +*END + +*D_NET *2433 0.013553 +*CONN +*I *2822:mprj_io_slow_sel[30] I *D chip_io +*I *2805:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[30] 0.000406362 +2 *2805:pad_gpio_slow_sel 0.000216632 +3 *2433:8 0.00160212 +4 *2433:7 0.00141239 +5 *2433:7 *2471:13 0.000215594 +6 *102:26 *2822:mprj_io_slow_sel[30] 0.000554108 +7 *106:37 *2433:8 0.000474935 +8 *642:8 *2433:8 0 +9 *681:8 *2433:8 0.000222857 +10 *1977:8 *2433:8 0.00313591 +11 *1977:17 *2822:mprj_io_slow_sel[30] 1.3813e-05 +12 *1977:17 *2433:8 4.10997e-05 +13 *2172:16 *2822:mprj_io_slow_sel[30] 0.000592128 +14 *2172:16 *2433:8 9.92046e-06 +15 *2319:8 *2433:8 0.00443954 +16 *2357:7 *2433:7 0.000215594 +*RES +1 *2805:pad_gpio_slow_sel *2433:7 4.57933 +2 *2433:7 *2433:8 80.7299 +3 *2433:8 *2822:mprj_io_slow_sel[30] 26.4979 +*END + +*D_NET *2434 0.0106777 +*CONN +*I *2822:mprj_io_slow_sel[31] I *D chip_io +*I *2806:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[31] 0.000274544 +2 *2806:pad_gpio_slow_sel 0.000198188 +3 *2434:8 0.00260047 +4 *2434:7 0.00252411 +5 *2434:7 *2472:7 0.000187259 +6 *2434:8 *2472:8 0 +7 *106:37 *2434:8 0.000688832 +8 *1978:16 *2822:mprj_io_slow_sel[31] 0 +9 *1978:16 *2434:8 0.00354884 +10 *2175:8 *2434:8 0.000375899 +11 *2206:8 *2434:8 9.22975e-05 +12 *2282:10 *2434:8 0 +13 *2282:11 *2434:8 0 +14 *2358:7 *2434:7 0.000187259 +15 *2358:8 *2434:8 0 +*RES +1 *2806:pad_gpio_slow_sel *2434:7 4.42635 +2 *2434:7 *2434:8 89.6578 +3 *2434:8 *2822:mprj_io_slow_sel[31] 16.2509 +*END + +*D_NET *2435 0.0126907 +*CONN +*I *2822:mprj_io_slow_sel[32] I *D chip_io +*I *2807:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[32] 0.000460809 +2 *2807:pad_gpio_slow_sel 0.00034939 +3 *2435:8 0.0019017 +4 *2435:7 0.00179028 +5 *2822:mprj_io_slow_sel[32] *2607:8 0 +6 *2435:7 *2473:7 0.000109066 +7 *106:31 *2435:8 0 +8 *619:20 *2435:8 0.000535294 +9 *620:23 *2435:8 0.00151421 +10 *683:8 *2435:8 0 +11 *1979:8 *2822:mprj_io_slow_sel[32] 0 +12 *2017:8 *2435:8 0.00113257 +13 *2055:8 *2435:8 0.0040164 +14 *2359:7 *2435:7 0.000270967 +15 *2359:8 *2435:8 0 +16 *2397:8 *2435:8 0.000576806 +17 *2397:17 *2822:mprj_io_slow_sel[32] 3.31998e-05 +*RES +1 *2807:pad_gpio_slow_sel *2435:7 4.88529 +2 *2435:7 *2435:8 89.2426 +3 *2435:8 *2822:mprj_io_slow_sel[32] 20.1814 +*END + +*D_NET *2436 0.011268 +*CONN +*I *2822:mprj_io_slow_sel[33] I *D chip_io +*I *2808:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[33] 0.000254771 +2 *2808:pad_gpio_slow_sel 0.000256363 +3 *2436:8 0.00238561 +4 *2436:7 0.00238721 +5 *2436:7 *2474:7 0.000195024 +6 *2436:8 *2474:8 0 +7 *2808:mgmt_gpio_out *2436:8 0 +8 *2822:mprj_io_oeb[33] *2436:7 1.11316e-05 +9 *619:20 *2436:8 0.000665473 +10 *1980:16 *2822:mprj_io_slow_sel[33] 0 +11 *1980:16 *2436:8 0.00185281 +12 *2069:8 *2436:8 1.87269e-05 +13 *2284:11 *2436:8 0 +14 *2322:8 *2436:8 0.0031947 +15 *2398:14 *2436:7 0 +16 *2398:14 *2436:8 4.61488e-05 +*RES +1 *2808:pad_gpio_slow_sel *2436:7 4.42635 +2 *2436:7 *2436:8 89.6578 +3 *2436:8 *2822:mprj_io_slow_sel[33] 15.6963 +*END + +*D_NET *2437 0.012953 +*CONN +*I *2822:mprj_io_slow_sel[34] I *D chip_io +*I *2809:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[34] 0.000621084 +2 *2809:pad_gpio_slow_sel 7.66982e-05 +3 *2437:19 0.00254228 +4 *2437:18 0.00257559 +5 *2437:10 0.00222868 +6 *2437:9 0.00165099 +7 *2437:9 *2475:9 2.56241e-05 +8 *2437:10 *2475:10 0.00191063 +9 *2437:18 *2475:27 0.000258157 +10 *2822:mprj_io_dm[103] *2822:mprj_io_slow_sel[34] 0 +11 *619:20 *2822:mprj_io_slow_sel[34] 0.000148104 +12 *2072:8 *2822:mprj_io_slow_sel[34] 0.000915154 +13 *2285:10 *2822:mprj_io_slow_sel[34] 0 +14 *2285:11 *2822:mprj_io_slow_sel[34] 0 +*RES +1 *2809:pad_gpio_slow_sel *2437:9 3.59493 +2 *2437:9 *2437:10 62.6664 +3 *2437:10 *2437:18 33.6437 +4 *2437:18 *2437:19 52.8561 +5 *2437:19 *2822:mprj_io_slow_sel[34] 36.0103 +*END + +*D_NET *2438 0.0141683 +*CONN +*I *2822:mprj_io_slow_sel[35] I *D chip_io +*I *2783:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[35] 0.000384145 +2 *2783:pad_gpio_slow_sel 0.000427956 +3 *2438:8 0.00149815 +4 *2438:7 0.00154196 +5 *2822:mprj_io_slow_sel[35] *2610:8 0 +6 *2438:7 *2822:mprj_io_vtrip_sel[35] 0.000117991 +7 *2822:mprj_io_oeb[35] *2438:7 7.79093e-05 +8 *647:31 *2438:7 0 +9 *1733:8 *2438:8 0.000475529 +10 *2074:23 *2822:mprj_io_slow_sel[35] 0 +11 *2076:8 *2438:8 0.0044677 +12 *2248:8 *2438:8 9.22975e-05 +13 *2324:7 *2438:7 0 +14 *2324:8 *2438:8 0.0050847 +*RES +1 *2783:pad_gpio_slow_sel *2438:7 4.73231 +2 *2438:7 *2438:8 89.6578 +3 *2438:8 *2822:mprj_io_slow_sel[35] 18.33 +*END + +*D_NET *2439 0.0139828 +*CONN +*I *2822:mprj_io_slow_sel[36] I *D chip_io +*I *2784:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[36] 0.000555598 +2 *2784:pad_gpio_slow_sel 0.000551568 +3 *2439:8 0.00173328 +4 *2439:7 0.00172925 +5 *2439:7 *2477:7 0.000225243 +6 *2822:mprj_io_oeb[36] *2439:7 1.78739e-05 +7 *687:16 *2439:8 0.000444707 +8 *1745:13 *2439:8 0.000639764 +9 *1983:16 *2822:mprj_io_slow_sel[36] 0 +10 *2080:16 *2439:8 0.00268988 +11 *2211:13 *2439:8 0.00196064 +12 *2211:14 *2439:8 0.00272426 +13 *2249:8 *2439:8 0.000710699 +14 *2325:10 *2439:7 0 +15 *2401:15 *2439:7 0 +*RES +1 *2784:pad_gpio_slow_sel *2439:7 5.19125 +2 *2439:7 *2439:8 90.0731 +3 *2439:8 *2822:mprj_io_slow_sel[36] 20.8271 +*END + +*D_NET *2440 0.019008 +*CONN +*I *2822:mprj_io_slow_sel[37] I *D chip_io +*I *2785:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[37] 0.000276192 +2 *2785:pad_gpio_slow_sel 0.00103789 +3 *2440:16 0.0010571 +4 *2440:15 0.000780909 +5 *2440:13 0.00130497 +6 *2440:12 0.00130497 +7 *2440:10 0.00103789 +8 *2440:10 *2478:10 0.00262992 +9 *2440:13 *2478:13 0.00558543 +10 *2822:mprj_io_analog_sel[37] *2440:16 0.000429068 +11 *1984:8 *2440:16 0.00294164 +12 *2022:13 *2440:16 0 +13 *2022:14 *2822:mprj_io_slow_sel[37] 0 +14 *2022:14 *2440:16 0.000621972 +*RES +1 *2785:pad_gpio_slow_sel *2440:10 45.6118 +2 *2440:10 *2440:12 4.5 +3 *2440:12 *2440:13 58.9568 +4 *2440:13 *2440:15 4.5 +5 *2440:15 *2440:16 47.0945 +6 *2440:16 *2822:mprj_io_slow_sel[37] 15.8357 +*END + +*D_NET *2441 0.0184991 +*CONN +*I *2822:mprj_io_slow_sel[3] I *D chip_io +*I *2798:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[3] 0.000868354 +2 *2798:pad_gpio_slow_sel 0.000815305 +3 *2441:16 0.00290922 +4 *2441:15 0.00285618 +5 *2441:15 *2479:13 0.000237476 +6 *2441:16 *2479:14 0 +7 *111:36 *2822:mprj_io_slow_sel[3] 0 +8 *1737:20 *2441:16 0.00295499 +9 *2079:14 *2441:16 0.00732456 +10 *2289:11 *2441:16 0 +11 *2327:15 *2441:15 0.000311875 +12 *2327:16 *2441:16 0 +13 *2365:7 *2441:15 4.10643e-05 +14 *2365:8 *2441:15 8.35615e-06 +15 *2403:13 *2441:15 0.000171753 +*RES +1 *2798:pad_gpio_slow_sel *2441:15 35.9464 +2 *2441:15 *2441:16 138.035 +3 *2441:16 *2822:mprj_io_slow_sel[3] 31.6681 +*END + +*D_NET *2442 0.0233974 +*CONN +*I *2822:mprj_io_slow_sel[4] I *D chip_io +*I *2799:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[4] 0.000571501 +2 *2799:pad_gpio_slow_sel 0.000798628 +3 *2442:14 0.00232984 +4 *2442:13 0.00255696 +5 *2442:13 *2480:13 3.84497e-05 +6 *2442:14 *2480:14 0 +7 *116:49 *2442:14 0.00127315 +8 *671:14 *2822:mprj_io_slow_sel[4] 0 +9 *1738:20 *2442:14 0 +10 *1986:14 *2442:14 0.00659528 +11 *2086:16 *2442:14 0.000431563 +12 *2290:11 *2442:14 0.00872745 +13 *2328:16 *2442:14 0 +14 *2366:7 *2442:13 3.84497e-05 +15 *2366:8 *2442:13 3.61259e-05 +*RES +1 *2799:pad_gpio_slow_sel *2442:13 32.2592 +2 *2442:13 *2442:14 148.831 +3 *2442:14 *2822:mprj_io_slow_sel[4] 24.0154 +*END + +*D_NET *2443 0.0183102 +*CONN +*I *2822:mprj_io_slow_sel[5] I *D chip_io +*I *2800:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[5] 0.0038408 +2 *2800:pad_gpio_slow_sel 0.0038408 +3 *2822:mprj_io_slow_sel[5] *2481:13 3.36517e-05 +4 *2800:mgmt_gpio_out *2822:mprj_io_slow_sel[5] 0.000494432 +5 *2800:pad_gpio_in *2822:mprj_io_slow_sel[5] 0.0100761 +6 *654:43 *2822:mprj_io_slow_sel[5] 0 +7 *2367:13 *2822:mprj_io_slow_sel[5] 2.45091e-05 +*RES +1 *2800:pad_gpio_slow_sel *2822:mprj_io_slow_sel[5] 27.4227 +*END + +*D_NET *2444 0.0204389 +*CONN +*I *2822:mprj_io_slow_sel[6] I *D chip_io +*I *2801:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[6] 0.000559715 +2 *2801:pad_gpio_slow_sel 0.000767918 +3 *2444:16 0.00378579 +4 *2444:15 0.00399399 +5 *2444:15 *2482:13 0.000237476 +6 *116:49 *2444:16 0.00139863 +7 *630:46 *2822:mprj_io_slow_sel[6] 0.000225624 +8 *654:59 *2444:16 0 +9 *658:59 *2444:16 0 +10 *1739:20 *2444:16 0 +11 *2292:11 *2444:16 0.00870142 +12 *2330:15 *2444:15 0.000547133 +13 *2330:16 *2444:16 0 +14 *2368:7 *2444:15 4.10643e-05 +15 *2368:8 *2444:15 8.35615e-06 +16 *2406:13 *2444:15 0.000171753 +*RES +1 *2801:pad_gpio_slow_sel *2444:15 35.9464 +2 *2444:15 *2444:16 145.509 +3 *2444:16 *2822:mprj_io_slow_sel[6] 24.0154 +*END + +*D_NET *2445 0.0407391 +*CONN +*I *2822:mprj_io_slow_sel[7] I *D chip_io +*I *2802:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[7] 0.000621011 +2 *2802:pad_gpio_slow_sel 0.000849498 +3 *2445:14 0.00868288 +4 *2445:13 0.00806187 +5 *2445:11 0.000849498 +6 *2822:mprj_io_slow_sel[7] *2483:8 0.000107678 +7 *2445:11 *2802:user_gpio_oeb 0.000114186 +8 *2445:11 *2483:7 4.88928e-05 +9 *2445:11 *2654:10 1.75925e-05 +10 *2445:11 *2730:20 7.61581e-05 +11 *2445:14 *2446:14 0.00594115 +12 *2802:serial_clock *2445:11 0.000605451 +13 *2802:serial_load *2445:11 0.00070788 +14 *2802:serial_load_out *2445:11 9.04626e-05 +15 *116:64 *2445:11 0.00119834 +16 *655:51 *2445:11 0.000305756 +17 *656:50 *2445:11 4.69341e-05 +18 *656:50 *2445:14 0 +19 *674:10 *2445:11 6.34306e-05 +20 *675:15 *2445:11 0 +21 *676:14 *2822:mprj_io_slow_sel[7] 0 +22 *676:14 *2445:14 0.00120524 +23 *2100:10 *2445:14 0.00100672 +24 *2217:8 *2445:14 0.00210046 +25 *2293:10 *2822:mprj_io_slow_sel[7] 0.000533277 +26 *2293:11 *2445:14 0.00746047 +27 *2369:7 *2445:11 4.42181e-05 +*RES +1 *2802:pad_gpio_slow_sel *2445:11 47.5544 +2 *2445:11 *2445:13 4.5 +3 *2445:13 *2445:14 341.093 +4 *2445:14 *2822:mprj_io_slow_sel[7] 28.1955 +*END + +*D_NET *2446 0.052401 +*CONN +*I *2822:mprj_io_slow_sel[8] I *D chip_io +*I *2786:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[8] 0.000485207 +2 *2786:pad_gpio_slow_sel 0.000451675 +3 *2446:18 0.00179367 +4 *2446:16 0.00133479 +5 *2446:14 0.00305364 +6 *2446:13 0.00347898 +7 *2446:13 *2484:9 2.35723e-05 +8 *2446:13 *2655:13 0.000204555 +9 *2822:mprj_io_analog_en[8] *2822:mprj_io_slow_sel[8] 0 +10 *116:65 *2446:13 0.000524765 +11 *632:85 *2446:13 0.000543803 +12 *676:14 *2446:14 0.00297358 +13 *676:20 *2446:14 0 +14 *1990:29 *2446:18 0.00254513 +15 *2028:14 *2822:mprj_io_slow_sel[8] 0.000658455 +16 *2028:14 *2446:14 0.0036695 +17 *2028:14 *2446:18 0.0023315 +18 *2097:8 *2446:14 0.000907986 +19 *2100:10 *2446:14 0.00155249 +20 *2100:10 *2446:18 0.0011266 +21 *2103:24 *2822:mprj_io_slow_sel[8] 3.26582e-06 +22 *2293:11 *2446:14 0.00596718 +23 *2294:11 *2822:mprj_io_slow_sel[8] 1.55666e-05 +24 *2294:11 *2446:14 0.0047478 +25 *2294:11 *2446:18 0.00741064 +26 *2332:14 *2822:mprj_io_slow_sel[8] 1.86389e-05 +27 *2370:9 *2446:13 8.53327e-05 +28 *2409:30 *2822:mprj_io_slow_sel[8] 0.000551497 +29 *2445:14 *2446:14 0.00594115 +*RES +1 *2786:pad_gpio_slow_sel *2446:13 30.7263 +2 *2446:13 *2446:14 252.999 +3 *2446:14 *2446:16 0.732798 +4 *2446:16 *2446:18 119.202 +5 *2446:18 *2822:mprj_io_slow_sel[8] 28.8528 +*END + +*D_NET *2447 0.0607727 +*CONN +*I *2822:mprj_io_slow_sel[9] I *D chip_io +*I *2788:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_slow_sel[9] 0.00053476 +2 *2788:pad_gpio_slow_sel 0.000398977 +3 *2447:14 0.00395115 +4 *2447:13 0.00381537 +5 *2447:13 *2485:7 3.84497e-05 +6 *2788:serial_clock *2447:13 0.000470508 +7 *2029:14 *2822:mprj_io_slow_sel[9] 0 +8 *2219:8 *2447:14 0.00164082 +9 *2256:10 *2447:14 0.00187245 +10 *2295:11 *2447:14 0.0234466 +11 *2333:13 *2447:13 0.00131775 +12 *2333:14 *2447:14 0.0210303 +13 *2333:18 *2447:14 0.00108664 +14 *2371:7 *2447:13 3.84497e-05 +15 *2409:36 *2447:14 0.000892705 +16 *2411:14 *2447:14 0.000237736 +*RES +1 *2788:pad_gpio_slow_sel *2447:13 29.6255 +2 *2447:13 *2447:14 387.601 +3 *2447:14 *2822:mprj_io_slow_sel[9] 21.4316 +*END + +*D_NET *2448 0.0108521 +*CONN +*I *2822:mprj_io_vtrip_sel[0] I *D chip_io +*I *2781:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[0] 0.000332829 +2 *2781:pad_gpio_vtrip_sel 0.000704628 +3 *2448:14 0.00223519 +4 *2448:13 0.00260699 +5 *2781:resetn *2448:13 9.52305e-06 +6 *2781:resetn_out *2448:13 0 +7 *2822:mprj_io_slow_sel[0] *2448:13 1.09344e-05 +8 *1954:14 *2448:14 0 +9 *1992:14 *2448:14 0 +10 *2030:14 *2822:mprj_io_vtrip_sel[0] 4.76719e-05 +11 *2296:13 *2448:13 0 +12 *2296:14 *2448:14 0 +13 *2334:8 *2448:13 3.61259e-05 +14 *2372:14 *2448:14 0.00486822 +*RES +1 *2781:pad_gpio_vtrip_sel *2448:13 29.2047 +2 *2448:13 *2448:14 82.8062 +3 *2448:14 *2822:mprj_io_vtrip_sel[0] 17.0842 +*END + +*D_NET *2449 0.056939 +*CONN +*I *2822:mprj_io_vtrip_sel[10] I *D chip_io +*I *2789:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[10] 0.000159506 +2 *2789:pad_gpio_vtrip_sel 6.94771e-05 +3 *2449:16 0.00433814 +4 *2449:15 0.00533396 +5 *2449:10 0.00343198 +6 *2449:9 0.00234613 +7 *2449:16 *2485:8 0.00598739 +8 *2822:mprj_io_ib_mode_sel[10] *2822:mprj_io_vtrip_sel[10] 1.74716e-05 +9 *101:64 *2449:10 0.00428701 +10 *617:43 *2449:15 0.000376602 +11 *617:44 *2449:10 0.000346749 +12 *2108:14 *2822:mprj_io_vtrip_sel[10] 0 +13 *2183:8 *2449:16 0.00547643 +14 *2184:8 *2449:16 0 +15 *2335:8 *2449:16 0.000374189 +16 *2371:8 *2449:16 0.000237331 +17 *2373:8 *2449:16 0.0241566 +*RES +1 *2789:pad_gpio_vtrip_sel *2449:9 3.59493 +2 *2449:9 *2449:10 94.8485 +3 *2449:10 *2449:15 7.19912 +4 *2449:15 *2449:16 49.7726 +5 *2449:16 *2822:mprj_io_vtrip_sel[10] 6.36202 +*END + +*D_NET *2450 0.0708567 +*CONN +*I *2822:mprj_io_vtrip_sel[11] I *D chip_io +*I *2790:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[11] 0.000168155 +2 *2790:pad_gpio_vtrip_sel 0.000141511 +3 *2450:8 0.00366054 +4 *2450:7 0.0036339 +5 *2790:resetn *2450:7 3.95327e-06 +6 *2222:10 *2450:8 0.0315897 +7 *2336:7 *2450:7 0 +8 *2336:8 *2450:8 0.0316396 +9 *2412:13 *2450:7 1.94242e-05 +*RES +1 *2790:pad_gpio_vtrip_sel *2450:7 0.707538 +2 *2450:7 *2450:8 62.9176 +3 *2450:8 *2822:mprj_io_vtrip_sel[11] 6.0718 +*END + +*D_NET *2451 0.0739524 +*CONN +*I *2822:mprj_io_vtrip_sel[12] I *D chip_io +*I *2791:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[12] 0.000377349 +2 *2791:pad_gpio_vtrip_sel 0.000781103 +3 *2451:8 0.00780725 +4 *2451:7 0.00821101 +5 *2791:resetn *2451:7 1.94242e-05 +6 *2822:mprj_io_ib_mode_sel[12] *2822:mprj_io_vtrip_sel[12] 6.76169e-05 +7 *2822:mprj_io_slow_sel[12] *2451:8 0.000140156 +8 *2033:14 *2451:8 0.00179373 +9 *2033:18 *2451:8 0.000597423 +10 *2111:14 *2451:8 0.00190382 +11 *2111:18 *2451:8 0.000466682 +12 *2112:14 *2451:8 0.00334208 +13 *2112:21 *2451:8 0.000242207 +14 *2117:24 *2451:8 0.000322219 +15 *2117:26 *2822:mprj_io_vtrip_sel[12] 0 +16 *2184:8 *2451:8 0.0114553 +17 *2223:8 *2451:8 0.0316276 +18 *2299:13 *2451:7 0.00010238 +19 *2299:14 *2451:8 0.00355165 +20 *2299:18 *2451:8 0.00054924 +21 *2300:26 *2451:8 0.000465593 +22 *2337:7 *2451:7 0 +23 *2374:8 *2451:8 0.000102033 +24 *2413:13 *2451:7 2.65334e-05 +*RES +1 *2791:pad_gpio_vtrip_sel *2451:7 1.93138 +2 *2451:7 *2451:8 62.9176 +3 *2451:8 *2822:mprj_io_vtrip_sel[12] 5.9297 +*END + +*D_NET *2452 0.114041 +*CONN +*I *2822:mprj_io_vtrip_sel[13] I *D chip_io +*I *2792:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[13] 0.000134088 +2 *2792:pad_gpio_vtrip_sel 0.000254977 +3 *2452:8 0.00551498 +4 *2452:7 0.00563587 +5 *2792:resetn *2452:7 4.02086e-05 +6 *2822:mprj_io_ib_mode_sel[13] *2822:mprj_io_vtrip_sel[13] 4.327e-07 +7 *2113:8 *2452:8 0.00207529 +8 *2117:8 *2452:8 0.000900175 +9 *2186:8 *2452:8 0.000830183 +10 *2186:26 *2452:8 0.00443624 +11 *2224:8 *2452:8 0.0504025 +12 *2338:16 *2452:8 0.0437807 +13 *2376:16 *2452:8 1.83756e-05 +14 *2414:13 *2452:7 1.69592e-05 +*RES +1 *2792:pad_gpio_vtrip_sel *2452:7 0.860519 +2 *2452:7 *2452:8 99.9521 +3 *2452:8 *2822:mprj_io_vtrip_sel[13] 5.99531 +*END + +*D_NET *2453 0.026157 +*CONN +*I *2822:mprj_io_vtrip_sel[14] I *D chip_io +*I *2793:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[14] 0.000588211 +2 *2793:pad_gpio_vtrip_sel 0.000404595 +3 *2453:20 0.00248117 +4 *2453:19 0.0020275 +5 *2453:14 0.000928644 +6 *2453:13 0.00119869 +7 *2793:resetn *2453:13 0.000136032 +8 *2793:resetn_out *2453:13 0 +9 *621:48 *2822:mprj_io_vtrip_sel[14] 0 +10 *2187:14 *2453:14 0.00582676 +11 *2225:14 *2453:20 0.00546812 +12 *2301:15 *2453:13 0.000742567 +13 *2339:8 *2453:13 7.08723e-06 +14 *2377:13 *2453:13 0.000475888 +15 *2377:14 *2453:14 0.00582318 +16 *2415:13 *2453:13 4.85049e-05 +*RES +1 *2793:pad_gpio_vtrip_sel *2453:13 25.9647 +2 *2453:13 *2453:14 93.6027 +3 *2453:14 *2453:19 11.3872 +4 *2453:19 *2453:20 87.3739 +5 *2453:20 *2822:mprj_io_vtrip_sel[14] 23.9776 +*END + +*D_NET *2454 0.0555771 +*CONN +*I *2822:mprj_io_vtrip_sel[15] I *D chip_io +*I *2794:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[15] 0.00011629 +2 *2794:pad_gpio_vtrip_sel 8.14983e-05 +3 *2454:40 0.000957017 +4 *2454:27 0.00214337 +5 *2454:26 0.00172627 +6 *2454:21 0.00480347 +7 *2454:19 0.00440048 +8 *2454:17 0.00214041 +9 *2454:16 0.0025542 +10 *2454:11 0.00144732 +11 *2454:10 0.0010944 +12 *2454:11 *2618:20 0.00697281 +13 *2454:11 *2663:17 0 +14 *2794:resetn *2454:11 1.30651e-05 +15 *2794:resetn_out *2454:11 6.66147e-05 +16 *2794:serial_clock *2454:11 0.00337439 +17 *2794:serial_data_in *2454:11 8.90486e-05 +18 *2822:mprj_io_dm[47] *2454:40 0.00117592 +19 *2822:mprj_io_ib_mode_sel[15] *2454:40 0.000722032 +20 *2036:22 *2454:16 0 +21 *2123:17 *2454:17 0.00328568 +22 *2123:27 *2454:27 0.00584957 +23 *2123:32 *2454:40 0.000274483 +24 *2226:25 *2454:17 6.08489e-05 +25 *2302:30 *2454:16 0.000304684 +26 *2302:31 *2454:17 0.00502072 +27 *2340:21 *2454:17 0.001525 +28 *2340:21 *2454:21 0.000870756 +29 *2416:21 *2454:17 0.00450675 +*RES +1 *2794:pad_gpio_vtrip_sel *2454:10 8.38708 +2 *2454:10 *2454:11 73.3765 +3 *2454:11 *2454:16 24.1201 +4 *2454:16 *2454:17 145.198 +5 *2454:17 *2454:19 0.578717 +6 *2454:19 *2454:21 133.551 +7 *2454:21 *2454:26 20.3828 +8 *2454:26 *2454:27 61.7298 +9 *2454:27 *2454:40 48.0861 +10 *2454:40 *2822:mprj_io_vtrip_sel[15] 2.05183 +*END + +*D_NET *2455 0.0177492 +*CONN +*I *2822:mprj_io_vtrip_sel[16] I *D chip_io +*I *2795:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[16] 0.00274266 +2 *2795:pad_gpio_vtrip_sel 9.17012e-05 +3 *2455:16 0.00407752 +4 *2455:11 0.00257766 +5 *2455:10 0.0013345 +6 *2822:mprj_io_holdover[16] *2822:mprj_io_vtrip_sel[16] 0 +7 *2822:mprj_io_slow_sel[16] *2822:mprj_io_vtrip_sel[16] 0 +8 *106:80 *2822:mprj_io_vtrip_sel[16] 0.000633011 +9 *622:43 *2455:16 0 +10 *2127:26 *2455:16 0 +11 *2189:11 *2455:11 0.00562843 +12 *2189:19 *2455:16 0 +13 *2227:11 *2455:11 0 +14 *2227:31 *2455:16 0 +15 *2265:8 *2822:mprj_io_vtrip_sel[16] 0.00066375 +*RES +1 *2795:pad_gpio_vtrip_sel *2455:10 8.46357 +2 *2455:10 *2455:11 58.9568 +3 *2455:11 *2455:16 44.3743 +4 *2455:16 *2822:mprj_io_vtrip_sel[16] 21.5891 +*END + +*D_NET *2456 0.0410822 +*CONN +*I *2822:mprj_io_vtrip_sel[17] I *D chip_io +*I *2796:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[17] 0.000787882 +2 *2796:pad_gpio_vtrip_sel 0.000125912 +3 *2456:23 0.00213164 +4 *2456:20 0.00275055 +5 *2456:11 0.00615289 +6 *2456:10 0.00487201 +7 *2822:mprj_io_ib_mode_sel[17] *2822:mprj_io_vtrip_sel[17] 0 +8 *2822:mprj_io_out[17] *2822:mprj_io_vtrip_sel[17] 0.000123131 +9 *667:17 *2822:mprj_io_vtrip_sel[17] 3.38808e-05 +10 *1962:11 *2456:11 0 +11 *2228:17 *2822:mprj_io_vtrip_sel[17] 0.000851014 +12 *2304:23 *2822:mprj_io_vtrip_sel[17] 0.00118453 +13 *2380:11 *2456:11 0.000668608 +14 *2380:22 *2822:mprj_io_vtrip_sel[17] 0.00123313 +15 *2418:11 *2456:11 0.020167 +16 *2418:20 *2456:20 0 +*RES +1 *2796:pad_gpio_vtrip_sel *2456:10 8.46357 +2 *2456:10 *2456:11 226.447 +3 *2456:11 *2456:20 46.617 +4 *2456:20 *2456:23 40.1634 +5 *2456:23 *2822:mprj_io_vtrip_sel[17] 46.2733 +*END + +*D_NET *2457 0.0239682 +*CONN +*I *2822:mprj_io_vtrip_sel[18] I *D chip_io +*I *2787:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[18] 0.000524907 +2 *2787:pad_gpio_vtrip_sel 0.000541006 +3 *2457:22 0.000912866 +4 *2457:17 0.00263978 +5 *2457:16 0.0027889 +6 *2457:13 0.00107809 +7 *2822:mprj_io_holdover[18] *2822:mprj_io_vtrip_sel[18] 0.000135081 +8 *1963:14 *2457:22 0.0018307 +9 *1963:17 *2822:mprj_io_vtrip_sel[18] 0.000141291 +10 *2132:11 *2457:13 3.99086e-06 +11 *2229:27 *2822:mprj_io_vtrip_sel[18] 0.000156579 +12 *2229:27 *2457:22 0.000806469 +13 *2305:11 *2457:17 0.00410122 +14 *2343:11 *2457:13 0.0014464 +15 *2343:16 *2457:16 0.00154384 +16 *2343:17 *2457:17 0.0024103 +17 *2343:30 *2822:mprj_io_vtrip_sel[18] 0.000379505 +18 *2343:30 *2457:22 7.24173e-05 +19 *2419:13 *2457:13 0.00245489 +*RES +1 *2787:pad_gpio_vtrip_sel *2457:13 46.9632 +2 *2457:13 *2457:16 29.1709 +3 *2457:16 *2457:17 99.4428 +4 *2457:17 *2457:22 38.6539 +5 *2457:22 *2822:mprj_io_vtrip_sel[18] 21.1228 +*END + +*D_NET *2458 0.0372597 +*CONN +*I *2822:mprj_io_vtrip_sel[19] I *D chip_io +*I *2803:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[19] 0.000417504 +2 *2803:pad_gpio_vtrip_sel 0.000388857 +3 *2458:17 0.00431488 +4 *2458:16 0.0044636 +5 *2458:13 0.000955082 +6 *2458:13 *2629:19 5.0715e-05 +7 *2458:17 *2593:8 0.00328964 +8 *2803:resetn *2458:13 0.000165504 +9 *2803:serial_data_in *2458:13 0.000437088 +10 *2803:serial_data_in *2458:16 8.62321e-06 +11 *2803:serial_load *2458:13 7.68538e-06 +12 *2822:mprj_io_ib_mode_sel[19] *2822:mprj_io_vtrip_sel[19] 0 +13 *100:14 *2458:13 0.00132899 +14 *100:14 *2458:16 2.82537e-05 +15 *616:16 *2458:16 0.00117561 +16 *640:14 *2458:16 0.00119147 +17 *640:16 *2458:16 0.00090859 +18 *2344:13 *2458:13 0.000434669 +19 *2344:16 *2458:16 1.55462e-05 +20 *2344:17 *2458:17 0.0176774 +*RES +1 *2803:pad_gpio_vtrip_sel *2458:13 36.9038 +2 *2458:13 *2458:16 39.9674 +3 *2458:16 *2458:17 185.961 +4 *2458:17 *2822:mprj_io_vtrip_sel[19] 15.5897 +*END + +*D_NET *2459 0.00854778 +*CONN +*I *2822:mprj_io_vtrip_sel[1] I *D chip_io +*I *2782:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[1] 0.000437032 +2 *2782:pad_gpio_vtrip_sel 0.000508105 +3 *2459:14 0.00312259 +4 *2459:13 0.00319366 +5 *2782:resetn *2459:13 3.84497e-05 +6 *2822:mprj_io_ib_mode_sel[1] *2822:mprj_io_vtrip_sel[1] 0 +7 *2822:mprj_io_slow_sel[1] *2459:13 4.31122e-05 +8 *660:16 *2459:14 0 +9 *2003:14 *2459:14 0.00120483 +10 *2193:14 *2459:14 0 +11 *2307:14 *2459:14 0 +12 *2383:14 *2822:mprj_io_vtrip_sel[1] 0 +13 *2383:14 *2459:14 0 +*RES +1 *2782:pad_gpio_vtrip_sel *2459:13 25.1943 +2 *2459:13 *2459:14 89.035 +3 *2459:14 *2822:mprj_io_vtrip_sel[1] 19.442 +*END + +*D_NET *2460 0.0271081 +*CONN +*I *2822:mprj_io_vtrip_sel[20] I *D chip_io +*I *2810:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[20] 0.000443164 +2 *2810:pad_gpio_vtrip_sel 0.00411678 +3 *2460:15 0.00455995 +4 *2460:15 *2594:12 0.000549095 +5 *2822:mprj_io_ib_mode_sel[20] *2822:mprj_io_vtrip_sel[20] 0 +6 *2822:mprj_io_ib_mode_sel[20] *2460:15 0.0128959 +7 *110:17 *2460:15 0.000761769 +8 *650:17 *2460:15 0.000380884 +9 *2232:16 *2460:15 0.000618701 +10 *2346:17 *2460:15 0.00278181 +*RES +1 *2810:pad_gpio_vtrip_sel *2460:15 43.4888 +2 *2460:15 *2822:mprj_io_vtrip_sel[20] 12.1889 +*END + +*D_NET *2461 0.0340207 +*CONN +*I *2822:mprj_io_vtrip_sel[21] I *D chip_io +*I *2811:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[21] 6.21252e-05 +2 *2811:pad_gpio_vtrip_sel 8.99662e-05 +3 *2461:17 0.000667904 +4 *2461:16 0.000605779 +5 *2461:14 0.00152734 +6 *2461:13 0.00152734 +7 *2461:11 0.00126241 +8 *2461:10 0.00135238 +9 *2822:mprj_io_ib_mode_sel[21] *2822:mprj_io_vtrip_sel[21] 8.03562e-06 +10 *690:20 *2461:14 7.26852e-05 +11 *690:23 *2461:11 0.000449363 +12 *2233:17 *2461:17 0.000493488 +13 *2347:11 *2461:11 0.00638486 +14 *2347:14 *2461:14 0 +15 *2347:17 *2461:17 0.00836992 +16 *2385:14 *2461:14 0.00357605 +17 *2385:17 *2461:17 0.00757108 +*RES +1 *2811:pad_gpio_vtrip_sel *2461:10 8.46357 +2 *2461:10 *2461:11 68.9396 +3 *2461:11 *2461:13 4.5 +4 *2461:13 *2461:14 63.2893 +5 *2461:14 *2461:16 4.5 +6 *2461:16 *2461:17 90.8465 +7 *2461:17 *2822:mprj_io_vtrip_sel[21] 6.03888 +*END + +*D_NET *2462 0.0432743 +*CONN +*I *2822:mprj_io_vtrip_sel[22] I *D chip_io +*I *2812:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[22] 0.000387072 +2 *2812:pad_gpio_vtrip_sel 0.00027115 +3 *2462:17 0.00258066 +4 *2462:16 0.00277677 +5 *2462:13 0.000854335 +6 *2462:17 *2596:10 0.00168557 +7 *2822:mprj_io_ib_mode_sel[22] *2822:mprj_io_vtrip_sel[22] 0 +8 *652:13 *2462:13 0.00159452 +9 *652:40 *2462:16 0.000878734 +10 *2234:23 *2462:17 0.0103019 +11 *2348:13 *2462:13 0.00206604 +12 *2348:16 *2462:16 0.00210347 +13 *2348:17 *2462:17 0.0175754 +14 *2424:16 *2462:16 7.14746e-05 +15 *2424:17 *2462:13 0.000127162 +*RES +1 *2812:pad_gpio_vtrip_sel *2462:13 34.7619 +2 *2462:13 *2462:16 38.7217 +3 *2462:16 *2462:17 183.743 +4 *2462:17 *2822:mprj_io_vtrip_sel[22] 15.1744 +*END + +*D_NET *2463 0.0261123 +*CONN +*I *2822:mprj_io_vtrip_sel[23] I *D chip_io +*I *2813:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[23] 0.000389768 +2 *2813:pad_gpio_vtrip_sel 0.0048433 +3 *2463:15 0.00523307 +4 *2349:17 *2463:15 0.00427942 +5 *2387:15 *2463:15 0.0113668 +*RES +1 *2813:pad_gpio_vtrip_sel *2463:15 44.6253 +2 *2463:15 *2822:mprj_io_vtrip_sel[23] 10.8821 +*END + +*D_NET *2464 0.0282355 +*CONN +*I *2822:mprj_io_vtrip_sel[24] I *D chip_io +*I *2814:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[24] 0.000553621 +2 *2814:pad_gpio_vtrip_sel 2.68958e-05 +3 *2464:11 0.00107881 +4 *2464:10 0.00052519 +5 *2464:8 0.000723185 +6 *2464:7 0.000750081 +7 *2822:mprj_io_out[24] *2822:mprj_io_vtrip_sel[24] 9.43525e-05 +8 *2198:17 *2822:mprj_io_vtrip_sel[24] 2.04806e-05 +9 *2236:5 *2464:8 4.46284e-06 +10 *2236:8 *2464:11 0.00561991 +11 *2274:16 *2464:11 0.000712027 +12 *2274:17 *2464:8 0.00556971 +13 *2312:8 *2464:11 0.00011581 +14 *2312:11 *2822:mprj_io_vtrip_sel[24] 0.000784924 +15 *2350:5 *2464:8 0.00561345 +16 *2350:8 *2464:11 0.00604254 +17 *2388:5 *2464:8 0 +*RES +1 *2814:pad_gpio_vtrip_sel *2464:7 3.43197 +2 *2464:7 *2464:8 89.6578 +3 *2464:8 *2464:10 4.5 +4 *2464:10 *2464:11 66.1666 +5 *2464:11 *2822:mprj_io_vtrip_sel[24] 33.6554 +*END + +*D_NET *2465 0.0214476 +*CONN +*I *2822:mprj_io_vtrip_sel[25] I *D chip_io +*I *2815:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[25] 0.000500673 +2 *2815:pad_gpio_vtrip_sel 0.000138664 +3 *2465:20 0.00113117 +4 *2465:19 0.00130057 +5 *2465:8 0.00241398 +6 *2465:7 0.00188257 +7 *2815:resetn *2465:7 0.00013393 +8 *106:43 *2822:mprj_io_vtrip_sel[25] 0 +9 *106:43 *2465:8 0 +10 *115:32 *2465:19 5.04829e-06 +11 *618:34 *2465:19 0.000155987 +12 *618:34 *2465:20 0.000533139 +13 *632:43 *2465:19 2.77564e-05 +14 *632:49 *2465:19 0.000907035 +15 *632:55 *2465:8 0 +16 *633:29 *2465:19 0.00101426 +17 *655:15 *2465:19 2.41274e-06 +18 *694:8 *2465:20 0.00410122 +19 *2047:14 *2465:20 1.2366e-05 +20 *2155:8 *2465:19 0 +21 *2155:8 *2465:20 0.000564554 +22 *2156:8 *2465:8 0.00119865 +23 *2237:8 *2465:8 0.00185328 +24 *2237:13 *2465:19 0.000290497 +25 *2237:22 *2465:20 0.000337806 +26 *2389:22 *2465:20 0.00280812 +27 *2427:7 *2465:7 0.00013393 +*RES +1 *2815:pad_gpio_vtrip_sel *2465:7 4.12039 +2 *2465:7 *2465:8 78.0308 +3 *2465:8 *2465:19 45.2409 +4 *2465:19 *2465:20 66.1961 +5 *2465:20 *2822:mprj_io_vtrip_sel[25] 20.2725 +*END + +*D_NET *2466 0.0232637 +*CONN +*I *2822:mprj_io_vtrip_sel[26] I *D chip_io +*I *2816:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[26] 0.000516017 +2 *2816:pad_gpio_vtrip_sel 0.000658755 +3 *2466:14 0.00135595 +4 *2466:13 0.0009485 +5 *2466:8 0.00183028 +6 *2466:7 0.00238047 +7 *2816:resetn *2466:7 0.000237365 +8 *2822:mprj_io_holdover[26] *2466:14 9.71323e-06 +9 *2822:mprj_io_ib_mode_sel[26] *2822:mprj_io_vtrip_sel[26] 0 +10 *102:49 *2466:8 0.000741493 +11 *116:16 *2466:14 0.00179923 +12 *617:14 *2822:mprj_io_vtrip_sel[26] 0 +13 *618:34 *2466:14 0.000854921 +14 *633:29 *2466:8 0.00060115 +15 *695:16 *2466:14 0.00108356 +16 *695:22 *2466:14 1.87125e-05 +17 *2048:14 *2466:8 7.77309e-06 +18 *2160:8 *2466:8 0.00345925 +19 *2200:20 *2466:8 5.56367e-05 +20 *2200:20 *2466:13 7.13972e-05 +21 *2200:26 *2466:14 0.00118714 +22 *2352:10 *2466:7 0 +23 *2352:12 *2466:14 0.00529152 +24 *2428:7 *2466:7 0.000154831 +*RES +1 *2816:pad_gpio_vtrip_sel *2466:7 5.5737 +2 *2466:7 *2466:8 75.5393 +3 *2466:8 *2466:13 11.3872 +4 *2466:13 *2466:14 84.8824 +5 *2466:14 *2822:mprj_io_vtrip_sel[26] 20.9664 +*END + +*D_NET *2467 0.0264753 +*CONN +*I *2822:mprj_io_vtrip_sel[27] I *D chip_io +*I *2817:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[27] 0.00040347 +2 *2817:pad_gpio_vtrip_sel 0.000597024 +3 *2467:14 0.00116214 +4 *2467:13 0.000980799 +5 *2467:8 0.0010986 +6 *2467:7 0.00147349 +7 *2817:resetn *2467:7 0.000273534 +8 *2822:mprj_io_ib_mode_sel[27] *2822:mprj_io_vtrip_sel[27] 0 +9 *2822:mprj_io_oeb[27] *2822:mprj_io_vtrip_sel[27] 3.38896e-05 +10 *102:49 *2467:8 0.000981609 +11 *618:34 *2467:14 0.000451211 +12 *633:14 *2467:14 0.00395661 +13 *657:7 *2467:7 0 +14 *657:8 *2467:8 0.000206811 +15 *657:20 *2467:14 0 +16 *696:8 *2467:8 0.00610579 +17 *1973:8 *2467:8 1.87125e-05 +18 *2201:12 *2467:14 0.00302552 +19 *2239:17 *2467:13 5.04829e-06 +20 *2315:8 *2467:8 0.0053295 +21 *2353:7 *2467:7 0 +22 *2353:13 *2467:13 5.04829e-06 +23 *2391:19 *2467:13 5.20546e-06 +24 *2391:22 *2467:8 0.000107729 +25 *2391:26 *2467:14 0 +26 *2429:7 *2467:7 0.000253605 +*RES +1 *2817:pad_gpio_vtrip_sel *2467:7 5.5737 +2 *2467:7 *2467:8 97.9629 +3 *2467:8 *2467:13 13.051 +4 *2467:13 *2467:14 63.7046 +5 *2467:14 *2822:mprj_io_vtrip_sel[27] 18.6614 +*END + +*D_NET *2468 0.0239566 +*CONN +*I *2822:mprj_io_vtrip_sel[28] I *D chip_io +*I *2818:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[28] 0.000572216 +2 *2818:pad_gpio_vtrip_sel 0.000721089 +3 *2468:24 0.00143886 +4 *2468:23 0.00177034 +5 *2468:12 0.00151281 +6 *2468:10 0.00133021 +7 *2468:23 *2603:20 8.90415e-05 +8 *2818:resetn *2468:10 2.11882e-05 +9 *2822:mprj_io_dm[85] *2468:23 5.04829e-06 +10 *2822:mprj_io_holdover[28] *2468:24 0.00049525 +11 *2822:mprj_io_ib_mode_sel[28] *2822:mprj_io_vtrip_sel[28] 0 +12 *102:49 *2468:23 0.000228554 +13 *618:34 *2468:10 0.000100246 +14 *618:34 *2468:12 0.000537097 +15 *634:73 *2468:10 0 +16 *634:74 *2468:12 0.00408386 +17 *642:52 *2468:24 0.00054386 +18 *679:10 *2468:10 0.000346771 +19 *679:10 *2468:12 0.00420874 +20 *2050:37 *2468:23 5.04829e-06 +21 *2165:20 *2468:23 0.000137072 +22 *2166:18 *2468:23 0.00101349 +23 *2166:22 *2468:23 0.00018059 +24 *2166:22 *2468:24 0.00232164 +25 *2202:23 *2468:23 2.66942e-05 +26 *2202:26 *2468:24 0.00160796 +27 *2354:13 *2468:10 0.000139389 +28 *2354:13 *2468:12 0 +29 *2354:14 *2468:24 0.000316724 +30 *2430:7 *2468:10 0.000202772 +*RES +1 *2818:pad_gpio_vtrip_sel *2468:10 17.447 +2 *2468:10 *2468:12 67.7716 +3 *2468:12 *2468:23 49.5243 +4 *2468:23 *2468:24 57.0605 +5 *2468:24 *2822:mprj_io_vtrip_sel[28] 22.215 +*END + +*D_NET *2469 0.0237413 +*CONN +*I *2822:mprj_io_vtrip_sel[29] I *D chip_io +*I *2804:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[29] 0.000506384 +2 *2804:pad_gpio_vtrip_sel 0.000300343 +3 *2469:16 0.00178647 +4 *2469:15 0.00146666 +5 *2469:10 0.000887146 +6 *2469:9 0.00100092 +7 *2804:resetn *2469:9 0.00011515 +8 *2822:mprj_io_ib_mode_sel[29] *2822:mprj_io_vtrip_sel[29] 0 +9 *101:19 *2469:15 2.35405e-05 +10 *106:37 *2469:10 0.000237222 +11 *617:70 *2469:10 0.000151697 +12 *618:34 *2469:16 0.00103476 +13 *641:10 *2469:10 1.59078e-05 +14 *641:12 *2822:mprj_io_vtrip_sel[29] 0 +15 *641:12 *2469:10 0.000644508 +16 *680:8 *2469:16 0 +17 *2168:10 *2469:10 0.00210041 +18 *2169:13 *2469:15 0.000111708 +19 *2169:16 *2469:16 0.00147037 +20 *2203:31 *2469:15 5.04829e-06 +21 *2355:10 *2469:16 0.00641149 +22 *2393:10 *2469:10 0.00301896 +23 *2393:15 *2469:15 8.79845e-05 +24 *2431:9 *2469:9 0.000178321 +25 *2431:10 *2469:16 0.00218633 +*RES +1 *2804:pad_gpio_vtrip_sel *2469:9 4.76224 +2 *2469:9 *2469:10 57.2682 +3 *2469:10 *2469:15 13.6056 +4 *2469:15 *2469:16 102.738 +5 *2469:16 *2822:mprj_io_vtrip_sel[29] 21.3817 +*END + +*D_NET *2470 0.0132059 +*CONN +*I *2822:mprj_io_vtrip_sel[2] I *D chip_io +*I *2797:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[2] 0.00052013 +2 *2797:pad_gpio_vtrip_sel 0.000562612 +3 *2470:14 0.00160079 +4 *2470:13 0.00164327 +5 *2797:resetn *2470:13 3.84497e-05 +6 *2822:mprj_io_ib_mode_sel[2] *2822:mprj_io_vtrip_sel[2] 0 +7 *110:52 *2822:mprj_io_vtrip_sel[2] 0 +8 *650:56 *2470:14 0 +9 *669:29 *2822:mprj_io_vtrip_sel[2] 0 +10 *669:30 *2470:13 5.35541e-05 +11 *1737:20 *2470:14 0 +12 *2052:14 *2470:14 0.00353922 +13 *2170:14 *2470:14 0.000296254 +14 *2204:14 *2470:14 0.00412813 +15 *2318:14 *2470:14 0 +16 *2356:13 *2470:13 6.11359e-06 +17 *2356:14 *2470:14 0.000173913 +18 *2394:14 *2470:14 0.000231356 +19 *2432:13 *2470:13 0.000412166 +20 *2432:14 *2470:14 0 +*RES +1 *2797:pad_gpio_vtrip_sel *2470:13 28.9316 +2 *2470:13 *2470:14 84.0519 +3 *2470:14 *2822:mprj_io_vtrip_sel[2] 20.5128 +*END + +*D_NET *2471 0.0202225 +*CONN +*I *2822:mprj_io_vtrip_sel[30] I *D chip_io +*I *2805:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[30] 0.000602716 +2 *2805:pad_gpio_vtrip_sel 0.00111409 +3 *2471:14 0.00338841 +4 *2471:13 0.00389978 +5 *2471:14 *2605:11 0.000413964 +6 *2822:mprj_io_ib_mode_sel[30] *2822:mprj_io_vtrip_sel[30] 0 +7 *102:14 *2471:13 1.49176e-05 +8 *102:25 *2471:14 0.000628648 +9 *618:8 *2471:13 0.00180614 +10 *642:5 *2471:13 0 +11 *642:51 *2471:13 0 +12 *2173:14 *2471:13 0.000308333 +13 *2205:8 *2471:14 1.69327e-05 +14 *2205:12 *2471:14 0.00399504 +15 *2243:14 *2471:14 0 +16 *2357:7 *2471:13 0 +17 *2357:8 *2471:13 0 +18 *2357:8 *2471:14 0.00320897 +19 *2357:20 *2822:mprj_io_vtrip_sel[30] 0 +20 *2395:8 *2471:13 0.00049962 +21 *2395:14 *2471:14 0.000109332 +22 *2433:7 *2471:13 0.000215594 +*RES +1 *2805:pad_gpio_vtrip_sel *2471:13 47.5356 +2 *2471:13 *2471:14 131.806 +3 *2471:14 *2822:mprj_io_vtrip_sel[30] 23.3242 +*END + +*D_NET *2472 0.0207177 +*CONN +*I *2822:mprj_io_vtrip_sel[31] I *D chip_io +*I *2806:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[31] 0.000314034 +2 *2806:pad_gpio_vtrip_sel 0.000496204 +3 *2472:8 0.00318761 +4 *2472:7 0.00336978 +5 *2822:mprj_io_vtrip_sel[31] *2720:14 0 +6 *2472:8 *2606:13 0.00129808 +7 *2822:mprj_io_ib_mode_sel[31] *2822:mprj_io_vtrip_sel[31] 0.000720481 +8 *2822:mprj_io_oeb[31] *2822:mprj_io_vtrip_sel[31] 0 +9 *643:13 *2472:7 0 +10 *643:14 *2472:8 0.000794755 +11 *682:8 *2472:8 0.00139111 +12 *2016:16 *2472:8 0.0019648 +13 *2054:16 *2472:8 0.00567444 +14 *2244:8 *2472:8 0.0013191 +15 *2320:8 *2472:8 0 +16 *2358:7 *2472:7 0 +17 *2358:8 *2472:8 0 +18 *2396:7 *2472:7 0 +19 *2396:8 *2472:8 0 +20 *2434:7 *2472:7 0.000187259 +21 *2434:8 *2472:8 0 +*RES +1 *2806:pad_gpio_vtrip_sel *2472:7 5.19125 +2 *2472:7 *2472:8 163.988 +3 *2472:8 *2822:mprj_io_vtrip_sel[31] 19.7207 +*END + +*D_NET *2473 0.0182718 +*CONN +*I *2822:mprj_io_vtrip_sel[32] I *D chip_io +*I *2807:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[32] 0.000267822 +2 *2807:pad_gpio_vtrip_sel 0.000123352 +3 *2473:14 0.00174556 +4 *2473:13 0.00170028 +5 *2473:8 0.00246144 +6 *2473:7 0.00236225 +7 *2807:resetn *2473:7 5.27207e-05 +8 *2822:mprj_io_analog_pol[32] *2473:14 0 +9 *2822:mprj_io_analog_sel[32] *2473:14 0 +10 *2822:mprj_io_dm[96] *2473:14 0 +11 *2822:mprj_io_dm[97] *2473:8 0.000411609 +12 *2822:mprj_io_dm[98] *2473:14 0 +13 *2822:mprj_io_holdover[32] *2473:14 0 +14 *2822:mprj_io_ib_mode_sel[32] *2822:mprj_io_vtrip_sel[32] 0 +15 *2822:mprj_io_out[32] *2473:14 0 +16 *106:31 *2473:8 0 +17 *619:20 *2473:14 0.00090309 +18 *620:23 *2473:14 0.00123208 +19 *644:21 *2473:8 0 +20 *1979:8 *2473:8 0 +21 *2017:8 *2473:14 0.00262403 +22 *2177:21 *2473:14 0.00236357 +23 *2283:11 *2473:8 0.00191494 +24 *2435:7 *2473:7 0.000109066 +*RES +1 *2807:pad_gpio_vtrip_sel *2473:7 3.9674 +2 *2473:7 *2473:8 78.0308 +3 *2473:8 *2473:13 14.1602 +4 *2473:13 *2473:14 83.2214 +5 *2473:14 *2822:mprj_io_vtrip_sel[32] 16.1144 +*END + +*D_NET *2474 0.0257193 +*CONN +*I *2822:mprj_io_vtrip_sel[33] I *D chip_io +*I *2808:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[33] 0.00042547 +2 *2808:pad_gpio_vtrip_sel 0.000499686 +3 *2474:8 0.0019623 +4 *2474:7 0.00203652 +5 *2822:mprj_io_ib_mode_sel[33] *2822:mprj_io_vtrip_sel[33] 0 +6 *105:36 *2474:7 1.498e-05 +7 *645:8 *2474:7 0 +8 *645:15 *2474:8 0.00149094 +9 *645:36 *2474:7 3.76933e-05 +10 *684:16 *2474:8 0.00999716 +11 *2246:8 *2474:8 0.00905951 +12 *2398:14 *2474:8 0 +13 *2436:7 *2474:7 0.000195024 +14 *2436:8 *2474:8 0 +*RES +1 *2808:pad_gpio_vtrip_sel *2474:7 5.03827 +2 *2474:7 *2474:8 160.251 +3 *2474:8 *2822:mprj_io_vtrip_sel[33] 19.8572 +*END + +*D_NET *2475 0.0240544 +*CONN +*I *2822:mprj_io_vtrip_sel[34] I *D chip_io +*I *2809:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[34] 0.000110687 +2 *2809:pad_gpio_vtrip_sel 9.37441e-05 +3 *2475:27 0.00303456 +4 *2475:10 0.00455898 +5 *2475:9 0.00172885 +6 *2822:mprj_io_oeb[34] *2475:27 0.00616256 +7 *106:13 *2475:27 0.00617065 +8 *2437:9 *2475:9 2.56241e-05 +9 *2437:10 *2475:10 0.00191063 +10 *2437:18 *2475:27 0.000258157 +*RES +1 *2809:pad_gpio_vtrip_sel *2475:9 3.708 +2 *2475:9 *2475:10 64.3275 +3 *2475:10 *2475:27 47.5725 +4 *2475:27 *2822:mprj_io_vtrip_sel[34] 2.99226 +*END + +*D_NET *2476 0.0265786 +*CONN +*I *2822:mprj_io_vtrip_sel[35] I *D chip_io +*I *2783:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[35] 0.0021464 +2 *2783:pad_gpio_vtrip_sel 0.0021464 +3 *2783:resetn *2822:mprj_io_vtrip_sel[35] 5.00688e-05 +4 *2784:serial_clock *2822:mprj_io_vtrip_sel[35] 0.0109761 +5 *2822:mprj_io_oeb[35] *2822:mprj_io_vtrip_sel[35] 0.0108903 +6 *2822:mprj_io_out[35] *2822:mprj_io_vtrip_sel[35] 7.82743e-05 +7 *619:20 *2822:mprj_io_vtrip_sel[35] 0.000173176 +8 *647:31 *2822:mprj_io_vtrip_sel[35] 0 +9 *2248:8 *2822:mprj_io_vtrip_sel[35] 0 +10 *2438:7 *2822:mprj_io_vtrip_sel[35] 0.000117991 +*RES +1 *2783:pad_gpio_vtrip_sel *2822:mprj_io_vtrip_sel[35] 30.1315 +*END + +*D_NET *2477 0.0228707 +*CONN +*I *2822:mprj_io_vtrip_sel[36] I *D chip_io +*I *2784:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[36] 0.000404436 +2 *2784:pad_gpio_vtrip_sel 0.000316142 +3 *2477:16 0.00153054 +4 *2477:15 0.00123916 +5 *2477:8 0.00107059 +6 *2477:7 0.00127367 +7 *2784:resetn *2477:7 1.74395e-05 +8 *2784:serial_clock *2477:7 0 +9 *108:38 *2477:8 0 +10 *648:32 *2477:8 0 +11 *687:16 *2477:8 0 +12 *687:16 *2477:16 0.00689408 +13 *1733:8 *2477:8 0.000276022 +14 *1745:13 *2477:16 0.00100413 +15 *2059:15 *2477:15 2.16355e-05 +16 *2077:10 *2477:8 0.00150672 +17 *2080:15 *2477:15 3.26421e-05 +18 *2325:10 *2477:8 0.00114918 +19 *2325:10 *2477:16 6.34651e-06 +20 *2325:12 *2477:16 0.00462522 +21 *2401:15 *2477:8 0.000492533 +22 *2401:18 *2477:16 0.000784944 +23 *2439:7 *2477:7 0.000225243 +*RES +1 *2784:pad_gpio_vtrip_sel *2477:7 4.65582 +2 *2477:7 *2477:8 49.3784 +3 *2477:8 *2477:15 11.9659 +4 *2477:15 *2477:16 110.213 +5 *2477:16 *2822:mprj_io_vtrip_sel[36] 19.7179 +*END + +*D_NET *2478 0.0229799 +*CONN +*I *2822:mprj_io_vtrip_sel[37] I *D chip_io +*I *2785:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[37] 0.000537902 +2 *2785:pad_gpio_vtrip_sel 0.00112004 +3 *2478:20 0.00366392 +4 *2478:18 0.0040731 +5 *2478:13 0.0025983 +6 *2478:12 0.00165121 +7 *2478:10 0.00112004 +8 *2785:resetn_out *2478:10 0 +9 *2440:10 *2478:10 0.00262992 +10 *2440:13 *2478:13 0.00558543 +*RES +1 *2785:pad_gpio_vtrip_sel *2478:10 48.5186 +2 *2478:10 *2478:12 4.5 +3 *2478:12 *2478:13 67.2758 +4 *2478:13 *2478:18 31.357 +5 *2478:18 *2478:20 88.8273 +6 *2478:20 *2822:mprj_io_vtrip_sel[37] 23.5624 +*END + +*D_NET *2479 0.0139162 +*CONN +*I *2822:mprj_io_vtrip_sel[3] I *D chip_io +*I *2798:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[3] 0.000446548 +2 *2798:pad_gpio_vtrip_sel 0.000664456 +3 *2479:14 0.00132956 +4 *2479:13 0.00154747 +5 *2798:resetn *2479:13 3.84497e-05 +6 *627:28 *2479:13 0.000107108 +7 *670:14 *2479:14 0 +8 *2061:16 *2822:mprj_io_vtrip_sel[3] 0 +9 *2289:15 *2479:14 0.00466997 +10 *2327:16 *2479:14 0 +11 *2365:8 *2479:13 5.39868e-05 +12 *2403:14 *2479:14 0.00482118 +13 *2441:15 *2479:13 0.000237476 +14 *2441:16 *2479:14 0 +*RES +1 *2798:pad_gpio_vtrip_sel *2479:13 30.8685 +2 *2479:13 *2479:14 82.3909 +3 *2479:14 *2822:mprj_io_vtrip_sel[3] 18.748 +*END + +*D_NET *2480 0.0100087 +*CONN +*I *2822:mprj_io_vtrip_sel[4] I *D chip_io +*I *2799:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[4] 0.00039878 +2 *2799:pad_gpio_vtrip_sel 0.000493 +3 *2480:14 0.00222955 +4 *2480:13 0.00232377 +5 *2799:resetn *2480:13 3.84497e-05 +6 *2822:mprj_io_ib_mode_sel[4] *2822:mprj_io_vtrip_sel[4] 0 +7 *630:29 *2480:14 0.000852576 +8 *671:14 *2822:mprj_io_vtrip_sel[4] 0 +9 *671:14 *2480:14 0 +10 *2024:16 *2480:14 0.00171041 +11 *2328:16 *2480:14 0.000339969 +12 *2404:14 *2480:14 0.00158375 +13 *2442:13 *2480:13 3.84497e-05 +14 *2442:14 *2480:14 0 +*RES +1 *2799:pad_gpio_vtrip_sel *2480:13 24.2244 +2 *2480:13 *2480:14 89.4502 +3 *2480:14 *2822:mprj_io_vtrip_sel[4] 18.3328 +*END + +*D_NET *2481 0.0144578 +*CONN +*I *2822:mprj_io_vtrip_sel[5] I *D chip_io +*I *2800:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[5] 0.000467032 +2 *2800:pad_gpio_vtrip_sel 0.000602162 +3 *2481:14 0.00146386 +4 *2481:13 0.00159899 +5 *2800:resetn *2481:13 3.84497e-05 +6 *2822:mprj_io_slow_sel[5] *2481:13 3.36517e-05 +7 *655:45 *2481:14 0.000758727 +8 *672:14 *2481:14 0 +9 *2063:14 *2481:14 0.000263095 +10 *2090:14 *2481:14 0.0042323 +11 *2329:14 *2481:14 0 +12 *2367:13 *2481:13 0.000305015 +13 *2367:14 *2481:14 0.00469456 +*RES +1 *2800:pad_gpio_vtrip_sel *2481:13 30.0408 +2 *2481:13 *2481:14 85.2977 +3 *2481:14 *2822:mprj_io_vtrip_sel[5] 20.5512 +*END + +*D_NET *2482 0.0135388 +*CONN +*I *2822:mprj_io_vtrip_sel[6] I *D chip_io +*I *2801:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[6] 0.000382509 +2 *2801:pad_gpio_vtrip_sel 0.000604492 +3 *2482:14 0.00129503 +4 *2482:13 0.00151701 +5 *2801:resetn *2482:13 3.84497e-05 +6 *2822:mprj_io_ib_mode_sel[6] *2822:mprj_io_vtrip_sel[6] 0 +7 *114:60 *2482:13 0.000107108 +8 *630:47 *2482:14 0 +9 *654:59 *2482:14 0.00504902 +10 *655:45 *2482:14 0.000642242 +11 *673:14 *2482:14 0.00211687 +12 *2064:14 *2822:mprj_io_vtrip_sel[6] 0.000216644 +13 *2368:8 *2482:13 5.39868e-05 +14 *2406:14 *2482:14 0.00127793 +15 *2444:15 *2482:13 0.000237476 +*RES +1 *2801:pad_gpio_vtrip_sel *2482:13 29.2047 +2 *2482:13 *2482:14 81.1452 +3 *2482:14 *2822:mprj_io_vtrip_sel[6] 18.3189 +*END + +*D_NET *2483 0.0604202 +*CONN +*I *2822:mprj_io_vtrip_sel[7] I *D chip_io +*I *2802:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[7] 0.000233881 +2 *2802:pad_gpio_vtrip_sel 0.000369967 +3 *2483:8 0.00484738 +4 *2483:7 0.00498347 +5 *2802:resetn *2483:7 3.46219e-05 +6 *2802:resetn_out *2483:7 0 +7 *2822:mprj_io_slow_sel[7] *2483:8 0.000107678 +8 *676:14 *2822:mprj_io_vtrip_sel[7] 0 +9 *2218:10 *2483:8 0.000383951 +10 *2255:8 *2483:8 0.00551226 +11 *2369:7 *2483:7 0 +12 *2369:8 *2483:8 0.0311294 +13 *2370:10 *2483:8 0.0127686 +14 *2445:11 *2483:7 4.88928e-05 +*RES +1 *2802:pad_gpio_vtrip_sel *2483:7 1.31946 +2 *2483:7 *2483:8 61.8888 +3 *2483:8 *2822:mprj_io_vtrip_sel[7] 6.64003 +*END + +*D_NET *2484 0.0758696 +*CONN +*I *2822:mprj_io_vtrip_sel[8] I *D chip_io +*I *2786:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[8] 0.000527766 +2 *2786:pad_gpio_vtrip_sel 0.000931387 +3 *2484:10 0.00370088 +4 *2484:9 0.0041045 +5 *2786:resetn *2484:9 0.000153859 +6 *2786:serial_clock *2484:9 0 +7 *2822:mprj_io_ib_mode_sel[8] *2822:mprj_io_vtrip_sel[8] 0 +8 *2099:14 *2484:10 0.00379048 +9 *2256:10 *2484:10 0.0316658 +10 *2370:9 *2484:9 0 +11 *2371:8 *2484:10 7.13061e-05 +12 *2408:9 *2484:9 0 +13 *2408:10 *2484:10 0.0309001 +14 *2409:30 *2822:mprj_io_vtrip_sel[8] 0 +15 *2446:13 *2484:9 2.35723e-05 +*RES +1 *2786:pad_gpio_vtrip_sel *2484:9 2.45684 +2 *2484:9 *2484:10 62.8033 +3 *2484:10 *2822:mprj_io_vtrip_sel[8] 7.06617 +*END + +*D_NET *2485 0.060106 +*CONN +*I *2822:mprj_io_vtrip_sel[9] I *D chip_io +*I *2788:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2822:mprj_io_vtrip_sel[9] 0.000226625 +2 *2788:pad_gpio_vtrip_sel 0.000542802 +3 *2485:8 0.00566128 +4 *2485:7 0.00597746 +5 *2788:resetn *2485:7 3.84497e-05 +6 *2788:resetn_out *2485:7 0 +7 *2257:8 *2485:8 0.00052442 +8 *2370:10 *2485:8 0.0061574 +9 *2371:7 *2485:7 0 +10 *2371:8 *2485:8 0.0316131 +11 *2373:8 *2485:8 0.00333865 +12 *2447:13 *2485:7 3.84497e-05 +13 *2449:16 *2485:8 0.00598739 +*RES +1 *2788:pad_gpio_vtrip_sel *2485:7 1.47244 +2 *2485:7 *2485:8 63.1462 +3 *2485:8 *2822:mprj_io_vtrip_sel[9] 5.47076 +*END + +*D_NET *2486 0.162174 +*CONN +*I *2821:wb_rst_i I *D user_project_wrapper +*I *2820:user_reset O *D mgmt_protect +*CAP +1 *2821:wb_rst_i 0.0012847 +2 *2820:user_reset 0.00231486 +3 *2486:10 0.00677431 +4 *2486:9 0.00548961 +5 *2486:7 0.00231486 +6 *2486:10 *2496:8 0.00465344 +7 *2820:mprj_ack_i_user *2486:7 0 +8 *1161:7 *2486:7 1.75682e-05 +9 *1795:19 *2486:10 0.000160617 +10 *1803:10 *2486:10 1.15389e-05 +11 *1821:7 *2486:7 0 +12 *1821:10 *2486:10 0.0717354 +13 *1824:14 *2486:10 0.000223253 +14 *1857:8 *2486:10 0.0671939 +*RES +1 *2820:user_reset *2486:7 48.2425 +2 *2486:7 *2486:9 4.5 +3 *2486:9 *2486:10 756.648 +4 *2486:10 *2821:wb_rst_i 40.5048 +*END + +*D_NET *2487 0.311502 +*CONN +*I *2819:wb_sel_i[0] I *D housekeeping +*I *2820:mprj_sel_o_core[0] I *D mgmt_protect +*I *2826:mprj_sel_o[0] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_sel_i[0] 0.00123357 +2 *2820:mprj_sel_o_core[0] 0.00132883 +3 *2826:mprj_sel_o[0] 0.000568107 +4 *2487:33 0.00692729 +5 *2487:31 0.00617198 +6 *2487:16 0.0228302 +7 *2487:15 0.0217059 +8 *2487:10 0.00182035 +9 *2487:9 0.0016158 +10 *2487:7 0.00252668 +11 *2487:5 0.00261652 +12 *2819:wb_sel_i[0] *2819:wb_sel_i[1] 0 +13 *2487:33 *2488:27 0.0822282 +14 *2487:33 *2499:27 0.0841401 +15 *2820:mprj_adr_o_core[1] *2820:mprj_sel_o_core[0] 0 +16 *2820:mprj_dat_o_core[0] *2820:mprj_sel_o_core[0] 0 +17 *807:14 *2487:10 5.04829e-06 +18 *1319:7 *2487:15 0 +19 *1435:16 *2487:16 0.000417432 +20 *1437:16 *2487:16 0.000519812 +21 *1440:16 *2487:16 0.000383767 +22 *1444:16 *2487:16 0.00142648 +23 *1759:24 *2487:16 0 +24 *1760:24 *2487:10 0.000702377 +25 *1768:7 *2487:7 0 +26 *1768:7 *2487:31 0 +27 *1768:23 *2487:7 0 +28 *1771:30 *2487:16 0.00134263 +29 *1775:30 *2487:16 0.00154408 +30 *1777:30 *2487:16 0.0019425 +31 *1779:28 *2487:10 0.000991103 +32 *1889:9 *2487:7 0 +33 *1889:27 *2487:7 0 +34 *1889:27 *2487:31 0 +35 *1900:10 *2487:16 0.066513 +36 *1913:32 *2819:wb_sel_i[0] 0 +*RES +1 *2826:mprj_sel_o[0] *2487:5 2.05183 +2 *2487:5 *2487:7 53.9461 +3 *2487:7 *2487:9 4.5 +4 *2487:9 *2487:10 55.6292 +5 *2487:10 *2487:15 13.2304 +6 *2487:15 *2487:16 85.6424 +7 *2487:16 *2820:mprj_sel_o_core[0] 31.6914 +8 *2826:mprj_sel_o[0] *2487:31 16.2983 +9 *2487:31 *2487:33 883.098 +10 *2487:33 *2819:wb_sel_i[0] 38.4652 +*END + +*D_NET *2488 0.338708 +*CONN +*I *2819:wb_sel_i[1] I *D housekeeping +*I *2820:mprj_sel_o_core[1] I *D mgmt_protect +*I *2826:mprj_sel_o[1] O *D mgmt_core_wrapper +*CAP +1 *2819:wb_sel_i[1] 0.00125058 +2 *2820:mprj_sel_o_core[1] 0.00245331 +3 *2826:mprj_sel_o[1] 0.00218342 +4 *2488:27 0.0078912 +5 *2488:25 0.00705855 +6 *2488:12 0.00245331 +7 *2488:10 0.00555626 +8 *2488:9 0.00732176 +9 *2819:wb_sel_i[1] *2489:13 0 +10 *2488:27 *2499:27 0.000137345 +11 *2819:wb_sel_i[0] *2819:wb_sel_i[1] 0 +12 *2820:mprj_adr_o_core[2] *2820:mprj_sel_o_core[1] 0 +13 *2820:mprj_dat_o_core[1] *2820:mprj_sel_o_core[1] 0 +14 *901:11 *2820:mprj_sel_o_core[1] 0 +15 *1036:15 *2820:mprj_sel_o_core[1] 0 +16 *1541:12 *2488:10 0.000294091 +17 *1758:16 *2488:10 0 +18 *1779:11 *2488:25 0 +19 *1840:8 *2488:10 0.00010238 +20 *1889:10 *2488:10 0.0716646 +21 *1889:27 *2488:25 0.000277007 +22 *1889:29 *2488:27 0.0737779 +23 *1894:27 *2488:27 0 +24 *1896:28 *2488:27 0 +25 *1898:27 *2488:27 0 +26 *1900:7 *2488:9 0 +27 *1900:31 *2488:9 5.22654e-06 +28 *1900:31 *2488:25 0 +29 *1900:35 *2488:27 0.000137345 +30 *1902:31 *2488:27 0 +31 *1911:16 *2488:10 0.0736658 +32 *1914:29 *2488:27 0.000128915 +33 *1916:29 *2488:27 8.8758e-05 +34 *1918:27 *2488:27 3.18408e-05 +35 *1920:29 *2488:27 0 +36 *2487:33 *2488:27 0.0822282 +*RES +1 *2826:mprj_sel_o[1] *2488:9 42.1338 +2 *2488:9 *2488:10 94.8977 +3 *2488:10 *2488:12 3.36879 +4 *2488:12 *2820:mprj_sel_o_core[1] 50.7463 +5 *2826:mprj_sel_o[1] *2488:25 15.8831 +6 *2488:25 *2488:27 866.46 +7 *2488:27 *2819:wb_sel_i[1] 38.8804 +*END + +*D_NET *2489 0.333664 +*CONN +*I *2820:mprj_sel_o_core[2] I *D mgmt_protect +*I *2819:wb_sel_i[2] I *D housekeeping +*I *2826:mprj_sel_o[2] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_sel_o_core[2] 0.000755999 +2 *2819:wb_sel_i[2] 1.28869e-05 +3 *2826:mprj_sel_o[2] 0.000958579 +4 *2489:24 0.0235396 +5 *2489:23 0.0227836 +6 *2489:21 0.00245929 +7 *2489:13 0.00248729 +8 *2489:12 0.0024744 +9 *2489:10 0.0295711 +10 *2489:9 0.0295711 +11 *2489:7 0.00341786 +12 *2489:10 *2490:10 0.08959 +13 *2489:13 *2490:13 0 +14 *2819:wb_sel_i[1] *2489:13 0 +15 *2820:mprj_adr_o_core[3] *2820:mprj_sel_o_core[2] 0 +16 *2820:mprj_dat_o_core[2] *2820:mprj_sel_o_core[2] 0 +17 *1316:10 *2489:24 0.00310762 +18 *1319:10 *2489:24 0.00368628 +19 *1446:10 *2489:24 0.0036047 +20 *1745:10 *2489:10 0 +21 *1755:8 *2489:24 0.00399976 +22 *1757:24 *2489:24 0.00474266 +23 *1763:24 *2489:24 0.00578719 +24 *1770:10 *2489:10 0.00579562 +25 *1774:25 *2489:10 0.0032924 +26 *1778:27 *2489:10 0.00307189 +27 *1787:30 *2489:24 0.00367126 +28 *1788:10 *2489:10 0.000224455 +29 *1826:14 *2489:10 0.000709571 +30 *1828:14 *2489:10 0.000459555 +31 *1829:8 *2489:24 0.00469432 +32 *1830:16 *2489:10 0.000632809 +33 *1890:25 *2489:10 0.00393918 +34 *1911:9 *2489:7 0 +35 *1911:9 *2489:21 0 +36 *1911:25 *2489:10 0.00110192 +37 *1916:10 *2489:24 0.0735209 +*RES +1 *2826:mprj_sel_o[2] *2489:7 23.8159 +2 *2489:7 *2489:9 3.36879 +3 *2489:9 *2489:10 117.462 +4 *2489:10 *2489:12 3.36879 +5 *2489:12 *2489:13 65.7808 +6 *2489:13 *2819:wb_sel_i[2] 0.366399 +7 *2489:7 *2489:21 49.1707 +8 *2489:21 *2489:23 3.36879 +9 *2489:23 *2489:24 96.504 +10 *2489:24 *2820:mprj_sel_o_core[2] 20.0644 +*END + +*D_NET *2490 0.387115 +*CONN +*I *2820:mprj_sel_o_core[3] I *D mgmt_protect +*I *2819:wb_sel_i[3] I *D housekeeping +*I *2826:mprj_sel_o[3] O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_sel_o_core[3] 0.00257966 +2 *2819:wb_sel_i[3] 1.28869e-05 +3 *2826:mprj_sel_o[3] 0.00101485 +4 *2490:26 0.00257966 +5 *2490:24 0.00943179 +6 *2490:23 0.0101142 +7 *2490:13 0.00251681 +8 *2490:12 0.00250392 +9 *2490:10 0.00941988 +10 *2490:9 0.00941988 +11 *2490:7 0.00169721 +12 *2490:13 *2819:wb_we_i 0 +13 *2820:mprj_adr_o_core[4] *2820:mprj_sel_o_core[3] 0 +14 *2820:mprj_dat_o_core[3] *2820:mprj_sel_o_core[3] 0 +15 *1158:12 *2490:24 0.00181411 +16 *1290:12 *2490:24 5.96957e-05 +17 *1758:16 *2490:24 0 +18 *1780:25 *2490:10 0.00307189 +19 *1782:24 *2490:23 0 +20 *1783:7 *2490:7 0 +21 *1783:7 *2490:23 0 +22 *1832:8 *2490:24 0.00386655 +23 *1851:5 *2820:mprj_sel_o_core[3] 0 +24 *1891:10 *2490:10 0.000104965 +25 *1892:10 *2490:10 0 +26 *1893:10 *2490:10 0.003693 +27 *1898:10 *2490:24 0.00441048 +28 *1911:16 *2490:24 0.0733768 +29 *1911:25 *2490:10 0.0798902 +30 *1917:10 *2490:10 0.000499585 +31 *1917:24 *2490:24 0.075337 +32 *1919:29 *2490:10 0.000110017 +33 *2489:10 *2490:10 0.08959 +34 *2489:13 *2490:13 0 +*RES +1 *2826:mprj_sel_o[3] *2490:7 24.6464 +2 *2490:7 *2490:9 3.36879 +3 *2490:9 *2490:10 114.479 +4 *2490:10 *2490:12 3.36879 +5 *2490:12 *2490:13 66.6113 +6 *2490:13 *2819:wb_sel_i[3] 0.366399 +7 *2490:7 *2490:23 15.9973 +8 *2490:23 *2490:24 98.7222 +9 *2490:24 *2490:26 3.36879 +10 *2490:26 *2820:mprj_sel_o_core[3] 52.4073 +*END + +*D_NET *2491 0.185946 +*CONN +*I *2821:wbs_sel_i[0] I *D user_project_wrapper +*I *2820:mprj_sel_o_user[0] O *D mgmt_protect +*CAP +1 *2821:wbs_sel_i[0] 0.00129463 +2 *2820:mprj_sel_o_user[0] 0.00223407 +3 *2491:8 0.0111969 +4 *2491:7 0.0121363 +5 *2491:8 *2496:8 0.0770795 +6 *2821:la_oenb[1] *2491:7 0 +7 *1200:5 *2491:7 0 +8 *1800:7 *2491:7 0 +9 *1857:8 *2491:8 0.0043898 +10 *1858:8 *2491:8 0.000588182 +11 *1882:8 *2491:8 0.00312813 +12 *1921:7 *2491:7 0 +13 *1943:10 *2491:8 0.0738982 +*RES +1 *2820:mprj_sel_o_user[0] *2491:7 49.9625 +2 *2491:7 *2491:8 99.7166 +3 *2491:8 *2821:wbs_sel_i[0] 39.166 +*END + +*D_NET *2492 0.157192 +*CONN +*I *2821:wbs_sel_i[1] I *D user_project_wrapper +*I *2820:mprj_sel_o_user[1] O *D mgmt_protect +*CAP +1 *2821:wbs_sel_i[1] 0.00188112 +2 *2820:mprj_sel_o_user[1] 0.00131449 +3 *2492:10 0.00188112 +4 *2492:8 0.00419239 +5 *2492:7 0.00550688 +6 *1584:14 *2492:8 0.000589689 +7 *1811:7 *2492:7 0 +8 *1811:8 *2492:8 0.0666042 +9 *1816:8 *2492:8 0.00029642 +10 *1868:8 *2492:8 0.00028978 +11 *1879:8 *2492:8 0.00849869 +12 *1921:8 *2492:8 0.0659734 +13 *1932:7 *2492:7 0 +14 *1932:8 *2492:8 0.000163504 +*RES +1 *2820:mprj_sel_o_user[1] *2492:7 35.5218 +2 *2492:7 *2492:8 704.515 +3 *2492:8 *2492:10 4.5 +4 *2492:10 *2821:wbs_sel_i[1] 51.3691 +*END + +*D_NET *2493 0.175626 +*CONN +*I *2821:wbs_sel_i[2] I *D user_project_wrapper +*I *2820:mprj_sel_o_user[2] O *D mgmt_protect +*CAP +1 *2821:wbs_sel_i[2] 0.00122767 +2 *2820:mprj_sel_o_user[2] 0.0022745 +3 *2493:8 0.0109066 +4 *2493:7 0.00967898 +5 *2493:5 0.0022745 +6 *2821:la_oenb[2] *2493:5 0 +7 *1211:7 *2493:5 0 +8 *1814:7 *2493:5 0 +9 *1815:8 *2493:8 0.0707989 +10 *1824:14 *2493:8 0.00107117 +11 *1858:8 *2493:8 9.84894e-05 +12 *1923:14 *2493:8 0.00174065 +13 *1925:8 *2493:8 0.00142649 +14 *1943:7 *2493:5 0 +15 *1943:10 *2493:8 0.0741277 +*RES +1 *2820:mprj_sel_o_user[2] *2493:5 48.2547 +2 *2493:5 *2493:7 3.36879 +3 *2493:7 *2493:8 94.8212 +4 *2493:8 *2821:wbs_sel_i[2] 37.505 +*END + +*D_NET *2494 0.175319 +*CONN +*I *2821:wbs_sel_i[3] I *D user_project_wrapper +*I *2820:mprj_sel_o_user[3] O *D mgmt_protect +*CAP +1 *2821:wbs_sel_i[3] 0.00189103 +2 *2820:mprj_sel_o_user[3] 0.00133384 +3 *2494:10 0.00189103 +4 *2494:8 0.00534174 +5 *2494:7 0.00667558 +6 *1794:8 *2494:8 0.000307989 +7 *1814:8 *2494:8 0.0721395 +8 *1815:5 *2494:7 0 +9 *1816:8 *2494:8 0.00769526 +10 *1859:8 *2494:8 0.000129528 +11 *1879:8 *2494:8 0.0712418 +12 *1883:8 *2494:8 0.00633355 +13 *1884:13 *2494:7 0 +14 *1946:7 *2494:7 0 +15 *1948:8 *2494:8 0.000338389 +*RES +1 *2820:mprj_sel_o_user[3] *2494:7 35.0134 +2 *2494:7 *2494:8 92.3735 +3 *2494:8 *2494:10 3.36879 +4 *2494:10 *2821:wbs_sel_i[3] 50.7463 +*END + +*D_NET *2495 0.140595 +*CONN +*I *2820:mprj_stb_o_core I *D mgmt_protect +*I *2826:mprj_stb_o O *D mgmt_core_wrapper +*CAP +1 *2820:mprj_stb_o_core 0.00289153 +2 *2826:mprj_stb_o 0.00108945 +3 *2495:12 0.00289153 +4 *2495:10 0.00493651 +5 *2495:9 0.00602596 +6 *2820:mprj_stb_o_core *2820:mprj_we_o_core 0 +7 *2495:10 *2499:10 0.000979131 +8 *2820:mprj_cyc_o_core *2820:mprj_stb_o_core 0 +9 *900:11 *2820:mprj_stb_o_core 0 +10 *1412:9 *2820:mprj_stb_o_core 0.000317095 +11 *1419:10 *2495:10 0 +12 *1447:10 *2495:10 0.0602301 +13 *1540:10 *2495:10 0.000187844 +14 *1768:24 *2495:10 0.0609205 +15 *1823:9 *2495:9 0 +16 *1953:9 *2495:9 0 +17 *1953:10 *2495:10 0.000125334 +*RES +1 *2826:mprj_stb_o *2495:9 31.8456 +2 *2495:9 *2495:10 656.819 +3 *2495:10 *2495:12 4.5 +4 *2495:12 *2820:mprj_stb_o_core 62.1657 +*END + +*D_NET *2496 0.178934 +*CONN +*I *2821:wbs_stb_i I *D user_project_wrapper +*I *2820:mprj_stb_o_user O *D mgmt_protect +*CAP +1 *2821:wbs_stb_i 0.00132892 +2 *2820:mprj_stb_o_user 0.00220876 +3 *2496:8 0.016586 +4 *2496:7 0.0174659 +5 *2496:7 *2500:7 0 +6 *2821:la_data_in[1] *2496:7 0 +7 *2821:la_oenb[0] *2496:7 0 +8 *1821:10 *2496:8 0.00807309 +9 *1824:7 *2496:7 0 +10 *1858:8 *2496:8 0.0515382 +11 *2486:10 *2496:8 0.00465344 +12 *2491:8 *2496:8 0.0770795 +*RES +1 *2820:mprj_stb_o_user *2496:7 49.132 +2 *2496:7 *2496:8 102.776 +3 *2496:8 *2821:wbs_stb_i 39.9965 +*END + +*D_NET *2497 0.232811 +*CONN +*I *2819:usr1_vcc_pwrgood I *D housekeeping +*I *2820:user1_vcc_powergood O *D mgmt_protect +*CAP +1 *2819:usr1_vcc_pwrgood 0.00154855 +2 *2820:user1_vcc_powergood 0.00138374 +3 *2497:11 0.0164176 +4 *2497:10 0.014869 +5 *2497:8 0.00353187 +6 *2497:7 0.00491561 +7 *2497:8 *2498:8 0.0051303 +8 *2497:11 *2498:11 0.0159373 +9 *2819:usr2_vcc_pwrgood *2819:usr1_vcc_pwrgood 0 +10 *2819:wb_cyc_i *2819:usr1_vcc_pwrgood 0 +11 *1299:7 *2497:8 0.000476259 +12 *1753:16 *2497:8 0.00035801 +13 *1753:17 *2497:11 0.127174 +14 *1754:12 *2497:8 0 +15 *1754:15 *2497:11 1.41291e-05 +16 *1908:25 *2497:11 0.00130401 +17 *1910:25 *2497:11 0.0396861 +18 *1912:25 *2497:11 6.44576e-05 +*RES +1 *2820:user1_vcc_powergood *2497:7 8.48033 +2 *2497:7 *2497:8 101.285 +3 *2497:8 *2497:10 4.5 +4 *2497:10 *2497:11 1333.44 +5 *2497:11 *2819:usr1_vcc_pwrgood 46.7702 +*END + +*D_NET *2498 0.147213 +*CONN +*I *2819:usr1_vdd_pwrgood I *D housekeeping +*I *2820:user1_vdd_powergood O *D mgmt_protect +*CAP +1 *2819:usr1_vdd_pwrgood 0.00160355 +2 *2820:user1_vdd_powergood 0.00135118 +3 *2498:11 0.0369406 +4 *2498:10 0.035337 +5 *2498:8 0.0037638 +6 *2498:7 0.00511498 +7 *2819:usr2_vcc_pwrgood *2819:usr1_vdd_pwrgood 0 +8 *2819:usr2_vdd_pwrgood *2819:usr1_vdd_pwrgood 0 +9 *1042:9 *2498:8 0 +10 *1752:14 *2498:11 0 +11 *1753:8 *2498:8 0.00158402 +12 *1753:17 *2498:11 1.59204e-05 +13 *1754:12 *2498:8 0 +14 *1754:15 *2498:11 0 +15 *1908:25 *2498:11 0.0019677 +16 *1912:25 *2498:11 0.0384668 +17 *2497:8 *2498:8 0.0051303 +18 *2497:11 *2498:11 0.0159373 +*RES +1 *2820:user1_vdd_powergood *2498:7 8.40384 +2 *2498:7 *2498:8 118.725 +3 *2498:8 *2498:10 4.5 +4 *2498:10 *2498:11 1339.54 +5 *2498:11 *2819:usr1_vdd_pwrgood 48.4312 +*END + +*D_NET *2499 0.352546 +*CONN +*I *2819:wb_we_i I *D housekeeping +*I *2820:mprj_we_o_core I *D mgmt_protect +*I *2826:mprj_we_o O *D mgmt_core_wrapper +*CAP +1 *2819:wb_we_i 0.00121214 +2 *2820:mprj_we_o_core 0.00236879 +3 *2826:mprj_we_o 0.00165984 +4 *2499:27 0.00724081 +5 *2499:25 0.0065616 +6 *2499:12 0.00236879 +7 *2499:10 0.0313058 +8 *2499:9 0.0324327 +9 *2819:wb_cyc_i *2819:wb_we_i 0 +10 *2820:mprj_adr_o_core[0] *2820:mprj_we_o_core 0 +11 *2820:mprj_stb_o_core *2820:mprj_we_o_core 0 +12 *781:14 *2499:10 0.00012309 +13 *900:11 *2820:mprj_we_o_core 0.00202518 +14 *1757:7 *2499:9 0 +15 *1757:7 *2499:25 0 +16 *1757:21 *2499:9 0 +17 *1768:24 *2499:10 0.00159642 +18 *1782:10 *2499:10 0.00389853 +19 *1784:10 *2499:10 0.00349348 +20 *1823:10 *2499:10 0.0702954 +21 *1823:27 *2499:25 0 +22 *1823:29 *2499:27 0.0870883 +23 *1825:7 *2820:mprj_we_o_core 0 +24 *1836:8 *2499:10 0.00262727 +25 *1847:8 *2499:10 0.00295609 +26 *1849:10 *2499:10 0.000763528 +27 *1851:14 *2499:10 0.00336691 +28 *1853:8 *2499:10 0.00390505 +29 *2487:33 *2499:27 0.0841401 +30 *2488:27 *2499:27 0.000137345 +31 *2490:13 *2819:wb_we_i 0 +32 *2495:10 *2499:10 0.000979131 +*RES +1 *2826:mprj_we_o *2499:9 31.3128 +2 *2499:9 *2499:10 91.9146 +3 *2499:10 *2499:12 3.36879 +4 *2499:12 *2820:mprj_we_o_core 59.8818 +5 *2826:mprj_we_o *2499:25 17.8319 +6 *2499:25 *2499:27 914.156 +7 *2499:27 *2819:wb_we_i 38.0499 +*END + +*D_NET *2500 0.123724 +*CONN +*I *2821:wbs_we_i I *D user_project_wrapper +*I *2820:mprj_we_o_user O *D mgmt_protect +*CAP +1 *2821:wbs_we_i 0.00189961 +2 *2820:mprj_we_o_user 0.0012578 +3 *2500:10 0.00189961 +4 *2500:8 0.012692 +5 *2500:7 0.0139498 +6 *2820:mprj_dat_i_user[0] *2500:7 0 +7 *1545:14 *2500:8 0.000638598 +8 *1789:7 *2500:7 0 +9 *1800:8 *2500:8 0.0140843 +10 *1802:8 *2500:8 0.0107019 +11 *1868:8 *2500:8 0.0659502 +12 *1921:8 *2500:8 0.000650002 +13 *2496:7 *2500:7 0 +*RES +1 *2820:mprj_we_o_user *2500:7 34.276 +2 *2500:7 *2500:8 740.565 +3 *2500:8 *2500:10 4.5 +4 *2500:10 *2821:wbs_we_i 52.6149 +*END + +*D_NET *2501 0.000295041 +*CONN +*I *2789:mgmt_gpio_oeb I *D gpio_control_block +*I *2789:one O *D gpio_control_block +*CAP +1 *2789:mgmt_gpio_oeb 0.00014752 +2 *2789:one 0.00014752 +*RES +1 *2789:one *2789:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2502 0.000177278 +*CONN +*I *2790:mgmt_gpio_oeb I *D gpio_control_block +*I *2790:one O *D gpio_control_block +*CAP +1 *2790:mgmt_gpio_oeb 8.86388e-05 +2 *2790:one 8.86388e-05 +*RES +1 *2790:one *2790:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2503 0.000177278 +*CONN +*I *2791:mgmt_gpio_oeb I *D gpio_control_block +*I *2791:one O *D gpio_control_block +*CAP +1 *2791:mgmt_gpio_oeb 8.86388e-05 +2 *2791:one 8.86388e-05 +*RES +1 *2791:one *2791:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2504 0.000295041 +*CONN +*I *2792:mgmt_gpio_oeb I *D gpio_control_block +*I *2792:one O *D gpio_control_block +*CAP +1 *2792:mgmt_gpio_oeb 0.00014752 +2 *2792:one 0.00014752 +*RES +1 *2792:one *2792:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2505 0.000177278 +*CONN +*I *2793:mgmt_gpio_oeb I *D gpio_control_block +*I *2793:one O *D gpio_control_block +*CAP +1 *2793:mgmt_gpio_oeb 8.86388e-05 +2 *2793:one 8.86388e-05 +*RES +1 *2793:one *2793:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2506 0.000278639 +*CONN +*I *2794:mgmt_gpio_oeb I *D gpio_control_block +*I *2794:one O *D gpio_control_block +*CAP +1 *2794:mgmt_gpio_oeb 0.00013932 +2 *2794:one 0.00013932 +*RES +1 *2794:one *2794:mgmt_gpio_oeb 0.382451 +*END + +*D_NET *2507 0.000222911 +*CONN +*I *2795:mgmt_gpio_oeb I *D gpio_control_block +*I *2795:one O *D gpio_control_block +*CAP +1 *2795:mgmt_gpio_oeb 0.000111456 +2 *2795:one 0.000111456 +*RES +1 *2795:one *2795:mgmt_gpio_oeb 0.305961 +*END + +*D_NET *2508 0.000278639 +*CONN +*I *2796:mgmt_gpio_oeb I *D gpio_control_block +*I *2796:one O *D gpio_control_block +*CAP +1 *2796:mgmt_gpio_oeb 0.00013932 +2 *2796:one 0.00013932 +*RES +1 *2796:one *2796:mgmt_gpio_oeb 0.382451 +*END + +*D_NET *2509 0.000333163 +*CONN +*I *2787:mgmt_gpio_oeb I *D gpio_control_block +*I *2787:one O *D gpio_control_block +*CAP +1 *2787:mgmt_gpio_oeb 0.000166582 +2 *2787:one 0.000166582 +*RES +1 *2787:one *2787:mgmt_gpio_oeb 0.382451 +*END + +*D_NET *2510 0.000177278 +*CONN +*I *2797:mgmt_gpio_oeb I *D gpio_control_block +*I *2797:one O *D gpio_control_block +*CAP +1 *2797:mgmt_gpio_oeb 8.86388e-05 +2 *2797:one 8.86388e-05 +*RES +1 *2797:one *2797:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2511 0.000177278 +*CONN +*I *2798:mgmt_gpio_oeb I *D gpio_control_block +*I *2798:one O *D gpio_control_block +*CAP +1 *2798:mgmt_gpio_oeb 8.86388e-05 +2 *2798:one 8.86388e-05 +*RES +1 *2798:one *2798:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2512 0.000177278 +*CONN +*I *2799:mgmt_gpio_oeb I *D gpio_control_block +*I *2799:one O *D gpio_control_block +*CAP +1 *2799:mgmt_gpio_oeb 8.86388e-05 +2 *2799:one 8.86388e-05 +*RES +1 *2799:one *2799:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2513 0.000177278 +*CONN +*I *2800:mgmt_gpio_oeb I *D gpio_control_block +*I *2800:one O *D gpio_control_block +*CAP +1 *2800:mgmt_gpio_oeb 8.86388e-05 +2 *2800:one 8.86388e-05 +*RES +1 *2800:one *2800:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2514 0.000177278 +*CONN +*I *2801:mgmt_gpio_oeb I *D gpio_control_block +*I *2801:one O *D gpio_control_block +*CAP +1 *2801:mgmt_gpio_oeb 8.86388e-05 +2 *2801:one 8.86388e-05 +*RES +1 *2801:one *2801:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2515 0.000177278 +*CONN +*I *2802:mgmt_gpio_oeb I *D gpio_control_block +*I *2802:one O *D gpio_control_block +*CAP +1 *2802:mgmt_gpio_oeb 8.86388e-05 +2 *2802:one 8.86388e-05 +*RES +1 *2802:one *2802:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2516 0.000177278 +*CONN +*I *2786:mgmt_gpio_oeb I *D gpio_control_block +*I *2786:one O *D gpio_control_block +*CAP +1 *2786:mgmt_gpio_oeb 8.86388e-05 +2 *2786:one 8.86388e-05 +*RES +1 *2786:one *2786:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2517 0.000177278 +*CONN +*I *2788:mgmt_gpio_oeb I *D gpio_control_block +*I *2788:one O *D gpio_control_block +*CAP +1 *2788:mgmt_gpio_oeb 8.86388e-05 +2 *2788:one 8.86388e-05 +*RES +1 *2788:one *2788:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2518 0.000199872 +*CONN +*I *2803:mgmt_gpio_oeb I *D gpio_control_block +*I *2803:one O *D gpio_control_block +*CAP +1 *2803:mgmt_gpio_oeb 9.99359e-05 +2 *2803:one 9.99359e-05 +*RES +1 *2803:one *2803:mgmt_gpio_oeb 0.382451 +*END + +*D_NET *2519 0.000280564 +*CONN +*I *2804:mgmt_gpio_oeb I *D gpio_control_block +*I *2804:one O *D gpio_control_block +*CAP +1 *2804:mgmt_gpio_oeb 0.000140282 +2 *2804:one 0.000140282 +*RES +1 *2804:one *2804:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2520 0.000280564 +*CONN +*I *2805:mgmt_gpio_oeb I *D gpio_control_block +*I *2805:one O *D gpio_control_block +*CAP +1 *2805:mgmt_gpio_oeb 0.000140282 +2 *2805:one 0.000140282 +*RES +1 *2805:one *2805:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2521 0.000177278 +*CONN +*I *2806:mgmt_gpio_oeb I *D gpio_control_block +*I *2806:one O *D gpio_control_block +*CAP +1 *2806:mgmt_gpio_oeb 8.86388e-05 +2 *2806:one 8.86388e-05 +*RES +1 *2806:one *2806:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2522 0.000177278 +*CONN +*I *2807:mgmt_gpio_oeb I *D gpio_control_block +*I *2807:one O *D gpio_control_block +*CAP +1 *2807:mgmt_gpio_oeb 8.86388e-05 +2 *2807:one 8.86388e-05 +*RES +1 *2807:one *2807:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2523 0.000243672 +*CONN +*I *2808:mgmt_gpio_oeb I *D gpio_control_block +*I *2808:one O *D gpio_control_block +*CAP +1 *2808:mgmt_gpio_oeb 0.000121836 +2 *2808:one 0.000121836 +*RES +1 *2808:one *2808:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2524 0.000177278 +*CONN +*I *2809:mgmt_gpio_oeb I *D gpio_control_block +*I *2809:one O *D gpio_control_block +*CAP +1 *2809:mgmt_gpio_oeb 8.86388e-05 +2 *2809:one 8.86388e-05 +*RES +1 *2809:one *2809:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2525 0.000222911 +*CONN +*I *2810:mgmt_gpio_oeb I *D gpio_control_block +*I *2810:one O *D gpio_control_block +*CAP +1 *2810:mgmt_gpio_oeb 0.000111456 +2 *2810:one 0.000111456 +*RES +1 *2810:one *2810:mgmt_gpio_oeb 0.305961 +*END + +*D_NET *2526 0.000318023 +*CONN +*I *2811:mgmt_gpio_oeb I *D gpio_control_block +*I *2811:one O *D gpio_control_block +*CAP +1 *2811:mgmt_gpio_oeb 0.000159011 +2 *2811:one 0.000159011 +*RES +1 *2811:one *2811:mgmt_gpio_oeb 0.382451 +*END + +*D_NET *2527 0.000525758 +*CONN +*I *2812:mgmt_gpio_oeb I *D gpio_control_block +*I *2812:one O *D gpio_control_block +*CAP +1 *2812:mgmt_gpio_oeb 0.000262879 +2 *2812:one 0.000262879 +*RES +1 *2812:one *2812:mgmt_gpio_oeb 0.382451 +*END + +*D_NET *2528 0.000254418 +*CONN +*I *2813:mgmt_gpio_oeb I *D gpio_control_block +*I *2813:one O *D gpio_control_block +*CAP +1 *2813:mgmt_gpio_oeb 0.000127209 +2 *2813:one 0.000127209 +*RES +1 *2813:one *2813:mgmt_gpio_oeb 0.305961 +*END + +*D_NET *2529 0.000211169 +*CONN +*I *2814:mgmt_gpio_oeb I *D gpio_control_block +*I *2814:one O *D gpio_control_block +*CAP +1 *2814:mgmt_gpio_oeb 0.000105584 +2 *2814:one 9.25494e-05 +3 *2529:8 1.30351e-05 +*RES +1 *2814:one *2814:mgmt_gpio_oeb 0.354183 +2 *2814:mgmt_gpio_oeb *2529:8 0.0498849 +*END + +*D_NET *2530 0.000177278 +*CONN +*I *2815:mgmt_gpio_oeb I *D gpio_control_block +*I *2815:one O *D gpio_control_block +*CAP +1 *2815:mgmt_gpio_oeb 8.86388e-05 +2 *2815:one 8.86388e-05 +*RES +1 *2815:one *2815:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2531 0.000177278 +*CONN +*I *2816:mgmt_gpio_oeb I *D gpio_control_block +*I *2816:one O *D gpio_control_block +*CAP +1 *2816:mgmt_gpio_oeb 8.86388e-05 +2 *2816:one 8.86388e-05 +*RES +1 *2816:one *2816:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2532 0.000177278 +*CONN +*I *2817:mgmt_gpio_oeb I *D gpio_control_block +*I *2817:one O *D gpio_control_block +*CAP +1 *2817:mgmt_gpio_oeb 8.86388e-05 +2 *2817:one 8.86388e-05 +*RES +1 *2817:one *2817:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2533 0.000177278 +*CONN +*I *2818:mgmt_gpio_oeb I *D gpio_control_block +*I *2818:one O *D gpio_control_block +*CAP +1 *2818:mgmt_gpio_oeb 8.86388e-05 +2 *2818:one 8.86388e-05 +*RES +1 *2818:one *2818:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2534 0.519816 +*CONN +*I *2742:pll_clk I *D caravel_clocking +*I *2823:clockp[1] O *D digital_pll +*CAP +1 *2742:pll_clk 0.00117109 +2 *2823:clockp[1] 0.00131123 +3 *2534:19 0.00156774 +4 *2534:14 0.00489732 +5 *2534:13 0.00450067 +6 *2534:11 0.0136907 +7 *2534:10 0.0136907 +8 *2534:8 0.00603917 +9 *2534:7 0.0073504 +10 *2534:7 *2823:div[0] 0 +11 *2534:7 *2535:7 0 +12 *2534:8 *2535:8 0.00707118 +13 *2534:8 *2557:7 0 +14 *2534:11 *2535:11 0.228468 +15 *2534:11 *2540:29 0.230059 +*RES +1 *2823:clockp[1] *2534:7 8.32734 +2 *2534:7 *2534:8 237.488 +3 *2534:8 *2534:10 4.5 +4 *2534:10 *2534:11 2404.38 +5 *2534:11 *2534:13 4.5 +6 *2534:13 *2534:14 127.238 +7 *2534:14 *2534:19 19.7063 +8 *2534:19 *2742:pll_clk 33.1591 +*END + +*D_NET *2535 0.515082 +*CONN +*I *2742:pll_clk90 I *D caravel_clocking +*I *2823:clockp[0] O *D digital_pll +*CAP +1 *2742:pll_clk90 0.00127332 +2 *2823:clockp[0] 0.00126607 +3 *2535:19 0.00165021 +4 *2535:14 0.00479675 +5 *2535:13 0.00441987 +6 *2535:11 0.0138438 +7 *2535:10 0.0138438 +8 *2535:8 0.00583665 +9 *2535:7 0.00710273 +10 *2535:11 *2540:29 4.82966e-05 +11 *2535:11 *2545:16 0.225411 +12 *2535:11 *2546:16 1.41291e-05 +13 *2535:11 *2556:10 1.65872e-05 +14 *2535:11 *2557:10 2.01874e-05 +15 *2534:7 *2535:7 0 +16 *2534:8 *2535:8 0.00707118 +17 *2534:11 *2535:11 0.228468 +*RES +1 *2823:clockp[0] *2535:7 8.17437 +2 *2535:7 *2535:8 232.089 +3 *2535:8 *2535:10 4.5 +4 *2535:10 *2535:11 2388.85 +5 *2535:11 *2535:13 4.5 +6 *2535:13 *2535:14 124.747 +7 *2535:14 *2535:19 19.1517 +8 *2535:19 *2742:pll_clk90 36.0659 +*END + +*D_NET *2536 0.333659 +*CONN +*I *2822:por I *D chip_io +*I *2824:por_l I *D simple_por +*CAP +1 *2822:por 0.00230804 +2 *2824:por_l 0.00142101 +3 *2536:13 0.0746559 +4 *2536:12 0.0737688 +5 *2536:13 *2540:28 0.000405492 +6 *2822:flash_csb_core *2536:13 0.00258574 +7 *67:12 *2822:por 0.00157356 +8 *67:12 *2536:13 0.0018772 +9 *67:28 *2536:13 0.00178738 +10 *78:22 *2536:13 0.168242 +11 *91:16 *2536:13 0.00503418 +*RES +1 *2824:por_l *2536:12 44.5847 +2 *2536:12 *2536:13 377.644 +3 *2536:13 *2822:por 16.5814 +*END + +*D_NET *2537 0.95 +*CONN +*I *2822:porb_h I *D chip_io +*I *2824:porb_h I *D simple_por +*CAP +1 *2822:porb_h 0.00120428 +2 *2824:porb_h 0.00113663 +3 *2537:15 0.0544476 +4 *2537:14 0.0532433 +5 *2537:12 0.0962782 +6 *2537:11 0.0974148 +7 *2537:11 *2538:7 0 +8 *2537:12 *2679:14 0.0644934 +9 *2537:12 *2697:14 0.0506382 +10 *2537:12 *2729:14 0.0509139 +11 *2537:15 *2594:16 0.00345977 +12 *2537:15 *2617:13 0 +13 *2537:15 *2625:17 0.0333972 +14 *2537:15 *2626:17 0.0261215 +15 *2537:15 *2627:17 0.0333441 +16 *2537:15 *2628:17 0.034028 +17 *2537:15 *2629:23 0.0267523 +18 *2537:15 *2702:8 4.82966e-05 +19 *2537:15 *2704:8 4.82966e-05 +20 *2819:mgmt_gpio_in[10] *2537:12 0.00130366 +21 *2819:mgmt_gpio_in[11] *2537:12 0.00130366 +22 *2819:mgmt_gpio_in[12] *2537:12 0.00130366 +23 *2819:mgmt_gpio_in[13] *2537:12 0.00130366 +24 *2819:mgmt_gpio_in[14] *2537:12 0.00130366 +25 *2819:mgmt_gpio_in[2] *2537:12 0.00130366 +26 *2819:mgmt_gpio_in[3] *2537:12 0.00130366 +27 *2819:mgmt_gpio_in[4] *2537:12 0.00130366 +28 *2819:mgmt_gpio_in[6] *2537:12 0.00130366 +29 *2819:mgmt_gpio_in[7] *2537:12 0.00125157 +30 *2819:mgmt_gpio_in[8] *2537:12 0.00130366 +31 *2819:mgmt_gpio_in[9] *2537:12 0.00130366 +32 *100:44 *2537:12 0.000303562 +33 *616:44 *2537:12 1.29348e-05 +34 *640:22 *2537:12 0.307122 +35 *1752:7 *2537:12 0 +*RES +1 *2824:porb_h *2537:11 7.74037 +2 *2537:11 *2537:12 5746.22 +3 *2537:12 *2537:14 4.5 +4 *2537:14 *2537:15 2188.63 +5 *2537:15 *2822:porb_h 41.6095 +*END + +*D_NET *2538 0.0543964 +*CONN +*I *2819:porb I *D housekeeping +*I *2824:porb_l I *D simple_por +*CAP +1 *2819:porb 0.000618272 +2 *2824:porb_l 0.000835986 +3 *2538:15 0.0038629 +4 *2538:13 0.00338218 +5 *2538:11 0.00592503 +6 *2538:10 0.00578748 +7 *2538:8 0.0102854 +8 *2538:7 0.0111214 +9 *2538:11 *2823:ext_trim[20] 0 +10 *2538:11 *2571:15 0.000170642 +11 *2538:11 *2573:12 0 +12 *2538:11 *2575:12 0 +13 *2538:15 *2823:ext_trim[10] 7.0656e-05 +14 *2538:15 *2823:ext_trim[11] 6.77202e-05 +15 *2538:15 *2547:10 1.40978e-05 +16 *2538:15 *2552:12 0 +17 *2538:15 *2554:12 0.00397302 +18 *2819:mask_rev_in[10] *2538:11 0 +19 *2819:mask_rev_in[11] *2538:11 0.000689459 +20 *2819:mask_rev_in[3] *2538:11 0.000738355 +21 *2819:mask_rev_in[8] *2538:11 0.000585477 +22 *73:9 *2819:porb 0.00163502 +23 *1676:16 *2538:11 0 +24 *1679:17 *2538:11 0 +25 *1680:16 *2538:11 0.000300864 +26 *1688:16 *2538:11 0.000470585 +27 *1699:13 *2538:11 0.0038618 +28 *1702:10 *2538:11 0 +29 *2537:11 *2538:7 0 +*RES +1 *2824:porb_l *2538:7 6.56807 +2 *2538:7 *2538:8 291.886 +3 *2538:8 *2538:10 4.5 +4 *2538:10 *2538:11 200.103 +5 *2538:11 *2538:13 3.85811 +6 *2538:13 *2538:15 104.157 +7 *2538:15 *2819:porb 32.1143 +*END + +*D_NET *2539 0.0325729 +*CONN +*I *2819:qspi_enabled I *D housekeeping +*I *2826:qspi_enabled O *D mgmt_core_wrapper +*CAP +1 *2819:qspi_enabled 0.00162046 +2 *2826:qspi_enabled 0.00100616 +3 *2539:11 0.00417897 +4 *2539:10 0.00255851 +5 *2539:8 0.00111438 +6 *2539:7 0.00212054 +7 *2819:qspi_enabled *2819:uart_enabled 0.000177702 +8 *2819:qspi_enabled *2541:10 4.15236e-05 +9 *2539:8 *2541:14 0.000347885 +10 *2539:8 *2542:8 0.00429371 +11 *2539:11 *2541:11 0.0109471 +12 *70:8 *2539:8 0 +13 *731:8 *2539:8 0.00416589 +*RES +1 *2826:qspi_enabled *2539:7 6.95052 +2 *2539:7 *2539:8 86.3358 +3 *2539:8 *2539:10 4.5 +4 *2539:10 *2539:11 117.19 +5 *2539:11 *2819:qspi_enabled 20.3089 +*END + +*D_NET *2540 0.404809 +*CONN +*I *2825:A I *D xres_buf +*I *2823:resetb I *D digital_pll +*I *2742:resetb I *D caravel_clocking +*I *2822:resetb_core_h O *D chip_io +*CAP +1 *2825:A 0.0012633 +2 *2823:resetb 1.28869e-05 +3 *2742:resetb 3.26469e-05 +4 *2822:resetb_core_h 0.000352794 +5 *2540:32 0.00814954 +6 *2540:31 0.00813665 +7 *2540:29 0.0539814 +8 *2540:28 0.0549779 +9 *2540:15 0.00522446 +10 *2540:14 0.00519181 +11 *2540:12 0.0150409 +12 *2540:11 0.0140443 +13 *2540:9 0.00161609 +14 *65:10 *2540:29 0 +15 *67:12 *2540:12 0.00251539 +16 *637:25 *2540:29 0.00282245 +17 *639:16 *2540:29 0.000933841 +18 *2534:11 *2540:29 0.230059 +19 *2535:11 *2540:29 4.82966e-05 +20 *2536:13 *2540:28 0.000405492 +*RES +1 *2822:resetb_core_h *2540:9 10.0515 +2 *2540:9 *2540:11 4.5 +3 *2540:11 *2540:12 393.383 +4 *2540:12 *2540:14 4.5 +5 *2540:14 *2540:15 146.963 +6 *2540:15 *2742:resetb 0.928211 +7 *2540:12 *2540:28 36.2198 +8 *2540:28 *2540:29 2545.24 +9 *2540:29 *2540:31 4.5 +10 *2540:31 *2540:32 230.428 +11 *2540:32 *2823:resetb 0.366399 +12 *2540:9 *2825:A 35.3941 +*END + +*D_NET *2541 0.0427649 +*CONN +*I *2826:ser_rx I *D mgmt_core_wrapper +*I *2819:ser_rx O *D housekeeping +*CAP +1 *2826:ser_rx 0.00105652 +2 *2819:ser_rx 0.00147563 +3 *2541:14 0.0026217 +4 *2541:13 0.00156517 +5 *2541:11 0.000741695 +6 *2541:10 0.00221732 +7 *2541:10 *2819:ser_tx 0 +8 *2541:10 *2819:uart_enabled 2.01653e-05 +9 *2541:11 *2589:11 0.0107943 +10 *2541:14 *2542:8 0.000508826 +11 *2541:14 *2543:8 0.00550143 +12 *2541:14 *2589:8 0.00248898 +13 *2819:qspi_enabled *2541:10 4.15236e-05 +14 *69:8 *2541:14 0.00243661 +15 *731:8 *2541:14 0 +16 *2539:8 *2541:14 0.000347885 +17 *2539:11 *2541:11 0.0109471 +*RES +1 *2819:ser_rx *2541:10 14.1566 +2 *2541:10 *2541:11 114.972 +3 *2541:11 *2541:13 4.5 +4 *2541:13 *2541:14 123.293 +5 *2541:14 *2826:ser_rx 7.17999 +*END + +*D_NET *2542 0.0337388 +*CONN +*I *2819:ser_tx I *D housekeeping +*I *2826:ser_tx O *D mgmt_core_wrapper +*CAP +1 *2819:ser_tx 0.0018226 +2 *2826:ser_tx 0.000981023 +3 *2542:11 0.00443921 +4 *2542:10 0.00261661 +5 *2542:8 0.00129137 +6 *2542:7 0.00227239 +7 *2819:ser_tx *2585:10 0.000332717 +8 *2542:8 *2543:8 0.00492933 +9 *2542:11 *2585:11 0.010251 +10 *70:8 *2542:8 0 +11 *2539:8 *2542:8 0.00429371 +12 *2541:10 *2819:ser_tx 0 +13 *2541:14 *2542:8 0.000508826 +*RES +1 *2826:ser_tx *2542:7 7.02701 +2 *2542:7 *2542:8 96.7171 +3 *2542:8 *2542:10 4.5 +4 *2542:10 *2542:11 115.526 +5 *2542:11 *2819:ser_tx 25.0297 +*END + +*D_NET *2543 0.0358226 +*CONN +*I *2819:spi_csb I *D housekeeping +*I *2826:spi_csb O *D mgmt_core_wrapper +*CAP +1 *2819:spi_csb 0.00191249 +2 *2826:spi_csb 0.0010387 +3 *2543:11 0.00452477 +4 *2543:10 0.00261228 +5 *2543:8 0.00142815 +6 *2543:7 0.00246685 +7 *2819:spi_csb *2819:spi_sck 0.000198188 +8 *2819:spi_csb *2819:spi_sdo 0.000197799 +9 *2819:spi_csb *2585:10 0 +10 *2543:11 *2586:11 0.0104548 +11 *69:8 *2543:8 0.000557824 +12 *759:8 *2543:8 0 +13 *760:8 *2543:8 0 +14 *763:8 *2543:8 0 +15 *2541:14 *2543:8 0.00550143 +16 *2542:8 *2543:8 0.00492933 +*RES +1 *2826:spi_csb *2543:7 7.1035 +2 *2543:7 *2543:8 107.929 +3 *2543:8 *2543:10 4.5 +4 *2543:10 *2543:11 116.081 +5 *2543:11 *2819:spi_csb 29.4445 +*END + +*D_NET *2544 0.0360207 +*CONN +*I *2819:spi_enabled I *D housekeeping +*I *2826:spi_enabled O *D mgmt_core_wrapper +*CAP +1 *2819:spi_enabled 0.00147116 +2 *2826:spi_enabled 0.00142205 +3 *2544:11 0.00372907 +4 *2544:10 0.00225791 +5 *2544:8 0.00144086 +6 *2544:7 0.00286292 +7 *2819:spi_enabled *2819:uart_enabled 0 +8 *2544:8 *2585:14 0.00544085 +9 *2544:8 *2586:8 0.000486635 +10 *2544:8 *2587:8 0.00338167 +11 *2819:wb_stb_i *2819:spi_enabled 0.000119662 +12 *698:10 *2819:spi_enabled 0.000124349 +13 *698:11 *2544:11 0.0103041 +14 *710:14 *2544:8 0.000458898 +15 *724:14 *2544:8 0.000705592 +16 *726:14 *2544:8 0.00179079 +17 *731:11 *2544:11 2.41483e-05 +*RES +1 *2826:spi_enabled *2544:7 8.09787 +2 *2544:7 *2544:8 122.048 +3 *2544:8 *2544:10 4.5 +4 *2544:10 *2544:11 108.316 +5 *2544:11 *2819:spi_enabled 15.4024 +*END + +*D_NET *2545 0.553896 +*CONN +*I *2742:sel2[0] I *D caravel_clocking +*I *2819:pll90_sel[0] O *D housekeeping +*CAP +1 *2742:sel2[0] 0.00110095 +2 *2819:pll90_sel[0] 0.0011033 +3 *2545:19 0.00265832 +4 *2545:18 0.00155737 +5 *2545:16 0.01314 +6 *2545:15 0.01314 +7 *2545:13 0.00263936 +8 *2545:12 0.00374266 +9 *2545:12 *2546:9 0 +10 *2545:12 *2547:10 0.000324151 +11 *2545:12 *2548:12 4.0752e-05 +12 *2545:12 *2549:12 0.000426168 +13 *2545:12 *2549:13 2.95757e-05 +14 *2545:12 *2557:7 0 +15 *2545:13 *2546:13 0.0190683 +16 *2545:13 *2547:13 0.000356249 +17 *2545:13 *2556:7 0.0198713 +18 *2545:13 *2557:7 5.66868e-06 +19 *2545:16 *2546:16 1.92172e-05 +20 *2545:16 *2556:10 0.225358 +21 *2545:19 *2556:13 0.0119539 +22 *2545:19 *2557:13 0.0119503 +23 *2535:11 *2545:16 0.225411 +*RES +1 *2819:pll90_sel[0] *2545:12 43.0275 +2 *2545:12 *2545:13 318.254 +3 *2545:13 *2545:15 4.5 +4 *2545:15 *2545:16 2355.57 +5 *2545:16 *2545:18 4.5 +6 *2545:18 *2545:19 191.395 +7 *2545:19 *2742:sel2[0] 7.48595 +*END + +*D_NET *2546 0.551722 +*CONN +*I *2742:sel2[1] I *D caravel_clocking +*I *2819:pll90_sel[1] O *D housekeeping +*CAP +1 *2742:sel2[1] 0.00117647 +2 *2819:pll90_sel[1] 0.00144795 +3 *2546:19 0.00279886 +4 *2546:18 0.00162238 +5 *2546:16 0.0131376 +6 *2546:15 0.0131376 +7 *2546:13 0.00242525 +8 *2546:12 0.00278044 +9 *2546:9 0.00180314 +10 *2546:9 *2547:10 0 +11 *2546:9 *2549:13 0 +12 *2546:9 *2551:15 0.000211913 +13 *2546:9 *2553:13 0.000142505 +14 *2546:9 *2554:13 0 +15 *2546:12 *2551:15 0 +16 *2546:13 *2547:13 0.0190228 +17 *2546:13 *2557:7 7.77309e-06 +18 *2546:16 *2556:10 0.22526 +19 *2546:16 *2557:10 0.225354 +20 *2546:19 *2547:19 0.0108617 +21 *2546:19 *2557:13 0.0114305 +22 *2535:11 *2546:16 1.41291e-05 +23 *2545:12 *2546:9 0 +24 *2545:13 *2546:13 0.0190683 +25 *2545:16 *2546:16 1.92172e-05 +*RES +1 *2819:pll90_sel[1] *2546:9 46.7947 +2 *2546:9 *2546:12 12.4332 +3 *2546:12 *2546:13 304.551 +4 *2546:13 *2546:15 4.5 +5 *2546:15 *2546:16 2355.02 +6 *2546:16 *2546:18 4.5 +7 *2546:18 *2546:19 183.09 +8 *2546:19 *2742:sel2[1] 7.63893 +*END + +*D_NET *2547 0.550799 +*CONN +*I *2742:sel2[2] I *D caravel_clocking +*I *2819:pll90_sel[2] O *D housekeeping +*CAP +1 *2742:sel2[2] 0.00119862 +2 *2819:pll90_sel[2] 0.00122106 +3 *2547:19 0.00276705 +4 *2547:18 0.00156843 +5 *2547:16 0.0134326 +6 *2547:15 0.0134326 +7 *2547:13 0.00258505 +8 *2547:12 0.00258505 +9 *2547:10 0.00122106 +10 *2547:10 *2548:12 0.000990989 +11 *2547:10 *2549:12 1.92172e-05 +12 *2547:10 *2552:12 2.15348e-05 +13 *2547:10 *2554:12 0.000143044 +14 *2547:10 *2554:13 3.63743e-05 +15 *2547:10 *2558:7 0 +16 *2547:13 *2557:7 0.0197737 +17 *2547:16 *2555:16 0.223518 +18 *2547:16 *2557:10 0.225358 +19 *2547:19 *2555:19 0 +20 *2547:19 *2557:13 9.22013e-06 +21 *73:19 *2547:19 0.0103383 +22 *2538:15 *2547:10 1.40978e-05 +23 *2545:12 *2547:10 0.000324151 +24 *2545:13 *2547:13 0.000356249 +25 *2546:9 *2547:10 0 +26 *2546:13 *2547:13 0.0190228 +27 *2546:19 *2547:19 0.0108617 +*RES +1 *2819:pll90_sel[2] *2547:10 45.598 +2 *2547:10 *2547:12 4.5 +3 *2547:12 *2547:13 316.178 +4 *2547:13 *2547:15 4.5 +5 *2547:15 *2547:16 2355.02 +6 *2547:16 *2547:18 4.5 +7 *2547:18 *2547:19 174.785 +8 *2547:19 *2742:sel2[2] 7.71542 +*END + +*D_NET *2548 0.015447 +*CONN +*I *2823:dco I *D digital_pll +*I *2819:pll_dco_ena O *D housekeeping +*CAP +1 *2823:dco 0.00148563 +2 *2819:pll_dco_ena 0.00108247 +3 *2548:12 0.00220463 +4 *2548:9 0.00180147 +5 *2823:dco *2823:enable 0 +6 *2823:dco *2823:ext_trim[0] 0 +7 *2823:dco *2550:13 0.00217729 +8 *2823:dco *2552:13 0.000147411 +9 *2823:dco *2554:13 0.00251039 +10 *2548:9 *2549:9 0 +11 *2548:9 *2554:9 0 +12 *2548:12 *2549:12 0.000289837 +13 *2548:12 *2552:12 0.00218667 +14 *2548:12 *2554:12 6.66892e-05 +15 *2548:12 *2555:12 0.000462767 +16 *2545:12 *2548:12 4.0752e-05 +17 *2547:10 *2548:12 0.000990989 +*RES +1 *2819:pll_dco_ena *2548:9 34.7523 +2 *2548:9 *2548:12 43.491 +3 *2548:12 *2823:dco 47.8053 +*END + +*D_NET *2549 0.0153753 +*CONN +*I *2823:div[0] I *D digital_pll +*I *2819:pll_div[0] O *D housekeeping +*CAP +1 *2823:div[0] 0.0013512 +2 *2819:pll_div[0] 0.00103627 +3 *2549:13 0.00408834 +4 *2549:12 0.0030602 +5 *2549:9 0.00135933 +6 *2823:div[0] *2823:div[1] 0 +7 *2549:9 *2550:9 0 +8 *2549:12 *2554:12 0.00266465 +9 *2549:12 *2555:12 0.00105055 +10 *2549:13 *2823:div[2] 0 +11 *2549:13 *2553:13 0 +12 *2549:13 *2557:7 0 +13 *2534:7 *2823:div[0] 0 +14 *2545:12 *2549:12 0.000426168 +15 *2545:12 *2549:13 2.95757e-05 +16 *2546:9 *2549:13 0 +17 *2547:10 *2549:12 1.92172e-05 +18 *2548:9 *2549:9 0 +19 *2548:12 *2549:12 0.000289837 +*RES +1 *2819:pll_div[0] *2549:9 33.5066 +2 *2549:9 *2549:12 32.9536 +3 *2549:12 *2549:13 76.3698 +4 *2549:13 *2823:div[0] 8.48033 +*END + +*D_NET *2550 0.0150781 +*CONN +*I *2823:div[1] I *D digital_pll +*I *2819:pll_div[1] O *D housekeeping +*CAP +1 *2823:div[1] 0.00108101 +2 *2819:pll_div[1] 0.00122299 +3 *2550:13 0.00212224 +4 *2550:12 0.00221948 +5 *2550:9 0.00240124 +6 *2823:div[1] *2823:div[2] 0 +7 *2550:9 *2551:9 0 +8 *2550:9 *2555:13 0.000187258 +9 *2550:12 *2551:15 0 +10 *2550:12 *2553:12 0.000241148 +11 *2550:13 *2823:div[2] 0 +12 *2550:13 *2552:13 0.00325261 +13 *2550:13 *2554:13 0.000172814 +14 *2823:dco *2550:13 0.00217729 +15 *2823:div[0] *2823:div[1] 0 +16 *2549:9 *2550:9 0 +*RES +1 *2819:pll_div[1] *2550:9 40.1506 +2 *2550:9 *2550:12 36.2812 +3 *2550:12 *2550:13 63.9122 +4 *2550:13 *2823:div[1] 7.48595 +*END + +*D_NET *2551 0.0121013 +*CONN +*I *2823:div[2] I *D digital_pll +*I *2819:pll_div[2] O *D housekeeping +*CAP +1 *2823:div[2] 0.00228855 +2 *2819:pll_div[2] 0.00141306 +3 *2551:15 0.00358849 +4 *2551:9 0.002713 +5 *2823:div[2] *2823:div[3] 0 +6 *2823:div[2] *2553:13 0.00076192 +7 *2823:div[2] *2554:13 0 +8 *2551:9 *2552:9 0 +9 *2551:9 *2555:13 0 +10 *2551:15 *2553:13 0.00112432 +11 *2551:15 *2554:13 0 +12 *2823:div[1] *2823:div[2] 0 +13 *2546:9 *2551:15 0.000211913 +14 *2546:12 *2551:15 0 +15 *2549:13 *2823:div[2] 0 +16 *2550:9 *2551:9 0 +17 *2550:12 *2551:15 0 +18 *2550:13 *2823:div[2] 0 +*RES +1 *2819:pll_div[2] *2551:9 43.4726 +2 *2551:9 *2551:15 46.7584 +3 *2551:15 *2823:div[2] 44.9056 +*END + +*D_NET *2552 0.0137813 +*CONN +*I *2823:div[3] I *D digital_pll +*I *2819:pll_div[3] O *D housekeeping +*CAP +1 *2823:div[3] 0.00106102 +2 *2819:pll_div[3] 0.00111516 +3 *2552:13 0.00234902 +4 *2552:12 0.00191037 +5 *2552:9 0.00173753 +6 *2823:div[3] *2823:div[4] 0 +7 *2552:9 *2553:9 0 +8 *2552:12 *2553:12 0 +9 *2552:13 *2558:7 0 +10 *2823:dco *2552:13 0.000147411 +11 *2823:div[2] *2823:div[3] 0 +12 *2538:15 *2552:12 0 +13 *2547:10 *2552:12 2.15348e-05 +14 *2548:12 *2552:12 0.00218667 +15 *2550:13 *2552:13 0.00325261 +16 *2551:9 *2552:9 0 +*RES +1 *2819:pll_div[3] *2552:9 35.1676 +2 *2552:9 *2552:12 29.0714 +3 *2552:12 *2552:13 57.2682 +4 *2552:13 *2823:div[3] 7.40946 +*END + +*D_NET *2553 0.0116701 +*CONN +*I *2823:div[4] I *D digital_pll +*I *2819:pll_div[4] O *D housekeeping +*CAP +1 *2823:div[4] 0.00130373 +2 *2819:pll_div[4] 0.000867282 +3 *2553:13 0.00247514 +4 *2553:12 0.00168018 +5 *2553:9 0.00137605 +6 *2823:div[4] *2823:enable 0 +7 *2553:9 *2555:12 0.00169786 +8 *2823:div[2] *2553:13 0.00076192 +9 *2823:div[3] *2823:div[4] 0 +10 *2546:9 *2553:13 0.000142505 +11 *2549:13 *2553:13 0 +12 *2550:12 *2553:12 0.000241148 +13 *2551:15 *2553:13 0.00112432 +14 *2552:9 *2553:9 0 +15 *2552:12 *2553:12 0 +*RES +1 *2819:pll_div[4] *2553:9 38.4896 +2 *2553:9 *2553:12 19.6431 +3 *2553:12 *2553:13 48.1326 +4 *2553:13 *2823:div[4] 8.17437 +*END + +*D_NET *2554 0.0170327 +*CONN +*I *2823:enable I *D digital_pll +*I *2819:pll_ena O *D housekeeping +*CAP +1 *2823:enable 0.0011461 +2 *2819:pll_ena 0.00101724 +3 *2554:13 0.00224172 +4 *2554:12 0.00156951 +5 *2554:9 0.00149113 +6 *2823:dco *2823:enable 0 +7 *2823:dco *2554:13 0.00251039 +8 *2823:div[2] *2554:13 0 +9 *2823:div[4] *2823:enable 0 +10 *2538:15 *2554:12 0.00397302 +11 *2546:9 *2554:13 0 +12 *2547:10 *2554:12 0.000143044 +13 *2547:10 *2554:13 3.63743e-05 +14 *2548:9 *2554:9 0 +15 *2548:12 *2554:12 6.66892e-05 +16 *2549:12 *2554:12 0.00266465 +17 *2550:13 *2554:13 0.000172814 +18 *2551:15 *2554:13 0 +*RES +1 *2819:pll_ena *2554:9 33.0913 +2 *2554:9 *2554:12 46.8187 +3 *2554:12 *2554:13 47.7174 +4 *2554:13 *2823:enable 7.63893 +*END + +*D_NET *2555 0.523611 +*CONN +*I *2742:sel[0] I *D caravel_clocking +*I *2819:pll_sel[0] O *D housekeeping +*CAP +1 *2742:sel[0] 0.00104624 +2 *2819:pll_sel[0] 0.000813631 +3 *2555:19 0.00602678 +4 *2555:18 0.00498054 +5 *2555:16 0.013587 +6 *2555:15 0.013587 +7 *2555:13 0.0111057 +8 *2555:12 0.0119193 +9 *2555:16 *2556:10 1.40978e-05 +10 *2555:16 *2557:10 4.0752e-05 +11 *2555:19 *2556:13 0.0131104 +12 *2555:19 *2557:13 1.78514e-05 +13 *73:16 *2555:16 0.220445 +14 *73:19 *2555:19 0 +15 *2547:16 *2555:16 0.223518 +16 *2547:19 *2555:19 0 +17 *2548:12 *2555:12 0.000462767 +18 *2549:12 *2555:12 0.00105055 +19 *2550:9 *2555:13 0.000187258 +20 *2551:9 *2555:13 0 +21 *2553:9 *2555:12 0.00169786 +*RES +1 *2819:pll_sel[0] *2555:12 49.6827 +2 *2555:12 *2555:13 316.178 +3 *2555:13 *2555:15 4.5 +4 *2555:15 *2555:16 2338.38 +5 *2555:16 *2555:18 4.5 +6 *2555:18 *2555:19 220.878 +7 *2555:19 *2742:sel[0] 7.33297 +*END + +*D_NET *2556 0.543955 +*CONN +*I *2742:sel[1] I *D caravel_clocking +*I *2819:pll_sel[1] O *D housekeeping +*CAP +1 *2742:sel[1] 0.00106839 +2 *2819:pll_sel[1] 3.26469e-05 +3 *2556:13 0.00295191 +4 *2556:12 0.00188352 +5 *2556:10 0.0131614 +6 *2556:9 0.0131614 +7 *2556:7 0.00789113 +8 *2556:5 0.00792378 +9 *2556:7 *2557:7 0 +10 *2556:10 *2557:10 1.65872e-05 +11 *2556:13 *2557:13 0.000280352 +12 *2535:11 *2556:10 1.65872e-05 +13 *2545:13 *2556:7 0.0198713 +14 *2545:16 *2556:10 0.225358 +15 *2545:19 *2556:13 0.0119539 +16 *2546:16 *2556:10 0.22526 +17 *2555:16 *2556:10 1.40978e-05 +18 *2555:19 *2556:13 0.0131104 +*RES +1 *2819:pll_sel[1] *2556:5 0.928211 +2 *2556:5 *2556:7 345.038 +3 *2556:7 *2556:9 4.5 +4 *2556:9 *2556:10 2355.57 +5 *2556:10 *2556:12 4.5 +6 *2556:12 *2556:13 210.081 +7 *2556:13 *2742:sel[1] 7.40946 +*END + +*D_NET *2557 0.542611 +*CONN +*I *2742:sel[2] I *D caravel_clocking +*I *2819:pll_sel[2] O *D housekeeping +*CAP +1 *2742:sel[2] 0.00113132 +2 *2819:pll_sel[2] 3.26469e-05 +3 *2557:13 0.00307929 +4 *2557:12 0.00194797 +5 *2557:10 0.013181 +6 *2557:9 0.013181 +7 *2557:7 0.0078804 +8 *2557:5 0.00791305 +9 *2534:8 *2557:7 0 +10 *2535:11 *2557:10 2.01874e-05 +11 *2545:12 *2557:7 0 +12 *2545:13 *2557:7 5.66868e-06 +13 *2545:19 *2557:13 0.0119503 +14 *2546:13 *2557:7 7.77309e-06 +15 *2546:16 *2557:10 0.225354 +16 *2546:19 *2557:13 0.0114305 +17 *2547:13 *2557:7 0.0197737 +18 *2547:16 *2557:10 0.225358 +19 *2547:19 *2557:13 9.22013e-06 +20 *2549:13 *2557:7 0 +21 *2555:16 *2557:10 4.0752e-05 +22 *2555:19 *2557:13 1.78514e-05 +23 *2556:7 *2557:7 0 +24 *2556:10 *2557:10 1.65872e-05 +25 *2556:13 *2557:13 0.000280352 +*RES +1 *2819:pll_sel[2] *2557:5 0.928211 +2 *2557:5 *2557:7 344.207 +3 *2557:7 *2557:9 4.5 +4 *2557:9 *2557:10 2356.68 +5 *2557:10 *2557:12 4.5 +6 *2557:12 *2557:13 201.776 +7 *2557:13 *2742:sel[2] 7.56244 +*END + +*D_NET *2558 0.00670585 +*CONN +*I *2823:ext_trim[0] I *D digital_pll +*I *2819:pll_trim[0] O *D housekeeping +*CAP +1 *2823:ext_trim[0] 0.000981075 +2 *2819:pll_trim[0] 0.000111687 +3 *2558:7 0.00319793 +4 *2558:5 0.00232855 +5 *2823:ext_trim[0] *2823:ext_trim[1] 0 +6 *2558:7 *2823:ext_trim[1] 8.66112e-05 +7 *2558:7 *2569:7 0 +8 *2823:dco *2823:ext_trim[0] 0 +9 *2547:10 *2558:7 0 +10 *2552:13 *2558:7 0 +*RES +1 *2819:pll_trim[0] *2558:5 3.17546 +2 *2558:5 *2558:7 63.2893 +3 *2558:7 *2823:ext_trim[0] 7.1035 +*END + +*D_NET *2559 0.00252339 +*CONN +*I *2823:ext_trim[10] I *D digital_pll +*I *2819:pll_trim[10] O *D housekeeping +*CAP +1 *2823:ext_trim[10] 0.00118167 +2 *2819:pll_trim[10] 0.00118167 +3 *2823:ext_trim[10] *2823:ext_trim[11] 8.82488e-05 +4 *2823:ext_trim[10] *2823:ext_trim[12] 1.14973e-06 +5 *2823:ext_trim[10] *2823:ext_trim[9] 0 +6 *2538:15 *2823:ext_trim[10] 7.0656e-05 +*RES +1 *2819:pll_trim[10] *2823:ext_trim[10] 43.0461 +*END + +*D_NET *2560 0.00288471 +*CONN +*I *2823:ext_trim[11] I *D digital_pll +*I *2819:pll_trim[11] O *D housekeeping +*CAP +1 *2823:ext_trim[11] 0.00129071 +2 *2819:pll_trim[11] 0.00129071 +3 *2823:ext_trim[11] *2823:ext_trim[12] 0.000147308 +4 *2823:ext_trim[10] *2823:ext_trim[11] 8.82488e-05 +5 *2538:15 *2823:ext_trim[11] 6.77202e-05 +*RES +1 *2819:pll_trim[11] *2823:ext_trim[11] 46.5699 +*END + +*D_NET *2561 0.00295386 +*CONN +*I *2823:ext_trim[12] I *D digital_pll +*I *2819:pll_trim[12] O *D housekeeping +*CAP +1 *2823:ext_trim[12] 0.0014027 +2 *2819:pll_trim[12] 0.0014027 +3 *2823:ext_trim[12] *2823:ext_trim[13] 0 +4 *2823:ext_trim[12] *2562:12 0 +5 *2823:ext_trim[12] *2563:12 0 +6 *2823:ext_trim[10] *2823:ext_trim[12] 1.14973e-06 +7 *2823:ext_trim[11] *2823:ext_trim[12] 0.000147308 +*RES +1 *2819:pll_trim[12] *2823:ext_trim[12] 49.1467 +*END + +*D_NET *2562 0.00383686 +*CONN +*I *2823:ext_trim[13] I *D digital_pll +*I *2819:pll_trim[13] O *D housekeeping +*CAP +1 *2823:ext_trim[13] 0.00025048 +2 *2819:pll_trim[13] 0.00120066 +3 *2562:12 0.00145114 +4 *2823:ext_trim[13] *2565:9 8.99995e-05 +5 *2823:ext_trim[13] *2566:9 0 +6 *2562:12 *2563:12 0.000844584 +7 *2823:ext_trim[12] *2823:ext_trim[13] 0 +8 *2823:ext_trim[12] *2562:12 0 +*RES +1 *2819:pll_trim[13] *2562:12 45.6723 +2 *2562:12 *2823:ext_trim[13] 6.99822 +*END + +*D_NET *2563 0.00481883 +*CONN +*I *2823:ext_trim[14] I *D digital_pll +*I *2819:pll_trim[14] O *D housekeeping +*CAP +1 *2823:ext_trim[14] 0.000277005 +2 *2819:pll_trim[14] 0.00112575 +3 *2563:12 0.00140276 +4 *2563:12 *2823:ext_trim[18] 6.50586e-05 +5 *2563:12 *2564:10 0.00110368 +6 *2823:ext_trim[12] *2563:12 0 +7 *2562:12 *2563:12 0.000844584 +*RES +1 *2819:pll_trim[14] *2563:12 48.306 +2 *2563:12 *2823:ext_trim[14] 6.58297 +*END + +*D_NET *2564 0.00556456 +*CONN +*I *2823:ext_trim[15] I *D digital_pll +*I *2819:pll_trim[15] O *D housekeeping +*CAP +1 *2823:ext_trim[15] 0.000189893 +2 *2819:pll_trim[15] 0.00114825 +3 *2564:10 0.00133814 +4 *2823:ext_trim[15] *2570:9 0.000244386 +5 *2823:ext_trim[15] *2571:10 0 +6 *2564:10 *2823:ext_trim[16] 0 +7 *2564:10 *2823:ext_trim[17] 0.001057 +8 *2564:10 *2823:ext_trim[18] 0.000159075 +9 *2564:10 *2823:ext_trim[19] 0.000324137 +10 *2564:10 *2565:9 0 +11 *2563:12 *2564:10 0.00110368 +*RES +1 *2819:pll_trim[15] *2564:10 47.5489 +2 *2564:10 *2823:ext_trim[15] 10.6677 +*END + +*D_NET *2565 0.00394872 +*CONN +*I *2823:ext_trim[16] I *D digital_pll +*I *2819:pll_trim[16] O *D housekeeping +*CAP +1 *2823:ext_trim[16] 0.000882902 +2 *2819:pll_trim[16] 0.000926816 +3 *2565:9 0.00180972 +4 *2823:ext_trim[16] *2823:ext_trim[17] 0.000116221 +5 *2823:ext_trim[16] *2823:ext_trim[20] 5.07452e-05 +6 *2823:ext_trim[16] *2572:9 5.8078e-05 +7 *2823:ext_trim[16] *2572:12 1.42457e-05 +8 *2823:ext_trim[16] *2573:9 0 +9 *2565:9 *2566:9 0 +10 *2823:ext_trim[13] *2565:9 8.99995e-05 +11 *2564:10 *2823:ext_trim[16] 0 +12 *2564:10 *2565:9 0 +*RES +1 *2819:pll_trim[16] *2565:9 31.0151 +2 *2565:9 *2823:ext_trim[16] 29.7783 +*END + +*D_NET *2566 0.00525062 +*CONN +*I *2823:ext_trim[17] I *D digital_pll +*I *2819:pll_trim[17] O *D housekeeping +*CAP +1 *2823:ext_trim[17] 0.000793316 +2 *2819:pll_trim[17] 0.000879026 +3 *2566:9 0.00167234 +4 *2823:ext_trim[17] *2823:ext_trim[19] 0.000573529 +5 *2823:ext_trim[17] *2572:12 0 +6 *2823:ext_trim[17] *2573:9 6.85803e-05 +7 *2823:ext_trim[17] *2573:12 0 +8 *2823:ext_trim[17] *2574:9 9.06048e-05 +9 *2566:9 *2567:9 0 +10 *2823:ext_trim[13] *2566:9 0 +11 *2823:ext_trim[16] *2823:ext_trim[17] 0.000116221 +12 *2564:10 *2823:ext_trim[17] 0.001057 +13 *2565:9 *2566:9 0 +*RES +1 *2819:pll_trim[17] *2566:9 28.9388 +2 *2566:9 *2823:ext_trim[17] 35.3784 +*END + +*D_NET *2567 0.00628987 +*CONN +*I *2823:ext_trim[18] I *D digital_pll +*I *2819:pll_trim[18] O *D housekeeping +*CAP +1 *2823:ext_trim[18] 0.000962972 +2 *2819:pll_trim[18] 0.000798383 +3 *2567:9 0.00176135 +4 *2823:ext_trim[18] *2823:ext_trim[19] 0.00225269 +5 *2823:ext_trim[18] *2575:9 0.000290332 +6 *2567:9 *2568:9 0 +7 *72:12 *2823:ext_trim[18] 0 +8 *2563:12 *2823:ext_trim[18] 6.50586e-05 +9 *2564:10 *2823:ext_trim[18] 0.000159075 +10 *2566:9 *2567:9 0 +*RES +1 *2819:pll_trim[18] *2567:9 27.1312 +2 *2567:9 *2823:ext_trim[18] 39.9518 +*END + +*D_NET *2568 0.00685926 +*CONN +*I *2823:ext_trim[19] I *D digital_pll +*I *2819:pll_trim[19] O *D housekeeping +*CAP +1 *2823:ext_trim[19] 0.000835791 +2 *2819:pll_trim[19] 0.00084198 +3 *2568:9 0.00167777 +4 *2823:ext_trim[19] *2573:12 0 +5 *2823:ext_trim[19] *2574:12 0 +6 *2568:9 *2570:9 0 +7 *2819:wb_clk_i *2823:ext_trim[19] 0.000269383 +8 *2823:ext_trim[17] *2823:ext_trim[19] 0.000573529 +9 *2823:ext_trim[18] *2823:ext_trim[19] 0.00225269 +10 *66:17 *2823:ext_trim[19] 8.39733e-05 +11 *2564:10 *2823:ext_trim[19] 0.000324137 +12 *2567:9 *2568:9 0 +*RES +1 *2819:pll_trim[19] *2568:9 28.1083 +2 *2568:9 *2823:ext_trim[19] 42.2951 +*END + +*D_NET *2569 0.00651777 +*CONN +*I *2823:ext_trim[1] I *D digital_pll +*I *2819:pll_trim[1] O *D housekeeping +*CAP +1 *2823:ext_trim[1] 0.00121172 +2 *2819:pll_trim[1] 7.21667e-05 +3 *2569:7 0.00314341 +4 *2569:5 0.00200385 +5 *2823:ext_trim[1] *2823:ext_trim[2] 0 +6 *2823:ext_trim[0] *2823:ext_trim[1] 0 +7 *2558:7 *2823:ext_trim[1] 8.66112e-05 +8 *2558:7 *2569:7 0 +*RES +1 *2819:pll_trim[1] *2569:5 2.05183 +2 *2569:5 *2569:7 54.8133 +3 *2569:7 *2823:ext_trim[1] 13.8877 +*END + +*D_NET *2570 0.006474 +*CONN +*I *2823:ext_trim[20] I *D digital_pll +*I *2819:pll_trim[20] O *D housekeeping +*CAP +1 *2823:ext_trim[20] 0.00148437 +2 *2819:pll_trim[20] 0.000949418 +3 *2570:9 0.00243379 +4 *2823:ext_trim[20] *2571:15 0.000343399 +5 *2823:ext_trim[20] *2572:12 0.000967885 +6 *2570:9 *2571:10 0 +7 *2823:ext_trim[15] *2570:9 0.000244386 +8 *2823:ext_trim[16] *2823:ext_trim[20] 5.07452e-05 +9 *2538:11 *2823:ext_trim[20] 0 +10 *2568:9 *2570:9 0 +*RES +1 *2819:pll_trim[20] *2570:9 31.9677 +2 *2570:9 *2823:ext_trim[20] 29.2656 +*END + +*D_NET *2571 0.00748457 +*CONN +*I *2823:ext_trim[21] I *D digital_pll +*I *2819:pll_trim[21] O *D housekeeping +*CAP +1 *2823:ext_trim[21] 0.000231222 +2 *2819:pll_trim[21] 0.00109759 +3 *2571:15 0.00101405 +4 *2571:10 0.00188042 +5 *2571:10 *2572:9 0 +6 *2571:15 *2572:12 3.73029e-05 +7 *2571:15 *2575:12 0.000113033 +8 *2819:wb_clk_i *2571:15 0.000266632 +9 *2823:ext_trim[15] *2571:10 0 +10 *2823:ext_trim[20] *2571:15 0.000343399 +11 *66:17 *2571:15 0.00108295 +12 *72:12 *2571:15 0.000169038 +13 *72:13 *2571:15 0.00107828 +14 *2538:11 *2571:15 0.000170642 +15 *2570:9 *2571:10 0 +*RES +1 *2819:pll_trim[21] *2571:10 34.5006 +2 *2571:10 *2571:15 48.6937 +3 *2571:15 *2823:ext_trim[21] 3.93415 +*END + +*D_NET *2572 0.015534 +*CONN +*I *2823:ext_trim[22] I *D digital_pll +*I *2819:pll_trim[22] O *D housekeeping +*CAP +1 *2823:ext_trim[22] 0.00150944 +2 *2819:pll_trim[22] 0.000984201 +3 *2572:12 0.00189769 +4 *2572:9 0.00137245 +5 *2823:ext_trim[22] *2574:13 7.77309e-06 +6 *2823:ext_trim[22] *2575:13 0.00228719 +7 *2572:9 *2573:9 0 +8 *2572:12 *2573:12 0.00357169 +9 *2572:12 *2575:12 0.00282603 +10 *2823:ext_trim[16] *2572:9 5.8078e-05 +11 *2823:ext_trim[16] *2572:12 1.42457e-05 +12 *2823:ext_trim[17] *2572:12 0 +13 *2823:ext_trim[20] *2572:12 0.000967885 +14 *2571:10 *2572:9 0 +15 *2571:15 *2572:12 3.73029e-05 +*RES +1 *2819:pll_trim[22] *2572:9 32.1143 +2 *2572:9 *2572:12 46.8187 +3 *2572:12 *2823:ext_trim[22] 43.1392 +*END + +*D_NET *2573 0.0164595 +*CONN +*I *2823:ext_trim[23] I *D digital_pll +*I *2819:pll_trim[23] O *D housekeeping +*CAP +1 *2823:ext_trim[23] 0.00126153 +2 *2819:pll_trim[23] 0.000973942 +3 *2573:15 0.00204851 +4 *2573:12 0.00121482 +5 *2573:9 0.00140178 +6 *2823:ext_trim[23] *2574:13 0.00152744 +7 *2573:9 *2574:9 0 +8 *2573:12 *2574:12 0.00339945 +9 *2573:12 *2575:12 1.65872e-05 +10 *2573:15 *2574:13 0.000763239 +11 *2819:mask_rev_in[4] *2573:15 0.000211913 +12 *2819:mask_rev_in[5] *2573:15 0 +13 *2823:ext_trim[16] *2573:9 0 +14 *2823:ext_trim[17] *2573:9 6.85803e-05 +15 *2823:ext_trim[17] *2573:12 0 +16 *2823:ext_trim[19] *2573:12 0 +17 *1703:11 *2573:15 0 +18 *2538:11 *2573:12 0 +19 *2572:9 *2573:9 0 +20 *2572:12 *2573:12 0.00357169 +*RES +1 *2819:pll_trim[23] *2573:9 32.2608 +2 *2573:9 *2573:12 44.6003 +3 *2573:12 *2573:15 30.0081 +4 *2573:15 *2823:ext_trim[23] 30.4592 +*END + +*D_NET *2574 0.0169712 +*CONN +*I *2823:ext_trim[24] I *D digital_pll +*I *2819:pll_trim[24] O *D housekeeping +*CAP +1 *2823:ext_trim[24] 0.000688632 +2 *2819:pll_trim[24] 0.000911277 +3 *2574:13 0.00160707 +4 *2574:12 0.00177233 +5 *2574:9 0.00176517 +6 *2574:9 *2575:9 0 +7 *2574:13 *2575:13 0.00420175 +8 *2819:mask_rev_in[4] *2574:13 0.000236445 +9 *2823:ext_trim[17] *2574:9 9.06048e-05 +10 *2823:ext_trim[19] *2574:12 0 +11 *2823:ext_trim[22] *2574:13 7.77309e-06 +12 *2823:ext_trim[23] *2574:13 0.00152744 +13 *2573:9 *2574:9 0 +14 *2573:12 *2574:12 0.00339945 +15 *2573:15 *2574:13 0.000763239 +*RES +1 *2819:pll_trim[24] *2574:9 30.722 +2 *2574:9 *2574:12 40.718 +3 *2574:12 *2574:13 68.8952 +4 *2574:13 *2823:ext_trim[24] 5.95615 +*END + +*D_NET *2575 0.0179254 +*CONN +*I *2823:ext_trim[25] I *D digital_pll +*I *2819:pll_trim[25] O *D housekeeping +*CAP +1 *2823:ext_trim[25] 0.000666486 +2 *2819:pll_trim[25] 0.000962254 +3 *2575:13 0.00220798 +4 *2575:12 0.00211774 +5 *2575:9 0.0015385 +6 *2819:wb_clk_i *2575:12 1.65872e-05 +7 *2823:ext_trim[18] *2575:9 0.000290332 +8 *2823:ext_trim[22] *2575:13 0.00228719 +9 *72:12 *2575:9 0 +10 *72:12 *2575:12 0.000680863 +11 *2538:11 *2575:12 0 +12 *2571:15 *2575:12 0.000113033 +13 *2572:12 *2575:12 0.00282603 +14 *2573:12 *2575:12 1.65872e-05 +15 *2574:9 *2575:9 0 +16 *2574:13 *2575:13 0.00420175 +*RES +1 *2819:pll_trim[25] *2575:9 32.5295 +2 *2575:9 *2575:12 35.172 +3 *2575:12 *2575:13 82.5985 +4 *2575:13 *2823:ext_trim[25] 5.87966 +*END + +*D_NET *2576 0.00484567 +*CONN +*I *2823:ext_trim[2] I *D digital_pll +*I *2819:pll_trim[2] O *D housekeeping +*CAP +1 *2823:ext_trim[2] 0.000521369 +2 *2819:pll_trim[2] 7.21667e-05 +3 *2576:7 0.00235067 +4 *2576:5 0.00190146 +5 *2823:ext_trim[2] *2823:ext_trim[3] 0 +6 *2576:7 *2577:7 0 +7 *2823:ext_trim[1] *2823:ext_trim[2] 0 +*RES +1 *2819:pll_trim[2] *2576:5 2.05183 +2 *2576:5 *2576:7 51.6623 +3 *2576:7 *2823:ext_trim[2] 5.34423 +*END + +*D_NET *2577 0.00415193 +*CONN +*I *2823:ext_trim[3] I *D digital_pll +*I *2819:pll_trim[3] O *D housekeeping +*CAP +1 *2823:ext_trim[3] 0.000401446 +2 *2819:pll_trim[3] 0.00167452 +3 *2577:7 0.00207596 +4 *2823:ext_trim[3] *2823:ext_trim[4] 0 +5 *2577:7 *2823:ext_trim[4] 0 +6 *2823:ext_trim[2] *2823:ext_trim[3] 0 +7 *2576:7 *2577:7 0 +*RES +1 *2819:pll_trim[3] *2577:7 47.3387 +2 *2577:7 *2823:ext_trim[3] 4.88529 +*END + +*D_NET *2578 0.00342273 +*CONN +*I *2823:ext_trim[4] I *D digital_pll +*I *2819:pll_trim[4] O *D housekeeping +*CAP +1 *2823:ext_trim[4] 0.00171137 +2 *2819:pll_trim[4] 0.00171137 +3 *2823:ext_trim[4] *2823:ext_trim[5] 0 +4 *2823:ext_trim[3] *2823:ext_trim[4] 0 +5 *2577:7 *2823:ext_trim[4] 0 +*RES +1 *2819:pll_trim[4] *2823:ext_trim[4] 46.2839 +*END + +*D_NET *2579 0.00273397 +*CONN +*I *2823:ext_trim[5] I *D digital_pll +*I *2819:pll_trim[5] O *D housekeeping +*CAP +1 *2823:ext_trim[5] 0.00136698 +2 *2819:pll_trim[5] 0.00136698 +3 *2823:ext_trim[5] *2823:ext_trim[6] 0 +4 *2823:ext_trim[5] *2823:ext_trim[7] 0 +5 *2823:ext_trim[4] *2823:ext_trim[5] 0 +*RES +1 *2819:pll_trim[5] *2823:ext_trim[5] 39.9349 +*END + +*D_NET *2580 0.00211614 +*CONN +*I *2823:ext_trim[6] I *D digital_pll +*I *2819:pll_trim[6] O *D housekeeping +*CAP +1 *2823:ext_trim[6] 0.00105807 +2 *2819:pll_trim[6] 0.00105807 +3 *2823:ext_trim[6] *2823:ext_trim[7] 0 +4 *2823:ext_trim[5] *2823:ext_trim[6] 0 +*RES +1 *2819:pll_trim[6] *2823:ext_trim[6] 29.3867 +*END + +*D_NET *2581 0.00193193 +*CONN +*I *2823:ext_trim[7] I *D digital_pll +*I *2819:pll_trim[7] O *D housekeeping +*CAP +1 *2823:ext_trim[7] 0.000965966 +2 *2819:pll_trim[7] 0.000965966 +3 *2823:ext_trim[7] *2823:ext_trim[8] 0 +4 *2823:ext_trim[5] *2823:ext_trim[7] 0 +5 *2823:ext_trim[6] *2823:ext_trim[7] 0 +*RES +1 *2819:pll_trim[7] *2823:ext_trim[7] 27.2112 +*END + +*D_NET *2582 0.00198073 +*CONN +*I *2823:ext_trim[8] I *D digital_pll +*I *2819:pll_trim[8] O *D housekeeping +*CAP +1 *2823:ext_trim[8] 0.000990365 +2 *2819:pll_trim[8] 0.000990365 +3 *2823:ext_trim[8] *2823:ext_trim[9] 0 +4 *2823:ext_trim[7] *2823:ext_trim[8] 0 +*RES +1 *2819:pll_trim[8] *2823:ext_trim[8] 27.773 +*END + +*D_NET *2583 0.00226197 +*CONN +*I *2823:ext_trim[9] I *D digital_pll +*I *2819:pll_trim[9] O *D housekeeping +*CAP +1 *2823:ext_trim[9] 0.00113099 +2 *2819:pll_trim[9] 0.00113099 +3 *2823:ext_trim[10] *2823:ext_trim[9] 0 +4 *2823:ext_trim[8] *2823:ext_trim[9] 0 +*RES +1 *2819:pll_trim[9] *2823:ext_trim[9] 31.1439 +*END + +*D_NET *2584 0.0333772 +*CONN +*I *2819:spi_sck I *D housekeeping +*I *2826:spi_sck O *D mgmt_core_wrapper +*CAP +1 *2819:spi_sck 0.00172325 +2 *2826:spi_sck 0.00161257 +3 *2584:11 0.00391612 +4 *2584:10 0.00219287 +5 *2584:8 0.00279246 +6 *2584:7 0.00440503 +7 *2819:spi_sck *2819:spi_sdo 0.000176441 +8 *2584:8 *2585:14 0.00101626 +9 *2584:11 *2586:11 0.0101555 +10 *2819:spi_csb *2819:spi_sck 0.000198188 +11 *774:14 *2584:8 0.00518855 +*RES +1 *2826:spi_sck *2584:7 8.32734 +2 *2584:7 *2584:8 117.895 +3 *2584:8 *2584:10 4.5 +4 *2584:10 *2584:11 106.098 +5 *2584:11 *2819:spi_sck 22.9534 +*END + +*D_NET *2585 0.0355382 +*CONN +*I *2826:spi_sdi I *D mgmt_core_wrapper +*I *2819:spi_sdi O *D housekeeping +*CAP +1 *2826:spi_sdi 0.0014442 +2 *2819:spi_sdi 0.00157398 +3 *2585:14 0.00280043 +4 *2585:13 0.00135623 +5 *2585:11 0.0022595 +6 *2585:10 0.00383348 +7 *2585:14 *2587:8 0.000814879 +8 *2819:ser_tx *2585:10 0.000332717 +9 *2819:spi_csb *2585:10 0 +10 *724:14 *2585:14 0.000417162 +11 *774:14 *2585:14 0.00399753 +12 *2542:11 *2585:11 0.010251 +13 *2544:8 *2585:14 0.00544085 +14 *2584:8 *2585:14 0.00101626 +*RES +1 *2819:spi_sdi *2585:10 18.7244 +2 *2585:10 *2585:11 107.762 +3 *2585:11 *2585:13 4.5 +4 *2585:13 *2585:14 115.403 +5 *2585:14 *2826:spi_sdi 8.17437 +*END + +*D_NET *2586 0.0452601 +*CONN +*I *2819:spi_sdo I *D housekeeping +*I *2826:spi_sdo O *D mgmt_core_wrapper +*CAP +1 *2819:spi_sdo 0.00150039 +2 *2826:spi_sdo 0.0014094 +3 *2586:11 0.00219035 +4 *2586:10 0.000689965 +5 *2586:8 0.00130305 +6 *2586:7 0.00271246 +7 *2819:spi_sdo *2819:spi_sdoenb 0 +8 *2586:8 *2587:8 0.00681155 +9 *2586:8 *2588:8 0.00570324 +10 *2819:spi_csb *2819:spi_sdo 0.000197799 +11 *2819:spi_sck *2819:spi_sdo 0.000176441 +12 *699:14 *2586:8 0 +13 *710:14 *2586:8 0.000943882 +14 *776:14 *2586:8 0.000524714 +15 *2543:11 *2586:11 0.0104548 +16 *2544:8 *2586:8 0.000486635 +17 *2584:11 *2586:11 0.0101555 +*RES +1 *2826:spi_sdo *2586:7 7.94489 +2 *2586:7 *2586:8 126.615 +3 *2586:8 *2586:10 4.5 +4 *2586:10 *2586:11 109.426 +5 *2586:11 *2819:spi_sdo 16.6482 +*END + +*D_NET *2587 0.0369415 +*CONN +*I *2819:spi_sdoenb I *D housekeeping +*I *2826:spi_sdoenb O *D mgmt_core_wrapper +*CAP +1 *2819:spi_sdoenb 0.00185938 +2 *2826:spi_sdoenb 0.00151768 +3 *2587:11 0.00418425 +4 *2587:10 0.00232487 +5 *2587:8 0.00146151 +6 *2587:7 0.00297918 +7 *2587:8 *2588:8 0.000256386 +8 *2819:spi_sdo *2819:spi_sdoenb 0 +9 *774:14 *2587:8 0.000746169 +10 *775:10 *2819:spi_sdoenb 6.75696e-05 +11 *775:11 *2587:11 1.92172e-05 +12 *776:10 *2819:spi_sdoenb 0.000172802 +13 *776:11 *2587:11 0.0103443 +14 *2544:8 *2587:8 0.00338167 +15 *2585:14 *2587:8 0.000814879 +16 *2586:8 *2587:8 0.00681155 +*RES +1 *2826:spi_sdoenb *2587:7 8.02138 +2 *2587:7 *2587:8 119.556 +3 *2587:8 *2587:10 4.5 +4 *2587:10 *2587:11 109.426 +5 *2587:11 *2819:spi_sdoenb 26.953 +*END + +*D_NET *2588 0.0395755 +*CONN +*I *2819:trap I *D housekeeping +*I *2826:trap O *D mgmt_core_wrapper +*CAP +1 *2819:trap 0.00160255 +2 *2826:trap 0.00141453 +3 *2588:11 0.00400637 +4 *2588:10 0.00240382 +5 *2588:8 0.00182034 +6 *2588:7 0.00323487 +7 *2819:debug_out *2819:trap 0 +8 *68:14 *2588:8 0.000271132 +9 *747:8 *2588:8 0.000279531 +10 *749:8 *2588:8 0 +11 *774:10 *2819:trap 0.000384809 +12 *774:11 *2588:11 0.0101957 +13 *774:14 *2588:8 0 +14 *776:14 *2588:8 0.00800223 +15 *2586:8 *2588:8 0.00570324 +16 *2587:8 *2588:8 0.000256386 +*RES +1 *2826:trap *2588:7 7.8684 +2 *2588:7 *2588:8 139.073 +3 *2588:8 *2588:10 4.5 +4 *2588:10 *2588:11 109.98 +5 *2588:11 *2819:trap 19.9702 +*END + +*D_NET *2589 0.0327122 +*CONN +*I *2819:uart_enabled I *D housekeeping +*I *2826:uart_enabled O *D mgmt_core_wrapper +*CAP +1 *2819:uart_enabled 0.00187834 +2 *2826:uart_enabled 0.00111123 +3 *2589:11 0.00429846 +4 *2589:10 0.00242013 +5 *2589:8 0.00145506 +6 *2589:7 0.00256629 +7 *2819:qspi_enabled *2819:uart_enabled 0.000177702 +8 *2819:spi_enabled *2819:uart_enabled 0 +9 *69:8 *2589:8 0.000734568 +10 *698:14 *2589:8 0.00476704 +11 *731:8 *2589:8 0 +12 *775:14 *2589:8 0 +13 *2541:10 *2819:uart_enabled 2.01653e-05 +14 *2541:11 *2589:11 0.0107943 +15 *2541:14 *2589:8 0.00248898 +*RES +1 *2826:uart_enabled *2589:7 7.33297 +2 *2589:7 *2589:8 96.3019 +3 *2589:8 *2589:10 4.5 +4 *2589:10 *2589:11 113.308 +5 *2589:11 *2819:uart_enabled 26.2754 +*END + +*D_NET *2590 0.0184143 +*CONN +*I *2821:analog_io[0] I *D user_project_wrapper +*I *2822:mprj_analog_io[0] I *D chip_io +*CAP +1 *2821:analog_io[0] 0.00102683 +2 *2822:mprj_analog_io[0] 0.000944938 +3 *2590:13 0.00274459 +4 *2590:12 0.00171776 +5 *2590:10 0.00512154 +6 *2590:9 0.00606648 +7 *2822:mprj_io_analog_en[7] *2590:9 0.000171079 +8 *2822:mprj_io_analog_pol[7] *2590:9 0.000133925 +9 *2822:mprj_io_dm[21] *2590:9 0.000197125 +10 *2822:mprj_io_dm[22] *2590:9 0.000197125 +11 *2822:mprj_io_inp_dis[7] *2590:9 9.29408e-05 +12 *676:14 *2590:9 0 +*RES +1 *2822:mprj_analog_io[0] *2590:9 35.2348 +2 *2590:9 *2590:10 126.618 +3 *2590:10 *2590:12 4.5 +4 *2590:12 *2590:13 48.5479 +5 *2590:13 *2821:analog_io[0] 6.87403 +*END + +*D_NET *2591 0.0202014 +*CONN +*I *2821:analog_io[10] I *D user_project_wrapper +*I *2822:mprj_analog_io[10] I *D chip_io +*CAP +1 *2821:analog_io[10] 0.00123998 +2 *2822:mprj_analog_io[10] 0.00362314 +3 *2591:14 0.00385062 +4 *2591:13 0.00385137 +5 *2591:10 0.00486386 +6 *2822:mprj_io_slow_sel[17] *2591:10 0 +7 *106:59 *2821:analog_io[10] 0 +8 *107:17 *2591:10 0.00172433 +9 *616:41 *2591:14 0 +10 *623:17 *2591:10 0.000920983 +11 *2265:13 *2591:13 2.87136e-06 +12 *2266:8 *2591:10 0.00012426 +*RES +1 *2822:mprj_analog_io[10] *2591:10 29.449 +2 *2591:10 *2591:13 38.514 +3 *2591:13 *2591:14 72.2673 +4 *2591:14 *2821:analog_io[10] 32.1998 +*END + +*D_NET *2592 0.0356919 +*CONN +*I *2821:analog_io[11] I *D user_project_wrapper +*I *2822:mprj_analog_io[11] I *D chip_io +*CAP +1 *2821:analog_io[11] 0.00271605 +2 *2822:mprj_analog_io[11] 0.000847595 +3 *2592:28 0.00271605 +4 *2592:26 0.00301653 +5 *2592:25 0.00339361 +6 *2592:20 0.00535686 +7 *2592:18 0.00562236 +8 *2592:12 0.00149017 +9 *2592:20 *2627:13 0.000171273 +10 *2592:25 *2796:user_gpio_oeb 1.5714e-05 +11 *2796:resetn *2592:20 1.45065e-05 +12 *108:13 *2592:20 0.000429755 +13 *108:16 *2592:25 0 +14 *624:13 *2592:20 0.000432613 +15 *648:13 *2592:20 0.000765201 +16 *648:16 *2592:25 0 +17 *668:17 *2592:18 9.16264e-05 +18 *668:17 *2592:20 0.00463093 +19 *668:25 *2592:18 0.000718715 +20 *2000:20 *2821:analog_io[11] 0.00150913 +21 *2038:20 *2821:analog_io[11] 0.00149866 +22 *2128:22 *2592:26 0.00025458 +*RES +1 *2822:mprj_analog_io[11] *2592:12 32.2539 +2 *2592:12 *2592:18 27.0077 +3 *2592:18 *2592:20 196.776 +4 *2592:20 *2592:25 18.7218 +5 *2592:25 *2592:26 82.8047 +6 *2592:26 *2592:28 4.5 +7 *2592:28 *2821:analog_io[11] 86.2503 +*END + +*D_NET *2593 0.0615796 +*CONN +*I *2821:analog_io[12] I *D user_project_wrapper +*I *2822:mprj_analog_io[12] I *D chip_io +*CAP +1 *2821:analog_io[12] 0.00250912 +2 *2822:mprj_analog_io[12] 0.000427435 +3 *2593:10 0.00250912 +4 *2593:8 0.0187903 +5 *2593:7 0.0192177 +6 *2593:7 *2594:15 0 +7 *2593:8 *2594:12 0 +8 *2822:mprj_io_slow_sel[18] *2593:8 0.00771618 +9 *108:17 *2593:8 0.00131375 +10 *624:17 *2593:8 0.000897913 +11 *648:17 *2593:8 0.000712926 +12 *2131:17 *2593:8 0.000220531 +13 *2230:17 *2593:8 0.000379349 +14 *2267:8 *2593:8 0.00119449 +15 *2344:17 *2593:8 0.00163831 +16 *2382:13 *2593:8 0.000762828 +17 *2458:17 *2593:8 0.00328964 +*RES +1 *2822:mprj_analog_io[12] *2593:7 14.2508 +2 *2593:7 *2593:8 72.4861 +3 *2593:8 *2593:10 3.36879 +4 *2593:10 *2821:analog_io[12] 61.5428 +*END + +*D_NET *2594 0.043315 +*CONN +*I *2821:analog_io[13] I *D user_project_wrapper +*I *2822:mprj_analog_io[13] I *D chip_io +*CAP +1 *2821:analog_io[13] 0.00156725 +2 *2822:mprj_analog_io[13] 0.000253597 +3 *2594:16 0.00472596 +4 *2594:15 0.00437404 +5 *2594:12 0.0121439 +6 *2594:9 0.0111822 +7 *2594:16 *2631:23 0.00298597 +8 *2822:mprj_io_inp_dis[19] *2594:12 8.92601e-06 +9 *2822:mprj_io_dm[62] *2594:12 0.00122569 +10 *2822:mprj_io_ib_mode_sel[20] *2594:12 0 +11 *100:38 *2821:analog_io[13] 0 +12 *659:31 *2821:analog_io[13] 0 +13 *2136:17 *2594:12 0.000838616 +14 *2460:15 *2594:12 0.000549095 +15 *2537:15 *2594:16 0.00345977 +16 *2593:7 *2594:15 0 +17 *2593:8 *2594:12 0 +*RES +1 *2822:mprj_analog_io[13] *2594:9 8.91362 +2 *2594:9 *2594:12 46.7121 +3 *2594:12 *2594:15 37.2683 +4 *2594:15 *2594:16 129.391 +5 *2594:16 *2821:analog_io[13] 40.9201 +*END + +*D_NET *2595 0.0349872 +*CONN +*I *2821:analog_io[14] I *D user_project_wrapper +*I *2822:mprj_analog_io[14] I *D chip_io +*CAP +1 *2821:analog_io[14] 0.00148341 +2 *2822:mprj_analog_io[14] 0.00881559 +3 *2595:16 0.0058127 +4 *2595:15 0.00527884 +5 *2595:10 0.00976514 +6 *2595:16 *2632:17 0.00383149 +*RES +1 *2822:mprj_analog_io[14] *2595:10 47.3401 +2 *2595:10 *2595:15 33.993 +3 *2595:15 *2595:16 137.71 +4 *2595:16 *2821:analog_io[14] 40.0896 +*END + +*D_NET *2596 0.031873 +*CONN +*I *2821:analog_io[15] I *D user_project_wrapper +*I *2822:mprj_analog_io[15] I *D chip_io +*CAP +1 *2821:analog_io[15] 0.00238315 +2 *2822:mprj_analog_io[15] 0.000427349 +3 *2596:10 0.0126883 +4 *2596:7 0.0107325 +5 *2234:23 *2596:10 0.000572046 +6 *2348:17 *2596:10 0.00338415 +7 *2462:17 *2596:10 0.00168557 +*RES +1 *2822:mprj_analog_io[15] *2596:7 14.2508 +2 *2596:7 *2596:10 42.3522 +3 *2596:10 *2821:analog_io[15] 61.5428 +*END + +*D_NET *2597 0.0339004 +*CONN +*I *2821:analog_io[16] I *D user_project_wrapper +*I *2822:mprj_analog_io[16] I *D chip_io +*CAP +1 *2821:analog_io[16] 0.00127584 +2 *2822:mprj_analog_io[16] 0.000334061 +3 *2597:44 0.00269403 +4 *2597:38 0.0030637 +5 *2597:35 0.00239086 +6 *2597:32 0.00155877 +7 *2597:26 0.00351787 +8 *2597:25 0.0032683 +9 *2597:16 0.000897907 +10 *2597:25 *2672:11 0.000237053 +11 *2597:25 *2710:11 0.00124606 +12 *2822:mprj_io_analog_en[23] *2597:16 0.00130751 +13 *2822:mprj_io_dm[69] *2597:16 0.00122931 +14 *2822:mprj_io_dm[70] *2597:16 0.000158211 +15 *2822:mprj_io_slow_sel[23] *2597:16 1.41291e-05 +16 *629:20 *2597:35 0.00210551 +17 *653:18 *2597:32 0.000208756 +18 *1969:11 *2597:25 3.4123e-05 +19 *2147:23 *2597:16 0.000602629 +20 *2273:19 *2597:16 1.92172e-05 +21 *2273:19 *2597:25 0.00124966 +22 *2349:13 *2597:26 0 +23 *2425:11 *2597:26 0.00648686 +*RES +1 *2822:mprj_analog_io[16] *2597:16 33.0014 +2 *2597:16 *2597:25 42.5322 +3 *2597:25 *2597:26 104.157 +4 *2597:26 *2597:32 25.8522 +5 *2597:32 *2597:35 38.3064 +6 *2597:35 *2597:38 49.5917 +7 *2597:38 *2597:44 48.1116 +8 *2597:44 *2821:analog_io[16] 31.437 +*END + +*D_NET *2598 0.0144356 +*CONN +*I *2821:analog_io[17] I *D user_project_wrapper +*I *2822:mprj_analog_io[17] I *D chip_io +*CAP +1 *2821:analog_io[17] 0.00218271 +2 *2822:mprj_analog_io[17] 0.00136626 +3 *2598:14 0.00578643 +4 *2598:13 0.00360372 +5 *2598:11 0.00136626 +6 *2822:mprj_io_slow_sel[24] *2598:11 0.000130215 +7 *2274:16 *2598:11 0 +*RES +1 *2822:mprj_analog_io[17] *2598:11 48.1148 +2 *2598:11 *2598:13 4.5 +3 *2598:13 *2598:14 97.2244 +4 *2598:14 *2821:analog_io[17] 31.9688 +*END + +*D_NET *2599 0.104783 +*CONN +*I *2821:analog_io[18] I *D user_project_wrapper +*I *2822:mprj_analog_io[18] I *D chip_io +*CAP +1 *2821:analog_io[18] 0.00187154 +2 *2822:mprj_analog_io[18] 0.00119568 +3 *2599:20 0.00404774 +4 *2599:19 0.0021762 +5 *2599:17 0.0111385 +6 *2599:16 0.0121443 +7 *2599:13 0.00220148 +8 *2599:17 *2637:16 0.0221523 +9 *102:49 *2599:13 0.000170738 +10 *106:43 *2599:13 0 +11 *649:56 *2599:17 0.0464532 +12 *2009:28 *2599:13 0.0012313 +*RES +1 *2822:mprj_analog_io[18] *2599:13 48.6212 +2 *2599:13 *2599:16 29.0714 +3 *2599:16 *2599:17 741.396 +4 *2599:17 *2599:19 4.5 +5 *2599:19 *2599:20 60.6206 +6 *2599:20 *2821:analog_io[18] 24.9095 +*END + +*D_NET *2600 0.0745401 +*CONN +*I *2821:analog_io[19] I *D user_project_wrapper +*I *2822:mprj_analog_io[19] I *D chip_io +*CAP +1 *2821:analog_io[19] 0.00205705 +2 *2822:mprj_analog_io[19] 0.00116402 +3 *2600:26 0.0036037 +4 *2600:23 0.0206855 +5 *2600:22 0.0191389 +6 *2600:20 0.00235478 +7 *2600:19 0.0035188 +8 *2600:23 *2674:14 0.0199344 +9 *106:37 *2600:19 0 +10 *1972:12 *2600:19 0.000210973 +11 *2010:12 *2600:19 0.00017947 +12 *2238:27 *2600:19 0.000358893 +13 *2238:33 *2600:19 0 +14 *2276:11 *2600:19 0.00133366 +*RES +1 *2822:mprj_analog_io[19] *2600:19 38.0352 +2 *2600:19 *2600:20 55.6292 +3 *2600:20 *2600:22 4.5 +4 *2600:22 *2600:23 664.99 +5 *2600:23 *2600:26 46.8187 +6 *2600:26 *2821:analog_io[19] 27.3682 +*END + +*D_NET *2601 0.0228042 +*CONN +*I *2821:analog_io[1] I *D user_project_wrapper +*I *2822:mprj_analog_io[1] I *D chip_io +*CAP +1 *2821:analog_io[1] 0.00108679 +2 *2822:mprj_analog_io[1] 0.000267499 +3 *2601:17 0.00410232 +4 *2601:16 0.00301552 +5 *2601:14 0.00491996 +6 *2601:13 0.00570856 +7 *2601:10 0.00105611 +8 *2601:17 *2692:10 0.000110775 +9 *2822:mprj_io_analog_en[8] *2601:10 0 +10 *2822:mprj_io_analog_en[8] *2601:13 0.000601118 +11 *2822:mprj_io_analog_pol[8] *2601:13 0.00111694 +12 *2822:mprj_io_dm[24] *2601:13 0 +13 *2822:mprj_io_dm[25] *2601:10 6.84044e-05 +14 *2822:mprj_io_inp_dis[8] *2601:13 0 +15 *627:22 *2601:17 0 +16 *2100:10 *2601:13 0.000149334 +17 *2409:30 *2601:13 0.000600886 +*RES +1 *2822:mprj_analog_io[1] *2601:10 16.3903 +2 *2601:10 *2601:13 42.4589 +3 *2601:13 *2601:14 121.072 +4 *2601:14 *2601:16 4.5 +5 *2601:16 *2601:17 86.7511 +6 *2601:17 *2821:analog_io[1] 7.1035 +*END + +*D_NET *2602 0.0722943 +*CONN +*I *2821:analog_io[20] I *D user_project_wrapper +*I *2822:mprj_analog_io[20] I *D chip_io +*CAP +1 *2821:analog_io[20] 0.00162974 +2 *2822:mprj_analog_io[20] 0.000890277 +3 *2602:22 0.0023264 +4 *2602:17 0.0166752 +5 *2602:16 0.0159786 +6 *2602:14 0.00276765 +7 *2602:13 0.00276765 +8 *2602:11 0.000890277 +9 *2821:analog_io[20] *2636:14 0.000922005 +10 *2602:17 *2639:16 0.0141038 +11 *2602:17 *2675:14 0.00791315 +12 *2602:22 *2712:17 0 +13 *2822:mprj_io_slow_sel[27] *2602:11 0 +14 *106:37 *2602:11 0.000300425 +15 *617:14 *2602:11 0.000691679 +16 *630:20 *2602:22 0.00313886 +17 *2162:15 *2602:14 3.30464e-05 +18 *2277:10 *2602:11 0 +19 *2353:13 *2602:11 5.04829e-06 +20 *2353:16 *2602:11 0 +21 *2391:8 *2602:11 0.00126049 +*RES +1 *2822:mprj_analog_io[20] *2602:11 48.6317 +2 *2602:11 *2602:13 4.5 +3 *2602:13 *2602:14 67.8304 +4 *2602:14 *2602:16 4.5 +5 *2602:16 *2602:17 613.498 +6 *2602:17 *2602:22 41.8904 +7 *2602:22 *2821:analog_io[20] 22.7044 +*END + +*D_NET *2603 0.087532 +*CONN +*I *2821:analog_io[21] I *D user_project_wrapper +*I *2822:mprj_analog_io[21] I *D chip_io +*CAP +1 *2821:analog_io[21] 0.0015627 +2 *2822:mprj_analog_io[21] 0.00101054 +3 *2603:28 0.00376182 +4 *2603:27 0.00219912 +5 *2603:25 0.00831179 +6 *2603:24 0.00934157 +7 *2603:20 0.00204032 +8 *2603:25 *2678:14 0.000522182 +9 *2603:25 *2715:14 0.0196205 +10 *102:49 *2603:20 0.000152287 +11 *649:56 *2603:25 0.0369417 +12 *2165:20 *2603:20 0.000996199 +13 *2166:18 *2603:20 0.000949098 +14 *2202:23 *2603:20 3.31882e-05 +15 *2240:20 *2603:20 0 +16 *2468:23 *2603:20 8.90415e-05 +*RES +1 *2822:mprj_analog_io[21] *2603:20 48.4562 +2 *2603:20 *2603:24 29.0714 +3 *2603:24 *2603:25 590.244 +4 *2603:25 *2603:27 4.5 +5 *2603:27 *2603:28 60.6206 +6 *2603:28 *2821:analog_io[21] 13.2824 +*END + +*D_NET *2604 0.0662899 +*CONN +*I *2821:analog_io[22] I *D user_project_wrapper +*I *2822:mprj_analog_io[22] I *D chip_io +*CAP +1 *2821:analog_io[22] 0.00165832 +2 *2822:mprj_analog_io[22] 0.000715603 +3 *2604:20 0.00260444 +4 *2604:17 0.0142074 +5 *2604:16 0.0149719 +6 *2604:13 0.00193906 +7 *2604:10 0.000944054 +8 *2604:17 *2677:14 0.00504808 +9 *2604:17 *2716:14 0.0161144 +10 *2822:mprj_io_slow_sel[29] *2604:10 0 +11 *102:49 *2604:13 0.000286122 +12 *117:44 *2604:20 0.00424767 +13 *680:8 *2604:13 0.00177467 +14 *1975:18 *2604:10 0 +15 *2051:10 *2604:13 0.00177827 +*RES +1 *2822:mprj_analog_io[22] *2604:10 24.0154 +2 *2604:10 *2604:13 32.9081 +3 *2604:13 *2604:16 46.2641 +4 *2604:16 *2604:17 522.558 +5 *2604:17 *2604:20 49.0371 +6 *2604:20 *2821:analog_io[22] 15.8505 +*END + +*D_NET *2605 0.0615073 +*CONN +*I *2821:analog_io[23] I *D user_project_wrapper +*I *2822:mprj_analog_io[23] I *D chip_io +*CAP +1 *2821:analog_io[23] 0.00169646 +2 *2822:mprj_analog_io[23] 0.00131431 +3 *2605:20 0.00379992 +4 *2605:19 0.00210346 +5 *2605:17 0.00959361 +6 *2605:16 0.0107079 +7 *2605:11 0.00242856 +8 *2605:17 *2678:14 0.0069737 +9 *2605:17 *2718:14 0.0126047 +10 *102:25 *2605:11 0.000628648 +11 *649:56 *2605:17 0.00738924 +12 *1728:27 *2605:17 0.00185281 +13 *2172:16 *2605:11 0 +14 *2471:14 *2605:11 0.000413964 +*RES +1 *2822:mprj_analog_io[23] *2605:11 47.9085 +2 *2605:11 *2605:16 34.6806 +3 *2605:16 *2605:17 473.974 +4 *2605:17 *2605:19 4.5 +5 *2605:19 *2605:20 57.293 +6 *2605:20 *2821:analog_io[23] 15.3259 +*END + +*D_NET *2606 0.0441644 +*CONN +*I *2821:analog_io[24] I *D user_project_wrapper +*I *2822:mprj_analog_io[24] I *D chip_io +*CAP +1 *2821:analog_io[24] 0.00176766 +2 *2822:mprj_analog_io[24] 0.000678692 +3 *2606:20 0.00339023 +4 *2606:17 0.0163977 +5 *2606:16 0.0166401 +6 *2606:13 0.00254366 +7 *2821:analog_io[24] *2642:10 0 +8 *682:8 *2606:13 0.000146578 +9 *1978:16 *2606:13 0 +10 *2016:16 *2606:13 0.00130168 +11 *2472:8 *2606:13 0.00129808 +*RES +1 *2822:mprj_analog_io[24] *2606:13 45.2908 +2 *2606:13 *2606:16 49.5917 +3 *2606:16 *2606:17 418.33 +4 *2606:17 *2606:20 49.5917 +5 *2606:20 *2821:analog_io[24] 19.096 +*END + +*D_NET *2607 0.123967 +*CONN +*I *2821:analog_io[25] I *D user_project_wrapper +*I *2822:mprj_analog_io[25] I *D chip_io +*CAP +1 *2821:analog_io[25] 0.00178639 +2 *2822:mprj_analog_io[25] 0.00184878 +3 *2607:14 0.00395428 +4 *2607:13 0.00216789 +5 *2607:11 0.012581 +6 *2607:10 0.012581 +7 *2607:8 0.00184878 +8 *2607:11 *2645:16 0.030867 +9 *2822:mprj_io_slow_sel[32] *2607:8 0 +10 *1728:27 *2607:11 0.0562205 +11 *1979:8 *2607:8 0 +12 *2359:13 *2607:8 0.000111722 +*RES +1 *2822:mprj_analog_io[25] *2607:8 46.1363 +2 *2607:8 *2607:10 4.5 +3 *2607:10 *2607:11 897.116 +4 *2607:11 *2607:13 4.5 +5 *2607:13 *2607:14 60.066 +6 *2607:14 *2821:analog_io[25] 20.3417 +*END + +*D_NET *2608 0.0912796 +*CONN +*I *2821:analog_io[26] I *D user_project_wrapper +*I *2822:mprj_analog_io[26] I *D chip_io +*CAP +1 *2821:analog_io[26] 0.00189708 +2 *2822:mprj_analog_io[26] 3.68043e-05 +3 *2608:14 0.00351964 +4 *2608:11 0.0237378 +5 *2608:10 0.0221152 +6 *2608:8 0.00231022 +7 *2608:7 0.00234703 +8 *2821:analog_io[26] *2644:14 0 +9 *2608:11 *2721:14 0.0353158 +10 *2822:mprj_io_dm[100] *2608:8 0 +11 *1980:16 *2608:7 0 +*RES +1 *2822:mprj_analog_io[26] *2608:7 5.40989 +2 *2608:7 *2608:8 53.4107 +3 *2608:8 *2608:10 4.5 +4 *2608:10 *2608:11 844.794 +5 *2608:11 *2608:14 49.5917 +6 *2608:14 *2821:analog_io[26] 22.418 +*END + +*D_NET *2609 0.0859936 +*CONN +*I *2821:analog_io[27] I *D user_project_wrapper +*I *2822:mprj_analog_io[27] I *D chip_io +*CAP +1 *2821:analog_io[27] 0.00166084 +2 *2822:mprj_analog_io[27] 0.000749992 +3 *2609:22 0.00285989 +4 *2609:17 0.0240935 +5 *2609:16 0.0228945 +6 *2609:14 0.00272718 +7 *2609:13 0.00347717 +8 *2821:analog_io[27] *2644:14 0.000744765 +9 *2609:17 *2683:14 0.0248366 +10 *2609:17 *2686:14 0.0012834 +11 *2822:mprj_io_analog_en[34] *2609:13 0 +12 *2822:mprj_io_analog_pol[34] *2609:13 0 +13 *2822:mprj_io_analog_pol[34] *2609:14 0 +14 *2822:mprj_io_dm[102] *2609:13 0 +15 *2822:mprj_io_dm[103] *2609:13 0.000105778 +16 *2822:mprj_io_inp_dis[34] *2609:14 1.15879e-05 +17 *619:20 *2609:13 0.000174147 +18 *2057:14 *2609:13 0.000374328 +*RES +1 *2822:mprj_analog_io[27] *2609:13 38.9143 +2 *2609:13 *2609:14 67.2758 +3 *2609:14 *2609:16 4.5 +4 *2609:16 *2609:17 809.497 +5 *2609:17 *2609:22 41.8904 +6 *2609:22 *2821:analog_io[27] 19.7976 +*END + +*D_NET *2610 0.107847 +*CONN +*I *2821:analog_io[28] I *D user_project_wrapper +*I *2822:mprj_analog_io[28] I *D chip_io +*CAP +1 *2821:analog_io[28] 0.00202858 +2 *2822:mprj_analog_io[28] 0.00179285 +3 *2610:14 0.00424238 +4 *2610:13 0.0022138 +5 *2610:11 0.00851571 +6 *2610:10 0.00851571 +7 *2610:8 0.00179285 +8 *2821:analog_io[28] *2646:16 0 +9 *2610:11 *2645:16 0.00498911 +10 *2610:11 *2723:14 0.0282312 +11 *2822:mprj_io_slow_sel[35] *2610:8 0 +12 *1728:27 *2610:11 0.0455244 +13 *2074:23 *2610:8 0 +*RES +1 *2822:mprj_analog_io[28] *2610:8 46.1363 +2 *2610:8 *2610:10 4.5 +3 *2610:10 *2610:11 727.693 +4 *2610:11 *2610:13 4.5 +5 *2610:13 *2610:14 60.066 +6 *2610:14 *2821:analog_io[28] 26.1553 +*END + +*D_NET *2611 0.030806 +*CONN +*I *2821:analog_io[2] I *D user_project_wrapper +*I *2822:mprj_analog_io[2] I *D chip_io +*CAP +1 *2821:analog_io[2] 0.00129924 +2 *2822:mprj_analog_io[2] 0.00102567 +3 *2611:17 0.00436503 +4 *2611:16 0.00306579 +5 *2611:14 0.00476565 +6 *2611:13 0.00476565 +7 *2611:11 0.00102567 +8 *2822:mprj_io_analog_en[9] *2611:11 0 +9 *2822:mprj_io_analog_pol[9] *2611:11 0 +10 *2822:mprj_io_analog_sel[9] *2611:14 0 +11 *2822:mprj_io_dm[27] *2611:11 0 +12 *2822:mprj_io_dm[28] *2611:11 9.2668e-05 +13 *2822:mprj_io_inp_dis[9] *2611:11 0 +14 *627:22 *2611:17 0.00889298 +15 *2029:14 *2611:11 0.00103372 +16 *2103:36 *2611:11 0.000473956 +*RES +1 *2822:mprj_analog_io[2] *2611:11 48.3963 +2 *2611:11 *2611:13 4.5 +3 *2611:13 *2611:14 116.081 +4 *2611:14 *2611:16 4.5 +5 *2611:16 *2611:17 141.98 +6 *2611:17 *2821:analog_io[2] 7.8684 +*END + +*D_NET *2612 0.0358867 +*CONN +*I *2821:analog_io[3] I *D user_project_wrapper +*I *2822:mprj_analog_io[3] I *D chip_io +*CAP +1 *2821:analog_io[3] 0.00129924 +2 *2822:mprj_analog_io[3] 0.00100156 +3 *2612:17 0.00553107 +4 *2612:16 0.00423184 +5 *2612:14 0.00468406 +6 *2612:13 0.00568562 +7 *2612:17 *2694:10 0 +8 *2822:mprj_io_analog_en[10] *2612:13 0.000284208 +9 *2822:mprj_io_dm[31] *2612:13 8.62584e-05 +10 *627:22 *2612:17 0.0122739 +11 *2108:14 *2612:13 0 +12 *2297:8 *2612:13 0.000154747 +13 *2298:14 *2612:13 0.00065422 +14 *2412:14 *2612:13 0 +*RES +1 *2822:mprj_analog_io[3] *2612:13 48.8859 +2 *2612:13 *2612:14 114.417 +3 *2612:14 *2612:16 4.5 +4 *2612:16 *2612:17 195.962 +5 *2612:17 *2821:analog_io[3] 7.8684 +*END + +*D_NET *2613 0.0413859 +*CONN +*I *2821:analog_io[4] I *D user_project_wrapper +*I *2822:mprj_analog_io[4] I *D chip_io +*CAP +1 *2821:analog_io[4] 0.00129924 +2 *2822:mprj_analog_io[4] 0.000235746 +3 *2613:17 0.00634883 +4 *2613:16 0.00504959 +5 *2613:14 0.00461899 +6 *2613:13 0.0055753 +7 *2613:10 0.00119206 +8 *2822:mprj_io_analog_en[11] *2613:13 0 +9 *2822:mprj_io_analog_pol[11] *2613:13 0 +10 *2822:mprj_io_dm[33] *2613:10 0 +11 *2822:mprj_io_dm[33] *2613:13 0.00080439 +12 *2822:mprj_io_dm[34] *2613:10 6.40706e-05 +13 *2822:mprj_io_dm[34] *2613:13 0 +14 *2822:mprj_io_inp_dis[11] *2613:13 0 +15 *627:22 *2613:17 0.0146728 +16 *2108:26 *2613:10 0 +17 *2112:14 *2613:13 0.000745097 +18 *2223:8 *2613:13 0.00014132 +19 *2261:16 *2613:14 0.000212959 +20 *2413:23 *2613:14 0.000395985 +21 *2413:24 *2613:13 2.95757e-05 +*RES +1 *2822:mprj_analog_io[4] *2613:10 15.8357 +2 *2613:10 *2613:13 42.8742 +3 *2613:13 *2613:14 116.081 +4 *2613:14 *2613:16 4.5 +5 *2613:16 *2613:17 234.166 +6 *2613:17 *2821:analog_io[4] 7.8684 +*END + +*D_NET *2614 0.046235 +*CONN +*I *2821:analog_io[5] I *D user_project_wrapper +*I *2822:mprj_analog_io[5] I *D chip_io +*CAP +1 *2821:analog_io[5] 0.00129924 +2 *2822:mprj_analog_io[5] 0.00107106 +3 *2614:17 0.00754404 +4 *2614:16 0.0062448 +5 *2614:14 0.00471611 +6 *2614:13 0.00471611 +7 *2614:11 0.00107106 +8 *2822:mprj_io_analog_en[12] *2614:11 0 +9 *2822:mprj_io_analog_pol[12] *2614:11 0 +10 *2822:mprj_io_analog_sel[12] *2614:14 0 +11 *2822:mprj_io_dm[36] *2614:11 0 +12 *2822:mprj_io_dm[37] *2614:11 0 +13 *2822:mprj_io_inp_dis[12] *2614:11 0 +14 *627:22 *2614:17 0.0181291 +15 *1957:30 *2614:11 0.000601103 +16 *2112:21 *2614:11 6.37283e-05 +17 *2117:21 *2614:11 5.04829e-06 +18 *2117:24 *2614:11 0.00069262 +19 *2186:19 *2614:14 8.09757e-05 +*RES +1 *2822:mprj_analog_io[5] *2614:11 48.8116 +2 *2614:11 *2614:13 4.5 +3 *2614:13 *2614:14 116.081 +4 *2614:14 *2614:16 4.5 +5 *2614:16 *2614:17 289.394 +6 *2614:17 *2821:analog_io[5] 7.8684 +*END + +*D_NET *2615 0.0200988 +*CONN +*I *2821:analog_io[6] I *D user_project_wrapper +*I *2822:mprj_analog_io[6] I *D chip_io +*CAP +1 *2821:analog_io[6] 0.00120672 +2 *2822:mprj_analog_io[6] 0.00093171 +3 *2615:17 0.00315563 +4 *2615:16 0.00194892 +5 *2615:14 0.00473122 +6 *2615:13 0.00566293 +7 *2822:mprj_io_analog_en[13] *2615:13 0 +8 *2822:mprj_io_analog_pol[13] *2615:13 0 +9 *2822:mprj_io_dm[39] *2615:13 0 +10 *2822:mprj_io_dm[40] *2615:13 6.6461e-05 +11 *2822:mprj_io_inp_dis[13] *2615:13 0 +12 *627:22 *2615:17 0.00158027 +13 *2117:38 *2615:13 0.000814965 +*RES +1 *2822:mprj_analog_io[6] *2615:13 46.8041 +2 *2615:13 *2615:14 119.409 +3 *2615:14 *2615:16 4.5 +4 *2615:16 *2615:17 73.8783 +5 *2615:17 *2821:analog_io[6] 7.56244 +*END + +*D_NET *2616 0.0354792 +*CONN +*I *2821:analog_io[7] I *D user_project_wrapper +*I *2822:mprj_analog_io[7] I *D chip_io +*CAP +1 *2821:analog_io[7] 0.00139648 +2 *2822:mprj_analog_io[7] 0.00101392 +3 *2616:17 0.00284455 +4 *2616:16 0.00144807 +5 *2616:14 0.00462978 +6 *2616:13 0.00462978 +7 *2616:11 0.00101392 +8 *2616:17 *2662:8 0.00841223 +9 *2616:17 *2700:8 0.00836012 +10 *2822:mprj_io_analog_en[14] *2616:11 0 +11 *2822:mprj_io_analog_pol[14] *2616:11 0 +12 *2822:mprj_io_dm[42] *2616:11 0 +13 *2822:mprj_io_dm[43] *2616:11 6.68703e-05 +14 *2822:mprj_io_inp_dis[14] *2616:11 0 +15 *621:48 *2616:11 0.00091651 +16 *627:22 *2616:17 0.000445714 +17 *665:13 *2821:analog_io[7] 0.0003013 +*RES +1 *2822:mprj_analog_io[7] *2616:11 45.6261 +2 *2616:11 *2616:13 4.5 +3 *2616:13 *2616:14 117.19 +4 *2616:14 *2616:16 4.5 +5 *2616:16 *2616:17 149.039 +6 *2616:17 *2821:analog_io[7] 7.94489 +*END + +*D_NET *2617 0.00718755 +*CONN +*I *2821:analog_io[8] I *D user_project_wrapper +*I *2822:mprj_analog_io[8] I *D chip_io +*CAP +1 *2821:analog_io[8] 6.22868e-05 +2 *2822:mprj_analog_io[8] 0.00141378 +3 *2617:13 0.00191651 +4 *2617:9 0.00326801 +5 *2822:mprj_io_slow_sel[15] *2617:9 0.000526968 +6 *2537:15 *2617:13 0 +*RES +1 *2822:mprj_analog_io[8] *2617:9 47.2099 +2 *2617:9 *2617:13 48.8078 +3 *2617:13 *2821:analog_io[8] 1.77093 +*END + +*D_NET *2618 0.0320027 +*CONN +*I *2821:analog_io[9] I *D user_project_wrapper +*I *2822:mprj_analog_io[9] I *D chip_io +*CAP +1 *2821:analog_io[9] 0.0018607 +2 *2822:mprj_analog_io[9] 0.000770552 +3 *2618:32 0.00294093 +4 *2618:25 0.00259098 +5 *2618:20 0.00470338 +6 *2618:18 0.0033594 +7 *2618:16 0.00329761 +8 *2618:15 0.00386894 +9 *2618:12 0.00150865 +10 *666:17 *2618:12 0.000128774 +11 *2121:26 *2618:25 0 +12 *2122:11 *2618:20 0 +13 *2454:11 *2618:20 0.00697281 +*RES +1 *2822:mprj_analog_io[9] *2618:12 31.6993 +2 *2618:12 *2618:15 25.0183 +3 *2618:15 *2618:16 86.9643 +4 *2618:16 *2618:18 4.67796 +5 *2618:18 *2618:20 116.358 +6 *2618:20 *2618:25 44.2299 +7 *2618:25 *2618:32 39.0527 +8 *2618:32 *2821:analog_io[9] 44.3099 +*END + +*D_NET *2619 0.116491 +*CONN +*I *2821:io_in[0] I *D user_project_wrapper +*I *2781:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[0] 0.00167765 +2 *2781:user_gpio_in 0.0010699 +3 *2619:19 0.00493174 +4 *2619:18 0.00325409 +5 *2619:16 0.018406 +6 *2619:15 0.0194759 +7 *2619:15 *2781:user_gpio_oeb 3.19716e-05 +8 *2619:15 *2781:user_gpio_out 5.7989e-05 +9 *2619:15 *2695:19 0.00138481 +10 *2619:16 *2630:14 0.0410452 +11 *2619:16 *2695:14 0 +12 *2619:16 *2717:14 0.0242875 +13 *2781:serial_load_out *2619:15 1.75765e-05 +14 *2819:mgmt_gpio_in[5] *2619:16 0.000625184 +15 *616:53 *2619:16 0 +16 *697:8 *2619:16 0.000225385 +17 *697:14 *2619:16 0 +*RES +1 *2781:user_gpio_in *2619:15 48.7735 +2 *2619:15 *2619:16 927.844 +3 *2619:16 *2619:18 4.5 +4 *2619:18 *2619:19 82.8047 +5 *2619:19 *2821:io_in[0] 16.3094 +*END + +*D_NET *2620 0.0756552 +*CONN +*I *2821:io_in[10] I *D user_project_wrapper +*I *2789:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[10] 0.00131099 +2 *2789:user_gpio_in 0.000347834 +3 *2620:19 0.00276514 +4 *2620:14 0.019043 +5 *2620:13 0.0175888 +6 *2620:11 0.00274472 +7 *2620:10 0.00309255 +8 *2620:10 *2789:user_gpio_oeb 0.00062217 +9 *2620:11 *2658:17 0.000309707 +10 *2620:14 *2659:14 0.00822738 +11 *2620:14 *2693:14 0.00618123 +12 *2620:14 *2698:14 0.00805175 +13 *2789:serial_data_in *2620:10 1.5714e-05 +14 *2789:serial_data_in *2620:11 0.00158427 +15 *2789:serial_load *2620:10 3.99335e-05 +16 *2789:serial_load *2620:11 0.00294073 +17 *627:22 *2821:io_in[10] 0 +18 *634:33 *2620:11 0.000773529 +19 *661:13 *2620:10 1.5714e-05 +20 *2103:30 *2620:10 0 +*RES +1 *2789:user_gpio_in *2620:10 18.4296 +2 *2620:10 *2620:11 90.0146 +3 *2620:11 *2620:13 4.5 +4 *2620:13 *2620:14 684.922 +5 *2620:14 *2620:19 47.4364 +6 *2620:19 *2821:io_in[10] 12.2029 +*END + +*D_NET *2621 0.0824614 +*CONN +*I *2821:io_in[11] I *D user_project_wrapper +*I *2790:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[11] 0.00132713 +2 *2790:user_gpio_in 0.000553173 +3 *2621:19 0.00336106 +4 *2621:18 0.00203393 +5 *2621:16 0.0189185 +6 *2621:15 0.0189185 +7 *2621:13 0.00334273 +8 *2621:12 0.0038959 +9 *2621:16 *2656:16 0.00570611 +10 *2621:16 *2660:14 0.00804189 +11 *2621:16 *2699:14 0.0115057 +12 *2621:16 *2732:14 0.0023384 +13 *2790:resetn *2621:13 0 +14 *2790:serial_clock *2621:13 0.00170554 +15 *618:47 *2621:13 0.000494269 +16 *627:22 *2821:io_in[11] 0 +17 *641:54 *2621:13 0 +18 *662:10 *2621:12 0.000318589 +*RES +1 *2790:user_gpio_in *2621:12 21.8747 +2 *2621:12 *2621:13 91.1238 +3 *2621:13 *2621:15 4.5 +4 *2621:15 *2621:16 740.981 +5 *2621:16 *2621:18 4.5 +6 *2621:18 *2621:19 53.4107 +7 *2621:19 *2821:io_in[11] 15.6866 +*END + +*D_NET *2622 0.109974 +*CONN +*I *2821:io_in[12] I *D user_project_wrapper +*I *2791:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[12] 0.00143859 +2 *2791:user_gpio_in 0.000147235 +3 *2622:17 0.00456637 +4 *2622:16 0.00312778 +5 *2622:14 0.0077609 +6 *2622:13 0.00984343 +7 *2622:10 0.00222977 +8 *2622:10 *2791:user_gpio_oeb 2.65334e-05 +9 *2622:10 *2791:user_gpio_out 1.66626e-05 +10 *2622:13 *2660:17 0 +11 *2622:14 *2658:14 0.0176062 +12 *2791:serial_load_out *2622:10 2.48182e-05 +13 *2791:serial_load_out *2622:13 0 +14 *118:37 *2622:14 0.0482557 +15 *627:22 *2821:io_in[12] 0 +16 *642:58 *2622:14 0.0149299 +*RES +1 *2791:user_gpio_in *2622:10 8.54006 +2 *2622:10 *2622:13 48.4825 +3 *2622:13 *2622:14 770.049 +4 *2622:14 *2622:16 4.5 +5 *2622:16 *2622:17 82.8047 +6 *2622:17 *2821:io_in[12] 19.3474 +*END + +*D_NET *2623 0.0984825 +*CONN +*I *2821:io_in[13] I *D user_project_wrapper +*I *2792:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[13] 0.00130176 +2 *2792:user_gpio_in 0.000350718 +3 *2623:21 0.00271317 +4 *2623:16 0.022683 +5 *2623:15 0.0212716 +6 *2623:13 0.00228358 +7 *2623:12 0.00263429 +8 *2623:12 *2792:user_gpio_oeb 0.000369283 +9 *2623:13 *2699:17 0.00148809 +10 *2623:16 *2698:14 0.036941 +11 *619:53 *2623:13 0.00296454 +12 *620:51 *2623:13 0.00011818 +13 *620:74 *2623:12 1.75625e-05 +14 *620:74 *2623:13 0.00334581 +15 *627:22 *2821:io_in[13] 0 +*RES +1 *2792:user_gpio_in *2623:12 16.0612 +2 *2623:12 *2623:13 92.233 +3 *2623:13 *2623:15 4.5 +4 *2623:15 *2623:16 832.752 +5 *2623:16 *2623:21 46.8818 +6 *2623:21 *2821:io_in[13] 11.4489 +*END + +*D_NET *2624 0.021703 +*CONN +*I *2821:io_in[14] I *D user_project_wrapper +*I *2793:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[14] 0.00139691 +2 *2793:user_gpio_in 0.000128153 +3 *2624:16 0.00278609 +4 *2624:15 0.00138918 +5 *2624:13 0.00335646 +6 *2624:12 0.00348461 +7 *2624:12 *2793:user_gpio_oeb 3.12217e-05 +8 *2624:12 *2793:user_gpio_out 1.66771e-05 +9 *2624:13 *2700:11 0.00503343 +10 *2624:16 *2700:8 0.00403014 +11 *2793:serial_load_out *2624:12 5.00967e-05 +12 *665:12 *2624:12 0 +*RES +1 *2793:user_gpio_in *2624:12 8.58662 +2 *2624:12 *2624:13 123.291 +3 *2624:13 *2624:15 4.5 +4 *2624:15 *2624:16 64.3275 +5 *2624:16 *2821:io_in[14] 8.09787 +*END + +*D_NET *2625 0.0795375 +*CONN +*I *2821:io_in[15] I *D user_project_wrapper +*I *2794:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[15] 0.00154812 +2 *2794:user_gpio_in 0.00048657 +3 *2625:17 0.00498939 +4 *2625:16 0.00344126 +5 *2625:14 0.00157975 +6 *2625:13 0.00157975 +7 *2625:11 0.00048657 +8 *2625:11 *2663:17 0.00331258 +9 *2625:14 *2701:11 0.00179518 +10 *2625:17 *2701:8 0.0239505 +11 *2794:resetn *2625:11 0.000115827 +12 *2794:serial_load_out *2625:11 0.00283461 +13 *2036:16 *2625:11 2.02035e-05 +14 *2537:15 *2625:17 0.0333972 +*RES +1 *2794:user_gpio_in *2625:11 46.2689 +2 *2625:11 *2625:13 4.5 +3 *2625:13 *2625:14 60.3826 +4 *2625:14 *2625:16 4.5 +5 *2625:16 *2625:17 349.014 +6 *2625:17 *2821:io_in[15] 40.5048 +*END + +*D_NET *2626 0.0627833 +*CONN +*I *2821:io_in[16] I *D user_project_wrapper +*I *2795:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[16] 0.00153559 +2 *2795:user_gpio_in 0.000631171 +3 *2626:17 0.00455168 +4 *2626:16 0.0030161 +5 *2626:14 0.0010284 +6 *2626:13 0.00165957 +7 *2626:13 *2795:user_gpio_oeb 0.00283687 +8 *2626:14 *2664:11 0.00174723 +9 *2626:14 *2702:11 0.001736 +10 *2626:17 *2702:8 0.0167301 +11 *2795:serial_load *2626:13 0 +12 *107:13 *2626:13 0.000685247 +13 *623:13 *2626:13 9.65932e-05 +14 *667:13 *2626:13 0.000399122 +15 *2125:16 *2626:13 8.13812e-06 +16 *2537:15 *2626:17 0.0261215 +*RES +1 *2795:user_gpio_in *2626:13 45.2994 +2 *2626:13 *2626:14 59.9673 +3 *2626:14 *2626:16 4.5 +4 *2626:16 *2626:17 273.034 +5 *2626:17 *2821:io_in[16] 40.5048 +*END + +*D_NET *2627 0.0771974 +*CONN +*I *2821:io_in[17] I *D user_project_wrapper +*I *2796:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[17] 0.00154585 +2 *2796:user_gpio_in 0.000522569 +3 *2627:17 0.00498628 +4 *2627:16 0.00344043 +5 *2627:14 0.00158224 +6 *2627:13 0.00210481 +7 *2627:13 *2796:user_gpio_oeb 0.00258424 +8 *2627:14 *2665:11 0.0017764 +9 *2627:14 *2703:11 0 +10 *2627:17 *2665:8 4.82966e-05 +11 *2627:17 *2703:8 0.0237912 +12 *2796:serial_load *2627:13 4.49334e-05 +13 *624:13 *2627:13 1.41853e-05 +14 *648:13 *2627:13 0.000538827 +15 *668:14 *2627:13 0.000701815 +16 *2537:15 *2627:17 0.0333441 +17 *2592:20 *2627:13 0.000171273 +*RES +1 *2796:user_gpio_in *2627:13 42.5263 +2 *2627:13 *2627:14 60.3826 +3 *2627:14 *2627:16 4.5 +4 *2627:16 *2627:17 348.46 +5 *2627:17 *2821:io_in[17] 40.5048 +*END + +*D_NET *2628 0.0809875 +*CONN +*I *2821:io_in[18] I *D user_project_wrapper +*I *2787:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[18] 0.00156637 +2 *2787:user_gpio_in 0.000745814 +3 *2628:17 0.00503627 +4 *2628:16 0.0034699 +5 *2628:14 0.00108454 +6 *2628:13 0.00108454 +7 *2628:11 0.000745814 +8 *2628:11 *2787:user_gpio_oeb 0.00338728 +9 *2628:11 *2787:user_gpio_out 0.000218753 +10 *2628:14 *2666:11 0.00178983 +11 *2628:14 *2704:11 0.00172783 +12 *2628:17 *2704:8 0.0246898 +13 *649:13 *2628:11 0.00131609 +14 *668:29 *2628:11 9.65932e-05 +15 *2229:15 *2628:11 0 +16 *2537:15 *2628:17 0.034028 +*RES +1 *2787:user_gpio_in *2628:11 46.3454 +2 *2628:11 *2628:13 4.5 +3 *2628:13 *2628:14 61.6283 +4 *2628:14 *2628:16 4.5 +5 *2628:16 *2628:17 355.67 +6 *2628:17 *2821:io_in[18] 40.5048 +*END + +*D_NET *2629 0.0615854 +*CONN +*I *2821:io_in[19] I *D user_project_wrapper +*I *2803:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[19] 0.00158003 +2 *2803:user_gpio_in 0.000857633 +3 *2629:23 0.00485942 +4 *2629:22 0.00327939 +5 *2629:20 0.00158673 +6 *2629:19 0.00244436 +7 *2629:23 *2705:8 0.0159844 +8 *2803:serial_data_in *2629:19 7.09666e-06 +9 *2803:serial_load *2629:19 0.00228493 +10 *100:14 *2629:19 2.20702e-05 +11 *119:20 *2629:20 0.00185427 +12 *119:25 *2629:19 2.20702e-05 +13 *2458:13 *2629:19 5.0715e-05 +14 *2537:15 *2629:23 0.0267523 +*RES +1 *2803:user_gpio_in *2629:19 40.5596 +2 *2629:19 *2629:20 61.6283 +3 *2629:20 *2629:22 4.5 +4 *2629:22 *2629:23 279.689 +5 *2629:23 *2821:io_in[19] 40.5048 +*END + +*D_NET *2630 0.132991 +*CONN +*I *2821:io_in[1] I *D user_project_wrapper +*I *2782:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[1] 0.00118184 +2 *2782:user_gpio_in 0.00111978 +3 *2630:17 0.00503538 +4 *2630:16 0.00385354 +5 *2630:14 0.00889231 +6 *2630:13 0.0100121 +7 *2630:13 *2782:user_gpio_oeb 0.00025922 +8 *2630:13 *2668:19 0.00127458 +9 *2630:14 *2717:14 0.0073403 +10 *2782:serial_load *2630:13 1.00846e-05 +11 *2782:serial_load_out *2630:13 3.84497e-05 +12 *2819:mgmt_gpio_in[15] *2630:14 0.00130366 +13 *616:53 *2630:14 0 +14 *1711:17 *2630:14 0.0516247 +15 *2619:16 *2630:14 0.0410452 +*RES +1 *2782:user_gpio_in *2630:13 49.176 +2 *2630:13 *2630:14 899.192 +3 *2630:14 *2630:16 4.5 +4 *2630:16 *2630:17 98.3336 +5 *2630:17 *2821:io_in[1] 15.4899 +*END + +*D_NET *2631 0.0408975 +*CONN +*I *2821:io_in[20] I *D user_project_wrapper +*I *2810:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[20] 0.00130782 +2 *2810:user_gpio_in 0.000889807 +3 *2631:23 0.00627786 +4 *2631:22 0.00497004 +5 *2631:20 0.00229537 +6 *2631:19 0.00318518 +7 *2631:19 *2810:user_gpio_out 0.00312039 +8 *2631:20 *2707:11 0.00141435 +9 *2631:23 *2707:8 0.00870448 +10 *100:23 *2631:19 0.000759667 +11 *650:13 *2631:19 0.000751257 +12 *688:13 *2631:19 0.000374039 +13 *688:14 *2631:20 0.00300462 +14 *1715:23 *2821:io_in[20] 0.000518844 +15 *1717:40 *2631:19 0.000337843 +16 *2594:16 *2631:23 0.00298597 +*RES +1 *2810:user_gpio_in *2631:19 31.9639 +2 *2631:19 *2631:20 95.4714 +3 *2631:20 *2631:22 4.5 +4 *2631:22 *2631:23 189.843 +5 *2631:23 *2821:io_in[20] 40.5048 +*END + +*D_NET *2632 0.0227549 +*CONN +*I *2821:io_in[21] I *D user_project_wrapper +*I *2811:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[21] 0.00150485 +2 *2811:user_gpio_in 0.000585152 +3 *2632:17 0.00504637 +4 *2632:16 0.00354152 +5 *2632:14 0.00200108 +6 *2632:13 0.00258624 +7 *111:13 *2632:13 0.000638598 +8 *627:13 *2632:13 0.00207025 +9 *689:11 *2632:13 0.000573106 +10 *689:17 *2632:14 0.000376198 +11 *2595:16 *2632:17 0.00383149 +*RES +1 *2811:user_gpio_in *2632:13 42.5263 +2 *2632:13 *2632:14 59.5521 +3 *2632:14 *2632:16 4.5 +4 *2632:16 *2632:17 114.972 +5 *2632:17 *2821:io_in[21] 40.5048 +*END + +*D_NET *2633 0.0113549 +*CONN +*I *2821:io_in[22] I *D user_project_wrapper +*I *2812:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[22] 0.00182082 +2 *2812:user_gpio_in 0.00317664 +3 *2633:15 0.0022768 +4 *2633:12 0.00363262 +5 *112:41 *2633:12 0.00014667 +6 *628:49 *2633:12 0.0003013 +7 *690:19 *2633:12 0 +*RES +1 *2812:user_gpio_in *2633:12 47.0299 +2 *2633:12 *2633:15 16.8701 +3 *2633:15 *2821:io_in[22] 45.4823 +*END + +*D_NET *2634 0.0193777 +*CONN +*I *2821:io_in[23] I *D user_project_wrapper +*I *2813:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[23] 0.00142058 +2 *2813:user_gpio_in 0.0009275 +3 *2634:23 0.00311707 +4 *2634:22 0.00169649 +5 *2634:20 0.00239202 +6 *2634:19 0.00331952 +7 *2634:19 *2813:user_gpio_oeb 2.44031e-06 +8 *2813:serial_clock *2634:19 0.00048572 +9 *2813:serial_load *2634:19 0.00248234 +10 *629:20 *2634:20 0.00281941 +11 *1720:40 *2634:19 0.000714644 +*RES +1 *2813:user_gpio_in *2634:19 28.426 +2 *2634:19 *2634:20 93.3951 +3 *2634:20 *2634:22 4.5 +4 *2634:22 *2634:23 46.2009 +5 *2634:23 *2821:io_in[23] 40.0896 +*END + +*D_NET *2635 0.0203057 +*CONN +*I *2821:io_in[24] I *D user_project_wrapper +*I *2814:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[24] 0.00130949 +2 *2814:user_gpio_in 0.00226308 +3 *2635:14 0.00515449 +4 *2635:13 0.003845 +5 *2635:11 0.00249574 +6 *2635:10 0.00475882 +7 *2635:10 *2814:user_gpio_oeb 0.000260994 +8 *2635:14 *2711:10 0 +9 *622:29 *2635:10 0 +10 *630:7 *2635:10 0.00021808 +*RES +1 *2814:user_gpio_in *2635:10 29.368 +2 *2635:10 *2635:11 68.9396 +3 *2635:11 *2635:13 4.5 +4 *2635:13 *2635:14 107.929 +5 *2635:14 *2821:io_in[24] 7.71542 +*END + +*D_NET *2636 0.0628426 +*CONN +*I *2821:io_in[25] I *D user_project_wrapper +*I *2815:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[25] 0.00125915 +2 *2815:user_gpio_in 0.00310272 +3 *2636:14 0.0217337 +4 *2636:13 0.0235772 +5 *2636:13 *2815:user_gpio_oeb 5.83673e-05 +6 *2636:14 *2821:io_in[26] 0 +7 *2636:14 *2674:10 0 +8 *2636:14 *2675:10 0.000666627 +9 *2636:14 *2712:13 0 +10 *2636:14 *2713:10 0 +11 *2815:serial_load *2636:13 0 +12 *2821:analog_io[20] *2636:14 0.000922005 +13 *106:43 *2636:13 0 +14 *631:10 *2636:13 0.000501796 +15 *631:29 *2636:13 0.0110211 +16 *632:55 *2636:13 0 +*RES +1 *2815:user_gpio_in *2636:13 40.9326 +2 *2636:13 *2636:14 588.999 +3 *2636:14 *2821:io_in[25] 7.71542 +*END + +*D_NET *2637 0.0766989 +*CONN +*I *2821:io_in[26] I *D user_project_wrapper +*I *2816:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[26] 0.00151896 +2 *2816:user_gpio_in 9.99056e-05 +3 *2637:19 0.00370979 +4 *2637:18 0.00219083 +5 *2637:16 0.0058902 +6 *2637:15 0.0076231 +7 *2637:12 0.0018328 +8 *2637:12 *2816:user_gpio_oeb 1.75765e-05 +9 *2637:15 *2675:17 0 +10 *2637:16 *2713:14 0.0267103 +11 *632:15 *2637:12 1.75765e-05 +12 *632:15 *2637:15 0 +13 *649:56 *2637:16 0.00493556 +14 *2599:17 *2637:16 0.0221523 +15 *2636:14 *2821:io_in[26] 0 +*RES +1 *2816:user_gpio_in *2637:12 8.58662 +2 *2637:12 *2637:15 46.8187 +3 *2637:15 *2637:16 515.914 +4 *2637:16 *2637:18 4.5 +5 *2637:18 *2637:19 60.066 +6 *2637:19 *2821:io_in[26] 14.5282 +*END + +*D_NET *2638 0.0650609 +*CONN +*I *2821:io_in[27] I *D user_project_wrapper +*I *2817:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[27] 0.00128429 +2 *2817:user_gpio_in 0.00150191 +3 *2638:14 0.014022 +4 *2638:13 0.0142396 +5 *2638:13 *2817:user_gpio_oeb 4.6762e-05 +6 *2638:13 *2817:user_gpio_out 0.00976537 +7 *2638:14 *2714:8 0.0119829 +8 *2638:14 *2715:10 0 +9 *2817:serial_data_in *2638:13 0.00113148 +10 *2817:serial_load *2638:13 4.97448e-06 +11 *633:13 *2638:13 0.000653802 +12 *656:28 *2638:13 0.0104278 +13 *695:15 *2638:13 0 +*RES +1 *2817:user_gpio_in *2638:13 19.588 +2 *2638:13 *2638:14 473.559 +3 *2638:14 *2821:io_in[27] 7.71542 +*END + +*D_NET *2639 0.0510454 +*CONN +*I *2821:io_in[28] I *D user_project_wrapper +*I *2818:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[28] 0.00273429 +2 *2818:user_gpio_in 0.000249592 +3 *2639:16 0.0127121 +4 *2639:15 0.00997781 +5 *2639:13 0.00261627 +6 *2639:12 0.00286586 +7 *2639:12 *2818:user_gpio_oeb 0.000186165 +8 *2639:12 *2818:user_gpio_out 0 +9 *2639:13 *2677:17 0 +10 *2639:13 *2715:17 0.000634202 +11 *2639:16 *2640:16 0.0049472 +12 *634:5 *2639:12 1.80858e-05 +13 *2602:17 *2639:16 0.0141038 +*RES +1 *2818:user_gpio_in *2639:12 12.0616 +2 *2639:12 *2639:13 73.3765 +3 *2639:13 *2639:15 4.5 +4 *2639:15 *2639:16 399.644 +5 *2639:16 *2821:io_in[28] 48.3367 +*END + +*D_NET *2640 0.0391809 +*CONN +*I *2821:io_in[29] I *D user_project_wrapper +*I *2804:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[29] 0.00149456 +2 *2804:user_gpio_in 0.00024362 +3 *2640:24 0.00282705 +4 *2640:16 0.0123701 +5 *2640:15 0.0110376 +6 *2640:13 0.00241846 +7 *2640:12 0.00266208 +8 *2640:12 *2804:user_gpio_oeb 3.38016e-05 +9 *2640:12 *2804:user_gpio_out 0 +10 *2640:13 *2678:17 0.000223401 +11 *2640:13 *2716:17 0.000918733 +12 *2804:serial_load *2640:12 0 +13 *2804:serial_load *2640:13 0 +14 *617:11 *2640:12 4.31243e-06 +15 *2639:16 *2640:16 0.0049472 +*RES +1 *2804:user_gpio_in *2640:12 11.8521 +2 *2640:12 *2640:13 73.9311 +3 *2640:13 *2640:15 4.5 +4 *2640:15 *2640:16 343.169 +5 *2640:16 *2640:24 48.8149 +6 *2640:24 *2821:io_in[29] 4.95856 +*END + +*D_NET *2641 0.109789 +*CONN +*I *2821:io_in[2] I *D user_project_wrapper +*I *2797:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[2] 0.00111958 +2 *2797:user_gpio_in 0.000352593 +3 *2641:17 0.00447946 +4 *2641:16 0.00335989 +5 *2641:14 0.0191137 +6 *2641:13 0.0198977 +7 *2641:10 0.00113662 +8 *2641:10 *2797:user_gpio_oeb 2.72062e-05 +9 *2641:10 *2797:user_gpio_out 0.000569887 +10 *2641:13 *2679:17 0.00360829 +11 *2641:13 *2717:19 0.00127488 +12 *2797:serial_load_out *2641:10 2.72062e-05 +13 *103:30 *2641:14 0 +14 *119:14 *2641:14 0.0548193 +15 *627:22 *2821:io_in[2] 0 +16 *669:30 *2641:10 2.87136e-06 +*RES +1 *2797:user_gpio_in *2641:10 18.4296 +2 *2641:10 *2641:13 46.2641 +3 *2641:13 *2641:14 877.184 +4 *2641:14 *2641:16 4.5 +5 *2641:16 *2641:17 88.3508 +6 *2641:17 *2821:io_in[2] 12.7361 +*END + +*D_NET *2642 0.0352124 +*CONN +*I *2821:io_in[30] I *D user_project_wrapper +*I *2805:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[30] 0.00133466 +2 *2805:user_gpio_in 0.00404803 +3 *2642:10 0.0105367 +4 *2642:9 0.0132501 +5 *2642:9 *2805:user_gpio_oeb 0.000209574 +6 *2642:10 *2680:8 0.00435862 +7 *2642:10 *2718:10 0 +8 *2805:serial_load *2642:9 4.97448e-06 +9 *2821:analog_io[24] *2642:10 0 +10 *618:5 *2642:9 0.00123223 +11 *618:33 *2642:9 0.000237503 +12 *680:7 *2642:9 0 +*RES +1 *2805:user_gpio_in *2642:9 18.4706 +2 *2642:9 *2642:10 301.644 +3 *2642:10 *2821:io_in[30] 7.71542 +*END + +*D_NET *2643 0.0284045 +*CONN +*I *2821:io_in[31] I *D user_project_wrapper +*I *2806:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[31] 0.00174334 +2 *2806:user_gpio_in 0.000231453 +3 *2643:21 0.0029309 +4 *2643:16 0.00930809 +5 *2643:15 0.00812053 +6 *2643:13 0.00258032 +7 *2643:12 0.00281177 +8 *2821:io_in[31] *2805:user_gpio_oeb 0 +9 *2643:12 *2806:user_gpio_out 0 +10 *2643:13 *2719:17 0.000671929 +11 *619:7 *2643:12 6.19346e-06 +*RES +1 *2806:user_gpio_in *2643:12 11.9086 +2 *2643:12 *2643:13 73.9311 +3 *2643:13 *2643:15 4.5 +4 *2643:15 *2643:16 230.221 +5 *2643:16 *2643:21 41.8904 +6 *2643:21 *2821:io_in[31] 18.5518 +*END + +*D_NET *2644 0.0771422 +*CONN +*I *2821:io_in[32] I *D user_project_wrapper +*I *2807:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[32] 0.00134723 +2 *2807:user_gpio_in 0.00315175 +3 *2644:14 0.0205832 +4 *2644:13 0.0223877 +5 *2644:13 *2807:user_gpio_oeb 0.00907325 +6 *2644:13 *2722:10 0.000142582 +7 *2644:14 *2646:16 0.00515539 +8 *2644:14 *2682:8 0.0145564 +9 *2644:14 *2721:10 0 +10 *2644:14 *2722:10 0 +11 *2807:serial_load *2644:13 0 +12 *2821:analog_io[26] *2644:14 0 +13 *2821:analog_io[27] *2644:14 0.000744765 +14 *619:11 *2644:13 0 +*RES +1 *2807:user_gpio_in *2644:13 37.6106 +2 *2644:13 *2644:14 719.388 +3 *2644:14 *2821:io_in[32] 7.71542 +*END + +*D_NET *2645 0.0779978 +*CONN +*I *2821:io_in[33] I *D user_project_wrapper +*I *2808:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[33] 0.00215767 +2 *2808:user_gpio_in 0.000123947 +3 *2645:19 0.00420692 +4 *2645:18 0.00204925 +5 *2645:16 0.013965 +6 *2645:15 0.0157616 +7 *2645:12 0.00192064 +8 *2645:12 *2808:user_gpio_oeb 8.62976e-06 +9 *2645:15 *2683:17 0 +10 *1728:27 *2645:16 0.00194805 +11 *2607:11 *2645:16 0.030867 +12 *2610:11 *2645:16 0.00498911 +*RES +1 *2808:user_gpio_in *2645:12 8.58662 +2 *2645:12 *2645:15 47.3733 +3 *2645:15 *2645:16 636.337 +4 *2645:16 *2645:18 4.5 +5 *2645:18 *2645:19 56.7384 +6 *2645:19 *2821:io_in[33] 27.3682 +*END + +*D_NET *2646 0.0687883 +*CONN +*I *2821:io_in[34] I *D user_project_wrapper +*I *2809:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[34] 0.00133981 +2 *2809:user_gpio_in 0.000555715 +3 *2646:16 0.0160639 +4 *2646:15 0.0147241 +5 *2646:13 0.00415667 +6 *2646:12 0.00471238 +7 *2646:12 *2809:user_gpio_oeb 0.000306165 +8 *2646:12 *2809:user_gpio_out 0.000170266 +9 *2646:16 *2821:io_in[36] 0 +10 *2646:16 *2647:18 0.0163984 +11 *2646:16 *2682:8 0.00520279 +12 *2646:16 *2684:10 0 +13 *2646:16 *2685:10 0 +14 *2646:16 *2723:10 0 +15 *2821:analog_io[28] *2646:16 0 +16 *622:13 *2646:12 2.65302e-06 +17 *2644:14 *2646:16 0.00515539 +*RES +1 *2809:user_gpio_in *2646:12 23.4226 +2 *2646:12 *2646:13 107.762 +3 *2646:13 *2646:15 4.5 +4 *2646:15 *2646:16 581.316 +5 *2646:16 *2821:io_in[34] 7.63893 +*END + +*D_NET *2647 0.0651764 +*CONN +*I *2821:io_in[35] I *D user_project_wrapper +*I *2783:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[35] 0.00131985 +2 *2783:user_gpio_in 0.00402748 +3 *2647:18 0.0166042 +4 *2647:17 0.0193118 +5 *2647:17 *2783:user_gpio_oeb 4.80336e-05 +6 *2647:17 *2725:10 0.000694671 +7 *2647:17 *2725:11 0.00127651 +8 *2647:18 *2649:16 0.00238173 +9 *2783:resetn *2647:17 0.000178623 +10 *2783:resetn_out *2647:17 2.39277e-06 +11 *2783:serial_clock *2647:17 0.000889551 +12 *2783:serial_clock_out *2647:17 9.2629e-05 +13 *2783:serial_load_out *2647:17 4.80336e-05 +14 *107:31 *2647:17 0.00100105 +15 *647:31 *2647:17 0.000446772 +16 *685:10 *2647:17 0.000454662 +17 *2646:16 *2647:18 0.0163984 +*RES +1 *2783:user_gpio_in *2647:17 39.6374 +2 *2647:17 *2647:18 555.778 +3 *2647:18 *2821:io_in[35] 7.56244 +*END + +*D_NET *2648 0.0612645 +*CONN +*I *2821:io_in[36] I *D user_project_wrapper +*I *2784:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[36] 0.00210158 +2 *2784:user_gpio_in 0.000122738 +3 *2648:19 0.00374709 +4 *2648:16 0.0172156 +5 *2648:15 0.0155701 +6 *2648:13 0.0022276 +7 *2648:12 0.00235034 +8 *2648:12 *2784:user_gpio_oeb 8.62976e-06 +9 *2648:13 *2686:17 0 +10 *2648:16 *2685:14 0.0179184 +11 *2784:serial_load_out *2648:12 2.34894e-06 +12 *624:34 *2648:13 0 +13 *2646:16 *2821:io_in[36] 0 +*RES +1 *2784:user_gpio_in *2648:12 8.58662 +2 *2648:12 *2648:13 57.293 +3 *2648:13 *2648:15 4.5 +4 *2648:15 *2648:16 551.211 +5 *2648:16 *2648:19 49.5917 +6 *2648:19 *2821:io_in[36] 28.2315 +*END + +*D_NET *2649 0.0783664 +*CONN +*I *2821:io_in[37] I *D user_project_wrapper +*I *2785:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[37] 0.00138489 +2 *2785:user_gpio_in 0.000445633 +3 *2649:16 0.015032 +4 *2649:15 0.0136471 +5 *2649:13 0.00192001 +6 *2649:12 0.00236565 +7 *2649:12 *2785:user_gpio_oeb 0.000750973 +8 *2649:13 *2687:11 0.0102187 +9 *2649:16 *2687:8 0.0260441 +10 *2785:serial_load_out *2649:12 9.94897e-06 +11 *109:29 *2649:13 0.00238457 +12 *1339:9 *2649:16 0.00178122 +13 *2647:18 *2649:16 0.00238173 +*RES +1 *2785:user_gpio_in *2649:12 23.0074 +2 *2649:12 *2649:13 107.762 +3 *2649:13 *2649:15 4.5 +4 *2649:15 *2649:16 576.749 +5 *2649:16 *2821:io_in[37] 7.71542 +*END + +*D_NET *2650 0.103035 +*CONN +*I *2821:io_in[3] I *D user_project_wrapper +*I *2798:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[3] 0.00130176 +2 *2798:user_gpio_in 8.60981e-05 +3 *2650:19 0.00273614 +4 *2650:14 0.0194401 +5 *2650:13 0.0180057 +6 *2650:11 0.00196565 +7 *2650:10 0.00205175 +8 *2650:10 *2798:user_gpio_oeb 2.12195e-05 +9 *2650:10 *2798:user_gpio_out 4.59232e-05 +10 *2650:11 *2688:17 0.00296621 +11 *2650:11 *2726:17 0.00207006 +12 *2650:14 *2653:14 0.000345725 +13 *2650:14 *2726:14 0.0519601 +14 *2798:serial_load_out *2650:10 3.84497e-05 +15 *627:22 *2821:io_in[3] 0 +*RES +1 *2798:user_gpio_in *2650:10 8.87882 +2 *2650:10 *2650:11 90.0146 +3 *2650:11 *2650:13 4.5 +4 *2650:13 *2650:14 831.091 +5 *2650:14 *2650:19 46.8818 +6 *2650:19 *2821:io_in[3] 11.4489 +*END + +*D_NET *2651 0.089544 +*CONN +*I *2821:io_in[4] I *D user_project_wrapper +*I *2799:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[4] 0.00106992 +2 *2799:user_gpio_in 7.82468e-05 +3 *2651:17 0.00323904 +4 *2651:16 0.00216912 +5 *2651:14 0.0185888 +6 *2651:13 0.0185888 +7 *2651:11 0.00311261 +8 *2651:10 0.00319085 +9 *2651:10 *2799:user_gpio_oeb 2.07637e-05 +10 *2651:10 *2799:user_gpio_out 0 +11 *2651:14 *2688:14 0.00566725 +12 *2651:14 *2728:14 0.0337858 +13 *2799:serial_load_out *2651:10 3.28031e-05 +14 *2799:serial_load_out *2651:11 0 +*RES +1 *2799:user_gpio_in *2651:10 8.46357 +2 *2651:10 *2651:11 72.8219 +3 *2651:11 *2651:13 4.5 +4 *2651:13 *2651:14 803.684 +5 *2651:14 *2651:16 4.5 +6 *2651:16 *2651:17 57.8476 +7 *2651:17 *2821:io_in[4] 11.8291 +*END + +*D_NET *2652 0.124023 +*CONN +*I *2821:io_in[5] I *D user_project_wrapper +*I *2800:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[5] 0.00114187 +2 *2800:user_gpio_in 0.00130856 +3 *2652:17 0.00500057 +4 *2652:16 0.00385871 +5 *2652:14 0.00606471 +6 *2652:13 0.00606471 +7 *2652:11 0.00130856 +8 *2652:11 *2800:user_gpio_oeb 0.000556245 +9 *2652:11 *2690:19 0.0012347 +10 *2652:14 *2690:14 0.0487239 +11 *2800:serial_data_in *2652:11 2.18041e-06 +12 *2800:serial_load *2652:11 0 +13 *2800:serial_load_out *2652:11 3.84497e-05 +14 *1711:17 *2652:14 0.0487203 +*RES +1 *2800:user_gpio_in *2652:11 49.659 +2 *2652:11 *2652:13 4.5 +3 *2652:13 *2652:14 777.108 +4 *2652:14 *2652:16 4.5 +5 *2652:16 *2652:17 99.4428 +6 *2652:17 *2821:io_in[5] 15.3369 +*END + +*D_NET *2653 0.0701749 +*CONN +*I *2821:io_in[6] I *D user_project_wrapper +*I *2801:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[6] 0.00137555 +2 *2801:user_gpio_in 7.52931e-05 +3 *2653:17 0.00297637 +4 *2653:14 0.0215478 +5 *2653:13 0.019947 +6 *2653:11 0.00277222 +7 *2653:10 0.00284751 +8 *2653:10 *2801:user_gpio_oeb 2.12195e-05 +9 *2653:11 *2691:17 0.0029019 +10 *2653:14 *2689:14 0.00706858 +11 *2653:14 *2692:14 0.00578919 +12 *2653:14 *2726:14 0.00238138 +13 *2801:serial_load_out *2653:10 3.74656e-05 +14 *655:51 *2653:10 4.61962e-05 +15 *674:10 *2653:10 4.15201e-05 +16 *2650:14 *2653:14 0.000345725 +*RES +1 *2801:user_gpio_in *2653:10 8.87882 +2 *2653:10 *2653:11 89.46 +3 *2653:11 *2653:13 4.5 +4 *2653:13 *2653:14 728.939 +5 *2653:14 *2653:17 47.3733 +6 *2653:17 *2821:io_in[6] 21.981 +*END + +*D_NET *2654 0.0657943 +*CONN +*I *2821:io_in[7] I *D user_project_wrapper +*I *2802:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[7] 0.00131253 +2 *2802:user_gpio_in 0.000103501 +3 *2654:17 0.00326097 +4 *2654:16 0.00194845 +5 *2654:14 0.0118372 +6 *2654:13 0.0118372 +7 *2654:11 0.0018843 +8 *2654:10 0.0019878 +9 *2654:10 *2802:user_gpio_oeb 7.85548e-05 +10 *2654:11 *2692:17 0.00285696 +11 *2654:11 *2730:20 0.00130262 +12 *2654:14 *2691:14 0.0220963 +13 *2654:14 *2731:14 0.00514355 +14 *2802:serial_load_out *2654:10 0.000126851 +15 *627:22 *2821:io_in[7] 0 +16 *2445:11 *2654:10 1.75925e-05 +*RES +1 *2802:user_gpio_in *2654:10 9.70932 +2 *2654:10 *2654:11 74.4857 +3 *2654:11 *2654:13 4.5 +4 *2654:13 *2654:14 521.312 +5 *2654:14 *2654:16 4.5 +6 *2654:16 *2654:17 52.3015 +7 *2654:17 *2821:io_in[7] 15.2713 +*END + +*D_NET *2655 0.0743045 +*CONN +*I *2821:io_in[8] I *D user_project_wrapper +*I *2786:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[8] 0.00143321 +2 *2786:user_gpio_in 0.000575151 +3 *2655:19 0.00471723 +4 *2655:18 0.00328402 +5 *2655:16 0.0133237 +6 *2655:15 0.0133237 +7 *2655:13 0.00198913 +8 *2655:12 0.00256428 +9 *2655:16 *2658:14 1.46079e-05 +10 *2655:16 *2696:14 0.00193095 +11 *103:30 *2655:16 0 +12 *119:14 *2655:16 0.00869493 +13 *627:22 *2821:io_in[8] 0 +14 *632:78 *2655:13 0.00318682 +15 *633:48 *2655:13 6.98314e-05 +16 *634:30 *2655:16 0.00210161 +17 *657:40 *2655:16 0.0168907 +18 *2446:13 *2655:13 0.000204555 +*RES +1 *2786:user_gpio_in *2655:12 21.8181 +2 *2655:12 *2655:13 60.066 +3 *2655:13 *2655:15 4.5 +4 *2655:15 *2655:16 588.999 +5 *2655:16 *2655:18 4.5 +6 *2655:18 *2655:19 85.5777 +7 *2655:19 *2821:io_in[8] 19.6861 +*END + +*D_NET *2656 0.0986745 +*CONN +*I *2821:io_in[9] I *D user_project_wrapper +*I *2788:user_gpio_in O *D gpio_control_block +*CAP +1 *2821:io_in[9] 0.00130176 +2 *2788:user_gpio_in 0.000104232 +3 *2656:19 0.00332099 +4 *2656:18 0.00201922 +5 *2656:16 0.00703198 +6 *2656:15 0.00703198 +7 *2656:13 0.00167753 +8 *2656:12 0.00178176 +9 *2656:12 *2788:user_gpio_oeb 3.12217e-05 +10 *2656:12 *2788:user_gpio_out 6.75696e-05 +11 *2656:13 *2694:17 0.00206697 +12 *2656:13 *2732:17 0.00288031 +13 *2656:16 *2731:14 0.0241799 +14 *2656:16 *2732:14 0.0394312 +15 *2788:serial_load_out *2656:12 4.17001e-05 +16 *627:22 *2821:io_in[9] 0 +17 *2621:16 *2656:16 0.00570611 +*RES +1 *2788:user_gpio_in *2656:12 9.30405 +2 *2656:12 *2656:13 75.0403 +3 *2656:13 *2656:15 4.5 +4 *2656:15 *2656:16 629.278 +5 *2656:16 *2656:18 4.5 +6 *2656:18 *2656:19 53.9653 +7 *2656:19 *2821:io_in[9] 15.9489 +*END + +*D_NET *2657 0.161999 +*CONN +*I *2781:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[0] O *D user_project_wrapper +*CAP +1 *2781:user_gpio_oeb 0.000135832 +2 *2821:io_oeb[0] 0.00104501 +3 *2657:19 0.00115962 +4 *2657:14 0.0110043 +5 *2657:13 0.00998051 +6 *2657:11 0.00259194 +7 *2657:10 0.00363695 +8 *2781:user_gpio_oeb *2781:user_gpio_out 0.000161365 +9 *2657:14 *2668:14 0.0513329 +10 *2657:14 *2695:14 0.00815458 +11 *2657:19 *2695:19 0.00160971 +12 *2781:serial_load *2781:user_gpio_oeb 0 +13 *2781:serial_load_out *2657:19 0.000106243 +14 *635:14 *2657:14 0.068222 +15 *652:51 *2657:11 0.00282613 +16 *2619:15 *2781:user_gpio_oeb 3.19716e-05 +*RES +1 *2821:io_oeb[0] *2657:10 12.0914 +2 *2657:10 *2657:11 91.6784 +3 *2657:11 *2657:13 4.5 +4 *2657:13 *2657:14 1093.53 +5 *2657:14 *2657:19 49.1003 +6 *2657:19 *2781:user_gpio_oeb 5.62458 +*END + +*D_NET *2658 0.120537 +*CONN +*I *2789:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[10] O *D user_project_wrapper +*CAP +1 *2789:user_gpio_oeb 0.000285823 +2 *2821:io_oeb[10] 0.00145166 +3 *2658:17 0.00161482 +4 *2658:14 0.00992446 +5 *2658:13 0.00859546 +6 *2658:11 0.0032643 +7 *2658:10 0.00471595 +8 *2789:user_gpio_oeb *2789:user_gpio_out 0.000219633 +9 *2658:14 *2696:14 0.0164334 +10 *2658:17 *2696:17 0.00154784 +11 *2789:serial_data_in *2658:17 0.000344678 +12 *2789:serial_load *2789:user_gpio_oeb 0.000111611 +13 *2789:serial_load *2658:17 3.62662e-06 +14 *103:30 *2658:14 0.0342481 +15 *118:37 *2658:14 0.000383352 +16 *627:22 *2658:10 0 +17 *642:58 *2658:14 0.0188396 +18 *2103:30 *2789:user_gpio_oeb 0 +19 *2620:10 *2789:user_gpio_oeb 0.00062217 +20 *2620:11 *2658:17 0.000309707 +21 *2622:14 *2658:14 0.0176062 +22 *2655:16 *2658:14 1.46079e-05 +*RES +1 *2821:io_oeb[10] *2658:10 21.1942 +2 *2658:10 *2658:11 85.0231 +3 *2658:11 *2658:13 4.5 +4 *2658:13 *2658:14 840.641 +5 *2658:14 *2658:17 49.0371 +6 *2658:17 *2789:user_gpio_oeb 17.7987 +*END + +*D_NET *2659 0.100957 +*CONN +*I *2790:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[11] O *D user_project_wrapper +*CAP +1 *2790:user_gpio_oeb 0.00017186 +2 *2821:io_oeb[11] 0.00137555 +3 *2659:17 0.00335419 +4 *2659:16 0.00318233 +5 *2659:14 0.0199151 +6 *2659:13 0.0214566 +7 *2659:10 0.00291708 +8 *2790:user_gpio_oeb *2790:user_gpio_out 4.54991e-05 +9 *2659:14 *2698:14 0.038181 +10 *2659:17 *2697:17 0.00213065 +11 *2298:8 *2790:user_gpio_oeb 0 +12 *2620:14 *2659:14 0.00822738 +*RES +1 *2821:io_oeb[11] *2659:10 21.981 +2 *2659:10 *2659:13 45.7095 +3 *2659:13 *2659:14 879.26 +4 *2659:14 *2659:16 4.5 +5 *2659:16 *2659:17 91.1238 +6 *2659:17 *2790:user_gpio_oeb 9.29407 +*END + +*D_NET *2660 0.105705 +*CONN +*I *2791:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[12] O *D user_project_wrapper +*CAP +1 *2791:user_gpio_oeb 0.000150212 +2 *2821:io_oeb[12] 0.00160213 +3 *2660:17 0.00341525 +4 *2660:16 0.00326503 +5 *2660:14 0.021119 +6 *2660:13 0.0226688 +7 *2660:10 0.00315195 +8 *2791:user_gpio_oeb *2791:user_gpio_out 3.60868e-05 +9 *2660:14 *2699:14 0.0422283 +10 *2621:16 *2660:14 0.00804189 +11 *2622:10 *2791:user_gpio_oeb 2.65334e-05 +12 *2622:13 *2660:17 0 +*RES +1 *2821:io_oeb[12] *2660:10 13.8179 +2 *2660:10 *2660:13 46.2641 +3 *2660:13 *2660:14 937.395 +4 *2660:14 *2660:16 4.5 +5 *2660:16 *2660:17 73.9311 +6 *2660:17 *2791:user_gpio_oeb 8.54006 +*END + +*D_NET *2661 0.155499 +*CONN +*I *2792:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[13] O *D user_project_wrapper +*CAP +1 *2792:user_gpio_oeb 0.000336756 +2 *2821:io_oeb[13] 0.0012395 +3 *2661:17 0.00140865 +4 *2661:14 0.00882858 +5 *2661:13 0.00775669 +6 *2661:11 0.00313289 +7 *2661:10 0.00437239 +8 *2661:17 *2699:17 0.00162533 +9 *102:55 *2661:14 0.0624516 +10 *620:74 *2792:user_gpio_oeb 8.36121e-05 +11 *627:22 *2661:10 0 +12 *642:58 *2661:14 0.0624552 +13 *664:13 *2792:user_gpio_oeb 1.66771e-05 +14 *664:13 *2661:17 0.00142138 +15 *2186:8 *2792:user_gpio_oeb 0 +16 *2623:12 *2792:user_gpio_oeb 0.000369283 +*RES +1 *2821:io_oeb[13] *2661:10 13.1951 +2 *2661:10 *2661:11 83.9139 +3 *2661:11 *2661:13 4.5 +4 *2661:13 *2661:14 995.946 +5 *2661:14 *2661:17 49.0371 +6 *2661:17 *2792:user_gpio_oeb 16.8917 +*END + +*D_NET *2662 0.0441142 +*CONN +*I *2793:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[14] O *D user_project_wrapper +*CAP +1 *2793:user_gpio_oeb 0.000289358 +2 *2821:io_oeb[14] 0.00129924 +3 *2662:11 0.00368669 +4 *2662:10 0.00339733 +5 *2662:8 0.00295775 +6 *2662:7 0.00425699 +7 *2793:user_gpio_oeb *2793:user_gpio_out 9.39094e-05 +8 *2662:8 *2700:8 0.000369544 +9 *2662:11 *2700:11 0.00503604 +10 *2793:serial_load_out *2793:user_gpio_oeb 7.18322e-06 +11 *627:22 *2662:8 0.0142767 +12 *2339:8 *2793:user_gpio_oeb 0 +13 *2616:17 *2662:8 0.00841223 +14 *2624:12 *2793:user_gpio_oeb 3.12217e-05 +*RES +1 *2821:io_oeb[14] *2662:7 7.8684 +2 *2662:7 *2662:8 227.937 +3 *2662:8 *2662:10 4.5 +4 *2662:10 *2662:11 124.4 +5 *2662:11 *2793:user_gpio_oeb 12.8156 +*END + +*D_NET *2663 0.0344142 +*CONN +*I *2794:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[15] O *D user_project_wrapper +*CAP +1 *2794:user_gpio_oeb 8.94634e-05 +2 *2821:io_oeb[15] 0.001591 +3 *2663:17 0.000792622 +4 *2663:11 0.00226591 +5 *2663:10 0.00156275 +6 *2663:8 0.00312691 +7 *2663:7 0.00471791 +8 *2663:8 *2701:8 0.0144507 +9 *2663:11 *2701:11 0.00179518 +10 *2794:resetn *2663:17 0.000167076 +11 *2794:serial_clock_out *2663:17 0.00038031 +12 *2794:serial_data_in *2663:17 0.000159414 +13 *645:49 *2663:17 2.33103e-06 +14 *2454:11 *2663:17 0 +15 *2625:11 *2663:17 0.00331258 +*RES +1 *2821:io_oeb[15] *2663:7 41.3353 +2 *2663:7 *2663:8 151.021 +3 *2663:8 *2663:10 4.5 +4 *2663:10 *2663:11 59.9673 +5 *2663:11 *2663:17 46.7058 +6 *2663:17 *2794:user_gpio_oeb 3.58495 +*END + +*D_NET *2664 0.0247609 +*CONN +*I *2795:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[16] O *D user_project_wrapper +*CAP +1 *2795:user_gpio_oeb 0.000579448 +2 *2821:io_oeb[16] 0.00157163 +3 *2664:11 0.00177836 +4 *2664:10 0.00119891 +5 *2664:8 0.00157676 +6 *2664:7 0.00314839 +7 *2664:8 *2702:8 0.00728767 +8 *2795:serial_load *2795:user_gpio_oeb 0 +9 *622:43 *2664:11 0.00103787 +10 *623:13 *2795:user_gpio_oeb 0.0019978 +11 *2125:16 *2795:user_gpio_oeb 0 +12 *2626:13 *2795:user_gpio_oeb 0.00283687 +13 *2626:14 *2664:11 0.00174723 +*RES +1 *2821:io_oeb[16] *2664:7 41.3353 +2 *2664:7 *2664:8 76.1495 +3 *2664:8 *2664:10 4.5 +4 *2664:10 *2664:11 58.7215 +5 *2664:11 *2795:user_gpio_oeb 44.1902 +*END + +*D_NET *2665 0.0349443 +*CONN +*I *2796:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[17] O *D user_project_wrapper +*CAP +1 *2796:user_gpio_oeb 0.000539405 +2 *2821:io_oeb[17] 0.00158873 +3 *2665:11 0.00148387 +4 *2665:10 0.000944462 +5 *2665:8 0.00316036 +6 *2665:7 0.00474908 +7 *2665:8 *2703:8 0.0144465 +8 *2665:11 *2703:11 0.00174789 +9 *2796:serial_load *2796:user_gpio_oeb 0 +10 *623:56 *2665:11 0 +11 *624:13 *2796:user_gpio_oeb 0.00185138 +12 *668:17 *2796:user_gpio_oeb 7.92757e-06 +13 *2592:25 *2796:user_gpio_oeb 1.5714e-05 +14 *2627:13 *2796:user_gpio_oeb 0.00258424 +15 *2627:14 *2665:11 0.0017764 +16 *2627:17 *2665:8 4.82966e-05 +*RES +1 *2821:io_oeb[17] *2665:7 41.3353 +2 *2665:7 *2665:8 152.13 +3 *2665:8 *2665:10 4.5 +4 *2665:10 *2665:11 59.1368 +5 *2665:11 *2796:user_gpio_oeb 41.4171 +*END + +*D_NET *2666 0.0368012 +*CONN +*I *2787:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[18] O *D user_project_wrapper +*CAP +1 *2787:user_gpio_oeb 0.000548582 +2 *2821:io_oeb[18] 0.00160239 +3 *2666:11 0.00214933 +4 *2666:10 0.00160075 +5 *2666:8 0.00328664 +6 *2666:7 0.00488903 +7 *2787:user_gpio_oeb *2787:user_gpio_out 0.000219659 +8 *2666:8 *2704:8 0.0151942 +9 *625:13 *2787:user_gpio_oeb 0.000330532 +10 *668:29 *2787:user_gpio_oeb 0.00179826 +11 *2419:16 *2787:user_gpio_oeb 4.69495e-06 +12 *2628:11 *2787:user_gpio_oeb 0.00338728 +13 *2628:14 *2666:11 0.00178983 +*RES +1 *2821:io_oeb[18] *2666:7 41.3353 +2 *2666:7 *2666:8 158.785 +3 *2666:8 *2666:10 4.5 +4 *2666:10 *2666:11 60.3826 +5 *2666:11 *2787:user_gpio_oeb 49.6597 +*END + +*D_NET *2667 0.0177592 +*CONN +*I *2803:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[19] O *D user_project_wrapper +*CAP +1 *2803:user_gpio_oeb 8.99696e-05 +2 *2821:io_oeb[19] 0.00192414 +3 *2667:16 0.00357117 +4 *2667:15 0.0034812 +5 *2667:13 0.00304696 +6 *2667:12 0.0033843 +7 *2667:9 0.00226148 +*RES +1 *2821:io_oeb[19] *2667:9 49.4205 +2 *2667:9 *2667:12 13.5424 +3 *2667:12 *2667:13 85.7129 +4 *2667:13 *2667:15 4.5 +5 *2667:15 *2667:16 97.2244 +6 *2667:16 *2803:user_gpio_oeb 8.46357 +*END + +*D_NET *2668 0.152001 +*CONN +*I *2782:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[1] O *D user_project_wrapper +*CAP +1 *2782:user_gpio_oeb 0.000192675 +2 *2821:io_oeb[1] 0.00138939 +3 *2668:19 0.000832032 +4 *2668:14 0.0112697 +5 *2668:13 0.0106304 +6 *2668:11 0.00338283 +7 *2668:10 0.00477223 +8 *2782:user_gpio_oeb *2782:user_gpio_out 0.00010246 +9 *2668:14 *2695:14 0.0462605 +10 *2668:19 *2706:17 0.000436167 +11 *2782:serial_load *2668:19 0.00152628 +12 *2782:serial_load_out *2782:user_gpio_oeb 0.0003186 +13 *616:53 *2668:19 0.00109865 +14 *627:22 *2668:10 0 +15 *635:14 *2668:14 0.00722607 +16 *656:35 *2668:14 0.0096963 +17 *2630:13 *2782:user_gpio_oeb 0.00025922 +18 *2630:13 *2668:19 0.00127458 +19 *2657:14 *2668:14 0.0513329 +*RES +1 *2821:io_oeb[1] *2668:10 18.4404 +2 *2668:10 *2668:11 88.3508 +3 *2668:11 *2668:13 4.5 +4 *2668:13 *2668:14 1060.31 +5 *2668:14 *2668:19 47.991 +6 *2668:19 *2782:user_gpio_oeb 10.6841 +*END + +*D_NET *2669 0.0185684 +*CONN +*I *2810:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[20] O *D user_project_wrapper +*CAP +1 *2810:user_gpio_oeb 0.00094967 +2 *2821:io_oeb[20] 0.000105688 +3 *2669:7 0.00417171 +4 *2669:5 0.00332773 +5 *2810:user_gpio_oeb *2810:user_gpio_out 0.000128434 +6 *110:13 *2810:user_gpio_oeb 0.000202021 +7 *110:38 *2669:7 0.000366015 +8 *616:23 *2810:user_gpio_oeb 0.000388522 +9 *626:19 *2810:user_gpio_oeb 0.00226483 +10 *626:20 *2669:7 0.00327122 +11 *650:38 *2669:7 0.00339254 +*RES +1 *2821:io_oeb[20] *2669:5 2.33274 +2 *2669:5 *2669:7 128.899 +3 *2669:7 *2810:user_gpio_oeb 16.0032 +*END + +*D_NET *2670 0.0157783 +*CONN +*I *2811:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[21] O *D user_project_wrapper +*CAP +1 *2811:user_gpio_oeb 0.000133803 +2 *2821:io_oeb[21] 0.00171896 +3 *2670:14 0.000924235 +4 *2670:11 0.00397243 +5 *2670:10 0.00342048 +6 *2670:7 0.00195743 +7 *650:23 *2670:14 0.00187071 +8 *1718:40 *2670:14 0.00110591 +9 *2142:10 *2670:11 2.33103e-06 +10 *2143:13 *2670:11 8.11463e-06 +11 *2195:11 *2670:11 0 +12 *2385:11 *2670:14 0.000577063 +13 *2423:13 *2670:14 8.68633e-05 +*RES +1 *2821:io_oeb[21] *2670:7 47.5641 +2 *2670:7 *2670:10 10.7694 +3 *2670:10 *2670:11 87.3739 +4 *2670:11 *2670:14 49.5917 +5 *2670:14 *2811:user_gpio_oeb 8.46357 +*END + +*D_NET *2671 0.0273221 +*CONN +*I *2812:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[22] O *D user_project_wrapper +*CAP +1 *2812:user_gpio_oeb 9.84819e-05 +2 *2821:io_oeb[22] 0.00160398 +3 *2671:14 0.00214777 +4 *2671:13 0.00204929 +5 *2671:11 0.00244947 +6 *2671:10 0.00387431 +7 *2671:7 0.00302882 +8 *2822:mprj_io_inp_dis[22] *2671:11 0 +9 *2822:mprj_io_slow_sel[22] *2671:11 0.000483368 +10 *691:20 *2671:11 0 +11 *691:23 *2671:14 0.00754901 +12 *1719:40 *2671:14 0.000222062 +13 *2196:11 *2671:14 6.49003e-05 +14 *2196:14 *2671:11 0.00375062 +*RES +1 *2821:io_oeb[22] *2671:7 45.0726 +2 *2671:7 *2671:10 44.0456 +3 *2671:10 *2671:11 92.7722 +4 *2671:11 *2671:13 4.5 +5 *2671:13 *2671:14 93.3422 +6 *2671:14 *2812:user_gpio_oeb 8.46357 +*END + +*D_NET *2672 0.0341468 +*CONN +*I *2813:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[23] O *D user_project_wrapper +*CAP +1 *2813:user_gpio_oeb 0.000141516 +2 *2821:io_oeb[23] 0.00142058 +3 *2672:14 0.00184806 +4 *2672:13 0.00170655 +5 *2672:11 0.00317467 +6 *2672:10 0.00317467 +7 *2672:8 0.00424403 +8 *2672:7 0.00566461 +9 *2672:11 *2710:11 0 +10 *2672:14 *2710:14 0.00914223 +11 *2813:mgmt_gpio_in *2672:14 0 +12 *2822:mprj_io_holdover[23] *2672:11 4.2657e-05 +13 *652:25 *2672:14 0.00186428 +14 *1720:40 *2672:14 0 +15 *1720:44 *2672:14 0 +16 *2235:16 *2672:11 0.00148341 +17 *2311:11 *2672:14 0 +18 *2311:14 *2672:11 0 +19 *2597:25 *2672:11 0.000237053 +20 *2634:19 *2813:user_gpio_oeb 2.44031e-06 +*RES +1 *2821:io_oeb[23] *2672:7 40.0896 +2 *2672:7 *2672:8 118.299 +3 *2672:8 *2672:10 4.5 +4 *2672:10 *2672:11 97.34 +5 *2672:11 *2672:13 4.5 +6 *2672:13 *2672:14 95.5606 +7 *2672:14 *2813:user_gpio_oeb 8.46357 +*END + +*D_NET *2673 0.0145757 +*CONN +*I *2814:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[24] O *D user_project_wrapper +*CAP +1 *2814:user_gpio_oeb 0.00103198 +2 *2821:io_oeb[24] 0.00175471 +3 *2673:11 0.00439579 +4 *2673:10 0.00511853 +5 *2814:user_gpio_oeb *2814:user_gpio_out 6.19346e-06 +6 *633:35 *2814:user_gpio_oeb 0.000262245 +7 *1970:8 *2814:user_gpio_oeb 0.000874377 +8 *2008:8 *2814:user_gpio_oeb 0.000870918 +9 *2635:10 *2814:user_gpio_oeb 0.000260994 +*RES +1 *2821:io_oeb[24] *2673:10 21.5875 +2 *2673:10 *2673:11 90.0146 +3 *2673:11 *2814:user_gpio_oeb 38.6458 +*END + +*D_NET *2674 0.0512156 +*CONN +*I *2815:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[25] O *D user_project_wrapper +*CAP +1 *2815:user_gpio_oeb 5.3317e-05 +2 *2821:io_oeb[25] 0.00179646 +3 *2674:17 0.00218472 +4 *2674:16 0.0021314 +5 *2674:14 0.00984264 +6 *2674:13 0.0114569 +7 *2674:10 0.00341071 +8 *2815:user_gpio_oeb *2815:user_gpio_out 5.83673e-05 +9 *2674:17 *2712:17 0 +10 *2815:serial_clock *2815:user_gpio_oeb 0 +11 *2815:serial_clock *2674:17 4.28856e-07 +12 *630:20 *2674:17 0.000282447 +13 *632:55 *2815:user_gpio_oeb 5.38612e-06 +14 *2600:23 *2674:14 0.0199344 +15 *2636:13 *2815:user_gpio_oeb 5.83673e-05 +16 *2636:14 *2674:10 0 +*RES +1 *2821:io_oeb[25] *2674:10 22.418 +2 *2674:10 *2674:13 49.0371 +3 *2674:13 *2674:14 402.55 +4 *2674:14 *2674:16 4.5 +5 *2674:16 *2674:17 56.1838 +6 *2674:17 *2815:user_gpio_oeb 8.54006 +*END + +*D_NET *2675 0.0420759 +*CONN +*I *2816:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[26] O *D user_project_wrapper +*CAP +1 *2816:user_gpio_oeb 0.000135912 +2 *2821:io_oeb[26] 0.00153348 +3 *2675:17 0.00279604 +4 *2675:16 0.00266013 +5 *2675:14 0.00842428 +6 *2675:13 0.0095723 +7 *2675:10 0.00268149 +8 *2816:user_gpio_oeb *2816:user_gpio_out 1.29018e-05 +9 *2675:14 *2712:14 0.00500289 +10 *2675:17 *2713:17 0.000659079 +11 *2602:17 *2675:14 0.00791315 +12 *2636:14 *2675:10 0.000666627 +13 *2637:12 *2816:user_gpio_oeb 1.75765e-05 +14 *2637:15 *2675:17 0 +*RES +1 *2821:io_oeb[26] *2675:10 23.0518 +2 *2675:10 *2675:13 36.2812 +3 *2675:13 *2675:14 344 +4 *2675:14 *2675:16 4.5 +5 *2675:16 *2675:17 75.0403 +6 *2675:17 *2816:user_gpio_oeb 9.41712 +*END + +*D_NET *2676 0.0362644 +*CONN +*I *2817:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[27] O *D user_project_wrapper +*CAP +1 *2817:user_gpio_oeb 0.00421445 +2 *2821:io_oeb[27] 0.00121694 +3 *2676:8 0.0118701 +4 *2676:7 0.00887257 +5 *2817:user_gpio_oeb *2817:user_gpio_out 0.000387723 +6 *2676:8 *2714:8 0.00925746 +7 *633:13 *2817:user_gpio_oeb 0.000398386 +8 *2638:13 *2817:user_gpio_oeb 4.6762e-05 +*RES +1 *2821:io_oeb[27] *2676:7 7.40946 +2 *2676:7 *2676:8 304.136 +3 *2676:8 *2817:user_gpio_oeb 18.7766 +*END + +*D_NET *2677 0.0303498 +*CONN +*I *2818:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[28] O *D user_project_wrapper +*CAP +1 *2818:user_gpio_oeb 8.12438e-05 +2 *2821:io_oeb[28] 0.00207756 +3 *2677:17 0.00233724 +4 *2677:16 0.002256 +5 *2677:14 0.00657191 +6 *2677:13 0.00807901 +7 *2677:10 0.00358466 +8 *2818:user_gpio_oeb *2818:user_gpio_out 6.19346e-06 +9 *2677:10 *2817:user_gpio_out 0.000121753 +10 *2677:17 *2716:13 0 +11 *2818:resetn *2677:17 0 +12 *634:5 *2818:user_gpio_oeb 0 +13 *656:28 *2677:10 0 +14 *2604:17 *2677:14 0.00504808 +15 *2639:12 *2818:user_gpio_oeb 0.000186165 +16 *2639:13 *2677:17 0 +*RES +1 *2821:io_oeb[28] *2677:10 27.3682 +2 *2677:10 *2677:13 45.7095 +3 *2677:13 *2677:14 233.543 +4 *2677:14 *2677:16 4.5 +5 *2677:16 *2677:17 56.7384 +6 *2677:17 *2818:user_gpio_oeb 8.54006 +*END + +*D_NET *2678 0.0289793 +*CONN +*I *2804:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[29] O *D user_project_wrapper +*CAP +1 *2804:user_gpio_oeb 9.86476e-05 +2 *2821:io_oeb[29] 0.00219304 +3 *2678:17 0.00167382 +4 *2678:14 0.00569838 +5 *2678:13 0.0041232 +6 *2678:11 0.0020722 +7 *2678:10 0.00426524 +8 *2804:user_gpio_oeb *2804:user_gpio_out 4.31243e-06 +9 *2804:serial_load *2678:17 5.81099e-05 +10 *617:11 *2804:user_gpio_oeb 0 +11 *649:56 *2678:14 0.00103927 +12 *2603:25 *2678:14 0.000522182 +13 *2605:17 *2678:14 0.0069737 +14 *2640:12 *2804:user_gpio_oeb 3.38016e-05 +15 *2640:13 *2678:17 0.000223401 +*RES +1 *2821:io_oeb[29] *2678:10 29.4445 +2 *2678:10 *2678:11 56.7384 +3 *2678:11 *2678:13 4.5 +4 *2678:13 *2678:14 177.068 +5 *2678:14 *2678:17 45.7095 +6 *2678:17 *2804:user_gpio_oeb 8.54006 +*END + +*D_NET *2679 0.126906 +*CONN +*I *2797:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[2] O *D user_project_wrapper +*CAP +1 *2797:user_gpio_oeb 0.000351974 +2 *2821:io_oeb[2] 0.00138631 +3 *2679:17 0.00207684 +4 *2679:16 0.00172486 +5 *2679:14 0.0224497 +6 *2679:13 0.0224497 +7 *2679:11 0.0027457 +8 *2679:10 0.00413201 +9 *2797:user_gpio_oeb *2797:user_gpio_out 7.51136e-05 +10 *2797:serial_clock_out *2797:user_gpio_oeb 0.000812331 +11 *2797:serial_load *2797:user_gpio_oeb 0.000124334 +12 *2797:serial_load_out *2797:user_gpio_oeb 0 +13 *627:40 *2797:user_gpio_oeb 0.000318589 +14 *669:30 *2797:user_gpio_oeb 4.11147e-05 +15 *670:13 *2797:user_gpio_oeb 8.85385e-05 +16 *2537:12 *2679:14 0.0644934 +17 *2641:10 *2797:user_gpio_oeb 2.72062e-05 +18 *2641:13 *2679:17 0.00360829 +*RES +1 *2821:io_oeb[2] *2679:10 21.3034 +2 *2679:10 *2679:11 73.3765 +3 *2679:11 *2679:13 4.5 +4 *2679:13 *2679:14 1031.66 +5 *2679:14 *2679:16 4.5 +6 *2679:16 *2679:17 55.6292 +7 *2679:17 *2797:user_gpio_oeb 21.6423 +*END + +*D_NET *2680 0.0252832 +*CONN +*I *2805:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[30] O *D user_project_wrapper +*CAP +1 *2805:user_gpio_oeb 0.004621 +2 *2821:io_oeb[30] 0.00129468 +3 *2680:8 0.00856695 +4 *2680:7 0.00524063 +5 *2805:user_gpio_oeb *2805:user_gpio_out 0 +6 *2805:serial_data_in *2805:user_gpio_oeb 7.7053e-05 +7 *2821:io_in[31] *2805:user_gpio_oeb 0 +8 *102:7 *2805:user_gpio_oeb 0.000817312 +9 *618:8 *2805:user_gpio_oeb 0 +10 *680:7 *2805:user_gpio_oeb 6.69704e-05 +11 *681:8 *2805:user_gpio_oeb 3.04458e-05 +12 *2642:9 *2805:user_gpio_oeb 0.000209574 +13 *2642:10 *2680:8 0.00435862 +*RES +1 *2821:io_oeb[30] *2680:7 7.56244 +2 *2680:7 *2680:8 152.984 +3 *2680:8 *2805:user_gpio_oeb 38.0396 +*END + +*D_NET *2681 0.0204733 +*CONN +*I *2806:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[31] O *D user_project_wrapper +*CAP +1 *2806:user_gpio_oeb 0.000813256 +2 *2821:io_oeb[31] 0.00151454 +3 *2681:11 0.00652235 +4 *2681:10 0.0057091 +5 *2681:8 0.00219973 +6 *2681:7 0.00371428 +*RES +1 *2821:io_oeb[31] *2681:7 8.40384 +2 *2681:7 *2681:8 62.2512 +3 *2681:8 *2681:10 4.5 +4 *2681:10 *2681:11 153.239 +5 *2681:11 *2806:user_gpio_oeb 28.5187 +*END + +*D_NET *2682 0.068737 +*CONN +*I *2807:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[32] O *D user_project_wrapper +*CAP +1 *2807:user_gpio_oeb 0.00316791 +2 *2821:io_oeb[32] 0.00130726 +3 *2682:8 0.0169631 +4 *2682:7 0.0151024 +5 *2807:user_gpio_oeb *2807:user_gpio_out 6.19346e-06 +6 *2807:user_gpio_oeb *2722:10 1.7794e-05 +7 *2807:user_gpio_oeb *2722:11 0.000803374 +8 *622:29 *2807:user_gpio_oeb 0.000982269 +9 *643:29 *2807:user_gpio_oeb 0.00155432 +10 *2644:13 *2807:user_gpio_oeb 0.00907325 +11 *2644:14 *2682:8 0.0145564 +12 *2646:16 *2682:8 0.00520279 +*RES +1 *2821:io_oeb[32] *2682:7 7.56244 +2 *2682:7 *2682:8 560.761 +3 *2682:8 *2807:user_gpio_oeb 41.0856 +*END + +*D_NET *2683 0.0644332 +*CONN +*I *2808:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[33] O *D user_project_wrapper +*CAP +1 *2808:user_gpio_oeb 0.000157025 +2 *2821:io_oeb[33] 0.00280488 +3 *2683:17 0.00195057 +4 *2683:16 0.00179355 +5 *2683:14 0.0115548 +6 *2683:13 0.0115548 +7 *2683:11 0.00280488 +8 *2808:user_gpio_oeb *2808:user_gpio_out 6.88205e-06 +9 *104:23 *2683:17 0.00122483 +10 *104:37 *2683:17 0.00573578 +11 *2609:17 *2683:14 0.0248366 +12 *2645:12 *2808:user_gpio_oeb 8.62976e-06 +13 *2645:15 *2683:17 0 +*RES +1 *2821:io_oeb[33] *2683:11 46.454 +2 *2683:11 *2683:13 4.5 +3 *2683:13 *2683:14 481.033 +4 *2683:14 *2683:16 4.5 +5 *2683:16 *2683:17 74.4857 +6 *2683:17 *2808:user_gpio_oeb 9.41712 +*END + +*D_NET *2684 0.0589596 +*CONN +*I *2809:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[34] O *D user_project_wrapper +*CAP +1 *2809:user_gpio_oeb 0.000670587 +2 *2821:io_oeb[34] 0.00186792 +3 *2684:14 0.00982376 +4 *2684:13 0.00915317 +5 *2684:11 0.00310425 +6 *2684:10 0.00497217 +7 *2809:user_gpio_oeb *2809:user_gpio_out 0.00217856 +8 *622:28 *2809:user_gpio_oeb 0.000543039 +9 *625:20 *2684:14 0.02634 +10 *2646:12 *2809:user_gpio_oeb 0.000306165 +11 *2646:16 *2684:10 0 +*RES +1 *2821:io_oeb[34] *2684:10 21.5875 +2 *2684:10 *2684:11 78.9225 +3 *2684:11 *2684:13 4.5 +4 *2684:13 *2684:14 421.237 +5 *2684:14 *2809:user_gpio_oeb 41.5581 +*END + +*D_NET *2685 0.0482028 +*CONN +*I *2783:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[35] O *D user_project_wrapper +*CAP +1 *2783:user_gpio_oeb 8.99512e-05 +2 *2821:io_oeb[35] 0.00194092 +3 *2685:17 0.00237332 +4 *2685:16 0.00228337 +5 *2685:14 0.00916815 +6 *2685:13 0.0107824 +7 *2685:10 0.00355517 +8 *2783:user_gpio_oeb *2783:user_gpio_out 6.19346e-06 +9 *2685:17 *2723:17 0 +10 *2783:serial_clock *2783:user_gpio_oeb 2.02035e-05 +11 *106:13 *2783:user_gpio_oeb 0 +12 *685:10 *2783:user_gpio_oeb 1.66626e-05 +13 *2646:16 *2685:10 0 +14 *2647:17 *2783:user_gpio_oeb 4.80336e-05 +15 *2648:16 *2685:14 0.0179184 +*RES +1 *2821:io_oeb[35] *2685:10 23.6638 +2 *2685:10 *2685:13 49.0371 +3 *2685:13 *2685:14 370.576 +4 *2685:14 *2685:16 4.5 +5 *2685:16 *2685:17 56.1838 +6 *2685:17 *2783:user_gpio_oeb 8.54006 +*END + +*D_NET *2686 0.0405081 +*CONN +*I *2784:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[36] O *D user_project_wrapper +*CAP +1 *2784:user_gpio_oeb 0.000149822 +2 *2821:io_oeb[36] 0.00271995 +3 *2686:17 0.00272786 +4 *2686:16 0.00257804 +5 *2686:14 0.013829 +6 *2686:13 0.0165489 +7 *2784:user_gpio_oeb *2784:user_gpio_out 8.62976e-06 +8 *2686:17 *2724:19 0.000653913 +9 *2609:17 *2686:14 0.0012834 +10 *2648:12 *2784:user_gpio_oeb 8.62976e-06 +11 *2648:13 *2686:17 0 +*RES +1 *2821:io_oeb[36] *2686:13 47.4434 +2 *2686:13 *2686:14 399.228 +3 *2686:14 *2686:16 4.5 +4 *2686:16 *2686:17 74.4857 +5 *2686:17 *2784:user_gpio_oeb 9.41712 +*END + +*D_NET *2687 0.0635819 +*CONN +*I *2785:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[37] O *D user_project_wrapper +*CAP +1 *2785:user_gpio_oeb 0.000341705 +2 *2821:io_oeb[37] 0.00136495 +3 *2687:11 0.00264313 +4 *2687:10 0.00230142 +5 *2687:8 0.00924768 +6 *2687:7 0.0106126 +7 *2785:user_gpio_oeb *2785:user_gpio_out 5.66917e-05 +8 *2785:serial_load_out *2785:user_gpio_oeb 0 +9 *2826:la_input[2] *2687:8 0 +10 *2649:12 *2785:user_gpio_oeb 0.000750973 +11 *2649:13 *2687:11 0.0102187 +12 *2649:16 *2687:8 0.0260441 +*RES +1 *2821:io_oeb[37] *2687:7 7.63893 +2 *2687:7 *2687:8 418.538 +3 *2687:8 *2687:10 4.5 +4 *2687:10 *2687:11 107.762 +5 *2687:11 *2785:user_gpio_oeb 20.1006 +*END + +*D_NET *2688 0.0942667 +*CONN +*I *2798:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[3] O *D user_project_wrapper +*CAP +1 *2798:user_gpio_oeb 8.89808e-05 +2 *2821:io_oeb[3] 0.00127639 +3 *2688:17 0.00229291 +4 *2688:16 0.00220393 +5 *2688:14 0.0275708 +6 *2688:13 0.0275708 +7 *2688:11 0.00202751 +8 *2688:10 0.00330391 +9 *2798:user_gpio_oeb *2798:user_gpio_out 3.30793e-05 +10 *2688:14 *2691:14 0.0104776 +11 *2688:14 *2728:14 0.00876395 +12 *2688:17 *2726:17 2.18041e-06 +13 *627:22 *2688:10 0 +14 *2650:10 *2798:user_gpio_oeb 2.12195e-05 +15 *2650:11 *2688:17 0.00296621 +16 *2651:14 *2688:14 0.00566725 +*RES +1 *2821:io_oeb[3] *2688:10 16.2111 +2 *2688:10 *2688:11 54.5199 +3 *2688:11 *2688:13 4.5 +4 *2688:13 *2688:14 992.624 +5 *2688:14 *2688:16 4.5 +6 *2688:16 *2688:17 75.5949 +7 *2688:17 *2798:user_gpio_oeb 8.47354 +*END + +*D_NET *2689 0.107938 +*CONN +*I *2799:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[4] O *D user_project_wrapper +*CAP +1 *2799:user_gpio_oeb 0.000348538 +2 *2821:io_oeb[4] 0.00124334 +3 *2689:17 0.00317479 +4 *2689:16 0.00282625 +5 *2689:14 0.022481 +6 *2689:13 0.0238529 +7 *2689:10 0.00261521 +8 *2799:user_gpio_oeb *2799:user_gpio_out 5.17837e-06 +9 *2689:14 *2726:14 0.0400997 +10 *2799:serial_load *2799:user_gpio_oeb 4.69495e-06 +11 *2799:serial_load *2689:17 0.00207163 +12 *2799:serial_load_out *2799:user_gpio_oeb 5.38612e-06 +13 *627:22 *2689:10 0 +14 *628:65 *2689:17 0.000623421 +15 *652:51 *2689:17 0.00139505 +16 *672:13 *2689:17 0.000101235 +17 *2651:10 *2799:user_gpio_oeb 2.07637e-05 +18 *2653:14 *2689:14 0.00706858 +*RES +1 *2821:io_oeb[4] *2689:10 14.2878 +2 *2689:10 *2689:13 41.2726 +3 *2689:13 *2689:14 969.785 +4 *2689:14 *2689:16 4.5 +5 *2689:16 *2689:17 92.233 +6 *2689:17 *2799:user_gpio_oeb 15.1176 +*END + +*D_NET *2690 0.123915 +*CONN +*I *2800:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[5] O *D user_project_wrapper +*CAP +1 *2800:user_gpio_oeb 0.000245115 +2 *2821:io_oeb[5] 0.00142953 +3 *2690:19 0.000877759 +4 *2690:14 0.0114768 +5 *2690:13 0.0108442 +6 *2690:11 0.00346328 +7 *2690:10 0.00489281 +8 *2800:user_gpio_oeb *2800:user_gpio_out 0.000774786 +9 *2690:14 *2717:14 0.00836789 +10 *2690:19 *2728:17 0.00124226 +11 *2800:serial_data_in *2690:19 1.41291e-05 +12 *627:22 *2690:10 0 +13 *643:38 *2690:14 0.024715 +14 *1711:17 *2690:14 0.00505701 +15 *2652:11 *2800:user_gpio_oeb 0.000556245 +16 *2652:11 *2690:19 0.0012347 +17 *2652:14 *2690:14 0.0487239 +*RES +1 *2821:io_oeb[5] *2690:10 13.8835 +2 *2690:10 *2690:11 88.3508 +3 *2690:11 *2690:13 4.5 +4 *2690:13 *2690:14 943.624 +5 *2690:14 *2690:19 41.8904 +6 *2690:19 *2800:user_gpio_oeb 15.6671 +*END + +*D_NET *2691 0.119964 +*CONN +*I *2801:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[6] O *D user_project_wrapper +*CAP +1 *2801:user_gpio_oeb 8.81957e-05 +2 *2821:io_oeb[6] 0.00146626 +3 *2691:17 0.00162552 +4 *2691:16 0.00153732 +5 *2691:14 0.0152802 +6 *2691:13 0.0152802 +7 *2691:11 0.0020588 +8 *2691:10 0.00352506 +9 *2801:user_gpio_oeb *2801:user_gpio_out 1.29141e-05 +10 *2691:14 *2728:14 0.03613 +11 *2691:14 *2731:14 0.00518519 +12 *2691:17 *2729:17 0.00225746 +13 *627:22 *2691:10 0 +14 *674:9 *2801:user_gpio_oeb 0 +15 *674:10 *2801:user_gpio_oeb 2.01653e-05 +16 *2653:10 *2801:user_gpio_oeb 2.12195e-05 +17 *2653:11 *2691:17 0.0029019 +18 *2654:14 *2691:14 0.0220963 +19 *2688:14 *2691:14 0.0104776 +*RES +1 *2821:io_oeb[6] *2691:10 21.6094 +2 *2691:10 *2691:11 55.0746 +3 *2691:11 *2691:13 4.5 +4 *2691:13 *2691:14 891.717 +5 *2691:14 *2691:16 4.5 +6 *2691:16 *2691:17 75.0403 +7 *2691:17 *2801:user_gpio_oeb 8.47354 +*END + +*D_NET *2692 0.0790321 +*CONN +*I *2802:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[7] O *D user_project_wrapper +*CAP +1 *2802:user_gpio_oeb 0.000155696 +2 *2821:io_oeb[7] 0.00136929 +3 *2692:17 0.00301787 +4 *2692:16 0.00286218 +5 *2692:14 0.0163646 +6 *2692:13 0.0178864 +7 *2692:10 0.00289105 +8 *2802:user_gpio_oeb *2802:user_gpio_out 2.50257e-05 +9 *2802:user_gpio_oeb *2730:20 1.66771e-05 +10 *2692:14 *2693:14 0.0254771 +11 *2802:serial_load *2802:user_gpio_oeb 0 +12 *2802:serial_load_out *2802:user_gpio_oeb 1.65082e-05 +13 *2445:11 *2802:user_gpio_oeb 0.000114186 +14 *2601:17 *2692:10 0.000110775 +15 *2653:14 *2692:14 0.00578919 +16 *2654:10 *2802:user_gpio_oeb 7.85548e-05 +17 *2654:11 *2692:17 0.00285696 +*RES +1 *2821:io_oeb[7] *2692:10 22.1339 +2 *2692:10 *2692:13 45.1549 +3 *2692:13 *2692:14 676.617 +4 *2692:14 *2692:16 4.5 +5 *2692:16 *2692:17 90.0146 +6 *2692:17 *2802:user_gpio_oeb 10.2011 +*END + +*D_NET *2693 0.0828858 +*CONN +*I *2786:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[8] O *D user_project_wrapper +*CAP +1 *2786:user_gpio_oeb 0.000107452 +2 *2821:io_oeb[8] 0.00255554 +3 *2693:17 0.00310982 +4 *2693:16 0.00300237 +5 *2693:14 0.0185335 +6 *2693:13 0.0210891 +7 *2786:user_gpio_oeb *2786:user_gpio_out 2.90444e-05 +8 *2693:17 *2731:17 0.00278774 +9 *2786:serial_load *2786:user_gpio_oeb 0 +10 *2786:serial_load_out *2786:user_gpio_oeb 0 +11 *676:8 *2786:user_gpio_oeb 1.28704e-05 +12 *2620:14 *2693:14 0.00618123 +13 *2692:14 *2693:14 0.0254771 +*RES +1 *2821:io_oeb[8] *2693:13 46.7906 +2 *2693:13 *2693:14 741.811 +3 *2693:14 *2693:16 4.5 +4 *2693:16 *2693:17 91.1238 +5 *2693:17 *2786:user_gpio_oeb 8.87882 +*END + +*D_NET *2694 0.0985589 +*CONN +*I *2788:user_gpio_oeb I *D gpio_control_block +*I *2821:io_oeb[9] O *D user_project_wrapper +*CAP +1 *2788:user_gpio_oeb 0.000172419 +2 *2821:io_oeb[9] 0.00153511 +3 *2694:17 0.00163 +4 *2694:16 0.00145758 +5 *2694:14 0.0170758 +6 *2694:13 0.0170758 +7 *2694:11 0.00250017 +8 *2694:10 0.00403528 +9 *2788:user_gpio_oeb *2788:user_gpio_out 7.93619e-05 +10 *2788:serial_load_out *2788:user_gpio_oeb 5.92996e-05 +11 *2788:serial_load_out *2694:17 0.00120876 +12 *616:44 *2694:14 0.0496311 +13 *2103:8 *2788:user_gpio_oeb 0 +14 *2612:17 *2694:10 0 +15 *2656:12 *2788:user_gpio_oeb 3.12217e-05 +16 *2656:13 *2694:17 0.00206697 +*RES +1 *2821:io_oeb[9] *2694:10 16.0362 +2 *2694:10 *2694:11 66.1666 +3 *2694:11 *2694:13 4.5 +4 *2694:13 *2694:14 792.057 +5 *2694:14 *2694:16 4.5 +6 *2694:16 *2694:17 55.6292 +7 *2694:17 *2788:user_gpio_oeb 11.0415 +*END + +*D_NET *2695 0.133551 +*CONN +*I *2781:user_gpio_out I *D gpio_control_block +*I *2821:io_out[0] O *D user_project_wrapper +*CAP +1 *2781:user_gpio_out 0.00017455 +2 *2821:io_out[0] 0.00158956 +3 *2695:19 0.000802174 +4 *2695:14 0.016391 +5 *2695:13 0.0157633 +6 *2695:11 0.00295302 +7 *2695:10 0.00454258 +8 *2695:14 *2717:14 0 +9 *2781:serial_load_out *2695:19 0 +10 *2781:user_gpio_oeb *2781:user_gpio_out 0.000161365 +11 *656:35 *2695:14 0.00774769 +12 *697:14 *2695:14 0.0259583 +13 *2619:15 *2781:user_gpio_out 5.7989e-05 +14 *2619:15 *2695:19 0.00138481 +15 *2619:16 *2695:14 0 +16 *2657:14 *2695:14 0.00815458 +17 *2657:19 *2695:19 0.00160971 +18 *2668:14 *2695:14 0.0462605 +*RES +1 *2821:io_out[0] *2695:10 13.8179 +2 *2695:10 *2695:11 77.2587 +3 *2695:11 *2695:13 4.5 +4 *2695:13 *2695:14 1011.73 +5 *2695:14 *2695:19 48.5456 +6 *2695:19 *2781:user_gpio_out 5.82411 +*END + +*D_NET *2696 0.097385 +*CONN +*I *2789:user_gpio_out I *D gpio_control_block +*I *2821:io_out[10] O *D user_project_wrapper +*CAP +1 *2789:user_gpio_out 0.000452512 +2 *2821:io_out[10] 0.001404 +3 *2696:17 0.00177734 +4 *2696:14 0.0110377 +5 *2696:13 0.00971284 +6 *2696:11 0.00327576 +7 *2696:10 0.00467975 +8 *2789:serial_load *2789:user_gpio_out 6.09945e-05 +9 *2789:serial_load *2696:17 0 +10 *2789:user_gpio_oeb *2789:user_gpio_out 0.000219633 +11 *102:55 *2696:14 0.0136819 +12 *627:22 *2696:10 0 +13 *634:30 *2696:14 0.0156222 +14 *642:58 *2696:14 0.0139597 +15 *661:13 *2696:17 0.00158848 +16 *2103:30 *2789:user_gpio_out 0 +17 *2655:16 *2696:14 0.00193095 +18 *2658:14 *2696:14 0.0164334 +19 *2658:17 *2696:17 0.00154784 +*RES +1 *2821:io_out[10] *2696:10 18.8556 +2 *2696:10 *2696:11 85.0231 +3 *2696:11 *2696:13 4.5 +4 *2696:13 *2696:14 761.744 +5 *2696:14 *2696:17 49.0371 +6 *2696:17 *2789:user_gpio_out 19.3832 +*END + +*D_NET *2697 0.0996091 +*CONN +*I *2790:user_gpio_out I *D gpio_control_block +*I *2821:io_out[11] O *D user_project_wrapper +*CAP +1 *2790:user_gpio_out 0.000162503 +2 *2821:io_out[11] 0.0016147 +3 *2697:17 0.00216051 +4 *2697:16 0.001998 +5 *2697:14 0.0174332 +6 *2697:13 0.0174332 +7 *2697:11 0.00218892 +8 *2697:10 0.00380362 +9 *2790:user_gpio_oeb *2790:user_gpio_out 4.54991e-05 +10 *2298:8 *2790:user_gpio_out 0 +11 *2537:12 *2697:14 0.0506382 +12 *2659:17 *2697:17 0.00213065 +*RES +1 *2821:io_out[11] *2697:10 13.8179 +2 *2697:10 *2697:11 58.4022 +3 *2697:11 *2697:13 4.5 +4 *2697:13 *2697:14 808.252 +5 *2697:14 *2697:16 4.5 +6 *2697:16 *2697:17 58.9568 +7 *2697:17 *2790:user_gpio_out 9.41712 +*END + +*D_NET *2698 0.122151 +*CONN +*I *2791:user_gpio_out I *D gpio_control_block +*I *2821:io_out[12] O *D user_project_wrapper +*CAP +1 *2791:user_gpio_out 0.000466274 +2 *2821:io_out[12] 0.00126179 +3 *2698:17 0.00369819 +4 *2698:16 0.00323192 +5 *2698:14 0.0121053 +6 *2698:13 0.0135364 +7 *2698:10 0.00269297 +8 *2791:serial_load *2698:17 0.00122643 +9 *2791:serial_load_out *2791:user_gpio_out 1.66771e-05 +10 *2791:serial_load_out *2698:17 0.000434837 +11 *2791:user_gpio_oeb *2791:user_gpio_out 3.60868e-05 +12 *619:32 *2698:17 0.000253916 +13 *627:22 *2698:10 0 +14 *2620:14 *2698:14 0.00805175 +15 *2622:10 *2791:user_gpio_out 1.66626e-05 +16 *2623:16 *2698:14 0.036941 +17 *2659:14 *2698:14 0.038181 +*RES +1 *2821:io_out[12] *2698:10 15.7959 +2 *2698:10 *2698:13 42.9364 +3 *2698:13 *2698:14 859.328 +4 *2698:14 *2698:16 4.5 +5 *2698:16 *2698:17 90.5692 +6 *2698:17 *2791:user_gpio_out 17.5991 +*END + +*D_NET *2699 0.113406 +*CONN +*I *2792:user_gpio_out I *D gpio_control_block +*I *2821:io_out[13] O *D user_project_wrapper +*CAP +1 *2792:user_gpio_out 0.000438622 +2 *2821:io_out[13] 0.00146242 +3 *2699:17 0.00270628 +4 *2699:16 0.00226766 +5 *2699:14 0.020266 +6 *2699:13 0.020266 +7 *2699:11 0.00198482 +8 *2699:10 0.00344724 +9 *620:51 *2699:17 0.000113968 +10 *620:74 *2699:17 0.00335495 +11 *627:22 *2699:10 0 +12 *2300:10 *2792:user_gpio_out 0.000250342 +13 *2621:16 *2699:14 0.0115057 +14 *2623:13 *2699:17 0.00148809 +15 *2660:14 *2699:14 0.0422283 +16 *2661:17 *2699:17 0.00162533 +*RES +1 *2821:io_out[13] *2699:10 20.5166 +2 *2699:10 *2699:11 53.9653 +3 *2699:11 *2699:13 4.5 +4 *2699:13 *2699:14 908.743 +5 *2699:14 *2699:16 4.5 +6 *2699:16 *2699:17 91.6784 +7 *2699:17 *2792:user_gpio_out 20.2137 +*END + +*D_NET *2700 0.0345623 +*CONN +*I *2793:user_gpio_out I *D gpio_control_block +*I *2821:io_out[14] O *D user_project_wrapper +*CAP +1 *2793:user_gpio_out 0.000309457 +2 *2821:io_out[14] 0.00135175 +3 *2700:11 0.00214442 +4 *2700:10 0.00183496 +5 *2700:8 0.00231506 +6 *2700:7 0.00366681 +7 *2793:user_gpio_oeb *2793:user_gpio_out 9.39094e-05 +8 *2339:8 *2793:user_gpio_out 0 +9 *2616:17 *2700:8 0.00836012 +10 *2624:12 *2793:user_gpio_out 1.66771e-05 +11 *2624:13 *2700:11 0.00503343 +12 *2624:16 *2700:8 0.00403014 +13 *2662:8 *2700:8 0.000369544 +14 *2662:11 *2700:11 0.00503604 +*RES +1 *2821:io_out[14] *2700:7 8.02138 +2 *2700:7 *2700:8 145.717 +3 *2700:8 *2700:10 4.5 +4 *2700:10 *2700:11 124.4 +5 *2700:11 *2793:user_gpio_out 14.4001 +*END + +*D_NET *2701 0.0592231 +*CONN +*I *2794:user_gpio_out I *D gpio_control_block +*I *2821:io_out[15] O *D user_project_wrapper +*CAP +1 *2794:user_gpio_out 0.00128609 +2 *2821:io_out[15] 0.00156956 +3 *2701:11 0.00344597 +4 *2701:10 0.00215988 +5 *2701:8 0.00289911 +6 *2701:7 0.00446867 +7 *2340:11 *2794:user_gpio_out 0.000462196 +8 *2378:15 *2794:user_gpio_out 0.000940035 +9 *2625:14 *2701:11 0.00179518 +10 *2625:17 *2701:8 0.0239505 +11 *2663:8 *2701:8 0.0144507 +12 *2663:11 *2701:11 0.00179518 +*RES +1 *2821:io_out[15] *2701:7 40.9201 +2 *2701:7 *2701:8 250.295 +3 *2701:8 *2701:10 4.5 +4 *2701:10 *2701:11 92.5646 +5 *2701:11 *2794:user_gpio_out 8.25085 +*END + +*D_NET *2702 0.0408161 +*CONN +*I *2795:user_gpio_out I *D gpio_control_block +*I *2821:io_out[16] O *D user_project_wrapper +*CAP +1 *2795:user_gpio_out 0.00175479 +2 *2821:io_out[16] 0.00155019 +3 *2702:11 0.00330226 +4 *2702:10 0.00154746 +5 *2702:8 0.00250163 +6 *2702:7 0.00405182 +7 *2795:serial_clock *2795:user_gpio_out 0.000101794 +8 *2795:serial_data_in *2795:user_gpio_out 0.000101794 +9 *667:13 *2795:user_gpio_out 0.000102215 +10 *2537:15 *2702:8 4.82966e-05 +11 *2626:14 *2702:11 0.001736 +12 *2626:17 *2702:8 0.0167301 +13 *2664:8 *2702:8 0.00728767 +*RES +1 *2821:io_out[16] *2702:7 40.9201 +2 *2702:7 *2702:8 175.978 +3 *2702:8 *2702:10 4.5 +4 *2702:10 *2702:11 58.5139 +5 *2702:11 *2795:user_gpio_out 7.17999 +*END + +*D_NET *2703 0.0561225 +*CONN +*I *2796:user_gpio_out I *D gpio_control_block +*I *2821:io_out[17] O *D user_project_wrapper +*CAP +1 *2796:user_gpio_out 0.00184743 +2 *2821:io_out[17] 0.00156729 +3 *2703:11 0.00301147 +4 *2703:10 0.00116404 +5 *2703:8 0.00286383 +6 *2703:7 0.00443112 +7 *623:56 *2703:11 0.00104691 +8 *668:17 *2796:user_gpio_out 0.00020476 +9 *2627:14 *2703:11 0 +10 *2627:17 *2703:8 0.0237912 +11 *2665:8 *2703:8 0.0144465 +12 *2665:11 *2703:11 0.00174789 +*RES +1 *2821:io_out[17] *2703:7 40.9201 +2 *2703:7 *2703:8 248.631 +3 *2703:8 *2703:10 4.5 +4 *2703:10 *2703:11 58.5139 +5 *2703:11 *2796:user_gpio_out 7.25648 +*END + +*D_NET *2704 0.0582552 +*CONN +*I *2787:user_gpio_out I *D gpio_control_block +*I *2821:io_out[18] O *D user_project_wrapper +*CAP +1 *2787:user_gpio_out 0.00174294 +2 *2821:io_out[18] 0.00158095 +3 *2704:11 0.00330164 +4 *2704:10 0.0015587 +5 *2704:8 0.00297521 +6 *2704:7 0.00455616 +7 *2787:serial_clock *2787:user_gpio_out 0.000104638 +8 *2787:serial_load *2787:user_gpio_out 0.000115848 +9 *2787:user_gpio_oeb *2787:user_gpio_out 0.000219659 +10 *109:13 *2787:user_gpio_out 0.000118134 +11 *625:13 *2787:user_gpio_out 0.000102438 +12 *2537:15 *2704:8 4.82966e-05 +13 *2628:11 *2787:user_gpio_out 0.000218753 +14 *2628:14 *2704:11 0.00172783 +15 *2628:17 *2704:8 0.0246898 +16 *2666:8 *2704:8 0.0151942 +*RES +1 *2821:io_out[18] *2704:7 40.9201 +2 *2704:7 *2704:8 259.169 +3 *2704:8 *2704:10 4.5 +4 *2704:10 *2704:11 58.5139 +5 *2704:11 *2787:user_gpio_out 7.94489 +*END + +*D_NET *2705 0.0324186 +*CONN +*I *2803:user_gpio_out I *D gpio_control_block +*I *2821:io_out[19] O *D user_project_wrapper +*CAP +1 *2803:user_gpio_out 0.00152537 +2 *2821:io_out[19] 0.00159461 +3 *2705:13 0.00297368 +4 *2705:8 0.00491596 +5 *2705:7 0.00506226 +6 *100:37 *2803:user_gpio_out 0.000236267 +7 *616:37 *2803:user_gpio_out 0.00012601 +8 *2629:23 *2705:8 0.0159844 +*RES +1 *2821:io_out[19] *2705:7 40.9201 +2 *2705:7 *2705:8 167.104 +3 *2705:8 *2705:13 48.1116 +4 *2705:13 *2803:user_gpio_out 5.18803 +*END + +*D_NET *2706 0.126938 +*CONN +*I *2782:user_gpio_out I *D gpio_control_block +*I *2821:io_out[1] O *D user_project_wrapper +*CAP +1 *2782:user_gpio_out 0.000398759 +2 *2821:io_out[1] 0.0015316 +3 *2706:17 0.00169766 +4 *2706:16 0.0012989 +5 *2706:14 0.0186204 +6 *2706:13 0.0186204 +7 *2706:11 0.00273232 +8 *2706:10 0.00426393 +9 *2706:14 *2727:14 0.00882655 +10 *2782:serial_clock_out *2706:17 0 +11 *2782:serial_load *2782:user_gpio_out 3.93117e-06 +12 *2782:serial_load *2706:17 0.0014081 +13 *2782:serial_load_out *2782:user_gpio_out 0.000474865 +14 *2782:user_gpio_oeb *2782:user_gpio_out 0.00010246 +15 *100:44 *2706:14 0.000461527 +16 *100:50 *2706:17 0.000691614 +17 *616:44 *2706:14 0.0600062 +18 *616:53 *2706:17 0.00110284 +19 *627:22 *2706:10 0 +20 *640:30 *2706:14 0.00269172 +21 *640:34 *2706:17 3.21865e-05 +22 *669:13 *2706:17 0.00015569 +23 *697:20 *2706:14 0.0013798 +24 *2668:19 *2706:17 0.000436167 +*RES +1 *2821:io_out[1] *2706:10 21.5001 +2 *2706:10 *2706:11 71.7127 +3 *2706:11 *2706:13 4.5 +4 *2706:13 *2706:14 976.844 +5 *2706:14 *2706:16 4.5 +6 *2706:16 *2706:17 53.4107 +7 *2706:17 *2782:user_gpio_out 18.6591 +*END + +*D_NET *2707 0.0319491 +*CONN +*I *2810:user_gpio_out I *D gpio_control_block +*I *2821:io_out[20] O *D user_project_wrapper +*CAP +1 *2810:user_gpio_out 0.000778955 +2 *2821:io_out[20] 0.00151074 +3 *2707:11 0.00306946 +4 *2707:10 0.00229051 +5 *2707:8 0.00190722 +6 *2707:7 0.00341795 +7 *2810:user_gpio_oeb *2810:user_gpio_out 0.000128434 +8 *100:23 *2810:user_gpio_out 0.000315052 +9 *626:19 *2810:user_gpio_out 0.00233203 +10 *688:14 *2707:11 0.00295958 +11 *2631:19 *2810:user_gpio_out 0.00312039 +12 *2631:20 *2707:11 0.00141435 +13 *2631:23 *2707:8 0.00870448 +*RES +1 *2821:io_out[20] *2707:7 40.0896 +2 *2707:7 *2707:8 91.1238 +3 *2707:8 *2707:10 4.5 +4 *2707:10 *2707:11 95.0561 +5 *2707:11 *2810:user_gpio_out 7.94489 +*END + +*D_NET *2708 0.014181 +*CONN +*I *2811:user_gpio_out I *D gpio_control_block +*I *2821:io_out[21] O *D user_project_wrapper +*CAP +1 *2811:user_gpio_out 0.00269942 +2 *2821:io_out[21] 0.000105688 +3 *2708:7 0.00629304 +4 *2708:5 0.00369932 +5 *626:29 *2811:user_gpio_out 0.000336633 +6 *650:23 *2811:user_gpio_out 0.000708883 +7 *1718:40 *2811:user_gpio_out 0.000338027 +*RES +1 *2821:io_out[21] *2708:5 2.33274 +2 *2708:5 *2708:7 94.8241 +3 *2708:7 *2811:user_gpio_out 48.7714 +*END + +*D_NET *2709 0.013703 +*CONN +*I *2812:user_gpio_out I *D gpio_control_block +*I *2821:io_out[22] O *D user_project_wrapper +*CAP +1 *2812:user_gpio_out 0.000109642 +2 *2821:io_out[22] 0.00477513 +3 *2709:11 0.00117355 +4 *2709:5 0.00583903 +5 *111:69 *2709:11 0.00165828 +6 *651:62 *2709:11 0.000127162 +7 *1719:40 *2709:11 0 +8 *2310:10 *2709:5 2.01653e-05 +9 *2386:10 *2709:5 0 +*RES +1 *2821:io_out[22] *2709:5 128.606 +2 *2709:5 *2709:11 46.7058 +3 *2709:11 *2812:user_gpio_out 3.58495 +*END + +*D_NET *2710 0.0270662 +*CONN +*I *2813:user_gpio_out I *D gpio_control_block +*I *2821:io_out[23] O *D user_project_wrapper +*CAP +1 *2813:user_gpio_out 9.8995e-05 +2 *2821:io_out[23] 0.0017195 +3 *2710:14 0.00226272 +4 *2710:13 0.00216373 +5 *2710:11 0.00233424 +6 *2710:10 0.00296817 +7 *2710:7 0.00235342 +8 *652:25 *2710:14 0.000120742 +9 *2273:19 *2710:11 0.000198881 +10 *2311:14 *2710:11 0.000922312 +11 *2425:16 *2710:11 0.00153522 +12 *2597:25 *2710:11 0.00124606 +13 *2672:11 *2710:11 0 +14 *2672:14 *2710:14 0.00914223 +*RES +1 *2821:io_out[23] *2710:7 48.3946 +2 *2710:7 *2710:10 21.8615 +3 *2710:10 *2710:11 89.4502 +4 *2710:11 *2710:13 4.5 +5 *2710:13 *2710:14 101.661 +6 *2710:14 *2813:user_gpio_out 8.38708 +*END + +*D_NET *2711 0.0137838 +*CONN +*I *2814:user_gpio_out I *D gpio_control_block +*I *2821:io_out[24] O *D user_project_wrapper +*CAP +1 *2814:user_gpio_out 0.001052 +2 *2821:io_out[24] 0.00156277 +3 *2711:11 0.00495541 +4 *2711:10 0.00546618 +5 *2814:user_gpio_oeb *2814:user_gpio_out 6.19346e-06 +6 *629:32 *2814:user_gpio_out 0.000741252 +7 *649:55 *2711:11 0 +8 *653:41 *2711:11 0 +9 *2635:14 *2711:10 0 +*RES +1 *2821:io_out[24] *2711:10 15.774 +2 *2711:10 *2711:11 102.216 +3 *2711:11 *2814:user_gpio_out 43.0525 +*END + +*D_NET *2712 0.0482077 +*CONN +*I *2815:user_gpio_out I *D gpio_control_block +*I *2821:io_out[25] O *D user_project_wrapper +*CAP +1 *2815:user_gpio_out 7.30035e-05 +2 *2821:io_out[25] 0.00264562 +3 *2712:17 0.00293995 +4 *2712:16 0.00286695 +5 *2712:14 0.015985 +6 *2712:13 0.0186306 +7 *2815:serial_clock *2815:user_gpio_out 0 +8 *2815:user_gpio_oeb *2815:user_gpio_out 5.83673e-05 +9 *114:33 *2712:17 0 +10 *632:55 *2815:user_gpio_out 5.38612e-06 +11 *2602:22 *2712:17 0 +12 *2636:14 *2712:13 0 +13 *2674:17 *2712:17 0 +14 *2675:14 *2712:14 0.00500289 +*RES +1 *2821:io_out[25] *2712:13 49.7711 +2 *2712:13 *2712:14 484.355 +3 *2712:14 *2712:16 4.5 +4 *2712:16 *2712:17 72.8219 +5 *2712:17 *2815:user_gpio_out 8.54006 +*END + +*D_NET *2713 0.0567521 +*CONN +*I *2816:user_gpio_out I *D gpio_control_block +*I *2821:io_out[26] O *D user_project_wrapper +*CAP +1 *2816:user_gpio_out 0.000119112 +2 *2821:io_out[26] 0.00167961 +3 *2713:17 0.00160577 +4 *2713:14 0.0107151 +5 *2713:13 0.00922843 +6 *2713:11 0.00217106 +7 *2713:10 0.00385068 +8 *2816:user_gpio_oeb *2816:user_gpio_out 1.29018e-05 +9 *2636:14 *2713:10 0 +10 *2637:16 *2713:14 0.0267103 +11 *2675:17 *2713:17 0.000659079 +*RES +1 *2821:io_out[26] *2713:10 19.096 +2 *2713:10 *2713:11 59.5114 +3 *2713:11 *2713:13 4.5 +4 *2713:13 *2713:14 426.635 +5 *2713:14 *2713:17 47.3733 +6 *2713:17 *2816:user_gpio_out 8.58662 +*END + +*D_NET *2714 0.0564746 +*CONN +*I *2817:user_gpio_out I *D gpio_control_block +*I *2821:io_out[27] O *D user_project_wrapper +*CAP +1 *2817:user_gpio_out 0.00332345 +2 *2821:io_out[27] 0.00125692 +3 *2714:8 0.0101839 +4 *2714:7 0.00811733 +5 *2817:user_gpio_oeb *2817:user_gpio_out 0.000387723 +6 *116:28 *2817:user_gpio_out 0 +7 *116:40 *2817:user_gpio_out 0.00166117 +8 *633:28 *2817:user_gpio_out 0.000124051 +9 *656:13 *2817:user_gpio_out 0.000237706 +10 *656:28 *2817:user_gpio_out 5.13735e-05 +11 *695:16 *2817:user_gpio_out 0 +12 *696:8 *2817:user_gpio_out 3.5534e-06 +13 *2638:13 *2817:user_gpio_out 0.00976537 +14 *2638:14 *2714:8 0.0119829 +15 *2676:8 *2714:8 0.00925746 +16 *2677:10 *2817:user_gpio_out 0.000121753 +*RES +1 *2821:io_out[27] *2714:7 7.56244 +2 *2714:7 *2714:8 394.661 +3 *2714:8 *2817:user_gpio_out 38.8701 +*END + +*D_NET *2715 0.0451841 +*CONN +*I *2818:user_gpio_out I *D gpio_control_block +*I *2821:io_out[28] O *D user_project_wrapper +*CAP +1 *2818:user_gpio_out 0.000118506 +2 *2821:io_out[28] 0.00188448 +3 *2715:17 0.00158937 +4 *2715:14 0.00827928 +5 *2715:13 0.00680842 +6 *2715:11 0.00217937 +7 *2715:10 0.00406385 +8 *2818:user_gpio_oeb *2818:user_gpio_out 6.19346e-06 +9 *2603:25 *2715:14 0.0196205 +10 *2638:14 *2715:10 0 +11 *2639:12 *2818:user_gpio_out 0 +12 *2639:13 *2715:17 0.000634202 +*RES +1 *2821:io_out[28] *2715:10 22.418 +2 *2715:10 *2715:11 60.066 +3 *2715:11 *2715:13 4.5 +4 *2715:13 *2715:14 313.686 +5 *2715:14 *2715:17 46.8187 +6 *2715:17 *2818:user_gpio_out 8.58662 +*END + +*D_NET *2716 0.0393641 +*CONN +*I *2804:user_gpio_out I *D gpio_control_block +*I *2821:io_out[29] O *D user_project_wrapper +*CAP +1 *2804:user_gpio_out 0.000100036 +2 *2821:io_out[29] 0.00195965 +3 *2716:17 0.00203871 +4 *2716:16 0.00193867 +5 *2716:14 0.00555075 +6 *2716:13 0.00716501 +7 *2716:10 0.0035739 +8 *2804:user_gpio_oeb *2804:user_gpio_out 4.31243e-06 +9 *2604:17 *2716:14 0.0161144 +10 *2640:12 *2804:user_gpio_out 0 +11 *2640:13 *2716:17 0.000918733 +12 *2677:17 *2716:13 0 +*RES +1 *2821:io_out[29] *2716:10 24.9095 +2 *2716:10 *2716:13 49.0371 +3 *2716:13 *2716:14 257.212 +4 *2716:14 *2716:16 4.5 +5 *2716:16 *2716:17 57.8476 +6 *2716:17 *2804:user_gpio_out 8.53008 +*END + +*D_NET *2717 0.126098 +*CONN +*I *2797:user_gpio_out I *D gpio_control_block +*I *2821:io_out[2] O *D user_project_wrapper +*CAP +1 *2797:user_gpio_out 0.000336854 +2 *2821:io_out[2] 0.00160215 +3 *2717:19 0.00139816 +4 *2717:14 0.0152847 +5 *2717:13 0.0142234 +6 *2717:11 0.00326872 +7 *2717:10 0.00487088 +8 *2797:user_gpio_oeb *2797:user_gpio_out 7.51136e-05 +9 *643:38 *2717:14 0.0382538 +10 *670:13 *2797:user_gpio_out 0.000763089 +11 *1711:17 *2717:14 0.00418057 +12 *2619:16 *2717:14 0.0242875 +13 *2630:14 *2717:14 0.0073403 +14 *2641:10 *2797:user_gpio_out 0.000569887 +15 *2641:13 *2717:19 0.00127488 +16 *2690:14 *2717:14 0.00836789 +17 *2695:14 *2717:14 0 +*RES +1 *2821:io_out[2] *2717:10 13.8179 +2 *2717:10 *2717:11 82.2501 +3 *2717:11 *2717:13 4.5 +4 *2717:13 *2717:14 958.988 +5 *2717:14 *2717:19 42.445 +6 *2717:19 *2797:user_gpio_out 18.1586 +*END + +*D_NET *2718 0.040505 +*CONN +*I *2805:user_gpio_out I *D gpio_control_block +*I *2821:io_out[30] O *D user_project_wrapper +*CAP +1 *2805:user_gpio_out 0.000100741 +2 *2821:io_out[30] 0.00203262 +3 *2718:17 0.00179449 +4 *2718:14 0.00327106 +5 *2718:13 0.00157731 +6 *2718:11 0.00219915 +7 *2718:10 0.00423177 +8 *2805:serial_load *2805:user_gpio_out 1.66626e-05 +9 *2805:serial_load *2718:17 6.82095e-05 +10 *2805:user_gpio_oeb *2805:user_gpio_out 0 +11 *649:56 *2718:14 0.0126083 +12 *2605:17 *2718:14 0.0126047 +13 *2642:10 *2718:10 0 +*RES +1 *2821:io_out[30] *2718:10 26.9858 +2 *2718:10 *2718:11 60.6206 +3 *2718:11 *2718:13 4.5 +4 *2718:13 *2718:14 201.153 +5 *2718:14 *2718:17 46.8187 +6 *2718:17 *2805:user_gpio_out 8.47354 +*END + +*D_NET *2719 0.0239211 +*CONN +*I *2806:user_gpio_out I *D gpio_control_block +*I *2821:io_out[31] O *D user_project_wrapper +*CAP +1 *2806:user_gpio_out 0.000123882 +2 *2821:io_out[31] 0.00206389 +3 *2719:17 0.00158172 +4 *2719:14 0.00507659 +5 *2719:13 0.00361874 +6 *2719:11 0.00215958 +7 *2719:10 0.00422347 +8 *1728:27 *2719:14 0.00440134 +9 *2643:12 *2806:user_gpio_out 0 +10 *2643:13 *2719:17 0.000671929 +*RES +1 *2821:io_out[31] *2719:10 28.2315 +2 *2719:10 *2719:11 59.5114 +3 *2719:11 *2719:13 4.5 +4 *2719:13 *2719:14 144.264 +5 *2719:14 *2719:17 47.3733 +6 *2719:17 *2806:user_gpio_out 8.58662 +*END + +*D_NET *2720 0.0707072 +*CONN +*I *2807:user_gpio_out I *D gpio_control_block +*I *2821:io_out[32] O *D user_project_wrapper +*CAP +1 *2807:user_gpio_out 0.000509447 +2 *2821:io_out[32] 0.00156276 +3 *2720:14 0.0197414 +4 *2720:13 0.019232 +5 *2720:11 0.00367131 +6 *2720:10 0.00523407 +7 *2807:user_gpio_oeb *2807:user_gpio_out 6.19346e-06 +8 *2822:mprj_io_analog_sel[31] *2720:14 0 +9 *2822:mprj_io_dm[95] *2720:14 0 +10 *2822:mprj_io_holdover[31] *2720:14 0 +11 *2822:mprj_io_ib_mode_sel[31] *2720:14 0 +12 *2822:mprj_io_oeb[31] *2720:14 0 +13 *2822:mprj_io_out[31] *2720:14 0 +14 *2822:mprj_io_vtrip_sel[31] *2720:14 0 +15 *106:31 *2720:14 0.00928784 +16 *106:37 *2720:14 0.00914494 +17 *2358:12 *2720:14 0.00231718 +*RES +1 *2821:io_out[32] *2720:10 13.2824 +2 *2720:10 *2720:11 94.4514 +3 *2720:11 *2720:13 4.5 +4 *2720:13 *2720:14 617.236 +5 *2720:14 *2807:user_gpio_out 23.9266 +*END + +*D_NET *2721 0.0714987 +*CONN +*I *2808:user_gpio_out I *D gpio_control_block +*I *2821:io_out[33] O *D user_project_wrapper +*CAP +1 *2808:user_gpio_out 0.000140771 +2 *2821:io_out[33] 0.00163418 +3 *2721:17 0.00203397 +4 *2721:16 0.0018932 +5 *2721:14 0.0121572 +6 *2721:13 0.01376 +7 *2721:10 0.00323698 +8 *2808:user_gpio_oeb *2808:user_gpio_out 6.88205e-06 +9 *104:23 *2721:17 0.000317616 +10 *104:37 *2721:17 0.00100216 +11 *2608:11 *2721:14 0.0353158 +12 *2644:14 *2721:10 0 +*RES +1 *2821:io_out[33] *2721:10 14.9435 +2 *2721:10 *2721:13 49.0371 +3 *2721:13 *2721:14 563.668 +4 *2721:14 *2721:16 4.5 +5 *2721:16 *2721:17 57.8476 +6 *2721:17 *2808:user_gpio_out 8.58662 +*END + +*D_NET *2722 0.0641631 +*CONN +*I *2809:user_gpio_out I *D gpio_control_block +*I *2821:io_out[34] O *D user_project_wrapper +*CAP +1 *2809:user_gpio_out 0.00111462 +2 *2821:io_out[34] 0.00165525 +3 *2722:14 0.0132676 +4 *2722:13 0.012153 +5 *2722:11 0.00154008 +6 *2722:10 0.00319533 +7 *2807:user_gpio_oeb *2722:10 1.7794e-05 +8 *2807:user_gpio_oeb *2722:11 0.000803374 +9 *2809:user_gpio_oeb *2809:user_gpio_out 0.00217856 +10 *101:48 *2722:14 0.00110084 +11 *622:13 *2809:user_gpio_out 0 +12 *622:28 *2809:user_gpio_out 0.000272973 +13 *643:29 *2722:11 0.00664542 +14 *1730:29 *2722:14 0.00800914 +15 *1731:27 *2722:14 0.0118964 +16 *2644:13 *2722:10 0.000142582 +17 *2644:14 *2722:10 0 +18 *2646:12 *2809:user_gpio_out 0.000170266 +*RES +1 *2821:io_out[34] *2722:10 16.6045 +2 *2722:10 *2722:11 70.0488 +3 *2722:11 *2722:13 4.5 +4 *2722:13 *2722:14 505.533 +5 *2722:14 *2809:user_gpio_out 47.3227 +*END + +*D_NET *2723 0.0594828 +*CONN +*I *2783:user_gpio_out I *D gpio_control_block +*I *2821:io_out[35] O *D user_project_wrapper +*CAP +1 *2783:user_gpio_out 0.000134381 +2 *2821:io_out[35] 0.00175105 +3 *2723:17 0.00194317 +4 *2723:14 0.0115401 +5 *2723:13 0.00973128 +6 *2723:11 0.00215958 +7 *2723:10 0.00391063 +8 *2783:serial_clock *2783:user_gpio_out 5.38612e-06 +9 *2783:user_gpio_oeb *2783:user_gpio_out 6.19346e-06 +10 *106:13 *2783:user_gpio_out 6.98716e-05 +11 *2610:11 *2723:14 0.0282312 +12 *2646:16 *2723:10 0 +13 *2685:17 *2723:17 0 +*RES +1 *2821:io_out[35] *2723:10 18.2655 +2 *2723:10 *2723:11 59.5114 +3 *2723:11 *2723:13 4.5 +4 *2723:13 *2723:14 450.72 +5 *2723:14 *2723:17 47.3733 +6 *2723:17 *2783:user_gpio_out 8.58662 +*END + +*D_NET *2724 0.0532229 +*CONN +*I *2784:user_gpio_out I *D gpio_control_block +*I *2821:io_out[36] O *D user_project_wrapper +*CAP +1 *2784:user_gpio_out 0.000137819 +2 *2821:io_out[36] 0.00165085 +3 *2724:19 0.00148306 +4 *2724:14 0.0130169 +5 *2724:13 0.0116716 +6 *2724:11 0.00229609 +7 *2724:10 0.00394694 +8 *2784:user_gpio_oeb *2784:user_gpio_out 8.62976e-06 +9 *649:56 *2724:14 0.00739552 +10 *1728:27 *2724:14 0.0109616 +11 *2686:17 *2724:19 0.000653913 +*RES +1 *2821:io_out[36] *2724:10 15.774 +2 *2724:10 *2724:11 61.7298 +3 *2724:11 *2724:13 4.5 +4 *2724:13 *2724:14 478.957 +5 *2724:14 *2724:19 49.6549 +6 *2724:19 *2784:user_gpio_out 4.08662 +*END + +*D_NET *2725 0.0709601 +*CONN +*I *2785:user_gpio_out I *D gpio_control_block +*I *2821:io_out[37] O *D user_project_wrapper +*CAP +1 *2785:user_gpio_out 0.00078507 +2 *2821:io_out[37] 0.00148562 +3 *2725:14 0.00764677 +4 *2725:13 0.0068617 +5 *2725:11 0.00285398 +6 *2725:10 0.0043396 +7 *2785:user_gpio_oeb *2785:user_gpio_out 5.66917e-05 +8 *109:26 *2725:14 0 +9 *625:20 *2725:14 0 +10 *647:28 *2725:14 9.2668e-05 +11 *1721:27 *2725:14 0.00790333 +12 *1723:27 *2725:14 0.00201902 +13 *1724:31 *2725:14 6.3191e-06 +14 *1732:31 *2725:14 0.0258221 +15 *1746:19 *2725:14 9.2346e-06 +16 *1747:10 *2785:user_gpio_out 0.00253968 +17 *1751:19 *2725:14 0.00656713 +18 *2647:17 *2725:10 0.000694671 +19 *2647:17 *2725:11 0.00127651 +*RES +1 *2821:io_out[37] *2725:10 13.2824 +2 *2725:10 *2725:11 75.0403 +3 *2725:11 *2725:13 4.5 +4 *2725:13 *2725:14 505.948 +5 *2725:14 *2785:user_gpio_out 40.3681 +*END + +*D_NET *2726 0.131851 +*CONN +*I *2798:user_gpio_out I *D gpio_control_block +*I *2821:io_out[3] O *D user_project_wrapper +*CAP +1 *2798:user_gpio_out 0.000274747 +2 *2821:io_out[3] 0.00145858 +3 *2726:17 0.00300439 +4 *2726:16 0.00272964 +5 *2726:14 0.0113174 +6 *2726:13 0.012681 +7 *2726:10 0.00282216 +8 *2798:serial_load_out *2726:17 0.000219767 +9 *2798:user_gpio_oeb *2798:user_gpio_out 3.30793e-05 +10 *627:22 *2726:10 0 +11 *671:13 *2726:17 0.000751257 +12 *2650:10 *2798:user_gpio_out 4.59232e-05 +13 *2650:11 *2726:17 0.00207006 +14 *2650:14 *2726:14 0.0519601 +15 *2653:14 *2726:14 0.00238138 +16 *2688:17 *2726:17 2.18041e-06 +17 *2689:14 *2726:14 0.0400997 +*RES +1 *2821:io_out[3] *2726:10 19.4239 +2 *2726:10 *2726:13 40.718 +3 *2726:13 *2726:14 910.404 +4 *2726:14 *2726:16 4.5 +5 *2726:16 *2726:17 91.1238 +6 *2726:17 *2798:user_gpio_out 13.1178 +*END + +*D_NET *2727 0.0873457 +*CONN +*I *2799:user_gpio_out I *D gpio_control_block +*I *2821:io_out[4] O *D user_project_wrapper +*CAP +1 *2799:user_gpio_out 8.3457e-05 +2 *2821:io_out[4] 0.00110343 +3 *2727:17 0.00247468 +4 *2727:16 0.00239122 +5 *2727:14 0.0215149 +6 *2727:13 0.0215149 +7 *2727:11 0.00291854 +8 *2727:10 0.00402197 +9 *2799:user_gpio_oeb *2799:user_gpio_out 5.17837e-06 +10 *616:44 *2727:14 0.0224909 +11 *2651:10 *2799:user_gpio_out 0 +12 *2706:14 *2727:14 0.00882655 +*RES +1 *2821:io_out[4] *2727:10 13.7524 +2 *2727:10 *2727:11 77.2587 +3 *2727:11 *2727:13 4.5 +4 *2727:13 *2727:14 878.014 +5 *2727:14 *2727:16 4.5 +6 *2727:16 *2727:17 54.5199 +7 *2727:17 *2799:user_gpio_out 8.46357 +*END + +*D_NET *2728 0.119781 +*CONN +*I *2800:user_gpio_out I *D gpio_control_block +*I *2821:io_out[5] O *D user_project_wrapper +*CAP +1 *2800:user_gpio_out 0.000308779 +2 *2821:io_out[5] 0.00160213 +3 *2728:17 0.0025319 +4 *2728:16 0.00222312 +5 *2728:14 0.0122484 +6 *2728:13 0.0138722 +7 *2728:10 0.00322592 +8 *2800:serial_data_in *2800:user_gpio_out 8.11463e-06 +9 *2800:serial_data_in *2728:17 0.00141562 +10 *2800:serial_load *2728:17 0.00022826 +11 *2800:user_gpio_oeb *2800:user_gpio_out 0.000774786 +12 *114:48 *2800:user_gpio_out 0.000924368 +13 *629:47 *2728:17 0.000495389 +14 *2651:14 *2728:14 0.0337858 +15 *2688:14 *2728:14 0.00876395 +16 *2690:19 *2728:17 0.00124226 +17 *2691:14 *2728:14 0.03613 +*RES +1 *2821:io_out[5] *2728:10 13.8179 +2 *2728:10 *2728:13 47.3733 +3 *2728:13 *2728:14 863.896 +4 *2728:14 *2728:16 4.5 +5 *2728:16 *2728:17 72.2673 +6 *2728:17 *2800:user_gpio_out 23.5656 +*END + +*D_NET *2729 0.10032 +*CONN +*I *2801:user_gpio_out I *D gpio_control_block +*I *2821:io_out[6] O *D user_project_wrapper +*CAP +1 *2801:user_gpio_out 0.000132037 +2 *2821:io_out[6] 0.001404 +3 *2729:17 0.00198481 +4 *2729:16 0.00185278 +5 *2729:14 0.0175011 +6 *2729:13 0.0175011 +7 *2729:11 0.0026156 +8 *2729:10 0.0040196 +9 *2729:11 *2731:17 0 +10 *2801:user_gpio_oeb *2801:user_gpio_out 1.29141e-05 +11 *627:22 *2729:10 0 +12 *674:10 *2801:user_gpio_out 0.000124349 +13 *2537:12 *2729:14 0.0509139 +14 *2691:17 *2729:17 0.00225746 +*RES +1 *2821:io_out[6] *2729:10 18.8556 +2 *2729:10 *2729:11 70.0488 +3 *2729:11 *2729:13 4.5 +4 *2729:13 *2729:14 812.404 +5 *2729:14 *2729:16 4.5 +6 *2729:16 *2729:17 58.9568 +7 *2729:17 *2801:user_gpio_out 10.1346 +*END + +*D_NET *2730 0.0991432 +*CONN +*I *2802:user_gpio_out I *D gpio_control_block +*I *2821:io_out[7] O *D user_project_wrapper +*CAP +1 *2802:user_gpio_out 8.3151e-05 +2 *2821:io_out[7] 0.00160213 +3 *2730:20 0.00129845 +4 *2730:14 0.00600852 +5 *2730:13 0.00479323 +6 *2730:11 0.00321772 +7 *2730:10 0.00481985 +8 *2802:serial_load_out *2730:20 0.00016519 +9 *2802:user_gpio_oeb *2802:user_gpio_out 2.50257e-05 +10 *2802:user_gpio_oeb *2730:20 1.66771e-05 +11 *619:26 *2730:14 0.0378691 +12 *643:38 *2730:14 0.0378655 +13 *2445:11 *2730:20 7.61581e-05 +14 *2654:11 *2730:20 0.00130262 +*RES +1 *2821:io_out[7] *2730:10 13.8179 +2 *2730:10 *2730:11 81.1409 +3 *2730:11 *2730:13 4.5 +4 *2730:13 *2730:14 604.778 +5 *2730:14 *2730:20 46.9789 +6 *2730:20 *2802:user_gpio_out 3.58495 +*END + +*D_NET *2731 0.0790318 +*CONN +*I *2786:user_gpio_out I *D gpio_control_block +*I *2821:io_out[8] O *D user_project_wrapper +*CAP +1 *2786:user_gpio_out 0.000126855 +2 *2821:io_out[8] 0.00130176 +3 *2731:17 0.00250162 +4 *2731:16 0.00237477 +5 *2731:14 0.0149883 +6 *2731:13 0.0149883 +7 *2731:11 0.00205048 +8 *2731:10 0.00335225 +9 *2731:14 *2732:14 2.19276e-05 +10 *2786:serial_load_out *2786:user_gpio_out 0 +11 *2786:user_gpio_oeb *2786:user_gpio_out 2.90444e-05 +12 *627:22 *2731:10 0 +13 *676:8 *2786:user_gpio_out 0 +14 *2654:14 *2731:14 0.00514355 +15 *2656:16 *2731:14 0.0241799 +16 *2691:14 *2731:14 0.00518519 +17 *2693:17 *2731:17 0.00278774 +18 *2729:11 *2731:17 0 +*RES +1 *2821:io_out[8] *2731:10 15.9489 +2 *2731:10 *2731:11 54.5199 +3 *2731:11 *2731:13 4.5 +4 *2731:13 *2731:14 655.854 +5 *2731:14 *2731:16 4.5 +6 *2731:16 *2731:17 74.4857 +7 *2731:17 *2786:user_gpio_out 9.36058 +*END + +*D_NET *2732 0.0881699 +*CONN +*I *2788:user_gpio_out I *D gpio_control_block +*I *2821:io_out[9] O *D user_project_wrapper +*CAP +1 *2788:user_gpio_out 0.00029897 +2 *2821:io_out[9] 0.00142245 +3 *2732:17 0.00268494 +4 *2732:16 0.00238597 +5 *2732:14 0.0155257 +6 *2732:13 0.0155257 +7 *2732:11 0.002039 +8 *2732:10 0.00346144 +9 *2788:serial_load_out *2788:user_gpio_out 7.01545e-06 +10 *2788:user_gpio_oeb *2788:user_gpio_out 7.93619e-05 +11 *627:22 *2732:10 0 +12 *2103:8 *2788:user_gpio_out 0 +13 *2621:16 *2732:14 0.0023384 +14 *2656:12 *2788:user_gpio_out 6.75696e-05 +15 *2656:13 *2732:17 0.00288031 +16 *2656:16 *2732:14 0.0394312 +17 *2731:14 *2732:14 2.19276e-05 +*RES +1 *2821:io_out[9] *2732:10 20.3636 +2 *2732:10 *2732:11 54.5199 +3 *2732:11 *2732:13 4.5 +4 *2732:13 *2732:14 706.93 +5 *2732:14 *2732:16 4.5 +6 *2732:16 *2732:17 76.1495 +7 *2732:17 *2788:user_gpio_out 15.1176 +*END + +*D_NET *2733 0.0948328 +*CONN +*I *2826:irq[0] I *D mgmt_core_wrapper +*I *2820:user_irq[0] O *D mgmt_protect +*CAP +1 *2826:irq[0] 0.00109715 +2 *2820:user_irq[0] 0.00113591 +3 *2733:14 0.00266581 +4 *2733:13 0.00156867 +5 *2733:11 0.03331 +6 *2733:10 0.0344459 +7 *2826:irq[0] *2734:18 0 +8 *2826:irq[0] *2735:14 0 +9 *2826:irq[0] *2741:12 0 +10 *2733:14 *2739:7 0.00920295 +11 *2733:14 *2740:7 1.13071e-05 +12 *2733:14 *2741:13 0.00916368 +13 *1774:25 *2826:irq[0] 0.00111432 +14 *1778:26 *2826:irq[0] 7.09666e-06 +15 *1890:25 *2826:irq[0] 0.00111012 +*RES +1 *2820:user_irq[0] *2733:10 16.0581 +2 *2733:10 *2733:11 933.567 +3 *2733:11 *2733:13 4.5 +4 *2733:13 *2733:14 150.908 +5 *2733:14 *2826:irq[0] 44.839 +*END + +*D_NET *2734 0.089857 +*CONN +*I *2826:irq[1] I *D mgmt_core_wrapper +*I *2820:user_irq[1] O *D mgmt_protect +*CAP +1 *2826:irq[1] 0.000114443 +2 *2820:user_irq[1] 0.00120893 +3 *2734:18 0.00221525 +4 *2734:16 0.00212658 +5 *2734:14 0.00297461 +6 *2734:13 0.00294883 +7 *2734:11 0.033898 +8 *2734:10 0.0351069 +9 *2734:14 *2735:14 0.0084484 +10 *2734:18 *2826:irq[2] 0 +11 *2734:18 *2735:14 0.000814991 +12 *2826:irq[0] *2734:18 0 +*RES +1 *2820:user_irq[1] *2734:10 18.1344 +2 *2734:10 *2734:11 949.096 +3 *2734:11 *2734:13 4.5 +4 *2734:13 *2734:14 135.238 +5 *2734:14 *2734:16 0.732798 +6 *2734:16 *2734:18 54.6667 +7 *2734:18 *2826:irq[1] 2.61365 +*END + +*D_NET *2735 0.0921209 +*CONN +*I *2826:irq[2] I *D mgmt_core_wrapper +*I *2820:user_irq[2] O *D mgmt_protect +*CAP +1 *2826:irq[2] 0.00110558 +2 *2820:user_irq[2] 0.00131117 +3 *2735:14 0.00593152 +4 *2735:13 0.00482594 +5 *2735:11 0.0338668 +6 *2735:10 0.0351779 +7 *2826:irq[0] *2735:14 0 +8 *1770:10 *2826:irq[2] 0.000638598 +9 *1778:26 *2735:14 0 +10 *2734:14 *2735:14 0.0084484 +11 *2734:18 *2826:irq[2] 0 +12 *2734:18 *2735:14 0.000814991 +*RES +1 *2820:user_irq[2] *2735:10 21.0412 +2 *2735:10 *2735:11 948.541 +3 *2735:11 *2735:13 4.5 +4 *2735:13 *2735:14 186.204 +5 *2735:14 *2826:irq[2] 38.6018 +*END + +*D_NET *2736 0.32445 +*CONN +*I *2820:user_irq_core[0] I *D mgmt_protect +*I *2821:user_irq[0] O *D user_project_wrapper +*CAP +1 *2820:user_irq_core[0] 0.00202104 +2 *2821:user_irq[0] 0.00130724 +3 *2736:8 0.0294936 +4 *2736:7 0.0287798 +5 *2820:user_irq_core[0] *2820:user_irq_core[1] 0 +6 *1282:8 *2736:8 0.0752925 +7 *1283:8 *2736:8 0 +8 *1565:20 *2736:8 0.00957124 +9 *1574:12 *2736:8 0.0218273 +10 *1631:16 *2820:user_irq_core[0] 0 +11 *1666:8 *2736:8 0.00032807 +12 *1822:7 *2820:user_irq_core[0] 0.00218348 +13 *1822:10 *2736:8 0.153646 +*RES +1 *2821:user_irq[0] *2736:7 39.9965 +2 *2736:7 *2736:8 195.788 +3 *2736:8 *2820:user_irq_core[0] 49.8648 +*END + +*D_NET *2737 0.25405 +*CONN +*I *2820:user_irq_core[1] I *D mgmt_protect +*I *2821:user_irq[1] O *D user_project_wrapper +*CAP +1 *2820:user_irq_core[1] 0.00162681 +2 *2821:user_irq[1] 0.00188761 +3 *2737:8 0.0269058 +4 *2737:7 0.025279 +5 *2737:5 0.00188761 +6 *2820:user_irq_core[1] *2820:user_irq_core[2] 0 +7 *2737:8 *2738:8 0.0309934 +8 *2820:user_irq_core[0] *2820:user_irq_core[1] 0 +9 *1573:8 *2737:8 0.129655 +10 *1631:16 *2820:user_irq_core[1] 8.62625e-06 +11 *1649:8 *2737:8 0.0358068 +*RES +1 *2821:user_irq[1] *2737:5 53.0301 +2 *2737:5 *2737:7 4.5 +3 *2737:7 *2737:8 1423.28 +4 *2737:8 *2820:user_irq_core[1] 33.8608 +*END + +*D_NET *2738 0.324432 +*CONN +*I *2820:user_irq_core[2] I *D mgmt_protect +*I *2821:user_irq[2] O *D user_project_wrapper +*CAP +1 *2820:user_irq_core[2] 0.00162184 +2 *2821:user_irq[2] 0.00189144 +3 *2738:8 0.0245942 +4 *2738:7 0.0229723 +5 *2738:5 0.00189144 +6 *2820:user_irq_core[1] *2820:user_irq_core[2] 0 +7 *918:8 *2738:8 0 +8 *1247:5 *2820:user_irq_core[2] 0 +9 *1281:8 *2738:8 0.0725097 +10 *1573:8 *2738:8 0.0154525 +11 *1575:8 *2738:8 0.152505 +12 *1720:31 *2738:5 0 +13 *2737:8 *2738:8 0.0309934 +*RES +1 *2821:user_irq[2] *2738:5 53.2378 +2 *2738:5 *2738:7 3.36879 +3 *2738:7 *2738:8 196.936 +4 *2738:8 *2820:user_irq_core[2] 32.5219 +*END + +*D_NET *2739 0.0919025 +*CONN +*I *2820:user_irq_ena[0] I *D mgmt_protect +*I *2826:user_irq_ena[0] O *D mgmt_core_wrapper +*CAP +1 *2820:user_irq_ena[0] 0.0013988 +2 *2826:user_irq_ena[0] 4.06432e-05 +3 *2739:10 0.0346775 +4 *2739:9 0.0332787 +5 *2739:7 0.00553648 +6 *2739:5 0.00557712 +7 *2739:7 *2740:7 0.000384973 +8 *2739:7 *2741:13 0.00153383 +9 *1913:10 *2739:7 0 +10 *1913:15 *2739:7 0.000271492 +11 *2733:14 *2739:7 0.00920295 +*RES +1 *2826:user_irq_ena[0] *2739:5 0.928211 +2 *2739:5 *2739:7 223.369 +3 *2739:7 *2739:9 4.5 +4 *2739:9 *2739:10 933.012 +5 *2739:10 *2820:user_irq_ena[0] 23.5327 +*END + +*D_NET *2740 0.0954204 +*CONN +*I *2820:user_irq_ena[1] I *D mgmt_protect +*I *2826:user_irq_ena[1] O *D mgmt_core_wrapper +*CAP +1 *2820:user_irq_ena[1] 0.0017209 +2 *2826:user_irq_ena[1] 0.000114443 +3 *2740:10 0.0348529 +4 *2740:9 0.033132 +5 *2740:7 0.00584692 +6 *2740:5 0.00596137 +7 *2740:7 *2741:12 0 +8 *2740:7 *2741:13 0.0133956 +9 *2733:14 *2740:7 1.13071e-05 +10 *2739:7 *2740:7 0.000384973 +*RES +1 *2826:user_irq_ena[1] *2740:5 2.61365 +2 *2740:5 *2740:7 240.394 +3 *2740:7 *2740:9 4.5 +4 *2740:9 *2740:10 928.575 +5 *2740:10 *2820:user_irq_ena[1] 27.2808 +*END + +*D_NET *2741 0.103504 +*CONN +*I *2820:user_irq_ena[2] I *D mgmt_protect +*I *2826:user_irq_ena[2] O *D mgmt_core_wrapper +*CAP +1 *2820:user_irq_ena[2] 0.00156902 +2 *2826:user_irq_ena[2] 0.00111296 +3 *2741:16 0.0343139 +4 *2741:15 0.0327448 +5 *2741:13 0.00368773 +6 *2741:12 0.0048007 +7 *2826:irq[0] *2741:12 0 +8 *1780:24 *2741:12 7.09666e-06 +9 *1890:25 *2741:12 0.000589689 +10 *1893:10 *2741:12 0.000585477 +11 *2733:14 *2741:13 0.00916368 +12 *2739:7 *2741:13 0.00153383 +13 *2740:7 *2741:12 0 +14 *2740:7 *2741:13 0.0133956 +*RES +1 *2826:user_irq_ena[2] *2741:12 40.1235 +2 *2741:12 *2741:13 251.399 +3 *2741:13 *2741:15 4.5 +4 *2741:15 *2741:16 918.038 +5 *2741:16 *2820:user_irq_ena[2] 14.1238 +*END diff --git a/spef/caravel_clocking.sdc b/spef/caravel_clocking.sdc new file mode 100644 index 00000000..8fa206aa --- /dev/null +++ b/spef/caravel_clocking.sdc @@ -0,0 +1,74 @@ +############################################################################### +# Created by write_sdc +# Thu Nov 25 13:15:53 2021 +############################################################################### +current_design caravel_clocking +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name ext_clk -period 25.0000 [get_ports {ext_clk}] +set_clock_transition 0.1500 [get_clocks {ext_clk}] +set_clock_uncertainty 0.2500 ext_clk +set_propagated_clock [get_clocks {ext_clk}] +create_clock -name pll_clk -period 6.6667 [get_ports {pll_clk}] +set_clock_transition 0.1500 [get_clocks {pll_clk}] +set_clock_uncertainty 0.2500 pll_clk +set_propagated_clock [get_clocks {pll_clk}] +create_clock -name pll_clk90 -period 6.6667 [get_ports {pll_clk90}] +set_clock_transition 0.1500 [get_clocks {pll_clk90}] +set_clock_uncertainty 0.2500 pll_clk90 +set_propagated_clock [get_clocks {pll_clk90}] +create_generated_clock -name pll_clk_divided -source [get_ports {pll_clk}] -divide_by 2 [get_pins {_351_/Y}] +set_propagated_clock [get_clocks {pll_clk_divided}] +create_generated_clock -name pll_clk90_divided -source [get_ports {pll_clk90}] -divide_by 2 [get_pins {_354_/Y}] +set_propagated_clock [get_clocks {pll_clk90_divided}] +create_generated_clock -name core_ext_clk_syncd -source [get_pins {_426_/Q}] -divide_by 1 [get_pins {_412_/X}] +set_propagated_clock [get_clocks {core_ext_clk_syncd}] +create_generated_clock -name core_clk_pll -source [get_pins {_351_/Y}] -divide_by 1 [get_pins {_393_/X}] +set_propagated_clock [get_clocks {core_clk_pll}] +create_generated_clock -name user_clk_pll -source [get_pins {_354_/Y}] -divide_by 1 [get_pins {_394_/X}] +set_propagated_clock [get_clocks {user_clk_pll}] +set_clock_groups -name group1 -logically_exclusive \ + -group [get_clocks {core_ext_clk_syncd}] +set_clock_groups -name group2 -logically_exclusive \ + -group [get_clocks {core_clk_pll}] +set_clock_groups -name group3 -logically_exclusive \ + -group [get_clocks {user_clk_pll}] +set_clock_groups -name group4 -logically_exclusive \ + -group [get_clocks {ext_clk}]\ + -group [list [get_clocks {pll_clk}]\ + [get_clocks {pll_clk90}]\ + [get_clocks {pll_clk90_divided}]\ + [get_clocks {pll_clk_divided}]] +set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {ext_clk_sel}] +set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel2[0]}] +set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel2[1]}] +set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel2[2]}] +set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel[0]}] +set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel[1]}] +set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel[2]}] +set_output_delay 5.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {resetb_sync}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {core_clk}] +set_load -pin_load 0.0334 [get_ports {resetb_sync}] +set_load -pin_load 0.0334 [get_ports {user_clk}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_clk}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_clk_sel}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_reset}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {pll_clk}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {pll_clk90}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {resetb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel2[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel2[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sel2[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/spef/caravel_clocking.spef b/spef/caravel_clocking.spef new file mode 100644 index 00000000..89225c55 --- /dev/null +++ b/spef/caravel_clocking.spef @@ -0,0 +1,9642 @@ +*SPEF "ieee 1481-1999" +*DESIGN "caravel_clocking" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 core_clk +*4 ext_clk +*5 ext_clk_sel +*6 ext_reset +*7 pll_clk +*8 pll_clk90 +*9 resetb +*10 resetb_sync +*11 sel2[0] +*12 sel2[1] +*13 sel2[2] +*14 sel[0] +*15 sel[1] +*16 sel[2] +*17 user_clk +*18 _000_ +*19 _001_ +*20 _002_ +*21 _003_ +*22 _004_ +*23 _005_ +*24 _006_ +*25 _007_ +*26 _008_ +*27 _009_ +*28 _010_ +*29 _011_ +*30 _012_ +*31 _013_ +*32 _014_ +*33 _015_ +*34 _016_ +*35 _017_ +*36 _018_ +*37 _019_ +*38 _020_ +*39 _021_ +*40 _022_ +*41 _023_ +*42 _024_ +*43 _025_ +*44 _026_ +*45 _027_ +*46 _028_ +*47 _029_ +*48 _030_ +*49 _031_ +*50 _032_ +*51 _033_ +*52 _034_ +*53 _035_ +*54 _036_ +*55 _037_ +*56 _038_ +*57 _039_ +*58 _040_ +*59 _041_ +*60 _042_ +*61 _043_ +*62 _044_ +*63 _045_ +*64 _046_ +*65 _047_ +*66 _054_ +*67 _055_ +*68 _056_ +*69 _057_ +*70 _058_ +*71 _059_ +*72 _060_ +*73 _061_ +*74 _062_ +*75 _063_ +*76 _064_ +*77 _065_ +*78 _066_ +*79 _067_ +*80 _068_ +*81 _069_ +*82 _070_ +*83 _071_ +*84 _072_ +*85 _073_ +*86 _074_ +*87 _075_ +*88 _076_ +*89 _077_ +*90 _078_ +*91 _079_ +*92 _080_ +*93 _081_ +*94 _082_ +*95 _083_ +*96 _084_ +*97 _085_ +*98 _086_ +*99 _087_ +*100 _088_ +*101 _089_ +*102 _090_ +*103 _091_ +*104 _092_ +*105 _093_ +*106 _094_ +*107 _095_ +*108 _096_ +*109 _097_ +*110 _098_ +*111 _099_ +*112 _100_ +*113 _101_ +*114 _102_ +*115 _103_ +*116 _104_ +*117 _105_ +*118 _106_ +*119 _107_ +*120 _108_ +*121 _109_ +*122 _110_ +*123 _111_ +*124 _112_ +*125 _113_ +*126 _114_ +*127 _115_ +*128 _116_ +*129 _117_ +*130 _118_ +*131 _119_ +*132 _120_ +*133 _121_ +*134 _122_ +*135 _123_ +*136 _124_ +*137 _125_ +*138 _126_ +*139 _127_ +*140 _128_ +*141 _129_ +*142 _130_ +*143 _131_ +*144 _132_ +*145 _133_ +*146 _134_ +*147 _135_ +*148 _136_ +*149 _137_ +*150 _138_ +*151 _139_ +*152 _140_ +*153 _141_ +*154 _142_ +*155 _143_ +*156 _144_ +*157 _145_ +*158 _146_ +*159 _147_ +*160 _148_ +*161 _149_ +*162 _150_ +*163 _151_ +*164 _152_ +*165 _153_ +*166 _154_ +*167 _155_ +*168 _156_ +*169 _157_ +*170 _158_ +*171 _159_ +*172 _160_ +*173 _161_ +*174 _162_ +*175 _163_ +*176 _164_ +*177 _165_ +*178 _166_ +*179 _167_ +*180 _168_ +*181 _169_ +*182 _170_ +*183 _171_ +*184 _172_ +*185 _173_ +*186 _174_ +*187 _175_ +*188 _176_ +*189 _177_ +*190 _178_ +*191 _179_ +*192 _180_ +*193 _181_ +*194 _182_ +*195 _183_ +*196 _184_ +*197 _185_ +*198 _186_ +*199 _187_ +*200 _188_ +*201 _189_ +*202 _190_ +*203 _191_ +*204 _192_ +*205 _193_ +*206 _194_ +*207 _195_ +*208 _196_ +*209 _197_ +*210 _198_ +*211 _199_ +*212 _200_ +*213 _201_ +*214 _202_ +*215 clknet_0_ext_clk +*216 clknet_0_pll_clk +*217 clknet_0_pll_clk90 +*218 clknet_1_0_0_ext_clk +*219 clknet_1_0_0_pll_clk +*220 clknet_1_0_0_pll_clk90 +*221 clknet_1_1_0_ext_clk +*222 clknet_1_1_0_pll_clk +*223 clknet_1_1_0_pll_clk90 +*224 divider\.even_0\.N\[0\] +*225 divider\.even_0\.N\[1\] +*226 divider\.even_0\.N\[2\] +*227 divider\.even_0\.counter\[0\] +*228 divider\.even_0\.counter\[1\] +*229 divider\.even_0\.counter\[2\] +*230 divider\.even_0\.out_counter +*231 divider\.odd_0\.counter2\[0\] +*232 divider\.odd_0\.counter2\[1\] +*233 divider\.odd_0\.counter2\[2\] +*234 divider\.odd_0\.counter\[0\] +*235 divider\.odd_0\.counter\[1\] +*236 divider\.odd_0\.counter\[2\] +*237 divider\.odd_0\.initial_begin\[0\] +*238 divider\.odd_0\.initial_begin\[1\] +*239 divider\.odd_0\.initial_begin\[2\] +*240 divider\.odd_0\.old_N\[0\] +*241 divider\.odd_0\.old_N\[1\] +*242 divider\.odd_0\.old_N\[2\] +*243 divider\.odd_0\.out_counter +*244 divider\.odd_0\.out_counter2 +*245 divider\.odd_0\.rst_pulse +*246 divider\.out +*247 divider\.syncNp\[0\] +*248 divider\.syncNp\[1\] +*249 divider\.syncNp\[2\] +*250 divider2\.even_0\.N\[0\] +*251 divider2\.even_0\.N\[1\] +*252 divider2\.even_0\.N\[2\] +*253 divider2\.even_0\.counter\[0\] +*254 divider2\.even_0\.counter\[1\] +*255 divider2\.even_0\.counter\[2\] +*256 divider2\.even_0\.out_counter +*257 divider2\.odd_0\.counter2\[0\] +*258 divider2\.odd_0\.counter2\[1\] +*259 divider2\.odd_0\.counter2\[2\] +*260 divider2\.odd_0\.counter\[0\] +*261 divider2\.odd_0\.counter\[1\] +*262 divider2\.odd_0\.counter\[2\] +*263 divider2\.odd_0\.initial_begin\[0\] +*264 divider2\.odd_0\.initial_begin\[1\] +*265 divider2\.odd_0\.initial_begin\[2\] +*266 divider2\.odd_0\.old_N\[0\] +*267 divider2\.odd_0\.old_N\[1\] +*268 divider2\.odd_0\.old_N\[2\] +*269 divider2\.odd_0\.out_counter +*270 divider2\.odd_0\.out_counter2 +*271 divider2\.odd_0\.rst_pulse +*272 divider2\.out +*273 divider2\.syncNp\[0\] +*274 divider2\.syncNp\[1\] +*275 divider2\.syncNp\[2\] +*276 ext_clk_syncd +*277 ext_clk_syncd_pre +*278 net1 +*279 net10 +*280 net11 +*281 net12 +*282 net13 +*283 net14 +*284 net15 +*285 net16 +*286 net17 +*287 net18 +*288 net19 +*289 net2 +*290 net20 +*291 net21 +*292 net22 +*293 net23 +*294 net24 +*295 net25 +*296 net26 +*297 net27 +*298 net28 +*299 net29 +*300 net3 +*301 net30 +*302 net31 +*303 net32 +*304 net33 +*305 net4 +*306 net5 +*307 net6 +*308 net7 +*309 net8 +*310 net9 +*311 pll_clk_sel +*312 reset_delay\[0\] +*313 reset_delay\[1\] +*314 reset_delay\[2\] +*315 use_pll_first +*316 use_pll_second +*317 FILLER_0_100 +*318 FILLER_0_120 +*319 FILLER_0_132 +*320 FILLER_0_15 +*321 FILLER_0_162 +*322 FILLER_0_169 +*323 FILLER_0_191 +*324 FILLER_0_195 +*325 FILLER_0_197 +*326 FILLER_0_23 +*327 FILLER_0_3 +*328 FILLER_0_50 +*329 FILLER_0_57 +*330 FILLER_0_70 +*331 FILLER_0_82 +*332 FILLER_0_85 +*333 FILLER_10_129 +*334 FILLER_10_137 +*335 FILLER_10_144 +*336 FILLER_10_15 +*337 FILLER_10_156 +*338 FILLER_10_185 +*339 FILLER_10_193 +*340 FILLER_10_197 +*341 FILLER_10_23 +*342 FILLER_10_3 +*343 FILLER_10_50 +*344 FILLER_10_62 +*345 FILLER_10_85 +*346 FILLER_11_130 +*347 FILLER_11_164 +*348 FILLER_11_169 +*349 FILLER_11_177 +*350 FILLER_11_23 +*351 FILLER_11_29 +*352 FILLER_11_41 +*353 FILLER_11_47 +*354 FILLER_11_70 +*355 FILLER_11_76 +*356 FILLER_11_84 +*357 FILLER_11_96 +*358 FILLER_12_102 +*359 FILLER_12_106 +*360 FILLER_12_11 +*361 FILLER_12_114 +*362 FILLER_12_130 +*363 FILLER_12_138 +*364 FILLER_12_141 +*365 FILLER_12_153 +*366 FILLER_12_171 +*367 FILLER_12_179 +*368 FILLER_12_195 +*369 FILLER_12_197 +*370 FILLER_12_29 +*371 FILLER_12_3 +*372 FILLER_12_39 +*373 FILLER_12_43 +*374 FILLER_12_64 +*375 FILLER_12_85 +*376 FILLER_12_96 +*377 FILLER_13_101 +*378 FILLER_13_109 +*379 FILLER_13_113 +*380 FILLER_13_139 +*381 FILLER_13_151 +*382 FILLER_13_159 +*383 FILLER_13_165 +*384 FILLER_13_198 +*385 FILLER_13_22 +*386 FILLER_13_3 +*387 FILLER_13_31 +*388 FILLER_13_41 +*389 FILLER_13_49 +*390 FILLER_13_76 +*391 FILLER_13_82 +*392 FILLER_13_90 +*393 FILLER_14_130 +*394 FILLER_14_139 +*395 FILLER_14_153 +*396 FILLER_14_169 +*397 FILLER_14_197 +*398 FILLER_14_201 +*399 FILLER_14_23 +*400 FILLER_14_27 +*401 FILLER_14_32 +*402 FILLER_14_38 +*403 FILLER_14_45 +*404 FILLER_14_70 +*405 FILLER_14_82 +*406 FILLER_14_88 +*407 FILLER_15_133 +*408 FILLER_15_141 +*409 FILLER_15_15 +*410 FILLER_15_153 +*411 FILLER_15_159 +*412 FILLER_15_164 +*413 FILLER_15_169 +*414 FILLER_15_192 +*415 FILLER_15_198 +*416 FILLER_15_23 +*417 FILLER_15_3 +*418 FILLER_15_30 +*419 FILLER_15_43 +*420 FILLER_15_53 +*421 FILLER_15_90 +*422 FILLER_16_101 +*423 FILLER_16_141 +*424 FILLER_16_148 +*425 FILLER_16_201 +*426 FILLER_16_27 +*427 FILLER_16_29 +*428 FILLER_16_50 +*429 FILLER_16_58 +*430 FILLER_16_69 +*431 FILLER_16_73 +*432 FILLER_16_81 +*433 FILLER_17_11 +*434 FILLER_17_113 +*435 FILLER_17_16 +*436 FILLER_17_167 +*437 FILLER_17_169 +*438 FILLER_17_175 +*439 FILLER_17_196 +*440 FILLER_17_20 +*441 FILLER_17_3 +*442 FILLER_17_35 +*443 FILLER_17_57 +*444 FILLER_17_78 +*445 FILLER_17_90 +*446 FILLER_18_100 +*447 FILLER_18_124 +*448 FILLER_18_128 +*449 FILLER_18_133 +*450 FILLER_18_139 +*451 FILLER_18_145 +*452 FILLER_18_151 +*453 FILLER_18_159 +*454 FILLER_18_182 +*455 FILLER_18_190 +*456 FILLER_18_197 +*457 FILLER_18_26 +*458 FILLER_18_43 +*459 FILLER_18_56 +*460 FILLER_18_68 +*461 FILLER_18_80 +*462 FILLER_18_94 +*463 FILLER_19_109 +*464 FILLER_19_11 +*465 FILLER_19_122 +*466 FILLER_19_128 +*467 FILLER_19_138 +*468 FILLER_19_141 +*469 FILLER_19_165 +*470 FILLER_19_169 +*471 FILLER_19_200 +*472 FILLER_19_3 +*473 FILLER_19_50 +*474 FILLER_19_64 +*475 FILLER_19_72 +*476 FILLER_19_83 +*477 FILLER_1_108 +*478 FILLER_1_113 +*479 FILLER_1_126 +*480 FILLER_1_15 +*481 FILLER_1_157 +*482 FILLER_1_165 +*483 FILLER_1_175 +*484 FILLER_1_183 +*485 FILLER_1_200 +*486 FILLER_1_27 +*487 FILLER_1_3 +*488 FILLER_1_31 +*489 FILLER_1_36 +*490 FILLER_1_46 +*491 FILLER_1_54 +*492 FILLER_1_64 +*493 FILLER_1_74 +*494 FILLER_2_100 +*495 FILLER_2_108 +*496 FILLER_2_116 +*497 FILLER_2_125 +*498 FILLER_2_133 +*499 FILLER_2_139 +*500 FILLER_2_141 +*501 FILLER_2_156 +*502 FILLER_2_200 +*503 FILLER_2_29 +*504 FILLER_2_3 +*505 FILLER_2_34 +*506 FILLER_2_45 +*507 FILLER_2_7 +*508 FILLER_2_77 +*509 FILLER_2_83 +*510 FILLER_2_85 +*511 FILLER_2_91 +*512 FILLER_3_100 +*513 FILLER_3_113 +*514 FILLER_3_123 +*515 FILLER_3_131 +*516 FILLER_3_145 +*517 FILLER_3_15 +*518 FILLER_3_153 +*519 FILLER_3_169 +*520 FILLER_3_190 +*521 FILLER_3_27 +*522 FILLER_3_3 +*523 FILLER_3_35 +*524 FILLER_3_52 +*525 FILLER_3_64 +*526 FILLER_3_74 +*527 FILLER_4_112 +*528 FILLER_4_124 +*529 FILLER_4_141 +*530 FILLER_4_149 +*531 FILLER_4_172 +*532 FILLER_4_197 +*533 FILLER_4_29 +*534 FILLER_4_3 +*535 FILLER_4_37 +*536 FILLER_4_58 +*537 FILLER_4_67 +*538 FILLER_4_7 +*539 FILLER_4_75 +*540 FILLER_4_79 +*541 FILLER_4_85 +*542 FILLER_4_97 +*543 FILLER_5_134 +*544 FILLER_5_144 +*545 FILLER_5_15 +*546 FILLER_5_162 +*547 FILLER_5_169 +*548 FILLER_5_201 +*549 FILLER_5_27 +*550 FILLER_5_3 +*551 FILLER_5_31 +*552 FILLER_5_41 +*553 FILLER_5_49 +*554 FILLER_5_55 +*555 FILLER_5_57 +*556 FILLER_5_68 +*557 FILLER_5_76 +*558 FILLER_5_86 +*559 FILLER_6_102 +*560 FILLER_6_107 +*561 FILLER_6_120 +*562 FILLER_6_132 +*563 FILLER_6_141 +*564 FILLER_6_149 +*565 FILLER_6_171 +*566 FILLER_6_201 +*567 FILLER_6_3 +*568 FILLER_6_37 +*569 FILLER_6_55 +*570 FILLER_6_67 +*571 FILLER_6_7 +*572 FILLER_7_109 +*573 FILLER_7_140 +*574 FILLER_7_15 +*575 FILLER_7_150 +*576 FILLER_7_159 +*577 FILLER_7_167 +*578 FILLER_7_169 +*579 FILLER_7_179 +*580 FILLER_7_187 +*581 FILLER_7_27 +*582 FILLER_7_3 +*583 FILLER_7_39 +*584 FILLER_7_51 +*585 FILLER_7_57 +*586 FILLER_7_76 +*587 FILLER_7_82 +*588 FILLER_7_88 +*589 FILLER_8_126 +*590 FILLER_8_139 +*591 FILLER_8_141 +*592 FILLER_8_15 +*593 FILLER_8_197 +*594 FILLER_8_23 +*595 FILLER_8_3 +*596 FILLER_8_53 +*597 FILLER_8_75 +*598 FILLER_8_80 +*599 FILLER_9_103 +*600 FILLER_9_109 +*601 FILLER_9_113 +*602 FILLER_9_120 +*603 FILLER_9_15 +*604 FILLER_9_159 +*605 FILLER_9_167 +*606 FILLER_9_169 +*607 FILLER_9_181 +*608 FILLER_9_27 +*609 FILLER_9_3 +*610 FILLER_9_39 +*611 FILLER_9_51 +*612 FILLER_9_55 +*613 FILLER_9_57 +*614 FILLER_9_61 +*615 FILLER_9_65 +*616 FILLER_9_77 +*617 FILLER_9_81 +*618 FILLER_9_92 +*619 PHY_0 +*620 PHY_1 +*621 PHY_10 +*622 PHY_11 +*623 PHY_12 +*624 PHY_13 +*625 PHY_14 +*626 PHY_15 +*627 PHY_16 +*628 PHY_17 +*629 PHY_18 +*630 PHY_19 +*631 PHY_2 +*632 PHY_20 +*633 PHY_21 +*634 PHY_22 +*635 PHY_23 +*636 PHY_24 +*637 PHY_25 +*638 PHY_26 +*639 PHY_27 +*640 PHY_28 +*641 PHY_29 +*642 PHY_3 +*643 PHY_30 +*644 PHY_31 +*645 PHY_32 +*646 PHY_33 +*647 PHY_34 +*648 PHY_35 +*649 PHY_36 +*650 PHY_37 +*651 PHY_38 +*652 PHY_39 +*653 PHY_4 +*654 PHY_5 +*655 PHY_6 +*656 PHY_7 +*657 PHY_8 +*658 PHY_9 +*659 TAP_100 +*660 TAP_101 +*661 TAP_102 +*662 TAP_103 +*663 TAP_104 +*664 TAP_105 +*665 TAP_106 +*666 TAP_107 +*667 TAP_108 +*668 TAP_109 +*669 TAP_110 +*670 TAP_111 +*671 TAP_112 +*672 TAP_113 +*673 TAP_114 +*674 TAP_115 +*675 TAP_116 +*676 TAP_40 +*677 TAP_41 +*678 TAP_42 +*679 TAP_43 +*680 TAP_44 +*681 TAP_45 +*682 TAP_46 +*683 TAP_47 +*684 TAP_48 +*685 TAP_49 +*686 TAP_50 +*687 TAP_51 +*688 TAP_52 +*689 TAP_53 +*690 TAP_54 +*691 TAP_55 +*692 TAP_56 +*693 TAP_57 +*694 TAP_58 +*695 TAP_59 +*696 TAP_60 +*697 TAP_61 +*698 TAP_62 +*699 TAP_63 +*700 TAP_64 +*701 TAP_65 +*702 TAP_66 +*703 TAP_67 +*704 TAP_68 +*705 TAP_69 +*706 TAP_70 +*707 TAP_71 +*708 TAP_72 +*709 TAP_73 +*710 TAP_74 +*711 TAP_75 +*712 TAP_76 +*713 TAP_77 +*714 TAP_78 +*715 TAP_79 +*716 TAP_80 +*717 TAP_81 +*718 TAP_82 +*719 TAP_83 +*720 TAP_84 +*721 TAP_85 +*722 TAP_86 +*723 TAP_87 +*724 TAP_88 +*725 TAP_89 +*726 TAP_90 +*727 TAP_91 +*728 TAP_92 +*729 TAP_93 +*730 TAP_94 +*731 TAP_95 +*732 TAP_96 +*733 TAP_97 +*734 TAP_98 +*735 TAP_99 +*736 _204_ +*737 _205_ +*738 _206_ +*739 _207_ +*740 _208_ +*741 _209_ +*742 _210_ +*743 _211_ +*744 _212_ +*745 _213_ +*746 _214_ +*747 _215_ +*748 _216_ +*749 _217_ +*750 _218_ +*751 _219_ +*752 _220_ +*753 _221_ +*754 _222_ +*755 _223_ +*756 _224_ +*757 _225_ +*758 _226_ +*759 _227_ +*760 _228_ +*761 _229_ +*762 _230_ +*763 _231_ +*764 _232_ +*765 _233_ +*766 _234_ +*767 _235_ +*768 _236_ +*769 _237_ +*770 _238_ +*771 _239_ +*772 _240_ +*773 _241_ +*774 _242__1 +*775 _243_ +*776 _244_ +*777 _245_ +*778 _246__2 +*779 _247_ +*780 _248_ +*781 _249_ +*782 _250_ +*783 _251_ +*784 _252_ +*785 _253_ +*786 _254_ +*787 _255_ +*788 _256_ +*789 _257_ +*790 _258_ +*791 _259_ +*792 _260_ +*793 _261_ +*794 _262__3 +*795 _263_ +*796 _264_ +*797 _265_ +*798 _266_ +*799 _267_ +*800 _268_ +*801 _269_ +*802 _270_ +*803 _271_ +*804 _272_ +*805 _273_ +*806 _274_ +*807 _275_ +*808 _276_ +*809 _277_ +*810 _278_ +*811 _279_ +*812 _280_ +*813 _281_ +*814 _282_ +*815 _283_ +*816 _284_ +*817 _285_ +*818 _286_ +*819 _287_ +*820 _288_ +*821 _289_ +*822 _290_ +*823 _291_ +*824 _292_ +*825 _293_ +*826 _294_ +*827 _295_ +*828 _296_ +*829 _297_ +*830 _298_ +*831 _299_ +*832 _300_ +*833 _301__4 +*834 _302_ +*835 _303_ +*836 _304_ +*837 _305__5 +*838 _306_ +*839 _307_ +*840 _308_ +*841 _309_ +*842 _310_ +*843 _311_ +*844 _312_ +*845 _313_ +*846 _314_ +*847 _315_ +*848 _316_ +*849 _317_ +*850 _318_ +*851 _319_ +*852 _320_ +*853 _321_ +*854 _322_ +*855 _323_ +*856 _324_ +*857 _325_ +*858 _326_ +*859 _327_ +*860 _328_ +*861 _329_ +*862 _330_ +*863 _331_ +*864 _332_ +*865 _333_ +*866 _334__6 +*867 _335_ +*868 _336_ +*869 _337_ +*870 _338_ +*871 _339_ +*872 _340_ +*873 _341_ +*874 _342_ +*875 _343_ +*876 _344_ +*877 _345_ +*878 _346_ +*879 _347_ +*880 _348_ +*881 _349_ +*882 _350_ +*883 _351_ +*884 _352_ +*885 _353_ +*886 _354_ +*887 _355_ +*888 _356_ +*889 _357_ +*890 _358_ +*891 _359_ +*892 _360_ +*893 _361_ +*894 _362_ +*895 _363_ +*896 _364_ +*897 _365_ +*898 _366_ +*899 _367_ +*900 _368_ +*901 _369_ +*902 _370_ +*903 _371_ +*904 _372_ +*905 _373_ +*906 _374_ +*907 _375_ +*908 _376_ +*909 _377_ +*910 _378__13 +*911 _379_ +*912 _380_ +*913 _381_ +*914 _382_ +*915 _383_ +*916 _384_ +*917 _385_ +*918 _386_ +*919 _387_ +*920 _388_ +*921 _389_ +*922 _390_ +*923 _391_ +*924 _392_ +*925 _393_ +*926 _394_ +*927 _395_ +*928 _396_ +*929 _397_ +*930 _398_ +*931 _399_ +*932 _400_ +*933 _401_ +*934 _402_ +*935 _403_ +*936 _404_ +*937 _405_ +*938 _406_ +*939 _407_ +*940 _408_ +*941 _409_ +*942 _410_ +*943 _411_ +*944 _412_ +*945 _413_ +*946 _414_ +*947 _415_ +*948 _416_ +*949 _417_ +*950 _418_ +*951 _419_ +*952 _420_ +*953 _421_ +*954 _422_ +*955 _423_ +*956 _424_ +*957 _425_ +*958 _426_ +*959 _427_ +*960 _428_ +*961 _429_ +*962 _430_ +*963 _431_ +*964 _432_ +*965 _433_ +*966 _434_ +*967 _435_ +*968 _436_ +*969 _437_ +*970 _438_ +*971 _439_ +*972 _440_ +*973 _441_ +*974 _442_ +*975 _443_ +*976 _444_ +*977 _445_ +*978 _446_ +*979 _447_ +*980 _448_ +*981 _449_ +*982 _450_ +*983 _451_ +*984 _452_ +*985 _453_ +*986 _454_ +*987 _455_ +*988 _456_ +*989 _457_ +*990 _458_ +*991 _459_ +*992 _460_ +*993 _461_ +*994 _462_ +*995 _463_ +*996 _464_ +*997 _465_ +*998 _466_ +*999 _467_ +*1000 _468_ +*1001 _469_ +*1002 _470_ +*1003 clkbuf_0_ext_clk +*1004 clkbuf_0_pll_clk +*1005 clkbuf_0_pll_clk90 +*1006 clkbuf_1_0_0_ext_clk +*1007 clkbuf_1_0_0_pll_clk +*1008 clkbuf_1_0_0_pll_clk90 +*1009 clkbuf_1_1_0_ext_clk +*1010 clkbuf_1_1_0_pll_clk +*1011 clkbuf_1_1_0_pll_clk90 +*1012 hold1 +*1013 input1 +*1014 input2 +*1015 input3 +*1016 input4 +*1017 input5 +*1018 input6 +*1019 input7 +*1020 input8 +*1021 input9 +*1022 output10 +*1023 output11 +*1024 output12 +*1025 rebuffer10 +*1026 rebuffer11 +*1027 rebuffer2 +*1028 rebuffer3 +*1029 rebuffer4 +*1030 rebuffer5 +*1031 rebuffer6 +*1032 rebuffer7 +*1033 rebuffer8 +*1034 rebuffer9 +*1035 split1 +*1036 split12 +*1037 split2 + +*PORTS +core_clk O +ext_clk I +ext_clk_sel I +ext_reset I +pll_clk I +pll_clk90 I +resetb I +resetb_sync O +sel2[0] I +sel2[1] I +sel2[2] I +sel[0] I +sel[1] I +sel[2] I +user_clk O + +*D_NET *3 0.000427842 +*CONN +*P core_clk O +*I *1022:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 core_clk 0.000213921 +2 *1022:X 0.000213921 +*RES +1 *1022:X core_clk 17.8118 +*END + +*D_NET *4 0.00532019 +*CONN +*P ext_clk I +*I *1003:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 ext_clk 0.0012217 +2 *1003:A 0 +3 *4:10 0.0012217 +4 *4:10 *781:A 0.000453284 +5 *4:10 *781:C_N 7.01935e-06 +6 *4:10 *784:A 9.81288e-06 +7 *4:10 *875:A0 0.000360307 +8 *4:10 *875:A1 0.000219501 +9 *4:10 *876:A 0.000508721 +10 *4:10 *898:A 2.75725e-05 +11 *4:10 *898:B 0.000309709 +12 *4:10 *1006:A 0.000219249 +13 *4:10 *104:13 5.30117e-05 +14 *4:10 *257:40 0.000558851 +15 *4:10 *257:44 0.000112241 +16 *4:10 *258:27 3.7504e-05 +*RES +1 ext_clk *4:10 44.3463 +2 *4:10 *1003:A 9.24915 +*END + +*D_NET *5 0.000693625 +*CONN +*P ext_clk_sel I +*I *1013:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 ext_clk_sel 0.000320552 +2 *1013:A 0.000320552 +3 *1013:A *906:A 5.25197e-05 +4 *1013:A *291:15 0 +*RES +1 ext_clk_sel *1013:A 21.1549 +*END + +*D_NET *6 0.00108587 +*CONN +*P ext_reset I +*I *1014:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 ext_reset 0.000478141 +2 *1014:A 0.000478141 +3 *1014:A *8:7 0.000129592 +*RES +1 ext_reset *1014:A 26.9106 +*END + +*D_NET *7 0.00680051 +*CONN +*P pll_clk I +*I *1004:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 pll_clk 0.00133428 +2 *1004:A 0.00122026 +3 *7:15 0.00255455 +4 *1004:A *909:A 9.65932e-05 +5 *1004:A *909:B 6.50586e-05 +6 *1004:A *1002:D 0.000164829 +7 *1004:A *1002:RESET_B 2.82583e-05 +8 *1004:A *260:5 2.41483e-05 +9 *1004:A *260:9 3.14978e-05 +10 *1004:A *300:334 0.000179882 +11 *1004:A *300:344 0.000352748 +12 *7:15 *800:A 0.000144525 +13 *7:15 *800:B 0.000136813 +14 *7:15 *804:A 7.01144e-05 +15 *7:15 *968:D 3.77659e-05 +16 *7:15 *970:CLK 0 +17 *7:15 *987:D 0.00013646 +18 *7:15 *142:6 6.00124e-05 +19 *7:15 *144:6 0 +20 *7:15 *253:25 1.80448e-05 +21 *7:15 *272:10 0 +22 *7:15 *272:47 0 +23 *7:15 *280:10 0.000144659 +24 *7:15 *300:443 0 +25 *7:15 *300:449 0 +26 *7:15 *300:458 0 +27 *7:15 *315:10 0 +*RES +1 pll_clk *7:15 41.6284 +2 *7:15 *1004:A 44.0204 +*END + +*D_NET *8 0.0104273 +*CONN +*P pll_clk90 I +*I *1005:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 pll_clk90 0.000592194 +2 *1005:A 1.99816e-05 +3 *8:8 0.00307518 +4 *8:7 0.0036474 +5 *1005:A *1011:A 9.95922e-06 +6 *8:7 *907:A 6.84737e-05 +7 *8:7 *919:A0 0 +8 *8:7 *967:CLK 0 +9 *8:7 *1018:A 0 +10 *8:8 *802:A 8.4464e-05 +11 *8:8 *945:A0 1.00766e-05 +12 *8:8 *966:D 6.43652e-05 +13 *8:8 *967:CLK 7.19887e-05 +14 *8:8 *969:D 0.000135784 +15 *8:8 *987:D 0.000457582 +16 *8:8 *1011:A 0.000914168 +17 *8:8 *223:11 1.32618e-05 +18 *8:8 *223:77 0.000750132 +19 *8:8 *223:89 0.000110458 +20 *8:8 *272:17 0.000246361 +21 *8:8 *272:29 2.58757e-05 +22 *1014:A *8:7 0.000129592 +*RES +1 pll_clk90 *8:7 20.5727 +2 *8:7 *8:8 50.0831 +3 *8:8 *1005:A 9.82786 +*END + +*D_NET *9 0.000336665 +*CONN +*P resetb I +*I *1015:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 resetb 0.000168332 +2 *1015:A 0.000168332 +*RES +1 resetb *1015:A 18.0971 +*END + +*D_NET *10 0.000788368 +*CONN +*P resetb_sync O +*I *1023:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 resetb_sync 0.000394184 +2 *1023:X 0.000394184 +*RES +1 *1023:X resetb_sync 21.6884 +*END + +*D_NET *11 0.000462897 +*CONN +*P sel2[0] I +*I *1016:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sel2[0] 0.000231449 +2 *1016:A 0.000231449 +*RES +1 sel2[0] *1016:A 18.2481 +*END + +*D_NET *12 0.00057393 +*CONN +*P sel2[1] I +*I *1017:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sel2[1] 0.000286965 +2 *1017:A 0.000286965 +*RES +1 sel2[1] *1017:A 19.4939 +*END + +*D_NET *13 0.000948448 +*CONN +*P sel2[2] I +*I *1018:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sel2[2] 0.000461388 +2 *1018:A 0.000461388 +3 *1018:A *967:D 2.56724e-05 +4 *8:7 *1018:A 0 +*RES +1 sel2[2] *1018:A 20.3681 +*END + +*D_NET *14 0.000539893 +*CONN +*P sel[0] I +*I *1019:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sel[0] 0.000259727 +2 *1019:A 0.000259727 +3 *1019:A *311:11 2.0439e-05 +*RES +1 sel[0] *1019:A 19.0786 +*END + +*D_NET *15 0.000904234 +*CONN +*P sel[1] I +*I *1020:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sel[1] 0.000406204 +2 *1020:A 0.000406204 +3 *1020:A *961:CLK 0 +4 *1020:A *1030:A 4.6608e-05 +5 *1020:A *246:48 0 +6 *1020:A *311:11 4.52171e-05 +*RES +1 sel[1] *1020:A 23.2312 +*END + +*D_NET *16 0.000697516 +*CONN +*P sel[2] I +*I *1021:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sel[2] 0.00033619 +2 *1021:A 0.00033619 +3 *1021:A *961:D 2.51362e-05 +*RES +1 sel[2] *1021:A 20.7397 +*END + +*D_NET *17 0.0012131 +*CONN +*P user_clk O +*I *1024:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 user_clk 0.000502971 +2 *1024:X 0.000502971 +3 user_clk *293:24 0.000127127 +4 user_clk *293:36 8.00313e-05 +*RES +1 *1024:X user_clk 22.8032 +*END + +*D_NET *18 0.0085945 +*CONN +*I *750:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *765:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *763:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *922:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *761:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *749:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *750:B1 0.000188319 +2 *765:A2 0.000178868 +3 *763:A2 0 +4 *922:A0 0 +5 *761:A2 0.000379032 +6 *749:Y 0 +7 *18:49 0.000608627 +8 *18:44 0.000312463 +9 *18:43 0.000590282 +10 *18:27 0.000702803 +11 *18:15 0.000298776 +12 *18:4 0.000494265 +13 *750:B1 *755:B 6.8054e-05 +14 *750:B1 *758:B1_N 6.74955e-05 +15 *750:B1 *759:A 0.000104372 +16 *750:B1 *222:118 0.00030565 +17 *750:B1 *271:10 2.76838e-05 +18 *761:A2 *761:A1 9.87648e-05 +19 *761:A2 *761:B1_N 5.04829e-06 +20 *761:A2 *20:9 9.65752e-06 +21 *765:A2 *759:B 0.000214105 +22 *765:A2 *764:A 3.64672e-05 +23 *765:A2 *911:S 6.8921e-05 +24 *765:A2 *912:S 1.24478e-05 +25 *765:A2 *222:118 0.000224456 +26 *765:A2 *271:10 6.64671e-06 +27 *18:15 *749:A 0.000209835 +28 *18:15 *20:9 0.000110458 +29 *18:15 *250:62 0.000167353 +30 *18:27 *749:A 2.16355e-05 +31 *18:27 *996:D 1.41307e-05 +32 *18:27 *220:9 0.000158637 +33 *18:27 *250:62 1.65872e-05 +34 *18:27 *271:37 0.00016553 +35 *18:43 *763:A1 0.000259306 +36 *18:43 *923:A1 0.000129383 +37 *18:43 *924:A0 0.000420492 +38 *18:43 *112:15 0.000355341 +39 *18:43 *112:27 9.9406e-05 +40 *18:43 *271:29 0.000119355 +41 *18:43 *271:37 0.000644994 +42 *18:43 *293:54 0.000114916 +43 *18:49 *886:B1 0.000159032 +44 *18:49 *116:31 0.000109427 +45 *18:49 *116:39 0.00016386 +46 *18:49 *271:29 0.000151615 +*RES +1 *749:Y *18:4 9.24915 +2 *18:4 *761:A2 27.5907 +3 *18:4 *18:15 5.71483 +4 *18:15 *922:A0 9.24915 +5 *18:15 *18:27 14.6338 +6 *18:27 *763:A2 9.24915 +7 *18:27 *18:43 29.2149 +8 *18:43 *18:44 81.1229 +9 *18:44 *18:49 20.5732 +10 *18:49 *765:A2 20.4599 +11 *18:49 *750:B1 19.7337 +*END + +*D_NET *19 0.0105766 +*CONN +*I *820:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *861:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *824:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *822:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *929:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *818:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *820:A2 2.48968e-06 +2 *861:B1 0.000622215 +3 *824:A2 0 +4 *822:A2 0.000103356 +5 *929:A0 0.000307413 +6 *818:Y 0 +7 *19:42 0.00176188 +8 *19:24 0.00139667 +9 *19:20 0.00105333 +10 *19:4 0.0012046 +11 *820:A2 *821:A 1.3023e-05 +12 *822:A2 *821:C 1.41291e-05 +13 *822:A2 *822:A1 0.000169041 +14 *861:B1 *824:A1 0.000164829 +15 *861:B1 *861:A1 0.000165521 +16 *861:B1 *234:11 7.48876e-05 +17 *861:B1 *245:9 0.00033468 +18 *861:B1 *245:30 0.000463228 +19 *929:A0 *813:A 7.92757e-06 +20 *929:A0 *929:A1 0.000101026 +21 *929:A0 *153:29 6.05995e-05 +22 *929:A0 *245:64 8.63475e-05 +23 *929:A0 *299:8 0.00018426 +24 *19:20 *813:A 2.41274e-06 +25 *19:20 *815:A 1.50288e-05 +26 *19:20 *818:A 9.44631e-06 +27 *19:20 *819:B 0.000116986 +28 *19:20 *821:A 2.01595e-05 +29 *19:20 *932:A0 0.000221637 +30 *19:20 *979:D 0.000243272 +31 *19:20 *156:9 0 +32 *19:20 *236:15 0.000222684 +33 *19:24 *821:A 0.000333325 +34 *19:24 *822:A1 0 +35 *19:24 *932:A0 2.72868e-05 +36 *19:24 *984:SET_B 0 +37 *19:42 *821:B 0.000379158 +38 *19:42 *822:A1 0.000261325 +39 *19:42 *891:B 6.36477e-05 +40 *19:42 *983:CLK 0.000118485 +41 *19:42 *235:21 8.20638e-05 +42 *19:42 *291:28 0.000168207 +*RES +1 *818:Y *19:4 9.24915 +2 *19:4 *929:A0 25.2386 +3 *19:4 *19:20 24.344 +4 *19:20 *19:24 10.2769 +5 *19:24 *822:A2 12.2151 +6 *19:24 *19:42 38.2299 +7 *19:42 *824:A2 9.24915 +8 *19:42 *861:B1 28.5156 +9 *19:20 *820:A2 14.1278 +*END + +*D_NET *20 0.00232983 +*CONN +*I *769:C I *D sky130_fd_sc_hd__nand3b_1 +*I *1025:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *922:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *769:C 0.000195168 +2 *1025:A 0.000135396 +3 *922:X 0.00019622 +4 *20:9 0.000526784 +5 *769:C *771:A 0.000109427 +6 *769:C *109:19 2.41827e-05 +7 *769:C *126:9 8.19236e-05 +8 *769:C *302:11 9.99577e-05 +9 *1025:A *993:SET_B 3.24673e-05 +10 *1025:A *250:62 8.96332e-05 +11 *1025:A *302:11 3.74553e-05 +12 *20:9 *749:A 1.17054e-05 +13 *20:9 *749:B 2.99287e-05 +14 *20:9 *756:A 6.78364e-06 +15 *20:9 *109:19 7.01912e-05 +16 *20:9 *250:62 0.000562494 +17 *761:A2 *20:9 9.65752e-06 +18 *18:15 *20:9 0.000110458 +*RES +1 *922:X *20:9 26.6293 +2 *20:9 *1025:A 22.1574 +3 *20:9 *769:C 15.5668 +*END + +*D_NET *21 0.00198403 +*CONN +*I *1028:A I *D sky130_fd_sc_hd__buf_2 +*I *828:C I *D sky130_fd_sc_hd__nand3b_1 +*I *929:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1028:A 1.71378e-05 +2 *828:C 6.5441e-05 +3 *929:X 0.000139063 +4 *21:7 0.000221642 +5 *828:C *827:A2 0.000315978 +6 *828:C *832:A2_N 0.000140646 +7 *21:7 *825:A 6.9116e-05 +8 *21:7 *827:A2 0.000498233 +9 *21:7 *832:A1_N 0.000112367 +10 *21:7 *832:A2_N 3.20062e-05 +11 *21:7 *832:B1 0.000255255 +12 *21:7 *832:B2 8.97069e-05 +13 *21:7 *224:87 2.74378e-05 +*RES +1 *929:X *21:7 17.7611 +2 *21:7 *828:C 13.3243 +3 *21:7 *1028:A 9.82786 +*END + +*D_NET *22 0.000553444 +*CONN +*I *853:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *932:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *853:A0 0.00018244 +2 *932:X 0.00018244 +3 *853:A0 *853:A1 2.15184e-05 +4 *853:A0 *932:A0 0.000156955 +5 *853:A0 *224:78 1.00901e-05 +*RES +1 *932:X *853:A0 21.4401 +*END + +*D_NET *23 0.000464389 +*CONN +*I *851:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *935:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *851:A0 0.000179202 +2 *935:X 0.000179202 +3 *851:A0 *932:S 5.04829e-06 +4 *851:A0 *224:70 5.51483e-06 +5 *851:A0 *228:9 9.54218e-05 +*RES +1 *935:X *851:A0 21.4401 +*END + +*D_NET *24 0.000180044 +*CONN +*I *870:B I *D sky130_fd_sc_hd__nand2_1 +*I *918:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *870:B 5.5977e-05 +2 *918:X 5.5977e-05 +3 *870:B *870:A 6.80895e-05 +4 *870:B *918:A1 0 +*RES +1 *918:X *870:B 20.2103 +*END + +*D_NET *25 0.00188235 +*CONN +*I *867:B I *D sky130_fd_sc_hd__nand2_1 +*I *914:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *867:B 0.000654221 +2 *914:X 0.000654221 +3 *867:B *868:A2 1.36691e-05 +4 *867:B *187:20 3.67528e-06 +5 *867:B *232:8 0.000556559 +*RES +1 *914:X *867:B 39.2032 +*END + +*D_NET *26 0.00159855 +*CONN +*I *864:B I *D sky130_fd_sc_hd__nand2_1 +*I *939:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *864:B 0.000242385 +2 *939:X 0.000242385 +3 *864:B *840:A 6.321e-05 +4 *864:B *840:B 9.57521e-05 +5 *864:B *840:C_N 0.000175485 +6 *864:B *842:A 1.03434e-05 +7 *864:B *847:A1 0.000122845 +8 *864:B *939:S 0 +9 *864:B *42:7 6.50586e-05 +10 *864:B *233:16 0.000581086 +*RES +1 *939:X *864:B 29.2528 +*END + +*D_NET *27 0.000413724 +*CONN +*I *824:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *937:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *824:A1 0.000108433 +2 *937:X 0.000108433 +3 *824:A1 *245:30 3.20289e-05 +4 *861:B1 *824:A1 0.000164829 +*RES +1 *937:X *824:A1 21.4642 +*END + +*D_NET *28 0.00107334 +*CONN +*I *822:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *934:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *822:A1 0.000142105 +2 *934:X 0.000142105 +3 *822:A1 *821:A 0.000171288 +4 *822:A1 *821:B 0.000122378 +5 *822:A1 *821:C 6.50954e-05 +6 *822:A2 *822:A1 0.000169041 +7 *19:24 *822:A1 0 +8 *19:42 *822:A1 0.000261325 +*RES +1 *934:X *822:A1 34.3512 +*END + +*D_NET *29 0.00102484 +*CONN +*I *820:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *931:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *820:A1 0.000255881 +2 *931:X 0.000255881 +3 *820:A1 *820:B1_N 0.000118485 +4 *820:A1 *226:28 2.84786e-05 +5 *820:A1 *236:15 0.000253916 +6 *820:A1 *245:42 0.000112201 +*RES +1 *931:X *820:A1 34.52 +*END + +*D_NET *30 0.000581763 +*CONN +*I *738:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *919:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *738:A0 0.000141701 +2 *919:X 0.000141701 +3 *738:A0 *738:S 0.000114545 +4 *738:A0 *253:13 0.000117376 +5 *738:A0 *253:19 6.64392e-05 +*RES +1 *919:X *738:A0 31.9934 +*END + +*D_NET *31 0.000794683 +*CONN +*I *736:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *942:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *736:A0 0.000156004 +2 *942:X 0.000156004 +3 *736:A0 *736:A1 6.27718e-05 +4 *736:A0 *942:A0 0.000419903 +*RES +1 *942:X *736:A0 23.6826 +*END + +*D_NET *32 0.000607597 +*CONN +*I *798:B I *D sky130_fd_sc_hd__nand2_1 +*I *916:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *798:B 0.000162676 +2 *916:X 0.000162676 +3 *798:B *797:A 0.000137985 +4 *798:B *798:A 6.2645e-05 +5 *798:B *137:7 8.1614e-05 +*RES +1 *916:X *798:B 31.471 +*END + +*D_NET *33 0.00248324 +*CONN +*I *795:B I *D sky130_fd_sc_hd__nand2_1 +*I *941:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *795:B 0.000639172 +2 *941:X 0.000639172 +3 *795:B *792:B 0.000672994 +4 *795:B *795:A 6.36477e-05 +5 *795:B *915:S 9.46208e-05 +6 *795:B *133:16 0.00029066 +7 *795:B *271:65 5.04829e-06 +8 *795:B *271:72 7.79217e-05 +*RES +1 *941:X *795:B 44.9181 +*END + +*D_NET *34 0.00249702 +*CONN +*I *792:B I *D sky130_fd_sc_hd__nand2_1 +*I *928:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *792:B 0.000361798 +2 *928:X 0.000361798 +3 *792:B *793:A1 0.000128091 +4 *792:B *795:A 4.58907e-05 +5 *792:B *796:B1 4.58907e-05 +6 *792:B *133:16 2.19275e-05 +7 *792:B *138:21 0.000695889 +8 *792:B *138:42 0.000162739 +9 *795:B *792:B 0.000672994 +*RES +1 *928:X *792:B 35.3534 +*END + +*D_NET *35 0.000238469 +*CONN +*I *765:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *912:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *765:A1 9.36101e-05 +2 *912:X 9.36101e-05 +3 *765:A1 *222:118 5.12484e-05 +*RES +1 *912:X *765:A1 29.7455 +*END + +*D_NET *36 0.00053487 +*CONN +*I *763:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *924:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *763:A1 0.000137782 +2 *924:X 0.000137782 +3 *18:43 *763:A1 0.000259306 +*RES +1 *924:X *763:A1 22.4287 +*END + +*D_NET *37 0.000402356 +*CONN +*I *761:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *921:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *761:A1 0.000141448 +2 *921:X 0.000141448 +3 *761:A1 *761:B1_N 2.0696e-05 +4 *761:A2 *761:A1 9.87648e-05 +*RES +1 *921:X *761:A1 22.5734 +*END + +*D_NET *38 0.00107951 +*CONN +*I *943:S I *D sky130_fd_sc_hd__mux2_1 +*I *880:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *943:S 0.000333013 +2 *880:Y 0.000333013 +3 *943:S *222:49 0.000139817 +4 *943:S *222:93 0.000114659 +5 *943:S *225:28 0.00015901 +*RES +1 *880:Y *943:S 35.3154 +*END + +*D_NET *39 0.000328605 +*CONN +*I *945:S I *D sky130_fd_sc_hd__mux2_1 +*I *884:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *945:S 9.3397e-05 +2 *884:Y 9.3397e-05 +3 *945:S *252:10 0.000141811 +*RES +1 *884:Y *945:S 30.1608 +*END + +*D_NET *40 0.00203909 +*CONN +*I *935:S I *D sky130_fd_sc_hd__mux2_1 +*I *932:S I *D sky130_fd_sc_hd__mux2_1 +*I *896:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *935:S 2.12792e-05 +2 *932:S 0.000427345 +3 *896:Y 9.39341e-05 +4 *40:5 0.000542558 +5 *932:S *852:A 0.000147325 +6 *932:S *895:A 4.70559e-05 +7 *932:S *222:10 2.1389e-05 +8 *932:S *224:62 0.000248196 +9 *932:S *224:70 0.000404908 +10 *932:S *226:11 1.34372e-05 +11 *932:S *228:9 2.30636e-05 +12 *40:5 *873:C 1.43832e-05 +13 *40:5 *226:11 2.91639e-05 +14 *851:A0 *932:S 5.04829e-06 +*RES +1 *896:Y *40:5 11.6364 +2 *40:5 *932:S 31.8136 +3 *40:5 *935:S 9.82786 +*END + +*D_NET *41 0.000487077 +*CONN +*I *1026:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *929:S I *D sky130_fd_sc_hd__mux2_1 +*I *838:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *1026:A 0 +2 *929:S 1.66468e-05 +3 *838:Y 0.000115574 +4 *41:8 0.000132221 +5 *929:S *224:87 5.31465e-05 +6 *929:S *298:5 0.000112361 +7 *41:8 *832:B2 1.63652e-05 +8 *41:8 *219:60 4.07622e-05 +*RES +1 *838:Y *41:8 21.3269 +2 *41:8 *929:S 10.5271 +3 *41:8 *1026:A 9.24915 +*END + +*D_NET *42 0.00463246 +*CONN +*I *917:S I *D sky130_fd_sc_hd__mux2_1 +*I *841:C I *D sky130_fd_sc_hd__nand3_1 +*I *938:S I *D sky130_fd_sc_hd__mux2_1 +*I *913:S I *D sky130_fd_sc_hd__mux2_1 +*I *840:Y O *D sky130_fd_sc_hd__nor3b_2 +*CAP +1 *917:S 5.87336e-05 +2 *841:C 0.000310363 +3 *938:S 0.000264573 +4 *913:S 0.000457004 +5 *840:Y 4.18965e-05 +6 *42:30 0.00046942 +7 *42:19 0.000556705 +8 *42:7 0.000690709 +9 *841:C *847:A1 2.16355e-05 +10 *841:C *847:B1_N 0.000267394 +11 *841:C *848:B 3.60566e-05 +12 *841:C *917:A1 4.79157e-05 +13 *913:S *843:A 2.44829e-05 +14 *913:S *913:A0 6.23844e-05 +15 *913:S *913:A1 1.27193e-05 +16 *913:S *231:35 7.98425e-06 +17 *913:S *232:8 2.19276e-05 +18 *913:S *232:14 0.000116971 +19 *913:S *233:16 2.81678e-06 +20 *917:S *917:A1 6.50586e-05 +21 *917:S *918:A1 0 +22 *917:S *918:S 0 +23 *938:S *889:A 0.00011818 +24 *938:S *918:A1 1.80122e-05 +25 *938:S *938:A0 0.000320436 +26 *938:S *226:31 4.81452e-05 +27 *938:S *226:35 0.000320511 +28 *42:19 *847:A1 0 +29 *42:19 *889:A 0.000106669 +30 *42:19 *889:B 3.44886e-05 +31 *42:19 *918:A1 0 +32 *42:19 *918:S 0 +33 *42:19 *232:14 5.05252e-05 +34 *42:19 *233:16 1.36815e-05 +35 *42:30 *918:A1 0 +36 *42:30 *918:S 0 +37 *864:B *42:7 6.50586e-05 +*RES +1 *840:Y *42:7 14.4725 +2 *42:7 *913:S 25.3389 +3 *42:7 *42:19 5.15401 +4 *42:19 *938:S 22.671 +5 *42:19 *42:30 2.24725 +6 *42:30 *841:C 21.6824 +7 *42:30 *917:S 15.474 +*END + +*D_NET *43 0.00298588 +*CONN +*I *936:S I *D sky130_fd_sc_hd__mux2_1 +*I *930:S I *D sky130_fd_sc_hd__mux2_1 +*I *933:S I *D sky130_fd_sc_hd__mux2_1 +*I *877:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *936:S 0.00034437 +2 *930:S 0.000168701 +3 *933:S 0.000248607 +4 *877:Y 1.90278e-05 +5 *43:9 0.000676713 +6 *43:5 0.000622803 +7 *930:S *823:C 0 +8 *930:S *931:A1 4.9933e-05 +9 *930:S *226:17 2.82473e-05 +10 *933:S *807:A 0.000129488 +11 *933:S *808:B 9.24241e-05 +12 *933:S *823:C 0 +13 *933:S *235:21 1.39717e-06 +14 *933:S *245:30 5.48642e-05 +15 *936:S *936:A0 1.37189e-05 +16 *936:S *936:A1 0.000114594 +17 *936:S *937:A0 1.43848e-05 +18 *936:S *149:11 1.5962e-05 +19 *936:S *149:22 0.000161234 +20 *43:5 *149:11 1.05106e-05 +21 *43:9 *808:A 5.04829e-06 +22 *43:9 *149:11 0.000213852 +*RES +1 *877:Y *43:5 9.82786 +2 *43:5 *43:9 12.4332 +3 *43:9 *933:S 19.9294 +4 *43:9 *930:S 18.1049 +5 *43:5 *936:S 18.2916 +*END + +*D_NET *44 0.00277913 +*CONN +*I *919:S I *D sky130_fd_sc_hd__mux2_1 +*I *942:S I *D sky130_fd_sc_hd__mux2_1 +*I *878:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *919:S 0.00116412 +2 *942:S 5.85138e-05 +3 *878:Y 0 +4 *44:4 0.00122264 +5 *919:S *803:A1 1.43832e-05 +6 *919:S *965:D 9.14221e-05 +7 *919:S *965:CLK 5.29538e-05 +8 *919:S *142:9 1.00766e-05 +9 *942:S *908:B 1.03594e-05 +10 *942:S *142:6 2.8322e-05 +11 *942:S *250:14 0.000126335 +*RES +1 *878:Y *44:4 9.24915 +2 *44:4 *942:S 20.4964 +3 *44:4 *919:S 28.4915 +*END + +*D_NET *45 0.00151937 +*CONN +*I *922:S I *D sky130_fd_sc_hd__mux2_4 +*I *1027:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *779:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *922:S 0.000116244 +2 *1027:A 0 +3 *779:Y 2.09375e-05 +4 *45:5 0.000137181 +5 *922:S *756:A 0.000101133 +6 *922:S *779:A 0.000360331 +7 *922:S *787:A1 0.000375834 +8 *922:S *789:C 1.69932e-05 +9 *922:S *252:75 0.000188712 +10 *922:S *271:49 5.03545e-06 +11 *922:S *271:64 1.31528e-05 +12 *45:5 *779:A 6.64392e-05 +13 *45:5 *787:A1 0.000117376 +*RES +1 *779:Y *45:5 10.5271 +2 *45:5 *1027:A 9.24915 +3 *45:5 *922:S 25.7932 +*END + +*D_NET *46 0.00340898 +*CONN +*I *940:S I *D sky130_fd_sc_hd__mux2_1 +*I *927:S I *D sky130_fd_sc_hd__mux2_1 +*I *915:S I *D sky130_fd_sc_hd__mux2_1 +*I *782:C I *D sky130_fd_sc_hd__nand3_1 +*I *781:Y O *D sky130_fd_sc_hd__nor3b_2 +*CAP +1 *940:S 0.000157758 +2 *927:S 2.14443e-05 +3 *915:S 6.01261e-05 +4 *782:C 0.000195449 +5 *781:Y 0.000158676 +6 *46:31 0.000526342 +7 *46:8 0.000360426 +8 *46:6 0.000610667 +9 *782:C *787:A2 4.59725e-05 +10 *782:C *788:B1_N 0.000163309 +11 *782:C *133:16 0 +12 *915:S *271:72 0.000321918 +13 *927:S *928:A0 0 +14 *940:S *940:A0 0.000146646 +15 *940:S *941:A0 0.000101873 +16 *940:S *279:10 1.40978e-05 +17 *46:8 *133:16 0 +18 *46:31 *927:A1 3.59437e-05 +19 *46:31 *928:A0 2.10397e-05 +20 *46:31 *928:A1 5.18899e-06 +21 *46:31 *928:S 0.000121976 +22 *46:31 *940:A0 7.78069e-05 +23 *46:31 *941:A0 0.000167701 +24 *795:B *915:S 9.46208e-05 +*RES +1 *781:Y *46:6 17.2421 +2 *46:6 *46:8 2.24725 +3 *46:8 *782:C 19.0748 +4 *46:8 *915:S 17.2456 +5 *46:6 *46:31 12.6261 +6 *46:31 *927:S 9.82786 +7 *46:31 *940:S 14.4335 +*END + +*D_NET *47 0.00313754 +*CONN +*I *911:S I *D sky130_fd_sc_hd__mux2_1 +*I *923:S I *D sky130_fd_sc_hd__mux2_1 +*I *920:S I *D sky130_fd_sc_hd__mux2_1 +*I *879:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *911:S 0.000505403 +2 *923:S 0.000111384 +3 *920:S 3.41414e-05 +4 *879:Y 7.00136e-05 +5 *47:9 0.000288866 +6 *47:8 0.000718757 +7 *911:S *759:B 0.000226283 +8 *911:S *764:B 6.46815e-05 +9 *911:S *765:B1_N 0.000112367 +10 *911:S *912:A0 3.00084e-05 +11 *911:S *115:35 2.11196e-05 +12 *911:S *116:31 4.17467e-05 +13 *911:S *223:39 0.000211539 +14 *920:S *116:31 1.02267e-05 +15 *923:S *923:A0 5.1674e-06 +16 *923:S *112:15 0.00013832 +17 *47:8 *116:31 3.89004e-05 +18 *47:9 *920:A1 0.000211546 +19 *47:9 *116:31 0.00022815 +20 *765:A2 *911:S 6.8921e-05 +*RES +1 *879:Y *47:8 20.0811 +2 *47:8 *47:9 5.71483 +3 *47:9 *920:S 10.2378 +4 *47:9 *923:S 21.3269 +5 *47:8 *911:S 24.6575 +*END + +*D_NET *48 0.000258443 +*CONN +*I *882:B I *D sky130_fd_sc_hd__and2b_2 +*I *943:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *882:B 9.20114e-05 +2 *943:X 9.20114e-05 +3 *882:B *882:A_N 4.87439e-05 +4 *882:B *943:A0 2.56759e-05 +*RES +1 *943:X *882:B 20.8855 +*END + +*D_NET *49 0.000449365 +*CONN +*I *886:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *945:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *886:A2_N 0.000179028 +2 *945:X 0.000179028 +3 *886:A2_N *802:A 4.36956e-05 +4 *886:A2_N *945:A0 5.04829e-06 +5 *886:A2_N *252:10 4.25652e-05 +*RES +1 *945:X *886:A2_N 31.4388 +*END + +*D_NET *50 0.00156767 +*CONN +*I *917:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *871:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *869:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *917:A0 0.000285866 +2 *871:A1 4.14228e-05 +3 *869:Y 7.21448e-05 +4 *50:8 0.000399434 +5 *871:A1 *871:B1 4.87301e-05 +6 *871:A1 *231:17 0.000114584 +7 *917:A0 *871:A2 2.20702e-05 +8 *917:A0 *871:B1 4.58003e-05 +9 *917:A0 *918:A0 0.000107496 +10 *917:A0 *187:7 4.02438e-05 +11 *917:A0 *231:17 4.66492e-05 +12 *917:A0 *231:30 0.000260388 +13 *50:8 *869:A 7.50722e-05 +14 *50:8 *871:B1 7.77309e-06 +*RES +1 *869:Y *50:8 20.0811 +2 *50:8 *871:A1 11.1059 +3 *50:8 *917:A0 17.737 +*END + +*D_NET *51 0.000493543 +*CONN +*I *913:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *887:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *913:A0 0.000215579 +2 *887:Y 0.000215579 +3 *913:S *913:A0 6.23844e-05 +*RES +1 *887:Y *913:A0 21.9947 +*END + +*D_NET *52 0.000556052 +*CONN +*I *938:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *889:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *938:A0 0.000117808 +2 *889:X 0.000117808 +3 *938:S *938:A0 0.000320436 +*RES +1 *889:X *938:A0 22.5734 +*END + +*D_NET *53 0.00088937 +*CONN +*I *936:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *890:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *936:A0 0.000319975 +2 *890:Y 0.000319975 +3 *936:A0 *936:A1 2.99287e-05 +4 *936:A0 *234:11 0.000118796 +5 *936:A0 *234:21 1.55025e-05 +6 *936:A0 *245:9 7.14746e-05 +7 *936:S *936:A0 1.37189e-05 +*RES +1 *890:Y *936:A0 33.1348 +*END + +*D_NET *54 0.00039466 +*CONN +*I *933:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *891:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *933:A0 7.20721e-05 +2 *891:Y 7.20721e-05 +3 *933:A0 *807:A 1.04604e-05 +4 *933:A0 *891:B 0.000131349 +5 *933:A0 *291:28 0.000108707 +*RES +1 *891:Y *933:A0 30.1608 +*END + +*D_NET *55 0.00051259 +*CONN +*I *930:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *893:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *930:A0 0.000233495 +2 *893:X 0.000233495 +3 *930:A0 *806:A 4.55995e-05 +4 *930:A0 *931:S 0 +*RES +1 *893:X *930:A0 31.9934 +*END + +*D_NET *56 0.000418167 +*CONN +*I *932:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *894:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *932:A1 0.000119246 +2 *894:Y 0.000119246 +3 *932:A1 *225:32 6.64392e-05 +4 *932:A1 *227:18 0.000113237 +*RES +1 *894:Y *932:A1 30.4689 +*END + +*D_NET *57 0.00134943 +*CONN +*I *935:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *895:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *935:A1 0.000386858 +2 *895:Y 0.000386858 +3 *935:A1 *225:32 0.000457702 +4 *935:A1 *226:17 0.000118017 +*RES +1 *895:Y *935:A1 34.9058 +*END + +*D_NET *58 0.00183559 +*CONN +*I *915:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *799:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *797:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *915:A0 0.000318337 +2 *799:A1 2.06324e-05 +3 *797:Y 0.000124985 +4 *58:11 0.000463954 +5 *915:A0 *791:A 6.08467e-05 +6 *915:A0 *799:A2 5.90736e-05 +7 *915:A0 *799:B1 2.65667e-05 +8 *915:A0 *916:A0 0.000308596 +9 *915:A0 *138:5 4.58907e-05 +10 *915:A0 *257:34 4.41288e-05 +11 *915:A0 *271:72 0.000324166 +12 *58:11 *799:B1 3.8412e-05 +*RES +1 *797:Y *58:11 20.968 +2 *58:11 *799:A1 9.82786 +3 *58:11 *915:A0 19.9554 +*END + +*D_NET *59 0.000814159 +*CONN +*I *940:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *897:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *940:A0 0.000219311 +2 *897:Y 0.000219311 +3 *940:A0 *927:A0 7.26748e-05 +4 *940:A0 *927:A1 6.27782e-05 +5 *940:A0 *252:90 8.61152e-06 +6 *940:A0 *279:10 7.01935e-06 +7 *940:S *940:A0 0.000146646 +8 *46:31 *940:A0 7.78069e-05 +*RES +1 *897:Y *940:A0 34.9058 +*END + +*D_NET *60 0.000637905 +*CONN +*I *927:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *899:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *927:A0 0.000235336 +2 *899:X 0.000235336 +3 *927:A0 *899:B 3.17869e-05 +4 *927:A0 *927:A1 6.27718e-05 +5 *940:A0 *927:A0 7.26748e-05 +*RES +1 *899:X *927:A0 32.9604 +*END + +*D_NET *61 0.000442178 +*CONN +*I *911:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *900:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *911:A0 0.000168806 +2 *900:Y 0.000168806 +3 *911:A0 *759:B 2.8322e-05 +4 *911:A0 *900:A 2.58757e-05 +5 *911:A0 *223:39 2.8322e-05 +6 *911:A0 *250:29 2.20471e-05 +*RES +1 *900:Y *911:A0 30.7476 +*END + +*D_NET *62 0.000529606 +*CONN +*I *923:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *901:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *923:A0 0.000168895 +2 *901:Y 0.000168895 +3 *923:A0 *112:15 5.03545e-06 +4 *923:A0 *252:53 1.82679e-05 +5 *923:A0 *300:244 0.000163344 +6 *923:S *923:A0 5.1674e-06 +*RES +1 *901:Y *923:A0 31.854 +*END + +*D_NET *63 0.0010827 +*CONN +*I *920:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *903:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *920:A0 0.000143958 +2 *903:X 0.000143958 +3 *920:A0 *976:SET_B 0.000138271 +4 *920:A0 *112:15 0.000395635 +5 *920:A0 *300:244 0.000260878 +*RES +1 *903:X *920:A0 34.7286 +*END + +*D_NET *64 0.000319099 +*CONN +*I *919:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *904:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *919:A1 0.000143086 +2 *904:Y 0.000143086 +3 *919:A1 *738:S 3.17114e-05 +4 *919:A1 *253:13 1.21461e-06 +*RES +1 *904:Y *919:A1 30.4689 +*END + +*D_NET *65 0.000429573 +*CONN +*I *942:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *905:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *942:A1 0.000121314 +2 *905:Y 0.000121314 +3 *942:A1 *908:B 7.26748e-05 +4 *942:A1 *254:27 0.000114271 +*RES +1 *905:Y *942:A1 30.193 +*END + +*D_NET *66 0.000828308 +*CONN +*I *952:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *876:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *952:D 0.000213905 +2 *876:X 0.000213905 +3 *952:D *1006:A 0.000400499 +*RES +1 *876:X *952:D 24.605 +*END + +*D_NET *67 0.000964124 +*CONN +*I *971:D I *D sky130_fd_sc_hd__dfstp_1 +*I *874:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *971:D 0.000324006 +2 *874:Y 0.000324006 +3 *971:D *225:28 0.000316112 +*RES +1 *874:Y *971:D 33.6572 +*END + +*D_NET *68 0.00128411 +*CONN +*I *972:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *871:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *972:D 0.000561249 +2 *871:Y 0.000561249 +3 *972:D *871:B1 6.64392e-05 +4 *972:D *972:RESET_B 2.63639e-05 +5 *972:D *231:5 2.41916e-05 +6 *972:D *231:17 6.00782e-06 +7 *972:D *300:57 3.86121e-05 +*RES +1 *871:Y *972:D 32.1705 +*END + +*D_NET *69 0.000392971 +*CONN +*I *973:D I *D sky130_fd_sc_hd__dfstp_1 +*I *868:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *973:D 0.000155094 +2 *868:Y 0.000155094 +3 *973:D *868:B1 2.57986e-05 +4 *973:D *973:SET_B 5.69833e-05 +*RES +1 *868:Y *973:D 31.1923 +*END + +*D_NET *70 0.00109174 +*CONN +*I *974:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *865:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *974:D 0.000474403 +2 *865:Y 0.000474403 +3 *974:D *865:B1 6.92705e-05 +4 *974:D *974:RESET_B 6.87513e-05 +5 *974:D *233:5 4.91225e-06 +*RES +1 *865:Y *974:D 30.5067 +*END + +*D_NET *71 0.000375573 +*CONN +*I *975:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *861:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *975:D 0.00015506 +2 *861:X 0.00015506 +3 *975:D *861:A1 3.25584e-05 +4 *975:D *946:D 1.07248e-05 +5 *975:D *947:CLK 1.29348e-05 +6 *975:D *975:RESET_B 9.2346e-06 +*RES +1 *861:X *975:D 30.6083 +*END + +*D_NET *72 0.000405486 +*CONN +*I *976:D I *D sky130_fd_sc_hd__dfstp_2 +*I *854:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *976:D 0.00016901 +2 *854:X 0.00016901 +3 *976:D *854:A 5.48642e-05 +4 *976:D *976:SET_B 1.2601e-05 +5 *976:D *219:60 0 +6 *976:D *300:186 0 +*RES +1 *854:X *976:D 31.0235 +*END + +*D_NET *73 0.000409363 +*CONN +*I *977:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *852:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *977:D 0.000172468 +2 *852:X 0.000172468 +3 *977:D *852:A 1.60687e-05 +4 *977:D *1010:A 9.43222e-06 +5 *977:D *222:8 0 +6 *977:D *222:10 0 +7 *977:D *227:14 3.89261e-05 +*RES +1 *852:X *977:D 31.2994 +*END + +*D_NET *74 0.000907697 +*CONN +*I *978:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *850:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *978:D 0.000362915 +2 *850:X 0.000362915 +3 *978:D *850:A 6.36477e-05 +4 *978:D *300:353 0.000118218 +*RES +1 *850:X *978:D 28.0953 +*END + +*D_NET *75 0.000808858 +*CONN +*I *979:D I *D sky130_fd_sc_hd__dfstp_1 +*I *848:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *979:D 0.000142512 +2 *848:Y 0.000142512 +3 *979:D *815:A 9.25054e-05 +4 *979:D *979:CLK 0.00016386 +5 *979:D *156:31 2.41961e-05 +6 *19:20 *979:D 0.000243272 +*RES +1 *848:Y *979:D 25.3464 +*END + +*D_NET *76 0.00152431 +*CONN +*I *980:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *836:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *980:D 0.000597177 +2 *836:X 0.000597177 +3 *980:D *980:RESET_B 0.000105389 +4 *980:D *219:23 0.000171419 +5 *980:D *237:9 5.31465e-05 +*RES +1 *836:X *980:D 29.9762 +*END + +*D_NET *77 0.000401309 +*CONN +*I *981:D I *D sky130_fd_sc_hd__dfstp_1 +*I *835:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *981:D 0.00019917 +2 *835:Y 0.00019917 +3 *981:D *835:B1 2.96784e-06 +4 *981:D *300:41 0 +5 *981:D *300:43 0 +*RES +1 *835:Y *981:D 31.0235 +*END + +*D_NET *78 0.00137246 +*CONN +*I *982:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *832:Y O *D sky130_fd_sc_hd__o2bb2ai_1 +*CAP +1 *982:D 0.000550487 +2 *832:Y 0.000550487 +3 *982:D *825:A 3.49029e-05 +4 *982:D *825:B 2.46803e-05 +5 *982:D *846:C1 7.26606e-05 +6 *982:D *166:10 0 +7 *982:D *219:17 0.000139242 +*RES +1 *832:Y *982:D 38.5306 +*END + +*D_NET *79 0.000430519 +*CONN +*I *983:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *824:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *983:D 0.000115236 +2 *824:X 0.000115236 +3 *983:D *983:CLK 0.000130777 +4 *983:D *291:28 6.92705e-05 +*RES +1 *824:X *983:D 31.2994 +*END + +*D_NET *80 0.000567052 +*CONN +*I *984:D I *D sky130_fd_sc_hd__dfstp_1 +*I *822:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *984:D 0.000219936 +2 *822:X 0.000219936 +3 *984:D *821:C 0.000127179 +*RES +1 *822:X *984:D 33.242 +*END + +*D_NET *81 0.00104632 +*CONN +*I *985:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *820:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *985:D 0.000253031 +2 *820:X 0.000253031 +3 *985:D *821:C 0 +4 *985:D *984:CLK 6.1252e-05 +5 *985:D *245:42 0.000479007 +*RES +1 *820:X *985:D 36.1515 +*END + +*D_NET *82 0.00105704 +*CONN +*I *986:D I *D sky130_fd_sc_hd__dfstp_1 +*I *817:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *986:D 0.000366716 +2 *817:Y 0.000366716 +3 *986:D *883:A2 0 +4 *986:D *156:31 0.000217995 +5 *986:D *243:10 0.000105615 +*RES +1 *817:Y *986:D 38.788 +*END + +*D_NET *83 0.00122252 +*CONN +*I *987:D I *D sky130_fd_sc_hd__dfstp_1 +*I *805:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *987:D 0.000228702 +2 *805:Y 0.000228702 +3 *987:D *802:A 8.859e-05 +4 *987:D *223:89 6.08467e-05 +5 *987:D *251:17 2.16355e-05 +6 *987:D *315:10 0 +7 *7:15 *987:D 0.00013646 +8 *8:8 *987:D 0.000457582 +*RES +1 *805:Y *987:D 36.0444 +*END + +*D_NET *84 0.00103731 +*CONN +*I *988:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *799:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *988:D 0.000416713 +2 *799:Y 0.000416713 +3 *988:D *799:B1 6.92705e-05 +4 *988:D *988:RESET_B 1.22347e-05 +5 *988:D *257:5 0.000122378 +*RES +1 *799:Y *988:D 29.3975 +*END + +*D_NET *85 0.000561492 +*CONN +*I *989:D I *D sky130_fd_sc_hd__dfstp_1 +*I *796:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *989:D 0.000199907 +2 *796:Y 0.000199907 +3 *989:D *785:A 3.13805e-06 +4 *989:D *785:B 2.89935e-05 +5 *989:D *785:C 1.55025e-05 +6 *989:D *794:A 2.29454e-05 +7 *989:D *796:A1 7.06733e-06 +8 *989:D *796:B1 1.55025e-05 +9 *989:D *989:CLK 2.85997e-05 +10 *989:D *259:13 2.57986e-05 +11 *989:D *300:19 1.41307e-05 +*RES +1 *796:Y *989:D 33.1803 +*END + +*D_NET *86 0.000647441 +*CONN +*I *990:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *793:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *990:D 0.000307632 +2 *793:Y 0.000307632 +3 *990:D *793:B1 4.30017e-06 +4 *990:D *220:41 2.78772e-05 +*RES +1 *793:Y *990:D 32.8267 +*END + +*D_NET *87 0.000595198 +*CONN +*I *991:D I *D sky130_fd_sc_hd__dfstp_1 +*I *789:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *991:D 0.000245872 +2 *789:Y 0.000245872 +3 *991:D *789:A 1.63255e-05 +4 *991:D *1008:A 8.71291e-05 +*RES +1 *789:Y *991:D 24.7918 +*END + +*D_NET *88 0.000809744 +*CONN +*I *992:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *777:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *992:D 0.000390933 +2 *777:X 0.000390933 +3 *992:D *220:25 2.78772e-05 +*RES +1 *777:X *992:D 33.9359 +*END + +*D_NET *89 0.000960432 +*CONN +*I *993:D I *D sky130_fd_sc_hd__dfstp_1 +*I *776:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *993:D 0.000294679 +2 *776:Y 0.000294679 +3 *993:D *997:RESET_B 0.000277488 +4 *993:D *220:13 6.3657e-05 +5 *993:D *300:40 2.99287e-05 +6 *993:D *300:99 0 +*RES +1 *776:Y *993:D 33.826 +*END + +*D_NET *90 0.00113971 +*CONN +*I *994:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *773:Y O *D sky130_fd_sc_hd__o2bb2ai_1 +*CAP +1 *994:D 0.000516668 +2 *773:Y 0.000516668 +3 *994:D *773:B1 7.621e-05 +4 *994:D *220:25 3.01634e-05 +*RES +1 *773:Y *994:D 36.1543 +*END + +*D_NET *91 0.000867234 +*CONN +*I *995:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *765:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *995:D 0.000276592 +2 *765:X 0.000276592 +3 *995:D *759:B 0.000273907 +4 *995:D *995:CLK 4.01437e-05 +5 *995:D *222:118 0 +*RES +1 *765:X *995:D 33.5179 +*END + +*D_NET *92 0.000912612 +*CONN +*I *996:D I *D sky130_fd_sc_hd__dfstp_1 +*I *763:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *996:D 0.000278051 +2 *763:X 0.000278051 +3 *996:D *760:B 2.01028e-05 +4 *996:D *220:9 0.000304382 +5 *996:D *300:141 1.78942e-05 +6 *18:27 *996:D 1.41307e-05 +*RES +1 *763:X *996:D 34.5143 +*END + +*D_NET *93 0.000329224 +*CONN +*I *997:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *761:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *997:D 9.70407e-05 +2 *761:X 9.70407e-05 +3 *997:D *997:RESET_B 0.000107266 +4 *997:D *997:CLK 2.78772e-05 +*RES +1 *761:X *997:D 30.0537 +*END + +*D_NET *94 0.00166321 +*CONN +*I *998:D I *D sky130_fd_sc_hd__dfstp_1 +*I *759:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *998:D 0.000533605 +2 *759:Y 0.000533605 +3 *998:D *742:A 6.87029e-05 +4 *998:D *750:A1 3.17989e-05 +5 *998:D *750:A2 3.90179e-05 +6 *998:D *885:B 4.6331e-05 +7 *998:D *949:D 9.89388e-06 +8 *998:D *998:CLK 0.000114594 +9 *998:D *113:7 5.28741e-05 +10 *998:D *223:34 0 +11 *998:D *250:34 0.000232785 +*RES +1 *759:Y *998:D 38.6753 +*END + +*D_NET *95 0.00299263 +*CONN +*I *999:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *750:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *999:D 0.00111261 +2 *750:X 0.00111261 +3 *999:D *742:A 0.000237716 +4 *999:D *746:B1 6.45914e-05 +5 *999:D *759:A 4.37298e-05 +6 *999:D *925:A1 0 +7 *999:D *926:A1 6.80367e-05 +8 *999:D *999:CLK 2.57986e-05 +9 *999:D *222:118 0.000103124 +10 *999:D *250:41 0.00011818 +11 *999:D *251:17 6.3657e-05 +12 *999:D *252:25 0 +13 *999:D *271:10 4.25796e-05 +*RES +1 *750:X *999:D 43.2184 +*END + +*D_NET *96 0.000402723 +*CONN +*I *1000:D I *D sky130_fd_sc_hd__dfstp_1 +*I *739:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1000:D 0.000201362 +2 *739:X 0.000201362 +*RES +1 *739:X *1000:D 22.5975 +*END + +*D_NET *97 0.000555983 +*CONN +*I *1001:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *737:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1001:D 0.00018783 +2 *737:X 0.00018783 +3 *1001:D *737:A 5.45523e-05 +4 *1001:D *925:A1 0.000125771 +*RES +1 *737:X *1001:D 32.6874 +*END + +*D_NET *98 0.00148294 +*CONN +*I *1002:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *909:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1002:D 0.000651259 +2 *909:X 0.000651259 +3 *1002:D *1002:RESET_B 8.3866e-06 +4 *1002:D *1002:CLK 7.21184e-06 +5 *1004:A *1002:D 0.000164829 +*RES +1 *909:X *1002:D 28.1677 +*END + +*D_NET *99 0.000642839 +*CONN +*I *737:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *736:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *737:A 0.000255949 +2 *736:X 0.000255949 +3 *737:A *925:A1 6.2005e-05 +4 *737:A *1001:RESET_B 0 +5 *737:A *250:21 1.43832e-05 +6 *1001:D *737:A 5.45523e-05 +*RES +1 *736:X *737:A 34.2062 +*END + +*D_NET *100 0.000755008 +*CONN +*I *739:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *738:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *739:A 0.000193483 +2 *738:X 0.000193483 +3 *739:A *223:98 3.30805e-05 +4 *739:A *253:19 0.000208627 +5 *739:A *300:443 0.000126335 +*RES +1 *738:X *739:A 32.1327 +*END + +*D_NET *101 0.00192034 +*CONN +*I *742:A I *D sky130_fd_sc_hd__nand3_1 +*I *740:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *742:A 0.000654721 +2 *740:X 0.000654721 +3 *742:A *742:B 0.000198094 +4 *742:A *745:A1 0 +5 *742:A *746:B1 5.94407e-05 +6 *742:A *949:D 4.49015e-05 +7 *742:A *222:118 2.0456e-06 +8 *998:D *742:A 6.87029e-05 +9 *999:D *742:A 0.000237716 +*RES +1 *740:X *742:A 41.2826 +*END + +*D_NET *102 0.00204005 +*CONN +*I *742:B I *D sky130_fd_sc_hd__nand3_1 +*I *741:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *742:B 0.0007624 +2 *741:X 0.0007624 +3 *742:B *741:B_N 0 +4 *742:B *742:C 6.11495e-05 +5 *742:B *944:S 0.000137265 +6 *742:B *104:13 0 +7 *742:B *222:118 9.67844e-05 +8 *742:B *293:24 2.19586e-05 +9 *742:A *742:B 0.000198094 +*RES +1 *741:X *742:B 45.6379 +*END + +*D_NET *103 0.000150559 +*CONN +*I *750:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *742:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *750:A1 4.19632e-05 +2 *742:Y 4.19632e-05 +3 *750:A1 *750:A2 3.05657e-05 +4 *750:A1 *250:41 4.26833e-06 +5 *998:D *750:A1 3.17989e-05 +*RES +1 *742:Y *750:A1 19.9933 +*END + +*D_NET *104 0.00376894 +*CONN +*I *746:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *743:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *746:A1 0 +2 *743:Y 0.00156215 +3 *104:13 0.00156215 +4 *104:13 *742:C 3.61045e-05 +5 *104:13 *746:A2 7.71614e-05 +6 *104:13 *759:A 2.33103e-06 +7 *104:13 *759:B 2.1203e-06 +8 *104:13 *875:A0 4.29314e-06 +9 *104:13 *885:B 3.56578e-05 +10 *104:13 *926:S 0 +11 *104:13 *944:A0 0.00018121 +12 *104:13 *970:RESET_B 0 +13 *104:13 *998:SET_B 0 +14 *104:13 *1006:A 4.23966e-05 +15 *104:13 *1009:A 8.95725e-05 +16 *104:13 *223:34 2.87279e-05 +17 *104:13 *300:273 9.20406e-05 +18 *742:B *104:13 0 +19 *4:10 *104:13 5.30117e-05 +*RES +1 *743:Y *104:13 47.057 +2 *104:13 *746:A1 9.24915 +*END + +*D_NET *105 0.00269636 +*CONN +*I *746:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *744:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *746:A2 0.00102133 +2 *744:X 0.00102133 +3 *746:A2 *755:A_N 5.52874e-05 +4 *746:A2 *755:B 0.000139041 +5 *746:A2 *755:C 3.00084e-05 +6 *746:A2 *759:B 1.75264e-05 +7 *746:A2 *998:CLK 0.000142632 +8 *746:A2 *113:7 2.56295e-05 +9 *746:A2 *223:34 0.000166411 +10 *104:13 *746:A2 7.71614e-05 +*RES +1 *744:X *746:A2 46.7801 +*END + +*D_NET *106 0.00237203 +*CONN +*I *746:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *755:C I *D sky130_fd_sc_hd__nand3b_1 +*I *745:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *746:B1 0.00049227 +2 *755:C 0.000280337 +3 *745:X 8.24176e-06 +4 *106:6 0.000780848 +5 *746:B1 *742:C 2.02035e-05 +6 *746:B1 *759:A 0.000515956 +7 *746:B1 *223:34 2.02035e-05 +8 *746:B1 *252:25 1.28961e-05 +9 *755:C *743:B 0 +10 *755:C *745:A2 0 +11 *755:C *755:B 1.41976e-05 +12 *755:C *951:CLK 1.66771e-05 +13 *755:C *250:53 3.70205e-05 +14 *755:C *252:25 0 +15 *755:C *252:33 0 +16 *106:6 *743:B 0 +17 *106:6 *951:CLK 1.91391e-05 +18 *742:A *746:B1 5.94407e-05 +19 *746:A2 *755:C 3.00084e-05 +20 *999:D *746:B1 6.45914e-05 +*RES +1 *745:X *106:6 14.1278 +2 *106:6 *755:C 20.1611 +3 *106:6 *746:B1 22.1391 +*END + +*D_NET *107 0.000601121 +*CONN +*I *750:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *746:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *750:A2 0.000106826 +2 *746:Y 0.000106826 +3 *750:A2 *885:B 0.000315473 +4 *750:A2 *250:41 2.41274e-06 +5 *750:A1 *750:A2 3.05657e-05 +6 *998:D *750:A2 3.90179e-05 +*RES +1 *746:Y *750:A2 22.9833 +*END + +*D_NET *108 0.00282285 +*CONN +*I *779:A I *D sky130_fd_sc_hd__nor2_1 +*I *757:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *749:A I *D sky130_fd_sc_hd__nand2_2 +*I *747:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *779:A 0.000245191 +2 *757:A 0 +3 *749:A 0.000177093 +4 *747:Y 6.26441e-05 +5 *108:18 0.000337876 +6 *108:5 0.000332422 +7 *749:A *749:B 3.60363e-05 +8 *749:A *756:A 0.000457768 +9 *749:A *250:62 7.76105e-06 +10 *749:A *271:64 0.000110306 +11 *779:A *787:A1 9.46767e-05 +12 *779:A *115:16 5.481e-05 +13 *108:5 *250:53 6.64392e-05 +14 *108:5 *250:62 7.32228e-05 +15 *108:18 *220:9 7.44794e-06 +16 *108:18 *271:64 8.92089e-05 +17 *922:S *779:A 0.000360331 +18 *18:15 *749:A 0.000209835 +19 *18:27 *749:A 2.16355e-05 +20 *20:9 *749:A 1.17054e-05 +21 *45:5 *779:A 6.64392e-05 +*RES +1 *747:Y *108:5 11.0817 +2 *108:5 *749:A 17.2065 +3 *108:5 *108:18 11.2472 +4 *108:18 *757:A 9.24915 +5 *108:18 *779:A 17.2065 +*END + +*D_NET *109 0.00435972 +*CONN +*I *790:B I *D sky130_fd_sc_hd__nand2_1 +*I *756:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *749:B I *D sky130_fd_sc_hd__nand2_2 +*I *769:B I *D sky130_fd_sc_hd__nand3b_1 +*I *748:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *790:B 8.34092e-05 +2 *756:A 0.000370552 +3 *749:B 3.5309e-05 +4 *769:B 0.000252187 +5 *748:Y 0 +6 *109:19 0.000867804 +7 *109:8 0.000745221 +8 *109:5 0.000114499 +9 *756:A *789:C 3.67708e-05 +10 *756:A *220:9 2.15801e-05 +11 *756:A *271:64 8.62625e-06 +12 *769:B *769:A_N 4.94e-06 +13 *769:B *772:A 0 +14 *769:B *302:11 0.000308905 +15 *790:B *768:A1 4.70104e-05 +16 *790:B *993:SET_B 0.000267933 +17 *790:B *271:72 3.20407e-05 +18 *790:B *271:74 0.000192407 +19 *109:8 *768:A1 7.50872e-05 +20 *109:8 *993:SET_B 7.50872e-05 +21 *109:19 *302:11 9.43286e-05 +22 *749:A *749:B 3.60363e-05 +23 *749:A *756:A 0.000457768 +24 *769:C *109:19 2.41827e-05 +25 *922:S *756:A 0.000101133 +26 *20:9 *749:B 2.99287e-05 +27 *20:9 *756:A 6.78364e-06 +28 *20:9 *109:19 7.01912e-05 +*RES +1 *748:Y *109:5 13.7491 +2 *109:5 *109:8 5.91674 +3 *109:8 *769:B 22.7471 +4 *109:8 *109:19 7.37864 +5 *109:19 *749:B 10.9612 +6 *109:19 *756:A 25.796 +7 *109:5 *790:B 18.4879 +*END + +*D_NET *110 0.000235236 +*CONN +*I *753:A I *D sky130_fd_sc_hd__nand3_1 +*I *751:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *753:A 4.40987e-05 +2 *751:Y 4.40987e-05 +3 *753:A *752:A 2.43615e-05 +4 *753:A *753:B 0.000122677 +*RES +1 *751:Y *753:A 20.3671 +*END + +*D_NET *111 0.000711474 +*CONN +*I *753:B I *D sky130_fd_sc_hd__nand3_1 +*I *752:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *753:B 0.000234667 +2 *752:Y 0.000234667 +3 *753:B *752:A 4.86982e-06 +4 *753:B *1010:A 0.000114594 +5 *753:A *753:B 0.000122677 +*RES +1 *752:Y *753:B 22.5734 +*END + +*D_NET *112 0.0038606 +*CONN +*I *879:A I *D sky130_fd_sc_hd__inv_2 +*I *758:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *755:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *753:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *879:A 0 +2 *758:A2 0.000179305 +3 *755:A_N 0.000189018 +4 *753:Y 0.000437486 +5 *112:27 0.000208494 +6 *112:15 0.000655693 +7 *755:A_N *920:A1 9.67138e-05 +8 *755:A_N *250:53 9.46346e-05 +9 *755:A_N *252:33 5.03545e-06 +10 *758:A2 *758:A1 4.31603e-06 +11 *758:A2 *764:A 9.95922e-06 +12 *758:A2 *116:31 1.88422e-05 +13 *758:A2 *116:39 4.38909e-05 +14 *758:A2 *271:29 0.000189638 +15 *112:15 *752:A 0.000114271 +16 *112:15 *753:C 1.43832e-05 +17 *112:15 *976:SET_B 1.22289e-05 +18 *112:15 *1007:A 3.88268e-05 +19 *112:15 *216:8 0 +20 *112:15 *271:29 0.000293654 +21 *112:15 *300:244 4.34841e-05 +22 *112:27 *271:29 0.000161703 +23 *746:A2 *755:A_N 5.52874e-05 +24 *920:A0 *112:15 0.000395635 +25 *923:A0 *112:15 5.03545e-06 +26 *923:S *112:15 0.00013832 +27 *18:43 *112:15 0.000355341 +28 *18:43 *112:27 9.9406e-05 +*RES +1 *753:Y *112:15 35.0342 +2 *112:15 *755:A_N 24.5446 +3 *112:15 *112:27 1.8326 +4 *112:27 *758:A2 14.4576 +5 *112:27 *879:A 9.24915 +*END + +*D_NET *113 0.00290192 +*CONN +*I *755:B I *D sky130_fd_sc_hd__nand3b_1 +*I *758:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *754:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *755:B 0.000140535 +2 *758:B1_N 4.15827e-05 +3 *754:Y 0.000903597 +4 *113:7 0.00108572 +5 *755:B *759:A 0.000105182 +6 *755:B *271:10 5.96881e-05 +7 *758:B1_N *271:10 6.74955e-05 +8 *113:7 *754:A 2.05783e-05 +9 *113:7 *885:A 1.10348e-05 +10 *113:7 *998:CLK 9.92226e-05 +11 *746:A2 *755:B 0.000139041 +12 *746:A2 *113:7 2.56295e-05 +13 *750:B1 *755:B 6.8054e-05 +14 *750:B1 *758:B1_N 6.74955e-05 +15 *755:C *755:B 1.41976e-05 +16 *998:D *113:7 5.28741e-05 +*RES +1 *754:Y *113:7 27.2284 +2 *113:7 *758:B1_N 15.1659 +3 *113:7 *755:B 19.2169 +*END + +*D_NET *114 0.00250008 +*CONN +*I *759:A I *D sky130_fd_sc_hd__nand3_1 +*I *755:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *759:A 0.000702638 +2 *755:Y 0.000702638 +3 *759:A *885:B 0.000118166 +4 *759:A *998:SET_B 5.38612e-06 +5 *759:A *252:25 9.06214e-05 +6 *759:A *271:10 0.00010906 +7 *746:B1 *759:A 0.000515956 +8 *750:B1 *759:A 0.000104372 +9 *755:B *759:A 0.000105182 +10 *999:D *759:A 4.37298e-05 +11 *104:13 *759:A 2.33103e-06 +*RES +1 *755:Y *759:A 42.0711 +*END + +*D_NET *115 0.00975525 +*CONN +*I *762:B I *D sky130_fd_sc_hd__nand3_1 +*I *759:B I *D sky130_fd_sc_hd__nand3_1 +*I *764:B I *D sky130_fd_sc_hd__nand3_1 +*I *760:B I *D sky130_fd_sc_hd__nand3_1 +*I *789:C I *D sky130_fd_sc_hd__nand3_1 +*I *756:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *762:B 0 +2 *759:B 0.000743899 +3 *764:B 4.70365e-05 +4 *760:B 0.000209665 +5 *789:C 0.000124997 +6 *756:X 0 +7 *115:35 0.00193919 +8 *115:20 0.00140407 +9 *115:16 0.000655922 +10 *115:4 0.000734774 +11 *759:B *759:C 0.000109596 +12 *759:B *900:A 5.38612e-06 +13 *759:B *911:A1 5.6562e-05 +14 *759:B *995:CLK 7.7502e-05 +15 *759:B *223:34 0 +16 *759:B *223:39 0 +17 *760:B *116:10 3.28433e-06 +18 *760:B *116:31 0.000116755 +19 *760:B *262:18 0.000102564 +20 *789:C *220:9 0.000219009 +21 *789:C *220:79 4.53418e-05 +22 *789:C *252:75 2.54463e-05 +23 *789:C *252:90 2.08593e-05 +24 *115:16 *747:A1 0.000137336 +25 *115:16 *763:B1_N 7.60183e-05 +26 *115:16 *787:A1 2.86425e-05 +27 *115:16 *901:A 0.000105382 +28 *115:16 *116:10 0.000248316 +29 *115:16 *252:65 0.0001195 +30 *115:16 *261:31 5.03545e-06 +31 *115:20 *116:10 2.81678e-06 +32 *115:20 *261:31 2.8692e-05 +33 *115:35 *116:31 0.00138491 +34 *746:A2 *759:B 1.75264e-05 +35 *756:A *789:C 3.67708e-05 +36 *765:A2 *759:B 0.000214105 +37 *779:A *115:16 5.481e-05 +38 *911:A0 *759:B 2.8322e-05 +39 *911:S *759:B 0.000226283 +40 *911:S *764:B 6.46815e-05 +41 *911:S *115:35 2.11196e-05 +42 *922:S *789:C 1.69932e-05 +43 *995:D *759:B 0.000273907 +44 *996:D *760:B 2.01028e-05 +45 *104:13 *759:B 2.1203e-06 +*RES +1 *756:X *115:4 9.24915 +2 *115:4 *789:C 22.9879 +3 *115:4 *115:16 18.5515 +4 *115:16 *115:20 5.91674 +5 *115:20 *760:B 22.94 +6 *115:20 *115:35 20.1345 +7 *115:35 *764:B 11.3711 +8 *115:35 *759:B 39.4635 +9 *115:16 *762:B 13.7491 +*END + +*D_NET *116 0.00955293 +*CONN +*I *762:A I *D sky130_fd_sc_hd__nand3_1 +*I *886:B1 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *764:A I *D sky130_fd_sc_hd__nand3_1 +*I *758:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *760:A I *D sky130_fd_sc_hd__nand3_1 +*I *757:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *762:A 1.18025e-05 +2 *886:B1 0.0011304 +3 *764:A 0.000132712 +4 *758:A1 1.55759e-05 +5 *760:A 1.82143e-05 +6 *757:X 0.000405295 +7 *116:39 0.00129651 +8 *116:31 0.000576589 +9 *116:18 0.000578344 +10 *116:17 5.07295e-05 +11 *116:10 0.000435311 +12 *760:A *921:A0 0.000112698 +13 *760:A *262:18 0.000114909 +14 *762:A *921:A0 6.08985e-05 +15 *762:A *262:18 4.88136e-05 +16 *764:A *900:A 3.77964e-05 +17 *764:A *912:S 9.12416e-06 +18 *764:A *260:44 3.44412e-06 +19 *886:B1 *912:S 0.000307121 +20 *886:B1 *925:A1 0.000313163 +21 *886:B1 *942:A0 0 +22 *886:B1 *250:21 0.000272411 +23 *886:B1 *250:29 0.000119336 +24 *886:B1 *252:10 0 +25 *116:10 *747:A1 3.39313e-06 +26 *116:10 *787:A1 2.7837e-05 +27 *116:10 *252:65 0.000117754 +28 *116:31 *900:A 5.85645e-05 +29 *116:31 *920:A1 1.6732e-05 +30 *116:31 *921:A0 5.14919e-05 +31 *116:31 *260:44 1.37566e-05 +32 *116:31 *262:18 0.000501772 +33 *116:31 *271:29 8.95297e-05 +34 *758:A2 *758:A1 4.31603e-06 +35 *758:A2 *764:A 9.95922e-06 +36 *758:A2 *116:31 1.88422e-05 +37 *758:A2 *116:39 4.38909e-05 +38 *760:B *116:10 3.28433e-06 +39 *760:B *116:31 0.000116755 +40 *765:A2 *764:A 3.64672e-05 +41 *911:S *116:31 4.17467e-05 +42 *920:S *116:31 1.02267e-05 +43 *18:49 *886:B1 0.000159032 +44 *18:49 *116:31 0.000109427 +45 *18:49 *116:39 0.00016386 +46 *47:8 *116:31 3.89004e-05 +47 *47:9 *116:31 0.00022815 +48 *115:16 *116:10 0.000248316 +49 *115:20 *116:10 2.81678e-06 +50 *115:35 *116:31 0.00138491 +*RES +1 *757:X *116:10 28.8337 +2 *116:10 *760:A 10.5271 +3 *116:10 *116:17 9.24915 +4 *116:17 *116:18 57.9449 +5 *116:18 *116:31 43.7628 +6 *116:31 *758:A1 9.82786 +7 *116:31 *116:39 1.8326 +8 *116:39 *764:A 21.9056 +9 *116:39 *886:B1 41.7827 +10 *116:10 *762:A 9.97254 +*END + +*D_NET *117 0.00232538 +*CONN +*I *759:C I *D sky130_fd_sc_hd__nand3_1 +*I *758:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *759:C 0.000715808 +2 *758:Y 0.000715808 +3 *759:C *900:A 0.000282038 +4 *759:C *998:SET_B 0.000130153 +5 *759:C *252:25 0.000364853 +6 *759:C *271:10 7.12632e-06 +7 *759:B *759:C 0.000109596 +*RES +1 *758:Y *759:C 41.0641 +*END + +*D_NET *118 0.00119037 +*CONN +*I *761:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *760:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *761:B1_N 0.00043238 +2 *760:Y 0.00043238 +3 *761:B1_N *921:A0 0.000299864 +4 *761:A1 *761:B1_N 2.0696e-05 +5 *761:A2 *761:B1_N 5.04829e-06 +*RES +1 *760:Y *761:B1_N 25.3223 +*END + +*D_NET *119 0.000208739 +*CONN +*I *763:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *762:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *763:B1_N 2.83512e-05 +2 *762:Y 2.83512e-05 +3 *763:B1_N *901:A 7.60183e-05 +4 *115:16 *763:B1_N 7.60183e-05 +*RES +1 *762:Y *763:B1_N 28.915 +*END + +*D_NET *120 0.000260419 +*CONN +*I *765:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *764:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *765:B1_N 7.40256e-05 +2 *764:Y 7.40256e-05 +3 *911:S *765:B1_N 0.000112367 +*RES +1 *764:Y *765:B1_N 19.7763 +*END + +*D_NET *121 0.000315039 +*CONN +*I *768:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *766:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *768:A2 9.32772e-05 +2 *766:Y 9.32772e-05 +3 *768:A2 *766:B 7.87295e-05 +4 *768:A2 *768:A1 1.37189e-05 +5 *768:A2 *263:23 3.60363e-05 +*RES +1 *766:Y *768:A2 21.4642 +*END + +*D_NET *122 0.00150628 +*CONN +*I *768:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *777:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *767:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *768:B1_N 1.15984e-05 +2 *777:A1 0.000180366 +3 *767:Y 6.04642e-05 +4 *122:8 0.000252429 +5 *768:B1_N *775:B 2.58757e-05 +6 *768:B1_N *263:23 4.95892e-05 +7 *777:A1 *775:B 6.55124e-05 +8 *777:A1 *777:A2 0.00025821 +9 *777:A1 *263:23 0.000354476 +10 *122:8 *769:A_N 7.0179e-06 +11 *122:8 *773:A2_N 0.000143041 +12 *122:8 *775:B 9.76996e-05 +*RES +1 *767:Y *122:8 20.9116 +2 *122:8 *777:A1 16.0732 +3 *122:8 *768:B1_N 9.97254 +*END + +*D_NET *123 0.0020729 +*CONN +*I *773:A2_N I *D sky130_fd_sc_hd__o2bb2ai_1 +*I *776:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *768:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *773:A2_N 0.0002702 +2 *776:B1 9.5708e-05 +3 *768:Y 0.000136147 +4 *123:7 0.000502055 +5 *773:A2_N *772:A 3.20264e-05 +6 *773:A2_N *773:A1_N 6.08467e-05 +7 *773:A2_N *773:B1 6.08467e-05 +8 *773:A2_N *773:B2 5.10138e-05 +9 *773:A2_N *775:B 3.16904e-05 +10 *773:A2_N *776:A1 4.41115e-05 +11 *773:A2_N *779:B 1.22289e-05 +12 *773:A2_N *124:5 0.000335386 +13 *773:A2_N *126:9 3.23874e-05 +14 *776:B1 *776:A2 5.27799e-05 +15 *123:7 *263:23 0.000212432 +16 *122:8 *773:A2_N 0.000143041 +*RES +1 *768:Y *123:7 16.1364 +2 *123:7 *776:B1 16.4116 +3 *123:7 *773:A2_N 23.5088 +*END + +*D_NET *124 0.00226554 +*CONN +*I *777:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *773:B1 I *D sky130_fd_sc_hd__o2bb2ai_1 +*I *769:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *777:B1_N 0.00012224 +2 *773:B1 0.000166253 +3 *769:Y 0.000375186 +4 *124:5 0.000663679 +5 *773:B1 *773:B2 4.84441e-05 +6 *777:B1_N *773:A1_N 4.94633e-05 +7 *777:B1_N *300:111 0.000239417 +8 *124:5 *126:9 1.32618e-05 +9 *124:5 *302:11 0.000115157 +10 *773:A2_N *773:B1 6.08467e-05 +11 *773:A2_N *124:5 0.000335386 +12 *994:D *773:B1 7.621e-05 +*RES +1 *769:Y *124:5 15.5186 +2 *124:5 *773:B1 13.903 +3 *124:5 *777:B1_N 22.5727 +*END + +*D_NET *125 0.000669795 +*CONN +*I *772:A I *D sky130_fd_sc_hd__nand2_1 +*I *770:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *772:A 0.000173607 +2 *770:Y 0.000173607 +3 *772:A *779:B 0.000290555 +4 *769:B *772:A 0 +5 *773:A2_N *772:A 3.20264e-05 +*RES +1 *770:Y *772:A 31.5781 +*END + +*D_NET *126 0.001796 +*CONN +*I *772:B I *D sky130_fd_sc_hd__nand2_1 +*I *776:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *771:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *772:B 3.6352e-05 +2 *776:A1 0.000201343 +3 *771:Y 0.000422959 +4 *126:9 0.000660654 +5 *776:A1 *773:B2 2.86829e-05 +6 *776:A1 *776:A2 0.000126335 +7 *776:A1 *263:8 2.46803e-05 +8 *126:9 *773:B2 6.08467e-05 +9 *126:9 *302:11 6.24582e-05 +10 *769:C *126:9 8.19236e-05 +11 *773:A2_N *776:A1 4.41115e-05 +12 *773:A2_N *126:9 3.23874e-05 +13 *124:5 *126:9 1.32618e-05 +*RES +1 *771:Y *126:9 17.9299 +2 *126:9 *776:A1 24.2337 +3 *126:9 *772:B 10.2378 +*END + +*D_NET *127 0.00370429 +*CONN +*I *779:B I *D sky130_fd_sc_hd__nor2_1 +*I *773:B2 I *D sky130_fd_sc_hd__o2bb2ai_1 +*I *772:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *779:B 0.000810058 +2 *773:B2 0.000402552 +3 *772:Y 0 +4 *127:5 0.00121261 +5 *773:B2 *773:A1_N 1.43832e-05 +6 *779:B *773:A1_N 0 +7 *779:B *786:A 0.000204502 +8 *779:B *786:B 6.36477e-05 +9 *779:B *787:A1 2.84048e-05 +10 *779:B *787:B1 5.07314e-05 +11 *779:B *787:C1 0.000148132 +12 *779:B *265:17 0.000277502 +13 *779:B *300:111 0 +14 *772:A *779:B 0.000290555 +15 *773:A2_N *773:B2 5.10138e-05 +16 *773:A2_N *779:B 1.22289e-05 +17 *773:B1 *773:B2 4.84441e-05 +18 *776:A1 *773:B2 2.86829e-05 +19 *126:9 *773:B2 6.08467e-05 +*RES +1 *772:Y *127:5 13.7491 +2 *127:5 *773:B2 20.4655 +3 *127:5 *779:B 33.1515 +*END + +*D_NET *128 0.000426643 +*CONN +*I *776:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *775:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *776:A2 9.2375e-05 +2 *775:X 9.2375e-05 +3 *776:A2 *263:23 6.27782e-05 +4 *776:A1 *776:A2 0.000126335 +5 *776:B1 *776:A2 5.27799e-05 +*RES +1 *775:X *776:A2 30.4689 +*END + +*D_NET *129 0.00394242 +*CONN +*I *782:B I *D sky130_fd_sc_hd__nand3_1 +*I *788:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *780:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *782:B 0 +2 *788:B1_N 8.08605e-05 +3 *780:Y 0.00095581 +4 *129:16 0.00103667 +5 *788:B1_N *787:A2 2.67886e-05 +6 *788:B1_N *789:A 1.83992e-05 +7 *788:B1_N *789:B 0.000360964 +8 *788:B1_N *790:A 3.13805e-06 +9 *129:16 *941:A1 0.000107496 +10 *129:16 *950:CLK 0.000148652 +11 *129:16 *991:CLK 0.000114594 +12 *129:16 *219:96 0 +13 *129:16 *220:79 0.000362123 +14 *129:16 *251:35 6.08467e-05 +15 *129:16 *251:36 0.000260618 +16 *129:16 *251:58 2.91462e-05 +17 *129:16 *252:90 4.47114e-05 +18 *129:16 *271:65 0.000103217 +19 *129:16 *271:72 6.50727e-05 +20 *782:C *788:B1_N 0.000163309 +*RES +1 *780:Y *129:16 49.9623 +2 *129:16 *788:B1_N 13.8789 +3 *129:16 *782:B 9.24915 +*END + +*D_NET *130 0.000359694 +*CONN +*I *789:A I *D sky130_fd_sc_hd__nand3_1 +*I *782:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *789:A 7.54421e-05 +2 *782:Y 7.54421e-05 +3 *789:A *782:A 6.14091e-06 +4 *789:A *787:A2 0.00015901 +5 *789:A *789:B 8.93423e-06 +6 *788:B1_N *789:A 1.83992e-05 +7 *991:D *789:A 1.63255e-05 +*RES +1 *782:Y *789:A 21.3195 +*END + +*D_NET *131 0.0011898 +*CONN +*I *785:A I *D sky130_fd_sc_hd__nand3_1 +*I *793:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *783:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *785:A 0.000143668 +2 *793:A1 0.000277557 +3 *783:Y 0 +4 *131:4 0.000421225 +5 *785:A *785:B 1.02267e-05 +6 *785:A *794:A 5.64241e-05 +7 *785:A *259:7 3.00829e-05 +8 *793:A1 *792:A 6.26514e-05 +9 *793:A1 *793:B1 4.69024e-05 +10 *793:A1 *138:21 9.82896e-06 +11 *792:B *793:A1 0.000128091 +12 *989:D *785:A 3.13805e-06 +*RES +1 *783:Y *131:4 9.24915 +2 *131:4 *793:A1 25.8201 +3 *131:4 *785:A 13.3484 +*END + +*D_NET *132 0.000980353 +*CONN +*I *796:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *785:B I *D sky130_fd_sc_hd__nand3_1 +*I *784:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *796:A1 1.41141e-05 +2 *785:B 0.000248803 +3 *784:Y 0.000125191 +4 *132:5 0.000388107 +5 *785:B *785:C 6.3657e-05 +6 *785:B *794:A 8.21761e-06 +7 *785:B *796:B1 3.12044e-05 +8 *796:A1 *794:A 2.91008e-06 +9 *132:5 *794:A 2.60765e-05 +10 *132:5 *796:B1 2.57847e-05 +11 *785:A *785:B 1.02267e-05 +12 *989:D *785:B 2.89935e-05 +13 *989:D *796:A1 7.06733e-06 +*RES +1 *784:Y *132:5 12.191 +2 *132:5 *785:B 13.903 +3 *132:5 *796:A1 9.82786 +*END + +*D_NET *133 0.00261063 +*CONN +*I *788:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *785:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *788:A1 0 +2 *785:Y 0.000958525 +3 *133:16 0.000958525 +4 *133:16 *785:C 3.17869e-05 +5 *133:16 *795:A 0.000165521 +6 *133:16 *915:A1 7.26748e-05 +7 *133:16 *993:SET_B 0 +8 *133:16 *135:8 5.03545e-06 +9 *133:16 *250:71 5.71849e-05 +10 *133:16 *257:34 4.87872e-05 +11 *782:C *133:16 0 +12 *792:B *133:16 2.19275e-05 +13 *795:B *133:16 0.00029066 +14 *46:8 *133:16 0 +*RES +1 *785:Y *133:16 46.6413 +2 *133:16 *788:A1 9.24915 +*END + +*D_NET *134 0.000731029 +*CONN +*I *787:C1 I *D sky130_fd_sc_hd__o211ai_1 +*I *786:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *787:C1 0.000227088 +2 *786:Y 0.000227088 +3 *787:C1 *786:B 6.36477e-05 +4 *787:C1 *787:B1 6.50727e-05 +5 *779:B *787:C1 0.000148132 +*RES +1 *786:Y *787:C1 24.7677 +*END + +*D_NET *135 0.000734715 +*CONN +*I *788:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *790:A I *D sky130_fd_sc_hd__nand2_1 +*I *787:Y O *D sky130_fd_sc_hd__o211ai_1 +*CAP +1 *788:A2 0 +2 *790:A 0.000190215 +3 *787:Y 8.72754e-05 +4 *135:8 0.00027749 +5 *790:A *916:A1 5.66878e-05 +6 *135:8 *787:A2 7.73661e-05 +7 *135:8 *250:71 3.75074e-05 +8 *788:B1_N *790:A 3.13805e-06 +9 *133:16 *135:8 5.03545e-06 +*RES +1 *787:Y *135:8 20.9116 +2 *135:8 *790:A 14.4576 +3 *135:8 *788:A2 9.24915 +*END + +*D_NET *136 0.00128595 +*CONN +*I *789:B I *D sky130_fd_sc_hd__nand3_1 +*I *788:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *789:B 0.000451705 +2 *788:Y 0.000451705 +3 *789:B *782:A 7.06743e-06 +4 *789:B *787:A2 5.56992e-06 +5 *788:B1_N *789:B 0.000360964 +6 *789:A *789:B 8.93423e-06 +*RES +1 *788:Y *789:B 25.901 +*END + +*D_NET *137 0.00165699 +*CONN +*I *791:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *798:A I *D sky130_fd_sc_hd__nand2_1 +*I *790:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *791:A 8.70331e-05 +2 *798:A 8.49378e-05 +3 *790:Y 0.000261052 +4 *137:10 0.000171971 +5 *137:8 7.10213e-05 +6 *137:7 0.000332073 +7 *791:A *797:A 0.000140839 +8 *791:A *799:A2 6.08467e-05 +9 *791:A *799:B1 3.26003e-05 +10 *798:A *797:A 5.63538e-05 +11 *137:7 *797:A 6.26514e-05 +12 *137:7 *916:A1 2.77364e-05 +13 *137:7 *916:S 6.27718e-05 +14 *798:B *798:A 6.2645e-05 +15 *798:B *137:7 8.1614e-05 +16 *915:A0 *791:A 6.08467e-05 +*RES +1 *790:Y *137:7 24.7677 +2 *137:7 *137:8 81.1229 +3 *137:8 *137:10 9.24915 +4 *137:10 *798:A 11.6605 +5 *137:10 *791:A 21.635 +*END + +*D_NET *138 0.00412052 +*CONN +*I *796:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *795:A I *D sky130_fd_sc_hd__nand2_1 +*I *792:A I *D sky130_fd_sc_hd__nand2_1 +*I *793:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *799:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *791:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *796:A2 0.000110976 +2 *795:A 4.45431e-05 +3 *792:A 9.27854e-06 +4 *793:A2 3.91469e-05 +5 *799:A2 8.29187e-05 +6 *791:X 1.87963e-05 +7 *138:42 0.000244626 +8 *138:30 6.00081e-05 +9 *138:29 5.07295e-05 +10 *138:21 0.000315505 +11 *138:20 0.000456668 +12 *138:5 0.000192919 +13 *792:A *793:B1 6.65878e-05 +14 *793:A2 *793:B1 0.000119686 +15 *796:A2 *796:B1 5.26373e-05 +16 *799:A2 *799:B1 0.000118166 +17 *799:A2 *257:5 0.000286438 +18 *799:A2 *257:34 1.65872e-05 +19 *138:5 *257:34 4.87439e-05 +20 *138:20 *785:C 9.75356e-05 +21 *138:20 *797:A 5.56367e-05 +22 *138:21 *793:B1 0.000119695 +23 *138:42 *785:C 0 +24 *138:42 *796:B1 0.000140715 +25 *791:A *799:A2 6.08467e-05 +26 *792:B *795:A 4.58907e-05 +27 *792:B *138:21 0.000695889 +28 *792:B *138:42 0.000162739 +29 *793:A1 *792:A 6.26514e-05 +30 *793:A1 *138:21 9.82896e-06 +31 *795:B *795:A 6.36477e-05 +32 *915:A0 *799:A2 5.90736e-05 +33 *915:A0 *138:5 4.58907e-05 +34 *133:16 *795:A 0.000165521 +*RES +1 *791:X *138:5 10.5271 +2 *138:5 *799:A2 14.0477 +3 *138:5 *138:20 11.6625 +4 *138:20 *138:21 9.04245 +5 *138:21 *793:A2 10.5271 +6 *138:21 *138:29 9.24915 +7 *138:29 *138:30 57.9449 +8 *138:30 *792:A 19.2217 +9 *138:20 *138:42 7.74935 +10 *138:42 *795:A 16.1605 +11 *138:42 *796:A2 16.4116 +*END + +*D_NET *139 0.000508603 +*CONN +*I *793:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *792:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *793:B1 7.57155e-05 +2 *792:Y 7.57155e-05 +3 *792:A *793:B1 6.65878e-05 +4 *793:A1 *793:B1 4.69024e-05 +5 *793:A2 *793:B1 0.000119686 +6 *990:D *793:B1 4.30017e-06 +7 *138:21 *793:B1 0.000119695 +*RES +1 *792:Y *793:B1 21.9947 +*END + +*D_NET *140 0.00133636 +*CONN +*I *796:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *795:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *796:B1 0.000404083 +2 *795:Y 0.000404083 +3 *796:B1 *794:A 0.000216458 +4 *785:B *796:B1 3.12044e-05 +5 *792:B *796:B1 4.58907e-05 +6 *796:A2 *796:B1 5.26373e-05 +7 *989:D *796:B1 1.55025e-05 +8 *132:5 *796:B1 2.57847e-05 +9 *138:42 *796:B1 0.000140715 +*RES +1 *795:Y *796:B1 37.1749 +*END + +*D_NET *141 0.000643962 +*CONN +*I *799:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *798:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *799:B1 0.000171074 +2 *798:Y 0.000171074 +3 *799:B1 *797:A 0 +4 *799:B1 *988:RESET_B 0 +5 *799:B1 *257:5 1.67988e-05 +6 *791:A *799:B1 3.26003e-05 +7 *799:A2 *799:B1 0.000118166 +8 *915:A0 *799:B1 2.65667e-05 +9 *988:D *799:B1 6.92705e-05 +10 *58:11 *799:B1 3.8412e-05 +*RES +1 *798:Y *799:B1 32.548 +*END + +*D_NET *142 0.00107996 +*CONN +*I *804:A I *D sky130_fd_sc_hd__nand3_1 +*I *878:A I *D sky130_fd_sc_hd__inv_2 +*I *803:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *800:Y O *D sky130_fd_sc_hd__nor3b_1 +*CAP +1 *804:A 9.62767e-05 +2 *878:A 0 +3 *803:A1 0.00017043 +4 *800:Y 7.92501e-05 +5 *142:9 0.00019882 +6 *142:6 0.000203917 +7 *803:A1 *250:14 5.45682e-05 +8 *803:A1 *315:10 3.81331e-05 +9 *804:A *144:6 3.67528e-06 +10 *804:A *250:14 3.00852e-05 +11 *804:A *315:10 0 +12 *142:6 *250:14 2.1896e-05 +13 *919:S *803:A1 1.43832e-05 +14 *919:S *142:9 1.00766e-05 +15 *942:S *142:6 2.8322e-05 +16 *7:15 *804:A 7.01144e-05 +17 *7:15 *142:6 6.00124e-05 +*RES +1 *800:Y *142:6 16.4116 +2 *142:6 *142:9 5.2234 +3 *142:9 *803:A1 22.8836 +4 *142:9 *878:A 9.24915 +5 *142:6 *804:A 16.8269 +*END + +*D_NET *143 0.00123798 +*CONN +*I *804:B I *D sky130_fd_sc_hd__nand3_1 +*I *803:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *886:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *801:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *804:B 0 +2 *803:A2 2.41279e-05 +3 *886:A1_N 0.00019759 +4 *801:Y 3.15725e-05 +5 *143:7 0.000247939 +6 *143:5 5.77937e-05 +7 *803:A2 *801:A 0 +8 *886:A1_N *803:B1 9.58242e-05 +9 *886:A1_N *272:7 0.000169177 +10 *143:5 *250:14 0.000148666 +11 *143:5 *272:7 6.73186e-05 +12 *143:7 *250:14 0.00011818 +13 *143:7 *272:7 7.97944e-05 +*RES +1 *801:Y *143:5 11.0817 +2 *143:5 *143:7 1.8326 +3 *143:7 *886:A1_N 14.9881 +4 *143:7 *803:A2 9.82786 +5 *143:5 *804:B 9.24915 +*END + +*D_NET *144 0.000612333 +*CONN +*I *804:C I *D sky130_fd_sc_hd__nand3_1 +*I *803:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *802:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *804:C 0 +2 *803:B1 8.96933e-05 +3 *802:Y 0.000118811 +4 *144:6 0.000208504 +5 *803:B1 *272:7 9.58242e-05 +6 *144:6 *805:B 0 +7 *144:6 *315:10 0 +8 *804:A *144:6 3.67528e-06 +9 *886:A1_N *803:B1 9.58242e-05 +10 *7:15 *144:6 0 +*RES +1 *802:Y *144:6 16.4116 +2 *144:6 *803:B1 16.0445 +3 *144:6 *804:C 13.7491 +*END + +*D_NET *145 0.000316598 +*CONN +*I *805:A I *D sky130_fd_sc_hd__nand2_1 +*I *803:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *805:A 8.43657e-05 +2 *803:X 8.43657e-05 +3 *805:A *315:10 0.000147866 +*RES +1 *803:X *805:A 30.1608 +*END + +*D_NET *146 0.00077779 +*CONN +*I *805:B I *D sky130_fd_sc_hd__nand2_1 +*I *804:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *805:B 0.000254689 +2 *804:Y 0.000254689 +3 *805:B *272:7 0.000120546 +4 *805:B *315:10 0.000147866 +5 *144:6 *805:B 0 +*RES +1 *804:Y *805:B 33.1026 +*END + +*D_NET *147 0.000272755 +*CONN +*I *808:A I *D sky130_fd_sc_hd__nand3_1 +*I *806:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *808:A 5.53021e-05 +2 *806:Y 5.53021e-05 +3 *808:A *806:A 4.68059e-05 +4 *808:A *149:11 0.000110297 +5 *43:9 *808:A 5.04829e-06 +*RES +1 *806:Y *808:A 20.355 +*END + +*D_NET *148 0.000640726 +*CONN +*I *808:B I *D sky130_fd_sc_hd__nand3_1 +*I *807:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *808:B 0.000216184 +2 *807:Y 0.000216184 +3 *808:B *234:23 0.000115934 +4 *933:S *808:B 9.24241e-05 +*RES +1 *807:Y *808:B 31.9029 +*END + +*D_NET *149 0.00282641 +*CONN +*I *816:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *811:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *877:A I *D sky130_fd_sc_hd__inv_2 +*I *808:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *816:A2 0 +2 *811:A_N 6.44732e-05 +3 *877:A 0 +4 *808:Y 0.000569803 +5 *149:22 0.000212383 +6 *149:11 0.000717712 +7 *811:A_N *811:B 2.94895e-05 +8 *811:A_N *811:C 0.000206929 +9 *811:A_N *816:A1 6.36477e-05 +10 *811:A_N *816:B1_N 2.31235e-05 +11 *149:22 *823:A 0.000207379 +12 *149:22 *983:CLK 0.000210992 +13 *149:22 *156:38 8.62625e-06 +14 *808:A *149:11 0.000110297 +15 *936:S *149:11 1.5962e-05 +16 *936:S *149:22 0.000161234 +17 *43:5 *149:11 1.05106e-05 +18 *43:9 *149:11 0.000213852 +*RES +1 *808:Y *149:11 20.1724 +2 *149:11 *877:A 9.24915 +3 *149:11 *149:22 14.7409 +4 *149:22 *811:A_N 13.1314 +5 *149:22 *816:A2 9.24915 +*END + +*D_NET *150 0.00108086 +*CONN +*I *816:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *811:B I *D sky130_fd_sc_hd__nand3b_1 +*I *809:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *816:B1_N 0.000205 +2 *811:B 0.000160725 +3 *809:Y 5.81054e-05 +4 *150:8 0.000423831 +5 *811:B *811:C 1.66324e-05 +6 *811:B *931:A1 1.2093e-05 +7 *816:B1_N *811:C 3.40423e-05 +8 *816:B1_N *816:A1 2.57847e-05 +9 *816:B1_N *817:C 6.50727e-05 +10 *150:8 *817:A 0 +11 *150:8 *156:38 2.69587e-05 +12 *811:A_N *811:B 2.94895e-05 +13 *811:A_N *816:B1_N 2.31235e-05 +*RES +1 *809:Y *150:8 19.6659 +2 *150:8 *811:B 12.7214 +3 *150:8 *816:B1_N 14.0718 +*END + +*D_NET *151 0.00373293 +*CONN +*I *860:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *811:C I *D sky130_fd_sc_hd__nand3b_1 +*I *810:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *860:B1 0.00026551 +2 *811:C 0.000363452 +3 *810:X 0 +4 *151:4 0.000628963 +5 *811:C *931:A1 0.000877037 +6 *811:C *224:15 3.60142e-05 +7 *811:C *304:19 0.000265537 +8 *811:C *304:23 0.000171904 +9 *811:C *304:27 2.03542e-05 +10 *860:B1 *810:A1 0.000106215 +11 *860:B1 *860:A2 0.000126715 +12 *860:B1 *861:A2 0.000154702 +13 *860:B1 *959:CLK 5.62869e-05 +14 *860:B1 *222:59 0 +15 *860:B1 *224:11 4.3116e-06 +16 *860:B1 *297:11 0.000222836 +17 *860:B1 *304:14 0 +18 *860:B1 *304:18 0 +19 *860:B1 *304:19 0.000175485 +20 *811:A_N *811:C 0.000206929 +21 *811:B *811:C 1.66324e-05 +22 *816:B1_N *811:C 3.40423e-05 +*RES +1 *810:X *151:4 9.24915 +2 *151:4 *811:C 24.8263 +3 *151:4 *860:B1 28.0821 +*END + +*D_NET *152 0.000260868 +*CONN +*I *817:A I *D sky130_fd_sc_hd__nand3_1 +*I *811:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *817:A 7.98673e-05 +2 *811:Y 7.98673e-05 +3 *817:A *823:C 0.000101133 +4 *817:A *156:38 0 +5 *817:A *243:10 0 +6 *150:8 *817:A 0 +*RES +1 *811:Y *817:A 29.7455 +*END + +*D_NET *153 0.00429528 +*CONN +*I *813:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *818:B I *D sky130_fd_sc_hd__nand2_2 +*I *862:B I *D sky130_fd_sc_hd__nand2_1 +*I *828:B I *D sky130_fd_sc_hd__nand3b_1 +*I *812:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *813:A 0.000190489 +2 *818:B 0 +3 *862:B 0 +4 *828:B 0.000183396 +5 *812:Y 0 +6 *153:29 0.000410669 +7 *153:23 0.000569095 +8 *153:18 0.000399644 +9 *153:17 0.000127428 +10 *153:4 0.000260094 +11 *813:A *814:A1 0.00020376 +12 *813:A *841:A 0.00020376 +13 *813:A *169:7 0.000158371 +14 *828:B *827:A1 0.000169371 +15 *828:B *918:S 1.02917e-05 +16 *828:B *186:8 0.000263116 +17 *828:B *237:30 0.000113309 +18 *828:B *245:73 0.000151333 +19 *153:17 *186:8 0.000249078 +20 *153:23 *846:A2 4.18779e-05 +21 *153:23 *186:8 0.000401885 +22 *153:29 *846:A2 0.000117376 +23 *929:A0 *813:A 7.92757e-06 +24 *929:A0 *153:29 6.05995e-05 +25 *19:20 *813:A 2.41274e-06 +*RES +1 *812:Y *153:4 9.24915 +2 *153:4 *828:B 25.2386 +3 *153:4 *153:17 12.191 +4 *153:17 *153:18 57.9449 +5 *153:18 *153:23 15.8079 +6 *153:23 *862:B 9.24915 +7 *153:23 *153:29 5.71483 +8 *153:29 *818:B 9.24915 +9 *153:29 *813:A 24.7134 +*END + +*D_NET *154 0.00745913 +*CONN +*I *817:B I *D sky130_fd_sc_hd__nand3_1 +*I *823:C I *D sky130_fd_sc_hd__nand3_1 +*I *819:C I *D sky130_fd_sc_hd__nand3_1 +*I *821:C I *D sky130_fd_sc_hd__nand3_1 +*I *848:C I *D sky130_fd_sc_hd__nand3_1 +*I *813:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *817:B 5.1905e-05 +2 *823:C 0.000411591 +3 *819:C 0 +4 *821:C 0.000695785 +5 *848:C 0.00020573 +6 *813:X 0.000110234 +7 *154:39 0.00109548 +8 *154:33 0.000862767 +9 *154:17 0.00106721 +10 *154:5 0.000456602 +11 *817:B *809:A 4.23858e-05 +12 *821:C *821:A 0.000175485 +13 *821:C *821:B 0.000122378 +14 *821:C *822:B1_N 6.92705e-05 +15 *821:C *984:CLK 0 +16 *821:C *219:60 0 +17 *823:C *823:A 0 +18 *823:C *156:38 0 +19 *823:C *226:17 0 +20 *848:C *848:B 7.36305e-05 +21 *848:C *219:60 0 +22 *154:5 *169:7 4.60098e-05 +23 *154:17 *169:7 5.56851e-05 +24 *154:33 *820:B1_N 6.50727e-05 +25 *154:33 *156:9 7.48633e-05 +26 *154:33 *169:7 5.87833e-05 +27 *154:39 *809:A 9.90116e-05 +28 *154:39 *820:B1_N 0.000142194 +29 *154:39 *881:B 0.000846418 +30 *154:39 *169:7 0.000323105 +31 *817:A *823:C 0.000101133 +32 *822:A1 *821:C 6.50954e-05 +33 *822:A2 *821:C 1.41291e-05 +34 *930:S *823:C 0 +35 *933:S *823:C 0 +36 *984:D *821:C 0.000127179 +37 *985:D *821:C 0 +*RES +1 *813:X *154:5 11.0817 +2 *154:5 *848:C 23.1514 +3 *154:5 *154:17 2.38721 +4 *154:17 *821:C 37.0078 +5 *154:17 *154:33 4.79853 +6 *154:33 *819:C 9.24915 +7 *154:33 *154:39 20.1345 +8 *154:39 *823:C 27.5557 +9 *154:39 *817:B 10.5271 +*END + +*D_NET *155 0.00193128 +*CONN +*I *838:A I *D sky130_fd_sc_hd__nor2_2 +*I *815:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *818:A I *D sky130_fd_sc_hd__nand2_2 +*I *814:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *838:A 7.6058e-05 +2 *815:A 0.000163209 +3 *818:A 5.72856e-05 +4 *814:Y 0.000166822 +5 *155:10 0.000359035 +6 *155:7 0.00038142 +7 *838:A *219:60 0.000141764 +8 *155:7 *298:5 0.000264716 +9 *155:10 *219:60 0.000203993 +10 *979:D *815:A 9.25054e-05 +11 *19:20 *815:A 1.50288e-05 +12 *19:20 *818:A 9.44631e-06 +*RES +1 *814:Y *155:7 16.691 +2 *155:7 *155:10 8.40826 +3 *155:10 *818:A 10.5513 +4 *155:10 *815:A 13.3002 +5 *155:7 *838:A 16.4116 +*END + +*D_NET *156 0.00873085 +*CONN +*I *883:A1 I *D sky130_fd_sc_hd__o21bai_2 +*I *816:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *823:A I *D sky130_fd_sc_hd__nand3_1 +*I *819:A I *D sky130_fd_sc_hd__nand3_1 +*I *821:A I *D sky130_fd_sc_hd__nand3_1 +*I *815:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *883:A1 0 +2 *816:A1 1.39582e-05 +3 *823:A 0.000351389 +4 *819:A 0 +5 *821:A 0.000408351 +6 *815:X 0 +7 *156:38 0.000675742 +8 *156:31 0.00203435 +9 *156:9 0.000630014 +10 *156:4 0.00194562 +11 *821:A *819:B 0.000111358 +12 *821:A *236:15 0.000229864 +13 *823:A *983:CLK 6.92004e-05 +14 *823:A *234:21 0.000168313 +15 *823:A *245:30 0.000168313 +16 *156:9 *169:7 0.000167076 +17 *156:31 *979:CLK 7.19887e-05 +18 *156:31 *986:CLK 2.84758e-05 +19 *156:31 *244:10 1.50617e-06 +20 *156:38 *883:A2 0.000138395 +21 *156:38 *983:CLK 0.000154204 +22 *811:A_N *816:A1 6.36477e-05 +23 *816:B1_N *816:A1 2.57847e-05 +24 *817:A *156:38 0 +25 *820:A2 *821:A 1.3023e-05 +26 *821:C *821:A 0.000175485 +27 *822:A1 *821:A 0.000171288 +28 *823:C *823:A 0 +29 *823:C *156:38 0 +30 *979:D *156:31 2.41961e-05 +31 *986:D *156:31 0.000217995 +32 *19:20 *821:A 2.01595e-05 +33 *19:20 *156:9 0 +34 *19:24 *821:A 0.000333325 +35 *149:22 *823:A 0.000207379 +36 *149:22 *156:38 8.62625e-06 +37 *150:8 *156:38 2.69587e-05 +38 *154:33 *156:9 7.48633e-05 +*RES +1 *815:X *156:4 9.24915 +2 *156:4 *156:9 15.1561 +3 *156:9 *821:A 33.5408 +4 *156:9 *819:A 9.24915 +5 *156:4 *156:31 27.4167 +6 *156:31 *156:38 13.8657 +7 *156:38 *823:A 23.6914 +8 *156:38 *816:A1 14.4725 +9 *156:31 *883:A1 9.24915 +*END + +*D_NET *157 0.000846908 +*CONN +*I *817:C I *D sky130_fd_sc_hd__nand3_1 +*I *816:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *817:C 0.000295212 +2 *816:Y 0.000295212 +3 *817:C *809:A 6.64392e-05 +4 *817:C *883:A2 2.74378e-05 +5 *817:C *983:CLK 9.75356e-05 +6 *817:C *224:34 0 +7 *816:B1_N *817:C 6.50727e-05 +*RES +1 *816:Y *817:C 34.1047 +*END + +*D_NET *158 0.00138859 +*CONN +*I *820:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *819:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *820:B1_N 0.000364138 +2 *819:Y 0.000364138 +3 *820:B1_N *984:SET_B 0.000242134 +4 *820:B1_N *300:192 9.24241e-05 +5 *820:A1 *820:B1_N 0.000118485 +6 *154:33 *820:B1_N 6.50727e-05 +7 *154:39 *820:B1_N 0.000142194 +*RES +1 *819:Y *820:B1_N 35.87 +*END + +*D_NET *159 0.000146488 +*CONN +*I *822:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *821:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *822:B1_N 3.86089e-05 +2 *821:Y 3.86089e-05 +3 *821:C *822:B1_N 6.92705e-05 +*RES +1 *821:Y *822:B1_N 19.2217 +*END + +*D_NET *160 0.000147065 +*CONN +*I *824:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *823:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *824:B1_N 4.0313e-05 +2 *823:Y 4.0313e-05 +3 *824:B1_N *234:21 6.64392e-05 +*RES +1 *823:Y *824:B1_N 19.2217 +*END + +*D_NET *161 0.00256437 +*CONN +*I *827:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *825:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *827:A2 0.000381442 +2 *825:Y 0.000381442 +3 *827:A2 *825:B 0 +4 *827:A2 *827:A1 3.73496e-05 +5 *827:A2 *832:A2_N 0.000116351 +6 *827:A2 *832:B1 0.000643647 +7 *827:A2 *832:B2 0.000112361 +8 *827:A2 *835:B1 1.03594e-05 +9 *827:A2 *836:B1_N 6.27782e-05 +10 *827:A2 *162:8 4.42938e-06 +11 *828:C *827:A2 0.000315978 +12 *21:7 *827:A2 0.000498233 +*RES +1 *825:Y *827:A2 47.2873 +*END + +*D_NET *162 0.000739369 +*CONN +*I *827:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *836:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *826:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *827:B1_N 0 +2 *836:A1 0.00015477 +3 *826:Y 6.25771e-05 +4 *162:8 0.000217347 +5 *836:A1 *836:B1_N 0.000161234 +6 *162:8 *835:A2 0.0001195 +7 *162:8 *292:11 1.95129e-05 +8 *827:A2 *162:8 4.42938e-06 +*RES +1 *826:Y *162:8 20.4964 +2 *162:8 *836:A1 13.3002 +3 *162:8 *827:B1_N 9.24915 +*END + +*D_NET *163 0.00245472 +*CONN +*I *835:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *832:A2_N I *D sky130_fd_sc_hd__o2bb2ai_1 +*I *827:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *835:B1 0.000280595 +2 *832:A2_N 0.000269259 +3 *827:Y 3.34752e-05 +4 *163:6 0.000583328 +5 *832:A2_N *827:A1 6.53464e-05 +6 *832:A2_N *832:A1_N 0.000115154 +7 *832:A2_N *832:B1 1.50924e-05 +8 *832:A2_N *836:B1_N 6.25883e-06 +9 *832:A2_N *292:11 0.0004562 +10 *835:B1 *835:A1 0.000264038 +11 *835:B1 *835:A2 6.36477e-05 +12 *827:A2 *832:A2_N 0.000116351 +13 *827:A2 *835:B1 1.03594e-05 +14 *828:C *832:A2_N 0.000140646 +15 *981:D *835:B1 2.96784e-06 +16 *21:7 *832:A2_N 3.20062e-05 +*RES +1 *827:Y *163:6 14.4819 +2 *163:6 *832:A2_N 24.2325 +3 *163:6 *835:B1 21.1807 +*END + +*D_NET *164 0.00311493 +*CONN +*I *832:B1 I *D sky130_fd_sc_hd__o2bb2ai_1 +*I *836:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *828:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *832:B1 0.000524747 +2 *836:B1_N 0.000314702 +3 *828:Y 0 +4 *164:4 0.000839448 +5 *832:B1 *825:A 0.000277923 +6 *832:B1 *832:A1_N 1.15766e-05 +7 *836:B1_N *292:11 2.26713e-06 +8 *827:A2 *832:B1 0.000643647 +9 *827:A2 *836:B1_N 6.27782e-05 +10 *832:A2_N *832:B1 1.50924e-05 +11 *832:A2_N *836:B1_N 6.25883e-06 +12 *836:A1 *836:B1_N 0.000161234 +13 *21:7 *832:B1 0.000255255 +*RES +1 *828:Y *164:4 9.24915 +2 *164:4 *836:B1_N 15.5668 +3 *164:4 *832:B1 29.9863 +*END + +*D_NET *165 0.00145881 +*CONN +*I *831:A I *D sky130_fd_sc_hd__nand2_1 +*I *829:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *831:A 0.000728198 +2 *829:Y 0.000728198 +3 *831:A *219:60 0 +4 *831:A *219:96 0 +5 *831:A *261:11 2.41274e-06 +*RES +1 *829:Y *831:A 39.8916 +*END + +*D_NET *166 0.00336095 +*CONN +*I *831:B I *D sky130_fd_sc_hd__nand2_1 +*I *835:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *830:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *831:B 0.000320503 +2 *835:A1 0.000337586 +3 *830:Y 0.000257111 +4 *166:10 0.000915201 +5 *831:B *825:A 0.000167076 +6 *831:B *825:B 0.000167372 +7 *831:B *299:8 0.000114584 +8 *835:A1 *825:A 3.26094e-05 +9 *835:A1 *825:B 0.00036413 +10 *835:A1 *845:B 3.28388e-05 +11 *166:10 *825:A 6.08697e-06 +12 *166:10 *832:A1_N 9.89388e-06 +13 *166:10 *982:RESET_B 0.000258819 +14 *166:10 *239:6 0.000113096 +15 *835:B1 *835:A1 0.000264038 +16 *982:D *166:10 0 +*RES +1 *830:Y *166:10 23.4382 +2 *166:10 *835:A1 20.5582 +3 *166:10 *831:B 18.8462 +*END + +*D_NET *167 0.00178686 +*CONN +*I *838:B I *D sky130_fd_sc_hd__nor2_2 +*I *832:B2 I *D sky130_fd_sc_hd__o2bb2ai_1 +*I *831:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *838:B 0.000173053 +2 *832:B2 0.000460737 +3 *831:Y 0 +4 *167:4 0.000633789 +5 *832:B2 *846:A2 0.000158451 +6 *832:B2 *1033:A 2.83365e-05 +7 *832:B2 *224:87 1.68951e-06 +8 *832:B2 *298:5 0.000112367 +9 *827:A2 *832:B2 0.000112361 +10 *21:7 *832:B2 8.97069e-05 +11 *41:8 *832:B2 1.63652e-05 +*RES +1 *831:Y *167:4 9.24915 +2 *167:4 *832:B2 26.4899 +3 *167:4 *838:B 13.2037 +*END + +*D_NET *168 0.000820223 +*CONN +*I *835:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *834:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *835:A2 0.000285755 +2 *834:X 0.000285755 +3 *835:A2 *237:30 6.55651e-05 +4 *835:B1 *835:A2 6.36477e-05 +5 *162:8 *835:A2 0.0001195 +*RES +1 *834:X *835:A2 33.6838 +*END + +*D_NET *169 0.00327429 +*CONN +*I *841:B I *D sky130_fd_sc_hd__nand3_1 +*I *847:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *839:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *841:B 0 +2 *847:B1_N 7.70887e-05 +3 *839:Y 0.000600964 +4 *169:7 0.000678053 +5 *847:B1_N *848:B 0.00015327 +6 *169:7 *841:A 0.000171273 +7 *169:7 *848:B 0.00051722 +8 *813:A *169:7 0.000158371 +9 *841:C *847:B1_N 0.000267394 +10 *154:5 *169:7 4.60098e-05 +11 *154:17 *169:7 5.56851e-05 +12 *154:33 *169:7 5.87833e-05 +13 *154:39 *169:7 0.000323105 +14 *156:9 *169:7 0.000167076 +*RES +1 *839:Y *169:7 30.517 +2 *169:7 *847:B1_N 13.3002 +3 *169:7 *841:B 9.24915 +*END + +*D_NET *170 0.00128303 +*CONN +*I *848:A I *D sky130_fd_sc_hd__nand3_1 +*I *841:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *848:A 0.000331253 +2 *841:Y 0.000331253 +3 *848:A *848:B 0.000559606 +4 *848:A *219:60 6.0923e-05 +*RES +1 *841:Y *848:A 34.7664 +*END + +*D_NET *171 0.000712138 +*CONN +*I *844:A I *D sky130_fd_sc_hd__nand3_1 +*I *865:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *842:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *844:A 0 +2 *865:A1 3.58316e-05 +3 *842:Y 8.76815e-06 +4 *171:5 4.45998e-05 +5 *865:A1 *844:C 0.000117376 +6 *865:A1 *865:B1 0.000271606 +7 *865:A1 *233:5 6.32815e-05 +8 *171:5 *865:B1 0.000122378 +9 *171:5 *233:5 4.82966e-05 +*RES +1 *842:Y *171:5 10.5271 +2 *171:5 *865:A1 12.191 +3 *171:5 *844:A 9.24915 +*END + +*D_NET *172 0.000770092 +*CONN +*I *844:B I *D sky130_fd_sc_hd__nand3_1 +*I *868:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *843:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *844:B 0.000122077 +2 *868:A1 3.7209e-05 +3 *843:Y 6.12331e-05 +4 *172:5 0.000220519 +5 *844:B *973:SET_B 0 +6 *868:A1 *868:B1 0.000117376 +7 *172:5 *868:B1 0.000211679 +*RES +1 *843:Y *172:5 11.6364 +2 *172:5 *868:A1 10.5271 +3 *172:5 *844:B 20.9116 +*END + +*D_NET *173 0.00267382 +*CONN +*I *847:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *844:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *847:A1 0.000647301 +2 *844:Y 0.000647301 +3 *847:A1 *840:B 0.000180006 +4 *847:A1 *840:C_N 7.48797e-05 +5 *847:A1 *846:A1 4.35077e-05 +6 *847:A1 *847:A2 3.31733e-05 +7 *847:A1 *865:A2 0.000224381 +8 *847:A1 *865:B1 0.000275256 +9 *847:A1 *889:B 3.94997e-05 +10 *847:A1 *917:A1 1.41976e-05 +11 *847:A1 *918:A1 0.000243663 +12 *847:A1 *939:A1 0.000101274 +13 *847:A1 *233:16 4.89898e-06 +14 *841:C *847:A1 2.16355e-05 +15 *864:B *847:A1 0.000122845 +16 *42:19 *847:A1 0 +*RES +1 *844:Y *847:A1 48.6795 +*END + +*D_NET *174 0.00159717 +*CONN +*I *846:C1 I *D sky130_fd_sc_hd__o211ai_1 +*I *845:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *846:C1 0.000448146 +2 *845:Y 0.000448146 +3 *846:C1 *825:A 0.000155293 +4 *846:C1 *825:B 0.000356672 +5 *846:C1 *224:87 0.000116255 +6 *982:D *846:C1 7.26606e-05 +*RES +1 *845:Y *846:C1 37.1186 +*END + +*D_NET *175 0.00104117 +*CONN +*I *862:A I *D sky130_fd_sc_hd__nand2_1 +*I *847:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *846:Y O *D sky130_fd_sc_hd__o211ai_1 +*CAP +1 *862:A 6.52626e-05 +2 *847:A2 0.000131649 +3 *846:Y 0.000104561 +4 *175:7 0.000301473 +5 *847:A2 *846:A1 0.000209333 +6 *847:A2 *918:A1 7.77309e-06 +7 *847:A2 *224:87 2.08727e-05 +8 *847:A2 *224:89 5.64625e-05 +9 *862:A *846:A2 7.15605e-05 +10 *175:7 *846:A2 5.04829e-06 +11 *175:7 *186:8 3.40042e-05 +12 *847:A1 *847:A2 3.31733e-05 +*RES +1 *846:Y *175:7 11.6605 +2 *175:7 *847:A2 22.5727 +3 *175:7 *862:A 11.1059 +*END + +*D_NET *176 0.00211586 +*CONN +*I *848:B I *D sky130_fd_sc_hd__nand3_1 +*I *847:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *848:B 0.000385883 +2 *847:Y 0.000385883 +3 *848:B *219:60 4.3116e-06 +4 *841:C *848:B 3.60566e-05 +5 *847:B1_N *848:B 0.00015327 +6 *848:A *848:B 0.000559606 +7 *848:C *848:B 7.36305e-05 +8 *169:7 *848:B 0.00051722 +*RES +1 *847:Y *848:B 41.8369 +*END + +*D_NET *177 0.000209942 +*CONN +*I *850:B I *D sky130_fd_sc_hd__xor2_1 +*I *849:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *850:B 0.000104971 +2 *849:Y 0.000104971 +*RES +1 *849:Y *850:B 29.7455 +*END + +*D_NET *178 0.00130855 +*CONN +*I *852:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *851:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *852:A 0.000465661 +2 *851:X 0.000465661 +3 *852:A *853:A1 5.04829e-06 +4 *852:A *224:70 1.83827e-06 +5 *852:A *227:14 0.000186749 +6 *852:A *300:186 0 +7 *852:A *300:229 2.02035e-05 +8 *932:S *852:A 0.000147325 +9 *977:D *852:A 1.60687e-05 +*RES +1 *851:X *852:A 37.5338 +*END + +*D_NET *179 0.000376929 +*CONN +*I *854:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *853:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *854:A 0.000161032 +2 *853:X 0.000161032 +3 *976:D *854:A 5.48642e-05 +*RES +1 *853:X *854:A 22.9833 +*END + +*D_NET *180 0.000446047 +*CONN +*I *857:A I *D sky130_fd_sc_hd__nand3_1 +*I *855:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *857:A 0.000165934 +2 *855:X 0.000165934 +3 *857:A *857:B 4.07564e-05 +4 *857:A *857:C 6.64392e-05 +5 *857:A *861:A1 6.98337e-06 +*RES +1 *855:X *857:A 22.2117 +*END + +*D_NET *181 0.00060477 +*CONN +*I *857:B I *D sky130_fd_sc_hd__nand3_1 +*I *856:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *857:B 0.000150629 +2 *856:X 0.000150629 +3 *857:B *855:A 2.15184e-05 +4 *857:B *856:A 6.50727e-05 +5 *857:B *857:C 0.000165655 +6 *857:B *861:A1 1.05106e-05 +7 *857:A *857:B 4.07564e-05 +*RES +1 *856:X *857:B 24.2372 +*END + +*D_NET *182 0.000972684 +*CONN +*I *861:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *857:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *861:A1 0.000321263 +2 *857:Y 0.000321263 +3 *861:A1 *861:A2 0.000114584 +4 *857:A *861:A1 6.98337e-06 +5 *857:B *861:A1 1.05106e-05 +6 *861:B1 *861:A1 0.000165521 +7 *975:D *861:A1 3.25584e-05 +*RES +1 *857:Y *861:A1 27.5649 +*END + +*D_NET *183 0.00117401 +*CONN +*I *860:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *858:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *860:A1 0.000419162 +2 *858:Y 0.000419162 +3 *860:A1 *948:D 4.71637e-05 +4 *860:A1 *222:69 3.07133e-05 +5 *860:A1 *224:11 6.3657e-05 +6 *860:A1 *224:15 0.00016763 +7 *860:A1 *242:10 2.652e-05 +8 *860:A1 *304:14 0 +9 *860:A1 *304:18 0 +*RES +1 *858:Y *860:A1 37.293 +*END + +*D_NET *184 0.00117384 +*CONN +*I *860:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *859:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *860:A2 0.000333792 +2 *859:X 0.000333792 +3 *860:A2 *224:11 0.000204057 +4 *860:A2 *224:15 0.000175485 +5 *860:B1 *860:A2 0.000126715 +*RES +1 *859:X *860:A2 34.3512 +*END + +*D_NET *185 0.00126784 +*CONN +*I *861:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *860:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *861:A2 0.00045248 +2 *860:Y 0.00045248 +3 *861:A2 *959:CLK 9.35979e-05 +4 *861:A2 *983:RESET_B 0 +5 *860:B1 *861:A2 0.000154702 +6 *861:A1 *861:A2 0.000114584 +*RES +1 *860:Y *861:A2 39.4019 +*END + +*D_NET *186 0.00293196 +*CONN +*I *863:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *870:A I *D sky130_fd_sc_hd__nand2_1 +*I *862:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *863:A 0 +2 *870:A 0.000303198 +3 *862:Y 0.000374947 +4 *186:8 0.000678145 +5 *870:A *918:A0 7.50722e-05 +6 *870:A *918:A1 4.30017e-06 +7 *870:A *918:S 0.000143047 +8 *870:A *187:16 0 +9 *870:A *231:30 0 +10 *186:8 *846:A2 0.000159903 +11 *186:8 *918:S 0.000177171 +12 *186:8 *187:16 0 +13 *828:B *186:8 0.000263116 +14 *870:B *870:A 6.80895e-05 +15 *153:17 *186:8 0.000249078 +16 *153:23 *186:8 0.000401885 +17 *175:7 *186:8 3.40042e-05 +*RES +1 *862:Y *186:8 26.9786 +2 *186:8 *870:A 21.596 +3 *186:8 *863:A 13.7491 +*END + +*D_NET *187 0.00378358 +*CONN +*I *865:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *864:A I *D sky130_fd_sc_hd__nand2_1 +*I *867:A I *D sky130_fd_sc_hd__nand2_1 +*I *868:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *871:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *863:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *865:A2 0.000324642 +2 *864:A 0 +3 *867:A 3.70535e-05 +4 *868:A2 0.000185255 +5 *871:A2 0.000141288 +6 *863:X 8.88565e-05 +7 *187:20 0.000364901 +8 *187:18 0.000194979 +9 *187:16 0.000593209 +10 *187:7 0.000446325 +11 *865:A2 *865:B1 0.000133963 +12 *868:A2 *868:B1 0.000428887 +13 *871:A2 *871:B1 0.000271736 +14 *187:16 *869:A 1.78514e-05 +15 *187:16 *871:B1 5.82465e-05 +16 *187:16 *231:30 0.000108413 +17 *187:18 *231:30 2.99929e-05 +18 *187:20 *231:30 6.34651e-06 +19 *187:20 *231:35 4.75998e-05 +20 *847:A1 *865:A2 0.000224381 +21 *867:B *868:A2 1.36691e-05 +22 *867:B *187:20 3.67528e-06 +23 *870:A *187:16 0 +24 *917:A0 *871:A2 2.20702e-05 +25 *917:A0 *187:7 4.02438e-05 +26 *186:8 *187:16 0 +*RES +1 *863:X *187:7 11.6605 +2 *187:7 *871:A2 13.3002 +3 *187:7 *187:16 10.8998 +4 *187:16 *187:18 1.41674 +5 *187:18 *187:20 3.07775 +6 *187:20 *868:A2 19.3563 +7 *187:20 *867:A 14.4819 +8 *187:18 *864:A 13.7491 +9 *187:16 *865:A2 19.464 +*END + +*D_NET *188 0.00117396 +*CONN +*I *865:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *864:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *865:B1 0.000107027 +2 *864:Y 0.000107027 +3 *865:B1 *844:C 1.88152e-05 +4 *865:B1 *233:5 4.22447e-05 +5 *865:B1 *233:16 2.63704e-05 +6 *847:A1 *865:B1 0.000275256 +7 *865:A1 *865:B1 0.000271606 +8 *865:A2 *865:B1 0.000133963 +9 *974:D *865:B1 6.92705e-05 +10 *171:5 *865:B1 0.000122378 +*RES +1 *864:Y *865:B1 25.8769 +*END + +*D_NET *189 0.00108821 +*CONN +*I *868:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *867:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *868:B1 0.000126871 +2 *867:Y 0.000126871 +3 *868:B1 *843:A 5.07314e-05 +4 *868:A1 *868:B1 0.000117376 +5 *868:A2 *868:B1 0.000428887 +6 *973:D *868:B1 2.57986e-05 +7 *172:5 *868:B1 0.000211679 +*RES +1 *867:Y *868:B1 25.3223 +*END + +*D_NET *190 0.000920731 +*CONN +*I *871:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *870:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *871:B1 0.000152889 +2 *870:Y 0.000152889 +3 *871:B1 *869:A 7.50722e-05 +4 *871:B1 *231:17 4.11567e-05 +5 *871:A1 *871:B1 4.87301e-05 +6 *871:A2 *871:B1 0.000271736 +7 *917:A0 *871:B1 4.58003e-05 +8 *972:D *871:B1 6.64392e-05 +9 *50:8 *871:B1 7.77309e-06 +10 *187:16 *871:B1 5.82465e-05 +*RES +1 *870:Y *871:B1 34.2118 +*END + +*D_NET *191 0.000666716 +*CONN +*I *873:B I *D sky130_fd_sc_hd__nand3b_1 +*I *896:A I *D sky130_fd_sc_hd__nand2_1 +*I *872:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *873:B 5.00571e-06 +2 *896:A 0.000173985 +3 *872:Y 9.9944e-05 +4 *191:6 0.000278934 +5 *873:B *226:11 5.76947e-06 +6 *896:A *873:A_N 2.99287e-05 +7 *896:A *873:C 2.96715e-05 +8 *896:A *925:A1 1.27331e-05 +9 *896:A *224:49 0 +10 *896:A *224:54 0 +11 *896:A *226:11 4.60717e-06 +12 *191:6 *925:A1 2.61377e-05 +13 *191:6 *224:54 0 +*RES +1 *872:Y *191:6 15.9964 +2 *191:6 *896:A 18.1265 +3 *191:6 *873:B 13.9421 +*END + +*D_NET *192 0.000436622 +*CONN +*I *874:B I *D sky130_fd_sc_hd__xnor2_1 +*I *873:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *874:B 5.36096e-05 +2 *873:Y 5.36096e-05 +3 *874:B *225:28 0.000260996 +4 *874:B *226:11 6.84067e-05 +*RES +1 *873:Y *874:B 21.4401 +*END + +*D_NET *193 0.00139445 +*CONN +*I *876:A I *D sky130_fd_sc_hd__buf_1 +*I *875:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *876:A 0.000420561 +2 *875:X 0.000420561 +3 *876:A *279:10 4.46057e-05 +4 *4:10 *876:A 0.000508721 +*RES +1 *875:X *876:A 35.8756 +*END + +*D_NET *194 0.000854388 +*CONN +*I *883:A2 I *D sky130_fd_sc_hd__o21bai_2 +*I *881:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *883:A2 0.000239072 +2 *881:Y 0.000239072 +3 *883:A2 *809:A 0.000203794 +4 *883:A2 *983:CLK 6.61829e-06 +5 *817:C *883:A2 2.74378e-05 +6 *986:D *883:A2 0 +7 *156:38 *883:A2 0.000138395 +*RES +1 *881:Y *883:A2 34.6271 +*END + +*D_NET *195 0.0003436 +*CONN +*I *883:B1_N I *D sky130_fd_sc_hd__o21bai_2 +*I *882:X O *D sky130_fd_sc_hd__and2b_2 +*CAP +1 *883:B1_N 0.000114665 +2 *882:X 0.000114665 +3 *883:B1_N *943:A0 0.000114271 +*RES +1 *882:X *883:B1_N 21.8741 +*END + +*D_NET *196 0.00038228 +*CONN +*I *886:B2 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *885:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *886:B2 0.000126382 +2 *885:Y 0.000126382 +3 *886:B2 *926:A1 0.000118561 +4 *886:B2 *272:7 1.09551e-05 +*RES +1 *885:Y *886:B2 22.5975 +*END + +*D_NET *197 0.000233638 +*CONN +*I *889:B I *D sky130_fd_sc_hd__xor2_1 +*I *888:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *889:B 6.32306e-05 +2 *888:Y 6.32306e-05 +3 *889:B *918:A1 3.31882e-05 +4 *847:A1 *889:B 3.94997e-05 +5 *42:19 *889:B 3.44886e-05 +*RES +1 *888:Y *889:B 29.7455 +*END + +*D_NET *198 0.000263308 +*CONN +*I *893:B I *D sky130_fd_sc_hd__xor2_1 +*I *892:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *893:B 0.000100787 +2 *892:Y 0.000100787 +3 *893:B *931:S 1.90335e-05 +4 *893:B *245:39 4.27003e-05 +*RES +1 *892:Y *893:B 30.1608 +*END + +*D_NET *199 0.000473055 +*CONN +*I *899:B I *D sky130_fd_sc_hd__xor2_1 +*I *898:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *899:B 0.000220634 +2 *898:Y 0.000220634 +3 *927:A0 *899:B 3.17869e-05 +*RES +1 *898:Y *899:B 32.6874 +*END + +*D_NET *200 0.00023945 +*CONN +*I *903:B I *D sky130_fd_sc_hd__xor2_1 +*I *902:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *903:B 2.97807e-05 +2 *902:Y 2.97807e-05 +3 *903:B *752:A 7.16893e-05 +4 *903:B *902:B 6.64392e-05 +5 *903:B *903:A 4.17605e-05 +*RES +1 *902:Y *903:B 20.3309 +*END + +*D_NET *201 0.000356181 +*CONN +*I *909:B I *D sky130_fd_sc_hd__xor2_1 +*I *908:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *909:B 9.531e-05 +2 *908:Y 9.531e-05 +3 *909:B *800:B 3.12316e-05 +4 *909:B *909:A 6.92705e-05 +5 *909:B *315:10 0 +6 *1004:A *909:B 6.50586e-05 +*RES +1 *908:Y *909:B 30.4689 +*END + +*D_NET *202 0.00352222 +*CONN +*I *926:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *925:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *944:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *926:A0 0.000168441 +2 *925:A0 6.57174e-05 +3 *944:X 0.000639171 +4 *202:8 0.000873329 +5 *925:A0 *925:S 6.88361e-05 +6 *925:A0 *970:RESET_B 0.000374918 +7 *925:A0 *279:10 6.53312e-05 +8 *925:A0 *279:20 1.37531e-05 +9 *926:A0 *926:A1 0.000334834 +10 *926:A0 *926:S 0.000302181 +11 *926:A0 *293:24 6.27782e-05 +12 *202:8 *926:A1 0.000133892 +13 *202:8 *926:S 5.35113e-05 +14 *202:8 *944:A0 5.10995e-05 +15 *202:8 *944:S 0.000110297 +16 *202:8 *970:RESET_B 3.25539e-05 +17 *202:8 *999:CLK 5.04829e-06 +18 *202:8 *251:17 7.53328e-06 +19 *202:8 *300:284 0.000158997 +*RES +1 *944:X *202:8 25.4541 +2 *202:8 *925:A0 17.8002 +3 *202:8 *926:A0 21.2876 +*END + +*D_NET *203 0.00044428 +*CONN +*I *918:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *917:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *918:A0 0.000121872 +2 *917:X 0.000121872 +3 *918:A0 *231:30 1.79672e-05 +4 *870:A *918:A0 7.50722e-05 +5 *917:A0 *918:A0 0.000107496 +*RES +1 *917:X *918:A0 30.193 +*END + +*D_NET *204 0.000247611 +*CONN +*I *914:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *913:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *914:A0 0.000123805 +2 *913:X 0.000123805 +3 *914:A0 *914:S 0 +4 *914:A0 *232:8 0 +*RES +1 *913:X *914:A0 30.1608 +*END + +*D_NET *205 0.000297433 +*CONN +*I *939:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *938:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *939:A0 8.51195e-05 +2 *938:X 8.51195e-05 +3 *939:A0 *888:B 0 +4 *939:A0 *913:A1 0 +5 *939:A0 *939:A1 0.000127194 +6 *939:A0 *299:8 0 +*RES +1 *938:X *939:A0 30.1608 +*END + +*D_NET *206 0.000258546 +*CONN +*I *937:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *936:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *937:A0 0.000107084 +2 *936:X 0.000107084 +3 *937:A0 *937:A1 2.99929e-05 +4 *937:A0 *983:CLK 0 +5 *936:S *937:A0 1.43848e-05 +*RES +1 *936:X *937:A0 29.6384 +*END + +*D_NET *207 0.00106014 +*CONN +*I *934:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *933:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *934:A0 0.000157721 +2 *933:X 0.000157721 +3 *934:A0 *892:A 0.000223064 +4 *934:A0 *892:B 0.000179996 +5 *934:A0 *932:A0 6.64392e-05 +6 *934:A0 *934:S 4.09471e-05 +7 *934:A0 *235:5 2.74378e-05 +8 *934:A0 *291:41 0.000206817 +*RES +1 *933:X *934:A0 25.3464 +*END + +*D_NET *208 0.000820334 +*CONN +*I *931:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *930:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *931:A0 0.00021621 +2 *930:X 0.00021621 +3 *931:A0 *226:28 0.000387915 +*RES +1 *930:X *931:A0 22.5493 +*END + +*D_NET *209 0.000852513 +*CONN +*I *916:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *915:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *916:A0 0.000271959 +2 *915:X 0.000271959 +3 *915:A0 *916:A0 0.000308596 +*RES +1 *915:X *916:A0 32.4114 +*END + +*D_NET *210 0.000852431 +*CONN +*I *941:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *940:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *941:A0 0.000261384 +2 *940:X 0.000261384 +3 *941:A0 *940:A1 0 +4 *941:A0 *220:79 1.07248e-05 +5 *941:A0 *252:90 4.01437e-05 +6 *941:A0 *271:64 9.22013e-06 +7 *940:S *941:A0 0.000101873 +8 *46:31 *941:A0 0.000167701 +*RES +1 *940:X *941:A0 33.1026 +*END + +*D_NET *211 0.000983444 +*CONN +*I *928:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *927:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *928:A0 0.000139408 +2 *927:X 0.000139408 +3 *928:A0 *928:A1 0.000412463 +4 *928:A0 *928:S 0.000271124 +5 *927:S *928:A0 0 +6 *46:31 *928:A0 2.10397e-05 +*RES +1 *927:X *928:A0 24.6472 +*END + +*D_NET *212 0.000557645 +*CONN +*I *912:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *911:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *912:A0 0.000147815 +2 *911:X 0.000147815 +3 *912:A0 *912:S 6.36477e-05 +4 *912:A0 *222:118 0 +5 *912:A0 *223:39 0.00010471 +6 *912:A0 *250:34 6.36477e-05 +7 *911:S *912:A0 3.00084e-05 +*RES +1 *911:X *912:A0 31.1923 +*END + +*D_NET *213 0.000907023 +*CONN +*I *924:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *923:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *924:A0 0.000113326 +2 *923:X 0.000113326 +3 *924:A0 *924:A1 0.000259879 +4 *18:43 *924:A0 0.000420492 +*RES +1 *923:X *924:A0 23.6826 +*END + +*D_NET *214 0.00223689 +*CONN +*I *921:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *920:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *921:A0 0.00070707 +2 *920:X 0.00070707 +3 *921:A0 *262:11 0.000107496 +4 *921:A0 *262:18 0.000190302 +5 *760:A *921:A0 0.000112698 +6 *761:B1_N *921:A0 0.000299864 +7 *762:A *921:A0 6.08985e-05 +8 *116:31 *921:A0 5.14919e-05 +*RES +1 *920:X *921:A0 33.8343 +*END + +*D_NET *215 0.00425681 +*CONN +*I *1009:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1006:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1003:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *1009:A 0.000266475 +2 *1006:A 0.00121633 +3 *1003:X 0 +4 *215:4 0.00148281 +5 *1006:A *875:A0 5.03691e-05 +6 *1006:A *1012:A 1.41976e-05 +7 *1006:A *219:96 0.000114594 +8 *1009:A *944:A0 0.000360313 +9 *952:D *1006:A 0.000400499 +10 *4:10 *1006:A 0.000219249 +11 *104:13 *1006:A 4.23966e-05 +12 *104:13 *1009:A 8.95725e-05 +*RES +1 *1003:X *215:4 9.24915 +2 *215:4 *1006:A 42.3242 +3 *215:4 *1009:A 18.0264 +*END + +*D_NET *216 0.00346819 +*CONN +*I *1010:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1007:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1004:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *1010:A 0.000581073 +2 *1007:A 0.000597171 +3 *1004:X 0.000114041 +4 *216:8 0.00129229 +5 *1007:A *751:A 9.13732e-06 +6 *1007:A *837:A 8.74534e-05 +7 *1007:A *976:SET_B 4.40276e-05 +8 *1007:A *976:CLK 0.000114594 +9 *1007:A *219:7 4.80635e-06 +10 *1007:A *219:17 0 +11 *1007:A *219:57 5.0715e-05 +12 *1007:A *219:76 6.75302e-05 +13 *1007:A *219:90 0 +14 *1010:A *752:A 6.30954e-05 +15 *1010:A *222:7 0.000114594 +16 *216:8 *300:334 0.000164815 +17 *753:B *1010:A 0.000114594 +18 *977:D *1010:A 9.43222e-06 +19 *112:15 *1007:A 3.88268e-05 +20 *112:15 *216:8 0 +*RES +1 *1004:X *216:8 15.8871 +2 *216:8 *1007:A 30.0926 +3 *216:8 *1010:A 27.4549 +*END + +*D_NET *217 0.00502234 +*CONN +*I *1011:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1008:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1005:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *1011:A 0.000682178 +2 *1008:A 0.00104849 +3 *1005:X 0 +4 *217:4 0.00173066 +5 *1008:A *991:SET_B 0.000304967 +6 *1011:A *949:D 1.87611e-05 +7 *1011:A *949:CLK 9.95922e-06 +8 *1011:A *951:CLK 6.88312e-05 +9 *1011:A *223:11 8.66625e-05 +10 *1011:A *223:13 6.05743e-05 +11 *991:D *1008:A 8.71291e-05 +12 *1005:A *1011:A 9.95922e-06 +13 *8:8 *1011:A 0.000914168 +*RES +1 *1005:X *217:4 9.24915 +2 *217:4 *1008:A 25.9596 +3 *217:4 *1011:A 30.1312 +*END + +*D_NET *218 0.000911026 +*CONN +*I *875:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *1006:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *875:A1 0.000292622 +2 *1006:X 0.000292622 +3 *875:A1 *875:A0 1.50924e-05 +4 *875:A1 *875:S 3.61024e-05 +5 *875:A1 *219:96 5.50879e-05 +6 *4:10 *875:A1 0.000219501 +*RES +1 *1006:X *875:A1 36.4543 +*END + +*D_NET *219 0.0160013 +*CONN +*I *976:CLK I *D sky130_fd_sc_hd__dfstp_2 +*I *952:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *837:A I *D sky130_fd_sc_hd__inv_2 +*I *985:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *984:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *833:A I *D sky130_fd_sc_hd__inv_2 +*I *980:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *972:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *974:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *866:A I *D sky130_fd_sc_hd__inv_2 +*I *982:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1007:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *976:CLK 1.10134e-05 +2 *952:CLK 0 +3 *837:A 0.000748423 +4 *985:CLK 0 +5 *984:CLK 0.000307627 +6 *833:A 0 +7 *980:CLK_N 0 +8 *972:CLK_N 0 +9 *974:CLK_N 0 +10 *866:A 0.00068603 +11 *982:CLK_N 0 +12 *1007:X 0 +13 *219:96 0.0016486 +14 *219:90 0.00167294 +15 *219:76 0.000815362 +16 *219:60 0.000787861 +17 *219:57 0.000627386 +18 *219:28 0.00095301 +19 *219:26 0.000549666 +20 *219:23 0.000783919 +21 *219:17 0.00142469 +22 *219:7 0.000994944 +23 *219:4 0.000165027 +24 *837:A *976:SET_B 5.31074e-05 +25 *837:A *227:18 0 +26 *837:A *300:179 1.92172e-05 +27 *837:A *300:186 0.00031409 +28 *837:A *300:229 1.65872e-05 +29 *984:CLK *914:A1 0 +30 *984:CLK *914:S 0 +31 *984:CLK *226:28 8.52802e-05 +32 *984:CLK *245:64 0 +33 *219:17 *981:SET_B 0.000201549 +34 *219:17 *981:CLK 0.000171288 +35 *219:17 *982:RESET_B 0.000187737 +36 *219:17 *238:5 0.000196282 +37 *219:17 *238:16 0.000114584 +38 *219:23 *980:RESET_B 0.000106724 +39 *219:23 *300:41 5.2092e-05 +40 *219:23 *300:43 0.000319015 +41 *219:60 *814:A1 0.000475459 +42 *219:60 *226:28 4.84944e-05 +43 *219:96 *747:A1 0 +44 *219:96 *751:A 4.69495e-06 +45 *219:96 *901:B 0 +46 *219:96 *902:B 7.12632e-06 +47 *219:96 *940:A1 0 +48 *219:96 *976:SET_B 0 +49 *219:96 *251:36 0 +50 *219:96 *260:10 0 +51 *219:96 *262:18 0.000133031 +52 *219:96 *270:10 0 +53 *219:96 *293:51 0 +54 *219:96 *300:253 0 +55 *821:C *984:CLK 0 +56 *821:C *219:60 0 +57 *831:A *219:60 0 +58 *831:A *219:96 0 +59 *838:A *219:60 0.000141764 +60 *848:A *219:60 6.0923e-05 +61 *848:B *219:60 4.3116e-06 +62 *848:C *219:60 0 +63 *875:A1 *219:96 5.50879e-05 +64 *976:D *219:60 0 +65 *980:D *219:23 0.000171419 +66 *982:D *219:17 0.000139242 +67 *985:D *984:CLK 6.1252e-05 +68 *1006:A *219:96 0.000114594 +69 *1007:A *837:A 8.74534e-05 +70 *1007:A *976:CLK 0.000114594 +71 *1007:A *219:7 4.80635e-06 +72 *1007:A *219:17 0 +73 *1007:A *219:57 5.0715e-05 +74 *1007:A *219:76 6.75302e-05 +75 *1007:A *219:90 0 +76 *41:8 *219:60 4.07622e-05 +77 *129:16 *219:96 0 +78 *155:10 *219:60 0.000203993 +*RES +1 *1007:X *219:4 9.24915 +2 *219:4 *219:7 1.85672 +3 *219:7 *982:CLK_N 9.24915 +4 *219:7 *219:17 28.5018 +5 *219:17 *219:23 24.9968 +6 *219:23 *219:26 11.315 +7 *219:26 *219:28 6.81502 +8 *219:28 *866:A 30.5765 +9 *219:28 *974:CLK_N 13.7491 +10 *219:26 *972:CLK_N 13.7491 +11 *219:23 *980:CLK_N 9.24915 +12 *219:17 *833:A 9.24915 +13 *219:4 *219:57 2.38721 +14 *219:57 *219:60 20.4506 +15 *219:60 *984:CLK 22.2574 +16 *219:60 *985:CLK 13.7491 +17 *219:57 *219:76 1.8326 +18 *219:76 *837:A 33.8548 +19 *219:76 *219:90 0.578717 +20 *219:90 *219:96 46.9912 +21 *219:96 *952:CLK 9.24915 +22 *219:90 *976:CLK 10.5271 +*END + +*D_NET *220 0.0128852 +*CONN +*I *950:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *778:A I *D sky130_fd_sc_hd__inv_2 +*I *996:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *997:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *774:A I *D sky130_fd_sc_hd__inv_2 +*I *794:A I *D sky130_fd_sc_hd__inv_2 +*I *990:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *988:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *994:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *992:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1008:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *950:CLK 0.000185819 +2 *778:A 0 +3 *996:CLK 0 +4 *997:CLK 0.000218799 +5 *774:A 2.06324e-05 +6 *794:A 0.000749733 +7 *990:CLK_N 0 +8 *988:CLK_N 0 +9 *994:CLK_N 0 +10 *992:CLK_N 0 +11 *1008:X 0 +12 *220:79 0.000356601 +13 *220:41 0.00101229 +14 *220:32 0.000532586 +15 *220:25 0.000663507 +16 *220:18 0.00103818 +17 *220:13 0.000954597 +18 *220:11 0.000799541 +19 *220:9 0.000721532 +20 *220:5 0.000600838 +21 *794:A *784:A 3.13805e-06 +22 *794:A *785:C 9.95542e-06 +23 *794:A *989:CLK 0.000465219 +24 *794:A *990:RESET_B 4.01715e-05 +25 *794:A *259:7 0.000159032 +26 *950:CLK *941:A1 0.000118166 +27 *950:CLK *251:35 0.000221747 +28 *997:CLK *993:SET_B 0.00010151 +29 *220:9 *921:S 6.79679e-05 +30 *220:9 *262:11 0.000109951 +31 *220:9 *271:49 0.000158651 +32 *220:9 *271:64 0.000334664 +33 *220:9 *300:141 2.82583e-05 +34 *220:11 *264:8 0.000111102 +35 *220:11 *300:141 0.000265993 +36 *220:13 *993:CLK 0.000171288 +37 *220:13 *264:8 0.000139764 +38 *220:13 *300:40 0.000149195 +39 *220:13 *300:141 4.66876e-05 +40 *220:79 *941:A1 0.000268824 +41 *220:79 *252:90 0 +42 *220:79 *271:64 0.000210049 +43 *220:79 *271:65 6.08467e-05 +44 *756:A *220:9 2.15801e-05 +45 *785:A *794:A 5.64241e-05 +46 *785:B *794:A 8.21761e-06 +47 *789:C *220:9 0.000219009 +48 *789:C *220:79 4.53418e-05 +49 *796:A1 *794:A 2.91008e-06 +50 *796:B1 *794:A 0.000216458 +51 *941:A0 *220:79 1.07248e-05 +52 *989:D *794:A 2.29454e-05 +53 *990:D *220:41 2.78772e-05 +54 *992:D *220:25 2.78772e-05 +55 *993:D *220:13 6.3657e-05 +56 *994:D *220:25 3.01634e-05 +57 *996:D *220:9 0.000304382 +58 *997:D *997:CLK 2.78772e-05 +59 *18:27 *220:9 0.000158637 +60 *108:18 *220:9 7.44794e-06 +61 *129:16 *950:CLK 0.000148652 +62 *129:16 *220:79 0.000362123 +63 *132:5 *794:A 2.60765e-05 +*RES +1 *1008:X *220:5 13.7491 +2 *220:5 *220:9 21.7286 +3 *220:9 *220:11 10.7063 +4 *220:11 *220:13 10.7063 +5 *220:13 *220:18 19.9478 +6 *220:18 *992:CLK_N 13.7491 +7 *220:18 *220:25 10.5523 +8 *220:25 *994:CLK_N 13.7491 +9 *220:25 *220:32 6.81502 +10 *220:32 *988:CLK_N 13.7491 +11 *220:32 *220:41 11.315 +12 *220:41 *990:CLK_N 9.24915 +13 *220:41 *794:A 31.1198 +14 *220:13 *774:A 9.82786 +15 *220:11 *997:CLK 24.6489 +16 *220:9 *996:CLK 9.24915 +17 *220:5 *220:79 12.4593 +18 *220:79 *778:A 9.24915 +19 *220:79 *950:CLK 16.6278 +*END + +*D_NET *221 0.00224866 +*CONN +*I *944:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1009:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *944:A0 0.000445662 +2 *1009:X 0.000445662 +3 *944:A0 *944:S 3.99839e-05 +4 *944:A0 *251:17 0.000289185 +5 *944:A0 *271:10 0.000215771 +6 *944:A0 *300:273 0.000219777 +7 *1009:A *944:A0 0.000360313 +8 *104:13 *944:A0 0.00018121 +9 *202:8 *944:A0 5.10995e-05 +*RES +1 *1009:X *944:A0 43.6639 +*END + +*D_NET *222 0.017887 +*CONN +*I *957:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *958:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *977:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *978:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *956:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *946:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *975:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *947:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *948:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *943:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *971:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *983:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *986:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1010:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *957:CLK 7.36152e-05 +2 *958:CLK 6.35683e-06 +3 *977:CLK 0.000224398 +4 *978:CLK 0.000102083 +5 *956:CLK 0.000326298 +6 *946:CLK 2.64456e-05 +7 *975:CLK 0 +8 *947:CLK 0.000431089 +9 *948:CLK 0 +10 *943:A1 0 +11 *971:CLK 0 +12 *983:CLK 0.00065281 +13 *986:CLK 0.000216687 +14 *1010:X 0.000112042 +15 *222:124 3.23186e-05 +16 *222:122 8.47313e-05 +17 *222:121 0.000144391 +18 *222:118 0.00104809 +19 *222:93 0.000724347 +20 *222:82 2.64456e-05 +21 *222:69 0.000963119 +22 *222:59 0.00104959 +23 *222:49 0.000611487 +24 *222:46 0.000478609 +25 *222:38 0.000193787 +26 *222:24 0.0010455 +27 *222:10 0.000828961 +28 *222:8 0.000731714 +29 *222:7 0.00118356 +30 *946:CLK *946:D 2.65831e-05 +31 *947:CLK *975:RESET_B 8.01837e-05 +32 *947:CLK *291:15 1.65669e-05 +33 *947:CLK *291:28 2.9952e-05 +34 *956:CLK *956:D 4.5332e-05 +35 *957:CLK *957:RESET_B 3.35529e-05 +36 *958:CLK *957:RESET_B 6.92705e-05 +37 *958:CLK *279:10 3.00829e-05 +38 *977:CLK *227:14 6.08467e-05 +39 *978:CLK *300:354 0 +40 *978:CLK *315:10 0.000122992 +41 *983:CLK *874:A 9.03762e-06 +42 *983:CLK *937:A1 0 +43 *983:CLK *943:A0 2.78772e-05 +44 *983:CLK *224:16 0 +45 *983:CLK *224:34 0 +46 *983:CLK *246:6 6.00124e-05 +47 *222:10 *895:A 3.34839e-05 +48 *222:10 *986:SET_B 0 +49 *222:10 *227:14 0 +50 *222:10 *227:18 0 +51 *222:24 *895:A 0.000117376 +52 *222:24 *224:49 0.000462746 +53 *222:24 *225:28 6.1735e-05 +54 *222:24 *225:32 0.000354189 +55 *222:24 *227:30 0.000758805 +56 *222:24 *246:11 2.44599e-05 +57 *222:38 *224:49 0.000390877 +58 *222:38 *246:11 0.000102533 +59 *222:46 *230:7 0.000104748 +60 *222:46 *246:11 0.000180302 +61 *222:59 *959:CLK 0 +62 *222:59 *304:14 0.000115656 +63 *222:69 *946:D 5.65056e-05 +64 *222:69 *959:D 3.38355e-06 +65 *222:69 *962:RESET_B 9.13394e-06 +66 *222:69 *975:RESET_B 0.000219139 +67 *222:69 *224:11 5.12492e-05 +68 *222:69 *297:11 0 +69 *222:69 *300:376 8.16827e-05 +70 *222:69 *300:378 0.000122068 +71 *222:93 *971:SET_B 0 +72 *222:93 *300:354 0 +73 *222:118 *741:B_N 2.652e-05 +74 *222:118 *944:A1 0 +75 *222:118 *949:D 0.000129235 +76 *222:118 *250:34 0.000429019 +77 *222:118 *260:44 0 +78 *222:118 *271:10 5.83492e-05 +79 *222:121 *957:RESET_B 2.9341e-05 +80 *742:A *222:118 2.0456e-06 +81 *742:B *222:118 9.67844e-05 +82 *750:B1 *222:118 0.00030565 +83 *765:A1 *222:118 5.12484e-05 +84 *765:A2 *222:118 0.000224456 +85 *817:C *983:CLK 9.75356e-05 +86 *823:A *983:CLK 6.92004e-05 +87 *860:A1 *222:69 3.07133e-05 +88 *860:B1 *222:59 0 +89 *883:A2 *983:CLK 6.61829e-06 +90 *912:A0 *222:118 0 +91 *932:S *222:10 2.1389e-05 +92 *937:A0 *983:CLK 0 +93 *943:S *222:49 0.000139817 +94 *943:S *222:93 0.000114659 +95 *975:D *947:CLK 1.29348e-05 +96 *977:D *222:8 0 +97 *977:D *222:10 0 +98 *983:D *983:CLK 0.000130777 +99 *995:D *222:118 0 +100 *999:D *222:118 0.000103124 +101 *1010:A *222:7 0.000114594 +102 *19:42 *983:CLK 0.000118485 +103 *149:22 *983:CLK 0.000210992 +104 *156:31 *986:CLK 2.84758e-05 +105 *156:38 *983:CLK 0.000154204 +*RES +1 *1010:X *222:7 15.5817 +2 *222:7 *222:8 4.32351 +3 *222:8 *222:10 7.23027 +4 *222:10 *986:CLK 18.5201 +5 *222:10 *222:24 19.8213 +6 *222:24 *983:CLK 38.6698 +7 *222:24 *222:38 5.18434 +8 *222:38 *971:CLK 9.24915 +9 *222:38 *222:46 9.10562 +10 *222:46 *222:49 7.1625 +11 *222:49 *943:A1 9.24915 +12 *222:49 *222:59 18.4837 +13 *222:59 *948:CLK 9.24915 +14 *222:59 *222:69 19.133 +15 *222:69 *947:CLK 23.1095 +16 *222:69 *222:82 4.5 +17 *222:82 *975:CLK 9.24915 +18 *222:82 *946:CLK 9.97254 +19 *222:46 *222:93 6.81502 +20 *222:93 *956:CLK 21.6824 +21 *222:93 *978:CLK 16.8269 +22 *222:8 *977:CLK 18.9094 +23 *222:7 *222:118 29.1709 +24 *222:118 *222:121 10.5271 +25 *222:121 *222:122 81.1229 +26 *222:122 *222:124 42.9474 +27 *222:124 *958:CLK 19.2217 +28 *222:118 *957:CLK 11.5761 +*END + +*D_NET *223 0.0127091 +*CONN +*I *1000:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1002:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *987:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *945:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *999:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *998:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1001:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *995:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *949:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *951:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1011:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1000:CLK 0 +2 *1002:CLK 0.000208033 +3 *987:CLK 0 +4 *945:A1 0 +5 *999:CLK 0.000232676 +6 *998:CLK 0.000127513 +7 *1001:CLK 0.000138917 +8 *995:CLK 0.000149234 +9 *949:CLK 5.01308e-05 +10 *951:CLK 0.000377939 +11 *1011:X 0 +12 *223:98 0.00106191 +13 *223:89 0.00118945 +14 *223:77 0.000564761 +15 *223:39 0.000689337 +16 *223:34 0.00076766 +17 *223:13 0.000824322 +18 *223:11 0.000862898 +19 *223:5 0.000460361 +20 *223:4 0.000229186 +21 *951:CLK *743:B 0 +22 *951:CLK *745:A1 0 +23 *951:CLK *745:A2 1.33261e-05 +24 *951:CLK *250:53 0.000114523 +25 *999:CLK *926:A1 0.000162506 +26 *999:CLK *970:RESET_B 3.20069e-06 +27 *999:CLK *998:SET_B 6.21927e-05 +28 *999:CLK *251:17 7.07451e-05 +29 *1001:CLK *911:A1 6.27782e-05 +30 *1002:CLK *1000:SET_B 0 +31 *1002:CLK *300:443 0 +32 *223:11 *742:C 0.000208606 +33 *223:11 *926:A1 7.37111e-05 +34 *223:11 *998:SET_B 2.96001e-05 +35 *223:13 *949:D 3.18826e-06 +36 *223:34 *742:C 4.92115e-05 +37 *223:39 *900:A 4.69495e-06 +38 *223:39 *911:A1 0.000109421 +39 *223:39 *250:34 0 +40 *223:89 *945:A0 3.00829e-05 +41 *223:89 *987:SET_B 0 +42 *223:89 *251:17 0.000335825 +43 *223:89 *252:8 1.79196e-05 +44 *223:89 *252:10 5.59719e-05 +45 *223:89 *256:10 9.29919e-05 +46 *223:89 *293:9 0.000499439 +47 *223:89 *315:10 0 +48 *223:98 *965:CLK 0 +49 *223:98 *969:D 6.50727e-05 +50 *223:98 *969:SET_B 2.29084e-05 +51 *223:98 *251:17 9.13962e-05 +52 *223:98 *293:9 0.00022683 +53 *223:98 *300:449 0 +54 *223:98 *300:458 0 +55 *223:98 *300:466 4.32588e-05 +56 *223:98 *300:478 2.36813e-05 +57 *739:A *223:98 3.30805e-05 +58 *746:A2 *998:CLK 0.000142632 +59 *746:A2 *223:34 0.000166411 +60 *746:B1 *223:34 2.02035e-05 +61 *755:C *951:CLK 1.66771e-05 +62 *759:B *995:CLK 7.7502e-05 +63 *759:B *223:34 0 +64 *759:B *223:39 0 +65 *911:A0 *223:39 2.8322e-05 +66 *911:S *223:39 0.000211539 +67 *912:A0 *223:39 0.00010471 +68 *987:D *223:89 6.08467e-05 +69 *995:D *995:CLK 4.01437e-05 +70 *998:D *998:CLK 0.000114594 +71 *998:D *223:34 0 +72 *999:D *999:CLK 2.57986e-05 +73 *1002:D *1002:CLK 7.21184e-06 +74 *1011:A *949:CLK 9.95922e-06 +75 *1011:A *951:CLK 6.88312e-05 +76 *1011:A *223:11 8.66625e-05 +77 *1011:A *223:13 6.05743e-05 +78 *8:8 *223:11 1.32618e-05 +79 *8:8 *223:77 0.000750132 +80 *8:8 *223:89 0.000110458 +81 *104:13 *223:34 2.87279e-05 +82 *106:6 *951:CLK 1.91391e-05 +83 *113:7 *998:CLK 9.92226e-05 +84 *202:8 *999:CLK 5.04829e-06 +*RES +1 *1011:X *223:4 9.24915 +2 *223:4 *223:5 4.5 +3 *223:5 *223:11 11.6557 +4 *223:11 *223:13 5.71483 +5 *223:13 *951:CLK 25.2708 +6 *223:13 *949:CLK 10.6477 +7 *223:11 *223:34 10.4845 +8 *223:34 *223:39 13.4263 +9 *223:39 *995:CLK 22.5727 +10 *223:39 *1001:CLK 12.7697 +11 *223:34 *998:CLK 19.1023 +12 *223:5 *999:CLK 20.8779 +13 *223:4 *223:77 8.48785 +14 *223:77 *945:A1 9.24915 +15 *223:77 *223:89 25.7258 +16 *223:89 *987:CLK 9.24915 +17 *223:89 *223:98 27.4112 +18 *223:98 *1002:CLK 18.6595 +19 *223:98 *1000:CLK 13.7491 +*END + +*D_NET *224 0.0180355 +*CONN +*I *946:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *810:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *873:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *849:A I *D sky130_fd_sc_hd__nor3_1 +*I *851:S I *D sky130_fd_sc_hd__mux2_1 +*I *853:S I *D sky130_fd_sc_hd__mux2_1 +*I *814:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *846:B1 I *D sky130_fd_sc_hd__o211ai_1 +*I *917:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *918:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *882:A_N I *D sky130_fd_sc_hd__and2b_2 +*I *936:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *937:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *962:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *946:D 0.000382226 +2 *810:B1 2.06324e-05 +3 *873:A_N 5.4055e-05 +4 *849:A 0 +5 *851:S 0 +6 *853:S 0 +7 *814:B1 0 +8 *846:B1 0 +9 *917:A1 0.000181834 +10 *918:A1 0.000518713 +11 *882:A_N 2.0095e-05 +12 *936:A1 2.75472e-05 +13 *937:A1 0.000131628 +14 *962:Q 0 +15 *224:89 0.000807068 +16 *224:87 0.000789764 +17 *224:78 0.0010431 +18 *224:70 0.00110394 +19 *224:62 0.000971536 +20 *224:54 0.000363774 +21 *224:49 0.000772744 +22 *224:34 0.000886906 +23 *224:16 0.000357829 +24 *224:15 0.000667951 +25 *224:11 0.000475632 +26 *224:4 0.000652374 +27 *810:B1 *959:CLK 0 +28 *873:A_N *873:C 6.64392e-05 +29 *882:A_N *943:A0 0.000114594 +30 *918:A1 *889:A 7.22498e-05 +31 *937:A1 *234:21 6.78549e-05 +32 *946:D *959:D 0.000190725 +33 *946:D *975:RESET_B 0.000343432 +34 *946:D *300:378 0.000111343 +35 *224:11 *810:A1 5.07314e-05 +36 *224:11 *304:14 3.20069e-06 +37 *224:11 *304:18 4.70005e-05 +38 *224:11 *304:19 1.92172e-05 +39 *224:15 *859:B 0.000171288 +40 *224:15 *304:19 0.000120742 +41 *224:15 *304:23 3.93284e-05 +42 *224:15 *304:27 6.39797e-05 +43 *224:49 *849:C 5.22654e-06 +44 *224:49 *227:30 9.92788e-05 +45 *224:49 *230:7 0.000259225 +46 *224:54 *849:C 7.46523e-05 +47 *224:54 *228:29 4.88955e-05 +48 *224:62 *849:C 2.65831e-05 +49 *224:62 *986:SET_B 0.00016754 +50 *224:62 *226:17 7.44794e-06 +51 *224:62 *228:9 0.000388961 +52 *224:62 *228:29 0.000377273 +53 *224:62 *300:229 7.09666e-06 +54 *224:70 *853:A1 0.000100319 +55 *224:70 *228:9 6.3657e-05 +56 *224:78 *814:A1 0.000117376 +57 *224:78 *814:A2 0.000141179 +58 *224:78 *853:A1 6.27718e-05 +59 *224:78 *932:A0 9.3134e-05 +60 *224:78 *979:CLK 6.27782e-05 +61 *224:78 *298:5 2.37851e-05 +62 *224:87 *814:A1 0.000307078 +63 *224:87 *825:A 0.000262021 +64 *224:87 *841:A 0.000252337 +65 *224:87 *846:A2 3.20964e-05 +66 *224:87 *298:5 5.97948e-05 +67 *811:C *224:15 3.60142e-05 +68 *817:C *224:34 0 +69 *832:B2 *224:87 1.68951e-06 +70 *841:C *917:A1 4.79157e-05 +71 *846:C1 *224:87 0.000116255 +72 *847:A1 *917:A1 1.41976e-05 +73 *847:A1 *918:A1 0.000243663 +74 *847:A2 *918:A1 7.77309e-06 +75 *847:A2 *224:87 2.08727e-05 +76 *847:A2 *224:89 5.64625e-05 +77 *851:A0 *224:70 5.51483e-06 +78 *852:A *224:70 1.83827e-06 +79 *853:A0 *224:78 1.00901e-05 +80 *860:A1 *224:11 6.3657e-05 +81 *860:A1 *224:15 0.00016763 +82 *860:A2 *224:11 0.000204057 +83 *860:A2 *224:15 0.000175485 +84 *860:B1 *224:11 4.3116e-06 +85 *870:A *918:A1 4.30017e-06 +86 *870:B *918:A1 0 +87 *882:B *882:A_N 4.87439e-05 +88 *889:B *918:A1 3.31882e-05 +89 *896:A *873:A_N 2.99287e-05 +90 *896:A *224:49 0 +91 *896:A *224:54 0 +92 *917:S *917:A1 6.50586e-05 +93 *917:S *918:A1 0 +94 *929:S *224:87 5.31465e-05 +95 *932:S *224:62 0.000248196 +96 *932:S *224:70 0.000404908 +97 *936:A0 *936:A1 2.99287e-05 +98 *936:S *936:A1 0.000114594 +99 *937:A0 *937:A1 2.99929e-05 +100 *938:S *918:A1 1.80122e-05 +101 *946:CLK *946:D 2.65831e-05 +102 *975:D *946:D 1.07248e-05 +103 *983:CLK *937:A1 0 +104 *983:CLK *224:16 0 +105 *983:CLK *224:34 0 +106 *21:7 *224:87 2.74378e-05 +107 *42:19 *918:A1 0 +108 *42:30 *918:A1 0 +109 *191:6 *224:54 0 +110 *222:24 *224:49 0.000462746 +111 *222:38 *224:49 0.000390877 +112 *222:69 *946:D 5.65056e-05 +113 *222:69 *224:11 5.12492e-05 +*RES +1 *962:Q *224:4 9.24915 +2 *224:4 *224:11 16.5763 +3 *224:11 *224:15 12.4332 +4 *224:15 *224:16 4.32351 +5 *224:16 *937:A1 16.7198 +6 *224:16 *936:A1 15.0271 +7 *224:15 *224:34 6.39977 +8 *224:34 *882:A_N 15.0271 +9 *224:34 *224:49 25.5831 +10 *224:49 *224:54 7.8859 +11 *224:54 *224:62 19.6252 +12 *224:62 *224:70 14.5161 +13 *224:70 *224:78 19.9011 +14 *224:78 *224:87 21.3893 +15 *224:87 *224:89 2.6625 +16 *224:89 *918:A1 26.4453 +17 *224:89 *917:A1 18.3789 +18 *224:87 *846:B1 13.7491 +19 *224:78 *814:B1 9.24915 +20 *224:70 *853:S 9.24915 +21 *224:62 *851:S 9.24915 +22 *224:54 *849:A 9.24915 +23 *224:49 *873:A_N 15.2053 +24 *224:11 *810:B1 9.82786 +25 *224:4 *946:D 30.7609 +*END + +*D_NET *225 0.00968954 +*CONN +*I *1031:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *1032:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *814:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *1029:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *963:Q O *D sky130_fd_sc_hd__dfstp_4 +*CAP +1 *1031:A 7.7025e-05 +2 *1032:A 0 +3 *814:A2 0.0001551 +4 *1029:A 0.000184766 +5 *963:Q 0 +6 *225:32 0.000832568 +7 *225:28 0.00178726 +8 *225:17 0.00128483 +9 *225:5 0.000282779 +10 *814:A2 *979:CLK 3.21102e-05 +11 *814:A2 *298:5 0.000213449 +12 *1029:A *960:D 9.73342e-05 +13 *1029:A *246:32 0.000112367 +14 *1029:A *246:40 4.95892e-05 +15 *1031:A *880:B 0.000145658 +16 *225:17 *960:D 5.28385e-05 +17 *225:28 *880:B 0.00174903 +18 *225:28 *925:A1 6.1664e-05 +19 *225:28 *226:11 0.00011169 +20 *225:28 *246:6 8.03393e-06 +21 *225:28 *297:11 0.000264612 +22 *225:32 *895:A 0.000162739 +23 *225:32 *979:CLK 1.17519e-05 +24 *225:32 *227:23 0.000187321 +25 *225:32 *227:30 7.66391e-06 +26 *874:B *225:28 0.000260996 +27 *932:A1 *225:32 6.64392e-05 +28 *935:A1 *225:32 0.000457702 +29 *943:S *225:28 0.00015901 +30 *971:D *225:28 0.000316112 +31 *222:24 *225:28 6.1735e-05 +32 *222:24 *225:32 0.000354189 +33 *224:78 *814:A2 0.000141179 +*RES +1 *963:Q *225:5 13.7491 +2 *225:5 *1029:A 19.49 +3 *225:5 *225:17 7.1625 +4 *225:17 *225:28 43.2469 +5 *225:28 *225:32 20.9905 +6 *225:32 *814:A2 14.9881 +7 *225:32 *1032:A 9.24915 +8 *225:17 *1031:A 11.6605 +*END + +*D_NET *226 0.0147081 +*CONN +*I *1036:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *935:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *930:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *814:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *938:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *846:A1 I *D sky130_fd_sc_hd__o211ai_1 +*I *939:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *964:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *1036:A 0.000163159 +2 *935:A0 0 +3 *930:A1 0 +4 *814:A1 0.000305982 +5 *938:A1 0 +6 *846:A1 0.000232038 +7 *939:A1 0.000192463 +8 *964:Q 9.16329e-05 +9 *226:35 0.000620465 +10 *226:31 0.000550371 +11 *226:28 0.00198252 +12 *226:17 0.00209043 +13 *226:11 0.00240999 +14 *226:8 0.00189649 +15 *814:A1 *841:A 4.62703e-05 +16 *814:A1 *245:64 0 +17 *814:A1 *298:5 0.000173939 +18 *846:A1 *299:8 0 +19 *939:A1 *299:8 0 +20 *1036:A *880:B 2.41827e-05 +21 *1036:A *304:5 4.78808e-05 +22 *226:8 *971:SET_B 0 +23 *226:8 *300:354 0 +24 *226:11 *873:C 0.000265301 +25 *226:11 *880:A 0.000158981 +26 *226:11 *880:B 2.60631e-05 +27 *226:11 *304:5 0.000188822 +28 *226:17 *849:C 0 +29 *226:17 *931:A1 1.59585e-05 +30 *226:17 *986:SET_B 7.0705e-05 +31 *226:17 *227:30 0 +32 *226:17 *228:9 3.31882e-05 +33 *226:17 *243:10 6.72687e-05 +34 *226:28 *931:A1 8.17375e-05 +35 *226:28 *931:S 5.0715e-05 +36 *226:28 *985:RESET_B 1.16404e-05 +37 *226:28 *236:7 1.9324e-05 +38 *226:28 *245:64 0 +39 *813:A *814:A1 0.00020376 +40 *820:A1 *226:28 2.84786e-05 +41 *823:C *226:17 0 +42 *847:A1 *846:A1 4.35077e-05 +43 *847:A1 *939:A1 0.000101274 +44 *847:A2 *846:A1 0.000209333 +45 *873:B *226:11 5.76947e-06 +46 *874:B *226:11 6.84067e-05 +47 *896:A *226:11 4.60717e-06 +48 *930:S *226:17 2.82473e-05 +49 *931:A0 *226:28 0.000387915 +50 *932:S *226:11 1.34372e-05 +51 *935:A1 *226:17 0.000118017 +52 *938:S *226:31 4.81452e-05 +53 *938:S *226:35 0.000320511 +54 *939:A0 *939:A1 0.000127194 +55 *984:CLK *226:28 8.52802e-05 +56 *40:5 *226:11 2.91639e-05 +57 *219:60 *814:A1 0.000475459 +58 *219:60 *226:28 4.84944e-05 +59 *224:62 *226:17 7.44794e-06 +60 *224:78 *814:A1 0.000117376 +61 *224:87 *814:A1 0.000307078 +62 *225:28 *226:11 0.00011169 +*RES +1 *964:Q *226:8 20.0811 +2 *226:8 *226:11 26.8139 +3 *226:11 *226:17 26.0948 +4 *226:17 *226:28 31.6562 +5 *226:28 *226:31 9.66022 +6 *226:31 *226:35 7.99641 +7 *226:35 *939:A1 19.3507 +8 *226:35 *846:A1 19.7337 +9 *226:31 *938:A1 9.24915 +10 *226:28 *814:A1 27.246 +11 *226:17 *930:A1 9.24915 +12 *226:11 *935:A0 9.24915 +13 *226:8 *1036:A 12.2151 +*END + +*D_NET *227 0.00576903 +*CONN +*I *853:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *895:B I *D sky130_fd_sc_hd__xnor2_1 +*I *849:C I *D sky130_fd_sc_hd__nor3_1 +*I *873:C I *D sky130_fd_sc_hd__nand3b_1 +*I *896:B I *D sky130_fd_sc_hd__nand2_1 +*I *894:A I *D sky130_fd_sc_hd__clkinv_2 +*I *976:Q O *D sky130_fd_sc_hd__dfstp_2 +*CAP +1 *853:A1 0.000140091 +2 *895:B 1.37002e-05 +3 *849:C 0.000248904 +4 *873:C 9.12603e-05 +5 *896:B 0 +6 *894:A 0 +7 *976:Q 0.000278274 +8 *227:32 9.12603e-05 +9 *227:30 0.000768031 +10 *227:23 0.000662694 +11 *227:18 0.000284532 +12 *227:14 0.00057303 +13 *849:C *849:B 1.24958e-05 +14 *849:C *872:A 0 +15 *849:C *872:B 4.76625e-05 +16 *849:C *925:A1 0 +17 *849:C *228:9 0 +18 *849:C *228:29 7.54269e-06 +19 *853:A1 *932:A0 0.000360702 +20 *895:B *895:A 3.5063e-05 +21 *227:30 *895:A 2.90419e-05 +22 *837:A *227:18 0 +23 *852:A *853:A1 5.04829e-06 +24 *852:A *227:14 0.000186749 +25 *853:A0 *853:A1 2.15184e-05 +26 *873:A_N *873:C 6.64392e-05 +27 *896:A *873:C 2.96715e-05 +28 *932:A1 *227:18 0.000113237 +29 *977:D *227:14 3.89261e-05 +30 *977:CLK *227:14 6.08467e-05 +31 *40:5 *873:C 1.43832e-05 +32 *222:10 *227:14 0 +33 *222:10 *227:18 0 +34 *222:24 *227:30 0.000758805 +35 *224:49 *849:C 5.22654e-06 +36 *224:49 *227:30 9.92788e-05 +37 *224:54 *849:C 7.46523e-05 +38 *224:62 *849:C 2.65831e-05 +39 *224:70 *853:A1 0.000100319 +40 *224:78 *853:A1 6.27718e-05 +41 *225:32 *227:23 0.000187321 +42 *225:32 *227:30 7.66391e-06 +43 *226:11 *873:C 0.000265301 +44 *226:17 *849:C 0 +45 *226:17 *227:30 0 +*RES +1 *976:Q *227:14 21.2409 +2 *227:14 *227:18 8.40826 +3 *227:18 *894:A 9.24915 +4 *227:18 *227:23 4.60562 +5 *227:23 *227:30 18.9993 +6 *227:30 *227:32 4.5 +7 *227:32 *896:B 9.24915 +8 *227:32 *873:C 13.3484 +9 *227:30 *849:C 20.3407 +10 *227:23 *895:B 9.82786 +11 *227:14 *853:A1 19.464 +*END + +*D_NET *228 0.00300073 +*CONN +*I *872:B I *D sky130_fd_sc_hd__nor2_1 +*I *849:B I *D sky130_fd_sc_hd__nor3_1 +*I *851:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *895:A I *D sky130_fd_sc_hd__xnor2_1 +*I *977:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *872:B 6.12091e-05 +2 *849:B 1.33884e-05 +3 *851:A1 0 +4 *895:A 0.000192789 +5 *977:Q 0 +6 *228:29 0.000386039 +7 *228:9 0.000352864 +8 *228:4 0.000471517 +9 *849:C *849:B 1.24958e-05 +10 *849:C *872:B 4.76625e-05 +11 *849:C *228:9 0 +12 *849:C *228:29 7.54269e-06 +13 *851:A0 *228:9 9.54218e-05 +14 *895:B *895:A 3.5063e-05 +15 *932:S *895:A 4.70559e-05 +16 *932:S *228:9 2.30636e-05 +17 *222:10 *895:A 3.34839e-05 +18 *222:24 *895:A 0.000117376 +19 *224:54 *228:29 4.88955e-05 +20 *224:62 *228:9 0.000388961 +21 *224:62 *228:29 0.000377273 +22 *224:70 *228:9 6.3657e-05 +23 *225:32 *895:A 0.000162739 +24 *226:17 *228:9 3.31882e-05 +25 *227:30 *895:A 2.90419e-05 +*RES +1 *977:Q *228:4 9.24915 +2 *228:4 *228:9 15.2983 +3 *228:9 *895:A 24.1535 +4 *228:9 *851:A1 9.24915 +5 *228:4 *228:29 5.73894 +6 *228:29 *849:B 9.82786 +7 *228:29 *872:B 11.142 +*END + +*D_NET *229 0.000815402 +*CONN +*I *872:A I *D sky130_fd_sc_hd__nor2_1 +*I *850:A I *D sky130_fd_sc_hd__xor2_1 +*I *978:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *872:A 0.00013014 +2 *850:A 0.000164526 +3 *978:Q 0 +4 *229:4 0.000294666 +5 *872:A *925:A1 0.000162423 +6 *849:C *872:A 0 +7 *978:D *850:A 6.36477e-05 +*RES +1 *978:Q *229:4 9.24915 +2 *229:4 *850:A 13.1796 +3 *229:4 *872:A 22.0503 +*END + +*D_NET *230 0.00374828 +*CONN +*I *874:A I *D sky130_fd_sc_hd__xnor2_1 +*I *943:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *971:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *874:A 0.000213639 +2 *943:A0 0.000347145 +3 *971:Q 0.000848549 +4 *230:7 0.00140933 +5 *874:A *925:A1 0 +6 *230:7 *971:SET_B 2.33374e-05 +7 *230:7 *246:11 1.86956e-05 +8 *230:7 *300:369 0.000232149 +9 *882:A_N *943:A0 0.000114594 +10 *882:B *943:A0 2.56759e-05 +11 *883:B1_N *943:A0 0.000114271 +12 *983:CLK *874:A 9.03762e-06 +13 *983:CLK *943:A0 2.78772e-05 +14 *222:46 *230:7 0.000104748 +15 *224:49 *230:7 0.000259225 +*RES +1 *971:Q *230:7 27.5057 +2 *230:7 *943:A0 22.4052 +3 *230:7 *874:A 18.4879 +*END + +*D_NET *231 0.0064032 +*CONN +*I *840:C_N I *D sky130_fd_sc_hd__nor3b_2 +*I *888:B I *D sky130_fd_sc_hd__nor2_1 +*I *887:B I *D sky130_fd_sc_hd__xnor2_1 +*I *869:A I *D sky130_fd_sc_hd__inv_2 +*I *844:C I *D sky130_fd_sc_hd__nand3_1 +*I *972:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *840:C_N 7.07818e-05 +2 *888:B 0.000105078 +3 *887:B 4.32976e-05 +4 *869:A 5.65772e-05 +5 *844:C 0.000252104 +6 *972:Q 0.000181888 +7 *231:35 0.000736824 +8 *231:30 0.00105702 +9 *231:17 0.000694521 +10 *231:5 0.000674148 +11 *844:C *973:SET_B 1.77537e-06 +12 *844:C *233:5 0.000168313 +13 *844:C *300:57 0.000108017 +14 *887:B *843:A 3.50062e-05 +15 *888:B *232:14 4.70005e-05 +16 *231:5 *300:57 0.000118166 +17 *231:30 *918:S 0 +18 *231:35 *843:A 0.000786852 +19 *847:A1 *840:C_N 7.48797e-05 +20 *864:B *840:C_N 0.000175485 +21 *865:A1 *844:C 0.000117376 +22 *865:B1 *844:C 1.88152e-05 +23 *870:A *231:30 0 +24 *871:A1 *231:17 0.000114584 +25 *871:B1 *869:A 7.50722e-05 +26 *871:B1 *231:17 4.11567e-05 +27 *913:S *231:35 7.98425e-06 +28 *917:A0 *231:17 4.66492e-05 +29 *917:A0 *231:30 0.000260388 +30 *918:A0 *231:30 1.79672e-05 +31 *939:A0 *888:B 0 +32 *972:D *231:5 2.41916e-05 +33 *972:D *231:17 6.00782e-06 +34 *50:8 *869:A 7.50722e-05 +35 *187:16 *869:A 1.78514e-05 +36 *187:16 *231:30 0.000108413 +37 *187:18 *231:30 2.99929e-05 +38 *187:20 *231:30 6.34651e-06 +39 *187:20 *231:35 4.75998e-05 +*RES +1 *972:Q *231:5 12.191 +2 *231:5 *844:C 26.4815 +3 *231:5 *231:17 4.05102 +4 *231:17 *869:A 20.4964 +5 *231:17 *231:30 13.634 +6 *231:30 *231:35 15.5821 +7 *231:35 *887:B 10.6477 +8 *231:35 *888:B 20.4964 +9 *231:30 *840:C_N 16.6932 +*END + +*D_NET *232 0.00359441 +*CONN +*I *843:A I *D sky130_fd_sc_hd__inv_2 +*I *887:A I *D sky130_fd_sc_hd__xnor2_1 +*I *888:A I *D sky130_fd_sc_hd__nor2_1 +*I *840:B I *D sky130_fd_sc_hd__nor3b_2 +*I *973:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *843:A 0.000278053 +2 *887:A 0 +3 *888:A 0 +4 *840:B 7.26983e-05 +5 *973:Q 0.000274303 +6 *232:31 0.000278053 +7 *232:14 0.000216508 +8 *232:8 0.000418113 +9 *840:B *840:A 9.08656e-05 +10 *847:A1 *840:B 0.000180006 +11 *864:B *840:B 9.57521e-05 +12 *867:B *232:8 0.000556559 +13 *868:B1 *843:A 5.07314e-05 +14 *887:B *843:A 3.50062e-05 +15 *888:B *232:14 4.70005e-05 +16 *913:S *843:A 2.44829e-05 +17 *913:S *232:8 2.19276e-05 +18 *913:S *232:14 0.000116971 +19 *914:A0 *232:8 0 +20 *42:19 *232:14 5.05252e-05 +21 *231:35 *843:A 0.000786852 +*RES +1 *973:Q *232:8 22.819 +2 *232:8 *232:14 8.31055 +3 *232:14 *840:B 12.9626 +4 *232:14 *888:A 9.24915 +5 *232:8 *232:31 4.5 +6 *232:31 *887:A 9.24915 +7 *232:31 *843:A 20.0036 +*END + +*D_NET *233 0.00400325 +*CONN +*I *889:A I *D sky130_fd_sc_hd__xor2_1 +*I *840:A I *D sky130_fd_sc_hd__nor3b_2 +*I *842:A I *D sky130_fd_sc_hd__inv_2 +*I *974:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *889:A 0.000225764 +2 *840:A 1.16921e-05 +3 *842:A 3.10085e-05 +4 *974:Q 0.000462311 +5 *233:16 0.000740513 +6 *233:5 0.000996376 +7 *889:A *918:S 0 +8 *233:5 *974:RESET_B 0.000118166 +9 *840:B *840:A 9.08656e-05 +10 *844:C *233:5 0.000168313 +11 *847:A1 *233:16 4.89898e-06 +12 *864:B *840:A 6.321e-05 +13 *864:B *842:A 1.03434e-05 +14 *864:B *233:16 0.000581086 +15 *865:A1 *233:5 6.32815e-05 +16 *865:B1 *233:5 4.22447e-05 +17 *865:B1 *233:16 2.63704e-05 +18 *913:S *233:16 2.81678e-06 +19 *918:A1 *889:A 7.22498e-05 +20 *938:S *889:A 0.00011818 +21 *974:D *233:5 4.91225e-06 +22 *42:19 *889:A 0.000106669 +23 *42:19 *233:16 1.36815e-05 +24 *171:5 *233:5 4.82966e-05 +*RES +1 *974:Q *233:5 17.1824 +2 *233:5 *842:A 10.2378 +3 *233:5 *233:16 13.4347 +4 *233:16 *840:A 14.8342 +5 *233:16 *889:A 20.3938 +*END + +*D_NET *234 0.00528268 +*CONN +*I *890:A I *D sky130_fd_sc_hd__clkinv_2 +*I *823:B I *D sky130_fd_sc_hd__nand3_1 +*I *808:C I *D sky130_fd_sc_hd__nand3_1 +*I *891:B I *D sky130_fd_sc_hd__xnor2_1 +*I *892:B I *D sky130_fd_sc_hd__nor2_1 +*I *983:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *890:A 2.12094e-05 +2 *823:B 0 +3 *808:C 0.000131631 +4 *891:B 0.00017769 +5 *892:B 0.000100197 +6 *983:Q 0.00024764 +7 *234:35 0.000309321 +8 *234:23 0.000437911 +9 *234:21 0.000805594 +10 *234:11 0.000736729 +11 *808:C *806:A 6.3657e-05 +12 *808:C *245:39 0 +13 *890:A *245:30 0 +14 *891:B *235:21 6.64392e-05 +15 *891:B *245:30 8.24277e-06 +16 *891:B *291:28 1.51779e-05 +17 *892:B *934:S 6.50586e-05 +18 *892:B *235:17 1.54046e-05 +19 *892:B *291:31 0.000155416 +20 *234:11 *983:RESET_B 5.89781e-05 +21 *234:11 *245:9 8.95763e-05 +22 *234:21 *245:9 8.72921e-05 +23 *234:21 *245:30 0.000372391 +24 *234:23 *807:A 0.00016491 +25 *234:23 *235:17 5.51483e-06 +26 *234:23 *245:30 0.000110808 +27 *234:23 *291:31 3.31745e-05 +28 *808:B *234:23 0.000115934 +29 *823:A *234:21 0.000168313 +30 *824:B1_N *234:21 6.64392e-05 +31 *861:B1 *234:11 7.48876e-05 +32 *933:A0 *891:B 0.000131349 +33 *934:A0 *892:B 0.000179996 +34 *936:A0 *234:11 0.000118796 +35 *936:A0 *234:21 1.55025e-05 +36 *937:A1 *234:21 6.78549e-05 +37 *19:42 *891:B 6.36477e-05 +*RES +1 *983:Q *234:11 24.9921 +2 *234:11 *234:21 12.7559 +3 *234:21 *234:23 9.59705 +4 *234:23 *892:B 13.4931 +5 *234:23 *234:35 4.5 +6 *234:35 *891:B 18.3808 +7 *234:35 *808:C 16.7198 +8 *234:21 *823:B 9.24915 +9 *234:11 *890:A 9.82786 +*END + +*D_NET *235 0.00472447 +*CONN +*I *807:A I *D sky130_fd_sc_hd__inv_2 +*I *891:A I *D sky130_fd_sc_hd__xnor2_1 +*I *821:B I *D sky130_fd_sc_hd__nand3_1 +*I *892:A I *D sky130_fd_sc_hd__nor2_1 +*I *984:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *807:A 5.57863e-05 +2 *891:A 0 +3 *821:B 0.000247203 +4 *892:A 5.57804e-05 +5 *984:Q 0.000163256 +6 *235:21 0.000438318 +7 *235:17 0.000392141 +8 *235:5 0.000364276 +9 *807:A *245:30 7.54269e-06 +10 *807:A *291:28 1.9511e-05 +11 *892:A *934:S 0.000171367 +12 *235:5 *932:A0 4.62508e-05 +13 *235:5 *984:SET_B 1.13647e-05 +14 *235:17 *934:S 0.000330689 +15 *235:17 *245:30 0.000304857 +16 *235:17 *291:31 0.000306386 +17 *235:17 *291:37 0.000276326 +18 *235:21 *291:28 0.000183317 +19 *821:C *821:B 0.000122378 +20 *822:A1 *821:B 0.000122378 +21 *891:B *235:21 6.64392e-05 +22 *892:B *235:17 1.54046e-05 +23 *933:A0 *807:A 1.04604e-05 +24 *933:S *807:A 0.000129488 +25 *933:S *235:21 1.39717e-06 +26 *934:A0 *892:A 0.000223064 +27 *934:A0 *235:5 2.74378e-05 +28 *19:42 *821:B 0.000379158 +29 *19:42 *235:21 8.20638e-05 +30 *234:23 *807:A 0.00016491 +31 *234:23 *235:17 5.51483e-06 +*RES +1 *984:Q *235:5 13.5775 +2 *235:5 *892:A 12.625 +3 *235:5 *235:17 12.9878 +4 *235:17 *235:21 10.5196 +5 *235:21 *821:B 19.4008 +6 *235:21 *891:A 9.24915 +7 *235:17 *807:A 16.5832 +*END + +*D_NET *236 0.00357721 +*CONN +*I *819:B I *D sky130_fd_sc_hd__nand3_1 +*I *806:A I *D sky130_fd_sc_hd__inv_2 +*I *893:A I *D sky130_fd_sc_hd__xor2_1 +*I *985:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *819:B 6.39285e-05 +2 *806:A 0.000250591 +3 *893:A 0 +4 *985:Q 0.000203781 +5 *236:15 0.000670192 +6 *236:7 0.000687311 +7 *806:A *245:42 4.88955e-05 +8 *236:7 *985:RESET_B 0.000103626 +9 *236:15 *245:42 0.000438687 +10 *808:A *806:A 4.68059e-05 +11 *808:C *806:A 6.3657e-05 +12 *820:A1 *236:15 0.000253916 +13 *821:A *819:B 0.000111358 +14 *821:A *236:15 0.000229864 +15 *930:A0 *806:A 4.55995e-05 +16 *19:20 *819:B 0.000116986 +17 *19:20 *236:15 0.000222684 +18 *226:28 *236:7 1.9324e-05 +*RES +1 *985:Q *236:7 16.691 +2 *236:7 *236:15 19.1627 +3 *236:15 *893:A 9.24915 +4 *236:15 *806:A 16.8448 +5 *236:7 *819:B 15.9964 +*END + +*D_NET *237 0.00409856 +*CONN +*I *836:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *825:B I *D sky130_fd_sc_hd__nor2_1 +*I *828:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *834:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *980:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *836:A2 0 +2 *825:B 0.000514005 +3 *828:A_N 0 +4 *834:A_N 0 +5 *980:Q 0.000110517 +6 *237:30 0.00110263 +7 *237:15 0.000749891 +8 *237:9 0.000271784 +9 *237:30 *827:A1 6.55453e-05 +10 *237:30 *834:B 5.57854e-05 +11 *237:30 *292:11 8.35237e-05 +12 *827:A2 *825:B 0 +13 *828:B *237:30 0.000113309 +14 *831:B *825:B 0.000167372 +15 *835:A1 *825:B 0.00036413 +16 *835:A2 *237:30 6.55651e-05 +17 *846:C1 *825:B 0.000356672 +18 *980:D *237:9 5.31465e-05 +19 *982:D *825:B 2.46803e-05 +*RES +1 *980:Q *237:9 12.3839 +2 *237:9 *237:15 12.3859 +3 *237:15 *834:A_N 9.24915 +4 *237:15 *237:30 17.27 +5 *237:30 *828:A_N 13.7491 +6 *237:30 *825:B 37.3925 +7 *237:9 *836:A2 9.24915 +*END + +*D_NET *238 0.00292008 +*CONN +*I *825:A I *D sky130_fd_sc_hd__nor2_1 +*I *845:B I *D sky130_fd_sc_hd__nor2_1 +*I *830:A I *D sky130_fd_sc_hd__inv_2 +*I *981:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *825:A 0.000385205 +2 *845:B 4.59894e-05 +3 *830:A 0 +4 *981:Q 1.79332e-05 +5 *238:16 0.000555312 +6 *238:5 0.000142051 +7 *238:5 *982:RESET_B 0.000196282 +8 *238:16 *982:RESET_B 9.90819e-05 +9 *238:16 *239:6 0.000129489 +10 *831:B *825:A 0.000167076 +11 *832:B1 *825:A 0.000277923 +12 *835:A1 *825:A 3.26094e-05 +13 *835:A1 *845:B 3.28388e-05 +14 *846:C1 *825:A 0.000155293 +15 *982:D *825:A 3.49029e-05 +16 *21:7 *825:A 6.9116e-05 +17 *166:10 *825:A 6.08697e-06 +18 *219:17 *238:5 0.000196282 +19 *219:17 *238:16 0.000114584 +20 *224:87 *825:A 0.000262021 +*RES +1 *981:Q *238:5 11.3591 +2 *238:5 *830:A 9.24915 +3 *238:5 *238:16 12.9405 +4 *238:16 *845:B 10.5513 +5 *238:16 *825:A 30.5595 +*END + +*D_NET *239 0.00181183 +*CONN +*I *829:A I *D sky130_fd_sc_hd__inv_2 +*I *845:A I *D sky130_fd_sc_hd__nor2_1 +*I *832:A1_N I *D sky130_fd_sc_hd__o2bb2ai_1 +*I *982:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *829:A 0.000292468 +2 *845:A 0 +3 *832:A1_N 0.00021983 +4 *982:Q 0 +5 *239:6 0.000367658 +6 *239:5 0.000440297 +7 *832:A2_N *832:A1_N 0.000115154 +8 *832:B1 *832:A1_N 1.15766e-05 +9 *21:7 *832:A1_N 0.000112367 +10 *166:10 *832:A1_N 9.89388e-06 +11 *166:10 *239:6 0.000113096 +12 *238:16 *239:6 0.000129489 +*RES +1 *982:Q *239:5 13.7491 +2 *239:5 *239:6 4.32351 +3 *239:6 *832:A1_N 18.9354 +4 *239:6 *845:A 13.7491 +5 *239:5 *829:A 20.4627 +*END + +*D_NET *240 0.000754299 +*CONN +*I *857:C I *D sky130_fd_sc_hd__nand3_1 +*I *946:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *857:C 0.000205486 +2 *946:Q 0.000205486 +3 *857:C *856:A 1.00846e-05 +4 *857:C *856:B_N 0.000101148 +5 *857:A *857:C 6.64392e-05 +6 *857:B *857:C 0.000165655 +*RES +1 *946:Q *857:C 33.9359 +*END + +*D_NET *241 0.000887902 +*CONN +*I *855:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *856:A I *D sky130_fd_sc_hd__or2b_1 +*I *947:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *855:B_N 4.2688e-05 +2 *856:A 6.95129e-05 +3 *947:Q 0.000194308 +4 *241:8 0.000306509 +5 *855:B_N *856:B_N 3.67528e-06 +6 *855:B_N *291:10 7.08723e-06 +7 *856:A *855:A 0.000113968 +8 *856:A *856:B_N 7.34948e-06 +9 *241:8 *291:10 7.77309e-06 +10 *241:8 *291:15 5.98731e-05 +11 *857:B *856:A 6.50727e-05 +12 *857:C *856:A 1.00846e-05 +*RES +1 *947:Q *241:8 17.4137 +2 *241:8 *856:A 16.1605 +3 *241:8 *855:B_N 14.7506 +*END + +*D_NET *242 0.000968679 +*CONN +*I *859:B I *D sky130_fd_sc_hd__and2_1 +*I *858:B I *D sky130_fd_sc_hd__nor2_1 +*I *948:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *859:B 1.32179e-05 +2 *858:B 0 +3 *948:Q 0.000276394 +4 *242:10 0.000289612 +5 *859:B *304:19 0.000122378 +6 *859:B *304:23 6.92705e-05 +7 *860:A1 *242:10 2.652e-05 +8 *224:15 *859:B 0.000171288 +*RES +1 *948:Q *242:10 22.8836 +2 *242:10 *858:B 9.24915 +3 *242:10 *859:B 11.0817 +*END + +*D_NET *243 0.00145251 +*CONN +*I *809:A I *D sky130_fd_sc_hd__inv_2 +*I *881:A I *D sky130_fd_sc_hd__xnor2_1 +*I *986:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *809:A 0.000147151 +2 *881:A 0 +3 *986:Q 0.000268065 +4 *243:10 0.000415216 +5 *809:A *881:B 3.75603e-05 +6 *817:A *243:10 0 +7 *817:B *809:A 4.23858e-05 +8 *817:C *809:A 6.64392e-05 +9 *883:A2 *809:A 0.000203794 +10 *986:D *243:10 0.000105615 +11 *154:39 *809:A 9.90116e-05 +12 *226:17 *243:10 6.72687e-05 +*RES +1 *986:Q *243:10 23.5762 +2 *243:10 *881:A 9.24915 +3 *243:10 *809:A 15.7356 +*END + +*D_NET *244 0.00165803 +*CONN +*I *881:B I *D sky130_fd_sc_hd__xnor2_1 +*I *839:A I *D sky130_fd_sc_hd__inv_2 +*I *979:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *881:B 0.000234789 +2 *839:A 0 +3 *979:Q 0.000151486 +4 *244:10 0.000386275 +5 *809:A *881:B 3.75603e-05 +6 *154:39 *881:B 0.000846418 +7 *156:31 *244:10 1.50617e-06 +*RES +1 *979:Q *244:10 21.3577 +2 *244:10 *839:A 9.24915 +3 *244:10 *881:B 18.8703 +*END + +*D_NET *245 0.0153654 +*CONN +*I *937:S I *D sky130_fd_sc_hd__mux2_1 +*I *931:S I *D sky130_fd_sc_hd__mux2_1 +*I *929:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *812:A I *D sky130_fd_sc_hd__inv_2 +*I *918:S I *D sky130_fd_sc_hd__mux2_1 +*I *827:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *914:S I *D sky130_fd_sc_hd__mux2_1 +*I *939:S I *D sky130_fd_sc_hd__mux2_1 +*I *934:S I *D sky130_fd_sc_hd__mux2_1 +*I *975:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *937:S 0 +2 *931:S 0.000184443 +3 *929:A1 6.4744e-05 +4 *812:A 0 +5 *918:S 0.00024065 +6 *827:A1 0.000204279 +7 *914:S 0.000261275 +8 *939:S 0.000147289 +9 *934:S 2.91496e-05 +10 *975:Q 0.000160217 +11 *245:73 0.000499933 +12 *245:72 0.00103886 +13 *245:64 0.00150185 +14 *245:52 0.000714533 +15 *245:42 0.00101905 +16 *245:39 0.00119368 +17 *245:30 0.00122725 +18 *245:9 0.00122084 +19 *827:A1 *292:11 2.54028e-05 +20 *914:S *913:A1 9.04668e-05 +21 *914:S *914:A1 0.000305569 +22 *929:A1 *841:A 0 +23 *931:S *931:A1 5.0715e-05 +24 *939:S *1034:A 6.36477e-05 +25 *245:9 *983:RESET_B 0 +26 *245:64 *841:A 0 +27 *245:64 *913:A1 6.84074e-06 +28 *245:64 *299:8 0.00020328 +29 *806:A *245:42 4.88955e-05 +30 *807:A *245:30 7.54269e-06 +31 *808:C *245:39 0 +32 *814:A1 *245:64 0 +33 *820:A1 *245:42 0.000112201 +34 *823:A *245:30 0.000168313 +35 *824:A1 *245:30 3.20289e-05 +36 *827:A2 *827:A1 3.73496e-05 +37 *828:B *827:A1 0.000169371 +38 *828:B *918:S 1.02917e-05 +39 *828:B *245:73 0.000151333 +40 *832:A2_N *827:A1 6.53464e-05 +41 *861:B1 *245:9 0.00033468 +42 *861:B1 *245:30 0.000463228 +43 *864:B *939:S 0 +44 *870:A *918:S 0.000143047 +45 *889:A *918:S 0 +46 *890:A *245:30 0 +47 *891:B *245:30 8.24277e-06 +48 *892:A *934:S 0.000171367 +49 *892:B *934:S 6.50586e-05 +50 *893:B *931:S 1.90335e-05 +51 *893:B *245:39 4.27003e-05 +52 *914:A0 *914:S 0 +53 *917:S *918:S 0 +54 *929:A0 *929:A1 0.000101026 +55 *929:A0 *245:64 8.63475e-05 +56 *930:A0 *931:S 0 +57 *933:S *245:30 5.48642e-05 +58 *934:A0 *934:S 4.09471e-05 +59 *936:A0 *245:9 7.14746e-05 +60 *984:CLK *914:S 0 +61 *984:CLK *245:64 0 +62 *985:D *245:42 0.000479007 +63 *42:19 *918:S 0 +64 *42:30 *918:S 0 +65 *186:8 *918:S 0.000177171 +66 *226:28 *931:S 5.0715e-05 +67 *226:28 *245:64 0 +68 *231:30 *918:S 0 +69 *234:11 *245:9 8.95763e-05 +70 *234:21 *245:9 8.72921e-05 +71 *234:21 *245:30 0.000372391 +72 *234:23 *245:30 0.000110808 +73 *235:17 *934:S 0.000330689 +74 *235:17 *245:30 0.000304857 +75 *236:15 *245:42 0.000438687 +76 *237:30 *827:A1 6.55453e-05 +*RES +1 *975:Q *245:9 25.1006 +2 *245:9 *245:30 30.0314 +3 *245:30 *934:S 17.2456 +4 *245:30 *245:39 3.07775 +5 *245:39 *245:42 26.8529 +6 *245:42 *939:S 12.625 +7 *245:42 *245:52 4.5 +8 *245:52 *914:S 22.1209 +9 *245:52 *245:64 11.908 +10 *245:64 *245:72 13.2724 +11 *245:72 *245:73 1.8326 +12 *245:73 *827:A1 24.4375 +13 *245:73 *918:S 25.4794 +14 *245:72 *812:A 9.24915 +15 *245:64 *929:A1 15.7888 +16 *245:39 *931:S 18.9354 +17 *245:9 *937:S 9.24915 +*END + +*D_NET *246 0.0113577 +*CONN +*I *925:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *963:CLK I *D sky130_fd_sc_hd__dfstp_4 +*I *961:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *964:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *962:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *960:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *959:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *883:Y O *D sky130_fd_sc_hd__o21bai_2 +*CAP +1 *925:A1 0.00138027 +2 *963:CLK 0 +3 *961:CLK 0.000346055 +4 *964:CLK 0 +5 *962:CLK 9.91821e-05 +6 *960:CLK 0 +7 *959:CLK 0.000303961 +8 *883:Y 0.0001516 +9 *246:48 0.000682487 +10 *246:40 0.000483548 +11 *246:32 0.000563101 +12 *246:14 0.000996387 +13 *246:11 0.00136335 +14 *246:6 0.00271797 +15 *925:A1 *884:A 0 +16 *925:A1 *926:A1 0 +17 *925:A1 *978:RESET_B 0 +18 *925:A1 *998:SET_B 0 +19 *925:A1 *1001:RESET_B 0 +20 *925:A1 *252:10 0 +21 *925:A1 *293:24 0 +22 *959:CLK *959:D 3.89004e-05 +23 *959:CLK *297:11 1.57468e-05 +24 *961:CLK *961:D 1.69758e-05 +25 *961:CLK *964:D 3.40382e-05 +26 *962:CLK *856:B_N 0.000201442 +27 *246:11 *971:SET_B 1.03403e-05 +28 *246:11 *300:369 6.00793e-05 +29 *246:32 *963:D 3.95002e-05 +30 *246:48 *856:B_N 0.00031431 +31 *246:48 *880:B 3.35429e-05 +32 *246:48 *311:11 3.51113e-05 +33 *737:A *925:A1 6.2005e-05 +34 *810:B1 *959:CLK 0 +35 *849:C *925:A1 0 +36 *860:B1 *959:CLK 5.62869e-05 +37 *861:A2 *959:CLK 9.35979e-05 +38 *872:A *925:A1 0.000162423 +39 *874:A *925:A1 0 +40 *886:B1 *925:A1 0.000313163 +41 *896:A *925:A1 1.27331e-05 +42 *983:CLK *246:6 6.00124e-05 +43 *999:D *925:A1 0 +44 *1001:D *925:A1 0.000125771 +45 *1020:A *961:CLK 0 +46 *1020:A *246:48 0 +47 *1029:A *246:32 0.000112367 +48 *1029:A *246:40 4.95892e-05 +49 *191:6 *925:A1 2.61377e-05 +50 *222:24 *246:11 2.44599e-05 +51 *222:38 *246:11 0.000102533 +52 *222:46 *246:11 0.000180302 +53 *222:59 *959:CLK 0 +54 *225:28 *925:A1 6.1664e-05 +55 *225:28 *246:6 8.03393e-06 +56 *230:7 *246:11 1.86956e-05 +*RES +1 *883:Y *246:6 17.6574 +2 *246:6 *246:11 23.4047 +3 *246:11 *246:14 8.40826 +4 *246:14 *959:CLK 21.5904 +5 *246:14 *246:32 17.063 +6 *246:32 *960:CLK 9.24915 +7 *246:32 *246:40 5.778 +8 *246:40 *962:CLK 17.2421 +9 *246:40 *246:48 9.72179 +10 *246:48 *964:CLK 13.7491 +11 *246:48 *961:CLK 22.1478 +12 *246:11 *963:CLK 9.24915 +13 *246:6 *925:A1 49.2166 +*END + +*D_NET *247 0.000444097 +*CONN +*I *962:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *959:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *962:D 0.000136405 +2 *959:Q 0.000136405 +3 *962:D *959:D 0.000171288 +*RES +1 *959:Q *962:D 31.1629 +*END + +*D_NET *248 0.000498011 +*CONN +*I *963:D I *D sky130_fd_sc_hd__dfstp_4 +*I *960:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *963:D 0.00017018 +2 *960:Q 0.00017018 +3 *963:D *300:374 6.93171e-05 +4 *963:D *300:416 4.88333e-05 +5 *246:32 *963:D 3.95002e-05 +*RES +1 *960:Q *963:D 31.3008 +*END + +*D_NET *249 0.00100679 +*CONN +*I *964:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *961:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *964:D 0.000463257 +2 *961:Q 0.000463257 +3 *964:D *961:RESET_B 3.19299e-05 +4 *964:D *1000:SET_B 1.4313e-05 +5 *961:CLK *964:D 3.40382e-05 +*RES +1 *961:Q *964:D 35.4604 +*END + +*D_NET *250 0.0203184 +*CONN +*I *738:S I *D sky130_fd_sc_hd__mux2_1 +*I *801:A I *D sky130_fd_sc_hd__inv_2 +*I *908:B I *D sky130_fd_sc_hd__nor3_1 +*I *736:S I *D sky130_fd_sc_hd__mux2_1 +*I *911:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *912:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *949:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *745:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *747:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *915:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *916:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *787:B1 I *D sky130_fd_sc_hd__o211ai_1 +*I *968:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *738:S 0.000279277 +2 *801:A 3.78111e-05 +3 *908:B 0.000160033 +4 *736:S 0 +5 *911:A1 0.000213292 +6 *912:A1 6.36492e-05 +7 *949:D 8.54704e-05 +8 *745:B1 0 +9 *747:B1 0 +10 *915:A1 4.92251e-05 +11 *916:A1 0.000377997 +12 *787:B1 2.60932e-05 +13 *968:Q 0.000113473 +14 *250:71 0.000598378 +15 *250:62 0.00116091 +16 *250:53 0.00227101 +17 *250:41 0.00156202 +18 *250:34 0.000740333 +19 *250:29 0.000601322 +20 *250:21 0.000743787 +21 *250:19 0.000595054 +22 *250:14 0.000729256 +23 *250:9 0.0012339 +24 *250:5 0.00122165 +25 *738:S *919:A0 0 +26 *738:S *965:CLK 0.00014197 +27 *738:S *253:13 4.60886e-05 +28 *908:B *800:B 4.91625e-05 +29 *908:B *253:25 6.27718e-05 +30 *916:A1 *916:S 1.43698e-05 +31 *250:9 *968:D 0.000375039 +32 *250:9 *968:RESET_B 0.000213084 +33 *250:9 *272:7 1.17054e-05 +34 *250:14 *272:7 1.1718e-05 +35 *250:19 *942:A0 0.000449248 +36 *250:21 *942:A0 0.00021378 +37 *250:29 *900:A 6.27782e-05 +38 *250:29 *912:S 1.41976e-05 +39 *250:34 *912:S 0.000187985 +40 *250:41 *885:B 2.88467e-05 +41 *250:41 *252:28 2.42138e-05 +42 *250:53 *743:B 0 +43 *250:53 *252:28 0.000215785 +44 *250:53 *252:53 0.00126231 +45 *250:53 *252:65 2.99391e-05 +46 *250:71 *993:SET_B 0 +47 *737:A *250:21 1.43832e-05 +48 *738:A0 *738:S 0.000114545 +49 *742:A *949:D 4.49015e-05 +50 *749:A *250:62 7.76105e-06 +51 *750:A1 *250:41 4.26833e-06 +52 *750:A2 *250:41 2.41274e-06 +53 *755:A_N *250:53 9.46346e-05 +54 *755:C *250:53 3.70205e-05 +55 *759:B *911:A1 5.6562e-05 +56 *779:B *787:B1 5.07314e-05 +57 *787:C1 *787:B1 6.50727e-05 +58 *790:A *916:A1 5.66878e-05 +59 *803:A1 *250:14 5.45682e-05 +60 *803:A2 *801:A 0 +61 *804:A *250:14 3.00852e-05 +62 *886:B1 *250:21 0.000272411 +63 *886:B1 *250:29 0.000119336 +64 *911:A0 *250:29 2.20471e-05 +65 *912:A0 *250:34 6.36477e-05 +66 *919:A1 *738:S 3.17114e-05 +67 *942:A1 *908:B 7.26748e-05 +68 *942:S *908:B 1.03594e-05 +69 *942:S *250:14 0.000126335 +70 *951:CLK *250:53 0.000114523 +71 *998:D *949:D 9.89388e-06 +72 *998:D *250:34 0.000232785 +73 *999:D *250:41 0.00011818 +74 *1001:CLK *911:A1 6.27782e-05 +75 *1011:A *949:D 1.87611e-05 +76 *1025:A *250:62 8.96332e-05 +77 *18:15 *250:62 0.000167353 +78 *18:27 *250:62 1.65872e-05 +79 *20:9 *250:62 0.000562494 +80 *108:5 *250:53 6.64392e-05 +81 *108:5 *250:62 7.32228e-05 +82 *133:16 *915:A1 7.26748e-05 +83 *133:16 *250:71 5.71849e-05 +84 *135:8 *250:71 3.75074e-05 +85 *137:7 *916:A1 2.77364e-05 +86 *142:6 *250:14 2.1896e-05 +87 *143:5 *250:14 0.000148666 +88 *143:7 *250:14 0.00011818 +89 *222:118 *949:D 0.000129235 +90 *222:118 *250:34 0.000429019 +91 *223:13 *949:D 3.18826e-06 +92 *223:39 *911:A1 0.000109421 +93 *223:39 *250:34 0 +*RES +1 *968:Q *250:5 11.6364 +2 *250:5 *250:9 13.5034 +3 *250:9 *250:14 13.5657 +4 *250:14 *250:19 10.9476 +5 *250:19 *250:21 12.3701 +6 *250:21 *250:29 4.82264 +7 *250:29 *250:34 17.027 +8 *250:34 *250:41 10.673 +9 *250:41 *250:53 34.3718 +10 *250:53 *250:62 23.3155 +11 *250:62 *787:B1 15.0271 +12 *250:62 *250:71 4.73876 +13 *250:71 *916:A1 19.464 +14 *250:71 *915:A1 15.1659 +15 *250:53 *747:B1 9.24915 +16 *250:41 *745:B1 9.24915 +17 *250:34 *949:D 16.6347 +18 *250:29 *912:A1 10.5513 +19 *250:21 *911:A1 22.7442 +20 *250:19 *736:S 9.24915 +21 *250:14 *908:B 18.3808 +22 *250:9 *801:A 10.2378 +23 *250:5 *738:S 26.0663 +*END + +*D_NET *251 0.015339 +*CONN +*I *1035:A I *D sky130_fd_sc_hd__buf_2 +*I *740:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *940:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *941:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *787:A1 I *D sky130_fd_sc_hd__o211ai_1 +*I *747:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *745:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *969:Q O *D sky130_fd_sc_hd__dfstp_4 +*CAP +1 *1035:A 7.91256e-05 +2 *740:B_N 0 +3 *940:A1 0.000131513 +4 *941:A1 0.000237757 +5 *787:A1 0.000534191 +6 *747:A1 0.000155069 +7 *745:A1 0.000207885 +8 *969:Q 0 +9 *251:58 0.000415375 +10 *251:36 0.000859197 +11 *251:35 0.00119994 +12 *251:29 0.00115028 +13 *251:17 0.00323491 +14 *251:4 0.00293978 +15 *745:A1 *745:A2 5.12223e-05 +16 *745:A1 *271:10 0.000310936 +17 *747:A1 *901:A 3.55179e-05 +18 *941:A1 *991:CLK 2.81678e-06 +19 *941:A1 *271:64 6.65668e-05 +20 *1035:A *293:5 2.61147e-05 +21 *1035:A *293:9 2.41274e-06 +22 *251:17 *944:S 5.51483e-06 +23 *251:17 *969:D 2.58757e-05 +24 *251:17 *969:SET_B 5.5232e-05 +25 *251:17 *999:RESET_B 2.65732e-05 +26 *251:17 *256:10 8.91253e-05 +27 *251:17 *293:9 7.84112e-05 +28 *251:17 *300:284 7.65884e-05 +29 *251:29 *741:B_N 5.20746e-05 +30 *251:29 *944:S 5.56461e-05 +31 *251:29 *293:36 0.000168313 +32 *251:35 *293:36 0.000304747 +33 *742:A *745:A1 0 +34 *779:A *787:A1 9.46767e-05 +35 *779:B *787:A1 2.84048e-05 +36 *922:S *787:A1 0.000375834 +37 *941:A0 *940:A1 0 +38 *944:A0 *251:17 0.000289185 +39 *950:CLK *941:A1 0.000118166 +40 *950:CLK *251:35 0.000221747 +41 *951:CLK *745:A1 0 +42 *987:D *251:17 2.16355e-05 +43 *999:D *251:17 6.3657e-05 +44 *999:CLK *251:17 7.07451e-05 +45 *45:5 *787:A1 0.000117376 +46 *115:16 *747:A1 0.000137336 +47 *115:16 *787:A1 2.86425e-05 +48 *116:10 *747:A1 3.39313e-06 +49 *116:10 *787:A1 2.7837e-05 +50 *129:16 *941:A1 0.000107496 +51 *129:16 *251:35 6.08467e-05 +52 *129:16 *251:36 0.000260618 +53 *129:16 *251:58 2.91462e-05 +54 *202:8 *251:17 7.53328e-06 +55 *219:96 *747:A1 0 +56 *219:96 *940:A1 0 +57 *219:96 *251:36 0 +58 *220:79 *941:A1 0.000268824 +59 *223:89 *251:17 0.000335825 +60 *223:98 *251:17 9.13962e-05 +*RES +1 *969:Q *251:4 9.24915 +2 *251:4 *251:17 48.998 +3 *251:17 *745:A1 24.2337 +4 *251:17 *251:29 5.73894 +5 *251:29 *251:35 21.1863 +6 *251:35 *251:36 5.15401 +7 *251:36 *747:A1 18.0727 +8 *251:36 *787:A1 28 +9 *251:35 *251:58 1.41674 +10 *251:58 *941:A1 29.4949 +11 *251:58 *940:A1 16.8269 +12 *251:29 *740:B_N 9.24915 +13 *251:4 *1035:A 11.5158 +*END + +*D_NET *252 0.0194161 +*CONN +*I *884:B I *D sky130_fd_sc_hd__nor2_1 +*I *745:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *951:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *743:B I *D sky130_fd_sc_hd__nor2_1 +*I *747:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *927:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *928:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *787:A2 I *D sky130_fd_sc_hd__o211ai_1 +*I *921:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *744:B I *D sky130_fd_sc_hd__and2_1 +*I *920:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *942:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *970:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *884:B 0 +2 *745:A2 6.20209e-05 +3 *951:D 0 +4 *743:B 0.000518278 +5 *747:A2 0 +6 *927:A1 7.51861e-05 +7 *928:A1 0.000184392 +8 *787:A2 0.000439443 +9 *921:A1 0.000184505 +10 *744:B 0 +11 *920:A1 0.000451364 +12 *942:A0 0.000281243 +13 *970:Q 0.000162021 +14 *252:90 0.000599207 +15 *252:75 0.00107524 +16 *252:65 0.000977084 +17 *252:53 0.000822643 +18 *252:44 0.00032623 +19 *252:33 0.000556143 +20 *252:32 0.000687761 +21 *252:28 0.000138201 +22 *252:25 0.00137587 +23 *252:10 0.00189283 +24 *252:8 0.000533258 +25 *743:B *743:A 0.000292504 +26 *743:B *744:A 8.06816e-05 +27 *743:B *293:36 0 +28 *745:A2 *271:10 2.07325e-05 +29 *920:A1 *744:A 1.03594e-05 +30 *921:A1 *921:S 9.33502e-05 +31 *921:A1 *271:49 5.1674e-06 +32 *942:A0 *315:10 0 +33 *252:8 *884:A 3.46062e-05 +34 *252:8 *970:RESET_B 6.73186e-05 +35 *252:8 *987:SET_B 0 +36 *252:8 *293:24 4.70005e-05 +37 *252:8 *315:15 6.73186e-05 +38 *252:10 *884:A 5.22654e-06 +39 *252:10 *256:10 1.53822e-05 +40 *252:10 *315:10 0 +41 *252:25 *271:10 6.64964e-05 +42 *252:28 *885:B 0.000385508 +43 *252:32 *885:B 0.000235801 +44 *252:33 *744:A 0.000129858 +45 *252:53 *901:A 0.000508389 +46 *252:53 *901:B 4.66121e-05 +47 *252:65 *262:11 1.4091e-06 +48 *252:65 *271:37 0.000406491 +49 *252:75 *782:A 7.40084e-05 +50 *252:75 *271:49 2.89898e-05 +51 *252:90 *782:A 7.58175e-05 +52 *252:90 *928:S 0.000159957 +53 *736:A0 *942:A0 0.000419903 +54 *745:A1 *745:A2 5.12223e-05 +55 *746:B1 *252:25 1.28961e-05 +56 *755:A_N *920:A1 9.67138e-05 +57 *755:A_N *252:33 5.03545e-06 +58 *755:C *743:B 0 +59 *755:C *745:A2 0 +60 *755:C *252:25 0 +61 *755:C *252:33 0 +62 *759:A *252:25 9.06214e-05 +63 *759:C *252:25 0.000364853 +64 *782:C *787:A2 4.59725e-05 +65 *788:B1_N *787:A2 2.67886e-05 +66 *789:A *787:A2 0.00015901 +67 *789:B *787:A2 5.56992e-06 +68 *789:C *252:75 2.54463e-05 +69 *789:C *252:90 2.08593e-05 +70 *886:A2_N *252:10 4.25652e-05 +71 *886:B1 *942:A0 0 +72 *886:B1 *252:10 0 +73 *922:S *252:75 0.000188712 +74 *923:A0 *252:53 1.82679e-05 +75 *925:A1 *252:10 0 +76 *927:A0 *927:A1 6.27718e-05 +77 *928:A0 *928:A1 0.000412463 +78 *940:A0 *927:A1 6.27782e-05 +79 *940:A0 *252:90 8.61152e-06 +80 *941:A0 *252:90 4.01437e-05 +81 *945:S *252:10 0.000141811 +82 *951:CLK *743:B 0 +83 *951:CLK *745:A2 1.33261e-05 +84 *999:D *252:25 0 +85 *46:31 *927:A1 3.59437e-05 +86 *46:31 *928:A1 5.18899e-06 +87 *47:9 *920:A1 0.000211546 +88 *106:6 *743:B 0 +89 *115:16 *252:65 0.0001195 +90 *116:10 *252:65 0.000117754 +91 *116:31 *920:A1 1.6732e-05 +92 *129:16 *252:90 4.47114e-05 +93 *135:8 *787:A2 7.73661e-05 +94 *220:79 *252:90 0 +95 *223:89 *252:8 1.79196e-05 +96 *223:89 *252:10 5.59719e-05 +97 *250:19 *942:A0 0.000449248 +98 *250:21 *942:A0 0.00021378 +99 *250:41 *252:28 2.42138e-05 +100 *250:53 *743:B 0 +101 *250:53 *252:28 0.000215785 +102 *250:53 *252:53 0.00126231 +103 *250:53 *252:65 2.99391e-05 +*RES +1 *970:Q *252:8 19.0748 +2 *252:8 *252:10 10.3447 +3 *252:10 *942:A0 24.4132 +4 *252:10 *252:25 12.903 +5 *252:25 *252:28 8.91874 +6 *252:28 *252:32 7.07408 +7 *252:32 *252:33 2.6625 +8 *252:33 *920:A1 23.2301 +9 *252:33 *252:44 4.5 +10 *252:44 *744:B 9.24915 +11 *252:44 *252:53 15.1913 +12 *252:53 *252:65 23.3188 +13 *252:65 *921:A1 18.8055 +14 *252:65 *252:75 8.06078 +15 *252:75 *787:A2 30.4353 +16 *252:75 *252:90 12.976 +17 *252:90 *928:A1 14.4094 +18 *252:90 *927:A1 11.6364 +19 *252:53 *747:A2 9.24915 +20 *252:32 *743:B 28.5272 +21 *252:28 *951:D 9.24915 +22 *252:25 *745:A2 15.5811 +23 *252:8 *884:B 13.7491 +*END + +*D_NET *253 0.0039169 +*CONN +*I *904:A I *D sky130_fd_sc_hd__clkinv_2 +*I *738:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *800:C_N I *D sky130_fd_sc_hd__nor3b_1 +*I *908:C I *D sky130_fd_sc_hd__nor3_1 +*I *905:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1000:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *904:A 0 +2 *738:A1 0 +3 *800:C_N 0 +4 *908:C 0 +5 *905:B 9.75843e-05 +6 *1000:Q 0.000419201 +7 *253:25 0.000363725 +8 *253:19 0.000716534 +9 *253:13 0.000599552 +10 *253:10 0.00056836 +11 *905:B *254:27 8.57967e-05 +12 *253:25 *800:A 0.000384642 +13 *253:25 *254:27 0.000160942 +14 *738:A0 *253:13 0.000117376 +15 *738:A0 *253:19 6.64392e-05 +16 *738:S *253:13 4.60886e-05 +17 *739:A *253:19 0.000208627 +18 *908:B *253:25 6.27718e-05 +19 *919:A1 *253:13 1.21461e-06 +20 *7:15 *253:25 1.80448e-05 +*RES +1 *1000:Q *253:10 24.8247 +2 *253:10 *253:13 4.07513 +3 *253:13 *253:19 11.3091 +4 *253:19 *253:25 17.6532 +5 *253:25 *905:B 12.2151 +6 *253:25 *908:C 9.24915 +7 *253:19 *800:C_N 9.24915 +8 *253:13 *738:A1 9.24915 +9 *253:10 *904:A 9.24915 +*END + +*D_NET *254 0.00230084 +*CONN +*I *800:A I *D sky130_fd_sc_hd__nor3b_1 +*I *908:A I *D sky130_fd_sc_hd__nor3_1 +*I *905:A I *D sky130_fd_sc_hd__xnor2_1 +*I *736:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1001:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *800:A 0.000159693 +2 *908:A 0 +3 *905:A 2.1308e-05 +4 *736:A1 0.000206665 +5 *1001:Q 0 +6 *254:27 0.000301064 +7 *254:19 0.000305065 +8 *254:4 0.000349051 +9 *736:A1 *1001:RESET_B 5.04829e-06 +10 *736:A0 *736:A1 6.27718e-05 +11 *905:B *254:27 8.57967e-05 +12 *942:A1 *254:27 0.000114271 +13 *7:15 *800:A 0.000144525 +14 *253:25 *800:A 0.000384642 +15 *253:25 *254:27 0.000160942 +*RES +1 *1001:Q *254:4 9.24915 +2 *254:4 *736:A1 21.6673 +3 *254:4 *254:19 2.41132 +4 *254:19 *905:A 9.82786 +5 *254:19 *254:27 4.60562 +6 *254:27 *908:A 9.24915 +7 *254:27 *800:A 23.8535 +*END + +*D_NET *255 0.00117287 +*CONN +*I *800:B I *D sky130_fd_sc_hd__nor3b_1 +*I *909:A I *D sky130_fd_sc_hd__xor2_1 +*I *1002:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *800:B 0.000274377 +2 *909:A 8.79869e-05 +3 *1002:Q 0 +4 *255:4 0.000362364 +5 *800:B *315:10 0 +6 *909:A *1002:RESET_B 6.50727e-05 +7 *908:B *800:B 4.91625e-05 +8 *909:B *800:B 3.12316e-05 +9 *909:B *909:A 6.92705e-05 +10 *1004:A *909:A 9.65932e-05 +11 *7:15 *800:B 0.000136813 +*RES +1 *1002:Q *255:4 9.24915 +2 *255:4 *909:A 12.7697 +3 *255:4 *800:B 25.9269 +*END + +*D_NET *256 0.0013552 +*CONN +*I *802:A I *D sky130_fd_sc_hd__inv_2 +*I *945:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *987:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *802:A 0.000228897 +2 *945:A0 6.40117e-05 +3 *987:Q 0.000154963 +4 *256:10 0.000447871 +5 *886:A2_N *802:A 4.36956e-05 +6 *886:A2_N *945:A0 5.04829e-06 +7 *987:D *802:A 8.859e-05 +8 *8:8 *802:A 8.4464e-05 +9 *8:8 *945:A0 1.00766e-05 +10 *223:89 *945:A0 3.00829e-05 +11 *223:89 *256:10 9.29919e-05 +12 *251:17 *256:10 8.91253e-05 +13 *252:10 *256:10 1.53822e-05 +*RES +1 *987:Q *256:10 21.9123 +2 *256:10 *945:A0 11.1059 +3 *256:10 *802:A 16.0973 +*END + +*D_NET *257 0.0068411 +*CONN +*I *781:C_N I *D sky130_fd_sc_hd__nor3b_2 +*I *898:B I *D sky130_fd_sc_hd__nor2_1 +*I *897:B I *D sky130_fd_sc_hd__xnor2_1 +*I *785:C I *D sky130_fd_sc_hd__nand3_1 +*I *797:A I *D sky130_fd_sc_hd__inv_2 +*I *988:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *781:C_N 6.75863e-06 +2 *898:B 6.94894e-05 +3 *897:B 0 +4 *785:C 0.000239339 +5 *797:A 0.000267432 +6 *988:Q 0.000282971 +7 *257:44 8.59233e-05 +8 *257:41 6.71634e-05 +9 *257:40 0.000494164 +10 *257:34 0.00114594 +11 *257:7 0.000506771 +12 *257:5 0.000978721 +13 *781:C_N *784:A 4.95892e-05 +14 *797:A *271:72 0 +15 *898:B *898:A 0.000120641 +16 *898:B *258:27 2.30636e-05 +17 *257:34 *784:A 6.27782e-05 +18 *257:34 *993:SET_B 7.57573e-05 +19 *257:34 *271:72 6.47268e-05 +20 *257:40 *784:A 3.13805e-06 +21 *257:44 *258:27 5.31465e-05 +22 *785:B *785:C 6.3657e-05 +23 *791:A *797:A 0.000140839 +24 *794:A *785:C 9.95542e-06 +25 *798:A *797:A 5.63538e-05 +26 *798:B *797:A 0.000137985 +27 *799:A2 *257:5 0.000286438 +28 *799:A2 *257:34 1.65872e-05 +29 *799:B1 *797:A 0 +30 *799:B1 *257:5 1.67988e-05 +31 *915:A0 *257:34 4.41288e-05 +32 *988:D *257:5 0.000122378 +33 *989:D *785:C 1.55025e-05 +34 *4:10 *781:C_N 7.01935e-06 +35 *4:10 *898:B 0.000309709 +36 *4:10 *257:40 0.000558851 +37 *4:10 *257:44 0.000112241 +38 *133:16 *785:C 3.17869e-05 +39 *133:16 *257:34 4.87872e-05 +40 *137:7 *797:A 6.26514e-05 +41 *138:5 *257:34 4.87439e-05 +42 *138:20 *785:C 9.75356e-05 +43 *138:20 *797:A 5.56367e-05 +44 *138:42 *785:C 0 +*RES +1 *988:Q *257:5 14.4094 +2 *257:5 *257:7 4.5 +3 *257:7 *797:A 22.0084 +4 *257:7 *785:C 19.6266 +5 *257:5 *257:34 22.6713 +6 *257:34 *257:40 17.4717 +7 *257:40 *257:41 57.9449 +8 *257:41 *257:44 10.5271 +9 *257:44 *897:B 9.24915 +10 *257:44 *898:B 13.3243 +11 *257:34 *781:C_N 9.97254 +*END + +*D_NET *258 0.00219187 +*CONN +*I *898:A I *D sky130_fd_sc_hd__nor2_1 +*I *897:A I *D sky130_fd_sc_hd__xnor2_1 +*I *781:B I *D sky130_fd_sc_hd__nor3b_2 +*I *784:A I *D sky130_fd_sc_hd__inv_2 +*I *989:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *898:A 0.000160918 +2 *897:A 1.75152e-05 +3 *781:B 1.97679e-05 +4 *784:A 0.000162022 +5 *989:Q 0.000159128 +6 *258:27 0.000333801 +7 *258:19 0.000253445 +8 *258:10 0.00039946 +9 *781:B *781:A 4.65954e-06 +10 *784:A *781:A 2.58616e-05 +11 *258:10 *781:A 0.000109951 +12 *258:10 *899:A 7.33021e-06 +13 *258:19 *781:A 9.47552e-05 +14 *258:27 *781:A 5.28741e-05 +15 *781:C_N *784:A 4.95892e-05 +16 *794:A *784:A 3.13805e-06 +17 *898:B *898:A 0.000120641 +18 *898:B *258:27 2.30636e-05 +19 *4:10 *784:A 9.81288e-06 +20 *4:10 *898:A 2.75725e-05 +21 *4:10 *258:27 3.7504e-05 +22 *257:34 *784:A 6.27782e-05 +23 *257:40 *784:A 3.13805e-06 +24 *257:44 *258:27 5.31465e-05 +*RES +1 *989:Q *258:10 21.773 +2 *258:10 *784:A 13.3243 +3 *258:10 *258:19 2.38721 +4 *258:19 *781:B 9.82786 +5 *258:19 *258:27 4.60562 +6 *258:27 *897:A 9.82786 +7 *258:27 *898:A 13.8789 +*END + +*D_NET *259 0.00413439 +*CONN +*I *783:A I *D sky130_fd_sc_hd__inv_2 +*I *899:A I *D sky130_fd_sc_hd__xor2_1 +*I *781:A I *D sky130_fd_sc_hd__nor3b_2 +*I *990:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *783:A 0 +2 *899:A 0.00033989 +3 *781:A 0.000147628 +4 *990:Q 5.79459e-05 +5 *259:13 0.00146003 +6 *259:7 0.00103046 +7 *259:7 *990:RESET_B 2.58616e-05 +8 *259:13 *990:RESET_B 5.97529e-05 +9 *259:13 *993:SET_B 1.37041e-05 +10 *259:13 *300:19 3.54968e-05 +11 *781:B *781:A 4.65954e-06 +12 *784:A *781:A 2.58616e-05 +13 *785:A *259:7 3.00829e-05 +14 *794:A *259:7 0.000159032 +15 *989:D *259:13 2.57986e-05 +16 *4:10 *781:A 0.000453284 +17 *258:10 *781:A 0.000109951 +18 *258:10 *899:A 7.33021e-06 +19 *258:19 *781:A 9.47552e-05 +20 *258:27 *781:A 5.28741e-05 +*RES +1 *990:Q *259:7 11.6605 +2 *259:7 *259:13 24.0326 +3 *259:13 *781:A 25.1261 +4 *259:13 *899:A 17.4717 +5 *259:7 *783:A 9.24915 +*END + +*D_NET *260 0.00648894 +*CONN +*I *900:A I *D sky130_fd_sc_hd__clkinv_2 +*I *764:C I *D sky130_fd_sc_hd__nand3_1 +*I *901:B I *D sky130_fd_sc_hd__xnor2_1 +*I *753:C I *D sky130_fd_sc_hd__nand3_1 +*I *902:B I *D sky130_fd_sc_hd__nor2_1 +*I *995:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *900:A 0.000744259 +2 *764:C 0 +3 *901:B 0.000364062 +4 *753:C 0.000111599 +5 *902:B 0.000196853 +6 *995:Q 3.984e-05 +7 *260:44 0.000867843 +8 *260:10 0.000428635 +9 *260:9 0.00117669 +10 *260:5 0.000855867 +11 *753:C *752:A 2.49496e-05 +12 *901:B *293:51 7.54844e-05 +13 *901:B *300:244 0.000478804 +14 *902:B *903:A 6.36477e-05 +15 *902:B *976:SET_B 1.66626e-05 +16 *260:9 *300:149 1.4091e-06 +17 *260:9 *300:334 0.000139306 +18 *260:10 *976:SET_B 9.33474e-05 +19 *260:10 *300:244 0.000125148 +20 *759:B *900:A 5.38612e-06 +21 *759:C *900:A 0.000282038 +22 *764:A *900:A 3.77964e-05 +23 *764:A *260:44 3.44412e-06 +24 *903:B *902:B 6.64392e-05 +25 *911:A0 *900:A 2.58757e-05 +26 *1004:A *260:5 2.41483e-05 +27 *1004:A *260:9 3.14978e-05 +28 *112:15 *753:C 1.43832e-05 +29 *116:31 *900:A 5.85645e-05 +30 *116:31 *260:44 1.37566e-05 +31 *219:96 *901:B 0 +32 *219:96 *902:B 7.12632e-06 +33 *219:96 *260:10 0 +34 *222:118 *260:44 0 +35 *223:39 *900:A 4.69495e-06 +36 *250:29 *900:A 6.27782e-05 +37 *252:53 *901:B 4.66121e-05 +*RES +1 *995:Q *260:5 9.97254 +2 *260:5 *260:9 15.2063 +3 *260:9 *260:10 3.90826 +4 *260:10 *902:B 17.648 +5 *260:10 *753:C 16.1364 +6 *260:9 *901:B 24.6512 +7 *260:5 *260:44 7.1625 +8 *260:44 *764:C 13.7491 +9 *260:44 *900:A 25.8091 +*END + +*D_NET *261 0.00521536 +*CONN +*I *901:A I *D sky130_fd_sc_hd__xnor2_1 +*I *762:C I *D sky130_fd_sc_hd__nand3_1 +*I *902:A I *D sky130_fd_sc_hd__nor2_1 +*I *752:A I *D sky130_fd_sc_hd__inv_2 +*I *996:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *901:A 0.000443785 +2 *762:C 0 +3 *902:A 0 +4 *752:A 0.000819401 +5 *996:Q 0.000297282 +6 *261:31 0.000562986 +7 *261:11 0.00116318 +8 *261:7 0.000760263 +9 *752:A *903:A 1.47816e-05 +10 *261:7 *300:149 2.43119e-05 +11 *261:11 *903:A 6.46815e-05 +12 *261:11 *262:18 0 +13 *261:31 *262:18 0 +14 *747:A1 *901:A 3.55179e-05 +15 *753:A *752:A 2.43615e-05 +16 *753:B *752:A 4.86982e-06 +17 *753:C *752:A 2.49496e-05 +18 *763:B1_N *901:A 7.60183e-05 +19 *831:A *261:11 2.41274e-06 +20 *903:B *752:A 7.16893e-05 +21 *1010:A *752:A 6.30954e-05 +22 *112:15 *752:A 0.000114271 +23 *115:16 *901:A 0.000105382 +24 *115:16 *261:31 5.03545e-06 +25 *115:20 *261:31 2.8692e-05 +26 *252:53 *901:A 0.000508389 +*RES +1 *996:Q *261:7 18.0775 +2 *261:7 *261:11 12.8745 +3 *261:11 *752:A 24.7539 +4 *261:11 *902:A 9.24915 +5 *261:7 *261:31 2.6625 +6 *261:31 *762:C 13.7491 +7 *261:31 *901:A 26.279 +*END + +*D_NET *262 0.00471055 +*CONN +*I *760:C I *D sky130_fd_sc_hd__nand3_1 +*I *903:A I *D sky130_fd_sc_hd__xor2_1 +*I *751:A I *D sky130_fd_sc_hd__inv_2 +*I *997:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *760:C 0 +2 *903:A 0.000163535 +3 *751:A 0.000804089 +4 *997:Q 0.000157615 +5 *262:18 0.00138593 +6 *262:11 0.000575922 +7 *262:11 *921:S 0.000113295 +8 *262:11 *271:37 1.21461e-06 +9 *752:A *903:A 1.47816e-05 +10 *760:A *262:18 0.000114909 +11 *760:B *262:18 0.000102564 +12 *762:A *262:18 4.88136e-05 +13 *902:B *903:A 6.36477e-05 +14 *903:B *903:A 4.17605e-05 +15 *921:A0 *262:11 0.000107496 +16 *921:A0 *262:18 0.000190302 +17 *1007:A *751:A 9.13732e-06 +18 *116:31 *262:18 0.000501772 +19 *219:96 *751:A 4.69495e-06 +20 *219:96 *262:18 0.000133031 +21 *220:9 *262:11 0.000109951 +22 *252:65 *262:11 1.4091e-06 +23 *261:11 *903:A 6.46815e-05 +24 *261:11 *262:18 0 +25 *261:31 *262:18 0 +*RES +1 *997:Q *262:11 22.4978 +2 *262:11 *262:18 19.5511 +3 *262:18 *751:A 22.5787 +4 *262:18 *903:A 17.8243 +5 *262:11 *760:C 9.24915 +*END + +*D_NET *263 0.00275124 +*CONN +*I *766:B I *D sky130_fd_sc_hd__nor2_1 +*I *769:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *775:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *777:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *992:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *766:B 8.2295e-05 +2 *769:A_N 0.000277951 +3 *775:A_N 0 +4 *777:A2 0.000151337 +5 *992:Q 5.92072e-05 +6 *263:23 0.000528265 +7 *263:8 0.000378564 +8 *766:B *768:A1 6.3657e-05 +9 *769:A_N *768:A1 8.01546e-06 +10 *769:A_N *775:B 4.0508e-05 +11 *769:A_N *264:15 3.20597e-05 +12 *263:8 *300:111 4.04935e-05 +13 *768:A2 *766:B 7.87295e-05 +14 *768:A2 *263:23 3.60363e-05 +15 *768:B1_N *263:23 4.95892e-05 +16 *769:B *769:A_N 4.94e-06 +17 *776:A1 *263:8 2.46803e-05 +18 *776:A2 *263:23 6.27782e-05 +19 *777:A1 *777:A2 0.00025821 +20 *777:A1 *263:23 0.000354476 +21 *122:8 *769:A_N 7.0179e-06 +22 *123:7 *263:23 0.000212432 +*RES +1 *992:Q *263:8 20.0811 +2 *263:8 *777:A2 13.3243 +3 *263:8 *775:A_N 9.24915 +4 *263:8 *263:23 6.70347 +5 *263:23 *769:A_N 24.3314 +6 *263:23 *766:B 12.2151 +*END + +*D_NET *264 0.00271028 +*CONN +*I *786:B I *D sky130_fd_sc_hd__nor2_1 +*I *771:A I *D sky130_fd_sc_hd__inv_2 +*I *766:A I *D sky130_fd_sc_hd__nor2_1 +*I *993:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *786:B 0.000118865 +2 *771:A 2.28157e-05 +3 *766:A 0 +4 *993:Q 0.000563852 +5 *264:15 0.000259573 +6 *264:8 0.000681745 +7 *771:A *302:11 0.000109427 +8 *786:B *768:A1 0.000159322 +9 *264:8 *768:A1 3.28433e-06 +10 *264:8 *993:SET_B 8.82592e-05 +11 *264:15 *768:A1 0.000168112 +12 *264:15 *993:SET_B 1.53822e-05 +13 *769:A_N *264:15 3.20597e-05 +14 *769:C *771:A 0.000109427 +15 *779:B *786:B 6.36477e-05 +16 *787:C1 *786:B 6.36477e-05 +17 *220:11 *264:8 0.000111102 +18 *220:13 *264:8 0.000139764 +*RES +1 *993:Q *264:8 25.3105 +2 *264:8 *766:A 13.7491 +3 *264:8 *264:15 3.90826 +4 *264:15 *771:A 15.0271 +5 *264:15 *786:B 17.5503 +*END + +*D_NET *265 0.00137377 +*CONN +*I *786:A I *D sky130_fd_sc_hd__nor2_1 +*I *770:A I *D sky130_fd_sc_hd__inv_2 +*I *773:A1_N I *D sky130_fd_sc_hd__o2bb2ai_1 +*I *994:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *786:A 5.81557e-05 +2 *770:A 0 +3 *773:A1_N 0.000112657 +4 *994:Q 0 +5 *265:17 0.000257477 +6 *265:4 0.000311978 +7 *773:A1_N *300:111 2.68066e-05 +8 *773:A2_N *773:A1_N 6.08467e-05 +9 *773:B2 *773:A1_N 1.43832e-05 +10 *777:B1_N *773:A1_N 4.94633e-05 +11 *779:B *773:A1_N 0 +12 *779:B *786:A 0.000204502 +13 *779:B *265:17 0.000277502 +*RES +1 *994:Q *265:4 9.24915 +2 *265:4 *773:A1_N 21.2198 +3 *265:4 *265:17 6.14887 +4 *265:17 *770:A 9.24915 +5 *265:17 *786:A 11.6364 +*END + +*D_NET *266 0.00117342 +*CONN +*I *742:C I *D sky130_fd_sc_hd__nand3_1 +*I *949:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *742:C 0.000399073 +2 *949:Q 0.000399073 +3 *742:B *742:C 6.11495e-05 +4 *746:B1 *742:C 2.02035e-05 +5 *104:13 *742:C 3.61045e-05 +6 *223:11 *742:C 0.000208606 +7 *223:34 *742:C 4.92115e-05 +*RES +1 *949:Q *742:C 35.8212 +*END + +*D_NET *267 0.0021369 +*CONN +*I *741:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *740:A I *D sky130_fd_sc_hd__or2b_1 +*I *950:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *741:B_N 0.000712519 +2 *740:A 0 +3 *950:Q 0.000138129 +4 *267:5 0.000850648 +5 *741:B_N *944:A1 2.652e-05 +6 *741:B_N *944:S 0.000222149 +7 *741:B_N *293:36 1.88014e-05 +8 *267:5 *293:36 8.95383e-05 +9 *742:B *741:B_N 0 +10 *222:118 *741:B_N 2.652e-05 +11 *251:29 *741:B_N 5.20746e-05 +*RES +1 *950:Q *267:5 11.6364 +2 *267:5 *740:A 9.24915 +3 *267:5 *741:B_N 32.7159 +*END + +*D_NET *268 0.00224227 +*CONN +*I *743:A I *D sky130_fd_sc_hd__nor2_1 +*I *744:A I *D sky130_fd_sc_hd__and2_1 +*I *951:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *743:A 0.000440045 +2 *744:A 0.000137235 +3 *951:Q 0.000275354 +4 *268:7 0.000852634 +5 *743:A *300:244 0 +6 *743:A *300:253 0 +7 *744:A *300:244 0 +8 *268:7 *885:B 2.36025e-05 +9 *743:B *743:A 0.000292504 +10 *743:B *744:A 8.06816e-05 +11 *920:A1 *744:A 1.03594e-05 +12 *252:33 *744:A 0.000129858 +*RES +1 *951:Q *268:7 17.8002 +2 *268:7 *744:A 18.0727 +3 *268:7 *743:A 25.9624 +*END + +*D_NET *269 0.000382373 +*CONN +*I *754:A I *D sky130_fd_sc_hd__inv_2 +*I *885:A I *D sky130_fd_sc_hd__xnor2_1 +*I *998:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *754:A 3.09544e-05 +2 *885:A 0.000144426 +3 *998:Q 0 +4 *269:4 0.00017538 +5 *885:A *998:SET_B 0 +6 *113:7 *754:A 2.05783e-05 +7 *113:7 *885:A 1.10348e-05 +*RES +1 *998:Q *269:4 9.24915 +2 *269:4 *885:A 21.773 +3 *269:4 *754:A 10.2498 +*END + +*D_NET *270 0.00490023 +*CONN +*I *780:A I *D sky130_fd_sc_hd__inv_2 +*I *885:B I *D sky130_fd_sc_hd__xnor2_1 +*I *991:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *780:A 0 +2 *885:B 0.00161228 +3 *991:Q 0.000164487 +4 *270:10 0.00177676 +5 *885:B *926:A1 7.34948e-06 +6 *270:10 *991:SET_B 8.2006e-06 +7 *270:10 *293:51 0.000141769 +8 *750:A2 *885:B 0.000315473 +9 *759:A *885:B 0.000118166 +10 *998:D *885:B 4.6331e-05 +11 *104:13 *885:B 3.56578e-05 +12 *219:96 *270:10 0 +13 *250:41 *885:B 2.88467e-05 +14 *252:28 *885:B 0.000385508 +15 *252:32 *885:B 0.000235801 +16 *268:7 *885:B 2.36025e-05 +*RES +1 *991:Q *270:10 21.9123 +2 *270:10 *885:B 37.4857 +3 *270:10 *780:A 9.24915 +*END + +*D_NET *271 0.0155792 +*CONN +*I *912:S I *D sky130_fd_sc_hd__mux2_1 +*I *924:S I *D sky130_fd_sc_hd__mux2_1 +*I *941:S I *D sky130_fd_sc_hd__mux2_1 +*I *928:S I *D sky130_fd_sc_hd__mux2_1 +*I *916:S I *D sky130_fd_sc_hd__mux2_1 +*I *748:A I *D sky130_fd_sc_hd__inv_2 +*I *768:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *922:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *921:S I *D sky130_fd_sc_hd__mux2_1 +*I *999:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *912:S 0.000203821 +2 *924:S 0 +3 *941:S 0 +4 *928:S 0.000209219 +5 *916:S 1.71389e-05 +6 *748:A 0 +7 *768:A1 0.000311223 +8 *922:A1 0 +9 *921:S 0.000141274 +10 *999:Q 0.000546853 +11 *271:74 0.000419314 +12 *271:72 0.00061585 +13 *271:65 0.000816931 +14 *271:64 0.000492199 +15 *271:49 0.000467875 +16 *271:37 0.000519609 +17 *271:29 0.00102895 +18 *271:10 0.00149406 +19 *768:A1 *993:SET_B 7.55307e-05 +20 *271:10 *944:A1 1.07248e-05 +21 *271:10 *944:S 0.000215771 +22 *271:29 *923:A1 0.000252337 +23 *271:29 *293:54 0.000112125 +24 *271:64 *991:CLK 2.93436e-05 +25 *271:72 *993:SET_B 5.82254e-05 +26 *745:A1 *271:10 0.000310936 +27 *745:A2 *271:10 2.07325e-05 +28 *749:A *271:64 0.000110306 +29 *750:B1 *271:10 2.76838e-05 +30 *755:B *271:10 5.96881e-05 +31 *756:A *271:64 8.62625e-06 +32 *758:A2 *271:29 0.000189638 +33 *758:B1_N *271:10 6.74955e-05 +34 *759:A *271:10 0.00010906 +35 *759:C *271:10 7.12632e-06 +36 *764:A *912:S 9.12416e-06 +37 *765:A2 *912:S 1.24478e-05 +38 *765:A2 *271:10 6.64671e-06 +39 *766:B *768:A1 6.3657e-05 +40 *768:A2 *768:A1 1.37189e-05 +41 *769:A_N *768:A1 8.01546e-06 +42 *786:B *768:A1 0.000159322 +43 *790:B *768:A1 4.70104e-05 +44 *790:B *271:72 3.20407e-05 +45 *790:B *271:74 0.000192407 +46 *795:B *271:65 5.04829e-06 +47 *795:B *271:72 7.79217e-05 +48 *797:A *271:72 0 +49 *886:B1 *912:S 0.000307121 +50 *912:A0 *912:S 6.36477e-05 +51 *915:A0 *271:72 0.000324166 +52 *915:S *271:72 0.000321918 +53 *916:A1 *916:S 1.43698e-05 +54 *921:A1 *921:S 9.33502e-05 +55 *921:A1 *271:49 5.1674e-06 +56 *922:S *271:49 5.03545e-06 +57 *922:S *271:64 1.31528e-05 +58 *928:A0 *928:S 0.000271124 +59 *941:A0 *271:64 9.22013e-06 +60 *941:A1 *271:64 6.65668e-05 +61 *944:A0 *271:10 0.000215771 +62 *999:D *271:10 4.25796e-05 +63 *18:27 *271:37 0.00016553 +64 *18:43 *271:29 0.000119355 +65 *18:43 *271:37 0.000644994 +66 *18:49 *271:29 0.000151615 +67 *46:31 *928:S 0.000121976 +68 *108:18 *271:64 8.92089e-05 +69 *109:8 *768:A1 7.50872e-05 +70 *112:15 *271:29 0.000293654 +71 *112:27 *271:29 0.000161703 +72 *116:31 *271:29 8.95297e-05 +73 *129:16 *271:65 0.000103217 +74 *129:16 *271:72 6.50727e-05 +75 *137:7 *916:S 6.27718e-05 +76 *220:9 *921:S 6.79679e-05 +77 *220:9 *271:49 0.000158651 +78 *220:9 *271:64 0.000334664 +79 *220:79 *271:64 0.000210049 +80 *220:79 *271:65 6.08467e-05 +81 *222:118 *271:10 5.83492e-05 +82 *250:29 *912:S 1.41976e-05 +83 *250:34 *912:S 0.000187985 +84 *252:25 *271:10 6.64964e-05 +85 *252:65 *271:37 0.000406491 +86 *252:75 *271:49 2.89898e-05 +87 *252:90 *928:S 0.000159957 +88 *257:34 *271:72 6.47268e-05 +89 *262:11 *921:S 0.000113295 +90 *262:11 *271:37 1.21461e-06 +91 *264:8 *768:A1 3.28433e-06 +92 *264:15 *768:A1 0.000168112 +*RES +1 *999:Q *271:10 30.1206 +2 *271:10 *271:29 24.5503 +3 *271:29 *271:37 15.3992 +4 *271:37 *921:S 18.9764 +5 *271:37 *271:49 3.07775 +6 *271:49 *922:A1 13.7491 +7 *271:49 *271:64 25.086 +8 *271:64 *271:65 3.49641 +9 *271:65 *271:72 20.3872 +10 *271:72 *271:74 3.493 +11 *271:74 *768:A1 32.1224 +12 *271:74 *748:A 13.7491 +13 *271:72 *916:S 14.4725 +14 *271:65 *928:S 25.1725 +15 *271:64 *941:S 9.24915 +16 *271:29 *924:S 9.24915 +17 *271:10 *912:S 21.56 +*END + +*D_NET *272 0.00920195 +*CONN +*I *926:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *968:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *970:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *969:CLK I *D sky130_fd_sc_hd__dfstp_4 +*I *967:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *966:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *965:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *886:Y O *D sky130_fd_sc_hd__o2bb2ai_2 +*CAP +1 *926:A1 0.000941436 +2 *968:CLK 2.20711e-05 +3 *970:CLK 0.000261105 +4 *969:CLK 0 +5 *967:CLK 0.000270874 +6 *966:CLK 0 +7 *965:CLK 0.000273127 +8 *886:Y 0 +9 *272:47 0.00040677 +10 *272:29 0.000283328 +11 *272:17 0.000616865 +12 *272:10 0.000609745 +13 *272:7 0.00067511 +14 *272:4 0.00146168 +15 *926:A1 *926:S 9.96933e-05 +16 *926:A1 *970:RESET_B 2.42956e-05 +17 *926:A1 *998:SET_B 2.36024e-05 +18 *926:A1 *293:24 1.1718e-05 +19 *965:CLK *919:A0 0 +20 *965:CLK *965:D 0.000114584 +21 *967:CLK *919:A0 0.000239623 +22 *967:CLK *966:D 0.000148767 +23 *968:CLK *968:D 2.29454e-05 +24 *970:CLK *969:D 3.28433e-06 +25 *970:CLK *970:D 0.000315473 +26 *272:7 *968:D 7.16893e-05 +27 *272:17 *966:D 0.000165521 +28 *272:17 *969:D 4.88236e-05 +29 *272:29 *966:D 4.85806e-05 +30 *272:47 *969:D 3.61552e-05 +31 *738:S *965:CLK 0.00014197 +32 *803:B1 *272:7 9.58242e-05 +33 *805:B *272:7 0.000120546 +34 *885:B *926:A1 7.34948e-06 +35 *886:A1_N *272:7 0.000169177 +36 *886:B2 *926:A1 0.000118561 +37 *886:B2 *272:7 1.09551e-05 +38 *919:S *965:CLK 5.29538e-05 +39 *925:A1 *926:A1 0 +40 *926:A0 *926:A1 0.000334834 +41 *999:D *926:A1 6.80367e-05 +42 *999:CLK *926:A1 0.000162506 +43 *7:15 *970:CLK 0 +44 *7:15 *272:10 0 +45 *7:15 *272:47 0 +46 *8:7 *967:CLK 0 +47 *8:8 *967:CLK 7.19887e-05 +48 *8:8 *272:17 0.000246361 +49 *8:8 *272:29 2.58757e-05 +50 *143:5 *272:7 6.73186e-05 +51 *143:7 *272:7 7.97944e-05 +52 *202:8 *926:A1 0.000133892 +53 *223:11 *926:A1 7.37111e-05 +54 *223:98 *965:CLK 0 +55 *250:9 *272:7 1.17054e-05 +56 *250:14 *272:7 1.1718e-05 +*RES +1 *886:Y *272:4 9.24915 +2 *272:4 *272:7 16.2764 +3 *272:7 *272:10 7.57775 +4 *272:10 *272:17 15.3992 +5 *272:17 *965:CLK 26.3422 +6 *272:17 *272:29 0.723396 +7 *272:29 *966:CLK 9.24915 +8 *272:29 *967:CLK 27.2143 +9 *272:10 *272:47 3.493 +10 *272:47 *969:CLK 13.7491 +11 *272:47 *970:CLK 20.7386 +12 *272:7 *968:CLK 9.97254 +13 *272:4 *926:A1 41.5195 +*END + +*D_NET *273 0.000799711 +*CONN +*I *968:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *965:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *968:D 0.000146136 +2 *965:Q 0.000146136 +3 *968:CLK *968:D 2.29454e-05 +4 *7:15 *968:D 3.77659e-05 +5 *250:9 *968:D 0.000375039 +6 *272:7 *968:D 7.16893e-05 +*RES +1 *965:Q *968:D 33.3813 +*END + +*D_NET *274 0.000727633 +*CONN +*I *969:D I *D sky130_fd_sc_hd__dfstp_4 +*I *966:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *969:D 0.000205522 +2 *966:Q 0.000205522 +3 *969:D *300:458 1.59362e-06 +4 *969:D *300:466 0 +5 *969:D *300:478 0 +6 *970:CLK *969:D 3.28433e-06 +7 *8:8 *969:D 0.000135784 +8 *223:98 *969:D 6.50727e-05 +9 *251:17 *969:D 2.58757e-05 +10 *272:17 *969:D 4.88236e-05 +11 *272:47 *969:D 3.61552e-05 +*RES +1 *966:Q *969:D 33.3433 +*END + +*D_NET *275 0.000975074 +*CONN +*I *970:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *967:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *970:D 0.000290294 +2 *967:Q 0.000290294 +3 *970:D *300:483 7.90125e-05 +4 *970:CLK *970:D 0.000315473 +*RES +1 *967:Q *970:D 26.6244 +*END + +*D_NET *276 0.00190022 +*CONN +*I *944:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *958:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *944:A1 0.000736272 +2 *958:Q 0.000736272 +3 *944:A1 *957:RESET_B 0.000360145 +4 *944:A1 *958:RESET_B 3.02812e-05 +5 *741:B_N *944:A1 2.652e-05 +6 *222:118 *944:A1 0 +7 *271:10 *944:A1 1.07248e-05 +*RES +1 *958:Q *944:A1 40.4518 +*END + +*D_NET *277 0.0011916 +*CONN +*I *1012:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *875:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *952:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *1012:A 8.18857e-05 +2 *875:A0 0.000284734 +3 *952:Q 0 +4 *277:4 0.00036662 +5 *875:A0 *875:S 1.4106e-05 +6 *875:A1 *875:A0 1.50924e-05 +7 *1006:A *875:A0 5.03691e-05 +8 *1006:A *1012:A 1.41976e-05 +9 *4:10 *875:A0 0.000360307 +10 *104:13 *875:A0 4.29314e-06 +*RES +1 *952:Q *277:4 9.24915 +2 *277:4 *875:A0 25.8254 +3 *277:4 *1012:A 11.5399 +*END + +*D_NET *278 0.00053259 +*CONN +*I *906:A I *D sky130_fd_sc_hd__inv_2 +*I *1013:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *906:A 0.000188093 +2 *1013:X 0.000188093 +3 *906:A *291:10 9.31598e-05 +4 *906:A *291:15 0 +5 *906:A *311:11 1.07248e-05 +6 *1013:A *906:A 5.25197e-05 +*RES +1 *1013:X *906:A 33.0676 +*END + +*D_NET *279 0.0098649 +*CONN +*I *953:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *954:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *955:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1022:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *925:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *953:CLK 0.000166525 +2 *954:CLK 0.000128894 +3 *955:CLK 0 +4 *1022:A 0 +5 *925:X 0 +6 *279:29 0.000716288 +7 *279:20 0.000897409 +8 *279:10 0.00244959 +9 *279:4 0.00292613 +10 *953:CLK *953:SET_B 2.60765e-05 +11 *953:CLK *954:D 3.73934e-05 +12 *953:CLK *955:SET_B 0.000268305 +13 *953:CLK *280:10 0.00019686 +14 *279:10 *957:RESET_B 0.000672295 +15 *279:10 *958:RESET_B 0.000253589 +16 *279:10 *970:RESET_B 1.98996e-05 +17 *279:10 *315:15 7.94011e-05 +18 *279:20 *970:RESET_B 0.00041395 +19 *279:20 *293:24 0.000331135 +20 *279:29 *955:SET_B 4.54155e-05 +21 *279:29 *293:24 6.08467e-05 +22 *876:A *279:10 4.46057e-05 +23 *925:A0 *279:10 6.53312e-05 +24 *925:A0 *279:20 1.37531e-05 +25 *940:A0 *279:10 7.01935e-06 +26 *940:S *279:10 1.40978e-05 +27 *958:CLK *279:10 3.00829e-05 +*RES +1 *925:X *279:4 9.24915 +2 *279:4 *279:10 49.5387 +3 *279:10 *1022:A 9.24915 +4 *279:4 *279:20 20.1447 +5 *279:20 *955:CLK 9.24915 +6 *279:20 *279:29 10.3446 +7 *279:29 *954:CLK 21.3269 +8 *279:29 *953:CLK 17.1824 +*END + +*D_NET *280 0.00398145 +*CONN +*I *1023:A I *D sky130_fd_sc_hd__buf_2 +*I *907:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1023:A 0.000536124 +2 *907:Y 0.00118835 +3 *280:10 0.00172448 +4 *280:10 *907:B 3.95582e-05 +5 *280:10 *953:SET_B 2.84479e-05 +6 *280:10 *954:D 0.000122978 +7 *953:CLK *280:10 0.00019686 +8 *7:15 *280:10 0.000144659 +*RES +1 *907:Y *280:10 38.2731 +2 *280:10 *1023:A 21.6192 +*END + +*D_NET *281 0.000720255 +*CONN +*I *1024:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *926:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1024:A 0.000153783 +2 *926:X 0.000153783 +3 *1024:A *293:24 0.000412689 +*RES +1 *926:X *1024:A 24.0926 +*END + +*D_NET *282 0.000193964 +*CONN +*I *955:D I *D sky130_fd_sc_hd__dfstp_1 +*I *910:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *955:D 7.88611e-05 +2 *910:LO 7.88611e-05 +3 *955:D *293:24 3.62414e-05 +*RES +1 *910:LO *955:D 29.7455 +*END + +*D_NET *283 0.000288039 +*CONN +*I *993:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *774:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *993:CLK 2.09358e-05 +2 *774:Y 2.09358e-05 +3 *993:CLK *300:40 7.48797e-05 +4 *220:13 *993:CLK 0.000171288 +*RES +1 *774:Y *993:CLK 20.3309 +*END + +*D_NET *284 0.000479021 +*CONN +*I *991:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *778:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *991:CLK 0.000166134 +2 *778:Y 0.000166134 +3 *941:A1 *991:CLK 2.81678e-06 +4 *129:16 *991:CLK 0.000114594 +5 *271:64 *991:CLK 2.93436e-05 +*RES +1 *778:Y *991:CLK 31.0235 +*END + +*D_NET *285 0.00125949 +*CONN +*I *989:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *794:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *989:CLK 0.000382834 +2 *794:Y 0.000382834 +3 *794:A *989:CLK 0.000465219 +4 *989:D *989:CLK 2.85997e-05 +*RES +1 *794:Y *989:CLK 34.9058 +*END + +*D_NET *286 0.000288039 +*CONN +*I *981:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *833:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *981:CLK 2.09358e-05 +2 *833:Y 2.09358e-05 +3 *981:CLK *981:SET_B 7.48797e-05 +4 *219:17 *981:CLK 0.000171288 +*RES +1 *833:Y *981:CLK 20.3309 +*END + +*D_NET *287 0.00108279 +*CONN +*I *979:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *837:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *979:CLK 0.00035745 +2 *837:Y 0.00035745 +3 *979:CLK *932:A0 2.54028e-05 +4 *814:A2 *979:CLK 3.21102e-05 +5 *979:D *979:CLK 0.00016386 +6 *156:31 *979:CLK 7.19887e-05 +7 *224:78 *979:CLK 6.27782e-05 +8 *225:32 *979:CLK 1.17519e-05 +*RES +1 *837:Y *979:CLK 35.0746 +*END + +*D_NET *288 0.0001613 +*CONN +*I *973:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *866:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *973:CLK 7.81258e-05 +2 *866:Y 7.81258e-05 +3 *973:CLK *973:SET_B 5.04829e-06 +*RES +1 *866:Y *973:CLK 20.3309 +*END + +*D_NET *289 0.000240148 +*CONN +*I *907:A I *D sky130_fd_sc_hd__nor2_1 +*I *1014:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *907:A 8.58369e-05 +2 *1014:X 8.58369e-05 +3 *8:7 *907:A 6.84737e-05 +*RES +1 *1014:X *907:A 30.1608 +*END + +*D_NET *290 0.000482728 +*CONN +*I *958:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1012:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *958:D 0.000207939 +2 *1012:X 0.000207939 +3 *958:D *293:36 6.68506e-05 +*RES +1 *1012:X *958:D 32.6523 +*END + +*D_NET *291 0.0195433 +*CONN +*I *880:B I *D sky130_fd_sc_hd__nor2_1 +*I *855:A I *D sky130_fd_sc_hd__or2b_1 +*I *947:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *933:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *934:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *932:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1037:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *880:B 0.000597687 +2 *855:A 0.000108217 +3 *947:D 0 +4 *933:A1 0 +5 *934:A1 0 +6 *932:A0 0.000897254 +7 *1037:X 0 +8 *291:41 0.00102816 +9 *291:38 0.000228397 +10 *291:37 0.000258009 +11 *291:32 0.000194757 +12 *291:31 0.000101063 +13 *291:28 0.00230031 +14 *291:15 0.00277783 +15 *291:10 0.00101664 +16 *291:5 0.000961767 +17 *880:B *856:B_N 9.08174e-05 +18 *880:B *880:A 0.000355463 +19 *880:B *304:5 0.000502981 +20 *880:B *311:11 0.000173684 +21 *932:A0 *984:SET_B 0.000418566 +22 *932:A0 *300:186 0.000311161 +23 *932:A0 *300:192 0.000232144 +24 *291:10 *856:B_N 0.00100743 +25 *291:10 *311:11 0.000838873 +26 *291:28 *983:RESET_B 0.000273619 +27 *807:A *291:28 1.9511e-05 +28 *853:A0 *932:A0 0.000156955 +29 *853:A1 *932:A0 0.000360702 +30 *855:B_N *291:10 7.08723e-06 +31 *856:A *855:A 0.000113968 +32 *857:B *855:A 2.15184e-05 +33 *891:B *291:28 1.51779e-05 +34 *892:B *291:31 0.000155416 +35 *906:A *291:10 9.31598e-05 +36 *906:A *291:15 0 +37 *933:A0 *291:28 0.000108707 +38 *934:A0 *932:A0 6.64392e-05 +39 *934:A0 *291:41 0.000206817 +40 *947:CLK *291:15 1.65669e-05 +41 *947:CLK *291:28 2.9952e-05 +42 *979:CLK *932:A0 2.54028e-05 +43 *983:D *291:28 6.92705e-05 +44 *1013:A *291:15 0 +45 *1031:A *880:B 0.000145658 +46 *1036:A *880:B 2.41827e-05 +47 *19:20 *932:A0 0.000221637 +48 *19:24 *932:A0 2.72868e-05 +49 *19:42 *291:28 0.000168207 +50 *224:78 *932:A0 9.3134e-05 +51 *225:28 *880:B 0.00174903 +52 *226:11 *880:B 2.60631e-05 +53 *234:23 *291:31 3.31745e-05 +54 *235:5 *932:A0 4.62508e-05 +55 *235:17 *291:31 0.000306386 +56 *235:17 *291:37 0.000276326 +57 *235:21 *291:28 0.000183317 +58 *241:8 *291:10 7.77309e-06 +59 *241:8 *291:15 5.98731e-05 +60 *246:48 *880:B 3.35429e-05 +*RES +1 *1037:X *291:5 13.7491 +2 *291:5 *291:10 19.9077 +3 *291:10 *291:15 17.3617 +4 *291:15 *291:28 46.938 +5 *291:28 *291:31 12.7456 +6 *291:31 *291:32 57.9449 +7 *291:32 *291:37 21.4401 +8 *291:37 *291:38 127.479 +9 *291:38 *291:41 11.6364 +10 *291:41 *932:A0 46.039 +11 *291:41 *934:A1 9.24915 +12 *291:28 *933:A1 9.24915 +13 *291:15 *947:D 9.24915 +14 *291:10 *855:A 15.5817 +15 *291:5 *880:B 41.0607 +*END + +*D_NET *292 0.00183324 +*CONN +*I *826:A I *D sky130_fd_sc_hd__inv_2 +*I *834:B I *D sky130_fd_sc_hd__and2b_1 +*I *1028:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *826:A 0 +2 *834:B 0.000121537 +3 *1028:X 0.00047374 +4 *292:11 0.000595277 +5 *827:A1 *292:11 2.54028e-05 +6 *832:A2_N *292:11 0.0004562 +7 *836:B1_N *292:11 2.26713e-06 +8 *162:8 *292:11 1.95129e-05 +9 *237:30 *834:B 5.57854e-05 +10 *237:30 *292:11 8.35237e-05 +*RES +1 *1028:X *292:11 27.4892 +2 *292:11 *834:B 12.625 +3 *292:11 *826:A 9.24915 +*END + +*D_NET *293 0.0147816 +*CONN +*I *919:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *741:A I *D sky130_fd_sc_hd__or2b_1 +*I *923:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *924:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *950:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *884:A I *D sky130_fd_sc_hd__nor2_1 +*I *1035:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *919:A0 0.000487209 +2 *741:A 1.86142e-05 +3 *923:A1 5.47674e-05 +4 *924:A1 0.000169809 +5 *950:D 0 +6 *884:A 3.87389e-05 +7 *1035:X 0.000154389 +8 *293:55 0.000240831 +9 *293:54 8.85319e-05 +10 *293:51 0.000570317 +11 *293:36 0.00144394 +12 *293:24 0.00197749 +13 *293:9 0.0027777 +14 *293:5 0.00236758 +15 *919:A0 *965:D 0.000114271 +16 *293:24 *926:S 1.37423e-05 +17 *293:51 *300:244 0.000175824 +18 *293:51 *300:253 4.94997e-05 +19 user_clk *293:24 0.000127127 +20 user_clk *293:36 8.00313e-05 +21 *738:S *919:A0 0 +22 *741:B_N *293:36 1.88014e-05 +23 *742:B *293:24 2.19586e-05 +24 *743:B *293:36 0 +25 *901:B *293:51 7.54844e-05 +26 *924:A0 *924:A1 0.000259879 +27 *925:A1 *884:A 0 +28 *925:A1 *293:24 0 +29 *926:A0 *293:24 6.27782e-05 +30 *926:A1 *293:24 1.1718e-05 +31 *955:D *293:24 3.62414e-05 +32 *958:D *293:36 6.68506e-05 +33 *965:CLK *919:A0 0 +34 *967:CLK *919:A0 0.000239623 +35 *1024:A *293:24 0.000412689 +36 *1035:A *293:5 2.61147e-05 +37 *1035:A *293:9 2.41274e-06 +38 *8:7 *919:A0 0 +39 *18:43 *923:A1 0.000129383 +40 *18:43 *293:54 0.000114916 +41 *219:96 *293:51 0 +42 *223:89 *293:9 0.000499439 +43 *223:98 *293:9 0.00022683 +44 *251:17 *293:9 7.84112e-05 +45 *251:29 *293:36 0.000168313 +46 *251:35 *293:36 0.000304747 +47 *252:8 *884:A 3.46062e-05 +48 *252:8 *293:24 4.70005e-05 +49 *252:10 *884:A 5.22654e-06 +50 *267:5 *293:36 8.95383e-05 +51 *270:10 *293:51 0.000141769 +52 *271:29 *923:A1 0.000252337 +53 *271:29 *293:54 0.000112125 +54 *279:20 *293:24 0.000331135 +55 *279:29 *293:24 6.08467e-05 +*RES +1 *1035:X *293:5 11.6364 +2 *293:5 *293:9 32.399 +3 *293:9 *884:A 15.1659 +4 *293:9 *293:24 32.0414 +5 *293:24 *293:36 34.9748 +6 *293:36 *950:D 9.24915 +7 *293:36 *293:51 22.9476 +8 *293:51 *293:54 10.5271 +9 *293:54 *293:55 81.1229 +10 *293:55 *924:A1 21.4401 +11 *293:51 *923:A1 12.191 +12 *293:24 *741:A 9.82786 +13 *293:5 *919:A0 29.6642 +*END + +*D_NET *294 0.000411931 +*CONN +*I *782:A I *D sky130_fd_sc_hd__nand3_1 +*I *1027:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *782:A 0.000124448 +2 *1027:X 0.000124448 +3 *789:A *782:A 6.14091e-06 +4 *789:B *782:A 7.06743e-06 +5 *252:75 *782:A 7.40084e-05 +6 *252:90 *782:A 7.58175e-05 +*RES +1 *1027:X *782:A 30.7904 +*END + +*D_NET *295 0.000783496 +*CONN +*I *1030:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *1037:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1029:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *1030:A 0.000144132 +2 *1037:A 0 +3 *1029:X 6.5419e-05 +4 *295:6 0.000209551 +5 *1030:A *311:11 0.000191452 +6 *295:6 *311:11 0.000126335 +7 *1020:A *1030:A 4.6608e-05 +*RES +1 *1029:X *295:6 15.9964 +2 *295:6 *1037:A 13.7491 +3 *295:6 *1030:A 18.5201 +*END + +*D_NET *296 0.00266335 +*CONN +*I *856:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *1030:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *856:B_N 0.000468588 +2 *1030:X 0.000468588 +3 *855:B_N *856:B_N 3.67528e-06 +4 *856:A *856:B_N 7.34948e-06 +5 *857:C *856:B_N 0.000101148 +6 *880:B *856:B_N 9.08174e-05 +7 *962:CLK *856:B_N 0.000201442 +8 *246:48 *856:B_N 0.00031431 +9 *291:10 *856:B_N 0.00100743 +*RES +1 *1030:X *856:B_N 48.1882 +*END + +*D_NET *297 0.00337559 +*CONN +*I *810:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *1031:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *810:A2 0 +2 *1031:X 0.00142973 +3 *297:11 0.00142973 +4 *297:11 *959:D 1.29433e-05 +5 *297:11 *300:376 0 +6 *860:B1 *297:11 0.000222836 +7 *959:CLK *297:11 1.57468e-05 +8 *222:69 *297:11 0 +9 *225:28 *297:11 0.000264612 +*RES +1 *1031:X *297:11 44.8017 +2 *297:11 *810:A2 9.24915 +*END + +*D_NET *298 0.00330325 +*CONN +*I *1033:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *846:A2 I *D sky130_fd_sc_hd__o211ai_1 +*I *1032:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *1033:A 8.9638e-05 +2 *846:A2 0.000330816 +3 *1032:X 0.000338183 +4 *298:5 0.000758637 +5 *846:A2 *299:8 7.69058e-05 +6 *1033:A *299:8 0.000134006 +7 *814:A1 *298:5 0.000173939 +8 *814:A2 *298:5 0.000213449 +9 *832:B2 *846:A2 0.000158451 +10 *832:B2 *1033:A 2.83365e-05 +11 *832:B2 *298:5 0.000112367 +12 *862:A *846:A2 7.15605e-05 +13 *929:S *298:5 0.000112361 +14 *153:23 *846:A2 4.18779e-05 +15 *153:29 *846:A2 0.000117376 +16 *155:7 *298:5 0.000264716 +17 *175:7 *846:A2 5.04829e-06 +18 *186:8 *846:A2 0.000159903 +19 *224:78 *298:5 2.37851e-05 +20 *224:87 *846:A2 3.20964e-05 +21 *224:87 *298:5 5.97948e-05 +*RES +1 *1032:X *298:5 21.0646 +2 *298:5 *846:A2 28.2286 +3 *298:5 *1033:A 20.9116 +*END + +*D_NET *299 0.0025822 +*CONN +*I *1034:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *913:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1033:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *1034:A 2.2953e-05 +2 *913:A1 0.000140109 +3 *1033:X 0.000684685 +4 *299:8 0.000847747 +5 *831:B *299:8 0.000114584 +6 *846:A1 *299:8 0 +7 *846:A2 *299:8 7.69058e-05 +8 *913:S *913:A1 1.27193e-05 +9 *914:S *913:A1 9.04668e-05 +10 *929:A0 *299:8 0.00018426 +11 *939:A0 *913:A1 0 +12 *939:A0 *299:8 0 +13 *939:A1 *299:8 0 +14 *939:S *1034:A 6.36477e-05 +15 *1033:A *299:8 0.000134006 +16 *245:64 *913:A1 6.84074e-06 +17 *245:64 *299:8 0.00020328 +*RES +1 *1033:X *299:8 31.8082 +2 *299:8 *913:A1 16.7198 +3 *299:8 *1034:A 14.4725 +*END + +*D_NET *300 0.0630056 +*CONN +*I *1001:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1002:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *956:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *963:SET_B I *D sky130_fd_sc_hd__dfstp_4 +*I *967:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *953:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *955:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *954:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *969:SET_B I *D sky130_fd_sc_hd__dfstp_4 +*I *966:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *968:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *965:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1000:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *961:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *964:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *960:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *962:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *959:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *983:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *975:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *971:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *978:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *995:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *875:S I *D sky130_fd_sc_hd__mux2_2 +*I *987:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *970:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *998:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *999:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *957:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *958:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *991:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *977:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *986:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *979:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *985:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *984:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *976:SET_B I *D sky130_fd_sc_hd__dfstp_2 +*I *996:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *997:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *988:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *994:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *992:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *982:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *981:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *980:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *974:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *973:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *972:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *993:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *989:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *990:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *1015:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *1001:RESET_B 0.000248361 +2 *1002:RESET_B 0.000179681 +3 *956:RESET_B 0 +4 *963:SET_B 0.000139648 +5 *967:RESET_B 2.49085e-05 +6 *953:SET_B 0.000415327 +7 *955:SET_B 0.000278425 +8 *954:SET_B 0 +9 *969:SET_B 1.28235e-05 +10 *966:SET_B 0 +11 *968:RESET_B 5.93254e-05 +12 *965:RESET_B 0 +13 *1000:SET_B 0.000451652 +14 *961:RESET_B 0.000647019 +15 *964:RESET_B 3.12705e-05 +16 *960:SET_B 0 +17 *962:RESET_B 2.07151e-05 +18 *959:RESET_B 0 +19 *983:RESET_B 0.000366378 +20 *975:RESET_B 0.001047 +21 *971:SET_B 0.000633533 +22 *978:RESET_B 0.000430028 +23 *995:RESET_B 1.82006e-05 +24 *875:S 0.000185465 +25 *987:SET_B 0.000270825 +26 *970:RESET_B 0.00070022 +27 *998:SET_B 0.000374001 +28 *999:RESET_B 2.62476e-05 +29 *957:RESET_B 0.000514632 +30 *958:RESET_B 0.000480643 +31 *991:SET_B 9.57046e-05 +32 *977:RESET_B 3.42437e-05 +33 *986:SET_B 0.0003534 +34 *979:SET_B 0 +35 *985:RESET_B 3.56408e-05 +36 *984:SET_B 0.000289522 +37 *976:SET_B 0.000345638 +38 *996:SET_B 1.82006e-05 +39 *997:RESET_B 0.000757743 +40 *988:RESET_B 0.000309301 +41 *994:RESET_B 3.42437e-05 +42 *992:RESET_B 9.44313e-05 +43 *982:RESET_B 0.000196451 +44 *981:SET_B 0.000827376 +45 *980:RESET_B 3.28327e-05 +46 *974:RESET_B 7.86806e-05 +47 *973:SET_B 0.000618632 +48 *972:RESET_B 4.72013e-05 +49 *993:SET_B 0.00132807 +50 *989:SET_B 1.82006e-05 +51 *990:RESET_B 0.000332544 +52 *1015:X 0 +53 *300:491 0.000900422 +54 *300:483 0.000444369 +55 *300:478 0.000456125 +56 *300:466 0.000361082 +57 *300:458 0.000354237 +58 *300:449 0.000362658 +59 *300:443 0.000672342 +60 *300:425 0.000951679 +61 *300:416 0.000545315 +62 *300:378 0.00072495 +63 *300:376 0.000247547 +64 *300:374 0.000282064 +65 *300:371 0.000425798 +66 *300:369 0.000487321 +67 *300:354 0.000481497 +68 *300:353 0.000717421 +69 *300:344 0.00135899 +70 *300:334 0.00191649 +71 *300:284 0.000882068 +72 *300:273 0.000197987 +73 *300:253 0.000518585 +74 *300:244 0.00086563 +75 *300:229 0.00120055 +76 *300:192 0.000559006 +77 *300:186 0.000577507 +78 *300:179 0.00120297 +79 *300:168 0 +80 *300:151 0.000795127 +81 *300:149 0.00161607 +82 *300:141 0.00177962 +83 *300:111 0.000738549 +84 *300:100 0.000651894 +85 *300:99 0.00110319 +86 *300:57 0.000982023 +87 *300:47 0.000648267 +88 *300:43 0.000531183 +89 *300:41 0.00109461 +90 *300:40 0.00096801 +91 *300:36 0.00154188 +92 *300:19 0.00180948 +93 *300:4 0.000813373 +94 *957:RESET_B *315:15 0.000104554 +95 *962:RESET_B *959:D 5.37479e-05 +96 *964:RESET_B *956:D 0.000110099 +97 *970:RESET_B *925:S 0.000139304 +98 *970:RESET_B *926:S 0.00016768 +99 *970:RESET_B *315:15 0.000108984 +100 *971:SET_B *304:14 0.00020594 +101 *987:SET_B *315:10 0.000169078 +102 *987:SET_B *315:15 0.000140512 +103 *993:SET_B *302:11 9.56071e-05 +104 *1002:RESET_B *315:10 0 +105 *736:A1 *1001:RESET_B 5.04829e-06 +106 *737:A *1001:RESET_B 0 +107 *739:A *300:443 0.000126335 +108 *743:A *300:244 0 +109 *743:A *300:253 0 +110 *744:A *300:244 0 +111 *759:A *998:SET_B 5.38612e-06 +112 *759:C *998:SET_B 0.000130153 +113 *768:A1 *993:SET_B 7.55307e-05 +114 *773:A1_N *300:111 2.68066e-05 +115 *777:B1_N *300:111 0.000239417 +116 *779:B *300:111 0 +117 *790:B *993:SET_B 0.000267933 +118 *794:A *990:RESET_B 4.01715e-05 +119 *799:B1 *988:RESET_B 0 +120 *820:B1_N *984:SET_B 0.000242134 +121 *820:B1_N *300:192 9.24241e-05 +122 *837:A *976:SET_B 5.31074e-05 +123 *837:A *300:179 1.92172e-05 +124 *837:A *300:186 0.00031409 +125 *837:A *300:229 1.65872e-05 +126 *844:B *973:SET_B 0 +127 *844:C *973:SET_B 1.77537e-06 +128 *844:C *300:57 0.000108017 +129 *852:A *300:186 0 +130 *852:A *300:229 2.02035e-05 +131 *861:A2 *983:RESET_B 0 +132 *875:A0 *875:S 1.4106e-05 +133 *875:A1 *875:S 3.61024e-05 +134 *885:A *998:SET_B 0 +135 *901:B *300:244 0.000478804 +136 *902:B *976:SET_B 1.66626e-05 +137 *909:A *1002:RESET_B 6.50727e-05 +138 *920:A0 *976:SET_B 0.000138271 +139 *920:A0 *300:244 0.000260878 +140 *923:A0 *300:244 0.000163344 +141 *925:A0 *970:RESET_B 0.000374918 +142 *925:A1 *978:RESET_B 0 +143 *925:A1 *998:SET_B 0 +144 *925:A1 *1001:RESET_B 0 +145 *926:A1 *970:RESET_B 2.42956e-05 +146 *926:A1 *998:SET_B 2.36024e-05 +147 *932:A0 *984:SET_B 0.000418566 +148 *932:A0 *300:186 0.000311161 +149 *932:A0 *300:192 0.000232144 +150 *944:A0 *300:273 0.000219777 +151 *944:A1 *957:RESET_B 0.000360145 +152 *944:A1 *958:RESET_B 3.02812e-05 +153 *946:D *975:RESET_B 0.000343432 +154 *946:D *300:378 0.000111343 +155 *947:CLK *975:RESET_B 8.01837e-05 +156 *953:CLK *953:SET_B 2.60765e-05 +157 *953:CLK *955:SET_B 0.000268305 +158 *957:CLK *957:RESET_B 3.35529e-05 +159 *958:CLK *957:RESET_B 6.92705e-05 +160 *963:D *300:374 6.93171e-05 +161 *963:D *300:416 4.88333e-05 +162 *964:D *961:RESET_B 3.19299e-05 +163 *964:D *1000:SET_B 1.4313e-05 +164 *969:D *300:458 1.59362e-06 +165 *969:D *300:466 0 +166 *969:D *300:478 0 +167 *970:D *300:483 7.90125e-05 +168 *972:D *972:RESET_B 2.63639e-05 +169 *972:D *300:57 3.86121e-05 +170 *973:D *973:SET_B 5.69833e-05 +171 *973:CLK *973:SET_B 5.04829e-06 +172 *974:D *974:RESET_B 6.87513e-05 +173 *975:D *975:RESET_B 9.2346e-06 +174 *976:D *976:SET_B 1.2601e-05 +175 *976:D *300:186 0 +176 *978:D *300:353 0.000118218 +177 *978:CLK *300:354 0 +178 *980:D *980:RESET_B 0.000105389 +179 *981:D *300:41 0 +180 *981:D *300:43 0 +181 *981:CLK *981:SET_B 7.48797e-05 +182 *988:D *988:RESET_B 1.22347e-05 +183 *989:D *300:19 1.41307e-05 +184 *993:D *997:RESET_B 0.000277488 +185 *993:D *300:40 2.99287e-05 +186 *993:D *300:99 0 +187 *993:CLK *300:40 7.48797e-05 +188 *996:D *300:141 1.78942e-05 +189 *997:D *997:RESET_B 0.000107266 +190 *997:CLK *993:SET_B 0.00010151 +191 *999:CLK *970:RESET_B 3.20069e-06 +192 *999:CLK *998:SET_B 6.21927e-05 +193 *1002:D *1002:RESET_B 8.3866e-06 +194 *1002:CLK *1000:SET_B 0 +195 *1002:CLK *300:443 0 +196 *1004:A *1002:RESET_B 2.82583e-05 +197 *1004:A *300:334 0.000179882 +198 *1004:A *300:344 0.000352748 +199 *1007:A *976:SET_B 4.40276e-05 +200 *1008:A *991:SET_B 0.000304967 +201 *1025:A *993:SET_B 3.24673e-05 +202 *7:15 *300:443 0 +203 *7:15 *300:449 0 +204 *7:15 *300:458 0 +205 *19:24 *984:SET_B 0 +206 *104:13 *970:RESET_B 0 +207 *104:13 *998:SET_B 0 +208 *104:13 *300:273 9.20406e-05 +209 *109:8 *993:SET_B 7.50872e-05 +210 *112:15 *976:SET_B 1.22289e-05 +211 *112:15 *300:244 4.34841e-05 +212 *133:16 *993:SET_B 0 +213 *166:10 *982:RESET_B 0.000258819 +214 *202:8 *970:RESET_B 3.25539e-05 +215 *202:8 *300:284 0.000158997 +216 *216:8 *300:334 0.000164815 +217 *219:17 *981:SET_B 0.000201549 +218 *219:17 *982:RESET_B 0.000187737 +219 *219:23 *980:RESET_B 0.000106724 +220 *219:23 *300:41 5.2092e-05 +221 *219:23 *300:43 0.000319015 +222 *219:96 *976:SET_B 0 +223 *219:96 *300:253 0 +224 *220:9 *300:141 2.82583e-05 +225 *220:11 *300:141 0.000265993 +226 *220:13 *300:40 0.000149195 +227 *220:13 *300:141 4.66876e-05 +228 *222:10 *986:SET_B 0 +229 *222:69 *962:RESET_B 9.13394e-06 +230 *222:69 *975:RESET_B 0.000219139 +231 *222:69 *300:376 8.16827e-05 +232 *222:69 *300:378 0.000122068 +233 *222:93 *971:SET_B 0 +234 *222:93 *300:354 0 +235 *222:121 *957:RESET_B 2.9341e-05 +236 *223:11 *998:SET_B 2.96001e-05 +237 *223:89 *987:SET_B 0 +238 *223:98 *969:SET_B 2.29084e-05 +239 *223:98 *300:449 0 +240 *223:98 *300:458 0 +241 *223:98 *300:466 4.32588e-05 +242 *223:98 *300:478 2.36813e-05 +243 *224:62 *986:SET_B 0.00016754 +244 *224:62 *300:229 7.09666e-06 +245 *226:8 *971:SET_B 0 +246 *226:8 *300:354 0 +247 *226:17 *986:SET_B 7.0705e-05 +248 *226:28 *985:RESET_B 1.16404e-05 +249 *230:7 *971:SET_B 2.33374e-05 +250 *230:7 *300:369 0.000232149 +251 *231:5 *300:57 0.000118166 +252 *233:5 *974:RESET_B 0.000118166 +253 *234:11 *983:RESET_B 5.89781e-05 +254 *235:5 *984:SET_B 1.13647e-05 +255 *236:7 *985:RESET_B 0.000103626 +256 *238:5 *982:RESET_B 0.000196282 +257 *238:16 *982:RESET_B 9.90819e-05 +258 *245:9 *983:RESET_B 0 +259 *246:11 *971:SET_B 1.03403e-05 +260 *246:11 *300:369 6.00793e-05 +261 *250:9 *968:RESET_B 0.000213084 +262 *250:71 *993:SET_B 0 +263 *251:17 *969:SET_B 5.5232e-05 +264 *251:17 *999:RESET_B 2.65732e-05 +265 *251:17 *300:284 7.65884e-05 +266 *252:8 *970:RESET_B 6.73186e-05 +267 *252:8 *987:SET_B 0 +268 *257:34 *993:SET_B 7.57573e-05 +269 *259:7 *990:RESET_B 2.58616e-05 +270 *259:13 *990:RESET_B 5.97529e-05 +271 *259:13 *993:SET_B 1.37041e-05 +272 *259:13 *300:19 3.54968e-05 +273 *260:9 *300:149 1.4091e-06 +274 *260:9 *300:334 0.000139306 +275 *260:10 *976:SET_B 9.33474e-05 +276 *260:10 *300:244 0.000125148 +277 *261:7 *300:149 2.43119e-05 +278 *263:8 *300:111 4.04935e-05 +279 *264:8 *993:SET_B 8.82592e-05 +280 *264:15 *993:SET_B 1.53822e-05 +281 *270:10 *991:SET_B 8.2006e-06 +282 *271:72 *993:SET_B 5.82254e-05 +283 *279:10 *957:RESET_B 0.000672295 +284 *279:10 *958:RESET_B 0.000253589 +285 *279:10 *970:RESET_B 1.98996e-05 +286 *279:20 *970:RESET_B 0.00041395 +287 *279:29 *955:SET_B 4.54155e-05 +288 *280:10 *953:SET_B 2.84479e-05 +289 *291:28 *983:RESET_B 0.000273619 +290 *293:51 *300:244 0.000175824 +291 *293:51 *300:253 4.94997e-05 +292 *297:11 *300:376 0 +*RES +1 *1015:X *300:4 9.24915 +2 *300:4 *990:RESET_B 17.3196 +3 *300:4 *300:19 7.40275 +4 *300:19 *989:SET_B 0.494321 +5 *300:19 *993:SET_B 43.1174 +6 *993:SET_B *300:36 0.494321 +7 *300:36 *300:40 12.9878 +8 *300:40 *300:41 6.81502 +9 *300:41 *300:43 5.98452 +10 *300:43 *300:47 11.7303 +11 *300:47 *972:RESET_B 1.1936 +12 *300:47 *300:57 13.1476 +13 *300:57 *973:SET_B 16.8302 +14 *300:57 *974:RESET_B 7.35741 +15 *300:43 *980:RESET_B 6.24821 +16 *300:41 *981:SET_B 15.146 +17 *981:SET_B *982:RESET_B 7.76445 +18 *300:40 *300:99 8.40826 +19 *300:99 *300:100 3.49641 +20 *300:100 *992:RESET_B 2.18224 +21 *300:100 *300:111 14.637 +22 *300:111 *994:RESET_B 5.139 +23 *300:111 *988:RESET_B 11.954 +24 *300:99 *997:RESET_B 27.1659 +25 *300:36 *300:141 16.8069 +26 *300:141 *996:SET_B 0.494321 +27 *300:141 *300:149 10.7063 +28 *300:149 *300:151 4.5 +29 *300:151 *976:SET_B 13.0044 +30 *976:SET_B *300:168 0.168792 +31 *976:SET_B *300:179 1.33828 +32 *300:179 *300:186 14.7103 +33 *300:186 *300:192 7.71881 +34 *300:192 *984:SET_B 14.1724 +35 *300:192 *985:RESET_B 5.6936 +36 *300:186 *979:SET_B 4.5 +37 *300:179 *300:229 18.2781 +38 *300:229 *986:SET_B 13.3913 +39 *300:229 *977:RESET_B 5.139 +40 *300:151 *300:244 18.4421 +41 *300:244 *991:SET_B 7.91202 +42 *300:244 *300:253 6.81502 +43 *300:253 *958:RESET_B 7.35741 +44 *958:RESET_B *957:RESET_B 17.675 +45 *957:RESET_B *300:273 12.9083 +46 *300:273 *999:RESET_B 1.21772 +47 *300:273 *300:284 8.55102 +48 *300:284 *998:SET_B 14.2218 +49 *300:284 *970:RESET_B 29.088 +50 *970:RESET_B *987:SET_B 17.3089 +51 *300:253 *875:S 17.4379 +52 *300:149 *300:334 18.1331 +53 *300:334 *995:RESET_B 0.494321 +54 *300:334 *300:344 21.3069 +55 *300:344 *978:RESET_B 6.332 +56 *978:RESET_B *300:353 12.3488 +57 *300:353 *300:354 4.73876 +58 *300:354 *971:SET_B 12.1175 +59 *971:SET_B *300:369 7.48715 +60 *300:369 *300:371 4.5 +61 *300:371 *300:374 2.56479 +62 *300:374 *300:376 4.73876 +63 *300:376 *300:378 2.24725 +64 *300:378 *975:RESET_B 18.6362 +65 *975:RESET_B *983:RESET_B 19.3114 +66 *300:378 *959:RESET_B 4.5 +67 *300:376 *962:RESET_B 5.139 +68 *300:374 *960:SET_B 4.5 +69 *300:371 *300:416 7.23027 +70 *300:416 *964:RESET_B 5.6936 +71 *300:416 *300:425 4.73876 +72 *300:425 *961:RESET_B 9.02122 +73 *961:RESET_B *1000:SET_B 18.2813 +74 *300:425 *300:443 13.4591 +75 *300:443 *965:RESET_B 4.5 +76 *300:443 *300:449 2.24725 +77 *300:449 *968:RESET_B 6.80281 +78 *300:449 *300:458 4.73876 +79 *300:458 *966:SET_B 4.5 +80 *300:458 *300:466 3.54186 +81 *300:466 *969:SET_B 5.139 +82 *300:466 *300:478 8.98697 +83 *300:478 *300:483 10.6589 +84 *300:483 *954:SET_B 4.5 +85 *300:483 *300:491 9.23876 +86 *300:491 *955:SET_B 7.84885 +87 *300:491 *953:SET_B 6.78787 +88 *300:478 *967:RESET_B 0.639 +89 *300:369 *963:SET_B 2.32692 +90 *300:354 *956:RESET_B 4.5 +91 *300:353 *1002:RESET_B 9.05006 +92 *300:344 *1001:RESET_B 10.4324 +*END + +*D_NET *301 0.00111453 +*CONN +*I *914:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1034:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *914:A1 0.00040448 +2 *1034:X 0.00040448 +3 *914:S *914:A1 0.000305569 +4 *984:CLK *914:A1 0 +*RES +1 *1034:X *914:A1 38.3912 +*END + +*D_NET *302 0.00200239 +*CONN +*I *767:A I *D sky130_fd_sc_hd__inv_2 +*I *775:B I *D sky130_fd_sc_hd__and2b_1 +*I *1025:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *767:A 0 +2 *775:B 0.000191317 +3 *1025:X 0.000217588 +4 *302:11 0.000408905 +5 *768:B1_N *775:B 2.58757e-05 +6 *769:A_N *775:B 4.0508e-05 +7 *769:B *302:11 0.000308905 +8 *769:C *302:11 9.99577e-05 +9 *771:A *302:11 0.000109427 +10 *773:A2_N *775:B 3.16904e-05 +11 *777:A1 *775:B 6.55124e-05 +12 *993:SET_B *302:11 9.56071e-05 +13 *1025:A *302:11 3.74553e-05 +14 *109:19 *302:11 9.43286e-05 +15 *122:8 *775:B 9.76996e-05 +16 *124:5 *302:11 0.000115157 +17 *126:9 *302:11 6.24582e-05 +*RES +1 *1025:X *302:11 27.4839 +2 *302:11 *775:B 23.7141 +3 *302:11 *767:A 9.24915 +*END + +*D_NET *303 0.00156775 +*CONN +*I *841:A I *D sky130_fd_sc_hd__nand3_1 +*I *1026:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *841:A 0.000447057 +2 *1026:X 0.000447057 +3 *813:A *841:A 0.00020376 +4 *814:A1 *841:A 4.62703e-05 +5 *929:A1 *841:A 0 +6 *169:7 *841:A 0.000171273 +7 *224:87 *841:A 0.000252337 +8 *245:64 *841:A 0 +*RES +1 *1026:X *841:A 38.2572 +*END + +*D_NET *304 0.00890534 +*CONN +*I *948:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *810:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *859:A I *D sky130_fd_sc_hd__and2_1 +*I *858:A I *D sky130_fd_sc_hd__nor2_1 +*I *931:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *880:A I *D sky130_fd_sc_hd__nor2_1 +*I *1036:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *948:D 2.04711e-05 +2 *810:A1 1.36321e-05 +3 *859:A 0 +4 *858:A 0 +5 *931:A1 0.00159334 +6 *880:A 6.41221e-05 +7 *1036:X 6.63174e-05 +8 *304:28 0.00165773 +9 *304:27 7.5662e-05 +10 *304:23 5.22704e-05 +11 *304:19 7.74811e-05 +12 *304:18 0.00018899 +13 *304:14 0.000569267 +14 *304:5 0.000540354 +15 *811:B *931:A1 1.2093e-05 +16 *811:C *931:A1 0.000877037 +17 *811:C *304:19 0.000265537 +18 *811:C *304:23 0.000171904 +19 *811:C *304:27 2.03542e-05 +20 *859:B *304:19 0.000122378 +21 *859:B *304:23 6.92705e-05 +22 *860:A1 *948:D 4.71637e-05 +23 *860:A1 *304:14 0 +24 *860:A1 *304:18 0 +25 *860:B1 *810:A1 0.000106215 +26 *860:B1 *304:14 0 +27 *860:B1 *304:18 0 +28 *860:B1 *304:19 0.000175485 +29 *880:B *880:A 0.000355463 +30 *880:B *304:5 0.000502981 +31 *930:S *931:A1 4.9933e-05 +32 *931:S *931:A1 5.0715e-05 +33 *971:SET_B *304:14 0.00020594 +34 *1036:A *304:5 4.78808e-05 +35 *222:59 *304:14 0.000115656 +36 *224:11 *810:A1 5.07314e-05 +37 *224:11 *304:14 3.20069e-06 +38 *224:11 *304:18 4.70005e-05 +39 *224:11 *304:19 1.92172e-05 +40 *224:15 *304:19 0.000120742 +41 *224:15 *304:23 3.93284e-05 +42 *224:15 *304:27 6.39797e-05 +43 *226:11 *880:A 0.000158981 +44 *226:11 *304:5 0.000188822 +45 *226:17 *931:A1 1.59585e-05 +46 *226:28 *931:A1 8.17375e-05 +*RES +1 *1036:X *304:5 14.964 +2 *304:5 *880:A 13.3002 +3 *304:5 *304:14 15.4675 +4 *304:14 *304:18 7.57775 +5 *304:18 *304:19 4.60562 +6 *304:19 *304:23 2.41132 +7 *304:23 *304:27 9.97254 +8 *304:27 *304:28 81.1229 +9 *304:28 *931:A1 44.6129 +10 *304:23 *858:A 9.24915 +11 *304:19 *859:A 9.24915 +12 *304:18 *810:A1 10.5271 +13 *304:14 *948:D 14.2857 +*END + +*D_NET *305 0.00119943 +*CONN +*I *965:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1016:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *965:D 0.000439577 +2 *1016:X 0.000439577 +3 *919:A0 *965:D 0.000114271 +4 *919:S *965:D 9.14221e-05 +5 *965:CLK *965:D 0.000114584 +*RES +1 *1016:X *965:D 38.5093 +*END + +*D_NET *306 0.000899579 +*CONN +*I *966:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1017:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *966:D 0.000236172 +2 *1017:X 0.000236172 +3 *967:CLK *966:D 0.000148767 +4 *8:8 *966:D 6.43652e-05 +5 *272:17 *966:D 0.000165521 +6 *272:29 *966:D 4.85806e-05 +*RES +1 *1017:X *966:D 25.901 +*END + +*D_NET *307 0.000244539 +*CONN +*I *967:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1018:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *967:D 0.000109433 +2 *1018:X 0.000109433 +3 *1018:A *967:D 2.56724e-05 +*RES +1 *1018:X *967:D 30.0537 +*END + +*D_NET *308 0.00255367 +*CONN +*I *959:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1019:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *959:D 0.00104134 +2 *1019:X 0.00104134 +3 *946:D *959:D 0.000190725 +4 *959:CLK *959:D 3.89004e-05 +5 *962:D *959:D 0.000171288 +6 *962:RESET_B *959:D 5.37479e-05 +7 *222:69 *959:D 3.38355e-06 +8 *297:11 *959:D 1.29433e-05 +*RES +1 *1019:X *959:D 44.0876 +*END + +*D_NET *309 0.00204723 +*CONN +*I *960:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1020:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *960:D 0.00070889 +2 *1020:X 0.00070889 +3 *960:D *311:11 0.000479276 +4 *1029:A *960:D 9.73342e-05 +5 *225:17 *960:D 5.28385e-05 +*RES +1 *1020:X *960:D 42.5197 +*END + +*D_NET *310 0.000362073 +*CONN +*I *961:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1021:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *961:D 0.000159981 +2 *1021:X 0.000159981 +3 *961:CLK *961:D 1.69758e-05 +4 *1021:A *961:D 2.51362e-05 +*RES +1 *1021:X *961:D 30.8842 +*END + +*D_NET *311 0.00480348 +*CONN +*I *956:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *906:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *956:D 0.000733543 +2 *906:Y 0.000629924 +3 *311:11 0.00136347 +4 *880:B *311:11 0.000173684 +5 *906:A *311:11 1.07248e-05 +6 *956:CLK *956:D 4.5332e-05 +7 *960:D *311:11 0.000479276 +8 *964:RESET_B *956:D 0.000110099 +9 *1019:A *311:11 2.0439e-05 +10 *1020:A *311:11 4.52171e-05 +11 *1030:A *311:11 0.000191452 +12 *246:48 *311:11 3.51113e-05 +13 *291:10 *311:11 0.000838873 +14 *295:6 *311:11 0.000126335 +*RES +1 *906:Y *311:11 44.2305 +2 *311:11 *956:D 20.5341 +*END + +*D_NET *312 0.000136718 +*CONN +*I *907:B I *D sky130_fd_sc_hd__nor2_1 +*I *953:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *907:B 4.85799e-05 +2 *953:Q 4.85799e-05 +3 *280:10 *907:B 3.95582e-05 +*RES +1 *953:Q *907:B 20.0536 +*END + +*D_NET *313 0.000354306 +*CONN +*I *953:D I *D sky130_fd_sc_hd__dfstp_1 +*I *954:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *953:D 0.000177153 +2 *954:Q 0.000177153 +*RES +1 *954:Q *953:D 30.7462 +*END + +*D_NET *314 0.000418802 +*CONN +*I *954:D I *D sky130_fd_sc_hd__dfstp_1 +*I *955:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *954:D 0.000129215 +2 *955:Q 0.000129215 +3 *953:CLK *954:D 3.73934e-05 +4 *280:10 *954:D 0.000122978 +*RES +1 *955:Q *954:D 30.7462 +*END + +*D_NET *315 0.00783734 +*CONN +*I *957:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *944:S I *D sky130_fd_sc_hd__mux2_1 +*I *956:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *957:D 0 +2 *944:S 0.000222802 +3 *956:Q 0.00128349 +4 *315:15 0.00142108 +5 *315:10 0.00248177 +6 *315:15 *925:S 0.000353704 +7 *315:15 *926:S 0.000161167 +8 *741:B_N *944:S 0.000222149 +9 *742:B *944:S 0.000137265 +10 *800:B *315:10 0 +11 *803:A1 *315:10 3.81331e-05 +12 *804:A *315:10 0 +13 *805:A *315:10 0.000147866 +14 *805:B *315:10 0.000147866 +15 *909:B *315:10 0 +16 *942:A0 *315:10 0 +17 *944:A0 *944:S 3.99839e-05 +18 *957:RESET_B *315:15 0.000104554 +19 *970:RESET_B *315:15 0.000108984 +20 *978:CLK *315:10 0.000122992 +21 *987:D *315:10 0 +22 *987:SET_B *315:10 0.000169078 +23 *987:SET_B *315:15 0.000140512 +24 *1002:RESET_B *315:10 0 +25 *7:15 *315:10 0 +26 *144:6 *315:10 0 +27 *202:8 *944:S 0.000110297 +28 *223:89 *315:10 0 +29 *251:17 *944:S 5.51483e-06 +30 *251:29 *944:S 5.56461e-05 +31 *252:8 *315:15 6.73186e-05 +32 *252:10 *315:10 0 +33 *271:10 *944:S 0.000215771 +34 *279:10 *315:15 7.94011e-05 +*RES +1 *956:Q *315:10 48.6292 +2 *315:10 *315:15 22.9558 +3 *315:15 *944:S 26.6506 +4 *315:15 *957:D 9.24915 +*END + +*D_NET *316 0.00237119 +*CONN +*I *925:S I *D sky130_fd_sc_hd__mux2_1 +*I *926:S I *D sky130_fd_sc_hd__mux2_1 +*I *957:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *925:S 7.58595e-05 +2 *926:S 0.000429828 +3 *957:Q 0 +4 *316:4 0.000505687 +5 *925:A0 *925:S 6.88361e-05 +6 *926:A0 *926:S 0.000302181 +7 *926:A1 *926:S 9.96933e-05 +8 *970:RESET_B *925:S 0.000139304 +9 *970:RESET_B *926:S 0.00016768 +10 *104:13 *926:S 0 +11 *202:8 *926:S 5.35113e-05 +12 *293:24 *926:S 1.37423e-05 +13 *315:15 *925:S 0.000353704 +14 *315:15 *926:S 0.000161167 +*RES +1 *957:Q *316:4 9.24915 +2 *316:4 *926:S 29.8574 +3 *316:4 *925:S 13.8789 +*END diff --git a/spef/digital_pll.sdc b/spef/digital_pll.sdc new file mode 100644 index 00000000..ed710be7 --- /dev/null +++ b/spef/digital_pll.sdc @@ -0,0 +1,95 @@ +############################################################################### +# Created by write_sdc +# Thu Nov 18 17:35:10 2021 +############################################################################### +current_design digital_pll +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name pll_control_clock -period 6.6667 [get_pins {ringosc.ibufp01/Y}] +set_clock_transition 0.1500 [get_clocks {pll_control_clock}] +set_clock_uncertainty 0.2500 pll_control_clock +set_propagated_clock [get_clocks {pll_control_clock}] +set_input_delay 2.0000 -add_delay [get_ports {dco}] +set_input_delay 2.0000 -add_delay [get_ports {div[0]}] +set_input_delay 2.0000 -add_delay [get_ports {div[1]}] +set_input_delay 2.0000 -add_delay [get_ports {div[2]}] +set_input_delay 2.0000 -add_delay [get_ports {div[3]}] +set_input_delay 2.0000 -add_delay [get_ports {div[4]}] +set_input_delay 2.0000 -add_delay [get_ports {enable}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[0]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[10]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[11]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[12]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[13]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[14]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[15]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[16]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[17]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[18]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[19]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[1]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[20]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[21]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[22]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[23]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[24]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[25]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[2]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[3]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[4]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[5]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[6]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[7]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[8]}] +set_input_delay 2.0000 -add_delay [get_ports {ext_trim[9]}] +set_input_delay 2.0000 -add_delay [get_ports {osc}] +set_input_delay 2.0000 -add_delay [get_ports {resetb}] +set_output_delay 2.0000 -add_delay [get_ports {clockp[0]}] +set_output_delay 2.0000 -add_delay [get_ports {clockp[1]}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {clockp[1]}] +set_load -pin_load 0.0334 [get_ports {clockp[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {dco}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {enable}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {osc}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {resetb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 6.0000 [current_design] diff --git a/spef/digital_pll.spef b/spef/digital_pll.spef new file mode 100644 index 00000000..8b59c2df --- /dev/null +++ b/spef/digital_pll.spef @@ -0,0 +1,11259 @@ +*SPEF "ieee 1481-1999" +*DESIGN "digital_pll" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 clockp[0] +*4 clockp[1] +*5 dco +*6 div[0] +*7 div[1] +*8 div[2] +*9 div[3] +*10 div[4] +*11 enable +*12 ext_trim[0] +*13 ext_trim[10] +*14 ext_trim[11] +*15 ext_trim[12] +*16 ext_trim[13] +*17 ext_trim[14] +*18 ext_trim[15] +*19 ext_trim[16] +*20 ext_trim[17] +*21 ext_trim[18] +*22 ext_trim[19] +*23 ext_trim[1] +*24 ext_trim[20] +*25 ext_trim[21] +*26 ext_trim[22] +*27 ext_trim[23] +*28 ext_trim[24] +*29 ext_trim[25] +*30 ext_trim[2] +*31 ext_trim[3] +*32 ext_trim[4] +*33 ext_trim[5] +*34 ext_trim[6] +*35 ext_trim[7] +*36 ext_trim[8] +*37 ext_trim[9] +*38 osc +*39 resetb +*40 _000_ +*41 _001_ +*42 _002_ +*43 _003_ +*44 _004_ +*45 _005_ +*46 _006_ +*47 _007_ +*48 _008_ +*49 _009_ +*50 _010_ +*51 _011_ +*52 _012_ +*53 _013_ +*54 _014_ +*55 _015_ +*56 _016_ +*57 _017_ +*58 _018_ +*59 _019_ +*60 _020_ +*61 _021_ +*62 _022_ +*63 _023_ +*64 _024_ +*65 _025_ +*66 _026_ +*67 _027_ +*68 _028_ +*69 _029_ +*70 _030_ +*71 _031_ +*72 _032_ +*73 _033_ +*74 _034_ +*75 _035_ +*76 _036_ +*77 _037_ +*78 _038_ +*79 _039_ +*80 _040_ +*81 _041_ +*82 _042_ +*83 _043_ +*84 _044_ +*85 _045_ +*86 _046_ +*87 _047_ +*88 _048_ +*89 _049_ +*90 _050_ +*91 _051_ +*92 _052_ +*93 _053_ +*94 _054_ +*95 _055_ +*96 _056_ +*97 _057_ +*98 _058_ +*99 _059_ +*100 _060_ +*101 _061_ +*102 _062_ +*103 _063_ +*104 _064_ +*105 _065_ +*106 _066_ +*107 _067_ +*108 _068_ +*109 _069_ +*110 _070_ +*111 _071_ +*112 _072_ +*113 _073_ +*114 _074_ +*115 _075_ +*116 _076_ +*117 _077_ +*118 _078_ +*119 _079_ +*120 _080_ +*121 _081_ +*122 _082_ +*123 _083_ +*124 _084_ +*125 _085_ +*126 _086_ +*127 _087_ +*128 _088_ +*129 _089_ +*130 _090_ +*131 _091_ +*132 _092_ +*133 _093_ +*134 _094_ +*135 _095_ +*136 _096_ +*137 _097_ +*138 _098_ +*139 _099_ +*140 _100_ +*141 _101_ +*142 _102_ +*143 _103_ +*144 _104_ +*145 _105_ +*146 _106_ +*147 _107_ +*148 _108_ +*149 _109_ +*150 _110_ +*151 _111_ +*152 _112_ +*153 _113_ +*154 _114_ +*155 _115_ +*156 _116_ +*157 _117_ +*158 _118_ +*159 _119_ +*160 _120_ +*161 _121_ +*162 _122_ +*163 _123_ +*164 _124_ +*165 _125_ +*166 _126_ +*167 _127_ +*168 _128_ +*169 _129_ +*170 _130_ +*171 _131_ +*172 _132_ +*173 _133_ +*174 _134_ +*175 _135_ +*176 _136_ +*177 _137_ +*178 _138_ +*179 _139_ +*180 _140_ +*181 _141_ +*182 _142_ +*183 _143_ +*184 _144_ +*185 _145_ +*186 _146_ +*187 _147_ +*188 _148_ +*189 _149_ +*190 _150_ +*191 _151_ +*192 _152_ +*193 _153_ +*194 _154_ +*195 _155_ +*196 _156_ +*197 _157_ +*198 _158_ +*199 _159_ +*200 _160_ +*201 _161_ +*202 _162_ +*203 _163_ +*204 pll_control\.clock +*205 pll_control\.count0\[0\] +*206 pll_control\.count0\[1\] +*207 pll_control\.count0\[2\] +*208 pll_control\.count0\[3\] +*209 pll_control\.count0\[4\] +*210 pll_control\.count1\[0\] +*211 pll_control\.count1\[1\] +*212 pll_control\.count1\[2\] +*213 pll_control\.count1\[3\] +*214 pll_control\.count1\[4\] +*215 pll_control\.oscbuf\[0\] +*216 pll_control\.oscbuf\[1\] +*217 pll_control\.oscbuf\[2\] +*218 pll_control\.prep\[0\] +*219 pll_control\.prep\[1\] +*220 pll_control\.prep\[2\] +*221 pll_control\.tint\[0\] +*222 pll_control\.tint\[1\] +*223 pll_control\.tint\[2\] +*224 pll_control\.tint\[3\] +*225 pll_control\.tint\[4\] +*226 pll_control\.tval\[0\] +*227 pll_control\.tval\[1\] +*228 ringosc\.c\[0\] +*229 ringosc\.c\[1\] +*230 ringosc\.dstage\[0\]\.id\.d0 +*231 ringosc\.dstage\[0\]\.id\.d1 +*232 ringosc\.dstage\[0\]\.id\.d2 +*233 ringosc\.dstage\[0\]\.id\.in +*234 ringosc\.dstage\[0\]\.id\.out +*235 ringosc\.dstage\[0\]\.id\.trim\[0\] +*236 ringosc\.dstage\[0\]\.id\.trim\[1\] +*237 ringosc\.dstage\[0\]\.id\.ts +*238 ringosc\.dstage\[10\]\.id\.d0 +*239 ringosc\.dstage\[10\]\.id\.d1 +*240 ringosc\.dstage\[10\]\.id\.d2 +*241 ringosc\.dstage\[10\]\.id\.in +*242 ringosc\.dstage\[10\]\.id\.out +*243 ringosc\.dstage\[10\]\.id\.trim\[0\] +*244 ringosc\.dstage\[10\]\.id\.trim\[1\] +*245 ringosc\.dstage\[10\]\.id\.ts +*246 ringosc\.dstage\[11\]\.id\.d0 +*247 ringosc\.dstage\[11\]\.id\.d1 +*248 ringosc\.dstage\[11\]\.id\.d2 +*249 ringosc\.dstage\[11\]\.id\.out +*250 ringosc\.dstage\[11\]\.id\.trim\[0\] +*251 ringosc\.dstage\[11\]\.id\.trim\[1\] +*252 ringosc\.dstage\[11\]\.id\.ts +*253 ringosc\.dstage\[1\]\.id\.d0 +*254 ringosc\.dstage\[1\]\.id\.d1 +*255 ringosc\.dstage\[1\]\.id\.d2 +*256 ringosc\.dstage\[1\]\.id\.out +*257 ringosc\.dstage\[1\]\.id\.trim\[0\] +*258 ringosc\.dstage\[1\]\.id\.trim\[1\] +*259 ringosc\.dstage\[1\]\.id\.ts +*260 ringosc\.dstage\[2\]\.id\.d0 +*261 ringosc\.dstage\[2\]\.id\.d1 +*262 ringosc\.dstage\[2\]\.id\.d2 +*263 ringosc\.dstage\[2\]\.id\.out +*264 ringosc\.dstage\[2\]\.id\.trim\[0\] +*265 ringosc\.dstage\[2\]\.id\.trim\[1\] +*266 ringosc\.dstage\[2\]\.id\.ts +*267 ringosc\.dstage\[3\]\.id\.d0 +*268 ringosc\.dstage\[3\]\.id\.d1 +*269 ringosc\.dstage\[3\]\.id\.d2 +*270 ringosc\.dstage\[3\]\.id\.out +*271 ringosc\.dstage\[3\]\.id\.trim\[0\] +*272 ringosc\.dstage\[3\]\.id\.trim\[1\] +*273 ringosc\.dstage\[3\]\.id\.ts +*274 ringosc\.dstage\[4\]\.id\.d0 +*275 ringosc\.dstage\[4\]\.id\.d1 +*276 ringosc\.dstage\[4\]\.id\.d2 +*277 ringosc\.dstage\[4\]\.id\.out +*278 ringosc\.dstage\[4\]\.id\.trim\[0\] +*279 ringosc\.dstage\[4\]\.id\.trim\[1\] +*280 ringosc\.dstage\[4\]\.id\.ts +*281 ringosc\.dstage\[5\]\.id\.d0 +*282 ringosc\.dstage\[5\]\.id\.d1 +*283 ringosc\.dstage\[5\]\.id\.d2 +*284 ringosc\.dstage\[5\]\.id\.out +*285 ringosc\.dstage\[5\]\.id\.trim\[0\] +*286 ringosc\.dstage\[5\]\.id\.trim\[1\] +*287 ringosc\.dstage\[5\]\.id\.ts +*288 ringosc\.dstage\[6\]\.id\.d0 +*289 ringosc\.dstage\[6\]\.id\.d1 +*290 ringosc\.dstage\[6\]\.id\.d2 +*291 ringosc\.dstage\[6\]\.id\.out +*292 ringosc\.dstage\[6\]\.id\.trim\[0\] +*293 ringosc\.dstage\[6\]\.id\.trim\[1\] +*294 ringosc\.dstage\[6\]\.id\.ts +*295 ringosc\.dstage\[7\]\.id\.d0 +*296 ringosc\.dstage\[7\]\.id\.d1 +*297 ringosc\.dstage\[7\]\.id\.d2 +*298 ringosc\.dstage\[7\]\.id\.out +*299 ringosc\.dstage\[7\]\.id\.trim\[0\] +*300 ringosc\.dstage\[7\]\.id\.trim\[1\] +*301 ringosc\.dstage\[7\]\.id\.ts +*302 ringosc\.dstage\[8\]\.id\.d0 +*303 ringosc\.dstage\[8\]\.id\.d1 +*304 ringosc\.dstage\[8\]\.id\.d2 +*305 ringosc\.dstage\[8\]\.id\.out +*306 ringosc\.dstage\[8\]\.id\.trim\[0\] +*307 ringosc\.dstage\[8\]\.id\.trim\[1\] +*308 ringosc\.dstage\[8\]\.id\.ts +*309 ringosc\.dstage\[9\]\.id\.d0 +*310 ringosc\.dstage\[9\]\.id\.d1 +*311 ringosc\.dstage\[9\]\.id\.d2 +*312 ringosc\.dstage\[9\]\.id\.trim\[0\] +*313 ringosc\.dstage\[9\]\.id\.trim\[1\] +*314 ringosc\.dstage\[9\]\.id\.ts +*315 ringosc\.iss\.ctrl0 +*316 ringosc\.iss\.d0 +*317 ringosc\.iss\.d1 +*318 ringosc\.iss\.d2 +*319 ringosc\.iss\.one +*320 ringosc\.iss\.reset +*321 ringosc\.iss\.trim\[0\] +*322 ringosc\.iss\.trim\[1\] +*323 FILLER_0_105 +*324 FILLER_0_113 +*325 FILLER_0_19 +*326 FILLER_0_3 +*327 FILLER_0_37 +*328 FILLER_0_52 +*329 FILLER_0_62 +*330 FILLER_0_66 +*331 FILLER_0_70 +*332 FILLER_0_8 +*333 FILLER_0_82 +*334 FILLER_0_93 +*335 FILLER_10_104 +*336 FILLER_10_113 +*337 FILLER_10_15 +*338 FILLER_10_19 +*339 FILLER_10_25 +*340 FILLER_10_3 +*341 FILLER_10_34 +*342 FILLER_10_94 +*343 FILLER_11_101 +*344 FILLER_11_111 +*345 FILLER_11_116 +*346 FILLER_11_130 +*347 FILLER_11_134 +*348 FILLER_11_23 +*349 FILLER_11_32 +*350 FILLER_11_38 +*351 FILLER_11_50 +*352 FILLER_11_57 +*353 FILLER_11_77 +*354 FILLER_12_105 +*355 FILLER_12_134 +*356 FILLER_12_19 +*357 FILLER_12_27 +*358 FILLER_12_39 +*359 FILLER_12_48 +*360 FILLER_12_68 +*361 FILLER_12_80 +*362 FILLER_12_99 +*363 FILLER_13_134 +*364 FILLER_13_3 +*365 FILLER_13_34 +*366 FILLER_13_54 +*367 FILLER_13_60 +*368 FILLER_13_86 +*369 FILLER_14_112 +*370 FILLER_14_13 +*371 FILLER_14_3 +*372 FILLER_14_43 +*373 FILLER_14_63 +*374 FILLER_14_79 +*375 FILLER_14_83 +*376 FILLER_14_85 +*377 FILLER_15_109 +*378 FILLER_15_134 +*379 FILLER_15_24 +*380 FILLER_15_3 +*381 FILLER_15_39 +*382 FILLER_15_49 +*383 FILLER_15_55 +*384 FILLER_15_67 +*385 FILLER_15_76 +*386 FILLER_15_93 +*387 FILLER_16_115 +*388 FILLER_16_121 +*389 FILLER_16_135 +*390 FILLER_16_15 +*391 FILLER_16_23 +*392 FILLER_16_27 +*393 FILLER_16_3 +*394 FILLER_16_32 +*395 FILLER_16_59 +*396 FILLER_16_72 +*397 FILLER_16_94 +*398 FILLER_16_98 +*399 FILLER_17_100 +*400 FILLER_17_110 +*401 FILLER_17_12 +*402 FILLER_17_30 +*403 FILLER_17_84 +*404 FILLER_18_112 +*405 FILLER_18_134 +*406 FILLER_18_26 +*407 FILLER_18_29 +*408 FILLER_18_3 +*409 FILLER_18_49 +*410 FILLER_18_67 +*411 FILLER_18_75 +*412 FILLER_18_94 +*413 FILLER_19_100 +*414 FILLER_19_110 +*415 FILLER_19_118 +*416 FILLER_19_135 +*417 FILLER_19_26 +*418 FILLER_19_55 +*419 FILLER_19_68 +*420 FILLER_19_87 +*421 FILLER_1_111 +*422 FILLER_1_134 +*423 FILLER_1_3 +*424 FILLER_1_54 +*425 FILLER_1_78 +*426 FILLER_20_110 +*427 FILLER_20_134 +*428 FILLER_20_26 +*429 FILLER_20_3 +*430 FILLER_20_38 +*431 FILLER_20_45 +*432 FILLER_20_85 +*433 FILLER_20_99 +*434 FILLER_21_104 +*435 FILLER_21_135 +*436 FILLER_21_21 +*437 FILLER_21_55 +*438 FILLER_21_69 +*439 FILLER_22_108 +*440 FILLER_22_129 +*441 FILLER_22_135 +*442 FILLER_22_29 +*443 FILLER_22_3 +*444 FILLER_22_42 +*445 FILLER_22_54 +*446 FILLER_22_57 +*447 FILLER_22_7 +*448 FILLER_22_72 +*449 FILLER_2_120 +*450 FILLER_2_134 +*451 FILLER_2_18 +*452 FILLER_2_3 +*453 FILLER_2_78 +*454 FILLER_3_111 +*455 FILLER_3_113 +*456 FILLER_3_24 +*457 FILLER_3_3 +*458 FILLER_3_33 +*459 FILLER_3_37 +*460 FILLER_3_48 +*461 FILLER_3_57 +*462 FILLER_3_71 +*463 FILLER_3_94 +*464 FILLER_4_120 +*465 FILLER_4_129 +*466 FILLER_4_135 +*467 FILLER_4_3 +*468 FILLER_4_58 +*469 FILLER_4_81 +*470 FILLER_5_103 +*471 FILLER_5_134 +*472 FILLER_5_19 +*473 FILLER_5_3 +*474 FILLER_5_32 +*475 FILLER_5_57 +*476 FILLER_5_69 +*477 FILLER_6_100 +*478 FILLER_6_122 +*479 FILLER_6_134 +*480 FILLER_6_17 +*481 FILLER_6_27 +*482 FILLER_6_3 +*483 FILLER_6_38 +*484 FILLER_6_53 +*485 FILLER_6_62 +*486 FILLER_6_71 +*487 FILLER_6_83 +*488 FILLER_6_88 +*489 FILLER_7_103 +*490 FILLER_7_116 +*491 FILLER_7_127 +*492 FILLER_7_135 +*493 FILLER_7_39 +*494 FILLER_7_81 +*495 FILLER_7_90 +*496 FILLER_7_96 +*497 FILLER_8_27 +*498 FILLER_8_29 +*499 FILLER_8_3 +*500 FILLER_8_51 +*501 FILLER_8_63 +*502 FILLER_8_69 +*503 FILLER_9_113 +*504 FILLER_9_27 +*505 FILLER_9_3 +*506 FILLER_9_47 +*507 FILLER_9_52 +*508 FILLER_9_78 +*509 FILLER_9_87 +*510 PHY_0 +*511 PHY_1 +*512 PHY_10 +*513 PHY_11 +*514 PHY_12 +*515 PHY_13 +*516 PHY_14 +*517 PHY_15 +*518 PHY_16 +*519 PHY_17 +*520 PHY_18 +*521 PHY_19 +*522 PHY_2 +*523 PHY_20 +*524 PHY_21 +*525 PHY_22 +*526 PHY_23 +*527 PHY_24 +*528 PHY_25 +*529 PHY_26 +*530 PHY_27 +*531 PHY_28 +*532 PHY_29 +*533 PHY_3 +*534 PHY_30 +*535 PHY_31 +*536 PHY_32 +*537 PHY_33 +*538 PHY_34 +*539 PHY_35 +*540 PHY_36 +*541 PHY_37 +*542 PHY_38 +*543 PHY_39 +*544 PHY_4 +*545 PHY_40 +*546 PHY_41 +*547 PHY_42 +*548 PHY_43 +*549 PHY_44 +*550 PHY_45 +*551 PHY_5 +*552 PHY_6 +*553 PHY_7 +*554 PHY_8 +*555 PHY_9 +*556 TAP_46 +*557 TAP_47 +*558 TAP_48 +*559 TAP_49 +*560 TAP_50 +*561 TAP_51 +*562 TAP_52 +*563 TAP_53 +*564 TAP_54 +*565 TAP_55 +*566 TAP_56 +*567 TAP_57 +*568 TAP_58 +*569 TAP_59 +*570 TAP_60 +*571 TAP_61 +*572 TAP_62 +*573 TAP_63 +*574 TAP_64 +*575 TAP_65 +*576 TAP_66 +*577 TAP_67 +*578 TAP_68 +*579 TAP_69 +*580 TAP_70 +*581 TAP_71 +*582 TAP_72 +*583 TAP_73 +*584 TAP_74 +*585 TAP_75 +*586 TAP_76 +*587 TAP_77 +*588 TAP_78 +*589 TAP_79 +*590 TAP_80 +*591 TAP_81 +*592 TAP_82 +*593 TAP_83 +*594 TAP_84 +*595 TAP_85 +*596 TAP_86 +*597 TAP_87 +*598 TAP_88 +*599 TAP_89 +*600 TAP_90 +*601 TAP_91 +*602 TAP_92 +*603 TAP_93 +*604 TAP_94 +*605 TAP_95 +*606 _164_ +*607 _165_ +*608 _166_ +*609 _167_ +*610 _168_ +*611 _169_ +*612 _170_ +*613 _171_ +*614 _172_ +*615 _173_ +*616 _174_ +*617 _175_ +*618 _176_ +*619 _177_ +*620 _178_ +*621 _179_ +*622 _180_ +*623 _181_ +*624 _182_ +*625 _183_ +*626 _184_ +*627 _185_ +*628 _186_ +*629 _187_ +*630 _188_ +*631 _189_ +*632 _190_ +*633 _191_ +*634 _192_ +*635 _193_ +*636 _194_ +*637 _195_ +*638 _196_ +*639 _197_ +*640 _198_ +*641 _199_ +*642 _200_ +*643 _201_ +*644 _202_ +*645 _203_ +*646 _204_ +*647 _205_ +*648 _206_ +*649 _207_ +*650 _208_ +*651 _209_ +*652 _210_ +*653 _211_ +*654 _212_ +*655 _213_ +*656 _214_ +*657 _215_ +*658 _216_ +*659 _217_ +*660 _218_ +*661 _219_ +*662 _220_ +*663 _221_ +*664 _222_ +*665 _223_ +*666 _224_ +*667 _225_ +*668 _226_ +*669 _227_ +*670 _228_ +*671 _229_ +*672 _230_ +*673 _231_ +*674 _232_ +*675 _233_ +*676 _234_ +*677 _235_ +*678 _236_ +*679 _237_ +*680 _238_ +*681 _239_ +*682 _240_ +*683 _241_ +*684 _242_ +*685 _243_ +*686 _244_ +*687 _245_ +*688 _246_ +*689 _247_ +*690 _248_ +*691 _249_ +*692 _250_ +*693 _251_ +*694 _252_ +*695 _253_ +*696 _254_ +*697 _255_ +*698 _256_ +*699 _257_ +*700 _258_ +*701 _259_ +*702 _260_ +*703 _261_ +*704 _262_ +*705 _263_ +*706 _264_ +*707 _265_ +*708 _266_ +*709 _267_ +*710 _268_ +*711 _269_ +*712 _270_ +*713 _271_ +*714 _272_ +*715 _273_ +*716 _274_ +*717 _275_ +*718 _276_ +*719 _277_ +*720 _278_ +*721 _279_ +*722 _280_ +*723 _281_ +*724 _282_ +*725 _283_ +*726 _284_ +*727 _285_ +*728 _286_ +*729 _287_ +*730 _288_ +*731 _289_ +*732 _290_ +*733 _291_ +*734 _292_ +*735 _293_ +*736 _294_ +*737 _295_ +*738 _296_ +*739 _297_ +*740 _298_ +*741 _299_ +*742 _300_ +*743 _301_ +*744 _302_ +*745 _303_ +*746 _304_ +*747 _305_ +*748 _306_ +*749 _307_ +*750 _308_ +*751 _309_ +*752 _310_ +*753 _311_ +*754 _312_ +*755 _313_ +*756 _314_ +*757 _315_ +*758 _316_ +*759 _317_ +*760 _318_ +*761 _319_ +*762 _320_ +*763 _321_ +*764 _322_ +*765 _323_ +*766 _324_ +*767 _325_ +*768 _326_ +*769 _327_ +*770 _328_ +*771 _329_ +*772 _330_ +*773 _331_ +*774 _332_ +*775 _333_ +*776 _334_ +*777 _335_ +*778 _336_ +*779 _337_ +*780 _338_ +*781 _339_ +*782 _340_ +*783 _341_ +*784 _342_ +*785 _343_ +*786 _344_ +*787 _345_ +*788 _346_ +*789 _347_ +*790 _348_ +*791 _349_ +*792 _350_ +*793 _351_ +*794 _352_ +*795 _353_ +*796 _354_ +*797 _355_ +*798 _356_ +*799 _357_ +*800 _358_ +*801 _359_ +*802 _360_ +*803 _361_ +*804 _362_ +*805 _363_ +*806 _364_ +*807 _365_ +*808 _366_ +*809 _367_ +*810 _368_ +*811 _369_ +*812 _370_ +*813 _371_ +*814 _372_ +*815 _373_ +*816 _374_ +*817 _375_ +*818 _376_ +*819 _377_ +*820 _378_ +*821 ringosc\.dstage\[0\]\.id\.delaybuf0 +*822 ringosc\.dstage\[0\]\.id\.delaybuf1 +*823 ringosc\.dstage\[0\]\.id\.delayen0 +*824 ringosc\.dstage\[0\]\.id\.delayen1 +*825 ringosc\.dstage\[0\]\.id\.delayenb0 +*826 ringosc\.dstage\[0\]\.id\.delayenb1 +*827 ringosc\.dstage\[0\]\.id\.delayint0 +*828 ringosc\.dstage\[10\]\.id\.delaybuf0 +*829 ringosc\.dstage\[10\]\.id\.delaybuf1 +*830 ringosc\.dstage\[10\]\.id\.delayen0 +*831 ringosc\.dstage\[10\]\.id\.delayen1 +*832 ringosc\.dstage\[10\]\.id\.delayenb0 +*833 ringosc\.dstage\[10\]\.id\.delayenb1 +*834 ringosc\.dstage\[10\]\.id\.delayint0 +*835 ringosc\.dstage\[11\]\.id\.delaybuf0 +*836 ringosc\.dstage\[11\]\.id\.delaybuf1 +*837 ringosc\.dstage\[11\]\.id\.delayen0 +*838 ringosc\.dstage\[11\]\.id\.delayen1 +*839 ringosc\.dstage\[11\]\.id\.delayenb0 +*840 ringosc\.dstage\[11\]\.id\.delayenb1 +*841 ringosc\.dstage\[11\]\.id\.delayint0 +*842 ringosc\.dstage\[1\]\.id\.delaybuf0 +*843 ringosc\.dstage\[1\]\.id\.delaybuf1 +*844 ringosc\.dstage\[1\]\.id\.delayen0 +*845 ringosc\.dstage\[1\]\.id\.delayen1 +*846 ringosc\.dstage\[1\]\.id\.delayenb0 +*847 ringosc\.dstage\[1\]\.id\.delayenb1 +*848 ringosc\.dstage\[1\]\.id\.delayint0 +*849 ringosc\.dstage\[2\]\.id\.delaybuf0 +*850 ringosc\.dstage\[2\]\.id\.delaybuf1 +*851 ringosc\.dstage\[2\]\.id\.delayen0 +*852 ringosc\.dstage\[2\]\.id\.delayen1 +*853 ringosc\.dstage\[2\]\.id\.delayenb0 +*854 ringosc\.dstage\[2\]\.id\.delayenb1 +*855 ringosc\.dstage\[2\]\.id\.delayint0 +*856 ringosc\.dstage\[3\]\.id\.delaybuf0 +*857 ringosc\.dstage\[3\]\.id\.delaybuf1 +*858 ringosc\.dstage\[3\]\.id\.delayen0 +*859 ringosc\.dstage\[3\]\.id\.delayen1 +*860 ringosc\.dstage\[3\]\.id\.delayenb0 +*861 ringosc\.dstage\[3\]\.id\.delayenb1 +*862 ringosc\.dstage\[3\]\.id\.delayint0 +*863 ringosc\.dstage\[4\]\.id\.delaybuf0 +*864 ringosc\.dstage\[4\]\.id\.delaybuf1 +*865 ringosc\.dstage\[4\]\.id\.delayen0 +*866 ringosc\.dstage\[4\]\.id\.delayen1 +*867 ringosc\.dstage\[4\]\.id\.delayenb0 +*868 ringosc\.dstage\[4\]\.id\.delayenb1 +*869 ringosc\.dstage\[4\]\.id\.delayint0 +*870 ringosc\.dstage\[5\]\.id\.delaybuf0 +*871 ringosc\.dstage\[5\]\.id\.delaybuf1 +*872 ringosc\.dstage\[5\]\.id\.delayen0 +*873 ringosc\.dstage\[5\]\.id\.delayen1 +*874 ringosc\.dstage\[5\]\.id\.delayenb0 +*875 ringosc\.dstage\[5\]\.id\.delayenb1 +*876 ringosc\.dstage\[5\]\.id\.delayint0 +*877 ringosc\.dstage\[6\]\.id\.delaybuf0 +*878 ringosc\.dstage\[6\]\.id\.delaybuf1 +*879 ringosc\.dstage\[6\]\.id\.delayen0 +*880 ringosc\.dstage\[6\]\.id\.delayen1 +*881 ringosc\.dstage\[6\]\.id\.delayenb0 +*882 ringosc\.dstage\[6\]\.id\.delayenb1 +*883 ringosc\.dstage\[6\]\.id\.delayint0 +*884 ringosc\.dstage\[7\]\.id\.delaybuf0 +*885 ringosc\.dstage\[7\]\.id\.delaybuf1 +*886 ringosc\.dstage\[7\]\.id\.delayen0 +*887 ringosc\.dstage\[7\]\.id\.delayen1 +*888 ringosc\.dstage\[7\]\.id\.delayenb0 +*889 ringosc\.dstage\[7\]\.id\.delayenb1 +*890 ringosc\.dstage\[7\]\.id\.delayint0 +*891 ringosc\.dstage\[8\]\.id\.delaybuf0 +*892 ringosc\.dstage\[8\]\.id\.delaybuf1 +*893 ringosc\.dstage\[8\]\.id\.delayen0 +*894 ringosc\.dstage\[8\]\.id\.delayen1 +*895 ringosc\.dstage\[8\]\.id\.delayenb0 +*896 ringosc\.dstage\[8\]\.id\.delayenb1 +*897 ringosc\.dstage\[8\]\.id\.delayint0 +*898 ringosc\.dstage\[9\]\.id\.delaybuf0 +*899 ringosc\.dstage\[9\]\.id\.delaybuf1 +*900 ringosc\.dstage\[9\]\.id\.delayen0 +*901 ringosc\.dstage\[9\]\.id\.delayen1 +*902 ringosc\.dstage\[9\]\.id\.delayenb0 +*903 ringosc\.dstage\[9\]\.id\.delayenb1 +*904 ringosc\.dstage\[9\]\.id\.delayint0 +*905 ringosc\.ibufp00 +*906 ringosc\.ibufp01 +*907 ringosc\.ibufp10 +*908 ringosc\.ibufp11 +*909 ringosc\.iss\.const1 +*910 ringosc\.iss\.ctrlen0 +*911 ringosc\.iss\.delaybuf0 +*912 ringosc\.iss\.delayen0 +*913 ringosc\.iss\.delayen1 +*914 ringosc\.iss\.delayenb0 +*915 ringosc\.iss\.delayenb1 +*916 ringosc\.iss\.delayint0 +*917 ringosc\.iss\.reseten0 + +*PORTS +clockp[0] O +clockp[1] O +dco I +div[0] I +div[1] I +div[2] I +div[3] I +div[4] I +enable I +ext_trim[0] I +ext_trim[10] I +ext_trim[11] I +ext_trim[12] I +ext_trim[13] I +ext_trim[14] I +ext_trim[15] I +ext_trim[16] I +ext_trim[17] I +ext_trim[18] I +ext_trim[19] I +ext_trim[1] I +ext_trim[20] I +ext_trim[21] I +ext_trim[22] I +ext_trim[23] I +ext_trim[24] I +ext_trim[25] I +ext_trim[2] I +ext_trim[3] I +ext_trim[4] I +ext_trim[5] I +ext_trim[6] I +ext_trim[7] I +ext_trim[8] I +ext_trim[9] I +osc I +resetb I + +*D_NET *3 0.000789648 +*CONN +*P clockp[0] O +*I *770:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 clockp[0] 0.000394824 +2 *770:X 0.000394824 +3 clockp[0] clockp[1] 0 +*RES +1 *770:X clockp[0] 22.4772 +*END + +*D_NET *4 0.00660028 +*CONN +*P clockp[1] O +*I *908:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 clockp[1] 0.000894949 +2 *908:Y 0.00106442 +3 *4:10 0.00195936 +4 clockp[1] *619:A 2.57389e-05 +5 clockp[1] *747:A 0.000429034 +6 clockp[1] *818:D 0.000127179 +7 clockp[1] *6:11 0 +8 clockp[1] *39:8 0 +9 clockp[1] *137:10 1.90218e-05 +10 *4:10 *607:A 5.57222e-05 +11 *4:10 *619:A 0.000101637 +12 *4:10 *623:A 0 +13 *4:10 *624:A 0.000436811 +14 *4:10 *625:A2 0 +15 *4:10 *625:B1 0.00022567 +16 *4:10 *643:B2 5.99159e-05 +17 *4:10 *654:B1 2.02035e-05 +18 *4:10 *656:B 0 +19 *4:10 *657:A2 0 +20 *4:10 *769:A 0.000326398 +21 *4:10 *820:D 0.000405838 +22 *4:10 *39:8 0 +23 *4:10 *112:8 0.000161359 +24 *4:10 *112:10 0.000160073 +25 *4:10 *113:8 6.67964e-05 +26 *4:10 *138:6 6.01517e-05 +27 *4:10 *140:8 0 +28 *4:10 *320:6 0 +29 clockp[0] clockp[1] 0 +*RES +1 *908:Y *4:10 46.6286 +2 *4:10 clockp[1] 14.7092 +*END + +*D_NET *5 0.0371169 +*CONN +*P dco I +*I *788:S I *D sky130_fd_sc_hd__mux2_1 +*I *781:S I *D sky130_fd_sc_hd__mux2_1 +*I *783:S I *D sky130_fd_sc_hd__mux2_1 +*I *777:S I *D sky130_fd_sc_hd__mux2_1 +*I *773:S I *D sky130_fd_sc_hd__mux2_1 +*I *775:S I *D sky130_fd_sc_hd__mux2_1 +*I *779:S I *D sky130_fd_sc_hd__mux2_1 +*I *789:S I *D sky130_fd_sc_hd__mux2_1 +*I *787:S I *D sky130_fd_sc_hd__mux2_1 +*I *791:S I *D sky130_fd_sc_hd__mux2_1 +*I *785:S I *D sky130_fd_sc_hd__mux2_1 +*I *780:S I *D sky130_fd_sc_hd__mux2_1 +*I *778:S I *D sky130_fd_sc_hd__mux2_1 +*I *782:S I *D sky130_fd_sc_hd__mux2_1 +*I *786:S I *D sky130_fd_sc_hd__mux2_1 +*I *784:S I *D sky130_fd_sc_hd__mux2_1 +*I *790:S I *D sky130_fd_sc_hd__mux2_1 +*I *774:S I *D sky130_fd_sc_hd__mux2_1 +*I *793:S I *D sky130_fd_sc_hd__mux2_1 +*I *797:S I *D sky130_fd_sc_hd__mux2_1 +*I *795:S I *D sky130_fd_sc_hd__mux2_1 +*I *776:S I *D sky130_fd_sc_hd__mux2_1 +*I *796:S I *D sky130_fd_sc_hd__mux2_1 +*I *772:S I *D sky130_fd_sc_hd__mux2_1 +*I *792:S I *D sky130_fd_sc_hd__mux2_1 +*I *794:S I *D sky130_fd_sc_hd__mux2_1 +*I *624:A I *D sky130_fd_sc_hd__nor2_2 +*CAP +1 dco 0.000201588 +2 *788:S 2.12792e-05 +3 *781:S 0 +4 *783:S 0 +5 *777:S 9.88385e-05 +6 *773:S 0 +7 *775:S 0.000246653 +8 *779:S 0.00012724 +9 *789:S 0 +10 *787:S 3.53527e-05 +11 *791:S 2.50102e-05 +12 *785:S 0.000106614 +13 *780:S 0.000178355 +14 *778:S 2.70095e-05 +15 *782:S 0 +16 *786:S 0 +17 *784:S 0 +18 *790:S 0 +19 *774:S 4.61033e-05 +20 *793:S 0.000140845 +21 *797:S 0.000512203 +22 *795:S 0.000173973 +23 *776:S 0 +24 *796:S 0 +25 *772:S 0 +26 *792:S 3.58865e-05 +27 *794:S 0 +28 *624:A 0.000492851 +29 *5:260 0.000850855 +30 *5:254 0.000857746 +31 *5:244 0.00104521 +32 *5:233 0.000863704 +33 *5:222 0.000433575 +34 *5:217 0.000658922 +35 *5:194 0.000321967 +36 *5:189 0.00059247 +37 *5:186 0.000832565 +38 *5:164 0.000278253 +39 *5:161 0.00142839 +40 *5:153 0.000790169 +41 *5:148 0.000449924 +42 *5:137 0.00107189 +43 *5:131 0.00126051 +44 *5:121 0.000627923 +45 *5:78 0.000988091 +46 *5:74 0.000537753 +47 *5:72 0.000512161 +48 *5:63 0.000733174 +49 *5:51 0.000535221 +50 *5:42 0.00146374 +51 *5:35 0.00167509 +52 *5:26 0.000411227 +53 *5:8 0.000507622 +54 *5:7 0.000444146 +55 *624:A *769:A 0.000118128 +56 *624:A *794:A0 8.13812e-06 +57 *624:A *794:A1 3.5534e-06 +58 *624:A *908:A 0.000122378 +59 *624:A *284:23 0.000200536 +60 *774:S *730:B 6.08467e-05 +61 *774:S *774:A1 2.15184e-05 +62 *774:S *160:53 0.000107496 +63 *775:S *773:A1 0.00017419 +64 *775:S *244:8 5.60012e-05 +65 *777:S *313:8 2.65831e-05 +66 *778:S *36:12 2.58616e-05 +67 *780:S *778:A0 3.20407e-05 +68 *780:S *780:A1 1.77537e-06 +69 *780:S *796:A1 0 +70 *780:S *866:A 0 +71 *780:S *16:12 0 +72 *780:S *48:8 0 +73 *780:S *272:11 6.50727e-05 +74 *780:S *279:7 2.65831e-05 +75 *785:S *738:B1 0 +76 *785:S *781:A0 0 +77 *785:S *785:A1 0.000122083 +78 *785:S *791:A0 0 +79 *787:S *787:A1 0.000118166 +80 *787:S *789:A1 6.50586e-05 +81 *787:S *272:11 2.41483e-05 +82 *791:S *791:A0 0.000114271 +83 *791:S *21:18 6.98314e-05 +84 *791:S *293:13 1.88563e-05 +85 *793:S *727:A3 0 +86 *793:S *793:A0 0.000114584 +87 *793:S *201:11 0.000108441 +88 *793:S *201:55 0.000250415 +89 *795:S *689:A1 9.05084e-06 +90 *795:S *733:A3 1.03594e-05 +91 *795:S *223:29 1.56426e-05 +92 *795:S *249:21 0.000206696 +93 *797:S *672:A 0.000177368 +94 *797:S *794:A0 2.18145e-05 +95 *797:S *797:A1 3.14978e-05 +96 *797:S *119:26 0.000115907 +97 *797:S *120:11 4.56831e-05 +98 *797:S *185:11 6.75138e-05 +99 *797:S *249:21 0.000556044 +100 *797:S *322:7 6.08467e-05 +101 *5:7 *623:A 0 +102 *5:7 *794:A1 0 +103 *5:8 *794:A1 7.86825e-06 +104 *5:26 *792:A1 1.77537e-06 +105 *5:26 *794:A1 5.63869e-05 +106 *5:26 *825:TE_B 0.000152842 +107 *5:35 *792:A1 7.59782e-05 +108 *5:42 *843:A 0.000724656 +109 *5:42 *846:A 0.000111708 +110 *5:42 *846:TE_B 0.000139764 +111 *5:42 *847:TE_B 1.1316e-05 +112 *5:42 *258:9 0.000173953 +113 *5:42 *259:17 6.50727e-05 +114 *5:51 *772:A0 0.000164829 +115 *5:51 *790:A0 0 +116 *5:51 *225:75 0 +117 *5:51 *258:9 0.000259093 +118 *5:51 *284:23 0.00010126 +119 *5:63 *725:A1 6.50727e-05 +120 *5:63 *725:A3 7.68538e-06 +121 *5:63 *725:B1 1.03434e-05 +122 *5:63 *786:A0 7.0641e-05 +123 *5:63 *790:A0 0 +124 *5:63 *873:Z 0.000122098 +125 *5:63 *37:14 0.000608895 +126 *5:63 *44:43 3.31745e-05 +127 *5:63 *44:51 0.000158044 +128 *5:63 *284:23 8.29929e-05 +129 *5:72 *725:A3 0.000164815 +130 *5:72 *743:B 0 +131 *5:72 *774:A1 3.94229e-05 +132 *5:72 *776:A0 2.16355e-05 +133 *5:72 *877:A 6.22868e-05 +134 *5:72 *878:A 0.000213725 +135 *5:72 *37:14 2.42138e-05 +136 *5:72 *44:6 2.09495e-05 +137 *5:72 *44:20 5.05252e-05 +138 *5:72 *312:15 0.000357884 +139 *5:74 *743:B 0 +140 *5:74 *44:6 0.000158353 +141 *5:74 *201:10 1.50262e-05 +142 *5:78 *689:A1 9.43419e-05 +143 *5:78 *44:6 0.000232994 +144 *5:78 *201:10 1.84877e-05 +145 *5:78 *223:79 5.23435e-05 +146 *5:78 *223:94 0.000242213 +147 *5:78 *224:27 2.3301e-05 +148 *5:78 *225:63 0.000110415 +149 *5:78 *225:67 6.39738e-05 +150 *5:78 *258:9 6.42637e-05 +151 *5:121 *792:A1 2.33103e-06 +152 *5:131 *790:A1 7.86825e-06 +153 *5:131 *851:TE 0 +154 *5:131 *44:51 2.41338e-05 +155 *5:131 *264:8 0 +156 *5:137 *851:Z 1.19618e-05 +157 *5:137 *872:TE 0 +158 *5:137 *44:51 2.56965e-05 +159 *5:137 *265:13 0.00012444 +160 *5:148 *784:A0 0.000114584 +161 *5:148 *784:A1 3.97426e-05 +162 *5:148 *786:A1 2.81678e-06 +163 *5:148 *874:TE_B 9.46346e-05 +164 *5:148 *265:13 0.000129216 +165 *5:153 *786:A0 0.000242801 +166 *5:153 *870:A 0.000112367 +167 *5:161 *782:A0 1.54483e-05 +168 *5:161 *786:A0 1.67179e-05 +169 *5:161 *879:TE 0.000164257 +170 *5:161 *292:7 2.58616e-05 +171 *5:164 *778:A0 0.0001195 +172 *5:186 *781:A0 2.20471e-05 +173 *5:186 *879:A 4.87301e-05 +174 *5:186 *879:TE 0.000174704 +175 *5:186 *879:Z 0.000365242 +176 *5:194 *781:A0 5.01918e-05 +177 *5:194 *21:18 2.16355e-05 +178 *5:194 *293:13 6.77815e-05 +179 *5:217 *781:A0 0.000161956 +180 *5:217 *781:A1 0.0001952 +181 *5:217 *783:A0 8.77451e-05 +182 *5:217 *783:A1 4.03096e-05 +183 *5:217 *293:13 0.000258195 +184 *5:222 *781:A1 0 +185 *5:222 *787:A0 0 +186 *5:222 *789:A0 0 +187 *5:233 *787:A1 0.000630845 +188 *5:233 *789:A1 0.000596147 +189 *5:244 *779:A1 0 +190 *5:244 *787:A1 0 +191 *5:244 *789:A0 0 +192 *5:244 *828:A 1.32772e-05 +193 *5:244 *893:TE 3.07542e-05 +194 *5:244 *893:Z 6.16146e-05 +195 *5:244 *900:A 1.86797e-05 +196 *5:244 *900:TE 4.66598e-05 +197 *5:244 *21:18 0 +198 *5:244 *241:6 9.18636e-05 +199 *5:244 *308:12 0.000204448 +200 *5:254 *828:A 0.00016345 +201 *5:254 *313:8 0.000123582 +202 *5:260 *773:A1 3.31733e-05 +203 *5:260 *777:A0 7.86825e-06 +204 *5:260 *777:A1 0.000105605 +205 *5:260 *828:A 3.0577e-05 +206 *5:260 *829:A 0.000145048 +207 *5:260 *831:A 0 +208 *5:260 *831:Z 0 +209 *5:260 *833:TE_B 7.67372e-05 +210 *5:260 *225:22 0 +211 *5:260 *242:9 0.000127194 +212 *5:260 *244:8 7.84063e-05 +213 *5:260 *245:15 7.23668e-05 +214 *4:10 *624:A 0.000436811 +*RES +1 dco *5:7 4.12039 +2 *5:7 *5:8 0.378612 +3 *5:8 *624:A 31.1608 +4 *5:8 *794:S 13.7491 +5 *5:7 *5:26 6.6074 +6 *5:26 *792:S 14.4725 +7 *5:26 *5:35 4.32351 +8 *5:35 *5:42 27.3111 +9 *5:42 *772:S 9.24915 +10 *5:42 *5:51 11.3501 +11 *5:51 *796:S 13.7491 +12 *5:51 *5:63 18.2972 +13 *5:63 *776:S 9.24915 +14 *5:63 *5:72 16.7568 +15 *5:72 *5:74 3.07775 +16 *5:74 *5:78 15.4675 +17 *5:78 *795:S 21.6378 +18 *5:78 *797:S 30.8168 +19 *5:74 *793:S 19.1023 +20 *5:72 *774:S 15.6059 +21 *5:35 *5:121 5.56926 +22 *5:121 *790:S 13.7491 +23 *5:121 *5:131 13.8416 +24 *5:131 *5:137 13.3828 +25 *5:137 *784:S 9.24915 +26 *5:137 *5:148 15.8501 +27 *5:148 *786:S 9.24915 +28 *5:148 *5:153 7.37864 +29 *5:153 *782:S 9.24915 +30 *5:153 *5:161 9.47649 +31 *5:161 *5:164 6.74725 +32 *5:164 *778:S 14.4725 +33 *5:164 *780:S 18.3808 +34 *5:161 *5:186 15.1913 +35 *5:186 *5:189 5.50149 +36 *5:189 *5:194 9.41035 +37 *5:194 *785:S 21.3269 +38 *5:194 *791:S 11.0817 +39 *5:189 *5:217 16.5325 +40 *5:217 *5:222 15.3998 +41 *5:222 *787:S 11.1059 +42 *5:222 *5:233 7.95736 +43 *5:233 *789:S 9.24915 +44 *5:233 *5:244 29.1381 +45 *5:244 *779:S 12.191 +46 *5:244 *5:254 9.41035 +47 *5:254 *5:260 18.1001 +48 *5:260 *775:S 20.3233 +49 *5:260 *773:S 13.7491 +50 *5:254 *777:S 16.1364 +51 *5:217 *783:S 9.24915 +52 *5:186 *781:S 9.24915 +53 *5:131 *788:S 9.82786 +*END + +*D_NET *6 0.00237976 +*CONN +*P div[0] I +*I *619:A I *D sky130_fd_sc_hd__inv_2 +*I *652:B1 I *D sky130_fd_sc_hd__o221a_2 +*CAP +1 div[0] 0.000353978 +2 *619:A 0.000534593 +3 *652:B1 0 +4 *6:11 0.00088857 +5 *619:A *651:A 1.41291e-05 +6 *619:A *652:A1 7.59897e-06 +7 *619:A *652:B2 0.000161298 +8 *619:A *747:A 8.62625e-06 +9 *619:A *138:6 0.000148982 +10 *619:A *144:18 3.91096e-05 +11 *6:11 *652:A1 7.373e-05 +12 *6:11 *652:C1 1.07248e-05 +13 *6:11 *653:A1 0 +14 *6:11 *7:5 0 +15 *6:11 *144:18 1.1049e-05 +16 clockp[1] *619:A 2.57389e-05 +17 clockp[1] *6:11 0 +18 *4:10 *619:A 0.000101637 +*RES +1 div[0] *6:11 10.7763 +2 *6:11 *652:B1 9.24915 +3 *6:11 *619:A 31.0264 +*END + +*D_NET *7 0.00282418 +*CONN +*P div[1] I +*I *653:A1 I *D sky130_fd_sc_hd__a21oi_2 +*I *649:A I *D sky130_fd_sc_hd__nand2_2 +*I *652:A1 I *D sky130_fd_sc_hd__o221a_2 +*CAP +1 div[1] 0.000232458 +2 *653:A1 0.000470433 +3 *649:A 0 +4 *652:A1 0.000281708 +5 *7:8 0.00032076 +6 *7:5 0.000741943 +7 *652:A1 *652:B2 7.22498e-05 +8 *652:A1 *652:C1 0.000156823 +9 *652:A1 *653:A2 0 +10 *652:A1 *144:18 0.00011818 +11 *652:A1 *144:25 0 +12 *653:A1 *647:A 5.92342e-05 +13 *653:A1 *667:C1 5.74949e-05 +14 *653:A1 *8:7 0 +15 *653:A1 *138:6 3.21112e-05 +16 *653:A1 *146:12 0.000155429 +17 *7:5 *8:7 0 +18 *7:8 *653:A2 0 +19 *7:8 *667:D1 4.40272e-05 +20 *619:A *652:A1 7.59897e-06 +21 *6:11 *652:A1 7.373e-05 +22 *6:11 *653:A1 0 +23 *6:11 *7:5 0 +*RES +1 div[1] *7:5 0.828089 +2 *7:5 *7:8 4.5779 +3 *7:8 *652:A1 22.7024 +4 *7:8 *649:A 13.7491 +5 *7:5 *653:A1 20.1253 +*END + +*D_NET *8 0.00169861 +*CONN +*P div[2] I +*I *643:B1 I *D sky130_fd_sc_hd__a22oi_2 +*I *646:A1 I *D sky130_fd_sc_hd__o211a_2 +*CAP +1 div[2] 0.000304405 +2 *643:B1 0.00015322 +3 *646:A1 0.000128846 +4 *8:7 0.00058647 +5 *643:B1 *659:A2 0 +6 *643:B1 *136:5 5.31465e-05 +7 *643:B1 *154:10 0.000147851 +8 *646:A1 *646:C1 0 +9 *646:A1 *653:A2 0 +10 *646:A1 *659:B2 4.80148e-05 +11 *646:A1 *667:D1 0.000228741 +12 *646:A1 *142:9 3.12353e-05 +13 *646:A1 *154:10 1.66771e-05 +14 *8:7 *9:7 0 +15 *653:A1 *8:7 0 +16 *7:5 *8:7 0 +*RES +1 div[2] *8:7 4.34986 +2 *8:7 *646:A1 18.5824 +3 *8:7 *643:B1 18.6301 +*END + +*D_NET *9 0.0011633 +*CONN +*P div[3] I +*I *644:A I *D sky130_fd_sc_hd__or2_2 +*I *643:A1 I *D sky130_fd_sc_hd__a22oi_2 +*CAP +1 div[3] 0.000240554 +2 *644:A 1.35325e-05 +3 *643:A1 0.00015951 +4 *9:7 0.000413596 +5 *643:A1 *644:B 5.65148e-05 +6 *643:A1 *136:5 3.82228e-05 +7 *643:A1 *154:10 0.000180427 +8 *644:A *644:B 1.58247e-05 +9 *644:A *154:10 4.51176e-05 +10 *9:7 *10:10 0 +11 *8:7 *9:7 0 +*RES +1 div[3] *9:7 4.19688 +2 *9:7 *643:A1 18.3125 +3 *9:7 *644:A 14.543 +*END + +*D_NET *10 0.00125778 +*CONN +*P div[4] I +*I *660:B1 I *D sky130_fd_sc_hd__o221ai_2 +*I *658:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 div[4] 0.00039236 +2 *660:B1 0.000153125 +3 *658:A 0 +4 *10:10 0.000545485 +5 *660:B1 *658:B 3.01683e-06 +6 *660:B1 *660:B2 7.92757e-06 +7 *660:B1 *152:9 0.00011818 +8 *660:B1 *154:10 2.41274e-06 +9 *10:10 *623:A 0 +10 *10:10 *657:B1_N 0 +11 *10:10 *820:CLK 3.52699e-05 +12 *9:7 *10:10 0 +*RES +1 div[4] *10:10 11.2282 +2 *10:10 *658:A 9.24915 +3 *10:10 *660:B1 13.3243 +*END + +*D_NET *11 0.00216469 +*CONN +*P enable I +*I *623:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 enable 0.0010719 +2 *623:A 0.0010719 +3 *623:A *794:A0 0 +4 *623:A *820:CLK 0 +5 *623:A *906:A 0 +6 *623:A *39:8 1.77537e-06 +7 *623:A *320:6 1.91246e-05 +8 *4:10 *623:A 0 +9 *5:7 *623:A 0 +10 *10:10 *623:A 0 +*RES +1 enable *623:A 21.5591 +*END + +*D_NET *12 0.00115185 +*CONN +*P ext_trim[0] I +*I *794:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[0] 0.000467401 +2 *794:A1 0.000467401 +3 *794:A1 *792:A1 0 +4 *794:A1 *825:TE_B 0.000149244 +5 *624:A *794:A1 3.5534e-06 +6 *5:7 *794:A1 0 +7 *5:8 *794:A1 7.86825e-06 +8 *5:26 *794:A1 5.63869e-05 +*RES +1 ext_trim[0] *794:A1 23.3841 +*END + +*D_NET *13 0.00586983 +*CONN +*P ext_trim[10] I +*I *774:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[10] 0.000623171 +2 *774:A1 0.000622844 +3 *13:10 0.00124602 +4 *774:A1 *723:A3 5.04829e-06 +5 *774:A1 *730:B 6.08467e-05 +6 *774:A1 *878:A 0.000210391 +7 *774:A1 *880:A 0 +8 *774:A1 *881:A 0.000168334 +9 *774:A1 *884:A 7.57637e-05 +10 *774:A1 *888:TE_B 0 +11 *774:A1 *16:12 0.000564857 +12 *774:A1 *44:20 0.000124942 +13 *774:A1 *160:53 5.51483e-06 +14 *774:A1 *222:77 1.65872e-05 +15 *13:10 *34:16 0.000226753 +16 *13:10 *35:8 0.00185782 +17 *774:S *774:A1 2.15184e-05 +18 *5:72 *774:A1 3.94229e-05 +*RES +1 ext_trim[10] *13:10 34.4135 +2 *13:10 *774:A1 34.8543 +*END + +*D_NET *14 0.00313974 +*CONN +*P ext_trim[11] I +*I *772:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[11] 0.0010565 +2 *772:A1 0.0010565 +3 *772:A1 *796:A0 0.000156823 +4 *772:A1 *868:TE_B 0.000169186 +5 *772:A1 *870:A 2.20893e-05 +6 *772:A1 *872:A 0.000298583 +7 *772:A1 *872:Z 0.000118054 +8 *772:A1 *873:A 2.67514e-05 +9 *772:A1 *275:8 0 +10 *772:A1 *284:23 0.000113299 +11 *772:A1 *287:8 6.22669e-05 +12 *772:A1 *287:12 1.70077e-05 +13 *772:A1 *321:10 4.26859e-05 +*RES +1 ext_trim[11] *772:A1 43.5984 +*END + +*D_NET *15 0.0036166 +*CONN +*P ext_trim[12] I +*I *796:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[12] 0.00126506 +2 *796:A1 0.00126506 +3 *796:A1 *724:B1 8.11463e-06 +4 *796:A1 *726:A2 6.07587e-05 +5 *796:A1 *728:A2 1.61631e-05 +6 *796:A1 *728:A4 7.77309e-06 +7 *796:A1 *780:A1 0 +8 *796:A1 *782:A0 0.000441271 +9 *796:A1 *790:A0 2.65831e-05 +10 *796:A1 *866:A 0.000101133 +11 *796:A1 *43:8 0 +12 *796:A1 *43:27 3.18992e-05 +13 *796:A1 *44:40 1.11997e-06 +14 *796:A1 *201:20 0.000158357 +15 *796:A1 *201:31 4.37999e-05 +16 *796:A1 *222:86 9.70932e-05 +17 *796:A1 *225:88 9.24241e-05 +18 *796:A1 *275:8 0 +19 *780:S *796:A1 0 +*RES +1 ext_trim[12] *796:A1 46.2208 +*END + +*D_NET *16 0.00472749 +*CONN +*P ext_trim[13] I +*I *795:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[13] 0.00094729 +2 *795:A1 0 +3 *16:12 0.00094729 +4 *16:12 *723:A3 2.3864e-05 +5 *16:12 *732:A3 0.000227627 +6 *16:12 *733:A3 1.85863e-05 +7 *16:12 *780:A1 3.12044e-05 +8 *16:12 *795:A0 3.00829e-05 +9 *16:12 *878:A 0.000213989 +10 *16:12 *881:A 0.000159968 +11 *16:12 *44:6 0 +12 *16:12 *44:20 0 +13 *16:12 *48:8 0.00124256 +14 *16:12 *119:40 9.2346e-06 +15 *16:12 *164:45 0.00021329 +16 *16:12 *223:29 2.8322e-05 +17 *16:12 *223:43 0 +18 *16:12 *223:73 6.93171e-05 +19 *774:A1 *16:12 0.000564857 +20 *780:S *16:12 0 +*RES +1 ext_trim[13] *16:12 41.3015 +2 *16:12 *795:A1 9.24915 +*END + +*D_NET *17 0.00295824 +*CONN +*P ext_trim[14] I +*I *793:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[14] 0.00118188 +2 *793:A1 0.00118188 +3 *793:A1 *739:D 3.20069e-06 +4 *793:A1 *743:B 0.00036518 +5 *793:A1 *781:A0 0 +6 *793:A1 *793:A0 0.000110297 +7 *793:A1 *883:A 8.92089e-05 +8 *793:A1 *888:TE_B 0 +9 *793:A1 *286:13 2.65948e-05 +*RES +1 ext_trim[14] *793:A1 42.8002 +*END + +*D_NET *18 0.00188804 +*CONN +*P ext_trim[15] I +*I *791:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[15] 0.000533165 +2 *791:A1 0.000533165 +3 *791:A1 *781:A1 0 +4 *791:A1 *886:A 0.000451192 +5 *791:A1 *887:TE 0.000162666 +6 *791:A1 *293:13 6.46815e-05 +7 *791:A1 *300:8 0.000143175 +*RES +1 ext_trim[15] *791:A1 29.7147 +*END + +*D_NET *19 0.00174291 +*CONN +*P ext_trim[16] I +*I *789:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[16] 0.000348994 +2 *789:A1 0.000348994 +3 *789:A1 *272:11 0.000383717 +4 *787:S *789:A1 6.50586e-05 +5 *5:233 *789:A1 0.000596147 +*RES +1 ext_trim[16] *789:A1 26.6798 +*END + +*D_NET *20 0.00187193 +*CONN +*P ext_trim[17] I +*I *787:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[17] 0.000551353 +2 *787:A1 0.000551353 +3 *787:A1 *893:TE 6.08697e-06 +4 *787:A1 *272:11 1.41291e-05 +5 *787:S *787:A1 0.000118166 +6 *5:233 *787:A1 0.000630845 +7 *5:244 *787:A1 0 +*RES +1 ext_trim[17] *787:A1 29.1741 +*END + +*D_NET *21 0.0052985 +*CONN +*P ext_trim[18] I +*I *785:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[18] 0.00158251 +2 *785:A1 7.22322e-05 +3 *21:18 0.00165474 +4 *785:A1 *781:A0 0 +5 *21:18 *734:A 5.27808e-05 +6 *21:18 *734:B 0.000405074 +7 *21:18 *741:A 4.0752e-05 +8 *21:18 *771:A1 3.02534e-05 +9 *21:18 *791:A0 9.82896e-06 +10 *21:18 *886:A 0.000171273 +11 *21:18 *890:A 2.86013e-06 +12 *21:18 *893:A 0.000109024 +13 *21:18 *898:A 0.000168186 +14 *21:18 *900:A 0.000136576 +15 *21:18 *901:Z 1.37566e-05 +16 *21:18 *903:TE_B 0.000287769 +17 *21:18 *904:A 3.95326e-05 +18 *21:18 *62:7 0.000307806 +19 *785:S *785:A1 0.000122083 +20 *791:S *21:18 6.98314e-05 +21 *5:194 *21:18 2.16355e-05 +22 *5:244 *21:18 0 +*RES +1 ext_trim[18] *21:18 49.9021 +2 *21:18 *785:A1 15.9964 +*END + +*D_NET *22 0.00333827 +*CONN +*P ext_trim[19] I +*I *783:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[19] 0.00123617 +2 *783:A1 0.00123617 +3 *783:A1 *783:A0 6.24474e-06 +4 *783:A1 *894:A 0.000657265 +5 *783:A1 *894:Z 2.57986e-05 +6 *783:A1 *896:A 6.53173e-05 +7 *783:A1 *897:A 5.10874e-05 +8 *783:A1 *314:8 1.99054e-05 +9 *5:217 *783:A1 4.03096e-05 +*RES +1 ext_trim[19] *783:A1 47.907 +*END + +*D_NET *23 0.00104539 +*CONN +*P ext_trim[1] I +*I *792:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[1] 0.000482655 +2 *792:A1 0.000482655 +3 *792:A1 *790:A1 0 +4 *792:A1 *846:TE_B 0 +5 *794:A1 *792:A1 0 +6 *5:26 *792:A1 1.77537e-06 +7 *5:35 *792:A1 7.59782e-05 +8 *5:121 *792:A1 2.33103e-06 +*RES +1 ext_trim[1] *792:A1 22.6301 +*END + +*D_NET *24 0.00422434 +*CONN +*P ext_trim[20] I +*I *781:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[20] 0.00184449 +2 *781:A1 0.00184449 +3 *781:A1 *787:A0 0 +4 *781:A1 *887:A 0.000276765 +5 *781:A1 *890:A 2.09501e-05 +6 *781:A1 *300:8 4.24488e-05 +7 *791:A1 *781:A1 0 +8 *5:217 *781:A1 0.0001952 +9 *5:222 *781:A1 0 +*RES +1 ext_trim[20] *781:A1 32.979 +*END + +*D_NET *25 0.00179144 +*CONN +*P ext_trim[21] I +*I *779:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[21] 0.000895722 +2 *779:A1 0.000895722 +3 *779:A1 *777:A0 0 +4 *779:A1 *900:TE 0 +5 *779:A1 *307:6 0 +6 *5:244 *779:A1 0 +*RES +1 ext_trim[21] *779:A1 21.887 +*END + +*D_NET *26 0.00139904 +*CONN +*P ext_trim[22] I +*I *777:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[22] 0.000545237 +2 *777:A1 0.000545237 +3 *777:A1 *830:A 0.000127194 +4 *777:A1 *831:Z 3.1672e-05 +5 *777:A1 *834:A 4.40985e-05 +6 *5:260 *777:A1 0.000105605 +*RES +1 ext_trim[22] *777:A1 25.0452 +*END + +*D_NET *27 0.000807218 +*CONN +*P ext_trim[23] I +*I *775:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[23] 0.000323573 +2 *775:A1 0.000323573 +3 *775:A1 *773:A1 0 +4 *775:A1 *225:8 0.000160073 +*RES +1 ext_trim[23] *775:A1 20.8161 +*END + +*D_NET *28 0.00294115 +*CONN +*P ext_trim[24] I +*I *773:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[24] 0.00112265 +2 *773:A1 0.00112265 +3 *773:A1 *756:A 4.13537e-05 +4 *773:A1 *775:A0 4.34143e-05 +5 *773:A1 *807:RESET_B 0.000162201 +6 *773:A1 *809:RESET_B 4.12533e-05 +7 *773:A1 *812:RESET_B 4.61732e-05 +8 *773:A1 *90:136 9.79857e-05 +9 *773:A1 *90:145 5.61162e-05 +10 *773:A1 *225:8 0 +11 *775:A1 *773:A1 0 +12 *775:S *773:A1 0.00017419 +13 *5:260 *773:A1 3.31733e-05 +*RES +1 ext_trim[24] *773:A1 41.917 +*END + +*D_NET *29 0.00814196 +*CONN +*P ext_trim[25] I +*I *797:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[25] 0.00244699 +2 *797:A1 0.000217786 +3 *29:12 0.00266477 +4 *797:A1 *612:A 1.09551e-05 +5 *797:A1 *681:A 6.73022e-05 +6 *797:A1 *794:A0 0.000171273 +7 *797:A1 *41:14 6.12686e-06 +8 *797:A1 *118:5 0.000107496 +9 *797:A1 *118:18 0.000213725 +10 *797:A1 *225:22 0 +11 *797:A1 *225:24 1.65872e-05 +12 *797:A1 *225:29 7.48633e-05 +13 *29:12 *617:A 3.52645e-06 +14 *29:12 *631:A 0 +15 *29:12 *668:D 0.000342886 +16 *29:12 *675:A 0 +17 *29:12 *676:C1 0.000382369 +18 *29:12 *679:A2 3.3556e-05 +19 *29:12 *679:B1 0.000116652 +20 *29:12 *773:A0 0.000137936 +21 *29:12 *798:D 0 +22 *29:12 *814:D 0 +23 *29:12 *815:D 3.60268e-05 +24 *29:12 *118:110 6.07931e-05 +25 *29:12 *122:33 0.00040976 +26 *29:12 *123:18 0.000172279 +27 *29:12 *127:120 5.05252e-05 +28 *29:12 *157:123 3.42931e-05 +29 *29:12 *157:130 5.4043e-05 +30 *29:12 *170:61 0 +31 *29:12 *173:6 0.000152833 +32 *29:12 *173:19 2.44103e-05 +33 *29:12 *204:106 0 +34 *29:12 *204:135 0 +35 *29:12 *204:173 0 +36 *29:12 *204:180 0 +37 *29:12 *221:12 4.00563e-05 +38 *29:12 *221:21 3.98412e-05 +39 *29:12 *221:31 2.08059e-05 +40 *29:12 *227:21 0 +41 *797:S *797:A1 3.14978e-05 +*RES +1 ext_trim[25] *29:12 47.9705 +2 *29:12 *797:A1 21.7065 +*END + +*D_NET *30 0.000553543 +*CONN +*P ext_trim[2] I +*I *790:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[2] 0.000272837 +2 *790:A1 0.000272837 +3 *790:A1 *788:A1 0 +4 *792:A1 *790:A1 0 +5 *5:131 *790:A1 7.86825e-06 +*RES +1 ext_trim[2] *790:A1 18.4011 +*END + +*D_NET *31 0.000707284 +*CONN +*P ext_trim[3] I +*I *788:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[3] 0.000353642 +2 *788:A1 0.000353642 +3 *788:A1 *786:A1 0 +4 *790:A1 *788:A1 0 +*RES +1 ext_trim[3] *788:A1 18.5541 +*END + +*D_NET *32 0.00268563 +*CONN +*P ext_trim[4] I +*I *786:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[4] 0.00127999 +2 *786:A1 0.00127999 +3 *786:A1 *784:A1 9.70407e-05 +4 *786:A1 *786:A0 2.57986e-05 +5 *786:A1 *870:A 0 +6 *788:A1 *786:A1 0 +7 *5:148 *786:A1 2.81678e-06 +*RES +1 ext_trim[4] *786:A1 23.6781 +*END + +*D_NET *33 0.0036481 +*CONN +*P ext_trim[5] I +*I *784:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[5] 0.00156343 +2 *784:A1 0.00156343 +3 *784:A1 *865:Z 0 +4 *784:A1 *870:A 0 +5 *784:A1 *34:16 0.000316425 +6 *784:A1 *44:51 1.59362e-06 +7 *784:A1 *265:13 6.64392e-05 +8 *784:A1 *278:8 0 +9 *786:A1 *784:A1 9.70407e-05 +10 *5:148 *784:A1 3.97426e-05 +*RES +1 ext_trim[5] *784:A1 32.4684 +*END + +*D_NET *34 0.00477186 +*CONN +*P ext_trim[6] I +*I *782:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[6] 0.00186268 +2 *782:A1 0 +3 *34:16 0.00186268 +4 *34:16 *865:TE 4.49297e-05 +5 *34:16 *278:8 1.86357e-05 +6 *34:16 *280:5 0.000134167 +7 *34:16 *280:15 0.000305597 +8 *784:A1 *34:16 0.000316425 +9 *13:10 *34:16 0.000226753 +*RES +1 ext_trim[6] *34:16 44.7077 +2 *34:16 *782:A1 9.24915 +*END + +*D_NET *35 0.00714384 +*CONN +*P ext_trim[7] I +*I *780:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[7] 0.00253478 +2 *780:A1 9.17418e-05 +3 *35:8 0.00262652 +4 *780:S *780:A1 1.77537e-06 +5 *796:A1 *780:A1 0 +6 *13:10 *35:8 0.00185782 +7 *16:12 *780:A1 3.12044e-05 +*RES +1 ext_trim[7] *35:8 48.6306 +2 *35:8 *780:A1 20.4964 +*END + +*D_NET *36 0.00552988 +*CONN +*P ext_trim[8] I +*I *778:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[8] 0.0022515 +2 *778:A1 0 +3 *36:12 0.0022515 +4 *36:12 *858:TE 3.58321e-05 +5 *36:12 *858:Z 0.000107496 +6 *36:12 *860:A 1.88422e-05 +7 *36:12 *861:TE_B 0 +8 *36:12 *863:A 0.000161956 +9 *36:12 *864:A 0.00026012 +10 *36:12 *867:TE_B 2.58616e-05 +11 *36:12 *868:TE_B 2.16355e-05 +12 *36:12 *280:5 0.00012478 +13 *36:12 *280:15 0.000244485 +14 *778:S *36:12 2.58616e-05 +*RES +1 ext_trim[8] *36:12 45.6269 +2 *36:12 *778:A1 9.24915 +*END + +*D_NET *37 0.00616019 +*CONN +*P ext_trim[9] I +*I *776:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[9] 0.00224207 +2 *776:A1 0 +3 *37:14 0.00224207 +4 *37:14 *851:A 1.4091e-06 +5 *37:14 *852:Z 0 +6 *37:14 *853:A 5.92342e-05 +7 *37:14 *854:TE_B 0.000270967 +8 *37:14 *855:A 2.65667e-05 +9 *37:14 *856:A 2.70061e-05 +10 *37:14 *857:A 0 +11 *37:14 *861:TE_B 0 +12 *37:14 *875:A 9.9028e-05 +13 *37:14 *44:51 0.000148208 +14 *37:14 *263:8 6.30872e-05 +15 *37:14 *268:9 0.00034744 +16 *37:14 *273:15 0 +17 *5:63 *37:14 0.000608895 +18 *5:72 *37:14 2.42138e-05 +*RES +1 ext_trim[9] *37:14 49.5305 +2 *37:14 *776:A1 9.24915 +*END + +*D_NET *38 0.00127434 +*CONN +*P osc I +*I *798:D I *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 osc 0.000427052 +2 *798:D 0.000427052 +3 *798:D *798:RESET_B 6.33315e-05 +4 *798:D *90:94 0.000149643 +5 *798:D *204:106 0.000207266 +6 *29:12 *798:D 0 +*RES +1 osc *798:D 25.0696 +*END + +*D_NET *39 0.00449195 +*CONN +*P resetb I +*I *623:B I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 resetb 0.00118093 +2 *623:B 0 +3 *39:8 0.00118093 +4 *39:8 *606:A 0.00011185 +5 *39:8 *607:A 7.03867e-05 +6 *39:8 *622:A2 7.3979e-05 +7 *39:8 *625:A2 0.00049247 +8 *39:8 *626:B2 4.98193e-05 +9 *39:8 *639:B1 0.000160467 +10 *39:8 *654:B2 0.000195124 +11 *39:8 *655:B1 0.000168334 +12 *39:8 *656:B 1.14175e-05 +13 *39:8 *818:D 0 +14 *39:8 *906:A 0 +15 *39:8 *130:8 0.000259486 +16 *39:8 *137:10 3.42931e-05 +17 *39:8 *162:13 0.000380499 +18 *39:8 *204:16 4.31319e-05 +19 *39:8 *209:45 4.84593e-05 +20 *39:8 *213:16 9.98029e-06 +21 *39:8 *213:27 2.41999e-06 +22 *39:8 *214:8 1.62054e-05 +23 clockp[1] *39:8 0 +24 *623:A *39:8 1.77537e-06 +25 *4:10 *39:8 0 +*RES +1 resetb *39:8 42.0587 +2 *39:8 *623:B 9.24915 +*END + +*D_NET *40 0.00405911 +*CONN +*I *771:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *735:C1 I *D sky130_fd_sc_hd__o311a_2 +*I *674:B I *D sky130_fd_sc_hd__or2_2 +*I *746:C1 I *D sky130_fd_sc_hd__o311a_2 +*I *673:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *771:A1 0.000189737 +2 *735:C1 0 +3 *674:B 0 +4 *746:C1 0.000105921 +5 *673:X 0.000177642 +6 *40:29 0.000347059 +7 *40:12 0.000327726 +8 *40:9 0.000556768 +9 *746:C1 *746:B1 2.0441e-05 +10 *746:C1 *165:5 0.000142547 +11 *746:C1 *166:49 0.000127988 +12 *771:A1 *734:A 0.000372725 +13 *771:A1 *737:A2 4.55191e-05 +14 *771:A1 *771:S 0.000343352 +15 *771:A1 *787:A0 8.70662e-06 +16 *771:A1 *222:74 4.33979e-05 +17 *771:A1 *225:216 1.07248e-05 +18 *40:9 *164:22 2.06615e-05 +19 *40:9 *164:30 0.000113968 +20 *40:12 *666:C 2.9617e-06 +21 *40:12 *745:B1 0.000220943 +22 *40:12 *773:A0 9.76996e-05 +23 *40:12 *160:13 9.70911e-05 +24 *40:12 *166:49 2.96429e-05 +25 *40:12 *166:58 4.98393e-05 +26 *40:12 *166:67 1.9366e-05 +27 *40:12 *225:216 2.14817e-05 +28 *40:29 *777:A0 1.9101e-05 +29 *40:29 *166:67 7.61444e-07 +30 *40:29 *222:74 0.000296663 +31 *40:29 *225:216 0.000218426 +32 *21:18 *771:A1 3.02534e-05 +*RES +1 *673:X *40:9 16.7151 +2 *40:9 *40:12 11.7303 +3 *40:12 *746:C1 13.1314 +4 *40:12 *674:B 9.24915 +5 *40:9 *40:29 5.98452 +6 *40:29 *735:C1 13.7491 +7 *40:29 *771:A1 22.3968 +*END + +*D_NET *41 0.0110002 +*CONN +*I *794:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *675:C I *D sky130_fd_sc_hd__or3_2 +*I *674:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *794:A0 0.00284301 +2 *675:C 0 +3 *674:X 0.000307545 +4 *41:29 0.00100924 +5 *41:14 0.0041598 +6 *794:A0 *119:8 8.62625e-06 +7 *794:A0 *119:26 3.55859e-05 +8 *794:A0 *185:11 0.000220183 +9 *794:A0 *225:46 2.32616e-05 +10 *41:14 *681:A 0.00010239 +11 *41:14 *683:A1 3.20069e-06 +12 *41:14 *683:B2 1.52666e-05 +13 *41:14 *797:A0 0 +14 *41:14 *118:18 7.04109e-05 +15 *41:14 *165:14 8.12351e-05 +16 *41:14 *249:21 0.000107496 +17 *41:29 *666:C 0.00010005 +18 *41:29 *675:A 6.50586e-05 +19 *41:29 *675:B 4.30017e-06 +20 *41:29 *676:B2 0 +21 *41:29 *676:C1 0 +22 *41:29 *677:A1 0.00018775 +23 *41:29 *677:A3 9.90103e-05 +24 *41:29 *677:B1 3.26572e-05 +25 *41:29 *682:A2 9.40212e-05 +26 *41:29 *683:A1 5.19205e-05 +27 *41:29 *683:A2 0 +28 *41:29 *704:A 0.000210992 +29 *41:29 *705:B2 4.04556e-05 +30 *41:29 *708:B2 8.25722e-05 +31 *41:29 *808:D 0.000130777 +32 *41:29 *815:CLK 8.62625e-06 +33 *41:29 *118:110 0.000502705 +34 *41:29 *119:8 0 +35 *41:29 *158:50 1.44467e-05 +36 *41:29 *158:65 6.74811e-05 +37 *41:29 *170:61 1.71442e-05 +38 *41:29 *171:34 1.59362e-06 +39 *41:29 *175:9 7.50722e-05 +40 *41:29 *176:16 1.89195e-05 +41 *41:29 *185:11 0 +42 *623:A *794:A0 0 +43 *624:A *794:A0 8.13812e-06 +44 *797:A1 *794:A0 0.000171273 +45 *797:A1 *41:14 6.12686e-06 +46 *797:S *794:A0 2.18145e-05 +*RES +1 *674:X *41:14 29.5237 +2 *41:14 *41:29 43.602 +3 *41:29 *675:C 9.24915 +4 *41:14 *794:A0 41.759 +*END + +*D_NET *42 0.00379805 +*CONN +*I *792:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *731:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *792:A0 0.0016642 +2 *731:X 0.0016642 +3 *792:A0 *821:A 6.45772e-05 +4 *792:A0 *844:A 3.83429e-05 +5 *792:A0 *844:TE 0.000122378 +6 *792:A0 *844:Z 6.50586e-05 +7 *792:A0 *845:TE 7.16754e-05 +8 *792:A0 *237:5 0.000107629 +*RES +1 *731:X *792:A0 46.8554 +*END + +*D_NET *43 0.00635133 +*CONN +*I *727:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *728:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *790:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *729:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *731:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *726:X O *D sky130_fd_sc_hd__o21a_2 +*CAP +1 *727:B1 0.000129669 +2 *728:B1 0 +3 *790:A0 0.0010914 +4 *729:B1 0 +5 *731:B1 0.000151227 +6 *726:X 0.000359193 +7 *43:27 0.00127241 +8 *43:24 0.00031068 +9 *43:10 0.000234795 +10 *43:8 0.000442761 +11 *727:B1 *727:A2 1.92172e-05 +12 *727:B1 *727:A3 1.32376e-05 +13 *727:B1 *728:A1 0.000211492 +14 *731:B1 *729:A4 1.07248e-05 +15 *731:B1 *731:A3 4.27148e-05 +16 *731:B1 *223:43 1.18802e-05 +17 *731:B1 *225:124 2.30271e-05 +18 *790:A0 *724:A2 5.04829e-06 +19 *790:A0 *728:A4 2.04806e-05 +20 *790:A0 *786:A0 2.82537e-05 +21 *790:A0 *796:A0 0.0002646 +22 *790:A0 *850:A 7.24449e-05 +23 *790:A0 *854:TE_B 7.97944e-05 +24 *790:A0 *872:A 9.75148e-06 +25 *790:A0 *873:Z 7.23987e-05 +26 *790:A0 *875:TE_B 4.65396e-05 +27 *790:A0 *876:A 6.01398e-05 +28 *790:A0 *201:20 9.60216e-05 +29 *790:A0 *225:75 1.77537e-06 +30 *790:A0 *225:88 9.43293e-05 +31 *790:A0 *264:8 1.31657e-05 +32 *790:A0 *266:9 0.000123176 +33 *43:8 *724:B1 2.1558e-06 +34 *43:8 *725:A3 0.00027839 +35 *43:8 *726:B1 2.66039e-05 +36 *43:8 *729:A4 0.000163997 +37 *43:8 *778:A0 1.72464e-05 +38 *43:8 *44:29 2.01503e-05 +39 *43:8 *44:40 3.61659e-05 +40 *43:8 *225:75 0 +41 *43:8 *225:88 0 +42 *43:8 *286:13 6.50727e-05 +43 *43:10 *729:A4 0.000148129 +44 *43:10 *225:75 0 +45 *43:27 *728:A1 0.000171273 +46 *43:27 *728:A2 5.13134e-05 +47 *796:A1 *790:A0 2.65831e-05 +48 *796:A1 *43:8 0 +49 *796:A1 *43:27 3.18992e-05 +50 *5:51 *790:A0 0 +51 *5:63 *790:A0 0 +*RES +1 *726:X *43:8 24.1943 +2 *43:8 *43:10 2.6625 +3 *43:10 *731:B1 17.6574 +4 *43:10 *729:B1 13.7491 +5 *43:8 *43:24 4.5 +6 *43:24 *43:27 5.18434 +7 *43:27 *790:A0 48.1649 +8 *43:27 *728:B1 9.24915 +9 *43:24 *727:B1 12.7697 +*END + +*D_NET *44 0.00953931 +*CONN +*I *723:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *721:B I *D sky130_fd_sc_hd__or2_2 +*I *726:B1 I *D sky130_fd_sc_hd__o21a_2 +*I *725:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *788:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *724:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *730:B I *D sky130_fd_sc_hd__or2_2 +*I *720:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *723:B1 2.06324e-05 +2 *721:B 0 +3 *726:B1 0.000146995 +4 *725:B1 1.63938e-05 +5 *788:A0 0 +6 *724:B1 0.000107299 +7 *730:B 7.6898e-05 +8 *720:X 0.000183748 +9 *44:51 0.00138178 +10 *44:43 0.0014304 +11 *44:40 0.000291247 +12 *44:29 0.000318818 +13 *44:22 0.00022343 +14 *44:20 0.000211298 +15 *44:6 0.000327376 +16 *724:B1 *724:A3 5.04829e-06 +17 *724:B1 *222:86 6.23875e-05 +18 *724:B1 *225:88 7.92757e-06 +19 *726:B1 *778:A0 0 +20 *726:B1 *782:A0 0.000170996 +21 *726:B1 *265:13 4.89392e-05 +22 *726:B1 *286:13 8.58417e-05 +23 *730:B *774:A0 0.000123698 +24 *730:B *160:53 0.000107954 +25 *730:B *222:77 7.68538e-06 +26 *730:B *243:16 7.69497e-05 +27 *44:6 *723:A3 0.000171777 +28 *44:6 *225:67 0.000119416 +29 *44:6 *225:150 9.75356e-05 +30 *44:20 *723:A3 1.96574e-05 +31 *44:20 *222:77 0.000213739 +32 *44:22 *723:A3 1.03403e-05 +33 *44:22 *778:A0 1.03403e-05 +34 *44:22 *160:53 1.68716e-05 +35 *44:22 *222:77 0.000353672 +36 *44:29 *222:77 6.08467e-05 +37 *44:40 *782:A0 0.000163982 +38 *44:43 *725:A3 0.000107496 +39 *44:51 *725:A1 6.50727e-05 +40 *44:51 *725:A3 6.50586e-05 +41 *44:51 *851:Z 0.000307799 +42 *44:51 *852:A 0 +43 *44:51 *872:Z 0.000158357 +44 *44:51 *874:TE_B 0.000123582 +45 *44:51 *875:A 0.000220183 +46 *44:51 *877:A 0.000459889 +47 *44:51 *265:13 0.000154987 +48 *774:A1 *730:B 6.08467e-05 +49 *774:A1 *44:20 0.000124942 +50 *774:S *730:B 6.08467e-05 +51 *784:A1 *44:51 1.59362e-06 +52 *796:A1 *724:B1 8.11463e-06 +53 *796:A1 *44:40 1.11997e-06 +54 *5:63 *725:B1 1.03434e-05 +55 *5:63 *44:43 3.31745e-05 +56 *5:63 *44:51 0.000158044 +57 *5:72 *44:6 2.09495e-05 +58 *5:72 *44:20 5.05252e-05 +59 *5:74 *44:6 0.000158353 +60 *5:78 *44:6 0.000232994 +61 *5:131 *44:51 2.41338e-05 +62 *5:137 *44:51 2.56965e-05 +63 *16:12 *44:6 0 +64 *16:12 *44:20 0 +65 *37:14 *44:51 0.000148208 +66 *43:8 *724:B1 2.1558e-06 +67 *43:8 *726:B1 2.66039e-05 +68 *43:8 *44:29 2.01503e-05 +69 *43:8 *44:40 3.61659e-05 +*RES +1 *720:X *44:6 21.3947 +2 *44:6 *730:B 18.3789 +3 *44:6 *44:20 7.8887 +4 *44:20 *44:22 4.05102 +5 *44:22 *44:29 6.89662 +6 *44:29 *724:B1 16.515 +7 *44:29 *44:40 3.28538 +8 *44:40 *44:43 5.778 +9 *44:43 *44:51 40.8367 +10 *44:51 *788:A0 9.24915 +11 *44:43 *725:B1 9.82786 +12 *44:40 *726:B1 18.5201 +13 *44:22 *721:B 9.24915 +14 *44:20 *723:B1 9.82786 +*END + +*D_NET *45 0.00258254 +*CONN +*I *786:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *728:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *786:A0 0.000714406 +2 *728:X 0.000714406 +3 *786:A0 *784:A0 0.000142236 +4 *786:A0 *870:A 0.000114271 +5 *786:A0 *873:Z 0 +6 *786:A0 *875:TE_B 0.000296873 +7 *786:A0 *225:88 8.37812e-05 +8 *786:A0 *225:99 2.18942e-05 +9 *786:A0 *287:8 0.000110458 +10 *786:A1 *786:A0 2.57986e-05 +11 *790:A0 *786:A0 2.82537e-05 +12 *5:63 *786:A0 7.0641e-05 +13 *5:153 *786:A0 0.000242801 +14 *5:161 *786:A0 1.67179e-05 +*RES +1 *728:X *786:A0 48.8934 +*END + +*D_NET *46 0.00211958 +*CONN +*I *784:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *725:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *784:A0 0.000370727 +2 *725:X 0.000370727 +3 *784:A0 *871:A 7.41247e-05 +4 *784:A0 *872:TE 6.55651e-05 +5 *784:A0 *872:Z 2.16355e-05 +6 *784:A0 *873:Z 2.51531e-05 +7 *784:A0 *874:TE_B 2.32625e-05 +8 *784:A0 *877:A 0.000111708 +9 *784:A0 *265:13 0.000799859 +10 *786:A0 *784:A0 0.000142236 +11 *5:148 *784:A0 0.000114584 +*RES +1 *725:X *784:A0 40.4812 +*END + +*D_NET *47 0.00172728 +*CONN +*I *782:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *721:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *782:A0 0.000456645 +2 *721:X 0.000456645 +3 *782:A0 *879:TE 7.92757e-06 +4 *782:A0 *292:7 1.43698e-05 +5 *726:B1 *782:A0 0.000170996 +6 *796:A1 *782:A0 0.000441271 +7 *5:161 *782:A0 1.54483e-05 +8 *44:40 *782:A0 0.000163982 +*RES +1 *721:X *782:A0 39.4707 +*END + +*D_NET *48 0.00318126 +*CONN +*I *780:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *732:X O *D sky130_fd_sc_hd__a31o_2 +*CAP +1 *780:A0 0 +2 *732:X 0.000600902 +3 *48:8 0.000600902 +4 *48:8 *723:A2 0.000169078 +5 *48:8 *728:A1 0.000169108 +6 *48:8 *778:A0 0.000163443 +7 *48:8 *877:A 6.18702e-05 +8 *48:8 *119:40 0.000169078 +9 *48:8 *223:52 4.3116e-06 +10 *780:S *48:8 0 +11 *16:12 *48:8 0.00124256 +*RES +1 *732:X *48:8 43.3353 +2 *48:8 *780:A0 9.24915 +*END + +*D_NET *49 0.00173737 +*CONN +*I *778:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *723:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *778:A0 0.000452386 +2 *723:X 0.000452386 +3 *778:A0 *723:A1 5.92342e-05 +4 *778:A0 *725:A3 2.82537e-05 +5 *778:A0 *776:A0 0.000191541 +6 *778:A0 *877:A 0.000145923 +7 *778:A0 *160:53 6.50727e-05 +8 *726:B1 *778:A0 0 +9 *780:S *778:A0 3.20407e-05 +10 *5:164 *778:A0 0.0001195 +11 *43:8 *778:A0 1.72464e-05 +12 *44:22 *778:A0 1.03403e-05 +13 *48:8 *778:A0 0.000163443 +*RES +1 *723:X *778:A0 42.5112 +*END + +*D_NET *50 0.00083694 +*CONN +*I *776:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *727:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *776:A0 0.000148269 +2 *727:X 0.000148269 +3 *776:A0 *723:A1 3.45653e-05 +4 *776:A0 *725:A3 0.000217587 +5 *776:A0 *729:A4 7.50722e-05 +6 *778:A0 *776:A0 0.000191541 +7 *5:72 *776:A0 2.16355e-05 +*RES +1 *727:X *776:A0 33.3757 +*END + +*D_NET *51 0.000912573 +*CONN +*I *774:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *730:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *774:A0 6.91993e-05 +2 *730:X 6.91993e-05 +3 *774:A0 *160:43 0.000111722 +4 *774:A0 *160:53 6.08467e-05 +5 *774:A0 *222:77 0.000470379 +6 *774:A0 *243:16 7.5301e-06 +7 *730:B *774:A0 0.000123698 +*RES +1 *730:X *774:A0 23.6585 +*END + +*D_NET *52 0.000982134 +*CONN +*I *772:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *729:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *772:A0 0.000190132 +2 *729:X 0.000190132 +3 *772:A0 *225:75 7.97944e-05 +4 *772:A0 *250:21 0.000172803 +5 *772:A0 *258:9 0.000184443 +6 *5:51 *772:A0 0.000164829 +*RES +1 *729:X *772:A0 26.4315 +*END + +*D_NET *53 0.000991651 +*CONN +*I *796:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *724:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *796:A0 0.000208509 +2 *724:X 0.000208509 +3 *796:A0 *284:23 0.00015321 +4 *772:A1 *796:A0 0.000156823 +5 *790:A0 *796:A0 0.0002646 +*RES +1 *724:X *796:A0 33.1026 +*END + +*D_NET *54 0.000363303 +*CONN +*I *795:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *733:X O *D sky130_fd_sc_hd__a31o_2 +*CAP +1 *795:A0 7.10819e-05 +2 *733:X 7.10819e-05 +3 *795:A0 *733:A3 6.27782e-05 +4 *795:A0 *223:73 4.66889e-05 +5 *795:A0 *249:21 8.15895e-05 +6 *16:12 *795:A0 3.00829e-05 +*RES +1 *733:X *795:A0 21.4401 +*END + +*D_NET *55 0.00859616 +*CONN +*I *734:B I *D sky130_fd_sc_hd__nand2_2 +*I *771:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *666:C I *D sky130_fd_sc_hd__or3_2 +*I *665:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *734:B 6.40844e-05 +2 *771:A0 0 +3 *666:C 0.000660984 +4 *665:X 0.000241744 +5 *55:25 0.000714019 +6 *55:7 0.00155266 +7 *666:C *663:B 5.41227e-05 +8 *666:C *666:A 6.50727e-05 +9 *666:C *683:A1 8.92568e-06 +10 *666:C *683:A2 0.000141533 +11 *666:C *683:B1 0.000167579 +12 *666:C *683:B2 3.74883e-05 +13 *666:C *705:B2 4.40531e-05 +14 *666:C *773:A0 0 +15 *666:C *808:D 0.000130777 +16 *666:C *812:D 2.15348e-05 +17 *666:C *118:18 0.000346272 +18 *666:C *118:110 1.42919e-05 +19 *666:C *122:43 5.05252e-05 +20 *666:C *124:18 4.81015e-05 +21 *666:C *124:28 2.15184e-05 +22 *666:C *157:123 0.000160617 +23 *666:C *166:49 0.000173895 +24 *666:C *170:61 0.000430146 +25 *666:C *170:75 6.79599e-05 +26 *666:C *175:9 0.000172691 +27 *666:C *221:21 2.19131e-05 +28 *666:C *221:31 3.42876e-05 +29 *666:C *225:29 0.000188368 +30 *666:C *225:39 1.00004e-05 +31 *734:B *734:A 0.000405074 +32 *55:7 *664:A 7.6719e-06 +33 *55:7 *733:A3 0.000118166 +34 *55:7 *160:13 0.000207266 +35 *55:25 *736:B 9.75356e-05 +36 *55:25 *737:A3 6.35801e-05 +37 *55:25 *737:B1 0 +38 *55:25 *741:A 0.000116971 +39 *55:25 *741:B 1.61116e-05 +40 *55:25 *742:A2 7.26831e-05 +41 *55:25 *744:C 0.000239583 +42 *55:25 *745:B1 0.000207266 +43 *55:25 *783:A0 9.03762e-06 +44 *55:25 *787:A0 0.000172459 +45 *55:25 *122:43 8.74104e-05 +46 *55:25 *164:22 0.000107496 +47 *55:25 *164:30 5.51483e-06 +48 *55:25 *166:49 8.62625e-06 +49 *55:25 *166:58 8.37979e-05 +50 *55:25 *222:40 6.52144e-05 +51 *55:25 *250:21 0.00035144 +52 *21:18 *734:B 0.000405074 +53 *40:12 *666:C 2.9617e-06 +54 *41:29 *666:C 0.00010005 +*RES +1 *665:X *55:7 17.8002 +2 *55:7 *666:C 40.392 +3 *55:7 *55:25 33.6726 +4 *55:25 *771:A0 9.24915 +5 *55:25 *734:B 13.8548 +*END + +*D_NET *56 0.00053259 +*CONN +*I *793:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *740:X O *D sky130_fd_sc_hd__o311a_2 +*CAP +1 *793:A0 7.81088e-05 +2 *740:X 7.81088e-05 +3 *793:A0 *739:D 6.50727e-05 +4 *793:A0 *201:55 8.64186e-05 +5 *793:A1 *793:A0 0.000110297 +6 *793:S *793:A0 0.000114584 +*RES +1 *740:X *793:A0 21.9947 +*END + +*D_NET *57 0.00164462 +*CONN +*I *791:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *738:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *737:X O *D sky130_fd_sc_hd__o311a_2 +*CAP +1 *791:A0 0.000159238 +2 *738:B1 0.000211285 +3 *737:X 0 +4 *57:5 0.000370523 +5 *738:B1 *734:A 2.04806e-05 +6 *738:B1 *737:A2 0.000161966 +7 *738:B1 *118:72 4.58259e-05 +8 *738:B1 *166:67 3.67708e-05 +9 *738:B1 *222:77 7.22498e-05 +10 *738:B1 *243:16 6.73186e-05 +11 *791:A0 *734:A 5.69009e-05 +12 *791:A0 *886:A 8.15736e-05 +13 *791:A0 *890:A 2.58616e-05 +14 *791:A0 *293:13 0.000210531 +15 *785:S *738:B1 0 +16 *785:S *791:A0 0 +17 *791:S *791:A0 0.000114271 +18 *21:18 *791:A0 9.82896e-06 +*RES +1 *737:X *57:5 13.7491 +2 *57:5 *738:B1 20.6381 +3 *57:5 *791:A0 19.9081 +*END + +*D_NET *58 0.000621072 +*CONN +*I *741:A I *D sky130_fd_sc_hd__and2_2 +*I *771:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *741:A 0.000176368 +2 *771:X 0.000176368 +3 *741:A *741:B 4.5539e-05 +4 *741:A *62:7 6.50727e-05 +5 *21:18 *741:A 4.0752e-05 +6 *55:25 *741:A 0.000116971 +*RES +1 *771:X *741:A 31.5781 +*END + +*D_NET *59 0.00180579 +*CONN +*I *789:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *741:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *789:A0 0.000777802 +2 *741:X 0.000777802 +3 *789:A0 *737:B1 0.000118166 +4 *789:A0 *893:TE 0 +5 *789:A0 *894:Z 6.73023e-05 +6 *789:A0 *900:TE 5.67857e-05 +7 *789:A0 *307:6 0 +8 *789:A0 *312:15 7.92757e-06 +9 *5:222 *789:A0 0 +10 *5:244 *789:A0 0 +*RES +1 *741:X *789:A0 42.9321 +*END + +*D_NET *60 0.00244439 +*CONN +*I *787:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *742:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *787:A0 0.000772012 +2 *742:X 0.000772012 +3 *787:A0 *734:A 5.1674e-06 +4 *787:A0 *736:B 7.50872e-05 +5 *787:A0 *771:S 0.000345368 +6 *787:A0 *201:71 0.000154145 +7 *787:A0 *225:216 0.000139435 +8 *771:A1 *787:A0 8.70662e-06 +9 *781:A1 *787:A0 0 +10 *5:222 *787:A0 0 +11 *55:25 *787:A0 0.000172459 +*RES +1 *742:X *787:A0 47.3577 +*END + +*D_NET *61 0.000547565 +*CONN +*I *785:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *743:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *785:A0 0.000109601 +2 *743:Y 0.000109601 +3 *785:A0 *743:A 0.000328363 +*RES +1 *743:Y *785:A0 21.9947 +*END + +*D_NET *62 0.00154112 +*CONN +*I *783:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *741:B I *D sky130_fd_sc_hd__and2_2 +*I *734:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *783:A0 0.000253505 +2 *741:B 0.000102743 +3 *734:Y 0.000105449 +4 *62:7 0.000461697 +5 *741:B *307:6 0 +6 *741:B *312:15 8.01687e-05 +7 *741:A *741:B 4.5539e-05 +8 *741:A *62:7 6.50727e-05 +9 *783:A1 *783:A0 6.24474e-06 +10 *5:217 *783:A0 8.77451e-05 +11 *21:18 *62:7 0.000307806 +12 *55:25 *741:B 1.61116e-05 +13 *55:25 *783:A0 9.03762e-06 +*RES +1 *734:Y *62:7 17.2456 +2 *62:7 *741:B 16.8269 +3 *62:7 *783:A0 18.6595 +*END + +*D_NET *63 0.00206708 +*CONN +*I *781:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *745:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *781:A0 0.000838952 +2 *745:X 0.000838952 +3 *781:A0 *739:D 4.51706e-05 +4 *781:A0 *740:B1 0 +5 *781:A0 *65:10 0 +6 *781:A0 *118:72 0 +7 *781:A0 *160:43 0 +8 *781:A0 *164:30 9.12416e-06 +9 *781:A0 *222:45 0 +10 *781:A0 *286:13 0.000100687 +11 *785:A1 *781:A0 0 +12 *785:S *781:A0 0 +13 *793:A1 *781:A0 0 +14 *5:186 *781:A0 2.20471e-05 +15 *5:194 *781:A0 5.01918e-05 +16 *5:217 *781:A0 0.000161956 +*RES +1 *745:X *781:A0 45.5602 +*END + +*D_NET *64 0.00107069 +*CONN +*I *737:C1 I *D sky130_fd_sc_hd__o311a_2 +*I *779:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *735:X O *D sky130_fd_sc_hd__o311a_2 +*CAP +1 *737:C1 0.000132478 +2 *779:A0 0.000243455 +3 *735:X 0 +4 *64:4 0.000375933 +5 *737:C1 *737:A3 0.000196367 +6 *737:C1 *737:B1 4.74629e-05 +7 *779:A0 *735:A1 1.64789e-05 +8 *779:A0 *243:16 5.85117e-05 +*RES +1 *735:X *64:4 9.24915 +2 *64:4 *779:A0 14.9881 +3 *64:4 *737:C1 22.6559 +*END + +*D_NET *65 0.00380117 +*CONN +*I *742:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *777:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *740:C1 I *D sky130_fd_sc_hd__o311a_2 +*I *738:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *742:B1 1.67343e-05 +2 *777:A0 0.000992593 +3 *740:C1 0 +4 *738:X 0.000157239 +5 *65:15 0.00111487 +6 *65:10 0.000262778 +7 *742:B1 *118:47 4.88955e-05 +8 *742:B1 *201:71 2.16355e-05 +9 *777:A0 *222:40 9.23706e-05 +10 *777:A0 *225:216 1.5714e-05 +11 *65:10 *740:B1 5.22654e-06 +12 *65:10 *160:43 0.000139435 +13 *65:10 *243:16 0.000118166 +14 *65:15 *740:A3 0.00025545 +15 *65:15 *118:21 0.000111708 +16 *65:15 *118:47 0.000353794 +17 *65:15 *201:71 6.75934e-05 +18 *779:A1 *777:A0 0 +19 *781:A0 *65:10 0 +20 *5:260 *777:A0 7.86825e-06 +21 *40:29 *777:A0 1.9101e-05 +*RES +1 *738:X *65:10 22.1896 +2 *65:10 *740:C1 9.24915 +3 *65:10 *65:15 5.16022 +4 *65:15 *777:A0 27.9356 +5 *65:15 *742:B1 9.97254 +*END + +*D_NET *66 0.000255392 +*CONN +*I *775:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *768:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *775:A0 7.2662e-05 +2 *768:X 7.2662e-05 +3 *775:A0 *225:8 6.66538e-05 +4 *773:A1 *775:A0 4.34143e-05 +*RES +1 *768:X *775:A0 30.1608 +*END + +*D_NET *67 0.00473802 +*CONN +*I *745:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *773:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *744:X O *D sky130_fd_sc_hd__and3_2 +*CAP +1 *745:B1 0.000246937 +2 *773:A0 0.000569858 +3 *744:X 0 +4 *67:5 0.000816795 +5 *745:B1 *673:B 0.000113968 +6 *745:B1 *745:A1 7.21314e-06 +7 *745:B1 *745:A3 0.000118166 +8 *745:B1 *164:30 0.000176932 +9 *745:B1 *225:216 0.000231303 +10 *745:B1 *250:21 8.54654e-05 +11 *773:A0 *616:A 0.000383717 +12 *773:A0 *701:A1 8.14875e-05 +13 *773:A0 *836:A 0.000222979 +14 *773:A0 *838:A 0.000481241 +15 *773:A0 *118:110 9.60216e-05 +16 *773:A0 *122:10 6.11359e-06 +17 *773:A0 *122:33 0.000118632 +18 *773:A0 *221:31 0.000207266 +19 *773:A0 *221:39 4.66492e-05 +20 *773:A0 *225:29 4.88704e-05 +21 *773:A0 *225:39 4.19401e-06 +22 *773:A0 *225:216 1.03594e-05 +23 *666:C *773:A0 0 +24 *29:12 *773:A0 0.000137936 +25 *40:12 *745:B1 0.000220943 +26 *40:12 *773:A0 9.76996e-05 +27 *55:25 *745:B1 0.000207266 +*RES +1 *744:X *67:5 13.7491 +2 *67:5 *773:A0 35.1499 +3 *67:5 *745:B1 24.9208 +*END + +*D_NET *68 0.000255715 +*CONN +*I *797:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *746:X O *D sky130_fd_sc_hd__o311a_2 +*CAP +1 *797:A0 0.00011327 +2 *746:X 0.00011327 +3 *797:A0 *681:A 0 +4 *797:A0 *120:11 2.91752e-05 +5 *41:14 *797:A0 0 +*RES +1 *746:X *797:A0 30.1608 +*END + +*D_NET *69 0.000986243 +*CONN +*I *798:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *767:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *798:RESET_B 0.000297702 +2 *767:X 0.000297702 +3 *798:RESET_B *799:D 2.73369e-05 +4 *798:RESET_B *799:CLK 5.04734e-05 +5 *798:RESET_B *90:94 0.000146645 +6 *798:RESET_B *90:106 3.67708e-05 +7 *798:RESET_B *204:106 4.58003e-05 +8 *798:RESET_B *204:126 2.04806e-05 +9 *798:D *798:RESET_B 6.33315e-05 +*RES +1 *767:X *798:RESET_B 26.9573 +*END + +*D_NET *70 0.000678963 +*CONN +*I *799:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *766:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *799:RESET_B 0.000326589 +2 *766:X 0.000326589 +3 *799:RESET_B *799:D 0 +4 *799:RESET_B *90:121 2.57847e-05 +*RES +1 *766:X *799:RESET_B 25.3723 +*END + +*D_NET *71 0.000272422 +*CONN +*I *800:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *765:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *800:RESET_B 0.000136211 +2 *765:X 0.000136211 +*RES +1 *765:X *800:RESET_B 20.8045 +*END + +*D_NET *72 0.000393147 +*CONN +*I *801:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *764:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *801:RESET_B 9.18163e-05 +2 *764:X 9.18163e-05 +3 *801:RESET_B *714:A 9.78191e-05 +4 *801:RESET_B *116:18 4.05509e-05 +5 *801:RESET_B *127:47 6.27782e-05 +6 *801:RESET_B *208:14 8.36586e-06 +*RES +1 *764:X *801:RESET_B 20.8045 +*END + +*D_NET *73 0.000362481 +*CONN +*I *802:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *763:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *802:RESET_B 0.00018124 +2 *763:X 0.00018124 +3 *802:RESET_B *718:A 0 +4 *802:RESET_B *802:D 0 +*RES +1 *763:X *802:RESET_B 22.0503 +*END + +*D_NET *74 0.000904661 +*CONN +*I *803:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *762:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *803:RESET_B 0.000300029 +2 *762:X 0.000300029 +3 *803:RESET_B *609:A 4.30017e-06 +4 *803:RESET_B *709:A 2.82537e-05 +5 *803:RESET_B *709:B 0.000177787 +6 *803:RESET_B *709:C 4.26566e-05 +7 *803:RESET_B *115:7 2.52287e-06 +8 *803:RESET_B *195:10 4.90829e-05 +*RES +1 *762:X *803:RESET_B 25.7904 +*END + +*D_NET *75 0.000354956 +*CONN +*I *804:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *761:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *804:RESET_B 0.000174959 +2 *761:X 0.000174959 +3 *804:RESET_B *90:36 0 +4 *804:RESET_B *90:53 5.03748e-06 +5 *804:RESET_B *156:12 0 +*RES +1 *761:X *804:RESET_B 21.635 +*END + +*D_NET *76 0.000257406 +*CONN +*I *805:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *760:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *805:RESET_B 0.000128703 +2 *760:X 0.000128703 +3 *805:RESET_B *90:36 0 +*RES +1 *760:X *805:RESET_B 21.3269 +*END + +*D_NET *77 0.000375362 +*CONN +*I *806:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *759:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *806:RESET_B 0.000108124 +2 *759:X 0.000108124 +3 *806:RESET_B *759:A 6.27782e-05 +4 *806:RESET_B *90:68 9.63354e-05 +*RES +1 *759:X *806:RESET_B 20.8045 +*END + +*D_NET *78 0.000581079 +*CONN +*I *807:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *758:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *807:RESET_B 0.000166166 +2 *758:X 0.000166166 +3 *807:RESET_B *758:A 2.41274e-06 +4 *807:RESET_B *765:A 1.43983e-05 +5 *807:RESET_B *90:136 6.97354e-05 +6 *773:A1 *807:RESET_B 0.000162201 +*RES +1 *758:X *807:RESET_B 22.6049 +*END + +*D_NET *79 0.000232521 +*CONN +*I *808:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *757:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *808:RESET_B 0.00011626 +2 *757:X 0.00011626 +3 *808:RESET_B *809:CLK 0 +4 *808:RESET_B *173:6 0 +*RES +1 *757:X *808:RESET_B 20.9116 +*END + +*D_NET *80 0.000234529 +*CONN +*I *809:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *756:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *809:RESET_B 5.04744e-05 +2 *756:X 5.04744e-05 +3 *809:RESET_B *756:A 9.23267e-05 +4 *773:A1 *809:RESET_B 4.12533e-05 +*RES +1 *756:X *809:RESET_B 20.0811 +*END + +*D_NET *81 0.000156153 +*CONN +*I *810:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *755:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *810:RESET_B 7.80766e-05 +2 *755:X 7.80766e-05 +3 *810:RESET_B *90:22 0 +*RES +1 *755:X *810:RESET_B 20.0811 +*END + +*D_NET *82 0.000616162 +*CONN +*I *811:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *754:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *811:RESET_B 0.000230752 +2 *754:X 0.000230752 +3 *811:RESET_B *811:D 9.90116e-05 +4 *811:RESET_B *811:CLK 5.56461e-05 +*RES +1 *754:X *811:RESET_B 15.4583 +*END + +*D_NET *83 0.000227119 +*CONN +*I *812:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *753:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *812:RESET_B 4.20509e-05 +2 *753:X 4.20509e-05 +3 *812:RESET_B *90:145 9.68437e-05 +4 *773:A1 *812:RESET_B 4.61732e-05 +*RES +1 *753:X *812:RESET_B 20.0811 +*END + +*D_NET *84 0.000529889 +*CONN +*I *813:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *752:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *813:RESET_B 0.000179221 +2 *752:X 0.000179221 +3 *813:RESET_B *631:A 0.000122378 +4 *813:RESET_B *631:B 4.90694e-05 +5 *813:RESET_B *90:73 0 +*RES +1 *752:X *813:RESET_B 22.6049 +*END + +*D_NET *85 0.000253582 +*CONN +*I *814:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *751:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *814:RESET_B 4.81064e-05 +2 *751:X 4.81064e-05 +3 *814:RESET_B *630:A1 7.86847e-05 +4 *814:RESET_B *630:B2 7.86847e-05 +*RES +1 *751:X *814:RESET_B 20.1602 +*END + +*D_NET *86 0.000609298 +*CONN +*I *815:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *750:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *815:RESET_B 0.000260087 +2 *750:X 0.000260087 +3 *815:RESET_B *90:121 0 +4 *815:RESET_B *204:126 1.4036e-05 +5 *815:RESET_B *204:135 0 +6 *815:RESET_B *220:9 7.50872e-05 +*RES +1 *750:X *815:RESET_B 24.5968 +*END + +*D_NET *87 0.000435447 +*CONN +*I *816:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *749:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *816:RESET_B 0.000163558 +2 *749:X 0.000163558 +3 *816:RESET_B *628:A2 0 +4 *816:RESET_B *676:A2 6.50727e-05 +5 *816:RESET_B *806:D 0 +6 *816:RESET_B *204:211 3.00073e-05 +7 *816:RESET_B *210:10 1.32509e-05 +*RES +1 *749:X *816:RESET_B 22.0503 +*END + +*D_NET *88 0.000502276 +*CONN +*I *817:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *748:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *817:RESET_B 0.000130121 +2 *748:X 0.000130121 +3 *817:RESET_B *627:A1 6.78596e-05 +4 *817:RESET_B *90:68 0.000174175 +5 *817:RESET_B *211:9 0 +*RES +1 *748:X *817:RESET_B 22.0503 +*END + +*D_NET *89 0.000380637 +*CONN +*I *818:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *747:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *818:RESET_B 0.000156562 +2 *747:X 0.000156562 +3 *818:RESET_B *747:A 6.75122e-05 +*RES +1 *747:X *818:RESET_B 22.0503 +*END + +*D_NET *90 0.0262374 +*CONN +*I *754:A I *D sky130_fd_sc_hd__buf_1 +*I *819:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *747:A I *D sky130_fd_sc_hd__buf_1 +*I *762:A I *D sky130_fd_sc_hd__buf_1 +*I *763:A I *D sky130_fd_sc_hd__buf_1 +*I *761:A I *D sky130_fd_sc_hd__buf_1 +*I *759:A I *D sky130_fd_sc_hd__buf_1 +*I *748:A I *D sky130_fd_sc_hd__buf_1 +*I *756:A I *D sky130_fd_sc_hd__buf_1 +*I *757:A I *D sky130_fd_sc_hd__buf_1 +*I *753:A I *D sky130_fd_sc_hd__buf_1 +*I *765:A I *D sky130_fd_sc_hd__buf_1 +*I *758:A I *D sky130_fd_sc_hd__buf_1 +*I *766:A I *D sky130_fd_sc_hd__buf_1 +*I *750:A I *D sky130_fd_sc_hd__buf_1 +*I *751:A I *D sky130_fd_sc_hd__buf_1 +*I *767:A I *D sky130_fd_sc_hd__buf_1 +*I *752:A I *D sky130_fd_sc_hd__buf_1 +*I *749:A I *D sky130_fd_sc_hd__buf_1 +*I *764:A I *D sky130_fd_sc_hd__buf_1 +*I *755:A I *D sky130_fd_sc_hd__buf_1 +*I *760:A I *D sky130_fd_sc_hd__buf_1 +*I *769:A I *D sky130_fd_sc_hd__buf_1 +*I *624:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *754:A 2.14416e-05 +2 *819:RESET_B 0 +3 *747:A 0.00068856 +4 *762:A 0 +5 *763:A 0.000400981 +6 *761:A 0 +7 *759:A 8.63751e-05 +8 *748:A 0 +9 *756:A 0.000176595 +10 *757:A 0.000588207 +11 *753:A 3.61343e-05 +12 *765:A 2.48228e-05 +13 *758:A 5.85326e-05 +14 *766:A 0 +15 *750:A 0 +16 *751:A 0 +17 *767:A 2.18282e-05 +18 *752:A 0 +19 *749:A 7.50135e-05 +20 *764:A 0 +21 *755:A 0 +22 *760:A 0 +23 *769:A 0.000596465 +24 *624:Y 0 +25 *90:199 0.000933284 +26 *90:189 0.00141973 +27 *90:187 0.000908667 +28 *90:145 0.000960932 +29 *90:136 0.000630328 +30 *90:123 8.33553e-05 +31 *90:121 0.00070885 +32 *90:112 0.000355817 +33 *90:106 0.000281099 +34 *90:94 0.000346344 +35 *90:83 0.000503471 +36 *90:73 0.000758609 +37 *90:68 0.000883057 +38 *90:65 0.00086477 +39 *90:53 0.00081305 +40 *90:48 0.000593351 +41 *90:40 0.00018576 +42 *90:36 0.0011609 +43 *90:33 0.000474597 +44 *90:27 9.76041e-05 +45 *90:22 0.000570765 +46 *90:4 0.00111955 +47 *747:A *639:A1_N 7.91576e-05 +48 *747:A *639:B2 6.50727e-05 +49 *747:A *642:A1_N 0.000271044 +50 *747:A *651:A 0 +51 *747:A *114:5 2.85139e-05 +52 *747:A *133:15 0.0002646 +53 *747:A *135:19 0.000225011 +54 *747:A *144:18 3.74201e-05 +55 *749:A *804:CLK 0.000122978 +56 *754:A *811:CLK 5.08751e-05 +57 *756:A *809:CLK 0 +58 *756:A *222:11 2.29125e-05 +59 *756:A *244:8 2.41274e-06 +60 *757:A *809:D 3.27877e-05 +61 *757:A *222:11 1.00617e-05 +62 *759:A *124:50 3.13805e-06 +63 *759:A *158:9 9.50664e-05 +64 *763:A *609:A 0.000103943 +65 *763:A *709:C 3.01683e-06 +66 *763:A *718:B 6.92705e-05 +67 *769:A *284:23 2.50864e-05 +68 *90:22 *810:D 0.000181786 +69 *90:22 *810:CLK 0 +70 *90:22 *906:A 0.000114584 +71 *90:22 *284:23 0.000209746 +72 *90:27 *811:CLK 0.000175485 +73 *90:33 *811:CLK 0.00015511 +74 *90:36 *127:57 3.91205e-05 +75 *90:40 *714:C 4.9e-05 +76 *90:40 *116:18 3.20264e-05 +77 *90:40 *127:57 8.06687e-06 +78 *90:48 *116:5 0.000208606 +79 *90:48 *127:47 9.28161e-05 +80 *90:53 *610:A 8.95297e-05 +81 *90:53 *676:A1 7.70513e-05 +82 *90:53 *127:47 8.36586e-06 +83 *90:65 *676:A1 0.000310718 +84 *90:65 *157:8 2.54369e-05 +85 *90:65 *158:9 9.47414e-05 +86 *90:68 *708:B1 0 +87 *90:68 *708:B2 0 +88 *90:68 *817:D 0 +89 *90:68 *127:25 0 +90 *90:68 *211:9 0 +91 *90:73 *631:A 0.00011579 +92 *90:73 *631:B 3.14978e-05 +93 *90:73 *813:D 0.000198875 +94 *90:73 *206:6 0 +95 *90:83 *631:A 0.00036218 +96 *90:83 *631:B 6.31839e-05 +97 *90:94 *630:B2 3.67528e-06 +98 *90:94 *668:D 0 +99 *90:94 *799:CLK 1.46079e-05 +100 *90:106 *630:A1 9.75356e-05 +101 *90:106 *630:B2 3.89332e-06 +102 *90:106 *126:11 0.000328363 +103 *90:106 *204:126 3.129e-05 +104 *90:106 *219:7 4.31703e-05 +105 *90:106 *219:19 5.92342e-05 +106 *90:112 *126:11 0.000169728 +107 *90:121 *800:D 0.000181806 +108 *90:121 *126:11 9.41902e-05 +109 *90:121 *220:9 0 +110 *90:145 *809:CLK 0 +111 *90:145 *812:D 0 +112 *90:187 *714:C 0.000396254 +113 *90:187 *135:19 0.00017617 +114 *90:187 *196:12 0 +115 *90:187 *208:40 2.26061e-05 +116 *90:187 *209:16 8.94934e-06 +117 *90:189 *716:A3 7.5909e-06 +118 *90:189 *126:50 0 +119 *90:189 *126:61 0 +120 *90:189 *135:19 9.46327e-05 +121 *90:189 *195:10 0.000135598 +122 *90:189 *196:9 2.99929e-05 +123 *90:189 *196:12 0 +124 *90:199 *609:A 8.62081e-05 +125 *90:199 *709:A 0 +126 *90:199 *718:B 0 +127 *90:199 *803:D 0.000179947 +128 *90:199 *133:11 0.000123896 +129 *90:199 *195:10 1.66626e-05 +130 *90:199 *204:44 0.000228441 +131 clockp[1] *747:A 0.000429034 +132 *619:A *747:A 8.62625e-06 +133 *624:A *769:A 0.000118128 +134 *773:A1 *756:A 4.13537e-05 +135 *773:A1 *90:136 9.79857e-05 +136 *773:A1 *90:145 5.61162e-05 +137 *798:D *90:94 0.000149643 +138 *798:RESET_B *90:94 0.000146645 +139 *798:RESET_B *90:106 3.67708e-05 +140 *799:RESET_B *90:121 2.57847e-05 +141 *804:RESET_B *90:36 0 +142 *804:RESET_B *90:53 5.03748e-06 +143 *805:RESET_B *90:36 0 +144 *806:RESET_B *759:A 6.27782e-05 +145 *806:RESET_B *90:68 9.63354e-05 +146 *807:RESET_B *758:A 2.41274e-06 +147 *807:RESET_B *765:A 1.43983e-05 +148 *807:RESET_B *90:136 6.97354e-05 +149 *809:RESET_B *756:A 9.23267e-05 +150 *810:RESET_B *90:22 0 +151 *812:RESET_B *90:145 9.68437e-05 +152 *813:RESET_B *90:73 0 +153 *815:RESET_B *90:121 0 +154 *817:RESET_B *90:68 0.000174175 +155 *818:RESET_B *747:A 6.75122e-05 +156 *4:10 *769:A 0.000326398 +*RES +1 *624:Y *90:4 9.24915 +2 *90:4 *769:A 29.4236 +3 *90:4 *90:22 24.027 +4 *90:22 *760:A 9.24915 +5 *90:22 *90:27 1.8326 +6 *90:27 *755:A 9.24915 +7 *90:27 *90:33 1.8326 +8 *90:33 *90:36 13.8065 +9 *90:36 *90:40 5.91674 +10 *90:40 *764:A 9.24915 +11 *90:40 *90:48 8.74363 +12 *90:48 *90:53 11.7709 +13 *90:53 *749:A 20.4964 +14 *90:53 *90:65 7.95736 +15 *90:65 *90:68 17.5438 +16 *90:68 *90:73 15.366 +17 *90:73 *752:A 9.24915 +18 *90:73 *90:83 11.4538 +19 *90:83 *767:A 9.82786 +20 *90:83 *90:94 8.7164 +21 *90:94 *751:A 13.7491 +22 *90:94 *90:106 12.9306 +23 *90:106 *750:A 9.24915 +24 *90:106 *90:112 1.8326 +25 *90:112 *766:A 9.24915 +26 *90:112 *90:121 13.1476 +27 *90:121 *90:123 4.5 +28 *90:123 *758:A 10.5271 +29 *90:123 *765:A 9.97254 +30 *90:121 *90:136 10.5523 +31 *90:136 *753:A 14.4725 +32 *90:136 *90:145 5.56926 +33 *90:145 *757:A 23.081 +34 *90:145 *756:A 18.7961 +35 *90:68 *748:A 13.7491 +36 *90:65 *759:A 12.2151 +37 *90:48 *761:A 13.7491 +38 *90:36 *90:187 23.7455 +39 *90:187 *90:189 5.56926 +40 *90:189 *90:199 19.8689 +41 *90:199 *763:A 19.449 +42 *90:199 *762:A 9.24915 +43 *90:189 *747:A 44.5973 +44 *90:187 *819:RESET_B 4.5 +45 *90:33 *754:A 9.97254 +*END + +*D_NET *91 0.000176782 +*CONN +*I *820:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *769:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *820:RESET_B 7.16509e-05 +2 *769:X 7.16509e-05 +3 *820:RESET_B *113:8 3.34802e-05 +*RES +1 *769:X *820:RESET_B 20.1602 +*END + +*D_NET *92 0.00066766 +*CONN +*I *801:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *719:X O *D sky130_fd_sc_hd__a311o_2 +*CAP +1 *801:D 0.000198528 +2 *719:X 0.000198528 +3 *801:D *135:19 9.78191e-05 +4 *801:D *204:16 2.59896e-05 +5 *801:D *208:40 0.000146796 +*RES +1 *719:X *801:D 31.7175 +*END + +*D_NET *93 0.000264918 +*CONN +*I *802:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *718:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *802:D 6.96191e-05 +2 *718:X 6.96191e-05 +3 *802:D *718:A 0.00012568 +4 *802:RESET_B *802:D 0 +*RES +1 *718:X *802:D 29.7455 +*END + +*D_NET *94 0.00153381 +*CONN +*I *803:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *716:X O *D sky130_fd_sc_hd__a31o_2 +*CAP +1 *803:D 0.000354125 +2 *716:X 0.000354125 +3 *803:D *609:A 0.000148129 +4 *803:D *710:A 6.08467e-05 +5 *803:D *716:A2 2.14489e-05 +6 *803:D *116:24 0.000266846 +7 *803:D *126:50 3.56217e-05 +8 *803:D *204:44 4.37729e-05 +9 *803:D *206:24 6.89449e-05 +10 *90:199 *803:D 0.000179947 +*RES +1 *716:X *803:D 38.2278 +*END + +*D_NET *95 0.00136817 +*CONN +*I *804:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *713:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *804:D 0.000448034 +2 *713:X 0.000448034 +3 *804:D *711:B 6.92705e-05 +4 *804:D *804:CLK 0.000123176 +5 *804:D *197:7 0.000118166 +6 *804:D *208:7 0.000120742 +7 *804:D *208:11 4.0752e-05 +*RES +1 *713:X *804:D 31.9776 +*END + +*D_NET *96 0.00018505 +*CONN +*I *805:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *712:Y O *D sky130_fd_sc_hd__a21oi_2 +*CAP +1 *805:D 6.85614e-05 +2 *712:Y 6.85614e-05 +3 *805:D *204:8 1.44467e-05 +4 *805:D *204:16 3.34802e-05 +*RES +1 *712:Y *805:D 29.3303 +*END + +*D_NET *97 0.00106812 +*CONN +*I *806:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *708:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *806:D 0.000496149 +2 *708:X 0.000496149 +3 *806:D *204:211 0 +4 *806:D *210:10 7.58194e-05 +5 *816:RESET_B *806:D 0 +*RES +1 *708:X *806:D 35.4604 +*END + +*D_NET *98 0.00114358 +*CONN +*I *807:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *707:Y O *D sky130_fd_sc_hd__o22ai_2 +*CAP +1 *807:D 0.000214782 +2 *707:Y 0.000214782 +3 *807:D *680:B2 1.02917e-05 +4 *807:D *706:A1_N 1.65872e-05 +5 *807:D *706:A2_N 0.000110458 +6 *807:D *707:B1 2.8212e-05 +7 *807:D *707:B2 0.000466816 +8 *807:D *124:50 2.16355e-05 +9 *807:D *172:8 6.00124e-05 +*RES +1 *707:Y *807:D 35.1817 +*END + +*D_NET *99 0.00032614 +*CONN +*I *808:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *705:X O *D sky130_fd_sc_hd__a32o_2 +*CAP +1 *808:D 3.22933e-05 +2 *705:X 3.22933e-05 +3 *666:C *808:D 0.000130777 +4 *41:29 *808:D 0.000130777 +*RES +1 *705:X *808:D 29.7455 +*END + +*D_NET *100 0.000516672 +*CONN +*I *809:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *703:X O *D sky130_fd_sc_hd__o2bb2a_2 +*CAP +1 *809:D 0.000196282 +2 *703:X 0.000196282 +3 *809:D *222:11 9.13202e-05 +4 *757:A *809:D 3.27877e-05 +*RES +1 *703:X *809:D 31.8863 +*END + +*D_NET *101 0.00240696 +*CONN +*I *810:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *699:X O *D sky130_fd_sc_hd__a32o_2 +*CAP +1 *810:D 0.000967743 +2 *699:X 0.000967743 +3 *810:D *697:A1 0.000162583 +4 *810:D *697:A2 5.04054e-06 +5 *810:D *906:A 0 +6 *810:D *911:A 0.000117333 +7 *810:D *913:A 4.73037e-06 +8 *90:22 *810:D 0.000181786 +*RES +1 *699:X *810:D 43.1961 +*END + +*D_NET *102 0.00093226 +*CONN +*I *811:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *697:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *811:D 0.000370672 +2 *697:Y 0.000370672 +3 *811:D *695:A 5.41227e-05 +4 *811:D *170:29 0 +5 *811:D *223:8 3.77804e-05 +6 *811:RESET_B *811:D 9.90116e-05 +*RES +1 *697:Y *811:D 36.2853 +*END + +*D_NET *103 0.00329998 +*CONN +*I *812:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *692:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *812:D 0.001116 +2 *692:Y 0.001116 +3 *812:D *617:A 0.00047703 +4 *812:D *666:A 6.95945e-06 +5 *812:D *680:B1 6.53891e-05 +6 *812:D *692:B1 0.000158357 +7 *812:D *157:70 2.89547e-05 +8 *812:D *157:123 0.000309765 +9 *666:C *812:D 2.15348e-05 +10 *90:145 *812:D 0 +*RES +1 *692:Y *812:D 46.7159 +*END + +*D_NET *104 0.000515 +*CONN +*I *813:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *631:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *813:D 0.000158062 +2 *631:X 0.000158062 +3 *90:73 *813:D 0.000198875 +*RES +1 *631:X *813:D 31.9934 +*END + +*D_NET *105 0.000361506 +*CONN +*I *814:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *630:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *814:D 0.00014082 +2 *630:X 0.00014082 +3 *814:D *630:A2 5.11839e-05 +4 *814:D *204:106 1.77537e-06 +5 *814:D *204:173 2.69064e-05 +6 *29:12 *814:D 0 +*RES +1 *630:X *814:D 30.5058 +*END + +*D_NET *106 0.000491296 +*CONN +*I *815:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *629:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *815:D 0.000156877 +2 *629:X 0.000156877 +3 *815:D *675:A 2.16355e-05 +4 *815:D *676:C1 0.000101133 +5 *815:D *815:CLK 0 +6 *815:D *127:107 1.87469e-05 +7 *815:D *204:180 0 +8 *29:12 *815:D 3.60268e-05 +*RES +1 *629:X *815:D 31.7147 +*END + +*D_NET *107 0.000418604 +*CONN +*I *816:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *628:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *816:D 0.000113926 +2 *628:X 0.000113926 +3 *816:D *628:A2 0.00012568 +4 *816:D *117:8 6.50727e-05 +5 *816:D *210:10 0 +*RES +1 *628:X *816:D 30.4689 +*END + +*D_NET *108 0.000691668 +*CONN +*I *817:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *627:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *817:D 0.000220392 +2 *627:X 0.000220392 +3 *817:D *627:A1 0.000228593 +4 *817:D *629:B2 0 +5 *817:D *127:25 2.22923e-05 +6 *90:68 *817:D 0 +*RES +1 *627:X *817:D 32.1327 +*END + +*D_NET *109 0.00177197 +*CONN +*I *818:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *626:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *818:D 0.000605229 +2 *626:X 0.000605229 +3 *818:D *634:A 0.000211464 +4 *818:D *634:B 1.00937e-05 +5 *818:D *204:56 0.000207731 +6 *818:D *212:7 5.04829e-06 +7 clockp[1] *818:D 0.000127179 +8 *39:8 *818:D 0 +*RES +1 *626:X *818:D 42.2844 +*END + +*D_NET *110 0.00128007 +*CONN +*I *819:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *625:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *819:D 0.000365656 +2 *625:X 0.000365656 +3 *819:D *625:A1 9.16193e-05 +4 *819:D *625:A2 6.50586e-05 +5 *819:D *625:B2 5.23916e-05 +6 *819:D *714:B 1.43983e-05 +7 *819:D *127:63 4.58003e-05 +8 *819:D *196:9 7.48114e-05 +9 *819:D *204:31 0.000180532 +10 *819:D *213:16 2.41483e-05 +*RES +1 *625:X *819:D 30.3379 +*END + +*D_NET *111 0.00209209 +*CONN +*I *820:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *622:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *820:D 0.000610557 +2 *622:X 0.000610557 +3 *820:D *607:A 1.25173e-05 +4 *820:D *654:A2 2.41483e-05 +5 *820:D *656:A 0.000247474 +6 *820:D *656:B 4.3116e-06 +7 *820:D *112:8 0.000107496 +8 *820:D *214:8 6.91859e-05 +9 *4:10 *820:D 0.000405838 +*RES +1 *622:X *820:D 44.3551 +*END + +*D_NET *112 0.00269825 +*CONN +*I *660:A1 I *D sky130_fd_sc_hd__o221ai_2 +*I *712:A1 I *D sky130_fd_sc_hd__a21oi_2 +*I *654:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *606:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *660:A1 0 +2 *712:A1 0.000621015 +3 *654:B1 1.24773e-05 +4 *606:Y 0.000293538 +5 *112:10 0.000695531 +6 *112:8 0.000355577 +7 *712:A1 *820:CLK 0 +8 *112:8 *660:A2 6.28484e-05 +9 *112:8 *113:8 9.83892e-05 +10 *112:10 *654:A1 0.000101118 +11 *112:10 *660:A2 8.62625e-06 +12 *820:D *112:8 0.000107496 +13 *4:10 *654:B1 2.02035e-05 +14 *4:10 *112:8 0.000161359 +15 *4:10 *112:10 0.000160073 +*RES +1 *606:Y *112:8 21.4325 +2 *112:8 *112:10 2.87013 +3 *112:10 *654:B1 14.1278 +4 *112:10 *712:A1 22.0626 +5 *112:8 *660:A1 13.7491 +*END + +*D_NET *113 0.00280851 +*CONN +*I *654:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *660:A2 I *D sky130_fd_sc_hd__o221ai_2 +*I *607:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *654:B2 0.000473796 +2 *660:A2 7.58924e-05 +3 *607:Y 0.000295418 +4 *113:8 0.000845106 +5 *654:B2 *622:A1 3.2273e-05 +6 *654:B2 *622:A2 1.67329e-05 +7 *654:B2 *654:A2 3.82228e-05 +8 *654:B2 *656:B 9.96342e-05 +9 *654:B2 *156:12 0.000353686 +10 *654:B2 *214:8 4.23793e-05 +11 *660:A2 *654:A1 5.04829e-06 +12 *660:A2 *152:9 6.50586e-05 +13 *820:RESET_B *113:8 3.34802e-05 +14 *4:10 *113:8 6.67964e-05 +15 *39:8 *654:B2 0.000195124 +16 *112:8 *660:A2 6.28484e-05 +17 *112:8 *113:8 9.83892e-05 +18 *112:10 *660:A2 8.62625e-06 +*RES +1 *607:Y *113:8 20.3205 +2 *113:8 *660:A2 15.8893 +3 *113:8 *654:B2 33.8378 +*END + +*D_NET *114 0.00153926 +*CONN +*I *715:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *709:C I *D sky130_fd_sc_hd__or3_2 +*I *608:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *715:B1 0 +2 *709:C 0.000280271 +3 *608:Y 2.25273e-05 +4 *114:5 0.000302798 +5 *709:C *609:A 0 +6 *709:C *626:A2 0.000370829 +7 *709:C *195:10 0.00028913 +8 *709:C *207:21 1.90218e-05 +9 *709:C *207:41 6.23338e-05 +10 *114:5 *144:18 0.000118166 +11 *747:A *114:5 2.85139e-05 +12 *763:A *709:C 3.01683e-06 +13 *803:RESET_B *709:C 4.26566e-05 +*RES +1 *608:Y *114:5 10.5271 +2 *114:5 *709:C 28.8634 +3 *114:5 *715:B1 9.24915 +*END + +*D_NET *115 0.00245552 +*CONN +*I *717:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *715:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *709:A I *D sky130_fd_sc_hd__or3_2 +*I *609:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *717:A1 0.000182831 +2 *715:A1 0 +3 *709:A 5.06048e-05 +4 *609:Y 0.00024695 +5 *115:17 0.000286179 +6 *115:7 0.000400903 +7 *709:A *609:A 7.77309e-06 +8 *709:A *626:A2 2.95757e-05 +9 *709:A *709:B 1.07248e-05 +10 *717:A1 *717:A2 6.08467e-05 +11 *717:A1 *717:B1 2.14552e-05 +12 *717:A1 *717:B2 3.1568e-05 +13 *717:A1 *144:18 1.08013e-05 +14 *717:A1 *206:24 0.000251669 +15 *115:7 *609:A 0.000115632 +16 *115:7 *626:A2 6.08467e-05 +17 *115:17 *626:A2 7.50872e-05 +18 *115:17 *709:B 0.000308064 +19 *115:17 *116:24 9.82479e-06 +20 *115:17 *126:41 0.000240104 +21 *115:17 *126:50 2.3302e-05 +22 *803:RESET_B *709:A 2.82537e-05 +23 *803:RESET_B *115:7 2.52287e-06 +24 *90:199 *709:A 0 +*RES +1 *609:Y *115:7 17.8002 +2 *115:7 *709:A 15.1659 +3 *115:7 *115:17 10.4845 +4 *115:17 *715:A1 9.24915 +5 *115:17 *717:A1 14.8675 +*END + +*D_NET *116 0.00520061 +*CONN +*I *635:A I *D sky130_fd_sc_hd__nor2_2 +*I *717:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *650:A1 I *D sky130_fd_sc_hd__a21oi_2 +*I *709:B I *D sky130_fd_sc_hd__or3_2 +*I *715:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *719:B1 I *D sky130_fd_sc_hd__a311o_2 +*I *610:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *635:A 5.38944e-05 +2 *717:A2 0.000113314 +3 *650:A1 0 +4 *709:B 0.00014601 +5 *715:A2 0 +6 *719:B1 7.5716e-05 +7 *610:Y 9.21481e-05 +8 *116:44 0.00020995 +9 *116:24 0.000350541 +10 *116:18 0.000624771 +11 *116:5 0.000545363 +12 *635:A *650:B1 0.000167076 +13 *635:A *716:B1 0.000260374 +14 *709:B *716:A1 0 +15 *709:B *195:10 0 +16 *717:A2 *717:B2 8.70377e-05 +17 *717:A2 *146:12 2.77419e-05 +18 *717:A2 *204:44 0.000181065 +19 *719:B1 *714:C 0.000203756 +20 *719:B1 *719:A3 1.0759e-05 +21 *719:B1 *127:47 5.36868e-05 +22 *719:B1 *208:30 1.03403e-05 +23 *116:5 *714:C 0.000107496 +24 *116:5 *127:47 2.17834e-05 +25 *116:18 *714:A 4.00671e-05 +26 *116:18 *714:C 0.000135927 +27 *116:18 *126:50 7.4235e-06 +28 *116:18 *126:61 0 +29 *116:18 *126:82 0 +30 *116:18 *127:57 1.37421e-05 +31 *116:18 *204:44 0.000175022 +32 *116:18 *208:14 1.19513e-05 +33 *116:24 *650:A2 6.50727e-05 +34 *116:24 *716:A1 1.43983e-05 +35 *116:24 *716:A3 5.82759e-05 +36 *116:24 *716:B1 6.24655e-05 +37 *116:24 *126:50 3.76125e-05 +38 *116:24 *195:10 1.30526e-05 +39 *116:44 *716:B1 0.000107496 +40 *709:A *709:B 1.07248e-05 +41 *717:A1 *717:A2 6.08467e-05 +42 *801:RESET_B *116:18 4.05509e-05 +43 *803:D *116:24 0.000266846 +44 *803:RESET_B *709:B 0.000177787 +45 *90:40 *116:18 3.20264e-05 +46 *90:48 *116:5 0.000208606 +47 *115:17 *709:B 0.000308064 +48 *115:17 *116:24 9.82479e-06 +*RES +1 *610:Y *116:5 12.7456 +2 *116:5 *719:B1 12.2151 +3 *116:5 *116:18 19.137 +4 *116:18 *116:24 12.0681 +5 *116:24 *715:A2 13.7491 +6 *116:24 *709:B 20.0512 +7 *116:18 *650:A1 9.24915 +8 *116:18 *116:44 1.278 +9 *116:44 *717:A2 22.4655 +10 *116:44 *635:A 12.191 +*END + +*D_NET *117 0.00304236 +*CONN +*I *650:A2 I *D sky130_fd_sc_hd__a21oi_2 +*I *635:B I *D sky130_fd_sc_hd__nor2_2 +*I *611:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *650:A2 1.15727e-05 +2 *635:B 3.87655e-05 +3 *611:Y 0.000270977 +4 *117:21 6.23022e-05 +5 *117:20 0.000485166 +6 *117:8 0.000744179 +7 *635:B *637:A1 0 +8 *635:B *718:A 1.44467e-05 +9 *635:B *198:8 1.09738e-05 +10 *650:A2 *716:B1 6.31931e-05 +11 *117:8 *628:A2 0.000196638 +12 *117:8 *676:A2 0.000324151 +13 *117:8 *127:31 4.65868e-05 +14 *117:8 *198:8 2.33193e-05 +15 *117:8 *205:22 2.95757e-05 +16 *117:20 *650:B1 2.61147e-05 +17 *117:20 *716:B1 0.00056425 +18 *816:D *117:8 6.50727e-05 +19 *116:24 *650:A2 6.50727e-05 +*RES +1 *611:Y *117:8 22.3996 +2 *117:8 *635:B 14.7506 +3 *117:8 *117:20 21.1278 +4 *117:20 *117:21 57.9449 +5 *117:21 *650:A2 19.2217 +*END + +*D_NET *118 0.0151921 +*CONN +*I *692:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *689:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *677:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *737:A1 I *D sky130_fd_sc_hd__o311a_2 +*I *734:A I *D sky130_fd_sc_hd__nand2_2 +*I *743:A I *D sky130_fd_sc_hd__nor2_2 +*I *738:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *736:C I *D sky130_fd_sc_hd__or3_2 +*I *742:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *740:A1 I *D sky130_fd_sc_hd__o311a_2 +*I *739:D I *D sky130_fd_sc_hd__or4_2 +*I *612:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *692:A1 0 +2 *689:B1 0.000143513 +3 *677:A1 9.78157e-05 +4 *737:A1 0 +5 *734:A 0.000453212 +6 *743:A 0.000231534 +7 *738:A4 0 +8 *736:C 0.000153383 +9 *742:A3 0 +10 *740:A1 2.06324e-05 +11 *739:D 0.000304099 +12 *612:Y 7.10045e-05 +13 *118:122 0.000291416 +14 *118:110 0.000942249 +15 *118:85 0.000555275 +16 *118:72 0.000471036 +17 *118:63 0.000233948 +18 *118:47 0.000317616 +19 *118:21 0.000471302 +20 *118:20 0.000310803 +21 *118:18 0.0007349 +22 *118:5 0.00140593 +23 *677:A1 *171:34 4.51777e-05 +24 *689:B1 *688:A 0.000163418 +25 *689:B1 *689:A2 6.36477e-05 +26 *689:B1 *691:A1 4.0752e-05 +27 *689:B1 *691:C1 3.20069e-06 +28 *689:B1 *158:35 5.94075e-05 +29 *689:B1 *170:10 5.22654e-06 +30 *689:B1 *170:22 4.52469e-05 +31 *734:A *737:A2 2.95757e-05 +32 *736:C *736:A 2.53145e-06 +33 *736:C *742:A1 3.22534e-05 +34 *736:C *742:A2 6.50586e-05 +35 *736:C *121:60 1.19751e-05 +36 *736:C *121:64 4.91225e-06 +37 *739:D *739:A 7.61769e-05 +38 *739:D *740:B1 6.50586e-05 +39 *739:D *743:B 2.55032e-05 +40 *739:D *745:A1 2.65831e-05 +41 *739:D *201:55 3.61993e-05 +42 *739:D *250:21 3.9394e-05 +43 *743:A *312:15 0.00046436 +44 *118:18 *663:B 5.77352e-05 +45 *118:18 *681:A 2.95784e-06 +46 *118:18 *683:B2 5.10588e-05 +47 *118:18 *746:B1 7.13655e-06 +48 *118:18 *122:43 0.000249768 +49 *118:18 *160:14 6.60079e-05 +50 *118:18 *160:43 0.000180646 +51 *118:18 *165:14 4.77231e-05 +52 *118:21 *740:A3 6.49003e-05 +53 *118:21 *201:55 1.84293e-05 +54 *118:21 *201:61 9.82896e-06 +55 *118:47 *742:A1 2.36657e-05 +56 *118:47 *121:64 0.000323767 +57 *118:47 *201:71 9.20101e-06 +58 *118:63 *738:A3 0.000101148 +59 *118:63 *122:43 2.33193e-05 +60 *118:63 *160:43 0.000202381 +61 *118:63 *166:67 0 +62 *118:72 *166:67 0 +63 *118:85 *737:A2 6.08467e-05 +64 *118:85 *312:15 8.14875e-05 +65 *118:110 *683:A2 9.22013e-06 +66 *118:110 *692:B1 2.22923e-05 +67 *118:110 *704:A 0.000210992 +68 *118:110 *705:B2 5.38612e-06 +69 *118:110 *157:78 0 +70 *118:110 *157:88 7.65728e-05 +71 *118:110 *157:100 0.000111722 +72 *118:110 *171:34 0 +73 *118:110 *175:9 3.95141e-05 +74 *118:110 *221:31 0.000186445 +75 *118:122 *124:18 0.000373061 +76 *118:122 *157:70 0.00029651 +77 *118:122 *157:123 0.000248423 +78 *666:C *118:18 0.000346272 +79 *666:C *118:110 1.42919e-05 +80 *734:B *734:A 0.000405074 +81 *738:B1 *734:A 2.04806e-05 +82 *738:B1 *118:72 4.58259e-05 +83 *742:B1 *118:47 4.88955e-05 +84 *771:A1 *734:A 0.000372725 +85 *773:A0 *118:110 9.60216e-05 +86 *781:A0 *739:D 4.51706e-05 +87 *781:A0 *118:72 0 +88 *785:A0 *743:A 0.000328363 +89 *787:A0 *734:A 5.1674e-06 +90 *791:A0 *734:A 5.69009e-05 +91 *793:A0 *739:D 6.50727e-05 +92 *793:A1 *739:D 3.20069e-06 +93 *797:A1 *118:5 0.000107496 +94 *797:A1 *118:18 0.000213725 +95 *21:18 *734:A 5.27808e-05 +96 *29:12 *118:110 6.07931e-05 +97 *41:14 *118:18 7.04109e-05 +98 *41:29 *677:A1 0.00018775 +99 *41:29 *118:110 0.000502705 +100 *65:15 *118:21 0.000111708 +101 *65:15 *118:47 0.000353794 +*RES +1 *612:Y *118:5 10.5271 +2 *118:5 *118:18 22.5691 +3 *118:18 *118:20 4.5 +4 *118:20 *118:21 4.05102 +5 *118:21 *739:D 26.38 +6 *118:21 *740:A1 9.82786 +7 *118:20 *118:47 6.63113 +8 *118:47 *742:A3 9.24915 +9 *118:47 *736:C 13.6136 +10 *118:18 *118:63 3.90826 +11 *118:63 *738:A4 13.7491 +12 *118:63 *118:72 7.993 +13 *118:72 *743:A 19.6178 +14 *118:72 *118:85 2.94181 +15 *118:85 *734:A 31.6392 +16 *118:85 *737:A1 9.24915 +17 *118:5 *118:110 33.118 +18 *118:110 *677:A1 17.2421 +19 *118:110 *118:122 11.9028 +20 *118:122 *689:B1 23.1595 +21 *118:122 *692:A1 9.24915 +*END + +*D_NET *119 0.0100761 +*CONN +*I *745:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *739:A I *D sky130_fd_sc_hd__or4_2 +*I *665:A I *D sky130_fd_sc_hd__or3_2 +*I *728:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *727:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *729:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *731:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *697:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *685:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *613:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *745:A1 5.42692e-05 +2 *739:A 4.05497e-05 +3 *665:A 5.3505e-05 +4 *728:A1 0.00017618 +5 *727:A1 0.000133423 +6 *729:A1 0 +7 *731:A1 0.000134755 +8 *697:A1 0.000411902 +9 *685:A1 7.95237e-05 +10 *613:Y 0.000173841 +11 *119:76 0.00022676 +12 *119:42 0.000133423 +13 *119:40 0.000261445 +14 *119:29 0.000480167 +15 *119:28 0.000313652 +16 *119:26 0.000369284 +17 *119:8 0.000575023 +18 *119:7 0.000494781 +19 *665:A *665:C 2.65831e-05 +20 *665:A *722:B 6.22868e-05 +21 *665:A *733:A3 0.000264614 +22 *685:A1 *684:A2 8.62625e-06 +23 *685:A1 *685:A2 2.81678e-06 +24 *685:A1 *687:B2 0.000101133 +25 *685:A1 *699:A3 5.99802e-05 +26 *697:A1 *684:A1 1.54703e-05 +27 *697:A1 *697:A2 7.97098e-06 +28 *697:A1 *699:A3 0.000360712 +29 *697:A1 *699:B1 6.94667e-05 +30 *697:A1 *699:B2 2.16355e-05 +31 *697:A1 *223:11 5.61179e-05 +32 *727:A1 *723:A3 2.4562e-05 +33 *727:A1 *732:A3 1.66771e-05 +34 *727:A1 *164:45 0.000153927 +35 *727:A1 *225:67 4.9073e-05 +36 *727:A1 *225:70 7.13972e-05 +37 *727:A1 *225:150 8.89094e-05 +38 *727:A1 *250:21 0.00020502 +39 *728:A1 *727:A2 5.08751e-05 +40 *728:A1 *728:A2 0.000317693 +41 *728:A1 *223:52 6.5713e-05 +42 *731:A1 *731:A2 0.000134115 +43 *731:A1 *731:A3 0.000169041 +44 *731:A1 *223:32 5.07314e-05 +45 *739:A *250:21 8.14075e-05 +46 *745:A1 *740:B1 3.80872e-05 +47 *745:A1 *164:30 2.65667e-05 +48 *745:A1 *250:21 0.000259093 +49 *119:7 *185:11 0.000258819 +50 *119:8 *684:A2 5.41377e-05 +51 *119:8 *687:B2 0.000156869 +52 *119:8 *185:11 0 +53 *119:26 *672:A 3.78172e-05 +54 *119:26 *687:B2 6.39162e-05 +55 *119:26 *720:B 6.64859e-05 +56 *119:26 *743:B 0.000256146 +57 *119:29 *722:A 2.57986e-05 +58 *119:29 *722:B 0.000112427 +59 *119:29 *732:A1 2.53994e-05 +60 *119:29 *732:A2 1.03403e-05 +61 *119:29 *732:B1 2.16355e-05 +62 *119:29 *733:A3 0.000661496 +63 *119:29 *223:67 2.99747e-05 +64 *119:40 *223:43 5.54078e-05 +65 *119:40 *223:52 3.34802e-05 +66 *119:76 *720:B 1.87469e-05 +67 *119:76 *743:B 5.15049e-05 +68 *727:B1 *728:A1 0.000211492 +69 *739:D *739:A 7.61769e-05 +70 *739:D *745:A1 2.65831e-05 +71 *745:B1 *745:A1 7.21314e-06 +72 *794:A0 *119:8 8.62625e-06 +73 *794:A0 *119:26 3.55859e-05 +74 *797:S *119:26 0.000115907 +75 *810:D *697:A1 0.000162583 +76 *16:12 *119:40 9.2346e-06 +77 *41:29 *119:8 0 +78 *43:27 *728:A1 0.000171273 +79 *48:8 *728:A1 0.000169108 +80 *48:8 *119:40 0.000169078 +*RES +1 *613:Y *119:7 16.691 +2 *119:7 *119:8 3.07775 +3 *119:8 *685:A1 16.4116 +4 *119:8 *697:A1 24.4795 +5 *119:7 *119:26 8.06078 +6 *119:26 *119:28 4.5 +7 *119:28 *119:29 9.59705 +8 *119:29 *731:A1 14.4335 +9 *119:29 *119:40 7.993 +10 *119:40 *119:42 4.5 +11 *119:42 *729:A1 9.24915 +12 *119:42 *727:A1 23.7141 +13 *119:40 *728:A1 21.6107 +14 *119:28 *665:A 12.191 +15 *119:26 *119:76 7.57775 +16 *119:76 *739:A 11.1782 +17 *119:76 *745:A1 12.7697 +*END + +*D_NET *120 0.00456663 +*CONN +*I *665:B I *D sky130_fd_sc_hd__or3_2 +*I *722:B I *D sky130_fd_sc_hd__or2_2 +*I *684:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *694:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *614:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *665:B 7.6592e-05 +2 *722:B 0.000216617 +3 *684:B1 0.00016582 +4 *694:A1 2.00111e-05 +5 *614:Y 0.000110382 +6 *120:33 0.000365121 +7 *120:16 7.07406e-05 +8 *120:15 0.000436975 +9 *120:11 0.000904409 +10 *120:7 0.000534636 +11 *665:B *720:B 3.60268e-05 +12 *665:B *733:A3 0 +13 *665:B *160:14 0 +14 *684:B1 *684:B2 4.94e-06 +15 *684:B1 *687:B2 0.000175168 +16 *684:B1 *699:A2 0 +17 *684:B1 *743:B 4.02798e-05 +18 *684:B1 *224:10 0 +19 *684:B1 *224:27 0 +20 *694:A1 *186:10 2.41274e-06 +21 *694:A1 *322:7 6.65878e-05 +22 *120:7 *166:5 6.27718e-05 +23 *120:7 *166:36 0.000110458 +24 *120:11 *672:A 6.44739e-05 +25 *120:11 *681:A 0 +26 *120:11 *720:B 8.80283e-06 +27 *120:11 *160:14 0 +28 *120:11 *322:7 0.000186597 +29 *120:15 *322:7 0.00062282 +30 *120:33 *720:B 3.44197e-05 +31 *120:33 *160:14 0 +32 *665:A *722:B 6.22868e-05 +33 *797:A0 *120:11 2.91752e-05 +34 *797:S *120:11 4.56831e-05 +35 *119:29 *722:B 0.000112427 +*RES +1 *614:Y *120:7 15.5817 +2 *120:7 *120:11 11.4894 +3 *120:11 *120:15 16.0732 +4 *120:15 *120:16 57.9449 +5 *120:16 *694:A1 19.2217 +6 *120:11 *684:B1 22.5727 +7 *120:7 *120:33 1.832 +8 *120:33 *722:B 19.0876 +9 *120:33 *665:B 15.5811 +*END + +*D_NET *121 0.00703046 +*CONN +*I *742:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *740:A3 I *D sky130_fd_sc_hd__o311a_2 +*I *737:A3 I *D sky130_fd_sc_hd__o311a_2 +*I *663:A I *D sky130_fd_sc_hd__or2_2 +*I *615:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *742:A1 4.70076e-05 +2 *740:A3 7.06838e-05 +3 *737:A3 0.000624628 +4 *663:A 0.000444207 +5 *615:Y 3.07124e-05 +6 *121:65 0.000141705 +7 *121:64 0.000356089 +8 *121:60 0.000692257 +9 *121:7 0.00106883 +10 *121:5 0.000390894 +11 *663:A *664:A 7.94868e-05 +12 *663:A *670:A 8.52802e-05 +13 *663:A *736:A 4.18989e-05 +14 *663:A *742:A2 0.000238537 +15 *663:A *122:33 0.000305631 +16 *663:A *160:13 3.07848e-05 +17 *663:A *164:17 0.000111358 +18 *663:A *225:216 6.13051e-05 +19 *737:A3 *736:A 6.9396e-05 +20 *737:A3 *736:B 7.50722e-05 +21 *737:A3 *737:B1 7.26672e-05 +22 *737:A3 *742:A2 0.000174769 +23 *737:A3 *243:16 1.78514e-05 +24 *737:A3 *312:15 2.19485e-06 +25 *740:A3 *201:61 0.000315447 +26 *740:A3 *201:71 9.70789e-05 +27 *121:5 *222:34 6.08467e-05 +28 *121:60 *736:A 0.000149085 +29 *121:60 *222:34 0.000145821 +30 *121:60 *243:16 5.20636e-05 +31 *736:C *742:A1 3.22534e-05 +32 *736:C *121:60 1.19751e-05 +33 *736:C *121:64 4.91225e-06 +34 *737:C1 *737:A3 0.000196367 +35 *55:25 *737:A3 6.35801e-05 +36 *65:15 *740:A3 0.00025545 +37 *118:21 *740:A3 6.49003e-05 +38 *118:47 *742:A1 2.36657e-05 +39 *118:47 *121:64 0.000323767 +*RES +1 *615:Y *121:5 9.97254 +2 *121:5 *121:7 4.5 +3 *121:7 *663:A 27.525 +4 *121:7 *737:A3 29.9906 +5 *121:5 *121:60 17.0648 +6 *121:60 *121:64 13.8548 +7 *121:64 *121:65 81.1229 +8 *121:65 *740:A3 23.1039 +9 *121:60 *742:A1 11.13 +*END + +*D_NET *122 0.00665822 +*CONN +*I *682:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *735:A2 I *D sky130_fd_sc_hd__o311a_2 +*I *738:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *663:B I *D sky130_fd_sc_hd__or2_2 +*I *702:A1 I *D sky130_fd_sc_hd__o211a_2 +*I *616:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *682:B1 8.51739e-05 +2 *735:A2 7.29932e-05 +3 *738:A2 0 +4 *663:B 1.78213e-05 +5 *702:A1 2.41316e-05 +6 *616:Y 0.000227335 +7 *122:51 0.000123723 +8 *122:50 0.000162363 +9 *122:43 0.000362985 +10 *122:33 0.000840472 +11 *122:19 0.000888784 +12 *122:10 0.000483779 +13 *682:B1 *702:A2 4.78515e-06 +14 *682:B1 *702:B1 2.4442e-05 +15 *682:B1 *157:100 2.85139e-05 +16 *682:B1 *170:75 0.000162828 +17 *735:A2 *735:A1 1.00846e-05 +18 *735:A2 *735:A3 5.25321e-05 +19 *735:A2 *735:B1 6.08467e-05 +20 *735:A2 *243:16 0.000251935 +21 *122:10 *616:A 2.85274e-05 +22 *122:10 *173:19 0 +23 *122:10 *222:18 4.15008e-05 +24 *122:19 *702:A2 1.31105e-05 +25 *122:19 *702:B1 3.4255e-05 +26 *122:19 *703:A2_N 2.81515e-05 +27 *122:33 *616:A 1.70275e-05 +28 *122:33 *664:A 6.08467e-05 +29 *122:33 *702:C1 0.000143032 +30 *122:33 *742:A2 1.69932e-05 +31 *122:33 *744:B 6.63489e-05 +32 *122:33 *744:C 5.41227e-05 +33 *122:33 *837:A 0.000122708 +34 *122:33 *160:13 0.000154145 +35 *122:33 *173:19 2.61921e-05 +36 *122:33 *221:53 3.795e-05 +37 *122:33 *222:18 0 +38 *122:33 *225:206 0.000164997 +39 *122:33 *225:216 8.89497e-06 +40 *122:43 *738:A3 0.000101148 +41 *122:43 *166:58 2.04806e-05 +42 *122:43 *166:67 0.00011061 +43 *122:50 *243:16 0.00015863 +44 *663:A *122:33 0.000305631 +45 *666:C *663:B 5.41227e-05 +46 *666:C *122:43 5.05252e-05 +47 *773:A0 *122:10 6.11359e-06 +48 *773:A0 *122:33 0.000118632 +49 *29:12 *122:33 0.00040976 +50 *55:25 *122:43 8.74104e-05 +51 *118:18 *663:B 5.77352e-05 +52 *118:18 *122:43 0.000249768 +53 *118:63 *122:43 2.33193e-05 +*RES +1 *616:Y *122:10 22.7442 +2 *122:10 *702:A1 10.3222 +3 *122:10 *122:19 4.48505 +4 *122:19 *122:33 34.447 +5 *122:33 *663:B 14.7506 +6 *122:33 *122:43 13.3913 +7 *122:43 *738:A2 9.24915 +8 *122:43 *122:50 11.0817 +9 *122:50 *122:51 57.9449 +10 *122:51 *735:A2 21.4401 +11 *122:19 *682:B1 12.2151 +*END + +*D_NET *123 0.00219739 +*CONN +*I *680:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *707:A1 I *D sky130_fd_sc_hd__o22ai_2 +*I *679:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *666:A I *D sky130_fd_sc_hd__or3_2 +*I *617:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *680:A1 0 +2 *707:A1 0 +3 *679:B1 0.000157832 +4 *666:A 4.29684e-05 +5 *617:Y 9.43371e-05 +6 *123:18 0.000253801 +7 *123:9 0.00019216 +8 *123:7 0.00014756 +9 *666:A *680:A2 1.65872e-05 +10 *666:A *680:B1 5.88657e-05 +11 *666:A *124:28 0.000112082 +12 *679:B1 *676:C1 6.04498e-06 +13 *679:B1 *679:A2 0.000127707 +14 *679:B1 *679:B2 4.30017e-06 +15 *123:7 *680:B2 5.99527e-05 +16 *123:9 *617:A 1.41853e-05 +17 *123:9 *680:A2 0.000134732 +18 *123:9 *680:B1 0.000167076 +19 *123:9 *680:B2 0.000175485 +20 *123:18 *617:A 3.8722e-05 +21 *123:18 *679:A2 3.20264e-05 +22 *666:C *666:A 6.50727e-05 +23 *812:D *666:A 6.95945e-06 +24 *29:12 *679:B1 0.000116652 +25 *29:12 *123:18 0.000172279 +*RES +1 *617:Y *123:7 11.6605 +2 *123:7 *123:9 4.05102 +3 *123:9 *666:A 11.0817 +4 *123:9 *123:18 7.993 +5 *123:18 *679:B1 17.8531 +6 *123:18 *707:A1 13.7491 +7 *123:7 *680:A1 9.24915 +*END + +*D_NET *124 0.00567553 +*CONN +*I *706:A1_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *706:B1 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *680:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *666:B I *D sky130_fd_sc_hd__or3_2 +*I *708:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *618:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *706:A1_N 0.000139674 +2 *706:B1 0 +3 *680:B1 4.06558e-05 +4 *666:B 2.75984e-05 +5 *708:B1 0.000214485 +6 *618:Y 0 +7 *124:50 0.000611107 +8 *124:29 8.61317e-05 +9 *124:28 8.18768e-05 +10 *124:18 0.000450355 +11 *124:7 0.000684909 +12 *124:4 0.000555502 +13 *680:B1 *617:A 6.50586e-05 +14 *706:A1_N *706:A2_N 0.000159038 +15 *706:A1_N *707:B1 0 +16 *706:A1_N *707:B2 1.71725e-05 +17 *708:B1 *708:A2 0.000134503 +18 *708:B1 *708:B2 0 +19 *124:7 *158:9 2.20471e-05 +20 *124:18 *677:A3 6.50727e-05 +21 *124:18 *708:A2 5.4532e-05 +22 *124:18 *157:123 4.7951e-05 +23 *124:18 *170:10 2.69064e-05 +24 *124:18 *171:34 0 +25 *124:28 *680:A2 0.000113968 +26 *124:50 *617:A 1.85244e-05 +27 *124:50 *679:A2 0.000175247 +28 *124:50 *707:A2 8.23171e-06 +29 *124:50 *707:B1 0.000783204 +30 *124:50 *707:B2 6.08467e-05 +31 *124:50 *158:9 0.000124019 +32 *124:50 *204:144 1.94614e-05 +33 *666:A *680:B1 5.88657e-05 +34 *666:A *124:28 0.000112082 +35 *666:C *124:18 4.81015e-05 +36 *666:C *124:28 2.15184e-05 +37 *759:A *124:50 3.13805e-06 +38 *807:D *706:A1_N 1.65872e-05 +39 *807:D *124:50 2.16355e-05 +40 *812:D *680:B1 6.53891e-05 +41 *90:68 *708:B1 0 +42 *118:122 *124:18 0.000373061 +43 *123:9 *680:B1 0.000167076 +*RES +1 *618:Y *124:4 9.24915 +2 *124:4 *124:7 5.778 +3 *124:7 *708:B1 19.7337 +4 *124:7 *124:18 15.2323 +5 *124:18 *666:B 9.82786 +6 *124:18 *124:28 11.0817 +7 *124:28 *124:29 57.9449 +8 *124:29 *680:B1 20.8855 +9 *124:4 *124:50 23.6292 +10 *124:50 *706:B1 9.24915 +11 *124:50 *706:A1_N 12.8902 +*END + +*D_NET *125 0.000249822 +*CONN +*I *667:A1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *619:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *667:A1 0.000115062 +2 *619:Y 0.000115062 +3 *667:A1 *667:C1 2.53145e-06 +4 *667:A1 *162:13 1.71673e-05 +*RES +1 *619:Y *667:A1 20.9096 +*END + +*D_NET *126 0.0199953 +*CONN +*I *629:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *621:A I *D sky130_fd_sc_hd__inv_2 +*I *627:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *630:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *713:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *622:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *625:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *628:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *714:D I *D sky130_fd_sc_hd__and4_2 +*I *716:A1 I *D sky130_fd_sc_hd__a31o_2 +*I *717:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *626:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *620:X O *D sky130_fd_sc_hd__a2bb2o_2 +*CAP +1 *629:B2 8.14814e-05 +2 *621:A 1.78684e-05 +3 *627:A2 0.000230565 +4 *630:A2 0.000123823 +5 *713:C1 0.000120014 +6 *622:A2 3.0894e-05 +7 *625:A2 0.0002774 +8 *628:A2 0.000259478 +9 *714:D 0 +10 *716:A1 7.98338e-05 +11 *717:C1 0 +12 *626:A2 0.000527748 +13 *620:X 0.000710131 +14 *126:127 0.000300235 +15 *126:87 0.000964329 +16 *126:82 0.00103732 +17 *126:64 0.000351391 +18 *126:61 0.000433131 +19 *126:50 0.000375283 +20 *126:41 0.000280704 +21 *126:21 0.00235395 +22 *126:14 0.00196937 +23 *126:12 0.000157625 +24 *126:11 0.00081288 +25 *621:A *127:7 8.70622e-06 +26 *622:A2 *622:A1 1.67329e-05 +27 *622:A2 *656:B 7.03124e-05 +28 *625:A2 *625:A1 2.02722e-05 +29 *625:A2 *625:B2 0.000145468 +30 *625:A2 *656:B 7.50872e-05 +31 *625:A2 *129:8 0.000163478 +32 *626:A2 *609:A 9.24241e-05 +33 *626:A2 *626:B2 8.53919e-05 +34 *626:A2 *634:A 0.000154145 +35 *626:A2 *634:B 3.90645e-05 +36 *626:A2 *130:8 1.2693e-05 +37 *626:A2 *195:10 0.000122378 +38 *626:A2 *207:21 0.000213676 +39 *626:A2 *212:14 5.17101e-05 +40 *627:A2 *627:A1 5.02589e-05 +41 *627:A2 *127:7 1.19721e-05 +42 *628:A2 *610:A 5.03545e-06 +43 *628:A2 *628:A1 1.67329e-05 +44 *628:A2 *676:A2 1.67329e-05 +45 *628:A2 *198:8 0.000164815 +46 *628:A2 *204:200 0.00013715 +47 *628:A2 *204:211 1.01044e-05 +48 *628:A2 *205:22 1.8706e-05 +49 *628:A2 *210:10 0 +50 *629:B2 *127:25 5.64929e-05 +51 *629:B2 *204:180 0.000200251 +52 *630:A2 *204:98 2.16355e-05 +53 *630:A2 *204:106 4.0752e-05 +54 *630:A2 *218:21 0.000247485 +55 *713:C1 *711:B 2.16355e-05 +56 *713:C1 *712:A2 6.70212e-05 +57 *713:C1 *713:B1 1.47046e-05 +58 *713:C1 *127:57 0.000280264 +59 *713:C1 *196:25 4.18989e-05 +60 *713:C1 *197:7 7.6719e-06 +61 *713:C1 *208:11 0 +62 *713:C1 *208:14 7.50872e-05 +63 *713:C1 *209:10 0 +64 *713:C1 *209:12 4.3116e-06 +65 *126:11 *800:D 5.04829e-06 +66 *126:11 *219:7 4.41269e-05 +67 *126:12 *631:B 1.12605e-05 +68 *126:12 *127:25 1.44467e-05 +69 *126:12 *204:173 3.31882e-05 +70 *126:12 *204:180 2.24484e-05 +71 *126:14 *631:B 6.56985e-05 +72 *126:14 *204:106 8.01837e-05 +73 *126:14 *204:173 8.89094e-05 +74 *126:21 *631:A 5.68225e-06 +75 *126:21 *631:B 2.71542e-05 +76 *126:21 *204:56 0.000108071 +77 *126:21 *204:76 8.90486e-05 +78 *126:21 *204:88 0.000127353 +79 *126:21 *204:98 4.68742e-05 +80 *126:21 *204:106 3.67708e-05 +81 *126:41 *609:A 0.000247246 +82 *126:50 *609:A 1.07248e-05 +83 *126:50 *206:24 6.54001e-05 +84 *126:61 *196:12 1.44611e-05 +85 *126:64 *714:B 0.000266832 +86 *126:64 *204:31 2.0256e-05 +87 *126:64 *204:37 6.73022e-05 +88 *126:64 *204:200 4.56831e-05 +89 *126:82 *714:A 0.000255039 +90 *126:82 *196:12 0.000155626 +91 *126:82 *196:25 0.000159507 +92 *126:82 *208:14 0.000174446 +93 *126:87 *622:A1 2.16355e-05 +94 *126:87 *622:B1 1.41291e-05 +95 *126:87 *712:A2 1.41853e-05 +96 *126:87 *197:7 0.000111708 +97 *126:87 *209:36 0.000731704 +98 *126:127 *127:7 2.65831e-05 +99 *654:B2 *622:A2 1.67329e-05 +100 *709:A *626:A2 2.95757e-05 +101 *709:B *716:A1 0 +102 *709:C *626:A2 0.000370829 +103 *803:D *126:50 3.56217e-05 +104 *814:D *630:A2 5.11839e-05 +105 *816:D *628:A2 0.00012568 +106 *816:RESET_B *628:A2 0 +107 *817:D *629:B2 0 +108 *819:D *625:A2 6.50586e-05 +109 *4:10 *625:A2 0 +110 *39:8 *622:A2 7.3979e-05 +111 *39:8 *625:A2 0.00049247 +112 *90:106 *126:11 0.000328363 +113 *90:112 *126:11 0.000169728 +114 *90:121 *126:11 9.41902e-05 +115 *90:189 *126:50 0 +116 *90:189 *126:61 0 +117 *115:7 *626:A2 6.08467e-05 +118 *115:17 *626:A2 7.50872e-05 +119 *115:17 *126:41 0.000240104 +120 *115:17 *126:50 2.3302e-05 +121 *116:18 *126:50 7.4235e-06 +122 *116:18 *126:61 0 +123 *116:18 *126:82 0 +124 *116:24 *716:A1 1.43983e-05 +125 *116:24 *126:50 3.76125e-05 +126 *117:8 *628:A2 0.000196638 +*RES +1 *620:X *126:11 31.8582 +2 *126:11 *126:12 1.00149 +3 *126:12 *126:14 3.07775 +4 *126:14 *126:21 36.2367 +5 *126:21 *626:A2 36.7524 +6 *126:21 *126:41 4.32351 +7 *126:41 *717:C1 13.7491 +8 *126:41 *126:50 5.47156 +9 *126:50 *716:A1 15.2053 +10 *126:50 *126:61 1.832 +11 *126:61 *126:64 8.55102 +12 *126:64 *714:D 9.24915 +13 *126:64 *628:A2 27.3772 +14 *126:61 *126:82 9.72179 +15 *126:82 *126:87 20.2609 +16 *126:87 *625:A2 24.5748 +17 *126:87 *622:A2 15.3648 +18 *126:82 *713:C1 19.1017 +19 *126:14 *630:A2 18.62 +20 *126:12 *126:127 5.778 +21 *126:127 *627:A2 13.9572 +22 *126:127 *621:A 9.82786 +23 *126:11 *629:B2 17.2421 +*END + +*D_NET *127 0.0161585 +*CONN +*I *627:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *668:B I *D sky130_fd_sc_hd__and4_2 +*I *630:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *629:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *719:C1 I *D sky130_fd_sc_hd__a311o_2 +*I *712:B1 I *D sky130_fd_sc_hd__a21oi_2 +*I *622:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *626:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *625:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *628:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *631:B I *D sky130_fd_sc_hd__or2_2 +*I *621:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *627:B2 0 +2 *668:B 1.14333e-05 +3 *630:B2 0.000135888 +4 *629:A2 0 +5 *719:C1 0 +6 *712:B1 0 +7 *622:B2 3.89048e-05 +8 *626:B2 0.000476758 +9 *625:B2 0.000250895 +10 *628:B2 0 +11 *631:B 0.000474713 +12 *621:Y 6.34824e-05 +13 *127:120 0.000337084 +14 *127:107 0.000386698 +15 *127:63 0.00113772 +16 *127:57 0.00113158 +17 *127:47 0.00109482 +18 *127:31 0.00201906 +19 *127:25 0.00193563 +20 *127:10 0.00064965 +21 *127:7 0.000106567 +22 *622:B2 *209:36 9.66998e-05 +23 *625:B2 *625:A1 1.88157e-05 +24 *625:B2 *625:B1 6.50586e-05 +25 *625:B2 *646:A2 1.21461e-06 +26 *626:B2 *634:A 0.000205101 +27 *626:B2 *634:B 6.29728e-05 +28 *626:B2 *638:A 1.37145e-05 +29 *626:B2 *639:A2_N 0 +30 *626:B2 *639:B1 0.000169093 +31 *626:B2 *639:B2 7.50872e-05 +32 *626:B2 *130:8 0.000190028 +33 *626:B2 *133:15 3.45857e-05 +34 *626:B2 *135:19 0 +35 *626:B2 *162:13 0.000220017 +36 *626:B2 *207:21 0.000100396 +37 *626:B2 *207:41 0 +38 *626:B2 *212:14 2.33193e-05 +39 *626:B2 *212:33 1.6594e-05 +40 *630:B2 *630:A1 9.2346e-06 +41 *630:B2 *668:D 0.00039186 +42 *630:B2 *219:19 0.000108726 +43 *631:B *627:B1 4.19401e-06 +44 *631:B *631:A 0 +45 *668:B *629:A1 6.50727e-05 +46 *668:B *629:B1 1.41976e-05 +47 *668:B *220:9 2.15348e-05 +48 *127:7 *627:A1 1.43594e-05 +49 *127:31 *637:A2 0.000164176 +50 *127:31 *650:B1 9.14669e-05 +51 *127:31 *714:C 0 +52 *127:31 *717:B2 9.16694e-05 +53 *127:31 *718:A 8.98943e-05 +54 *127:31 *131:16 1.92172e-05 +55 *127:31 *198:8 8.37979e-05 +56 *127:31 *205:22 3.45997e-05 +57 *127:47 *610:A 5.40012e-05 +58 *127:47 *719:A1 3.13719e-06 +59 *127:47 *205:22 5.03545e-06 +60 *127:57 *711:B 5.51483e-06 +61 *127:57 *712:A2 5.65165e-05 +62 *127:57 *208:11 6.50586e-05 +63 *127:57 *208:14 0.000207545 +64 *127:57 *209:36 0.00026011 +65 *127:63 *655:A2_N 9.78191e-05 +66 *127:63 *162:13 2.47263e-05 +67 *127:63 *204:16 0.000204372 +68 *127:63 *204:31 1.14086e-05 +69 *127:63 *208:47 2.09356e-05 +70 *127:63 *213:16 0.000113374 +71 *127:63 *213:27 5.77203e-05 +72 *127:107 *629:A1 0.000107041 +73 *127:107 *629:B1 5.61116e-05 +74 *127:107 *817:CLK 3.24105e-05 +75 *127:107 *204:180 1.82832e-05 +76 *127:120 *629:A1 0.000222631 +77 *127:120 *629:B1 0.000234021 +78 *127:120 *668:D 3.20069e-06 +79 *621:A *127:7 8.70622e-06 +80 *625:A2 *625:B2 0.000145468 +81 *626:A2 *626:B2 8.53919e-05 +82 *627:A2 *127:7 1.19721e-05 +83 *629:B2 *127:25 5.64929e-05 +84 *713:C1 *127:57 0.000280264 +85 *719:B1 *127:47 5.36868e-05 +86 *801:RESET_B *127:47 6.27782e-05 +87 *813:RESET_B *631:B 4.90694e-05 +88 *814:RESET_B *630:B2 7.86847e-05 +89 *815:D *127:107 1.87469e-05 +90 *817:D *127:25 2.22923e-05 +91 *819:D *625:B2 5.23916e-05 +92 *819:D *127:63 4.58003e-05 +93 *29:12 *127:120 5.05252e-05 +94 *39:8 *626:B2 4.98193e-05 +95 *90:36 *127:57 3.91205e-05 +96 *90:40 *127:57 8.06687e-06 +97 *90:48 *127:47 9.28161e-05 +98 *90:53 *127:47 8.36586e-06 +99 *90:68 *127:25 0 +100 *90:73 *631:B 3.14978e-05 +101 *90:83 *631:B 6.31839e-05 +102 *90:94 *630:B2 3.67528e-06 +103 *90:106 *630:B2 3.89332e-06 +104 *116:5 *127:47 2.17834e-05 +105 *116:18 *127:57 1.37421e-05 +106 *117:8 *127:31 4.65868e-05 +107 *126:12 *631:B 1.12605e-05 +108 *126:12 *127:25 1.44467e-05 +109 *126:14 *631:B 6.56985e-05 +110 *126:21 *631:B 2.71542e-05 +111 *126:127 *127:7 2.65831e-05 +*RES +1 *621:Y *127:7 11.1059 +2 *127:7 *127:10 5.2328 +3 *127:10 *631:B 24.3606 +4 *127:10 *127:25 7.57775 +5 *127:25 *127:31 32.7194 +6 *127:31 *628:B2 13.7491 +7 *127:31 *127:47 13.2337 +8 *127:47 *127:57 24.9032 +9 *127:57 *127:63 20.8303 +10 *127:63 *625:B2 15.5427 +11 *127:63 *626:B2 34.9528 +12 *127:57 *622:B2 10.5513 +13 *127:57 *712:B1 9.24915 +14 *127:47 *719:C1 9.24915 +15 *127:25 *127:107 13.9802 +16 *127:107 *629:A2 9.24915 +17 *127:107 *127:120 10.9877 +18 *127:120 *630:B2 20.5642 +19 *127:120 *668:B 14.4725 +20 *127:7 *627:B2 9.24915 +*END + +*D_NET *128 0.000918647 +*CONN +*I *655:B1 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *633:B1 I *D sky130_fd_sc_hd__a21o_2 +*I *632:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *655:B1 7.63014e-05 +2 *633:B1 0 +3 *632:Y 3.81239e-05 +4 *128:5 0.000114425 +5 *655:B1 *632:B 5.08751e-05 +6 *655:B1 *655:A2_N 5.70488e-06 +7 *655:B1 *208:47 0.000122992 +8 *655:B1 *208:50 2.16355e-05 +9 *655:B1 *213:27 1.37566e-05 +10 *128:5 *632:B 0.000217923 +11 *128:5 *208:50 8.85759e-05 +12 *39:8 *655:B1 0.000168334 +*RES +1 *632:Y *128:5 11.6364 +2 *128:5 *633:B1 9.24915 +3 *128:5 *655:B1 22.0503 +*END + +*D_NET *129 0.000845438 +*CONN +*I *640:B1 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *640:A1_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *633:X O *D sky130_fd_sc_hd__a21o_2 +*CAP +1 *640:B1 0 +2 *640:A1_N 6.16655e-05 +3 *633:X 0.000108614 +4 *129:8 0.00017028 +5 *640:A1_N *640:A2_N 0.000161956 +6 *640:A1_N *657:A2 0.000116672 +7 *640:A1_N *135:27 6.27718e-05 +8 *625:A2 *129:8 0.000163478 +*RES +1 *633:X *129:8 21.3269 +2 *129:8 *640:A1_N 12.3115 +3 *129:8 *640:B1 9.24915 +*END + +*D_NET *130 0.00177154 +*CONN +*I *639:B1 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *641:B1 I *D sky130_fd_sc_hd__a21oi_2 +*I *634:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *639:B1 5.66371e-05 +2 *641:B1 4.05065e-05 +3 *634:Y 0.000209708 +4 *130:8 0.000306852 +5 *641:B1 *204:56 0.000164815 +6 *641:B1 *212:7 3.64415e-05 +7 *130:8 *626:B1 0 +8 *130:8 *634:B 0.000164815 +9 *626:A2 *130:8 1.2693e-05 +10 *626:B2 *639:B1 0.000169093 +11 *626:B2 *130:8 0.000190028 +12 *39:8 *639:B1 0.000160467 +13 *39:8 *130:8 0.000259486 +*RES +1 *634:Y *130:8 20.3205 +2 *130:8 *641:B1 15.5817 +3 *130:8 *639:B1 16.8269 +*END + +*D_NET *131 0.00207778 +*CONN +*I *637:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *648:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *648:B1 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *650:B1 I *D sky130_fd_sc_hd__a21oi_2 +*I *635:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *637:B1 0.00012645 +2 *648:A1_N 0 +3 *648:B1 1.82631e-05 +4 *650:B1 0.00010518 +5 *635:Y 0 +6 *131:23 0.000140082 +7 *131:16 0.000197449 +8 *131:4 0.000270734 +9 *637:B1 *637:A1 0.000139435 +10 *637:B1 *637:B2 5.50603e-05 +11 *637:B1 *648:B2 5.31074e-05 +12 *637:B1 *132:9 0.000141125 +13 *637:B1 *206:19 2.83665e-05 +14 *637:B1 *206:24 5.56461e-05 +15 *648:B1 *648:B2 0.000175485 +16 *648:B1 *206:24 7.48633e-05 +17 *650:B1 *716:B1 1.65872e-05 +18 *131:16 *637:A1 0 +19 *131:16 *718:A 0 +20 *131:16 *133:11 1.91246e-05 +21 *131:23 *648:B2 0.000106215 +22 *131:23 *206:24 5.07314e-05 +23 *635:A *650:B1 0.000167076 +24 *117:20 *650:B1 2.61147e-05 +25 *127:31 *650:B1 9.14669e-05 +26 *127:31 *131:16 1.92172e-05 +*RES +1 *635:Y *131:4 9.24915 +2 *131:4 *650:B1 13.3002 +3 *131:4 *131:16 12.8011 +4 *131:16 *648:B1 11.0817 +5 *131:16 *131:23 1.278 +6 *131:23 *648:A1_N 9.24915 +7 *131:23 *637:B1 23.5748 +*END + +*D_NET *132 0.00129498 +*CONN +*I *637:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *648:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *648:B2 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *636:X O *D sky130_fd_sc_hd__o2bb2a_2 +*CAP +1 *637:B2 9.92838e-05 +2 *648:A2_N 0 +3 *648:B2 8.08984e-05 +4 *636:X 0 +5 *132:9 0.000218537 +6 *132:5 0.000236923 +7 *637:B2 *211:9 0 +8 *648:B2 *144:18 7.48797e-05 +9 *648:B2 *206:24 1.00981e-05 +10 *132:9 *206:19 4.33655e-05 +11 *132:9 *211:9 0 +12 *637:B1 *637:B2 5.50603e-05 +13 *637:B1 *648:B2 5.31074e-05 +14 *637:B1 *132:9 0.000141125 +15 *648:B1 *648:B2 0.000175485 +16 *131:23 *648:B2 0.000106215 +*RES +1 *636:X *132:5 13.7491 +2 *132:5 *132:9 8.30395 +3 *132:9 *648:B2 13.3002 +4 *132:9 *648:A2_N 9.24915 +5 *132:5 *637:B2 16.4116 +*END + +*D_NET *133 0.00466473 +*CONN +*I *638:A I *D sky130_fd_sc_hd__inv_2 +*I *642:B1 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *642:A1_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *637:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *638:A 0.000101251 +2 *642:B1 0 +3 *642:A1_N 7.69311e-05 +4 *637:X 0.000867486 +5 *133:15 0.000246916 +6 *133:11 0.00113872 +7 *642:A1_N *642:A2_N 7.91636e-05 +8 *642:A1_N *144:18 2.16365e-05 +9 *133:11 *637:A1 4.75721e-06 +10 *133:11 *146:12 0.00127122 +11 *133:15 *639:B2 7.50872e-05 +12 *133:15 *135:19 0 +13 *133:15 *144:18 5.4595e-05 +14 *626:B2 *638:A 1.37145e-05 +15 *626:B2 *133:15 3.45857e-05 +16 *747:A *642:A1_N 0.000271044 +17 *747:A *133:15 0.0002646 +18 *90:199 *133:11 0.000123896 +19 *131:16 *133:11 1.91246e-05 +*RES +1 *637:X *133:11 23.3629 +2 *133:11 *133:15 10.3119 +3 *133:15 *642:A1_N 12.8902 +4 *133:15 *642:B1 9.24915 +5 *133:11 *638:A 15.821 +*END + +*D_NET *134 0.000362384 +*CONN +*I *639:B2 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *638:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *639:B2 7.35686e-05 +2 *638:Y 7.35686e-05 +3 *626:B2 *639:B2 7.50872e-05 +4 *747:A *639:B2 6.50727e-05 +5 *133:15 *639:B2 7.50872e-05 +*RES +1 *638:Y *639:B2 29.6384 +*END + +*D_NET *135 0.00367083 +*CONN +*I *655:B2 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *640:B2 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *640:A2_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *639:X O *D sky130_fd_sc_hd__o2bb2a_2 +*CAP +1 *655:B2 0 +2 *640:B2 0 +3 *640:A2_N 5.50285e-05 +4 *639:X 0.000794954 +5 *135:27 0.000205207 +6 *135:19 0.000945133 +7 *135:19 *151:5 0.00031169 +8 *135:19 *196:9 7.86847e-05 +9 *135:19 *204:16 0.000209388 +10 *135:19 *204:31 7.47963e-05 +11 *135:19 *208:40 8.55629e-05 +12 *135:19 *208:47 1.3808e-05 +13 *135:27 *151:5 6.40219e-05 +14 *135:27 *208:47 1.41976e-05 +15 *626:B2 *135:19 0 +16 *640:A1_N *640:A2_N 0.000161956 +17 *640:A1_N *135:27 6.27718e-05 +18 *747:A *135:19 0.000225011 +19 *801:D *135:19 9.78191e-05 +20 *90:187 *135:19 0.00017617 +21 *90:189 *135:19 9.46327e-05 +22 *133:15 *135:19 0 +*RES +1 *639:X *135:19 41.2098 +2 *135:19 *135:27 4.26804 +3 *135:27 *640:A2_N 11.0817 +4 *135:27 *640:B2 9.24915 +5 *135:19 *655:B2 9.24915 +*END + +*D_NET *136 0.00136829 +*CONN +*I *643:A2 I *D sky130_fd_sc_hd__a22oi_2 +*I *644:B I *D sky130_fd_sc_hd__or2_2 +*I *640:X O *D sky130_fd_sc_hd__a2bb2o_2 +*CAP +1 *643:A2 3.6352e-05 +2 *644:B 0.000145765 +3 *640:X 0.000339352 +4 *136:5 0.000521469 +5 *136:5 *643:B2 9.07054e-05 +6 *136:5 *657:A2 6.46815e-05 +7 *136:5 *659:A2 6.25883e-06 +8 *643:A1 *644:B 5.65148e-05 +9 *643:A1 *136:5 3.82228e-05 +10 *643:B1 *136:5 5.31465e-05 +11 *644:A *644:B 1.58247e-05 +*RES +1 *640:X *136:5 17.737 +2 *136:5 *644:B 22.0503 +3 *136:5 *643:A2 10.2378 +*END + +*D_NET *137 0.000693416 +*CONN +*I *642:B2 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *642:A2_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *641:Y O *D sky130_fd_sc_hd__a21oi_2 +*CAP +1 *642:B2 0 +2 *642:A2_N 4.63669e-05 +3 *641:Y 0.000115929 +4 *137:10 0.000162296 +5 *642:A2_N *144:18 0.000167076 +6 *137:10 *204:56 6.92705e-05 +7 clockp[1] *137:10 1.90218e-05 +8 *642:A1_N *642:A2_N 7.91636e-05 +9 *39:8 *137:10 3.42931e-05 +*RES +1 *641:Y *137:10 20.8045 +2 *137:10 *642:A2_N 11.6605 +3 *137:10 *642:B2 9.24915 +*END + +*D_NET *138 0.0031639 +*CONN +*I *643:B2 I *D sky130_fd_sc_hd__a22oi_2 +*I *646:A2 I *D sky130_fd_sc_hd__o211a_2 +*I *642:X O *D sky130_fd_sc_hd__a2bb2o_2 +*CAP +1 *643:B2 0.000349502 +2 *646:A2 0.000460522 +3 *642:X 0.00024598 +4 *138:6 0.001056 +5 *643:B2 *625:B1 0.000147517 +6 *643:B2 *647:A 0 +7 *643:B2 *657:A2 6.24474e-06 +8 *643:B2 *659:A2 0.000159032 +9 *646:A2 *646:B1 1.09551e-05 +10 *646:A2 *653:A2 2.75108e-05 +11 *646:A2 *659:B2 0.000217951 +12 *646:A2 *142:9 4.33117e-06 +13 *138:6 *625:B1 8.52652e-05 +14 *138:6 *647:A 0 +15 *138:6 *651:A 0 +16 *138:6 *653:B1 0 +17 *619:A *138:6 0.000148982 +18 *625:B2 *646:A2 1.21461e-06 +19 *653:A1 *138:6 3.21112e-05 +20 *4:10 *643:B2 5.99159e-05 +21 *4:10 *138:6 6.01517e-05 +22 *136:5 *643:B2 9.07054e-05 +*RES +1 *642:X *138:6 20.5642 +2 *138:6 *646:A2 21.4171 +3 *138:6 *643:B2 24.0875 +*END + +*D_NET *139 0.000836571 +*CONN +*I *659:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *646:C1 I *D sky130_fd_sc_hd__o211a_2 +*I *643:Y O *D sky130_fd_sc_hd__a22oi_2 +*CAP +1 *659:A1 0 +2 *646:C1 0.000152227 +3 *643:Y 0.000111866 +4 *139:6 0.000264093 +5 *646:C1 *646:B1 5.95349e-05 +6 *646:C1 *653:A2 3.31733e-05 +7 *646:C1 *653:B1 5.22654e-06 +8 *646:C1 *659:A2 5.03545e-06 +9 *646:C1 *659:B2 5.63629e-05 +10 *139:6 *646:B1 6.57892e-05 +11 *139:6 *659:A2 4.77444e-05 +12 *139:6 *140:8 3.55179e-05 +13 *646:A1 *646:C1 0 +*RES +1 *643:Y *139:6 17.2421 +2 *139:6 *646:C1 18.5612 +3 *139:6 *659:A1 13.7491 +*END + +*D_NET *140 0.00236522 +*CONN +*I *646:B1 I *D sky130_fd_sc_hd__o211a_2 +*I *645:A I *D sky130_fd_sc_hd__inv_2 +*I *644:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *646:B1 0.000322717 +2 *645:A 0 +3 *644:X 0.000428762 +4 *140:8 0.00075148 +5 *646:B1 *647:A 0.000236427 +6 *646:B1 *653:A2 5.99691e-05 +7 *646:B1 *653:B1 9.46343e-05 +8 *646:B1 *659:B2 1.19721e-05 +9 *646:B1 *142:9 0.000268812 +10 *140:8 *657:A1 1.41976e-05 +11 *140:8 *657:A2 0 +12 *140:8 *657:B1_N 4.45375e-06 +13 *140:8 *154:10 0 +14 *646:A2 *646:B1 1.09551e-05 +15 *646:C1 *646:B1 5.95349e-05 +16 *4:10 *140:8 0 +17 *139:6 *646:B1 6.57892e-05 +18 *139:6 *140:8 3.55179e-05 +*RES +1 *644:X *140:8 21.0173 +2 *140:8 *645:A 13.7491 +3 *140:8 *646:B1 25.2327 +*END + +*D_NET *141 0.000697681 +*CONN +*I *659:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *645:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *659:A2 0.000239805 +2 *645:Y 0.000239805 +3 *643:B1 *659:A2 0 +4 *643:B2 *659:A2 0.000159032 +5 *646:C1 *659:A2 5.03545e-06 +6 *136:5 *659:A2 6.25883e-06 +7 *139:6 *659:A2 4.77444e-05 +*RES +1 *645:Y *659:A2 32.1327 +*END + +*D_NET *142 0.00227449 +*CONN +*I *647:A I *D sky130_fd_sc_hd__inv_2 +*I *667:C1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *646:X O *D sky130_fd_sc_hd__o211a_2 +*CAP +1 *647:A 0.000136007 +2 *667:C1 0.00019139 +3 *646:X 0.000413487 +4 *142:9 0.000740883 +5 *647:A *653:B1 4.57241e-06 +6 *667:C1 *653:B1 2.44976e-05 +7 *667:C1 *667:A2 6.50727e-05 +8 *667:C1 *667:D1 1.03434e-05 +9 *667:C1 *162:13 2.20556e-05 +10 *142:9 *653:A2 6.12085e-06 +11 *643:B2 *647:A 0 +12 *646:A1 *142:9 3.12353e-05 +13 *646:A2 *142:9 4.33117e-06 +14 *646:B1 *647:A 0.000236427 +15 *646:B1 *142:9 0.000268812 +16 *653:A1 *647:A 5.92342e-05 +17 *653:A1 *667:C1 5.74949e-05 +18 *667:A1 *667:C1 2.53145e-06 +19 *138:6 *647:A 0 +*RES +1 *646:X *142:9 20.5973 +2 *142:9 *667:C1 18.7105 +3 *142:9 *647:A 18.4879 +*END + +*D_NET *143 0.00085682 +*CONN +*I *659:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *647:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *659:B1 0.000372549 +2 *647:Y 0.000372549 +3 *659:B1 *155:20 0.000111722 +*RES +1 *647:Y *659:B1 24.6773 +*END + +*D_NET *144 0.00635855 +*CONN +*I *653:A2 I *D sky130_fd_sc_hd__a21oi_2 +*I *649:B I *D sky130_fd_sc_hd__nand2_2 +*I *652:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *648:Y O *D sky130_fd_sc_hd__o2bb2ai_2 +*CAP +1 *653:A2 0.000264103 +2 *649:B 6.41674e-05 +3 *652:A2 0 +4 *648:Y 0.00191662 +5 *144:25 0.000490193 +6 *144:18 0.00207854 +7 *649:B *667:D1 4.24529e-05 +8 *649:B *162:13 5.04829e-06 +9 *653:A2 *653:B1 9.99607e-05 +10 *653:A2 *659:B2 0.000164829 +11 *653:A2 *667:D1 0 +12 *653:A2 *148:6 1.2601e-05 +13 *144:18 *639:A1_N 9.68043e-05 +14 *144:18 *651:A 5.56461e-05 +15 *144:18 *652:B2 3.80872e-05 +16 *144:18 *717:B1 2.14552e-05 +17 *144:18 *717:B2 0.000217937 +18 *144:18 *206:24 1.04187e-05 +19 *144:25 *651:A 0 +20 *144:25 *652:C1 0 +21 *144:25 *148:6 0 +22 *619:A *144:18 3.91096e-05 +23 *642:A1_N *144:18 2.16365e-05 +24 *642:A2_N *144:18 0.000167076 +25 *646:A1 *653:A2 0 +26 *646:A2 *653:A2 2.75108e-05 +27 *646:B1 *653:A2 5.99691e-05 +28 *646:C1 *653:A2 3.31733e-05 +29 *648:B2 *144:18 7.48797e-05 +30 *652:A1 *653:A2 0 +31 *652:A1 *144:18 0.00011818 +32 *652:A1 *144:25 0 +33 *717:A1 *144:18 1.08013e-05 +34 *747:A *144:18 3.74201e-05 +35 *6:11 *144:18 1.1049e-05 +36 *7:8 *653:A2 0 +37 *114:5 *144:18 0.000118166 +38 *133:15 *144:18 5.4595e-05 +39 *142:9 *653:A2 6.12085e-06 +*RES +1 *648:Y *144:18 45.8326 +2 *144:18 *652:A2 13.7491 +3 *144:18 *144:25 3.493 +4 *144:25 *649:B 15.6059 +5 *144:25 *653:A2 21.0547 +*END + +*D_NET *145 0.000419513 +*CONN +*I *652:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *649:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *652:C1 0.000121384 +2 *649:Y 0.000121384 +3 *652:C1 *667:D1 9.19886e-06 +4 *652:A1 *652:C1 0.000156823 +5 *6:11 *652:C1 1.07248e-05 +6 *144:25 *652:C1 0 +*RES +1 *649:Y *652:C1 30.8842 +*END + +*D_NET *146 0.00457448 +*CONN +*I *667:A2 I *D sky130_fd_sc_hd__o2111ai_2 +*I *651:A I *D sky130_fd_sc_hd__inv_2 +*I *650:Y O *D sky130_fd_sc_hd__a21oi_2 +*CAP +1 *667:A2 1.59645e-05 +2 *651:A 0.000163947 +3 *650:Y 0.00115582 +4 *146:12 0.00133573 +5 *651:A *652:B2 6.50727e-05 +6 *651:A *653:B1 1.07248e-05 +7 *651:A *148:6 0.000172676 +8 *667:A2 *162:13 1.43983e-05 +9 *146:12 *653:B1 1.19737e-05 +10 *146:12 *717:B2 3.63593e-05 +11 *146:12 *204:44 2.57465e-06 +12 *619:A *651:A 1.41291e-05 +13 *653:A1 *146:12 0.000155429 +14 *667:C1 *667:A2 6.50727e-05 +15 *717:A2 *146:12 2.77419e-05 +16 *747:A *651:A 0 +17 *133:11 *146:12 0.00127122 +18 *138:6 *651:A 0 +19 *144:18 *651:A 5.56461e-05 +20 *144:25 *651:A 0 +*RES +1 *650:Y *146:12 24.6922 +2 *146:12 *651:A 18.9354 +3 *146:12 *667:A2 14.4725 +*END + +*D_NET *147 0.000470575 +*CONN +*I *652:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *651:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *652:B2 6.69337e-05 +2 *651:Y 6.69337e-05 +3 *619:A *652:B2 0.000161298 +4 *651:A *652:B2 6.50727e-05 +5 *652:A1 *652:B2 7.22498e-05 +6 *144:18 *652:B2 3.80872e-05 +*RES +1 *651:Y *652:B2 22.5493 +*END + +*D_NET *148 0.000861914 +*CONN +*I *653:B1 I *D sky130_fd_sc_hd__a21oi_2 +*I *667:B1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *652:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *653:B1 0.000122199 +2 *667:B1 0 +3 *652:X 9.03245e-05 +4 *148:6 0.000212524 +5 *646:B1 *653:B1 9.46343e-05 +6 *646:C1 *653:B1 5.22654e-06 +7 *647:A *653:B1 4.57241e-06 +8 *651:A *653:B1 1.07248e-05 +9 *651:A *148:6 0.000172676 +10 *653:A2 *653:B1 9.99607e-05 +11 *653:A2 *148:6 1.2601e-05 +12 *667:C1 *653:B1 2.44976e-05 +13 *138:6 *653:B1 0 +14 *144:25 *148:6 0 +15 *146:12 *653:B1 1.19737e-05 +*RES +1 *652:X *148:6 16.8269 +2 *148:6 *667:B1 13.7491 +3 *148:6 *653:B1 17.5503 +*END + +*D_NET *149 0.000774186 +*CONN +*I *659:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *653:Y O *D sky130_fd_sc_hd__a21oi_2 +*CAP +1 *659:B2 0.000137528 +2 *653:Y 0.000137528 +3 *646:A1 *659:B2 4.80148e-05 +4 *646:A2 *659:B2 0.000217951 +5 *646:B1 *659:B2 1.19721e-05 +6 *646:C1 *659:B2 5.63629e-05 +7 *653:A2 *659:B2 0.000164829 +*RES +1 *653:Y *659:B2 32.548 +*END + +*D_NET *150 0.00146773 +*CONN +*I *656:A I *D sky130_fd_sc_hd__or2_2 +*I *657:A1 I *D sky130_fd_sc_hd__a21bo_2 +*I *654:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *656:A 0.000209804 +2 *657:A1 8.11653e-05 +3 *654:X 0 +4 *150:4 0.000290969 +5 *656:A *654:A2 0.000267458 +6 *656:A *656:B 7.77309e-06 +7 *656:A *657:A2 6.50586e-05 +8 *656:A *214:8 0.000161452 +9 *657:A1 *654:A2 0 +10 *657:A1 *657:A2 0.000122378 +11 *820:D *656:A 0.000247474 +12 *140:8 *657:A1 1.41976e-05 +*RES +1 *654:X *150:4 9.24915 +2 *150:4 *657:A1 11.6605 +3 *150:4 *656:A 25.7932 +*END + +*D_NET *151 0.00304746 +*CONN +*I *656:B I *D sky130_fd_sc_hd__or2_2 +*I *657:A2 I *D sky130_fd_sc_hd__a21bo_2 +*I *655:X O *D sky130_fd_sc_hd__o2bb2a_2 +*CAP +1 *656:B 0.000145007 +2 *657:A2 0.000442199 +3 *655:X 0.000346155 +4 *151:5 0.000933361 +5 *656:B *214:8 0.000161452 +6 *622:A2 *656:B 7.03124e-05 +7 *625:A2 *656:B 7.50872e-05 +8 *640:A1_N *657:A2 0.000116672 +9 *643:B2 *657:A2 6.24474e-06 +10 *654:B2 *656:B 9.96342e-05 +11 *656:A *656:B 7.77309e-06 +12 *656:A *657:A2 6.50586e-05 +13 *657:A1 *657:A2 0.000122378 +14 *820:D *656:B 4.3116e-06 +15 *4:10 *656:B 0 +16 *4:10 *657:A2 0 +17 *39:8 *656:B 1.14175e-05 +18 *135:19 *151:5 0.00031169 +19 *135:27 *151:5 6.40219e-05 +20 *136:5 *657:A2 6.46815e-05 +21 *140:8 *657:A2 0 +*RES +1 *655:X *151:5 14.964 +2 *151:5 *657:A2 26.9346 +3 *151:5 *656:B 23.4032 +*END + +*D_NET *152 0.00127321 +*CONN +*I *660:C1 I *D sky130_fd_sc_hd__o221ai_2 +*I *657:B1_N I *D sky130_fd_sc_hd__a21bo_2 +*I *656:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *660:C1 0 +2 *657:B1_N 0.000130226 +3 *656:X 0.000199281 +4 *152:9 0.000329507 +5 *657:B1_N *654:A1 0 +6 *657:B1_N *153:8 7.50722e-05 +7 *657:B1_N *154:10 0 +8 *152:9 *654:A1 1.58551e-05 +9 *152:9 *658:B 0 +10 *152:9 *660:B2 0.000124112 +11 *152:9 *156:12 0.000211464 +12 *660:A2 *152:9 6.50586e-05 +13 *660:B1 *152:9 0.00011818 +14 *10:10 *657:B1_N 0 +15 *140:8 *657:B1_N 4.45375e-06 +*RES +1 *656:X *152:9 16.8207 +2 *152:9 *657:B1_N 21.5017 +3 *152:9 *660:C1 9.24915 +*END + +*D_NET *153 0.000839957 +*CONN +*I *660:B2 I *D sky130_fd_sc_hd__o221ai_2 +*I *658:B I *D sky130_fd_sc_hd__nand2_2 +*I *657:X O *D sky130_fd_sc_hd__a21bo_2 +*CAP +1 *660:B2 0.000229873 +2 *658:B 3.40108e-05 +3 *657:X 4.20469e-05 +4 *153:8 0.00030593 +5 *658:B *154:10 0 +6 *153:8 *154:10 1.79672e-05 +7 *657:B1_N *153:8 7.50722e-05 +8 *660:B1 *658:B 3.01683e-06 +9 *660:B1 *660:B2 7.92757e-06 +10 *152:9 *658:B 0 +11 *152:9 *660:B2 0.000124112 +*RES +1 *657:X *153:8 19.6659 +2 *153:8 *658:B 10.2378 +3 *153:8 *660:B2 13.7342 +*END + +*D_NET *154 0.00221138 +*CONN +*I *659:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *667:D1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *658:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *659:C1 4.17153e-05 +2 *667:D1 0.000315968 +3 *658:Y 0.0003754 +4 *154:10 0.000733084 +5 *643:A1 *154:10 0.000180427 +6 *643:B1 *154:10 0.000147851 +7 *644:A *154:10 4.51176e-05 +8 *646:A1 *667:D1 0.000228741 +9 *646:A1 *154:10 1.66771e-05 +10 *649:B *667:D1 4.24529e-05 +11 *652:C1 *667:D1 9.19886e-06 +12 *653:A2 *667:D1 0 +13 *657:B1_N *154:10 0 +14 *658:B *154:10 0 +15 *660:B1 *154:10 2.41274e-06 +16 *667:C1 *667:D1 1.03434e-05 +17 *7:8 *667:D1 4.40272e-05 +18 *140:8 *154:10 0 +19 *153:8 *154:10 1.79672e-05 +*RES +1 *658:Y *154:10 23.9425 +2 *154:10 *667:D1 22.5602 +3 *154:10 *659:C1 14.4725 +*END + +*D_NET *155 0.00453864 +*CONN +*I *661:A I *D sky130_fd_sc_hd__or2_2 +*I *659:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *661:A 0 +2 *659:X 0.00131871 +3 *155:20 0.00131871 +4 *155:20 *628:A1 0.000362216 +5 *155:20 *632:B 0.000423908 +6 *155:20 *676:A2 0.000281993 +7 *155:20 *804:CLK 9.29919e-05 +8 *155:20 *162:13 0.000618277 +9 *155:20 *208:50 1.01177e-05 +10 *659:B1 *155:20 0.000111722 +*RES +1 *659:X *155:20 47.7964 +2 *155:20 *661:A 13.7491 +*END + +*D_NET *156 0.00612613 +*CONN +*I *676:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *661:B I *D sky130_fd_sc_hd__or2_2 +*I *660:Y O *D sky130_fd_sc_hd__o221ai_2 +*CAP +1 *676:A1 0.000954372 +2 *661:B 0 +3 *660:Y 0.00136378 +4 *156:14 0.000954372 +5 *156:12 0.00136378 +6 *676:A1 *676:A2 8.46096e-05 +7 *676:A1 *677:B1 6.27782e-05 +8 *676:A1 *157:8 0.000141179 +9 *676:A1 *226:7 6.08467e-05 +10 *156:12 *654:A1 3.68284e-05 +11 *156:12 *804:CLK 4.33137e-05 +12 *156:12 *805:CLK 1.29759e-05 +13 *156:12 *157:12 0 +14 *156:12 *157:16 0 +15 *156:12 *170:29 9.34396e-06 +16 *156:12 *209:10 8.5044e-05 +17 *654:B2 *156:12 0.000353686 +18 *804:RESET_B *156:12 0 +19 *90:53 *676:A1 7.70513e-05 +20 *90:65 *676:A1 0.000310718 +21 *152:9 *156:12 0.000211464 +*RES +1 *660:Y *156:12 48.5167 +2 *156:12 *156:14 4.5 +3 *156:14 *661:B 9.24915 +4 *156:14 *676:A1 38.7983 +*END + +*D_NET *157 0.0121472 +*CONN +*I *680:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *679:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *676:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *702:A2 I *D sky130_fd_sc_hd__o211a_2 +*I *682:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *683:B1 I *D sky130_fd_sc_hd__o32a_2 +*I *687:B1 I *D sky130_fd_sc_hd__o32a_2 +*I *662:A I *D sky130_fd_sc_hd__inv_2 +*I *689:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *694:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *684:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *685:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *661:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *680:A2 0.000307572 +2 *679:B2 0.000134939 +3 *676:B1 0 +4 *702:A2 0.000147499 +5 *682:B2 2.06923e-05 +6 *683:B1 0.000102643 +7 *687:B1 0 +8 *662:A 0 +9 *689:B2 7.71914e-05 +10 *694:A2 0.00019195 +11 *684:B2 0.000130791 +12 *685:B2 5.59118e-05 +13 *661:X 0.000273085 +14 *157:130 0.000379225 +15 *157:123 0.000742438 +16 *157:100 0.00024679 +17 *157:88 0.000312152 +18 *157:78 0.00051302 +19 *157:70 0.000859483 +20 *157:59 0.000286792 +21 *157:19 0.000300783 +22 *157:16 0.000586537 +23 *157:12 0.000531098 +24 *157:8 0.000446485 +25 *679:B2 *676:A2 3.2596e-06 +26 *679:B2 *676:C1 4.19956e-05 +27 *679:B2 *679:A2 0 +28 *682:B2 *702:B1 1.03434e-05 +29 *683:B1 *173:20 2.16355e-05 +30 *683:B1 *221:31 0.000165432 +31 *684:B2 *687:B2 2.94581e-05 +32 *684:B2 *224:10 0 +33 *685:B2 *685:B1 1.55025e-05 +34 *685:B2 *687:A2 2.29454e-05 +35 *685:B2 *687:B2 2.91008e-06 +36 *685:B2 *696:C1 0 +37 *689:B2 *688:A 6.64392e-05 +38 *689:B2 *689:A2 6.3657e-05 +39 *689:B2 *158:35 3.09374e-06 +40 *694:A2 *689:A1 0.000308648 +41 *694:A2 *186:10 4.31539e-05 +42 *694:A2 *224:10 0.00031579 +43 *694:A2 *322:7 4.31539e-05 +44 *702:A2 *702:B1 0.000143529 +45 *702:A2 *703:A2_N 0 +46 *157:8 *804:CLK 0 +47 *157:8 *158:9 9.35979e-05 +48 *157:8 *158:35 2.39287e-05 +49 *157:16 *689:A1 0.000409212 +50 *157:16 *158:35 0 +51 *157:16 *158:44 0 +52 *157:16 *170:29 0 +53 *157:16 *224:6 4.87343e-05 +54 *157:16 *224:10 5.41227e-05 +55 *157:19 *685:B1 6.47059e-05 +56 *157:19 *696:C1 6.08467e-05 +57 *157:19 *178:5 7.6719e-06 +58 *157:70 *692:B1 6.50586e-05 +59 *157:78 *682:A2 0.000113968 +60 *157:78 *687:A2 3.75603e-05 +61 *157:78 *687:B2 1.92172e-05 +62 *157:78 *698:A 1.61631e-05 +63 *157:78 *704:A 0 +64 *157:78 *158:50 0.000101133 +65 *157:78 *170:10 0 +66 *157:78 *170:22 0 +67 *157:78 *171:34 0.000352008 +68 *157:78 *180:10 0.000140125 +69 *157:88 *682:A2 0.000250618 +70 *157:88 *687:B2 4.80635e-06 +71 *157:88 *170:75 2.41274e-06 +72 *157:100 *170:75 8.90311e-06 +73 *157:123 *692:B1 0.000111722 +74 *157:123 *170:61 3.74738e-05 +75 *157:130 *676:C1 2.59855e-05 +76 *157:130 *677:A3 7.17398e-06 +77 *157:130 *677:B1 4.29164e-05 +78 *157:130 *170:61 7.50872e-05 +79 *157:130 *221:12 0 +80 *666:A *680:A2 1.65872e-05 +81 *666:C *683:B1 0.000167579 +82 *666:C *157:123 0.000160617 +83 *676:A1 *157:8 0.000141179 +84 *679:B1 *679:B2 4.30017e-06 +85 *682:B1 *702:A2 4.78515e-06 +86 *682:B1 *157:100 2.85139e-05 +87 *684:B1 *684:B2 4.94e-06 +88 *812:D *157:70 2.89547e-05 +89 *812:D *157:123 0.000309765 +90 *29:12 *157:123 3.42931e-05 +91 *29:12 *157:130 5.4043e-05 +92 *90:65 *157:8 2.54369e-05 +93 *118:110 *157:78 0 +94 *118:110 *157:88 7.65728e-05 +95 *118:110 *157:100 0.000111722 +96 *118:122 *157:70 0.00029651 +97 *118:122 *157:123 0.000248423 +98 *122:19 *702:A2 1.31105e-05 +99 *123:9 *680:A2 0.000134732 +100 *124:18 *157:123 4.7951e-05 +101 *124:28 *680:A2 0.000113968 +102 *156:12 *157:12 0 +103 *156:12 *157:16 0 +*RES +1 *661:X *157:8 21.4325 +2 *157:8 *157:12 4.16474 +3 *157:12 *157:16 9.14776 +4 *157:16 *157:19 7.44181 +5 *157:19 *685:B2 11.13 +6 *157:19 *684:B2 21.3269 +7 *157:16 *694:A2 21.0117 +8 *157:12 *689:B2 16.1458 +9 *157:8 *157:59 4.5 +10 *157:59 *662:A 9.24915 +11 *157:59 *157:70 8.15026 +12 *157:70 *157:78 20.7179 +13 *157:78 *687:B1 9.24915 +14 *157:78 *157:88 4.65385 +15 *157:88 *683:B1 22.0503 +16 *157:88 *157:100 2.38721 +17 *157:100 *682:B2 10.2378 +18 *157:100 *702:A2 13.1796 +19 *157:70 *157:123 13.3489 +20 *157:123 *157:130 10.9769 +21 *157:130 *676:B1 9.24915 +22 *157:130 *679:B2 12.9566 +23 *157:123 *680:A2 18.9094 +*END + +*D_NET *158 0.00942393 +*CONN +*I *685:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *701:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *684:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *682:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *689:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *679:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *677:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *662:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *685:A2 0.000143708 +2 *701:A1 6.84547e-05 +3 *684:A2 0.000214338 +4 *682:A2 0.00015548 +5 *689:A2 3.03627e-05 +6 *679:A2 0.000324292 +7 *677:A2 2.12792e-05 +8 *662:Y 0 +9 *158:83 0.000119184 +10 *158:82 0.00041098 +11 *158:65 0.000690823 +12 *158:50 0.000711197 +13 *158:44 0.000737209 +14 *158:35 0.00041992 +15 *158:9 0.000671874 +16 *158:5 0.000561841 +17 *679:A2 *617:A 6.95568e-05 +18 *679:A2 *707:A2 0.00011475 +19 *679:A2 *170:58 7.20028e-05 +20 *679:A2 *227:11 6.63851e-05 +21 *679:A2 *227:21 1.41336e-05 +22 *684:A2 *683:A3 0 +23 *684:A2 *693:A 2.65667e-05 +24 *684:A2 *693:B 3.43562e-05 +25 *684:A2 *699:A3 0.000124902 +26 *684:A2 *176:5 2.15348e-05 +27 *684:A2 *177:7 6.08467e-05 +28 *684:A2 *185:11 1.41291e-05 +29 *685:A2 *687:A2 2.65831e-05 +30 *685:A2 *687:B2 1.61631e-05 +31 *685:A2 *698:A 2.61377e-05 +32 *685:A2 *224:10 0 +33 *689:A2 *688:A 3.89073e-05 +34 *689:A2 *691:A1 0.000216458 +35 *701:A1 *616:A 6.92705e-05 +36 *701:A1 *701:S 6.50727e-05 +37 *701:A1 *173:20 0.000258508 +38 *701:A1 *221:31 8.76674e-06 +39 *158:9 *170:5 6.0497e-05 +40 *158:9 *170:10 0.000111658 +41 *158:9 *170:58 5.8493e-05 +42 *158:35 *691:B2 1.39717e-06 +43 *158:44 *690:A 0.000132607 +44 *158:44 *691:B2 8.12426e-06 +45 *158:44 *698:A 1.13359e-05 +46 *158:50 *690:A 2.16355e-05 +47 *158:50 *704:A 1.43055e-05 +48 *158:50 *705:B2 2.41274e-06 +49 *158:50 *171:11 4.81849e-05 +50 *158:50 *171:27 3.09719e-05 +51 *158:65 *176:16 0.000122098 +52 *158:82 *704:A 0.000167076 +53 *158:82 *173:20 0.000184609 +54 *158:82 *176:5 2.20702e-05 +55 *679:B1 *679:A2 0.000127707 +56 *679:B2 *679:A2 0 +57 *685:A1 *684:A2 8.62625e-06 +58 *685:A1 *685:A2 2.81678e-06 +59 *689:B1 *689:A2 6.36477e-05 +60 *689:B1 *158:35 5.94075e-05 +61 *689:B2 *689:A2 6.3657e-05 +62 *689:B2 *158:35 3.09374e-06 +63 *759:A *158:9 9.50664e-05 +64 *773:A0 *701:A1 8.14875e-05 +65 *29:12 *679:A2 3.3556e-05 +66 *41:29 *682:A2 9.40212e-05 +67 *41:29 *158:50 1.44467e-05 +68 *41:29 *158:65 6.74811e-05 +69 *90:65 *158:9 9.47414e-05 +70 *119:8 *684:A2 5.41377e-05 +71 *123:18 *679:A2 3.20264e-05 +72 *124:7 *158:9 2.20471e-05 +73 *124:50 *679:A2 0.000175247 +74 *124:50 *158:9 0.000124019 +75 *157:8 *158:9 9.35979e-05 +76 *157:8 *158:35 2.39287e-05 +77 *157:16 *158:35 0 +78 *157:16 *158:44 0 +79 *157:78 *682:A2 0.000113968 +80 *157:78 *158:50 0.000101133 +81 *157:88 *682:A2 0.000250618 +*RES +1 *662:Y *158:5 13.7491 +2 *158:5 *158:9 16.3443 +3 *158:9 *677:A2 9.82786 +4 *158:9 *679:A2 29.9279 +5 *158:5 *158:35 6.03337 +6 *158:35 *689:A2 16.1364 +7 *158:35 *158:44 4.32351 +8 *158:44 *158:50 16.5468 +9 *158:50 *682:A2 18.7888 +10 *158:50 *158:65 7.57775 +11 *158:65 *684:A2 24.2903 +12 *158:65 *158:82 14.964 +13 *158:82 *158:83 57.9449 +14 *158:83 *701:A1 21.9947 +15 *158:44 *685:A2 17.135 +*END + +*D_NET *159 0.000792673 +*CONN +*I *664:A I *D sky130_fd_sc_hd__inv_2 +*I *665:C I *D sky130_fd_sc_hd__or3_2 +*I *663:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *664:A 9.34019e-05 +2 *665:C 0.000150123 +3 *663:X 0 +4 *159:4 0.000243525 +5 *664:A *733:A3 7.34948e-06 +6 *664:A *160:13 5.73392e-05 +7 *665:C *733:A3 6.63455e-05 +8 *663:A *664:A 7.94868e-05 +9 *665:A *665:C 2.65831e-05 +10 *55:7 *664:A 7.6719e-06 +11 *122:33 *664:A 6.08467e-05 +*RES +1 *663:X *159:4 9.24915 +2 *159:4 *665:C 13.3243 +3 *159:4 *664:A 12.7697 +*END + +*D_NET *160 0.0093372 +*CONN +*I *730:A I *D sky130_fd_sc_hd__or2_2 +*I *725:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *729:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *681:A I *D sky130_fd_sc_hd__nor2_2 +*I *746:A1 I *D sky130_fd_sc_hd__o311a_2 +*I *664:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *730:A 0 +2 *725:A3 0.000164185 +3 *729:A4 0.000138164 +4 *681:A 0.000201201 +5 *746:A1 0.000115396 +6 *664:Y 0.00017272 +7 *160:53 0.00103284 +8 *160:43 0.00111788 +9 *160:14 0.000506271 +10 *160:13 0.000749777 +11 *681:A *612:A 0 +12 *681:A *165:14 0.000158055 +13 *725:A3 *877:A 0.000357884 +14 *729:A4 *723:A2 0 +15 *729:A4 *223:52 5.1573e-05 +16 *729:A4 *225:124 5.92192e-05 +17 *160:13 *744:B 6.08467e-05 +18 *160:13 *166:49 9.19946e-05 +19 *160:14 *733:A3 8.62625e-06 +20 *160:14 *746:B1 1.91391e-05 +21 *160:43 *733:A3 0.000104747 +22 *160:43 *738:A1 0 +23 *160:43 *740:B1 2.36251e-05 +24 *160:43 *222:45 0.000108405 +25 *160:43 *222:77 0.000228929 +26 *160:43 *243:16 0.000412674 +27 *160:53 *723:A3 0.000160617 +28 *160:53 *743:B 6.65668e-05 +29 *160:53 *243:16 0.000175431 +30 *663:A *160:13 3.07848e-05 +31 *664:A *160:13 5.73392e-05 +32 *665:B *160:14 0 +33 *730:B *160:53 0.000107954 +34 *731:B1 *729:A4 1.07248e-05 +35 *774:A0 *160:43 0.000111722 +36 *774:A0 *160:53 6.08467e-05 +37 *774:A1 *160:53 5.51483e-06 +38 *774:S *160:53 0.000107496 +39 *776:A0 *725:A3 0.000217587 +40 *776:A0 *729:A4 7.50722e-05 +41 *778:A0 *725:A3 2.82537e-05 +42 *778:A0 *160:53 6.50727e-05 +43 *781:A0 *160:43 0 +44 *797:A0 *681:A 0 +45 *797:A1 *681:A 6.73022e-05 +46 *5:63 *725:A3 7.68538e-06 +47 *5:72 *725:A3 0.000164815 +48 *40:12 *160:13 9.70911e-05 +49 *41:14 *681:A 0.00010239 +50 *43:8 *725:A3 0.00027839 +51 *43:8 *729:A4 0.000163997 +52 *43:10 *729:A4 0.000148129 +53 *44:22 *160:53 1.68716e-05 +54 *44:43 *725:A3 0.000107496 +55 *44:51 *725:A3 6.50586e-05 +56 *55:7 *160:13 0.000207266 +57 *65:10 *160:43 0.000139435 +58 *118:18 *681:A 2.95784e-06 +59 *118:18 *160:14 6.60079e-05 +60 *118:18 *160:43 0.000180646 +61 *118:63 *160:43 0.000202381 +62 *120:11 *681:A 0 +63 *120:11 *160:14 0 +64 *120:33 *160:14 0 +65 *122:33 *160:13 0.000154145 +*RES +1 *664:Y *160:13 28.801 +2 *160:13 *160:14 3.90826 +3 *160:14 *746:A1 15.6056 +4 *160:14 *681:A 19.6535 +5 *160:13 *160:43 22.1109 +6 *160:43 *160:53 25.7918 +7 *160:53 *729:A4 19.3184 +8 *160:53 *725:A3 22.9542 +9 *160:43 *730:A 9.24915 +*END + +*D_NET *161 0.000382869 +*CONN +*I *677:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *666:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *677:A3 9.25459e-05 +2 *666:X 9.25459e-05 +3 *677:A3 *170:61 2.652e-05 +4 *41:29 *677:A3 9.90103e-05 +5 *124:18 *677:A3 6.50727e-05 +6 *157:130 *677:A3 7.17398e-06 +*RES +1 *666:X *677:A3 30.0537 +*END + +*D_NET *162 0.00878754 +*CONN +*I *676:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *667:Y O *D sky130_fd_sc_hd__o2111ai_2 +*CAP +1 *676:A2 0.00137837 +2 *667:Y 0.00160238 +3 *162:13 0.00298075 +4 *676:A2 *628:A1 0.000157962 +5 *676:A2 *677:B1 7.25324e-06 +6 *676:A2 *806:CLK 0.000224395 +7 *676:A2 *205:5 6.50586e-05 +8 *676:A2 *205:22 0.000266846 +9 *162:13 *213:16 2.652e-05 +10 *626:B2 *162:13 0.000220017 +11 *628:A2 *676:A2 1.67329e-05 +12 *649:B *162:13 5.04829e-06 +13 *667:A1 *162:13 1.71673e-05 +14 *667:A2 *162:13 1.43983e-05 +15 *667:C1 *162:13 2.20556e-05 +16 *676:A1 *676:A2 8.46096e-05 +17 *679:B2 *676:A2 3.2596e-06 +18 *816:RESET_B *676:A2 6.50727e-05 +19 *39:8 *162:13 0.000380499 +20 *117:8 *676:A2 0.000324151 +21 *127:63 *162:13 2.47263e-05 +22 *155:20 *676:A2 0.000281993 +23 *155:20 *162:13 0.000618277 +*RES +1 *667:Y *162:13 47.61 +2 *162:13 *676:A2 39.8247 +*END + +*D_NET *163 0.00134403 +*CONN +*I *676:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *668:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *676:C1 0.000319481 +2 *668:X 0.000319481 +3 *676:C1 *629:B1 0.000111708 +4 *676:C1 *676:B2 3.58321e-05 +5 *676:C1 *815:CLK 0 +6 *679:B1 *676:C1 6.04498e-06 +7 *679:B2 *676:C1 4.19956e-05 +8 *815:D *676:C1 0.000101133 +9 *29:12 *676:C1 0.000382369 +10 *41:29 *676:C1 0 +11 *157:130 *676:C1 2.59855e-05 +*RES +1 *668:X *676:C1 37.3275 +*END + +*D_NET *164 0.00748835 +*CONN +*I *736:A I *D sky130_fd_sc_hd__or3_2 +*I *673:A I *D sky130_fd_sc_hd__or2_2 +*I *739:C I *D sky130_fd_sc_hd__or4_2 +*I *727:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *723:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *732:A3 I *D sky130_fd_sc_hd__a31o_2 +*I *670:A I *D sky130_fd_sc_hd__inv_2 +*I *669:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *736:A 0.000211473 +2 *673:A 0 +3 *739:C 1.16656e-05 +4 *727:A4 0 +5 *723:A1 0.000137402 +6 *732:A3 9.42444e-05 +7 *670:A 0.000223244 +8 *669:X 4.85009e-05 +9 *164:45 0.000307643 +10 *164:34 0.000758316 +11 *164:30 0.00111114 +12 *164:22 0.000732669 +13 *164:17 0.000406595 +14 *164:7 0.000339845 +15 *670:A *742:A2 1.1246e-05 +16 *670:A *221:53 6.52949e-05 +17 *670:A *249:5 6.55265e-05 +18 *670:A *249:21 3.82228e-05 +19 *670:A *250:24 0.000107689 +20 *723:A1 *723:A2 0.000148129 +21 *723:A1 *201:11 6.08467e-05 +22 *723:A1 *201:20 6.50586e-05 +23 *732:A3 *733:A3 5.77352e-05 +24 *732:A3 *225:67 6.17551e-05 +25 *736:A *737:B1 4.30017e-06 +26 *736:A *243:16 3.08636e-06 +27 *739:C *720:B 7.12632e-06 +28 *739:C *743:B 1.91246e-05 +29 *164:7 *669:A 1.43983e-05 +30 *164:17 *830:TE 0 +31 *164:17 *250:24 2.652e-05 +32 *164:22 *222:34 0.000158357 +33 *164:22 *250:21 7.65399e-05 +34 *164:30 *673:B 0.000113968 +35 *164:30 *740:B1 0.000224395 +36 *164:30 *745:A3 1.41291e-05 +37 *164:30 *225:46 0 +38 *164:30 *250:21 1.89634e-05 +39 *164:45 *723:A3 2.47663e-05 +40 *164:45 *201:11 9.32983e-05 +41 *663:A *670:A 8.52802e-05 +42 *663:A *736:A 4.18989e-05 +43 *663:A *164:17 0.000111358 +44 *727:A1 *732:A3 1.66771e-05 +45 *727:A1 *164:45 0.000153927 +46 *736:C *736:A 2.53145e-06 +47 *737:A3 *736:A 6.9396e-05 +48 *745:A1 *164:30 2.65667e-05 +49 *745:B1 *164:30 0.000176932 +50 *776:A0 *723:A1 3.45653e-05 +51 *778:A0 *723:A1 5.92342e-05 +52 *781:A0 *164:30 9.12416e-06 +53 *16:12 *732:A3 0.000227627 +54 *16:12 *164:45 0.00021329 +55 *40:9 *164:22 2.06615e-05 +56 *40:9 *164:30 0.000113968 +57 *55:25 *164:22 0.000107496 +58 *55:25 *164:30 5.51483e-06 +59 *121:60 *736:A 0.000149085 +*RES +1 *669:X *164:7 14.4725 +2 *164:7 *670:A 21.0145 +3 *164:7 *164:17 2.24725 +4 *164:17 *164:22 8.98505 +5 *164:22 *164:30 17.8752 +6 *164:30 *164:34 4.26006 +7 *164:34 *732:A3 17.865 +8 *164:34 *164:45 9.89388 +9 *164:45 *723:A1 22.1896 +10 *164:45 *727:A4 9.24915 +11 *164:30 *739:C 17.4965 +12 *164:22 *673:A 9.24915 +13 *164:17 *736:A 20.3108 +*END + +*D_NET *165 0.0020922 +*CONN +*I *681:B I *D sky130_fd_sc_hd__nor2_2 +*I *683:B2 I *D sky130_fd_sc_hd__o32a_2 +*I *746:A2 I *D sky130_fd_sc_hd__o311a_2 +*I *670:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *681:B 0 +2 *683:B2 0.000182545 +3 *746:A2 3.40187e-05 +4 *670:Y 0.000104346 +5 *165:14 0.000267692 +6 *165:5 0.000223512 +7 *683:B2 *683:A1 0.000104731 +8 *683:B2 *683:A2 0 +9 *683:B2 *704:A 1.43848e-05 +10 *683:B2 *173:20 1.03403e-05 +11 *746:A2 *746:B1 6.08467e-05 +12 *746:A2 *166:36 3.00829e-05 +13 *746:A2 *166:49 7.66391e-06 +14 *746:A2 *249:21 0.000112797 +15 *165:5 *746:B1 1.41976e-05 +16 *165:5 *166:49 7.67734e-06 +17 *165:5 *249:21 0.000383988 +18 *666:C *683:B2 3.74883e-05 +19 *681:A *165:14 0.000158055 +20 *746:C1 *165:5 0.000142547 +21 *41:14 *683:B2 1.52666e-05 +22 *41:14 *165:14 8.12351e-05 +23 *118:18 *683:B2 5.10588e-05 +24 *118:18 *165:14 4.77231e-05 +*RES +1 *670:Y *165:5 13.8548 +2 *165:5 *746:A2 11.0817 +3 *165:5 *165:14 7.993 +4 *165:14 *683:B2 19.1721 +5 *165:14 *681:B 13.7491 +*END + +*D_NET *166 0.00791061 +*CONN +*I *737:A2 I *D sky130_fd_sc_hd__o311a_2 +*I *735:A3 I *D sky130_fd_sc_hd__o311a_2 +*I *673:B I *D sky130_fd_sc_hd__or2_2 +*I *744:B I *D sky130_fd_sc_hd__and3_2 +*I *746:A3 I *D sky130_fd_sc_hd__o311a_2 +*I *720:B I *D sky130_fd_sc_hd__or2_2 +*I *672:A I *D sky130_fd_sc_hd__inv_2 +*I *671:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *737:A2 0.000101979 +2 *735:A3 9.44149e-05 +3 *673:B 1.77893e-05 +4 *744:B 0.000198585 +5 *746:A3 2.15204e-05 +6 *720:B 0.000604429 +7 *672:A 0.00017773 +8 *671:X 6.52984e-05 +9 *166:67 0.000404199 +10 *166:58 0.000307982 +11 *166:49 0.000633469 +12 *166:36 0.000519246 +13 *166:7 0.000782159 +14 *166:5 0.000210528 +15 *672:A *185:11 0.000111722 +16 *672:A *322:7 1.92336e-05 +17 *720:B *733:A3 0.000365062 +18 *720:B *743:B 2.09117e-05 +19 *720:B *201:10 6.76663e-05 +20 *720:B *250:21 4.23858e-05 +21 *735:A3 *735:A1 0.000207301 +22 *735:A3 *735:B1 6.08467e-05 +23 *735:A3 *738:A1 0 +24 *735:A3 *222:75 6.08467e-05 +25 *735:A3 *243:16 7.19789e-06 +26 *737:A2 *222:74 2.95757e-05 +27 *737:A2 *312:15 0.000107496 +28 *746:A3 *746:B1 1.32108e-05 +29 *166:5 *614:A 6.08467e-05 +30 *166:5 *249:21 2.20471e-05 +31 *166:36 *249:21 8.61485e-05 +32 *166:49 *746:B1 3.01917e-05 +33 *166:67 *222:74 0.000329319 +34 *665:B *720:B 3.60268e-05 +35 *666:C *166:49 0.000173895 +36 *734:A *737:A2 2.95757e-05 +37 *735:A2 *735:A3 5.25321e-05 +38 *738:B1 *737:A2 0.000161966 +39 *738:B1 *166:67 3.67708e-05 +40 *739:C *720:B 7.12632e-06 +41 *745:B1 *673:B 0.000113968 +42 *746:A2 *166:36 3.00829e-05 +43 *746:A2 *166:49 7.66391e-06 +44 *746:C1 *166:49 0.000127988 +45 *771:A1 *737:A2 4.55191e-05 +46 *797:S *672:A 0.000177368 +47 *40:12 *166:49 2.96429e-05 +48 *40:12 *166:58 4.98393e-05 +49 *40:12 *166:67 1.9366e-05 +50 *40:29 *166:67 7.61444e-07 +51 *55:25 *166:49 8.62625e-06 +52 *55:25 *166:58 8.37979e-05 +53 *118:63 *166:67 0 +54 *118:72 *166:67 0 +55 *118:85 *737:A2 6.08467e-05 +56 *119:26 *672:A 3.78172e-05 +57 *119:26 *720:B 6.64859e-05 +58 *119:76 *720:B 1.87469e-05 +59 *120:7 *166:5 6.27718e-05 +60 *120:7 *166:36 0.000110458 +61 *120:11 *672:A 6.44739e-05 +62 *120:11 *720:B 8.80283e-06 +63 *120:33 *720:B 3.44197e-05 +64 *122:33 *744:B 6.63489e-05 +65 *122:43 *166:58 2.04806e-05 +66 *122:43 *166:67 0.00011061 +67 *160:13 *744:B 6.08467e-05 +68 *160:13 *166:49 9.19946e-05 +69 *164:30 *673:B 0.000113968 +70 *165:5 *166:49 7.67734e-06 +*RES +1 *671:X *166:5 11.0817 +2 *166:5 *166:7 4.5 +3 *166:7 *672:A 19.3507 +4 *166:7 *720:B 35.968 +5 *166:5 *166:36 4.60562 +6 *166:36 *746:A3 9.82786 +7 *166:36 *166:49 12.0681 +8 *166:49 *744:B 16.691 +9 *166:49 *166:58 2.6625 +10 *166:58 *673:B 15.0271 +11 *166:58 *166:67 7.23027 +12 *166:67 *735:A3 17.2697 +13 *166:67 *737:A2 18.1049 +*END + +*D_NET *167 0.00409968 +*CONN +*I *743:B I *D sky130_fd_sc_hd__nor2_2 +*I *687:B2 I *D sky130_fd_sc_hd__o32a_2 +*I *672:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *743:B 0.000795332 +2 *687:B2 0.00039447 +3 *672:Y 0 +4 *167:5 0.0011898 +5 *687:B2 *687:A2 2.1801e-05 +6 *687:B2 *698:A 0.000283419 +7 *743:B *745:A2 0 +8 *743:B *201:10 0 +9 *743:B *223:94 0 +10 *743:B *224:27 0 +11 *743:B *224:44 0 +12 *684:B1 *687:B2 0.000175168 +13 *684:B1 *743:B 4.02798e-05 +14 *684:B2 *687:B2 2.94581e-05 +15 *685:A1 *687:B2 0.000101133 +16 *685:A2 *687:B2 1.61631e-05 +17 *685:B2 *687:B2 2.91008e-06 +18 *720:B *743:B 2.09117e-05 +19 *739:C *743:B 1.91246e-05 +20 *739:D *743:B 2.55032e-05 +21 *793:A1 *743:B 0.00036518 +22 *5:72 *743:B 0 +23 *5:74 *743:B 0 +24 *119:8 *687:B2 0.000156869 +25 *119:26 *687:B2 6.39162e-05 +26 *119:26 *743:B 0.000256146 +27 *119:76 *743:B 5.15049e-05 +28 *157:78 *687:B2 1.92172e-05 +29 *157:88 *687:B2 4.80635e-06 +30 *160:53 *743:B 6.65668e-05 +*RES +1 *672:Y *167:5 13.7491 +2 *167:5 *687:B2 26.0513 +3 *167:5 *743:B 34.3408 +*END + +*D_NET *168 0.000439687 +*CONN +*I *676:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *675:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *676:B2 0.000174105 +2 *675:X 0.000174105 +3 *676:B2 *675:A 5.56461e-05 +4 *676:C1 *676:B2 3.58321e-05 +5 *41:29 *676:B2 0 +*RES +1 *675:X *676:B2 31.0235 +*END + +*D_NET *169 0.000546473 +*CONN +*I *677:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *676:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *677:B1 0.000200434 +2 *676:X 0.000200434 +3 *676:A1 *677:B1 6.27782e-05 +4 *676:A2 *677:B1 7.25324e-06 +5 *41:29 *677:B1 3.26572e-05 +6 *157:130 *677:B1 4.29164e-05 +*RES +1 *676:X *677:B1 31.5781 +*END + +*D_NET *170 0.0106404 +*CONN +*I *707:A2 I *D sky130_fd_sc_hd__o22ai_2 +*I *702:B1 I *D sky130_fd_sc_hd__o211a_2 +*I *705:A1 I *D sky130_fd_sc_hd__a32o_2 +*I *708:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *678:A I *D sky130_fd_sc_hd__inv_2 +*I *699:A1 I *D sky130_fd_sc_hd__a32o_2 +*I *697:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *692:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *677:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *707:A2 0.000297933 +2 *702:B1 6.21005e-05 +3 *705:A1 7.67311e-05 +4 *708:A2 0.000236712 +5 *678:A 0 +6 *699:A1 0.000173656 +7 *697:A2 0.000255875 +8 *692:A2 0 +9 *677:X 0 +10 *170:76 0.000126124 +11 *170:75 0.000284086 +12 *170:61 0.000555097 +13 *170:58 0.000772043 +14 *170:29 0.00083122 +15 *170:22 0.00103768 +16 *170:10 0.00092153 +17 *170:5 0.000634957 +18 *170:4 0.00032851 +19 *697:A2 *223:11 0.000167076 +20 *699:A1 *684:A1 1.41976e-05 +21 *699:A1 *223:11 0.000246176 +22 *705:A1 *700:B 0.000106371 +23 *707:A2 *707:B2 5.98993e-05 +24 *708:A2 *618:A 0.000104943 +25 *708:A2 *708:B2 9.11981e-05 +26 *708:A2 *171:34 2.85465e-05 +27 *708:A2 *226:11 0.000200433 +28 *170:10 *171:34 0 +29 *170:22 *688:A 0.000515015 +30 *170:22 *689:A1 2.82583e-05 +31 *170:29 *689:A1 0 +32 *170:29 *696:A2 5.41227e-05 +33 *170:29 *697:B1 5.82465e-05 +34 *170:29 *171:6 5.88662e-05 +35 *170:29 *171:72 7.66524e-05 +36 *170:29 *186:10 0.000208976 +37 *170:29 *223:8 5.66868e-06 +38 *170:29 *223:29 6.08697e-06 +39 *170:61 *221:12 0.000145065 +40 *170:61 *221:21 4.33979e-05 +41 *170:75 *221:21 7.14746e-05 +42 *666:C *170:61 0.000430146 +43 *666:C *170:75 6.79599e-05 +44 *677:A3 *170:61 2.652e-05 +45 *679:A2 *707:A2 0.00011475 +46 *679:A2 *170:58 7.20028e-05 +47 *682:B1 *702:B1 2.4442e-05 +48 *682:B1 *170:75 0.000162828 +49 *682:B2 *702:B1 1.03434e-05 +50 *689:B1 *170:10 5.22654e-06 +51 *689:B1 *170:22 4.52469e-05 +52 *697:A1 *697:A2 7.97098e-06 +53 *702:A2 *702:B1 0.000143529 +54 *708:B1 *708:A2 0.000134503 +55 *810:D *697:A2 5.04054e-06 +56 *811:D *170:29 0 +57 *29:12 *170:61 0 +58 *41:29 *170:61 1.71442e-05 +59 *122:19 *702:B1 3.4255e-05 +60 *124:18 *708:A2 5.4532e-05 +61 *124:18 *170:10 2.69064e-05 +62 *124:50 *707:A2 8.23171e-06 +63 *156:12 *170:29 9.34396e-06 +64 *157:16 *170:29 0 +65 *157:78 *170:10 0 +66 *157:78 *170:22 0 +67 *157:88 *170:75 2.41274e-06 +68 *157:100 *170:75 8.90311e-06 +69 *157:123 *170:61 3.74738e-05 +70 *157:130 *170:61 7.50872e-05 +71 *158:9 *170:5 6.0497e-05 +72 *158:9 *170:10 0.000111658 +73 *158:9 *170:58 5.8493e-05 +*RES +1 *677:X *170:4 9.24915 +2 *170:4 *170:5 1.8326 +3 *170:5 *170:10 10.1043 +4 *170:10 *692:A2 13.7491 +5 *170:10 *170:22 16.2237 +6 *170:22 *170:29 21.1062 +7 *170:29 *697:A2 13.7342 +8 *170:29 *699:A1 12.191 +9 *170:22 *678:A 9.24915 +10 *170:5 *708:A2 26.6181 +11 *170:4 *170:58 3.49641 +12 *170:58 *170:61 14.637 +13 *170:61 *705:A1 15.0271 +14 *170:61 *170:75 18.1077 +15 *170:75 *170:76 81.1229 +16 *170:76 *702:B1 21.0784 +17 *170:58 *707:A2 14.6987 +*END + +*D_NET *171 0.00856466 +*CONN +*I *696:C1 I *D sky130_fd_sc_hd__a221o_2 +*I *699:B2 I *D sky130_fd_sc_hd__a32o_2 +*I *705:B2 I *D sky130_fd_sc_hd__a32o_2 +*I *707:B1 I *D sky130_fd_sc_hd__o22ai_2 +*I *708:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *691:C1 I *D sky130_fd_sc_hd__a221o_2 +*I *678:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *696:C1 0.000120322 +2 *699:B2 0.000100297 +3 *705:B2 0.000223717 +4 *707:B1 0.000676417 +5 *708:B2 0.000312893 +6 *691:C1 0.000166523 +7 *678:Y 5.55726e-05 +8 *171:72 0.000336087 +9 *171:34 0.00137572 +10 *171:27 0.000759141 +11 *171:11 0.000655772 +12 *171:6 0.000511278 +13 *691:C1 *690:A 0 +14 *691:C1 *691:B2 5.40799e-05 +15 *691:C1 *699:A3 1.07248e-05 +16 *691:C1 *180:10 6.06115e-05 +17 *696:C1 *696:B2 8.33126e-05 +18 *696:C1 *178:5 3.16314e-05 +19 *699:B2 *689:A1 8.51085e-05 +20 *699:B2 *696:A2 2.09495e-05 +21 *699:B2 *186:10 0.000170607 +22 *699:B2 *223:11 6.08467e-05 +23 *705:B2 *704:A 2.65667e-05 +24 *705:B2 *705:A3 7.34948e-06 +25 *707:B1 *707:B2 2.30636e-05 +26 *708:B2 *618:A 7.26748e-05 +27 *708:B2 *815:CLK 0 +28 *708:B2 *226:11 0.000199571 +29 *171:6 *689:A1 5.53934e-05 +30 *171:11 *690:A 0.000161234 +31 *171:11 *691:B2 8.3124e-05 +32 *171:27 *705:A3 0 +33 *171:34 *618:A 4.53418e-05 +34 *171:34 *692:B1 9.60366e-05 +35 *171:72 *689:A1 8.79328e-05 +36 *171:72 *696:A2 2.95757e-05 +37 *666:C *705:B2 4.40531e-05 +38 *677:A1 *171:34 4.51777e-05 +39 *685:B2 *696:C1 0 +40 *689:B1 *691:C1 3.20069e-06 +41 *697:A1 *699:B2 2.16355e-05 +42 *706:A1_N *707:B1 0 +43 *708:A2 *708:B2 9.11981e-05 +44 *708:A2 *171:34 2.85465e-05 +45 *708:B1 *708:B2 0 +46 *807:D *707:B1 2.8212e-05 +47 *41:29 *705:B2 4.04556e-05 +48 *41:29 *708:B2 8.25722e-05 +49 *41:29 *171:34 1.59362e-06 +50 *90:68 *708:B2 0 +51 *118:110 *705:B2 5.38612e-06 +52 *118:110 *171:34 0 +53 *124:18 *171:34 0 +54 *124:50 *707:B1 0.000783204 +55 *157:19 *696:C1 6.08467e-05 +56 *157:78 *171:34 0.000352008 +57 *158:50 *705:B2 2.41274e-06 +58 *158:50 *171:11 4.81849e-05 +59 *158:50 *171:27 3.09719e-05 +60 *170:10 *171:34 0 +61 *170:29 *171:6 5.88662e-05 +62 *170:29 *171:72 7.66524e-05 +*RES +1 *678:Y *171:6 15.9964 +2 *171:6 *171:11 13.5666 +3 *171:11 *691:C1 22.2307 +4 *171:11 *171:27 3.52053 +5 *171:27 *171:34 16.3713 +6 *171:34 *708:B2 22.5602 +7 *171:34 *707:B1 25.444 +8 *171:27 *705:B2 27.793 +9 *171:6 *171:72 3.90826 +10 *171:72 *699:B2 17.9655 +11 *171:72 *696:C1 16.8933 +*END + +*D_NET *172 0.00251911 +*CONN +*I *680:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *706:A2_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *706:B2 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *679:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *680:B2 0.000167549 +2 *706:A2_N 3.0613e-05 +3 *706:B2 0 +4 *679:X 0.000264823 +5 *172:10 3.0613e-05 +6 *172:8 0.000432372 +7 *680:B2 *617:A 0.000324137 +8 *680:B2 *204:144 0.000203781 +9 *706:A2_N *707:B2 2.08274e-05 +10 *172:8 *204:135 7.95572e-05 +11 *172:8 *204:144 0.000171677 +12 *172:8 *227:11 0.000217923 +13 *706:A1_N *706:A2_N 0.000159038 +14 *807:D *680:B2 1.02917e-05 +15 *807:D *706:A2_N 0.000110458 +16 *807:D *172:8 6.00124e-05 +17 *123:7 *680:B2 5.99527e-05 +18 *123:9 *680:B2 0.000175485 +*RES +1 *679:X *172:8 20.4599 +2 *172:8 *172:10 4.5 +3 *172:10 *706:B2 9.24915 +4 *172:10 *706:A2_N 11.0817 +5 *172:8 *680:B2 21.1538 +*END + +*D_NET *173 0.00389598 +*CONN +*I *701:S I *D sky130_fd_sc_hd__mux2_1 +*I *683:A3 I *D sky130_fd_sc_hd__o32a_2 +*I *704:A I *D sky130_fd_sc_hd__nand2_2 +*I *700:A I *D sky130_fd_sc_hd__or2_2 +*I *680:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *701:S 5.84433e-05 +2 *683:A3 2.61253e-05 +3 *704:A 0.000285571 +4 *700:A 2.4672e-05 +5 *680:X 0.000221862 +6 *173:20 0.000456468 +7 *173:19 0.000482173 +8 *173:6 0.000525491 +9 *700:A *705:A2 6.08467e-05 +10 *701:S *616:A 2.41483e-05 +11 *704:A *683:A2 1.86035e-05 +12 *704:A *704:B 8.92609e-06 +13 *173:6 *617:A 1.07248e-05 +14 *173:6 *809:CLK 0 +15 *173:6 *204:144 0 +16 *173:19 *702:C1 0.000143032 +17 *173:19 *222:18 0 +18 *173:20 *702:C1 0.000158357 +19 *173:20 *221:31 2.6134e-06 +20 *683:B1 *173:20 2.16355e-05 +21 *683:B2 *704:A 1.43848e-05 +22 *683:B2 *173:20 1.03403e-05 +23 *684:A2 *683:A3 0 +24 *701:A1 *701:S 6.50727e-05 +25 *701:A1 *173:20 0.000258508 +26 *705:B2 *704:A 2.65667e-05 +27 *808:RESET_B *173:6 0 +28 *29:12 *173:6 0.000152833 +29 *29:12 *173:19 2.44103e-05 +30 *41:29 *704:A 0.000210992 +31 *118:110 *704:A 0.000210992 +32 *122:10 *173:19 0 +33 *122:33 *173:19 2.61921e-05 +34 *157:78 *704:A 0 +35 *158:50 *704:A 1.43055e-05 +36 *158:82 *704:A 0.000167076 +37 *158:82 *173:20 0.000184609 +*RES +1 *680:X *173:6 20.1489 +2 *173:6 *700:A 14.4725 +3 *173:6 *173:19 11.2173 +4 *173:19 *173:20 5.16022 +5 *173:20 *704:A 27.6859 +6 *173:20 *683:A3 9.82786 +7 *173:19 *701:S 11.1059 +*END + +*D_NET *174 0.000277102 +*CONN +*I *683:A1 I *D sky130_fd_sc_hd__o32a_2 +*I *681:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *683:A1 5.41621e-05 +2 *681:Y 5.41621e-05 +3 *666:C *683:A1 8.92568e-06 +4 *683:B2 *683:A1 0.000104731 +5 *41:14 *683:A1 3.20069e-06 +6 *41:29 *683:A1 5.19205e-05 +*RES +1 *681:Y *683:A1 29.7455 +*END + +*D_NET *175 0.00171599 +*CONN +*I *683:A2 I *D sky130_fd_sc_hd__o32a_2 +*I *700:B I *D sky130_fd_sc_hd__or2_2 +*I *704:B I *D sky130_fd_sc_hd__nand2_2 +*I *682:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *683:A2 0.000123478 +2 *700:B 0.000130688 +3 *704:B 0.000100318 +4 *682:X 0 +5 *175:9 0.000318502 +6 *175:5 0.000210974 +7 *700:B *705:A2 0.000115934 +8 *700:B *705:A3 8.20554e-05 +9 *700:B *705:B1 2.43387e-05 +10 *704:B *705:A3 3.77688e-05 +11 *666:C *683:A2 0.000141533 +12 *666:C *175:9 0.000172691 +13 *683:B2 *683:A2 0 +14 *704:A *683:A2 1.86035e-05 +15 *704:A *704:B 8.92609e-06 +16 *705:A1 *700:B 0.000106371 +17 *41:29 *683:A2 0 +18 *41:29 *175:9 7.50722e-05 +19 *118:110 *683:A2 9.22013e-06 +20 *118:110 *175:9 3.95141e-05 +*RES +1 *682:X *175:5 13.7491 +2 *175:5 *175:9 8.15647 +3 *175:9 *704:B 11.9981 +4 *175:9 *700:B 14.0477 +5 *175:5 *683:A2 17.1444 +*END + +*D_NET *176 0.0018114 +*CONN +*I *687:A3 I *D sky130_fd_sc_hd__o32a_2 +*I *698:A I *D sky130_fd_sc_hd__nand2_2 +*I *693:A I *D sky130_fd_sc_hd__or2_2 +*I *683:X O *D sky130_fd_sc_hd__o32a_2 +*CAP +1 *687:A3 0 +2 *698:A 0.000172724 +3 *693:A 1.26553e-05 +4 *683:X 5.3932e-05 +5 *176:16 0.000306428 +6 *176:5 0.000200291 +7 *693:A *185:11 6.50586e-05 +8 *698:A *687:A1 0.00011818 +9 *698:A *687:A2 9.6534e-05 +10 *698:A *690:A 3.20069e-06 +11 *698:A *699:A3 0.000169078 +12 *176:5 *185:11 6.50727e-05 +13 *176:16 *693:B 0 +14 *176:16 *177:8 0 +15 *684:A2 *693:A 2.65667e-05 +16 *684:A2 *176:5 2.15348e-05 +17 *685:A2 *698:A 2.61377e-05 +18 *687:B2 *698:A 0.000283419 +19 *41:29 *176:16 1.89195e-05 +20 *157:78 *698:A 1.61631e-05 +21 *158:44 *698:A 1.13359e-05 +22 *158:65 *176:16 0.000122098 +23 *158:82 *176:5 2.20702e-05 +*RES +1 *683:X *176:5 11.0817 +2 *176:5 *693:A 9.97254 +3 *176:5 *176:16 12.493 +4 *176:16 *698:A 25.5708 +5 *176:16 *687:A3 9.24915 +*END + +*D_NET *177 0.00135532 +*CONN +*I *693:B I *D sky130_fd_sc_hd__or2_2 +*I *687:A1 I *D sky130_fd_sc_hd__o32a_2 +*I *698:B I *D sky130_fd_sc_hd__nand2_2 +*I *684:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *693:B 7.80728e-05 +2 *687:A1 1.23957e-05 +3 *698:B 8.65634e-05 +4 *684:X 4.51842e-05 +5 *177:8 0.000192499 +6 *177:7 0.000216797 +7 *687:A1 *687:A2 0.00011818 +8 *693:B *699:A3 4.18989e-05 +9 *698:B *699:A3 0.000169078 +10 *698:B *180:10 5.0459e-05 +11 *177:8 *699:A3 0.000130808 +12 *684:A2 *693:B 3.43562e-05 +13 *684:A2 *177:7 6.08467e-05 +14 *698:A *687:A1 0.00011818 +15 *176:16 *693:B 0 +16 *176:16 *177:8 0 +*RES +1 *684:X *177:7 14.4725 +2 *177:7 *177:8 2.6625 +3 *177:8 *698:B 16.8269 +4 *177:8 *687:A1 15.0271 +5 *177:7 *693:B 15.8893 +*END + +*D_NET *178 0.00262131 +*CONN +*I *687:A2 I *D sky130_fd_sc_hd__o32a_2 +*I *696:B1 I *D sky130_fd_sc_hd__a221o_2 +*I *686:A I *D sky130_fd_sc_hd__inv_2 +*I *685:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *687:A2 0.0002368 +2 *696:B1 0 +3 *686:A 0.000327904 +4 *685:X 0 +5 *178:5 0.000440354 +6 *178:4 0.000349249 +7 *686:A *696:A1 0.000393422 +8 *686:A *696:B2 0.000132365 +9 *687:A2 *685:B1 0.000117376 +10 *687:A2 *180:10 0.000113968 +11 *178:5 *685:B1 6.3657e-05 +12 *178:5 *696:B2 8.33126e-05 +13 *685:A2 *687:A2 2.65831e-05 +14 *685:B2 *687:A2 2.29454e-05 +15 *687:A1 *687:A2 0.00011818 +16 *687:B2 *687:A2 2.1801e-05 +17 *696:C1 *178:5 3.16314e-05 +18 *698:A *687:A2 9.6534e-05 +19 *157:19 *178:5 7.6719e-06 +20 *157:78 *687:A2 3.75603e-05 +*RES +1 *685:X *178:4 9.24915 +2 *178:4 *178:5 2.94181 +3 *178:5 *686:A 16.7001 +4 *178:5 *696:B1 9.24915 +5 *178:4 *687:A2 16.6519 +*END + +*D_NET *179 0.000604136 +*CONN +*I *696:A1 I *D sky130_fd_sc_hd__a221o_2 +*I *686:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *696:A1 4.1456e-05 +2 *686:Y 4.1456e-05 +3 *696:A1 *696:A2 1.90494e-05 +4 *696:A1 *696:B2 0.000108753 +5 *686:A *696:A1 0.000393422 +*RES +1 *686:Y *696:A1 21.9947 +*END + +*D_NET *180 0.00219346 +*CONN +*I *691:B1 I *D sky130_fd_sc_hd__a221o_2 +*I *688:A I *D sky130_fd_sc_hd__inv_2 +*I *687:X O *D sky130_fd_sc_hd__o32a_2 +*CAP +1 *691:B1 0 +2 *688:A 0.000179368 +3 *687:X 0.000262752 +4 *180:10 0.00044212 +5 *688:A *689:A1 2.65667e-05 +6 *688:A *691:A1 5.42879e-05 +7 *688:A *691:B2 6.98433e-05 +8 *688:A *808:CLK 0 +9 *180:10 *699:A3 9.57557e-06 +10 *687:A2 *180:10 0.000113968 +11 *689:A2 *688:A 3.89073e-05 +12 *689:B1 *688:A 0.000163418 +13 *689:B2 *688:A 6.64392e-05 +14 *691:C1 *180:10 6.06115e-05 +15 *698:B *180:10 5.0459e-05 +16 *157:78 *180:10 0.000140125 +17 *170:22 *688:A 0.000515015 +*RES +1 *687:X *180:10 25.5117 +2 *180:10 *688:A 17.7852 +3 *180:10 *691:B1 9.24915 +*END + +*D_NET *181 0.00145911 +*CONN +*I *691:A1 I *D sky130_fd_sc_hd__a221o_2 +*I *688:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *691:A1 0.000240046 +2 *688:Y 0.000240046 +3 *691:A1 *689:A1 0.000116764 +4 *691:A1 *691:A2 0.000432748 +5 *691:A1 *691:B2 0.000118007 +6 *688:A *691:A1 5.42879e-05 +7 *689:A2 *691:A1 0.000216458 +8 *689:B1 *691:A1 4.0752e-05 +*RES +1 *688:Y *691:A1 27.9748 +*END + +*D_NET *182 0.00169225 +*CONN +*I *691:A2 I *D sky130_fd_sc_hd__a221o_2 +*I *690:A I *D sky130_fd_sc_hd__inv_2 +*I *689:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *691:A2 0.000315442 +2 *690:A 0.000135772 +3 *689:X 0 +4 *182:4 0.000451213 +5 *690:A *691:B2 2.652e-05 +6 *690:A *699:A3 1.18802e-05 +7 *691:A2 *808:CLK 0 +8 *691:A1 *691:A2 0.000432748 +9 *691:C1 *690:A 0 +10 *698:A *690:A 3.20069e-06 +11 *158:44 *690:A 0.000132607 +12 *158:50 *690:A 2.16355e-05 +13 *171:11 *690:A 0.000161234 +*RES +1 *689:X *182:4 9.24915 +2 *182:4 *690:A 22.7442 +3 *182:4 *691:A2 15.4523 +*END + +*D_NET *183 0.000917105 +*CONN +*I *691:B2 I *D sky130_fd_sc_hd__a221o_2 +*I *690:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *691:B2 0.000278005 +2 *690:Y 0.000278005 +3 *688:A *691:B2 6.98433e-05 +4 *690:A *691:B2 2.652e-05 +5 *691:A1 *691:B2 0.000118007 +6 *691:C1 *691:B2 5.40799e-05 +7 *158:35 *691:B2 1.39717e-06 +8 *158:44 *691:B2 8.12426e-06 +9 *171:11 *691:B2 8.3124e-05 +*RES +1 *690:Y *691:B2 34.1643 +*END + +*D_NET *184 0.000627912 +*CONN +*I *692:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *691:X O *D sky130_fd_sc_hd__a221o_2 +*CAP +1 *692:B1 8.72227e-05 +2 *691:X 8.72227e-05 +3 *812:D *692:B1 0.000158357 +4 *118:110 *692:B1 2.22923e-05 +5 *157:70 *692:B1 6.50586e-05 +6 *157:123 *692:B1 0.000111722 +7 *171:34 *692:B1 9.60366e-05 +*RES +1 *691:X *692:B1 31.1629 +*END + +*D_NET *185 0.0019665 +*CONN +*I *694:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *699:A2 I *D sky130_fd_sc_hd__a32o_2 +*I *693:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *694:B1 0 +2 *699:A2 0.000113656 +3 *693:X 0.000326453 +4 *185:11 0.00044011 +5 *699:A2 *684:A1 6.3657e-05 +6 *699:A2 *224:10 9.32927e-05 +7 *185:11 *613:A 6.22882e-05 +8 *185:11 *322:7 6.45419e-05 +9 *672:A *185:11 0.000111722 +10 *684:A2 *185:11 1.41291e-05 +11 *684:B1 *699:A2 0 +12 *693:A *185:11 6.50586e-05 +13 *794:A0 *185:11 0.000220183 +14 *797:S *185:11 6.75138e-05 +15 *41:29 *185:11 0 +16 *119:7 *185:11 0.000258819 +17 *119:8 *185:11 0 +18 *176:5 *185:11 6.50727e-05 +*RES +1 *693:X *185:11 29.153 +2 *185:11 *699:A2 20.8045 +3 *185:11 *694:B1 9.24915 +*END + +*D_NET *186 0.00226138 +*CONN +*I *695:A I *D sky130_fd_sc_hd__inv_2 +*I *696:A2 I *D sky130_fd_sc_hd__a221o_2 +*I *694:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *695:A 0.000117187 +2 *696:A2 2.56545e-05 +3 *694:Y 0.000355458 +4 *186:10 0.000498299 +5 *695:A *696:B2 0.000366589 +6 *695:A *697:B1 6.92705e-05 +7 *695:A *223:8 0.000164829 +8 *696:A2 *696:B2 1.67329e-05 +9 *186:10 *613:A 5.04829e-06 +10 *186:10 *689:A1 2.1558e-05 +11 *186:10 *223:29 0 +12 *186:10 *322:7 1.77822e-05 +13 *694:A1 *186:10 2.41274e-06 +14 *694:A2 *186:10 4.31539e-05 +15 *696:A1 *696:A2 1.90494e-05 +16 *699:B2 *696:A2 2.09495e-05 +17 *699:B2 *186:10 0.000170607 +18 *811:D *695:A 5.41227e-05 +19 *170:29 *696:A2 5.41227e-05 +20 *170:29 *186:10 0.000208976 +21 *171:72 *696:A2 2.95757e-05 +*RES +1 *694:Y *186:10 22.4237 +2 *186:10 *696:A2 14.9496 +3 *186:10 *695:A 27.8017 +*END + +*D_NET *187 0.00112318 +*CONN +*I *696:B2 I *D sky130_fd_sc_hd__a221o_2 +*I *695:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *696:B2 0.000155296 +2 *695:Y 0.000155296 +3 *696:B2 *223:8 2.15184e-05 +4 *686:A *696:B2 0.000132365 +5 *695:A *696:B2 0.000366589 +6 *696:A1 *696:B2 0.000108753 +7 *696:A2 *696:B2 1.67329e-05 +8 *696:C1 *696:B2 8.33126e-05 +9 *178:5 *696:B2 8.33126e-05 +*RES +1 *695:Y *696:B2 25.7323 +*END + +*D_NET *188 0.000488687 +*CONN +*I *697:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *696:X O *D sky130_fd_sc_hd__a221o_2 +*CAP +1 *697:B1 0.000109069 +2 *696:X 0.000109069 +3 *697:B1 *223:8 0.000143032 +4 *695:A *697:B1 6.92705e-05 +5 *170:29 *697:B1 5.82465e-05 +*RES +1 *696:X *697:B1 30.8842 +*END + +*D_NET *189 0.00182755 +*CONN +*I *699:A3 I *D sky130_fd_sc_hd__a32o_2 +*I *698:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *699:A3 0.000302369 +2 *698:Y 0.000302369 +3 *699:A3 *684:A1 0.000134172 +4 *684:A2 *699:A3 0.000124902 +5 *685:A1 *699:A3 5.99802e-05 +6 *690:A *699:A3 1.18802e-05 +7 *691:C1 *699:A3 1.07248e-05 +8 *693:B *699:A3 4.18989e-05 +9 *697:A1 *699:A3 0.000360712 +10 *698:A *699:A3 0.000169078 +11 *698:B *699:A3 0.000169078 +12 *177:8 *699:A3 0.000130808 +13 *180:10 *699:A3 9.57557e-06 +*RES +1 *698:Y *699:A3 39.8888 +*END + +*D_NET *190 0.000463153 +*CONN +*I *705:A2 I *D sky130_fd_sc_hd__a32o_2 +*I *700:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *705:A2 0.000143186 +2 *700:X 0.000143186 +3 *700:A *705:A2 6.08467e-05 +4 *700:B *705:A2 0.000115934 +*RES +1 *700:X *705:A2 21.9947 +*END + +*D_NET *191 0.000778036 +*CONN +*I *702:C1 I *D sky130_fd_sc_hd__o211a_2 +*I *701:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *702:C1 0.000166807 +2 *701:X 0.000166807 +3 *122:33 *702:C1 0.000143032 +4 *173:19 *702:C1 0.000143032 +5 *173:20 *702:C1 0.000158357 +*RES +1 *701:X *702:C1 31.9934 +*END + +*D_NET *192 0.0010373 +*CONN +*I *703:B2 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *703:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *702:X O *D sky130_fd_sc_hd__o211a_2 +*CAP +1 *703:B2 6.83953e-05 +2 *703:A2_N 0.000266691 +3 *702:X 2.65885e-05 +4 *192:5 0.000361674 +5 *703:A2_N *222:13 0.000119957 +6 *703:A2_N *222:18 3.14978e-05 +7 *703:B2 *222:13 6.92705e-05 +8 *703:B2 *222:18 6.50727e-05 +9 *702:A2 *703:A2_N 0 +10 *122:19 *703:A2_N 2.81515e-05 +*RES +1 *702:X *192:5 9.97254 +2 *192:5 *703:A2_N 14.6987 +3 *192:5 *703:B2 11.6605 +*END + +*D_NET *193 0.000801373 +*CONN +*I *705:A3 I *D sky130_fd_sc_hd__a32o_2 +*I *704:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *705:A3 0.000332772 +2 *704:Y 0.000332772 +3 *705:A3 *705:B1 8.65421e-06 +4 *700:B *705:A3 8.20554e-05 +5 *704:B *705:A3 3.77688e-05 +6 *705:B2 *705:A3 7.34948e-06 +7 *171:27 *705:A3 0 +*RES +1 *704:Y *705:A3 25.5393 +*END + +*D_NET *194 0.00128588 +*CONN +*I *707:B2 I *D sky130_fd_sc_hd__o22ai_2 +*I *706:X O *D sky130_fd_sc_hd__a2bb2o_2 +*CAP +1 *707:B2 0.000318628 +2 *706:X 0.000318628 +3 *706:A1_N *707:B2 1.71725e-05 +4 *706:A2_N *707:B2 2.08274e-05 +5 *707:A2 *707:B2 5.98993e-05 +6 *707:B1 *707:B2 2.30636e-05 +7 *807:D *707:B2 0.000466816 +8 *124:50 *707:B2 6.08467e-05 +*RES +1 *706:X *707:B2 28.1436 +*END + +*D_NET *195 0.0017941 +*CONN +*I *716:A2 I *D sky130_fd_sc_hd__a31o_2 +*I *710:A I *D sky130_fd_sc_hd__inv_2 +*I *709:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *716:A2 8.8424e-05 +2 *710:A 6.81098e-05 +3 *709:X 0.000316885 +4 *195:10 0.000473419 +5 *195:10 *716:A3 0.000139059 +6 *195:10 *207:41 0 +7 *626:A2 *195:10 0.000122378 +8 *709:B *195:10 0 +9 *709:C *195:10 0.00028913 +10 *803:D *710:A 6.08467e-05 +11 *803:D *716:A2 2.14489e-05 +12 *803:RESET_B *195:10 4.90829e-05 +13 *90:189 *195:10 0.000135598 +14 *90:199 *195:10 1.66626e-05 +15 *116:24 *195:10 1.30526e-05 +*RES +1 *709:X *195:10 28.4184 +2 *195:10 *710:A 11.1059 +3 *195:10 *716:A2 11.5761 +*END + +*D_NET *196 0.003363 +*CONN +*I *714:B I *D sky130_fd_sc_hd__and4_2 +*I *711:B I *D sky130_fd_sc_hd__nand2_2 +*I *713:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *719:A2 I *D sky130_fd_sc_hd__a311o_2 +*I *710:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *714:B 0.000235892 +2 *711:B 8.17115e-05 +3 *713:A2 0 +4 *719:A2 0 +5 *710:Y 0.000263188 +6 *196:25 0.000257268 +7 *196:12 0.000450131 +8 *196:9 0.000773655 +9 *711:B *711:A 0 +10 *711:B *197:7 0.00016833 +11 *711:B *208:11 0.000145722 +12 *714:B *204:31 1.75155e-06 +13 *196:25 *209:12 1.09738e-05 +14 *196:25 *209:16 4.1741e-05 +15 *713:C1 *711:B 2.16355e-05 +16 *713:C1 *196:25 4.18989e-05 +17 *804:D *711:B 6.92705e-05 +18 *819:D *714:B 1.43983e-05 +19 *819:D *196:9 7.48114e-05 +20 *90:187 *196:12 0 +21 *90:189 *196:9 2.99929e-05 +22 *90:189 *196:12 0 +23 *126:61 *196:12 1.44611e-05 +24 *126:64 *714:B 0.000266832 +25 *126:82 *196:12 0.000155626 +26 *126:82 *196:25 0.000159507 +27 *127:57 *711:B 5.51483e-06 +28 *135:19 *196:9 7.86847e-05 +*RES +1 *710:Y *196:9 23.1623 +2 *196:9 *196:12 11.7303 +3 *196:12 *719:A2 13.7491 +4 *196:12 *196:25 9.31204 +5 *196:25 *713:A2 9.24915 +6 *196:25 *711:B 12.9385 +7 *196:9 *714:B 13.3002 +*END + +*D_NET *197 0.00129023 +*CONN +*I *713:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *712:A2 I *D sky130_fd_sc_hd__a21oi_2 +*I *711:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *713:B2 0 +2 *712:A2 5.09917e-05 +3 *711:Y 0.000149671 +4 *197:7 0.000200663 +5 *712:A2 *713:B1 1.47046e-05 +6 *712:A2 *209:36 0.000330596 +7 *711:B *197:7 0.00016833 +8 *713:C1 *712:A2 6.70212e-05 +9 *713:C1 *197:7 7.6719e-06 +10 *804:D *197:7 0.000118166 +11 *126:87 *712:A2 1.41853e-05 +12 *126:87 *197:7 0.000111708 +13 *127:57 *712:A2 5.65165e-05 +*RES +1 *711:Y *197:7 13.8789 +2 *197:7 *712:A2 13.3002 +3 *197:7 *713:B2 9.24915 +*END + +*D_NET *198 0.00304562 +*CONN +*I *716:B1 I *D sky130_fd_sc_hd__a31o_2 +*I *718:A I *D sky130_fd_sc_hd__or2_2 +*I *714:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *716:B1 0.000119261 +2 *718:A 0.000392421 +3 *714:X 0.000161988 +4 *198:8 0.000673671 +5 *718:A *637:A1 0 +6 *718:A *717:B2 0 +7 *718:A *718:B 0.000110985 +8 *628:A2 *198:8 0.000164815 +9 *635:A *716:B1 0.000260374 +10 *635:B *718:A 1.44467e-05 +11 *635:B *198:8 1.09738e-05 +12 *650:A2 *716:B1 6.31931e-05 +13 *650:B1 *716:B1 1.65872e-05 +14 *802:D *718:A 0.00012568 +15 *802:RESET_B *718:A 0 +16 *116:24 *716:B1 6.24655e-05 +17 *116:44 *716:B1 0.000107496 +18 *117:8 *198:8 2.33193e-05 +19 *117:20 *716:B1 0.00056425 +20 *127:31 *718:A 8.98943e-05 +21 *127:31 *198:8 8.37979e-05 +22 *131:16 *718:A 0 +*RES +1 *714:X *198:8 17.4137 +2 *198:8 *718:A 24.7167 +3 *198:8 *716:B1 20.5732 +*END + +*D_NET *199 0.000395019 +*CONN +*I *716:A3 I *D sky130_fd_sc_hd__a31o_2 +*I *715:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *716:A3 9.50463e-05 +2 *715:Y 9.50463e-05 +3 *90:189 *716:A3 7.5909e-06 +4 *116:24 *716:A3 5.82759e-05 +5 *195:10 *716:A3 0.000139059 +*RES +1 *715:Y *716:A3 30.576 +*END + +*D_NET *200 0.000805303 +*CONN +*I *718:B I *D sky130_fd_sc_hd__or2_2 +*I *717:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *718:B 0.00029593 +2 *717:X 0.00029593 +3 *718:B *717:B2 3.31882e-05 +4 *718:B *204:44 0 +5 *718:A *718:B 0.000110985 +6 *763:A *718:B 6.92705e-05 +7 *90:199 *718:B 0 +*RES +1 *717:X *718:B 35.0367 +*END + +*D_NET *201 0.00995431 +*CONN +*I *742:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *736:B I *D sky130_fd_sc_hd__or3_2 +*I *744:C I *D sky130_fd_sc_hd__and3_2 +*I *738:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *740:A2 I *D sky130_fd_sc_hd__o311a_2 +*I *723:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *726:A2 I *D sky130_fd_sc_hd__o21a_2 +*I *725:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *724:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *722:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *742:A4 0 +2 *736:B 0.000258475 +3 *744:C 0.000230662 +4 *738:A3 3.4293e-05 +5 *740:A2 0 +6 *723:A2 6.32282e-05 +7 *726:A2 0.000199821 +8 *725:A2 0 +9 *724:A2 3.29092e-05 +10 *722:X 0.000271087 +11 *201:73 0.000489137 +12 *201:71 0.00017559 +13 *201:61 0.000354399 +14 *201:55 0.000417858 +15 *201:31 0.000317766 +16 *201:20 0.000527316 +17 *201:11 0.000655152 +18 *201:10 0.000759891 +19 *724:A2 *724:A3 5.04829e-06 +20 *724:A2 *225:88 5.51483e-06 +21 *726:A2 *875:TE_B 8.5294e-06 +22 *726:A2 *225:113 0.000113954 +23 *726:A2 *286:13 0.000200805 +24 *736:B *742:A2 3.20069e-06 +25 *736:B *225:216 4.57241e-06 +26 *736:B *243:16 0.000266832 +27 *744:C *742:A2 2.87219e-05 +28 *744:C *225:216 0.000404218 +29 *201:10 *733:A3 6.78549e-05 +30 *201:10 *223:94 2.99929e-05 +31 *201:10 *258:9 0.000161452 +32 *201:11 *727:A2 0.000142194 +33 *201:11 *727:A3 1.58551e-05 +34 *201:20 *727:A2 0.00011818 +35 *201:20 *728:A2 0.000387599 +36 *201:20 *728:A4 9.24241e-05 +37 *201:20 *222:86 2.3905e-05 +38 *201:20 *225:88 2.39535e-05 +39 *201:20 *225:99 5.22654e-06 +40 *201:31 *222:86 6.90197e-05 +41 *201:31 *225:99 6.43677e-05 +42 *201:31 *225:113 3.20069e-06 +43 *720:B *201:10 6.76663e-05 +44 *723:A1 *723:A2 0.000148129 +45 *723:A1 *201:11 6.08467e-05 +46 *723:A1 *201:20 6.50586e-05 +47 *729:A4 *723:A2 0 +48 *737:A3 *736:B 7.50722e-05 +49 *739:D *201:55 3.61993e-05 +50 *740:A3 *201:61 0.000315447 +51 *740:A3 *201:71 9.70789e-05 +52 *742:B1 *201:71 2.16355e-05 +53 *743:B *201:10 0 +54 *787:A0 *736:B 7.50872e-05 +55 *787:A0 *201:71 0.000154145 +56 *790:A0 *724:A2 5.04829e-06 +57 *790:A0 *201:20 9.60216e-05 +58 *793:A0 *201:55 8.64186e-05 +59 *793:S *201:11 0.000108441 +60 *793:S *201:55 0.000250415 +61 *796:A1 *726:A2 6.07587e-05 +62 *796:A1 *201:20 0.000158357 +63 *796:A1 *201:31 4.37999e-05 +64 *5:74 *201:10 1.50262e-05 +65 *5:78 *201:10 1.84877e-05 +66 *48:8 *723:A2 0.000169078 +67 *55:25 *736:B 9.75356e-05 +68 *55:25 *744:C 0.000239583 +69 *65:15 *201:71 6.75934e-05 +70 *118:21 *201:55 1.84293e-05 +71 *118:21 *201:61 9.82896e-06 +72 *118:47 *201:71 9.20101e-06 +73 *118:63 *738:A3 0.000101148 +74 *122:33 *744:C 5.41227e-05 +75 *122:43 *738:A3 0.000101148 +76 *164:45 *201:11 9.32983e-05 +*RES +1 *722:X *201:10 25.3723 +2 *201:10 *201:11 5.71483 +3 *201:11 *201:20 15.2886 +4 *201:20 *724:A2 14.4725 +5 *201:20 *201:31 3.81055 +6 *201:31 *725:A2 13.7491 +7 *201:31 *726:A2 19.2141 +8 *201:11 *723:A2 21.3269 +9 *201:10 *201:55 7.37864 +10 *201:55 *740:A2 9.24915 +11 *201:55 *201:61 4.05102 +12 *201:61 *738:A3 20.0811 +13 *201:61 *201:71 4.60562 +14 *201:71 *201:73 4.5 +15 *201:73 *744:C 21.8099 +16 *201:73 *736:B 28.9397 +17 *201:71 *742:A4 9.24915 +*END + +*D_NET *202 0.00168912 +*CONN +*I *737:B1 I *D sky130_fd_sc_hd__o311a_2 +*I *736:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *737:B1 0.000385914 +2 *736:X 0.000385914 +3 *737:B1 *900:TE 0 +4 *737:B1 *243:16 9.75356e-05 +5 *737:B1 *307:6 0.00017419 +6 *737:B1 *312:15 0.000402967 +7 *736:A *737:B1 4.30017e-06 +8 *737:A3 *737:B1 7.26672e-05 +9 *737:C1 *737:B1 4.74629e-05 +10 *789:A0 *737:B1 0.000118166 +11 *55:25 *737:B1 0 +*RES +1 *736:X *737:B1 40.7703 +*END + +*D_NET *203 0.0010693 +*CONN +*I *740:B1 I *D sky130_fd_sc_hd__o311a_2 +*I *739:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *740:B1 0.000352908 +2 *739:X 0.000352908 +3 *740:B1 *222:45 7.08723e-06 +4 *739:D *740:B1 6.50586e-05 +5 *745:A1 *740:B1 3.80872e-05 +6 *781:A0 *740:B1 0 +7 *65:10 *740:B1 5.22654e-06 +8 *160:43 *740:B1 2.36251e-05 +9 *164:30 *740:B1 0.000224395 +*RES +1 *739:X *740:B1 34.3512 +*END + +*D_NET *204 0.0282914 +*CONN +*I *811:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *810:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *820:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *805:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *801:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *804:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *806:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *816:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *815:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *817:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *814:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *798:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *812:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *809:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *808:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *807:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *800:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *799:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *813:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *802:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *803:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *818:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *770:A I *D sky130_fd_sc_hd__buf_2 +*I *819:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *906:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *811:CLK 0.000321579 +2 *810:CLK 0.000111778 +3 *820:CLK 0.000754164 +4 *805:CLK 7.0666e-05 +5 *801:CLK 0 +6 *804:CLK 0.000505479 +7 *806:CLK 5.90346e-05 +8 *816:CLK 0 +9 *815:CLK 0.00016506 +10 *817:CLK 0.000104684 +11 *814:CLK 0 +12 *798:CLK 0 +13 *812:CLK 0 +14 *809:CLK 0.000147967 +15 *808:CLK 0.000794819 +16 *807:CLK 0 +17 *800:CLK 4.04021e-05 +18 *799:CLK 0.000175144 +19 *813:CLK 0 +20 *802:CLK 0 +21 *803:CLK 0 +22 *818:CLK 0 +23 *770:A 0.000204087 +24 *819:CLK 0 +25 *906:Y 0 +26 *204:264 0.000662882 +27 *204:211 0.001023 +28 *204:200 0.000609393 +29 *204:180 0.000400352 +30 *204:173 0.000190437 +31 *204:155 0.000265976 +32 *204:144 0.00125088 +33 *204:135 0.000621763 +34 *204:126 0.000680111 +35 *204:108 0.000531141 +36 *204:106 0.000364257 +37 *204:98 0.00110442 +38 *204:88 0.0014972 +39 *204:76 0.000884037 +40 *204:56 0.001674 +41 *204:44 0.00192255 +42 *204:37 0.000590758 +43 *204:31 0.000664389 +44 *204:16 0.000990848 +45 *204:8 0.000584177 +46 *204:7 0.00093836 +47 *204:4 0.000281064 +48 *799:CLK *799:D 0 +49 *800:CLK *220:9 5.07314e-05 +50 *804:CLK *210:10 2.39355e-05 +51 *805:CLK *654:A1 1.41976e-05 +52 *808:CLK *221:12 3.87516e-05 +53 *810:CLK *606:A 0 +54 *810:CLK *906:A 8.42523e-05 +55 *815:CLK *675:A 0.000171288 +56 *817:CLK *629:A1 0 +57 *820:CLK *606:A 3.43813e-05 +58 *204:8 *606:A 0.000186528 +59 *204:16 *606:A 8.62625e-06 +60 *204:16 *208:40 6.25403e-05 +61 *204:16 *208:47 0.000164275 +62 *204:16 *209:45 0.000117018 +63 *204:31 *714:A 3.52794e-05 +64 *204:37 *714:A 2.41483e-05 +65 *204:44 *714:C 3.31733e-05 +66 *204:44 *717:B2 3.94966e-05 +67 *204:56 *641:A1 4.41793e-05 +68 *204:56 *641:A2 0.000114584 +69 *204:56 *207:17 6.52652e-05 +70 *204:56 *212:7 1.46263e-05 +71 *204:106 *630:A1 6.5475e-05 +72 *204:106 *630:B1 4.80635e-06 +73 *204:106 *631:A 1.44611e-05 +74 *204:106 *668:D 5.08751e-05 +75 *204:106 *218:21 0.000379505 +76 *204:126 *219:19 0 +77 *204:135 *675:A 0 +78 *204:135 *227:21 0 +79 *204:144 *617:A 0 +80 *204:155 *221:12 4.28856e-07 +81 *204:211 *210:10 0.000145068 +82 *623:A *820:CLK 0 +83 *628:A2 *204:200 0.00013715 +84 *628:A2 *204:211 1.01044e-05 +85 *629:B2 *204:180 0.000200251 +86 *630:A2 *204:98 2.16355e-05 +87 *630:A2 *204:106 4.0752e-05 +88 *641:B1 *204:56 0.000164815 +89 *676:A2 *806:CLK 0.000224395 +90 *676:C1 *815:CLK 0 +91 *680:B2 *204:144 0.000203781 +92 *688:A *808:CLK 0 +93 *691:A2 *808:CLK 0 +94 *708:B2 *815:CLK 0 +95 *712:A1 *820:CLK 0 +96 *714:B *204:31 1.75155e-06 +97 *717:A2 *204:44 0.000181065 +98 *718:B *204:44 0 +99 *749:A *804:CLK 0.000122978 +100 *754:A *811:CLK 5.08751e-05 +101 *756:A *809:CLK 0 +102 *798:D *204:106 0.000207266 +103 *798:RESET_B *799:CLK 5.04734e-05 +104 *798:RESET_B *204:106 4.58003e-05 +105 *798:RESET_B *204:126 2.04806e-05 +106 *801:D *204:16 2.59896e-05 +107 *803:D *204:44 4.37729e-05 +108 *804:D *804:CLK 0.000123176 +109 *805:D *204:8 1.44467e-05 +110 *805:D *204:16 3.34802e-05 +111 *806:D *204:211 0 +112 *808:RESET_B *809:CLK 0 +113 *811:RESET_B *811:CLK 5.56461e-05 +114 *814:D *204:106 1.77537e-06 +115 *814:D *204:173 2.69064e-05 +116 *815:D *815:CLK 0 +117 *815:D *204:180 0 +118 *815:RESET_B *204:126 1.4036e-05 +119 *815:RESET_B *204:135 0 +120 *816:RESET_B *204:211 3.00073e-05 +121 *818:D *204:56 0.000207731 +122 *819:D *204:31 0.000180532 +123 *10:10 *820:CLK 3.52699e-05 +124 *29:12 *204:106 0 +125 *29:12 *204:135 0 +126 *29:12 *204:173 0 +127 *29:12 *204:180 0 +128 *39:8 *204:16 4.31319e-05 +129 *41:29 *815:CLK 8.62625e-06 +130 *90:22 *810:CLK 0 +131 *90:27 *811:CLK 0.000175485 +132 *90:33 *811:CLK 0.00015511 +133 *90:94 *799:CLK 1.46079e-05 +134 *90:106 *204:126 3.129e-05 +135 *90:145 *809:CLK 0 +136 *90:199 *204:44 0.000228441 +137 *116:18 *204:44 0.000175022 +138 *124:50 *204:144 1.94614e-05 +139 *126:12 *204:173 3.31882e-05 +140 *126:12 *204:180 2.24484e-05 +141 *126:14 *204:106 8.01837e-05 +142 *126:14 *204:173 8.89094e-05 +143 *126:21 *204:56 0.000108071 +144 *126:21 *204:76 8.90486e-05 +145 *126:21 *204:88 0.000127353 +146 *126:21 *204:98 4.68742e-05 +147 *126:21 *204:106 3.67708e-05 +148 *126:64 *204:31 2.0256e-05 +149 *126:64 *204:37 6.73022e-05 +150 *126:64 *204:200 4.56831e-05 +151 *127:63 *204:16 0.000204372 +152 *127:63 *204:31 1.14086e-05 +153 *127:107 *817:CLK 3.24105e-05 +154 *127:107 *204:180 1.82832e-05 +155 *135:19 *204:16 0.000209388 +156 *135:19 *204:31 7.47963e-05 +157 *137:10 *204:56 6.92705e-05 +158 *146:12 *204:44 2.57465e-06 +159 *155:20 *804:CLK 9.29919e-05 +160 *156:12 *804:CLK 4.33137e-05 +161 *156:12 *805:CLK 1.29759e-05 +162 *157:8 *804:CLK 0 +163 *172:8 *204:135 7.95572e-05 +164 *172:8 *204:144 0.000171677 +165 *173:6 *809:CLK 0 +166 *173:6 *204:144 0 +*RES +1 *906:Y *204:4 9.24915 +2 *204:4 *204:7 5.2234 +3 *204:7 *204:8 3.493 +4 *204:8 *204:16 20.8647 +5 *204:16 *204:31 16.3703 +6 *204:31 *819:CLK 9.24915 +7 *204:31 *204:37 1.8326 +8 *204:37 *204:44 20.2851 +9 *204:44 *204:56 34.6115 +10 *204:56 *770:A 18.5201 +11 *204:56 *818:CLK 13.7491 +12 *204:44 *803:CLK 9.24915 +13 *204:44 *204:76 2.38721 +14 *204:76 *802:CLK 9.24915 +15 *204:76 *204:88 14.9261 +16 *204:88 *813:CLK 9.24915 +17 *204:88 *204:98 15.0857 +18 *204:98 *204:106 16.6766 +19 *204:106 *204:108 4.5 +20 *204:108 *799:CLK 18.1049 +21 *204:108 *204:126 9.03784 +22 *204:126 *800:CLK 15.0271 +23 *204:126 *204:135 6.81502 +24 *204:135 *807:CLK 13.7491 +25 *204:135 *204:144 13.3913 +26 *204:144 *808:CLK 20.944 +27 *204:144 *204:155 2.26664 +28 *204:155 *809:CLK 21.7421 +29 *204:155 *812:CLK 9.24915 +30 *204:106 *798:CLK 9.24915 +31 *204:98 *204:173 2.24725 +32 *204:173 *814:CLK 13.7491 +33 *204:173 *204:180 4.32351 +34 *204:180 *817:CLK 16.1605 +35 *204:180 *815:CLK 18.2442 +36 *204:37 *204:200 4.60562 +37 *204:200 *816:CLK 9.24915 +38 *204:200 *204:211 12.8961 +39 *204:211 *806:CLK 16.1364 +40 *204:211 *804:CLK 25.4967 +41 *204:16 *801:CLK 13.7491 +42 *204:8 *805:CLK 15.63 +43 *204:7 *820:CLK 23.6213 +44 *204:4 *204:264 5.59426 +45 *204:264 *810:CLK 21.7421 +46 *204:264 *811:CLK 18.8462 +*END + +*D_NET *205 0.00291897 +*CONN +*I *610:A I *D sky130_fd_sc_hd__inv_2 +*I *628:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *717:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *801:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *610:A 0.000180277 +2 *628:B1 0 +3 *717:B2 0.000432783 +4 *801:Q 4.18965e-05 +5 *205:22 0.000395602 +6 *205:5 0.000690005 +7 *717:B2 *714:C 6.66538e-05 +8 *717:B2 *206:24 6.11359e-06 +9 *628:A2 *610:A 5.03545e-06 +10 *628:A2 *205:22 1.8706e-05 +11 *676:A2 *205:5 6.50586e-05 +12 *676:A2 *205:22 0.000266846 +13 *717:A1 *717:B2 3.1568e-05 +14 *717:A2 *717:B2 8.70377e-05 +15 *718:A *717:B2 0 +16 *718:B *717:B2 3.31882e-05 +17 *90:53 *610:A 8.95297e-05 +18 *117:8 *205:22 2.95757e-05 +19 *127:31 *717:B2 9.16694e-05 +20 *127:31 *205:22 3.45997e-05 +21 *127:47 *610:A 5.40012e-05 +22 *127:47 *205:22 5.03545e-06 +23 *144:18 *717:B2 0.000217937 +24 *146:12 *717:B2 3.63593e-05 +25 *204:44 *717:B2 3.94966e-05 +*RES +1 *801:Q *205:5 9.97254 +2 *205:5 *717:B2 31.3468 +3 *205:5 *205:22 8.85855 +4 *205:22 *628:B1 13.7491 +5 *205:22 *610:A 18.2684 +*END + +*D_NET *206 0.0059936 +*CONN +*I *627:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *636:B1 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *636:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *637:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *717:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *609:A I *D sky130_fd_sc_hd__inv_2 +*I *802:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *627:B1 0.000622314 +2 *636:B1 1.40477e-05 +3 *636:A1_N 0 +4 *637:A1 0.000127161 +5 *717:B1 2.482e-05 +6 *609:A 0.000237532 +7 *802:Q 0.000130164 +8 *206:24 0.000934147 +9 *206:19 0.000973247 +10 *206:9 0.00023418 +11 *206:8 7.39377e-05 +12 *206:6 0.000752477 +13 *636:B1 *636:A2_N 2.07503e-05 +14 *636:B1 *211:9 5.04829e-06 +15 *636:B1 *211:15 2.07503e-05 +16 *206:9 *636:A2_N 9.90116e-05 +17 *206:9 *211:9 5.0715e-05 +18 *206:19 *636:A2_N 0 +19 *626:A2 *609:A 9.24241e-05 +20 *631:B *627:B1 4.19401e-06 +21 *635:B *637:A1 0 +22 *637:B1 *637:A1 0.000139435 +23 *637:B1 *206:19 2.83665e-05 +24 *637:B1 *206:24 5.56461e-05 +25 *648:B1 *206:24 7.48633e-05 +26 *648:B2 *206:24 1.00981e-05 +27 *709:A *609:A 7.77309e-06 +28 *709:C *609:A 0 +29 *717:A1 *717:B1 2.14552e-05 +30 *717:A1 *206:24 0.000251669 +31 *717:B2 *206:24 6.11359e-06 +32 *718:A *637:A1 0 +33 *763:A *609:A 0.000103943 +34 *803:D *609:A 0.000148129 +35 *803:D *206:24 6.89449e-05 +36 *803:RESET_B *609:A 4.30017e-06 +37 *90:73 *206:6 0 +38 *90:199 *609:A 8.62081e-05 +39 *115:7 *609:A 0.000115632 +40 *126:41 *609:A 0.000247246 +41 *126:50 *609:A 1.07248e-05 +42 *126:50 *206:24 6.54001e-05 +43 *131:16 *637:A1 0 +44 *131:23 *206:24 5.07314e-05 +45 *132:9 *206:19 4.33655e-05 +46 *133:11 *637:A1 4.75721e-06 +47 *144:18 *717:B1 2.14552e-05 +48 *144:18 *206:24 1.04187e-05 +*RES +1 *802:Q *206:6 15.9964 +2 *206:6 *206:8 4.5 +3 *206:8 *206:9 2.76096 +4 *206:9 *206:19 4.473 +5 *206:19 *206:24 16.0684 +6 *206:24 *609:A 24.224 +7 *206:24 *717:B1 14.4665 +8 *206:19 *637:A1 21.3269 +9 *206:9 *636:A1_N 9.24915 +10 *206:8 *636:B1 9.97254 +11 *206:6 *627:B1 21.9861 +*END + +*D_NET *207 0.00315814 +*CONN +*I *608:A I *D sky130_fd_sc_hd__inv_2 +*I *639:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *626:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *634:A I *D sky130_fd_sc_hd__nor2_2 +*I *641:A1 I *D sky130_fd_sc_hd__a21oi_2 +*I *803:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *608:A 0 +2 *639:A1_N 3.25334e-05 +3 *626:B1 6.73393e-05 +4 *634:A 0.00013753 +5 *641:A1 0.00011824 +6 *803:Q 0 +7 *207:41 0.000212547 +8 *207:21 0.000349346 +9 *207:17 0.000469392 +10 *207:4 0.000263142 +11 *626:B1 *212:14 4.38386e-05 +12 *634:A *634:B 5.5082e-05 +13 *641:A1 *641:A2 0.000111802 +14 *641:A1 *212:7 4.58003e-05 +15 *626:A2 *634:A 0.000154145 +16 *626:A2 *207:21 0.000213676 +17 *626:B2 *634:A 0.000205101 +18 *626:B2 *207:21 0.000100396 +19 *626:B2 *207:41 0 +20 *709:C *207:21 1.90218e-05 +21 *709:C *207:41 6.23338e-05 +22 *747:A *639:A1_N 7.91576e-05 +23 *818:D *634:A 0.000211464 +24 *130:8 *626:B1 0 +25 *144:18 *639:A1_N 9.68043e-05 +26 *195:10 *207:41 0 +27 *204:56 *641:A1 4.41793e-05 +28 *204:56 *207:17 6.52652e-05 +*RES +1 *803:Q *207:4 9.24915 +2 *207:4 *641:A1 13.2037 +3 *207:4 *207:17 6.88721 +4 *207:17 *207:21 8.7192 +5 *207:21 *634:A 14.8434 +6 *207:21 *626:B1 19.6659 +7 *207:17 *207:41 8.82351 +8 *207:41 *639:A1_N 11.2626 +9 *207:41 *608:A 9.24915 +*END + +*D_NET *208 0.00591982 +*CONN +*I *711:A I *D sky130_fd_sc_hd__nand2_2 +*I *713:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *719:A1 I *D sky130_fd_sc_hd__a311o_2 +*I *655:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *633:A1 I *D sky130_fd_sc_hd__a21o_2 +*I *632:A I *D sky130_fd_sc_hd__nor2_2 +*I *625:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *714:A I *D sky130_fd_sc_hd__and4_2 +*I *804:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *711:A 1.33251e-05 +2 *713:A1 0 +3 *719:A1 1.2323e-05 +4 *655:A1_N 0 +5 *633:A1 0 +6 *632:A 0 +7 *625:B1 9.15422e-05 +8 *714:A 0.000237224 +9 *804:Q 0.00010876 +10 *208:50 0.000464151 +11 *208:47 0.000476827 +12 *208:40 0.000410112 +13 *208:30 0.000400421 +14 *208:14 0.00043229 +15 *208:11 0.000226828 +16 *208:7 0.000236052 +17 *208:30 *714:C 8.21761e-06 +18 *208:40 *714:C 1.76639e-05 +19 *208:47 *655:A2_N 0.000138006 +20 *208:50 *632:B 3.30199e-05 +21 *625:B2 *625:B1 6.50586e-05 +22 *643:B2 *625:B1 0.000147517 +23 *655:B1 *208:47 0.000122992 +24 *655:B1 *208:50 2.16355e-05 +25 *711:B *711:A 0 +26 *711:B *208:11 0.000145722 +27 *713:C1 *208:11 0 +28 *713:C1 *208:14 7.50872e-05 +29 *719:B1 *208:30 1.03403e-05 +30 *801:D *208:40 0.000146796 +31 *801:RESET_B *714:A 9.78191e-05 +32 *801:RESET_B *208:14 8.36586e-06 +33 *804:D *208:7 0.000120742 +34 *804:D *208:11 4.0752e-05 +35 *4:10 *625:B1 0.00022567 +36 *90:187 *208:40 2.26061e-05 +37 *116:18 *714:A 4.00671e-05 +38 *116:18 *208:14 1.19513e-05 +39 *126:82 *714:A 0.000255039 +40 *126:82 *208:14 0.000174446 +41 *127:47 *719:A1 3.13719e-06 +42 *127:57 *208:11 6.50586e-05 +43 *127:57 *208:14 0.000207545 +44 *127:63 *208:47 2.09356e-05 +45 *128:5 *208:50 8.85759e-05 +46 *135:19 *208:40 8.55629e-05 +47 *135:19 *208:47 1.3808e-05 +48 *135:27 *208:47 1.41976e-05 +49 *138:6 *625:B1 8.52652e-05 +50 *155:20 *208:50 1.01177e-05 +51 *204:16 *208:40 6.25403e-05 +52 *204:16 *208:47 0.000164275 +53 *204:31 *714:A 3.52794e-05 +54 *204:37 *714:A 2.41483e-05 +*RES +1 *804:Q *208:7 12.7697 +2 *208:7 *208:11 3.52053 +3 *208:11 *208:14 9.23876 +4 *208:14 *714:A 20.5228 +5 *208:14 *208:30 5.778 +6 *208:30 *208:40 8.307 +7 *208:40 *208:47 13.6465 +8 *208:47 *208:50 6.14887 +9 *208:50 *625:B1 22.8808 +10 *208:50 *632:A 9.24915 +11 *208:47 *633:A1 9.24915 +12 *208:40 *655:A1_N 9.24915 +13 *208:30 *719:A1 9.82786 +14 *208:11 *713:A1 9.24915 +15 *208:7 *711:A 9.82786 +*END + +*D_NET *209 0.00681889 +*CONN +*I *713:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *606:A I *D sky130_fd_sc_hd__inv_2 +*I *654:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *622:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *714:C I *D sky130_fd_sc_hd__and4_2 +*I *719:A3 I *D sky130_fd_sc_hd__a311o_2 +*I *805:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *713:B1 3.5672e-06 +2 *606:A 0.000129184 +3 *654:A1 0.000483164 +4 *622:B1 2.35129e-05 +5 *714:C 0.000380826 +6 *719:A3 3.17585e-05 +7 *805:Q 0.000373783 +8 *209:45 0.000676197 +9 *209:36 0.000197635 +10 *209:16 0.00051171 +11 *209:12 0.000250745 +12 *209:10 0.000418695 +13 *606:A *906:A 0 +14 *209:36 *622:A1 1.56384e-05 +15 *622:B2 *209:36 9.66998e-05 +16 *657:B1_N *654:A1 0 +17 *660:A2 *654:A1 5.04829e-06 +18 *712:A2 *713:B1 1.47046e-05 +19 *712:A2 *209:36 0.000330596 +20 *713:C1 *713:B1 1.47046e-05 +21 *713:C1 *209:10 0 +22 *713:C1 *209:12 4.3116e-06 +23 *717:B2 *714:C 6.66538e-05 +24 *719:B1 *714:C 0.000203756 +25 *719:B1 *719:A3 1.0759e-05 +26 *805:CLK *654:A1 1.41976e-05 +27 *810:CLK *606:A 0 +28 *820:CLK *606:A 3.43813e-05 +29 *39:8 *606:A 0.00011185 +30 *39:8 *209:45 4.84593e-05 +31 *90:40 *714:C 4.9e-05 +32 *90:187 *714:C 0.000396254 +33 *90:187 *209:16 8.94934e-06 +34 *112:10 *654:A1 0.000101118 +35 *116:5 *714:C 0.000107496 +36 *116:18 *714:C 0.000135927 +37 *126:87 *622:B1 1.41291e-05 +38 *126:87 *209:36 0.000731704 +39 *127:31 *714:C 0 +40 *127:57 *209:36 0.00026011 +41 *152:9 *654:A1 1.58551e-05 +42 *156:12 *654:A1 3.68284e-05 +43 *156:12 *209:10 8.5044e-05 +44 *196:25 *209:12 1.09738e-05 +45 *196:25 *209:16 4.1741e-05 +46 *204:8 *606:A 0.000186528 +47 *204:16 *606:A 8.62625e-06 +48 *204:16 *209:45 0.000117018 +49 *204:44 *714:C 3.31733e-05 +50 *208:30 *714:C 8.21761e-06 +51 *208:40 *714:C 1.76639e-05 +*RES +1 *805:Q *209:10 20.2256 +2 *209:10 *209:12 1.00149 +3 *209:12 *209:16 6.74725 +4 *209:16 *719:A3 10.2378 +5 *209:16 *714:C 31.0549 +6 *209:12 *209:36 13.4219 +7 *209:36 *622:B1 9.97254 +8 *209:36 *209:45 6.74725 +9 *209:45 *654:A1 30.296 +10 *209:45 *606:A 18.4879 +11 *209:10 *713:B1 13.924 +*END + +*D_NET *210 0.00168738 +*CONN +*I *628:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *611:A I *D sky130_fd_sc_hd__inv_2 +*I *816:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *628:A1 7.37289e-05 +2 *611:A 0 +3 *816:Q 0.000372467 +4 *210:10 0.000446196 +5 *628:A2 *628:A1 1.67329e-05 +6 *628:A2 *210:10 0 +7 *676:A2 *628:A1 0.000157962 +8 *804:CLK *210:10 2.39355e-05 +9 *806:D *210:10 7.58194e-05 +10 *816:D *210:10 0 +11 *816:RESET_B *210:10 1.32509e-05 +12 *155:20 *628:A1 0.000362216 +13 *204:211 *210:10 0.000145068 +*RES +1 *816:Q *210:10 28.0116 +2 *210:10 *611:A 9.24915 +3 *210:10 *628:A1 13.8789 +*END + +*D_NET *211 0.00258306 +*CONN +*I *637:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *627:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *636:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *636:B2 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *817:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *637:A2 0.00019638 +2 *627:A1 0.000259113 +3 *636:A2_N 0.000270661 +4 *636:B2 0 +5 *817:Q 0 +6 *211:15 0.000572821 +7 *211:9 0.000204614 +8 *211:4 0.000357947 +9 *627:A2 *627:A1 5.02589e-05 +10 *636:B1 *636:A2_N 2.07503e-05 +11 *636:B1 *211:9 5.04829e-06 +12 *636:B1 *211:15 2.07503e-05 +13 *637:B2 *211:9 0 +14 *817:D *627:A1 0.000228593 +15 *817:RESET_B *627:A1 6.78596e-05 +16 *817:RESET_B *211:9 0 +17 *90:68 *211:9 0 +18 *127:7 *627:A1 1.43594e-05 +19 *127:31 *637:A2 0.000164176 +20 *132:9 *211:9 0 +21 *206:9 *636:A2_N 9.90116e-05 +22 *206:9 *211:9 5.0715e-05 +23 *206:19 *636:A2_N 0 +*RES +1 *817:Q *211:4 9.24915 +2 *211:4 *211:9 12.5252 +3 *211:9 *636:B2 9.24915 +4 *211:9 *211:15 1.278 +5 *211:15 *636:A2_N 14.5541 +6 *211:15 *627:A1 17.96 +7 *211:4 *637:A2 15.2111 +*END + +*D_NET *212 0.00256963 +*CONN +*I *639:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *641:A2 I *D sky130_fd_sc_hd__a21oi_2 +*I *626:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *634:B I *D sky130_fd_sc_hd__nor2_2 +*I *818:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *639:A2_N 0.000107604 +2 *641:A2 1.8268e-05 +3 *626:A1 0 +4 *634:B 0.000173613 +5 *818:Q 0.000307113 +6 *212:33 0.000261865 +7 *212:14 0.000317941 +8 *212:7 0.000587434 +9 *626:A2 *634:B 3.90645e-05 +10 *626:A2 *212:14 5.17101e-05 +11 *626:B1 *212:14 4.38386e-05 +12 *626:B2 *634:B 6.29728e-05 +13 *626:B2 *639:A2_N 0 +14 *626:B2 *212:14 2.33193e-05 +15 *626:B2 *212:33 1.6594e-05 +16 *634:A *634:B 5.5082e-05 +17 *641:A1 *641:A2 0.000111802 +18 *641:A1 *212:7 4.58003e-05 +19 *641:B1 *212:7 3.64415e-05 +20 *818:D *634:B 1.00937e-05 +21 *818:D *212:7 5.04829e-06 +22 *130:8 *634:B 0.000164815 +23 *204:56 *641:A2 0.000114584 +24 *204:56 *212:7 1.46263e-05 +*RES +1 *818:Q *212:7 18.3548 +2 *212:7 *212:14 8.06628 +3 *212:14 *634:B 15.0122 +4 *212:14 *626:A1 9.24915 +5 *212:7 *212:33 2.88234 +6 *212:33 *641:A2 15.0271 +7 *212:33 *639:A2_N 15.9964 +*END + +*D_NET *213 0.00268792 +*CONN +*I *655:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *633:A2 I *D sky130_fd_sc_hd__a21o_2 +*I *632:B I *D sky130_fd_sc_hd__nor2_2 +*I *625:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *819:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *655:A2_N 0.000154858 +2 *633:A2 0 +3 *632:B 8.33318e-05 +4 *625:A1 0.000300635 +5 *819:Q 0 +6 *213:27 0.000175507 +7 *213:16 0.000215528 +8 *213:4 0.000412182 +9 *625:A2 *625:A1 2.02722e-05 +10 *625:B2 *625:A1 1.88157e-05 +11 *655:B1 *632:B 5.08751e-05 +12 *655:B1 *655:A2_N 5.70488e-06 +13 *655:B1 *213:27 1.37566e-05 +14 *819:D *625:A1 9.16193e-05 +15 *819:D *213:16 2.41483e-05 +16 *39:8 *213:16 9.98029e-06 +17 *39:8 *213:27 2.41999e-06 +18 *127:63 *655:A2_N 9.78191e-05 +19 *127:63 *213:16 0.000113374 +20 *127:63 *213:27 5.77203e-05 +21 *128:5 *632:B 0.000217923 +22 *155:20 *632:B 0.000423908 +23 *162:13 *213:16 2.652e-05 +24 *208:47 *655:A2_N 0.000138006 +25 *208:50 *632:B 3.30199e-05 +*RES +1 *819:Q *213:4 9.24915 +2 *213:4 *625:A1 14.6987 +3 *213:4 *213:16 7.47064 +4 *213:16 *632:B 18.9335 +5 *213:16 *213:27 1.00149 +6 *213:27 *633:A2 13.7491 +7 *213:27 *655:A2_N 17.4137 +*END + +*D_NET *214 0.00206123 +*CONN +*I *607:A I *D sky130_fd_sc_hd__inv_2 +*I *622:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *654:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *820:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *607:A 0.000167852 +2 *622:A1 9.16843e-05 +3 *654:A2 0.000114976 +4 *820:Q 0 +5 *214:8 0.000360058 +6 *214:5 0.000321249 +7 *622:A2 *622:A1 1.67329e-05 +8 *654:B2 *622:A1 3.2273e-05 +9 *654:B2 *654:A2 3.82228e-05 +10 *654:B2 *214:8 4.23793e-05 +11 *656:A *654:A2 0.000267458 +12 *656:A *214:8 0.000161452 +13 *656:B *214:8 0.000161452 +14 *657:A1 *654:A2 0 +15 *820:D *607:A 1.25173e-05 +16 *820:D *654:A2 2.41483e-05 +17 *820:D *214:8 6.91859e-05 +18 *4:10 *607:A 5.57222e-05 +19 *39:8 *607:A 7.03867e-05 +20 *39:8 *214:8 1.62054e-05 +21 *126:87 *622:A1 2.16355e-05 +22 *209:36 *622:A1 1.56384e-05 +*RES +1 *820:Q *214:5 13.7491 +2 *214:5 *214:8 10.4845 +3 *214:8 *654:A2 13.3544 +4 *214:8 *622:A1 11.6605 +5 *214:5 *607:A 18.7961 +*END + +*D_NET *215 0.00131207 +*CONN +*I *799:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *798:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *799:D 0.000642367 +2 *798:Q 0.000642367 +3 *798:RESET_B *799:D 2.73369e-05 +4 *799:RESET_B *799:D 0 +5 *799:CLK *799:D 0 +*RES +1 *798:Q *799:D 37.6788 +*END + +*D_NET *216 0.00182402 +*CONN +*I *620:B1 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *620:A1_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *800:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *799:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *620:B1 0 +2 *620:A1_N 0 +3 *800:D 0.000376101 +4 *799:Q 0.000248966 +5 *216:15 0.000496735 +6 *216:9 0.0003696 +7 *800:D *217:9 0 +8 *800:D *220:9 4.30017e-06 +9 *216:9 *217:9 2.65667e-05 +10 *216:15 *620:A2_N 9.07495e-05 +11 *216:15 *217:9 2.41483e-05 +12 *90:121 *800:D 0.000181806 +13 *126:11 *800:D 5.04829e-06 +*RES +1 *799:Q *216:9 24.1266 +2 *216:9 *216:15 3.80989 +3 *216:15 *800:D 27.5052 +4 *216:15 *620:A1_N 9.24915 +5 *216:9 *620:B1 9.24915 +*END + +*D_NET *217 0.000554581 +*CONN +*I *620:B2 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *620:A2_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *800:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *620:B2 0 +2 *620:A2_N 6.68917e-05 +3 *800:Q 0.000139666 +4 *217:9 0.000206558 +5 *800:D *217:9 0 +6 *216:9 *217:9 2.65667e-05 +7 *216:15 *620:A2_N 9.07495e-05 +8 *216:15 *217:9 2.41483e-05 +*RES +1 *800:Q *217:9 21.7744 +2 *217:9 *620:A2_N 11.6605 +3 *217:9 *620:B2 9.24915 +*END + +*D_NET *218 0.00325714 +*CONN +*I *668:D I *D sky130_fd_sc_hd__and4_2 +*I *630:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *631:A I *D sky130_fd_sc_hd__or2_2 +*I *813:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *668:D 0.000148671 +2 *630:B1 1.90605e-05 +3 *631:A 0.000368133 +4 *813:Q 0 +5 *218:21 0.00021578 +6 *218:4 0.000416181 +7 *668:D *630:A1 2.16355e-05 +8 *218:21 *630:A1 2.65667e-05 +9 *630:A2 *218:21 0.000247485 +10 *630:B2 *668:D 0.00039186 +11 *631:B *631:A 0 +12 *813:RESET_B *631:A 0.000122378 +13 *29:12 *631:A 0 +14 *29:12 *668:D 0.000342886 +15 *90:73 *631:A 0.00011579 +16 *90:83 *631:A 0.00036218 +17 *90:94 *668:D 0 +18 *126:21 *631:A 5.68225e-06 +19 *127:120 *668:D 3.20069e-06 +20 *204:106 *630:B1 4.80635e-06 +21 *204:106 *631:A 1.44611e-05 +22 *204:106 *668:D 5.08751e-05 +23 *204:106 *218:21 0.000379505 +*RES +1 *813:Q *218:4 9.24915 +2 *218:4 *631:A 37.7666 +3 *218:4 *218:21 4.05102 +4 *218:21 *630:B1 9.82786 +5 *218:21 *668:D 26.2028 +*END + +*D_NET *219 0.00257707 +*CONN +*I *668:A I *D sky130_fd_sc_hd__and4_2 +*I *629:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *630:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *814:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *668:A 2.48228e-05 +2 *629:A1 0.000216459 +3 *630:A1 0.000158764 +4 *814:Q 0.000231612 +5 *219:19 0.00037314 +6 *219:7 0.000522234 +7 *629:A1 *629:B1 7.64228e-05 +8 *629:A1 *220:9 1.00846e-05 +9 *668:A *220:9 1.43983e-05 +10 *630:B2 *630:A1 9.2346e-06 +11 *630:B2 *219:19 0.000108726 +12 *668:B *629:A1 6.50727e-05 +13 *668:D *630:A1 2.16355e-05 +14 *814:RESET_B *630:A1 7.86847e-05 +15 *817:CLK *629:A1 0 +16 *90:106 *630:A1 9.75356e-05 +17 *90:106 *219:7 4.31703e-05 +18 *90:106 *219:19 5.92342e-05 +19 *126:11 *219:7 4.41269e-05 +20 *127:107 *629:A1 0.000107041 +21 *127:120 *629:A1 0.000222631 +22 *204:106 *630:A1 6.5475e-05 +23 *204:126 *219:19 0 +24 *218:21 *630:A1 2.65667e-05 +*RES +1 *814:Q *219:7 17.2456 +2 *219:7 *630:A1 18.523 +3 *219:7 *219:19 8.82351 +4 *219:19 *629:A1 16.0973 +5 *219:19 *668:A 9.97254 +*END + +*D_NET *220 0.0020173 +*CONN +*I *668:C I *D sky130_fd_sc_hd__and4_2 +*I *629:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *815:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *668:C 0 +2 *629:B1 0.000206169 +3 *815:Q 0.000468182 +4 *220:9 0.000674351 +5 *629:A1 *629:B1 7.64228e-05 +6 *629:A1 *220:9 1.00846e-05 +7 *668:A *220:9 1.43983e-05 +8 *668:B *629:B1 1.41976e-05 +9 *668:B *220:9 2.15348e-05 +10 *676:C1 *629:B1 0.000111708 +11 *800:D *220:9 4.30017e-06 +12 *800:CLK *220:9 5.07314e-05 +13 *815:RESET_B *220:9 7.50872e-05 +14 *90:121 *220:9 0 +15 *127:107 *629:B1 5.61116e-05 +16 *127:120 *629:B1 0.000234021 +*RES +1 *815:Q *220:9 25.9353 +2 *220:9 *629:B1 15.5427 +3 *220:9 *668:C 9.24915 +*END + +*D_NET *221 0.00641757 +*CONN +*I *742:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *669:B I *D sky130_fd_sc_hd__or2_2 +*I *616:A I *D sky130_fd_sc_hd__inv_2 +*I *701:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *682:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *705:B1 I *D sky130_fd_sc_hd__a32o_2 +*I *808:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *742:A2 0.000328746 +2 *669:B 0 +3 *616:A 0.000147089 +4 *701:A0 0 +5 *682:A1 0 +6 *705:B1 7.95787e-06 +7 *808:Q 0.000572234 +8 *221:53 0.000605838 +9 *221:39 0.000467053 +10 *221:31 0.000390554 +11 *221:21 0.000445741 +12 *221:12 0.00067825 +13 *616:A *838:A 3.20069e-06 +14 *742:A2 *222:40 6.64907e-05 +15 *221:53 *838:A 3.77804e-05 +16 *221:53 *222:18 0 +17 *221:53 *225:206 0.0003233 +18 *663:A *742:A2 0.000238537 +19 *666:C *221:21 2.19131e-05 +20 *666:C *221:31 3.42876e-05 +21 *670:A *742:A2 1.1246e-05 +22 *670:A *221:53 6.52949e-05 +23 *683:B1 *221:31 0.000165432 +24 *700:B *705:B1 2.43387e-05 +25 *701:A1 *616:A 6.92705e-05 +26 *701:A1 *221:31 8.76674e-06 +27 *701:S *616:A 2.41483e-05 +28 *705:A3 *705:B1 8.65421e-06 +29 *736:B *742:A2 3.20069e-06 +30 *736:C *742:A2 6.50586e-05 +31 *737:A3 *742:A2 0.000174769 +32 *744:C *742:A2 2.87219e-05 +33 *773:A0 *616:A 0.000383717 +34 *773:A0 *221:31 0.000207266 +35 *773:A0 *221:39 4.66492e-05 +36 *808:CLK *221:12 3.87516e-05 +37 *29:12 *221:12 4.00563e-05 +38 *29:12 *221:21 3.98412e-05 +39 *29:12 *221:31 2.08059e-05 +40 *55:25 *742:A2 7.26831e-05 +41 *118:110 *221:31 0.000186445 +42 *122:10 *616:A 2.85274e-05 +43 *122:33 *616:A 1.70275e-05 +44 *122:33 *742:A2 1.69932e-05 +45 *122:33 *221:53 3.795e-05 +46 *157:130 *221:12 0 +47 *170:61 *221:12 0.000145065 +48 *170:61 *221:21 4.33979e-05 +49 *170:75 *221:21 7.14746e-05 +50 *173:20 *221:31 2.6134e-06 +51 *204:155 *221:12 4.28856e-07 +*RES +1 *808:Q *221:12 24.1395 +2 *221:12 *705:B1 14.0385 +3 *221:12 *221:21 3.07775 +4 *221:21 *682:A1 13.7491 +5 *221:21 *221:31 12.7352 +6 *221:31 *701:A0 9.24915 +7 *221:31 *221:39 5.2234 +8 *221:39 *616:A 19.7054 +9 *221:39 *221:53 8.47603 +10 *221:53 *669:B 13.7491 +11 *221:53 *742:A2 24.7561 +*END + +*D_NET *222 0.0170054 +*CONN +*I *703:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *703:B1 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *615:A I *D sky130_fd_sc_hd__inv_2 +*I *735:A1 I *D sky130_fd_sc_hd__o311a_2 +*I *738:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *721:A I *D sky130_fd_sc_hd__or2_2 +*I *724:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *728:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *745:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *733:A3 I *D sky130_fd_sc_hd__a31o_2 +*I *669:A I *D sky130_fd_sc_hd__or2_2 +*I *809:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *703:A1_N 0 +2 *703:B1 0 +3 *615:A 0 +4 *735:A1 0.000243915 +5 *738:A1 3.84679e-05 +6 *721:A 2.06324e-05 +7 *724:A3 2.408e-05 +8 *728:A4 6.84298e-05 +9 *745:A3 1.89516e-05 +10 *733:A3 0.000588087 +11 *669:A 0.000130394 +12 *809:Q 0.000602841 +13 *222:86 0.000305795 +14 *222:77 0.00151949 +15 *222:75 0.00140499 +16 *222:74 0.000479599 +17 *222:45 0.000947566 +18 *222:40 0.000720224 +19 *222:34 0.000485001 +20 *222:18 0.000891614 +21 *222:13 0.000577443 +22 *222:11 0.000679108 +23 *669:A *830:TE 6.08467e-05 +24 *724:A3 *225:88 6.08467e-05 +25 *728:A4 *225:88 0.000116971 +26 *733:A3 *689:A1 2.10465e-05 +27 *733:A3 *223:73 7.6981e-05 +28 *733:A3 *225:49 2.16355e-05 +29 *733:A3 *225:63 3.64697e-05 +30 *733:A3 *225:67 8.01687e-05 +31 *733:A3 *249:21 0.00015901 +32 *735:A1 *243:16 7.98425e-06 +33 *745:A3 *250:21 0.000171273 +34 *222:18 *830:TE 2.95757e-05 +35 *222:18 *836:A 5.67995e-05 +36 *222:18 *838:A 0 +37 *222:18 *250:24 3.81447e-05 +38 *222:18 *252:6 5.12093e-05 +39 *222:18 *252:8 0.000104979 +40 *222:34 *830:TE 0.000193108 +41 *222:34 *250:21 3.4252e-05 +42 *222:45 *745:A2 0 +43 *222:75 *243:16 4.89898e-06 +44 *222:77 *243:16 1.49927e-05 +45 *664:A *733:A3 7.34948e-06 +46 *665:A *733:A3 0.000264614 +47 *665:B *733:A3 0 +48 *665:C *733:A3 6.63455e-05 +49 *703:A2_N *222:13 0.000119957 +50 *703:A2_N *222:18 3.14978e-05 +51 *703:B2 *222:13 6.92705e-05 +52 *703:B2 *222:18 6.50727e-05 +53 *720:B *733:A3 0.000365062 +54 *724:A2 *724:A3 5.04829e-06 +55 *724:B1 *724:A3 5.04829e-06 +56 *724:B1 *222:86 6.23875e-05 +57 *730:B *222:77 7.68538e-06 +58 *732:A3 *733:A3 5.77352e-05 +59 *735:A2 *735:A1 1.00846e-05 +60 *735:A3 *735:A1 0.000207301 +61 *735:A3 *738:A1 0 +62 *735:A3 *222:75 6.08467e-05 +63 *737:A2 *222:74 2.95757e-05 +64 *738:B1 *222:77 7.22498e-05 +65 *740:B1 *222:45 7.08723e-06 +66 *742:A2 *222:40 6.64907e-05 +67 *745:B1 *745:A3 0.000118166 +68 *756:A *222:11 2.29125e-05 +69 *757:A *222:11 1.00617e-05 +70 *771:A1 *222:74 4.33979e-05 +71 *774:A0 *222:77 0.000470379 +72 *774:A1 *222:77 1.65872e-05 +73 *777:A0 *222:40 9.23706e-05 +74 *779:A0 *735:A1 1.64789e-05 +75 *781:A0 *222:45 0 +76 *790:A0 *728:A4 2.04806e-05 +77 *795:A0 *733:A3 6.27782e-05 +78 *795:S *733:A3 1.03594e-05 +79 *796:A1 *728:A4 7.77309e-06 +80 *796:A1 *222:86 9.70932e-05 +81 *809:D *222:11 9.13202e-05 +82 *16:12 *733:A3 1.85863e-05 +83 *40:29 *222:74 0.000296663 +84 *44:20 *222:77 0.000213739 +85 *44:22 *222:77 0.000353672 +86 *44:29 *222:77 6.08467e-05 +87 *55:7 *733:A3 0.000118166 +88 *55:25 *222:40 6.52144e-05 +89 *119:29 *733:A3 0.000661496 +90 *121:5 *222:34 6.08467e-05 +91 *121:60 *222:34 0.000145821 +92 *122:10 *222:18 4.15008e-05 +93 *122:33 *222:18 0 +94 *160:14 *733:A3 8.62625e-06 +95 *160:43 *733:A3 0.000104747 +96 *160:43 *738:A1 0 +97 *160:43 *222:45 0.000108405 +98 *160:43 *222:77 0.000228929 +99 *164:7 *669:A 1.43983e-05 +100 *164:22 *222:34 0.000158357 +101 *164:30 *745:A3 1.41291e-05 +102 *166:67 *222:74 0.000329319 +103 *173:19 *222:18 0 +104 *201:10 *733:A3 6.78549e-05 +105 *201:20 *728:A4 9.24241e-05 +106 *201:20 *222:86 2.3905e-05 +107 *201:31 *222:86 6.90197e-05 +108 *221:53 *222:18 0 +*RES +1 *809:Q *222:11 28.2247 +2 *222:11 *222:13 3.31557 +3 *222:13 *222:18 18.6825 +4 *222:18 *669:A 16.691 +5 *222:18 *222:34 13.3461 +6 *222:34 *222:40 9.58673 +7 *222:40 *222:45 5.99372 +8 *222:45 *733:A3 44.6559 +9 *222:45 *745:A3 15.5817 +10 *222:40 *222:74 14.4762 +11 *222:74 *222:75 1.278 +12 *222:75 *222:77 21.7983 +13 *222:77 *222:86 9.62299 +14 *222:86 *728:A4 16.4116 +15 *222:86 *724:A3 14.4725 +16 *222:77 *721:A 9.82786 +17 *222:75 *738:A1 10.2378 +18 *222:74 *735:A1 13.7342 +19 *222:34 *615:A 9.24915 +20 *222:13 *703:B1 9.24915 +21 *222:11 *703:A1_N 9.24915 +*END + +*D_NET *223 0.0107086 +*CONN +*I *732:A2 I *D sky130_fd_sc_hd__a31o_2 +*I *733:A2 I *D sky130_fd_sc_hd__a31o_2 +*I *745:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *739:B I *D sky130_fd_sc_hd__or4_2 +*I *614:A I *D sky130_fd_sc_hd__inv_2 +*I *671:B I *D sky130_fd_sc_hd__or2_2 +*I *727:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *728:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *729:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *731:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *684:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *699:B1 I *D sky130_fd_sc_hd__a32o_2 +*I *810:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *732:A2 4.50535e-05 +2 *733:A2 0 +3 *745:A2 0.000442792 +4 *739:B 0 +5 *614:A 6.49026e-05 +6 *671:B 0 +7 *727:A2 7.09898e-05 +8 *728:A2 6.95918e-05 +9 *729:A2 0 +10 *731:A2 0.00011562 +11 *684:A1 0.000164308 +12 *699:B1 5.08166e-05 +13 *810:Q 0.00036243 +14 *223:94 0.000717514 +15 *223:79 0.000127761 +16 *223:75 0.000337581 +17 *223:73 0.000224332 +18 *223:67 0.000394758 +19 *223:52 0.000273572 +20 *223:43 0.000221955 +21 *223:32 0.000260256 +22 *223:29 0.000601853 +23 *223:11 0.000313334 +24 *223:8 0.000881447 +25 *614:A *671:A 5.28741e-05 +26 *614:A *249:21 0.000104225 +27 *727:A2 *727:A3 2.16355e-05 +28 *745:A2 *250:21 0.000521602 +29 *223:29 *689:A1 0 +30 *223:29 *913:A 0 +31 *223:29 *916:A 0 +32 *223:43 *731:A3 0.000101148 +33 *223:43 *225:124 1.07248e-05 +34 *223:52 *225:124 0.000116454 +35 *223:73 *225:49 0.000107496 +36 *223:79 *671:A 2.29454e-05 +37 *223:79 *224:27 4.9e-05 +38 *223:79 *225:49 0.000161243 +39 *223:79 *249:21 9.25026e-05 +40 *223:94 *224:27 8.36586e-06 +41 *223:94 *224:44 8.53291e-05 +42 *223:94 *250:21 6.79181e-05 +43 *223:94 *258:9 6.50727e-05 +44 *695:A *223:8 0.000164829 +45 *696:B2 *223:8 2.15184e-05 +46 *697:A1 *684:A1 1.54703e-05 +47 *697:A1 *699:B1 6.94667e-05 +48 *697:A1 *223:11 5.61179e-05 +49 *697:A2 *223:11 0.000167076 +50 *697:B1 *223:8 0.000143032 +51 *699:A1 *684:A1 1.41976e-05 +52 *699:A1 *223:11 0.000246176 +53 *699:A2 *684:A1 6.3657e-05 +54 *699:A3 *684:A1 0.000134172 +55 *699:B2 *223:11 6.08467e-05 +56 *727:B1 *727:A2 1.92172e-05 +57 *728:A1 *727:A2 5.08751e-05 +58 *728:A1 *728:A2 0.000317693 +59 *728:A1 *223:52 6.5713e-05 +60 *729:A4 *223:52 5.1573e-05 +61 *731:A1 *731:A2 0.000134115 +62 *731:A1 *223:32 5.07314e-05 +63 *731:B1 *223:43 1.18802e-05 +64 *733:A3 *223:73 7.6981e-05 +65 *743:B *745:A2 0 +66 *743:B *223:94 0 +67 *795:A0 *223:73 4.66889e-05 +68 *795:S *223:29 1.56426e-05 +69 *796:A1 *728:A2 1.61631e-05 +70 *811:D *223:8 3.77804e-05 +71 *5:78 *223:79 5.23435e-05 +72 *5:78 *223:94 0.000242213 +73 *16:12 *223:29 2.8322e-05 +74 *16:12 *223:43 0 +75 *16:12 *223:73 6.93171e-05 +76 *43:27 *728:A2 5.13134e-05 +77 *48:8 *223:52 4.3116e-06 +78 *119:29 *732:A2 1.03403e-05 +79 *119:29 *223:67 2.99747e-05 +80 *119:40 *223:43 5.54078e-05 +81 *119:40 *223:52 3.34802e-05 +82 *166:5 *614:A 6.08467e-05 +83 *170:29 *223:8 5.66868e-06 +84 *170:29 *223:29 6.08697e-06 +85 *186:10 *223:29 0 +86 *201:10 *223:94 2.99929e-05 +87 *201:11 *727:A2 0.000142194 +88 *201:20 *727:A2 0.00011818 +89 *201:20 *728:A2 0.000387599 +90 *222:45 *745:A2 0 +*RES +1 *810:Q *223:8 20.8779 +2 *223:8 *223:11 9.10562 +3 *223:11 *699:B1 11.0637 +4 *223:11 *684:A1 13.8548 +5 *223:8 *223:29 12.976 +6 *223:29 *223:32 1.85672 +7 *223:32 *731:A2 12.7697 +8 *223:32 *223:43 7.57775 +9 *223:43 *729:A2 13.7491 +10 *223:43 *223:52 9.23876 +11 *223:52 *728:A2 14.4335 +12 *223:52 *727:A2 12.191 +13 *223:29 *223:67 1.8326 +14 *223:67 *223:73 13.3586 +15 *223:73 *223:75 4.5 +16 *223:75 *223:79 7.8887 +17 *223:79 *671:B 9.24915 +18 *223:79 *614:A 12.191 +19 *223:75 *223:94 11.626 +20 *223:94 *739:B 9.24915 +21 *223:94 *745:A2 26.1135 +22 *223:73 *733:A2 9.24915 +23 *223:67 *732:A2 9.97254 +*END + +*D_NET *224 0.00365989 +*CONN +*I *685:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *722:A I *D sky130_fd_sc_hd__or2_2 +*I *732:A1 I *D sky130_fd_sc_hd__a31o_2 +*I *671:A I *D sky130_fd_sc_hd__or2_2 +*I *733:A1 I *D sky130_fd_sc_hd__a31o_2 +*I *613:A I *D sky130_fd_sc_hd__inv_2 +*I *811:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *685:B1 2.68606e-05 +2 *722:A 2.52839e-05 +3 *732:A1 0.000181811 +4 *671:A 0.000193646 +5 *733:A1 0 +6 *613:A 5.59405e-05 +7 *811:Q 8.43429e-05 +8 *224:44 0.000290446 +9 *224:29 0.000193646 +10 *224:27 0.000263437 +11 *224:10 0.000533625 +12 *224:6 0.000408802 +13 *613:A *322:7 4.94028e-05 +14 *671:A *225:49 0.000111802 +15 *732:A1 *732:B1 6.08467e-05 +16 *224:10 *689:A1 1.04965e-05 +17 *224:27 *689:A1 2.93258e-05 +18 *224:27 *225:46 6.64671e-06 +19 *614:A *671:A 5.28741e-05 +20 *684:B1 *224:10 0 +21 *684:B1 *224:27 0 +22 *684:B2 *224:10 0 +23 *685:A2 *224:10 0 +24 *685:B2 *685:B1 1.55025e-05 +25 *687:A2 *685:B1 0.000117376 +26 *694:A2 *224:10 0.00031579 +27 *699:A2 *224:10 9.32927e-05 +28 *743:B *224:27 0 +29 *743:B *224:44 0 +30 *5:78 *224:27 2.3301e-05 +31 *119:29 *722:A 2.57986e-05 +32 *119:29 *732:A1 2.53994e-05 +33 *157:16 *224:6 4.87343e-05 +34 *157:16 *224:10 5.41227e-05 +35 *157:19 *685:B1 6.47059e-05 +36 *178:5 *685:B1 6.3657e-05 +37 *185:11 *613:A 6.22882e-05 +38 *186:10 *613:A 5.04829e-06 +39 *223:79 *671:A 2.29454e-05 +40 *223:79 *224:27 4.9e-05 +41 *223:94 *224:27 8.36586e-06 +42 *223:94 *224:44 8.53291e-05 +*RES +1 *811:Q *224:6 15.9964 +2 *224:6 *224:10 7.96307 +3 *224:10 *613:A 16.1364 +4 *224:10 *224:27 4.32351 +5 *224:27 *224:29 4.5 +6 *224:29 *733:A1 9.24915 +7 *224:29 *671:A 12.7697 +8 *224:27 *224:44 6.332 +9 *224:44 *732:A1 12.2151 +10 *224:44 *722:A 9.97254 +11 *224:6 *685:B1 15.5817 +*END + +*D_NET *225 0.0220657 +*CONN +*I *744:A I *D sky130_fd_sc_hd__and3_2 +*I *771:S I *D sky130_fd_sc_hd__mux2_1 +*I *735:B1 I *D sky130_fd_sc_hd__o311a_2 +*I *612:A I *D sky130_fd_sc_hd__inv_2 +*I *746:B1 I *D sky130_fd_sc_hd__o311a_2 +*I *733:B1 I *D sky130_fd_sc_hd__a31o_2 +*I *732:B1 I *D sky130_fd_sc_hd__a31o_2 +*I *723:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *727:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *720:A I *D sky130_fd_sc_hd__or2_2 +*I *729:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *731:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *726:A1 I *D sky130_fd_sc_hd__o21a_2 +*I *725:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *724:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *728:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *689:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *674:A I *D sky130_fd_sc_hd__or2_2 +*I *768:A I *D sky130_fd_sc_hd__buf_1 +*I *812:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *744:A 0 +2 *771:S 0.000172796 +3 *735:B1 1.47608e-05 +4 *612:A 1.81917e-05 +5 *746:B1 5.04036e-05 +6 *733:B1 0 +7 *732:B1 1.98947e-05 +8 *723:A3 0.000195612 +9 *727:A3 0.000115203 +10 *720:A 0.000100327 +11 *729:A3 0 +12 *731:A3 0.000106531 +13 *726:A1 3.32626e-05 +14 *725:A1 9.34923e-06 +15 *724:A1 0 +16 *728:A3 0 +17 *689:A1 0.000575884 +18 *674:A 0 +19 *768:A 0 +20 *812:Q 0.000382796 +21 *225:216 0.000693486 +22 *225:206 0.000642793 +23 *225:150 0.000348182 +24 *225:124 0.000149259 +25 *225:114 0.000104284 +26 *225:113 0.00025759 +27 *225:99 0.00031421 +28 *225:88 0.0003789 +29 *225:75 0.000648476 +30 *225:70 0.00074734 +31 *225:69 0.000417071 +32 *225:67 0.000202347 +33 *225:63 0.000249675 +34 *225:49 0.000708657 +35 *225:46 0.000898661 +36 *225:39 0.00106298 +37 *225:29 0.000334455 +38 *225:24 0.000270539 +39 *225:22 0.00131021 +40 *225:8 0.00145636 +41 *720:A *250:21 2.71504e-05 +42 *726:A1 *265:13 3.98041e-05 +43 *726:A1 *286:13 0.000107499 +44 *225:22 *831:TE 1.00846e-05 +45 *225:22 *837:A 2.16355e-05 +46 *225:22 *838:TE 0.000262967 +47 *225:22 *840:TE_B 0.000250353 +48 *225:22 *841:A 6.39307e-05 +49 *225:22 *247:7 6.01574e-05 +50 *225:24 *837:A 2.65667e-05 +51 *225:49 *249:21 7.67734e-06 +52 *225:70 *250:21 3.76697e-05 +53 *225:75 *250:21 6.04131e-05 +54 *225:99 *875:TE_B 0.000120584 +55 *225:113 *875:TE_B 0.000130808 +56 *225:113 *286:13 4.0752e-05 +57 *225:206 *837:A 0.00011911 +58 *663:A *225:216 6.13051e-05 +59 *666:C *225:29 0.000188368 +60 *666:C *225:39 1.00004e-05 +61 *671:A *225:49 0.000111802 +62 *681:A *612:A 0 +63 *688:A *689:A1 2.65667e-05 +64 *691:A1 *689:A1 0.000116764 +65 *694:A2 *689:A1 0.000308648 +66 *699:B2 *689:A1 8.51085e-05 +67 *724:A2 *225:88 5.51483e-06 +68 *724:A3 *225:88 6.08467e-05 +69 *724:B1 *225:88 7.92757e-06 +70 *726:A2 *225:113 0.000113954 +71 *727:A1 *723:A3 2.4562e-05 +72 *727:A1 *225:67 4.9073e-05 +73 *727:A1 *225:70 7.13972e-05 +74 *727:A1 *225:150 8.89094e-05 +75 *727:A2 *727:A3 2.16355e-05 +76 *727:B1 *727:A3 1.32376e-05 +77 *728:A4 *225:88 0.000116971 +78 *729:A4 *225:124 5.92192e-05 +79 *731:A1 *731:A3 0.000169041 +80 *731:B1 *731:A3 4.27148e-05 +81 *731:B1 *225:124 2.30271e-05 +82 *732:A1 *732:B1 6.08467e-05 +83 *732:A3 *225:67 6.17551e-05 +84 *733:A3 *689:A1 2.10465e-05 +85 *733:A3 *225:49 2.16355e-05 +86 *733:A3 *225:63 3.64697e-05 +87 *733:A3 *225:67 8.01687e-05 +88 *735:A2 *735:B1 6.08467e-05 +89 *735:A3 *735:B1 6.08467e-05 +90 *736:B *225:216 4.57241e-06 +91 *744:C *225:216 0.000404218 +92 *745:B1 *225:216 0.000231303 +93 *746:A2 *746:B1 6.08467e-05 +94 *746:A3 *746:B1 1.32108e-05 +95 *746:C1 *746:B1 2.0441e-05 +96 *771:A1 *771:S 0.000343352 +97 *771:A1 *225:216 1.07248e-05 +98 *772:A0 *225:75 7.97944e-05 +99 *773:A0 *225:29 4.88704e-05 +100 *773:A0 *225:39 4.19401e-06 +101 *773:A0 *225:216 1.03594e-05 +102 *773:A1 *225:8 0 +103 *774:A1 *723:A3 5.04829e-06 +104 *775:A0 *225:8 6.66538e-05 +105 *775:A1 *225:8 0.000160073 +106 *777:A0 *225:216 1.5714e-05 +107 *786:A0 *225:88 8.37812e-05 +108 *786:A0 *225:99 2.18942e-05 +109 *787:A0 *771:S 0.000345368 +110 *787:A0 *225:216 0.000139435 +111 *790:A0 *225:75 1.77537e-06 +112 *790:A0 *225:88 9.43293e-05 +113 *793:S *727:A3 0 +114 *794:A0 *225:46 2.32616e-05 +115 *795:S *689:A1 9.05084e-06 +116 *796:A1 *225:88 9.24241e-05 +117 *797:A1 *612:A 1.09551e-05 +118 *797:A1 *225:22 0 +119 *797:A1 *225:24 1.65872e-05 +120 *797:A1 *225:29 7.48633e-05 +121 *5:51 *225:75 0 +122 *5:63 *725:A1 6.50727e-05 +123 *5:78 *689:A1 9.43419e-05 +124 *5:78 *225:63 0.000110415 +125 *5:78 *225:67 6.39738e-05 +126 *5:260 *225:22 0 +127 *16:12 *723:A3 2.3864e-05 +128 *40:12 *225:216 2.14817e-05 +129 *40:29 *225:216 0.000218426 +130 *43:8 *225:75 0 +131 *43:8 *225:88 0 +132 *43:10 *225:75 0 +133 *44:6 *723:A3 0.000171777 +134 *44:6 *225:67 0.000119416 +135 *44:6 *225:150 9.75356e-05 +136 *44:20 *723:A3 1.96574e-05 +137 *44:22 *723:A3 1.03403e-05 +138 *44:51 *725:A1 6.50727e-05 +139 *118:18 *746:B1 7.13655e-06 +140 *119:29 *732:B1 2.16355e-05 +141 *122:33 *225:206 0.000164997 +142 *122:33 *225:216 8.89497e-06 +143 *157:16 *689:A1 0.000409212 +144 *160:14 *746:B1 1.91391e-05 +145 *160:53 *723:A3 0.000160617 +146 *164:30 *225:46 0 +147 *164:45 *723:A3 2.47663e-05 +148 *165:5 *746:B1 1.41976e-05 +149 *166:49 *746:B1 3.01917e-05 +150 *170:22 *689:A1 2.82583e-05 +151 *170:29 *689:A1 0 +152 *171:6 *689:A1 5.53934e-05 +153 *171:72 *689:A1 8.79328e-05 +154 *186:10 *689:A1 2.1558e-05 +155 *201:11 *727:A3 1.58551e-05 +156 *201:20 *225:88 2.39535e-05 +157 *201:20 *225:99 5.22654e-06 +158 *201:31 *225:99 6.43677e-05 +159 *201:31 *225:113 3.20069e-06 +160 *221:53 *225:206 0.0003233 +161 *223:29 *689:A1 0 +162 *223:43 *731:A3 0.000101148 +163 *223:43 *225:124 1.07248e-05 +164 *223:52 *225:124 0.000116454 +165 *223:73 *225:49 0.000107496 +166 *223:79 *225:49 0.000161243 +167 *224:10 *689:A1 1.04965e-05 +168 *224:27 *689:A1 2.93258e-05 +169 *224:27 *225:46 6.64671e-06 +*RES +1 *812:Q *225:8 23.7791 +2 *225:8 *768:A 13.7491 +3 *225:8 *225:22 23.4577 +4 *225:22 *225:24 2.38721 +5 *225:24 *225:29 9.82561 +6 *225:29 *674:A 13.7491 +7 *225:29 *225:39 4.10324 +8 *225:39 *225:46 9.67411 +9 *225:46 *225:49 7.44181 +10 *225:49 *689:A1 33.6819 +11 *225:49 *225:63 2.24725 +12 *225:63 *225:67 5.88681 +13 *225:67 *225:69 4.5 +14 *225:69 *225:70 4.60562 +15 *225:70 *225:75 11.3529 +16 *225:75 *728:A3 13.7491 +17 *225:75 *225:88 15.4664 +18 *225:88 *724:A1 13.7491 +19 *225:88 *225:99 3.98154 +20 *225:99 *725:A1 14.4725 +21 *225:99 *225:113 18.2442 +22 *225:113 *225:114 81.1229 +23 *225:114 *726:A1 19.7763 +24 *225:70 *225:124 6.74725 +25 *225:124 *731:A3 17.4137 +26 *225:124 *729:A3 13.7491 +27 *225:69 *720:A 11.2747 +28 *225:67 *225:150 1.832 +29 *225:150 *727:A3 16.1605 +30 *225:150 *723:A3 19.9785 +31 *225:63 *732:B1 14.4725 +32 *225:46 *733:B1 9.24915 +33 *225:39 *746:B1 18.7987 +34 *225:24 *612:A 9.82786 +35 *225:22 *225:206 14.9845 +36 *225:206 *225:216 19.1979 +37 *225:216 *735:B1 14.4725 +38 *225:216 *771:S 20.1489 +39 *225:206 *744:A 9.24915 +*END + +*D_NET *226 0.0012705 +*CONN +*I *618:A I *D sky130_fd_sc_hd__inv_2 +*I *675:B I *D sky130_fd_sc_hd__or3_2 +*I *708:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *806:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *618:A 5.00982e-05 +2 *675:B 4.56146e-05 +3 *708:A1 3.5247e-05 +4 *806:Q 4.51842e-05 +5 *226:11 0.000195913 +6 *226:7 0.000210333 +7 *676:A1 *226:7 6.08467e-05 +8 *708:A2 *618:A 0.000104943 +9 *708:A2 *226:11 0.000200433 +10 *708:B2 *618:A 7.26748e-05 +11 *708:B2 *226:11 0.000199571 +12 *41:29 *675:B 4.30017e-06 +13 *171:34 *618:A 4.53418e-05 +*RES +1 *806:Q *226:7 14.4725 +2 *226:7 *226:11 8.7164 +3 *226:11 *708:A1 10.2378 +4 *226:11 *675:B 9.97254 +5 *226:7 *618:A 15.9964 +*END + +*D_NET *227 0.00462158 +*CONN +*I *617:A I *D sky130_fd_sc_hd__inv_2 +*I *679:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *675:A I *D sky130_fd_sc_hd__or3_2 +*I *807:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *617:A 0.00046851 +2 *679:A1 0 +3 *675:A 0.000383688 +4 *807:Q 0.000599718 +5 *227:21 0.000510616 +6 *227:11 0.00102551 +7 *676:B2 *675:A 5.56461e-05 +8 *679:A2 *617:A 6.95568e-05 +9 *679:A2 *227:11 6.63851e-05 +10 *679:A2 *227:21 1.41336e-05 +11 *680:B1 *617:A 6.50586e-05 +12 *680:B2 *617:A 0.000324137 +13 *812:D *617:A 0.00047703 +14 *815:D *675:A 2.16355e-05 +15 *815:CLK *675:A 0.000171288 +16 *29:12 *617:A 3.52645e-06 +17 *29:12 *675:A 0 +18 *29:12 *227:21 0 +19 *41:29 *675:A 6.50586e-05 +20 *123:9 *617:A 1.41853e-05 +21 *123:18 *617:A 3.8722e-05 +22 *124:50 *617:A 1.85244e-05 +23 *172:8 *227:11 0.000217923 +24 *173:6 *617:A 1.07248e-05 +25 *204:135 *675:A 0 +26 *204:135 *227:21 0 +27 *204:144 *617:A 0 +*RES +1 *807:Q *227:11 28.5305 +2 *227:11 *675:A 23.7903 +3 *227:11 *227:21 1.00149 +4 *227:21 *679:A1 13.7491 +5 *227:21 *617:A 27.6221 +*END + +*D_NET *228 0.000756997 +*CONN +*I *906:A I *D sky130_fd_sc_hd__clkinv_8 +*I *905:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *906:A 0.00027908 +2 *905:Y 0.00027908 +3 *906:A *320:6 0 +4 *606:A *906:A 0 +5 *623:A *906:A 0 +6 *810:D *906:A 0 +7 *810:CLK *906:A 8.42523e-05 +8 *39:8 *906:A 0 +9 *90:22 *906:A 0.000114584 +*RES +1 *905:Y *906:A 34.3456 +*END + +*D_NET *229 0.000185068 +*CONN +*I *908:A I *D sky130_fd_sc_hd__clkinv_8 +*I *907:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *908:A 3.13449e-05 +2 *907:Y 3.13449e-05 +3 *624:A *908:A 0.000122378 +*RES +1 *907:Y *908:A 19.7763 +*END + +*D_NET *230 0.00107752 +*CONN +*I *824:A I *D sky130_fd_sc_hd__einvp_2 +*I *822:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *824:A 0.000258859 +2 *822:X 0.000258859 +3 *824:A *823:Z 0.000125197 +4 *824:A *824:TE 0 +5 *824:A *824:Z 0.000164829 +6 *824:A *827:A 2.95757e-05 +7 *824:A *842:A 2.78772e-05 +8 *824:A *231:8 0.000171814 +9 *824:A *237:11 4.0508e-05 +*RES +1 *822:X *824:A 36.0094 +*END + +*D_NET *231 0.00137394 +*CONN +*I *824:Z O *D sky130_fd_sc_hd__einvp_2 +*I *827:A I *D sky130_fd_sc_hd__clkinv_1 +*I *826:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *824:Z 6.56954e-05 +2 *827:A 0.000194708 +3 *826:Z 9.46297e-05 +4 *231:8 0.000355033 +5 *827:A *823:A 2.56676e-05 +6 *827:A *823:Z 1.6917e-05 +7 *827:A *284:23 0.000122378 +8 *827:A *320:15 0 +9 *231:8 *823:Z 7.18465e-05 +10 *231:8 *237:11 6.08467e-05 +11 *824:A *824:Z 0.000164829 +12 *824:A *827:A 2.95757e-05 +13 *824:A *231:8 0.000171814 +*RES +1 *826:Z *231:8 17.5503 +2 *231:8 *827:A 18.1049 +3 *231:8 *824:Z 15.5817 +*END + +*D_NET *232 0.000466058 +*CONN +*I *823:A I *D sky130_fd_sc_hd__einvp_2 +*I *827:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *823:A 0.000134558 +2 *827:Y 0.000134558 +3 *823:A *823:Z 0.000171273 +4 *827:A *823:A 2.56676e-05 +*RES +1 *827:Y *823:A 31.1629 +*END + +*D_NET *233 0.00289658 +*CONN +*I *821:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *914:Z O *D sky130_fd_sc_hd__einvn_8 +*I *912:Z O *D sky130_fd_sc_hd__einvp_2 +*I *905:A I *D sky130_fd_sc_hd__clkinv_2 +*I *917:Z O *D sky130_fd_sc_hd__einvp_1 +*CAP +1 *821:A 0.000309678 +2 *914:Z 0.000101315 +3 *912:Z 0 +4 *905:A 0.000107506 +5 *917:Z 0.000109032 +6 *233:25 0.000476607 +7 *233:18 0.000492999 +8 *233:5 0.000643923 +9 *821:A *845:TE 7.34948e-06 +10 *821:A *284:23 0.000183642 +11 *905:A *320:6 0 +12 *905:A *320:15 0 +13 *914:Z *236:7 3.54227e-05 +14 *914:Z *249:26 5.04829e-06 +15 *233:5 *910:A 7.16754e-05 +16 *233:18 *910:A 5.04829e-06 +17 *233:18 *910:B 4.66876e-05 +18 *233:18 *911:A 0 +19 *233:18 *917:A 1.46645e-05 +20 *233:18 *249:26 0 +21 *233:18 *284:23 0.000103563 +22 *233:25 *249:26 0 +23 *233:25 *284:23 0.000117846 +24 *792:A0 *821:A 6.45772e-05 +*RES +1 *917:Z *233:5 11.0817 +2 *233:5 *905:A 20.4964 +3 *233:5 *233:18 12.0468 +4 *233:18 *912:Z 13.7491 +5 *233:18 *233:25 2.24725 +6 *233:25 *914:Z 16.1364 +7 *233:25 *821:A 21.8478 +*END + +*D_NET *234 0.00106172 +*CONN +*I *842:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *823:Z O *D sky130_fd_sc_hd__einvp_2 +*I *825:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *842:A 8.21388e-05 +2 *823:Z 0.000242163 +3 *825:Z 0 +4 *234:5 0.000324302 +5 *842:A *237:11 0 +6 *823:A *823:Z 0.000171273 +7 *824:A *823:Z 0.000125197 +8 *824:A *842:A 2.78772e-05 +9 *827:A *823:Z 1.6917e-05 +10 *231:8 *823:Z 7.18465e-05 +*RES +1 *825:Z *234:5 13.7491 +2 *234:5 *823:Z 21.0145 +3 *234:5 *842:A 15.9964 +*END + +*D_NET *235 0.000986261 +*CONN +*I *823:TE I *D sky130_fd_sc_hd__einvp_2 +*I *825:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *794:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *823:TE 6.22563e-05 +2 *825:TE_B 0.000279831 +3 *794:X 0 +4 *235:4 0.000342087 +5 *794:A1 *825:TE_B 0.000149244 +6 *5:26 *825:TE_B 0.000152842 +*RES +1 *794:X *235:4 9.24915 +2 *235:4 *825:TE_B 24.4081 +3 *235:4 *823:TE 10.9612 +*END + +*D_NET *236 0.00357817 +*CONN +*I *826:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *824:TE I *D sky130_fd_sc_hd__einvp_2 +*I *795:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *826:TE_B 0 +2 *824:TE 0.000304383 +3 *795:X 0.00107526 +4 *236:7 0.00137965 +5 *824:TE *910:A 0.000116764 +6 *824:TE *917:TE 6.50586e-05 +7 *236:7 *914:TE_B 4.87301e-05 +8 *236:7 *249:21 0.000510984 +9 *236:7 *249:26 4.19199e-05 +10 *824:A *824:TE 0 +11 *914:Z *236:7 3.54227e-05 +*RES +1 *795:X *236:7 26.4901 +2 *236:7 *824:TE 26.1423 +3 *236:7 *826:TE_B 9.24915 +*END + +*D_NET *237 0.00119198 +*CONN +*I *822:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *826:A I *D sky130_fd_sc_hd__einvn_4 +*I *825:A I *D sky130_fd_sc_hd__einvn_8 +*I *821:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *822:A 0 +2 *826:A 0 +3 *825:A 8.00415e-05 +4 *821:X 0.000166431 +5 *237:11 0.000291848 +6 *237:5 0.000378237 +7 *237:5 *845:TE 6.64392e-05 +8 *792:A0 *237:5 0.000107629 +9 *824:A *237:11 4.0508e-05 +10 *842:A *237:11 0 +11 *231:8 *237:11 6.08467e-05 +*RES +1 *821:X *237:5 14.4094 +2 *237:5 *237:11 14.0497 +3 *237:11 *825:A 11.1059 +4 *237:11 *826:A 9.24915 +5 *237:5 *822:A 9.24915 +*END + +*D_NET *238 0.000246223 +*CONN +*I *831:A I *D sky130_fd_sc_hd__einvp_2 +*I *829:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *831:A 7.14054e-05 +2 *829:X 7.14054e-05 +3 *831:A *831:Z 0.000103412 +4 *5:260 *831:A 0 +*RES +1 *829:X *831:A 29.7455 +*END + +*D_NET *239 0.00107164 +*CONN +*I *834:A I *D sky130_fd_sc_hd__clkinv_1 +*I *831:Z O *D sky130_fd_sc_hd__einvp_2 +*I *833:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *834:A 0.000106715 +2 *831:Z 0.000275915 +3 *833:Z 0 +4 *239:5 0.00038263 +5 *834:A *830:A 0.000127194 +6 *777:A1 *831:Z 3.1672e-05 +7 *777:A1 *834:A 4.40985e-05 +8 *831:A *831:Z 0.000103412 +9 *5:260 *831:Z 0 +*RES +1 *833:Z *239:5 13.7491 +2 *239:5 *831:Z 20.6374 +3 *239:5 *834:A 17.6574 +*END + +*D_NET *240 0.000919306 +*CONN +*I *830:A I *D sky130_fd_sc_hd__einvp_2 +*I *834:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *830:A 0.00018362 +2 *834:Y 0.00018362 +3 *830:A *833:A 0.000132219 +4 *830:A *242:9 0.000165459 +5 *777:A1 *830:A 0.000127194 +6 *834:A *830:A 0.000127194 +*RES +1 *834:Y *830:A 34.3512 +*END + +*D_NET *241 0.00113433 +*CONN +*I *900:Z O *D sky130_fd_sc_hd__einvp_2 +*I *828:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *902:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *900:Z 0 +2 *828:A 0.00022049 +3 *902:Z 7.67826e-05 +4 *241:6 0.000297272 +5 *828:A *313:8 2.56453e-05 +6 *241:6 *900:A 0.000202283 +7 *241:6 *903:TE_B 7.46648e-06 +8 *241:6 *313:8 5.22654e-06 +9 *5:244 *828:A 1.32772e-05 +10 *5:244 *241:6 9.18636e-05 +11 *5:254 *828:A 0.00016345 +12 *5:260 *828:A 3.0577e-05 +*RES +1 *902:Z *241:6 17.6574 +2 *241:6 *828:A 20.0446 +3 *241:6 *900:Z 13.7491 +*END + +*D_NET *242 0.00115873 +*CONN +*I *830:Z O *D sky130_fd_sc_hd__einvp_2 +*I *835:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *832:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *830:Z 0 +2 *835:A 0.000202631 +3 *832:Z 0.000125403 +4 *242:9 0.000328034 +5 *835:A *830:TE 8.28112e-05 +6 *242:9 *245:15 0.000127194 +7 *830:A *242:9 0.000165459 +8 *5:260 *242:9 0.000127194 +*RES +1 *832:Z *242:9 22.8836 +2 *242:9 *835:A 15.0122 +3 *242:9 *830:Z 9.24915 +*END + +*D_NET *243 0.00446342 +*CONN +*I *832:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *830:TE I *D sky130_fd_sc_hd__einvp_2 +*I *774:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *832:TE_B 0 +2 *830:TE 0.000242677 +3 *774:X 0.000868138 +4 *243:16 0.00111081 +5 *830:TE *250:24 7.58595e-05 +6 *669:A *830:TE 6.08467e-05 +7 *730:B *243:16 7.69497e-05 +8 *735:A1 *243:16 7.98425e-06 +9 *735:A2 *243:16 0.000251935 +10 *735:A3 *243:16 7.19789e-06 +11 *736:A *243:16 3.08636e-06 +12 *736:B *243:16 0.000266832 +13 *737:A3 *243:16 1.78514e-05 +14 *737:B1 *243:16 9.75356e-05 +15 *738:B1 *243:16 6.73186e-05 +16 *774:A0 *243:16 7.5301e-06 +17 *779:A0 *243:16 5.85117e-05 +18 *835:A *830:TE 8.28112e-05 +19 *65:10 *243:16 0.000118166 +20 *121:60 *243:16 5.20636e-05 +21 *122:50 *243:16 0.00015863 +22 *160:43 *243:16 0.000412674 +23 *160:53 *243:16 0.000175431 +24 *164:17 *830:TE 0 +25 *222:18 *830:TE 2.95757e-05 +26 *222:34 *830:TE 0.000193108 +27 *222:75 *243:16 4.89898e-06 +28 *222:77 *243:16 1.49927e-05 +*RES +1 *774:X *243:16 40.8086 +2 *243:16 *830:TE 21.5932 +3 *243:16 *832:TE_B 13.7491 +*END + +*D_NET *244 0.00162205 +*CONN +*I *833:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *831:TE I *D sky130_fd_sc_hd__einvp_2 +*I *775:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *833:TE_B 0.000212675 +2 *831:TE 5.10471e-05 +3 *775:X 0.000337726 +4 *244:8 0.000601448 +5 *831:TE *840:TE_B 2.65831e-05 +6 *833:TE_B *829:A 0.0001449 +7 *833:TE_B *245:15 2.4028e-05 +8 *833:TE_B *252:8 0 +9 *244:8 *252:8 0 +10 *756:A *244:8 2.41274e-06 +11 *775:S *244:8 5.60012e-05 +12 *5:260 *833:TE_B 7.67372e-05 +13 *5:260 *244:8 7.84063e-05 +14 *225:22 *831:TE 1.00846e-05 +*RES +1 *775:X *244:8 21.0117 +2 *244:8 *831:TE 15.0271 +3 *244:8 *833:TE_B 20.1489 +*END + +*D_NET *245 0.00197917 +*CONN +*I *833:A I *D sky130_fd_sc_hd__einvn_4 +*I *829:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *832:A I *D sky130_fd_sc_hd__einvn_8 +*I *828:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *833:A 0.000217223 +2 *829:A 0.000174351 +3 *832:A 0 +4 *828:X 9.46614e-05 +5 *245:15 0.000559116 +6 *245:6 0.000262203 +7 *829:A *249:5 2.58616e-05 +8 *830:A *833:A 0.000132219 +9 *833:TE_B *829:A 0.0001449 +10 *833:TE_B *245:15 2.4028e-05 +11 *5:260 *829:A 0.000145048 +12 *5:260 *245:15 7.23668e-05 +13 *242:9 *245:15 0.000127194 +*RES +1 *828:X *245:6 15.9964 +2 *245:6 *832:A 13.7491 +3 *245:6 *245:15 5.61812 +4 *245:15 *829:A 19.3535 +5 *245:15 *833:A 18.9094 +*END + +*D_NET *246 0.00125284 +*CONN +*I *838:A I *D sky130_fd_sc_hd__einvp_2 +*I *836:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *838:A 0.000365309 +2 *836:X 0.000365309 +3 *616:A *838:A 3.20069e-06 +4 *773:A0 *838:A 0.000481241 +5 *221:53 *838:A 3.77804e-05 +6 *222:18 *838:A 0 +*RES +1 *836:X *838:A 34.4905 +*END + +*D_NET *247 0.00072901 +*CONN +*I *838:Z O *D sky130_fd_sc_hd__einvp_2 +*I *841:A I *D sky130_fd_sc_hd__clkinv_1 +*I *840:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *838:Z 0 +2 *841:A 5.8945e-05 +3 *840:Z 0.000167997 +4 *247:7 0.000226942 +5 *247:7 *838:TE 0.000151037 +6 *225:22 *841:A 6.39307e-05 +7 *225:22 *247:7 6.01574e-05 +*RES +1 *840:Z *247:7 14.4335 +2 *247:7 *841:A 11.1059 +3 *247:7 *838:Z 9.24915 +*END + +*D_NET *248 0.000554684 +*CONN +*I *837:A I *D sky130_fd_sc_hd__einvp_2 +*I *841:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *837:A 0.000132332 +2 *841:Y 0.000132332 +3 *122:33 *837:A 0.000122708 +4 *225:22 *837:A 2.16355e-05 +5 *225:24 *837:A 2.65667e-05 +6 *225:206 *837:A 0.00011911 +*RES +1 *841:Y *837:A 31.0235 +*END + +*D_NET *249 0.0070063 +*CONN +*I *837:Z O *D sky130_fd_sc_hd__einvp_2 +*I *914:A I *D sky130_fd_sc_hd__einvn_8 +*I *915:A I *D sky130_fd_sc_hd__einvn_4 +*I *911:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *839:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *837:Z 0 +2 *914:A 0 +3 *915:A 0 +4 *911:A 0.0002849 +5 *839:Z 0.000477873 +6 *249:26 0.000496199 +7 *249:21 0.00126933 +8 *249:5 0.0015359 +9 *911:A *284:23 0 +10 *249:5 *839:A 0.000163828 +11 *249:5 *839:TE_B 5.31465e-05 +12 *249:26 *912:A 0 +13 *614:A *249:21 0.000104225 +14 *670:A *249:5 6.55265e-05 +15 *670:A *249:21 3.82228e-05 +16 *733:A3 *249:21 0.00015901 +17 *746:A2 *249:21 0.000112797 +18 *795:A0 *249:21 8.15895e-05 +19 *795:S *249:21 0.000206696 +20 *797:S *249:21 0.000556044 +21 *810:D *911:A 0.000117333 +22 *829:A *249:5 2.58616e-05 +23 *914:Z *249:26 5.04829e-06 +24 *41:14 *249:21 0.000107496 +25 *165:5 *249:21 0.000383988 +26 *166:5 *249:21 2.20471e-05 +27 *166:36 *249:21 8.61485e-05 +28 *223:79 *249:21 9.25026e-05 +29 *225:49 *249:21 7.67734e-06 +30 *233:18 *911:A 0 +31 *233:18 *249:26 0 +32 *233:25 *249:26 0 +33 *236:7 *249:21 0.000510984 +34 *236:7 *249:26 4.19199e-05 +*RES +1 *839:Z *249:5 21.0646 +2 *249:5 *249:21 33.7585 +3 *249:21 *249:26 9.68626 +4 *249:26 *911:A 21.4325 +5 *249:26 *915:A 13.7491 +6 *249:21 *914:A 9.24915 +7 *249:5 *837:Z 9.24915 +*END + +*D_NET *250 0.00722568 +*CONN +*I *839:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *837:TE I *D sky130_fd_sc_hd__einvp_2 +*I *772:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *839:TE_B 3.84684e-05 +2 *837:TE 0 +3 *772:X 0.000217965 +4 *250:24 0.000197807 +5 *250:21 0.00133254 +6 *250:8 0.00122394 +7 *250:7 0.000268695 +8 *250:7 *258:9 0.000385223 +9 *250:21 *258:9 0.00100689 +10 *670:A *250:24 0.000107689 +11 *720:A *250:21 2.71504e-05 +12 *720:B *250:21 4.23858e-05 +13 *727:A1 *250:21 0.00020502 +14 *739:A *250:21 8.14075e-05 +15 *739:D *250:21 3.9394e-05 +16 *745:A1 *250:21 0.000259093 +17 *745:A2 *250:21 0.000521602 +18 *745:A3 *250:21 0.000171273 +19 *745:B1 *250:21 8.54654e-05 +20 *772:A0 *250:21 0.000172803 +21 *830:TE *250:24 7.58595e-05 +22 *55:25 *250:21 0.00035144 +23 *164:17 *250:24 2.652e-05 +24 *164:22 *250:21 7.65399e-05 +25 *164:30 *250:21 1.89634e-05 +26 *222:18 *250:24 3.81447e-05 +27 *222:34 *250:21 3.4252e-05 +28 *223:94 *250:21 6.79181e-05 +29 *225:70 *250:21 3.76697e-05 +30 *225:75 *250:21 6.04131e-05 +31 *249:5 *839:TE_B 5.31465e-05 +*RES +1 *772:X *250:7 22.5493 +2 *250:7 *250:8 57.9449 +3 *250:8 *250:21 46.4708 +4 *250:21 *250:24 10.0693 +5 *250:24 *837:TE 9.24915 +6 *250:24 *839:TE_B 10.5271 +*END + +*D_NET *251 0.00123692 +*CONN +*I *840:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *838:TE I *D sky130_fd_sc_hd__einvp_2 +*I *773:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *840:TE_B 9.21691e-05 +2 *838:TE 8.5764e-05 +3 *773:X 9.50592e-05 +4 *251:8 0.000272992 +5 *251:8 *836:A 0 +6 *251:8 *252:8 0 +7 *831:TE *840:TE_B 2.65831e-05 +8 *225:22 *838:TE 0.000262967 +9 *225:22 *840:TE_B 0.000250353 +10 *247:7 *838:TE 0.000151037 +*RES +1 *773:X *251:8 20.0811 +2 *251:8 *838:TE 13.4931 +3 *251:8 *840:TE_B 12.7697 +*END + +*D_NET *252 0.00165504 +*CONN +*I *839:A I *D sky130_fd_sc_hd__einvn_8 +*I *840:A I *D sky130_fd_sc_hd__einvn_4 +*I *836:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *835:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *839:A 0.000120946 +2 *840:A 0 +3 *836:A 0.000168161 +4 *835:X 7.59044e-05 +5 *252:8 0.000330773 +6 *252:6 0.000359463 +7 *773:A0 *836:A 0.000222979 +8 *833:TE_B *252:8 0 +9 *222:18 *836:A 5.67995e-05 +10 *222:18 *252:6 5.12093e-05 +11 *222:18 *252:8 0.000104979 +12 *244:8 *252:8 0 +13 *249:5 *839:A 0.000163828 +14 *251:8 *836:A 0 +15 *251:8 *252:8 0 +*RES +1 *835:X *252:6 15.9964 +2 *252:6 *252:8 4.32351 +3 *252:8 *836:A 18.7989 +4 *252:8 *840:A 13.7491 +5 *252:6 *839:A 17.8002 +*END + +*D_NET *253 0.000847718 +*CONN +*I *845:A I *D sky130_fd_sc_hd__einvp_2 +*I *843:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *845:A 0.00033966 +2 *843:X 0.00033966 +3 *845:A *843:A 4.41414e-05 +4 *845:A *844:A 0 +5 *845:A *845:TE 0 +6 *845:A *845:Z 9.60216e-05 +7 *845:A *847:TE_B 1.78942e-05 +8 *845:A *254:7 1.03403e-05 +9 *845:A *259:8 0 +*RES +1 *843:X *845:A 33.7966 +*END + +*D_NET *254 0.000888441 +*CONN +*I *848:A I *D sky130_fd_sc_hd__clkinv_1 +*I *845:Z O *D sky130_fd_sc_hd__einvp_2 +*I *847:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *848:A 0.000170891 +2 *845:Z 0.00011298 +3 *847:Z 3.48023e-05 +4 *254:7 0.000318673 +5 *845:Z *845:TE 0 +6 *845:Z *259:8 0 +7 *848:A *844:A 2.65667e-05 +8 *848:A *849:A 0 +9 *848:A *259:8 0 +10 *254:7 *843:A 0.000118166 +11 *845:A *845:Z 9.60216e-05 +12 *845:A *254:7 1.03403e-05 +*RES +1 *847:Z *254:7 15.0271 +2 *254:7 *845:Z 16.8269 +3 *254:7 *848:A 17.5503 +*END + +*D_NET *255 0.00141144 +*CONN +*I *844:A I *D sky130_fd_sc_hd__einvp_2 +*I *848:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *844:A 0.000527706 +2 *848:Y 0.000527706 +3 *844:A *844:Z 3.85675e-05 +4 *844:A *849:A 5.23264e-05 +5 *844:A *259:8 0.000200221 +6 *792:A0 *844:A 3.83429e-05 +7 *845:A *844:A 0 +8 *848:A *844:A 2.65667e-05 +*RES +1 *848:Y *844:A 39.3664 +*END + +*D_NET *256 0.000606385 +*CONN +*I *849:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *844:Z O *D sky130_fd_sc_hd__einvp_2 +*I *846:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *849:A 0.000120705 +2 *844:Z 0.000104512 +3 *846:Z 0 +4 *256:5 0.000225216 +5 *844:Z *846:TE_B 0 +6 *849:A *846:TE_B 0 +7 *792:A0 *844:Z 6.50586e-05 +8 *844:A *844:Z 3.85675e-05 +9 *844:A *849:A 5.23264e-05 +10 *848:A *849:A 0 +*RES +1 *846:Z *256:5 13.7491 +2 *256:5 *844:Z 16.7198 +3 *256:5 *849:A 17.2421 +*END + +*D_NET *257 0.000837596 +*CONN +*I *846:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *844:TE I *D sky130_fd_sc_hd__einvp_2 +*I *792:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *846:TE_B 0.000221135 +2 *844:TE 6.65919e-05 +3 *792:X 0 +4 *257:4 0.000287727 +5 *792:A0 *844:TE 0.000122378 +6 *792:A1 *846:TE_B 0 +7 *844:Z *846:TE_B 0 +8 *849:A *846:TE_B 0 +9 *5:42 *846:TE_B 0.000139764 +*RES +1 *792:X *257:4 9.24915 +2 *257:4 *844:TE 11.5158 +3 *257:4 *846:TE_B 24.4081 +*END + +*D_NET *258 0.00449171 +*CONN +*I *845:TE I *D sky130_fd_sc_hd__einvp_2 +*I *847:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *793:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *845:TE 0.000146768 +2 *847:TE_B 8.38562e-05 +3 *793:X 0.000689125 +4 *258:9 0.000919749 +5 *845:TE *321:10 3.69003e-05 +6 *847:TE_B *843:A 0.000137829 +7 *258:9 *843:A 2.41274e-06 +8 *772:A0 *258:9 0.000184443 +9 *792:A0 *845:TE 7.16754e-05 +10 *821:A *845:TE 7.34948e-06 +11 *845:A *845:TE 0 +12 *845:A *847:TE_B 1.78942e-05 +13 *845:Z *845:TE 0 +14 *5:42 *847:TE_B 1.1316e-05 +15 *5:42 *258:9 0.000173953 +16 *5:51 *258:9 0.000259093 +17 *5:78 *258:9 6.42637e-05 +18 *201:10 *258:9 0.000161452 +19 *223:94 *258:9 6.50727e-05 +20 *237:5 *845:TE 6.64392e-05 +21 *250:7 *258:9 0.000385223 +22 *250:21 *258:9 0.00100689 +*RES +1 *793:X *258:9 42.016 +2 *258:9 *847:TE_B 12.2392 +3 *258:9 *845:TE 22.7442 +*END + +*D_NET *259 0.00201995 +*CONN +*I *843:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *847:A I *D sky130_fd_sc_hd__einvn_4 +*I *846:A I *D sky130_fd_sc_hd__einvn_8 +*I *842:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *843:A 0.000126453 +2 *847:A 0 +3 *846:A 6.33466e-05 +4 *842:X 0.000101398 +5 *259:17 0.000143126 +6 *259:8 0.000181418 +7 *844:A *259:8 0.000200221 +8 *845:A *843:A 4.41414e-05 +9 *845:A *259:8 0 +10 *845:Z *259:8 0 +11 *847:TE_B *843:A 0.000137829 +12 *848:A *259:8 0 +13 *5:42 *843:A 0.000724656 +14 *5:42 *846:A 0.000111708 +15 *5:42 *259:17 6.50727e-05 +16 *254:7 *843:A 0.000118166 +17 *258:9 *843:A 2.41274e-06 +*RES +1 *842:X *259:8 21.7421 +2 *259:8 *846:A 11.1059 +3 *259:8 *259:17 0.723396 +4 *259:17 *847:A 9.24915 +5 *259:17 *843:A 17.2065 +*END + +*D_NET *260 0.000863529 +*CONN +*I *852:A I *D sky130_fd_sc_hd__einvp_2 +*I *850:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *852:A 0.000248878 +2 *850:X 0.000248878 +3 *852:A *850:A 3.14978e-05 +4 *852:A *852:Z 0.000168467 +5 *852:A *874:TE_B 0 +6 *852:A *261:6 0.000122083 +7 *852:A *263:8 1.22289e-05 +8 *852:A *266:9 3.14978e-05 +9 *44:51 *852:A 0 +*RES +1 *850:X *852:A 34.3456 +*END + +*D_NET *261 0.000804178 +*CONN +*I *852:Z O *D sky130_fd_sc_hd__einvp_2 +*I *855:A I *D sky130_fd_sc_hd__clkinv_1 +*I *854:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *852:Z 6.78364e-05 +2 *855:A 1.85515e-05 +3 *854:Z 6.85458e-05 +4 *261:6 0.000154934 +5 *852:Z *263:8 0.00011636 +6 *855:A *851:A 1.00846e-05 +7 *855:A *263:8 7.34948e-06 +8 *261:6 *853:A 4.34007e-05 +9 *852:A *852:Z 0.000168467 +10 *852:A *261:6 0.000122083 +11 *37:14 *852:Z 0 +12 *37:14 *855:A 2.65667e-05 +*RES +1 *854:Z *261:6 16.4116 +2 *261:6 *855:A 14.4725 +3 *261:6 *852:Z 16.8269 +*END + +*D_NET *262 0.000871422 +*CONN +*I *851:A I *D sky130_fd_sc_hd__einvp_2 +*I *855:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *851:A 0.000310597 +2 *855:Y 0.000310597 +3 *851:A *851:TE 0 +4 *851:A *854:TE_B 0.000120569 +5 *851:A *263:8 0.000118166 +6 *855:A *851:A 1.00846e-05 +7 *37:14 *851:A 1.4091e-06 +*RES +1 *855:Y *851:A 33.242 +*END + +*D_NET *263 0.00145288 +*CONN +*I *856:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *851:Z O *D sky130_fd_sc_hd__einvp_2 +*I *853:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *856:A 8.97061e-05 +2 *851:Z 6.56965e-05 +3 *853:Z 0.000135708 +4 *263:8 0.000291111 +5 *851:Z *265:13 0.000206696 +6 *851:A *263:8 0.000118166 +7 *852:A *263:8 1.22289e-05 +8 *852:Z *263:8 0.00011636 +9 *855:A *263:8 7.34948e-06 +10 *5:137 *851:Z 1.19618e-05 +11 *37:14 *856:A 2.70061e-05 +12 *37:14 *263:8 6.30872e-05 +13 *44:51 *851:Z 0.000307799 +*RES +1 *853:Z *263:8 18.5201 +2 *263:8 *851:Z 17.2456 +3 *263:8 *856:A 15.9964 +*END + +*D_NET *264 0.000938141 +*CONN +*I *851:TE I *D sky130_fd_sc_hd__einvp_2 +*I *853:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *790:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *851:TE 0.00014695 +2 *853:TE_B 0.000173273 +3 *790:X 0.000142264 +4 *264:8 0.000462487 +5 *851:TE *854:TE_B 0 +6 *264:8 *854:TE_B 0 +7 *790:A0 *264:8 1.31657e-05 +8 *851:A *851:TE 0 +9 *5:131 *851:TE 0 +10 *5:131 *264:8 0 +*RES +1 *790:X *264:8 16.7198 +2 *264:8 *853:TE_B 17.8002 +3 *264:8 *851:TE 17.2421 +*END + +*D_NET *265 0.00741282 +*CONN +*I *852:TE I *D sky130_fd_sc_hd__einvp_2 +*I *854:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *791:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *852:TE 0 +2 *854:TE_B 0.00024205 +3 *791:X 0.0017442 +4 *265:13 0.00198626 +5 *854:TE_B *853:A 4.69806e-05 +6 *854:TE_B *266:6 3.20069e-06 +7 *265:13 *871:A 0.00018826 +8 *265:13 *873:A 0.000357395 +9 *265:13 *882:TE_B 9.95498e-05 +10 *265:13 *286:13 8.83094e-05 +11 *265:13 *289:5 0.000466227 +12 *265:13 *293:13 0.000110458 +13 *265:13 *294:5 3.82228e-05 +14 *726:A1 *265:13 3.98041e-05 +15 *726:B1 *265:13 4.89392e-05 +16 *784:A0 *265:13 0.000799859 +17 *784:A1 *265:13 6.64392e-05 +18 *790:A0 *854:TE_B 7.97944e-05 +19 *851:A *854:TE_B 0.000120569 +20 *851:TE *854:TE_B 0 +21 *851:Z *265:13 0.000206696 +22 *5:137 *265:13 0.00012444 +23 *5:148 *265:13 0.000129216 +24 *37:14 *854:TE_B 0.000270967 +25 *44:51 *265:13 0.000154987 +26 *264:8 *854:TE_B 0 +*RES +1 *791:X *265:13 49.1806 +2 *265:13 *854:TE_B 26.8968 +3 *265:13 *852:TE 9.24915 +*END + +*D_NET *266 0.0010236 +*CONN +*I *853:A I *D sky130_fd_sc_hd__einvn_8 +*I *850:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *854:A I *D sky130_fd_sc_hd__einvn_4 +*I *849:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *853:A 7.99283e-05 +2 *850:A 3.5475e-05 +3 *854:A 0 +4 *849:X 0.00011773 +5 *266:9 0.000108428 +6 *266:6 0.000270611 +7 *790:A0 *850:A 7.24449e-05 +8 *790:A0 *266:9 0.000123176 +9 *852:A *850:A 3.14978e-05 +10 *852:A *266:9 3.14978e-05 +11 *854:TE_B *853:A 4.69806e-05 +12 *854:TE_B *266:6 3.20069e-06 +13 *37:14 *853:A 5.92342e-05 +14 *261:6 *853:A 4.34007e-05 +*RES +1 *849:X *266:6 16.4116 +2 *266:6 *266:9 7.44181 +3 *266:9 *854:A 9.24915 +4 *266:9 *850:A 11.0817 +5 *266:6 *853:A 16.8269 +*END + +*D_NET *267 0.000173153 +*CONN +*I *859:A I *D sky130_fd_sc_hd__einvp_2 +*I *857:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *859:A 5.54657e-05 +2 *857:X 5.54657e-05 +3 *859:A *862:A 6.22216e-05 +*RES +1 *857:X *859:A 19.8004 +*END + +*D_NET *268 0.00135862 +*CONN +*I *862:A I *D sky130_fd_sc_hd__clkinv_1 +*I *859:Z O *D sky130_fd_sc_hd__einvp_2 +*I *861:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *862:A 0.000107855 +2 *859:Z 0 +3 *861:Z 0.000207764 +4 *268:9 0.000315619 +5 *862:A *858:A 0.00018308 +6 *862:A *272:15 0 +7 *268:9 *858:A 1.65872e-05 +8 *268:9 *858:Z 0.000118056 +9 *268:9 *861:TE_B 0 +10 *859:A *862:A 6.22216e-05 +11 *37:14 *268:9 0.00034744 +*RES +1 *861:Z *268:9 25.3723 +2 *268:9 *859:Z 9.24915 +3 *268:9 *862:A 13.5172 +*END + +*D_NET *269 0.00116461 +*CONN +*I *858:A I *D sky130_fd_sc_hd__einvp_2 +*I *862:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *858:A 9.38019e-05 +2 *862:Y 9.38019e-05 +3 *858:A *858:Z 6.75138e-05 +4 *858:A *859:TE 6.92705e-05 +5 *858:A *272:15 0.00064055 +6 *862:A *858:A 0.00018308 +7 *268:9 *858:A 1.65872e-05 +*RES +1 *862:Y *858:A 25.3223 +*END + +*D_NET *270 0.00123857 +*CONN +*I *863:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *858:Z O *D sky130_fd_sc_hd__einvp_2 +*I *860:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *863:A 2.24628e-05 +2 *858:Z 0.000203821 +3 *860:Z 0 +4 *270:4 0.000226284 +5 *858:Z *859:TE 0.000106215 +6 *858:Z *860:A 6.08467e-05 +7 *858:Z *861:TE_B 1.61631e-05 +8 *863:A *860:A 0.000147759 +9 *858:A *858:Z 6.75138e-05 +10 *36:12 *858:Z 0.000107496 +11 *36:12 *863:A 0.000161956 +12 *268:9 *858:Z 0.000118056 +*RES +1 *860:Z *270:4 9.24915 +2 *270:4 *858:Z 24.7162 +3 *270:4 *863:A 11.0817 +*END + +*D_NET *271 0.00103708 +*CONN +*I *858:TE I *D sky130_fd_sc_hd__einvp_2 +*I *860:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *788:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *858:TE 0.000248678 +2 *860:TE_B 0 +3 *788:X 0.000251946 +4 *271:6 0.000500624 +5 *858:TE *861:TE_B 0 +6 *271:6 *861:TE_B 0 +7 *36:12 *858:TE 3.58321e-05 +*RES +1 *788:X *271:6 19.7337 +2 *271:6 *860:TE_B 13.7491 +3 *271:6 *858:TE 19.7687 +*END + +*D_NET *272 0.00871968 +*CONN +*I *859:TE I *D sky130_fd_sc_hd__einvp_2 +*I *861:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *789:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *859:TE 7.6623e-06 +2 *861:TE_B 0.00034688 +3 *789:X 0.00108239 +4 *272:15 0.00103427 +5 *272:11 0.00176212 +6 *272:11 *866:A 0.000217923 +7 *272:11 *886:A 2.99843e-05 +8 *272:11 *886:TE 0.000511549 +9 *272:11 *887:TE 2.65667e-05 +10 *272:11 *279:7 0.000842848 +11 *272:11 *299:7 0.000224381 +12 *272:15 *865:A 0.000589782 +13 *272:15 *865:TE 0.000176122 +14 *272:15 *866:A 9.82896e-06 +15 *272:15 *279:7 0.00053811 +16 *780:S *272:11 6.50727e-05 +17 *787:A1 *272:11 1.41291e-05 +18 *787:S *272:11 2.41483e-05 +19 *789:A1 *272:11 0.000383717 +20 *858:A *859:TE 6.92705e-05 +21 *858:A *272:15 0.00064055 +22 *858:TE *861:TE_B 0 +23 *858:Z *859:TE 0.000106215 +24 *858:Z *861:TE_B 1.61631e-05 +25 *862:A *272:15 0 +26 *36:12 *861:TE_B 0 +27 *37:14 *861:TE_B 0 +28 *268:9 *861:TE_B 0 +29 *271:6 *861:TE_B 0 +*RES +1 *789:X *272:11 44.8402 +2 *272:11 *272:15 23.3416 +3 *272:15 *861:TE_B 26.3422 +4 *272:15 *859:TE 10.5271 +*END + +*D_NET *273 0.00122845 +*CONN +*I *857:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *860:A I *D sky130_fd_sc_hd__einvn_8 +*I *861:A I *D sky130_fd_sc_hd__einvn_4 +*I *856:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *857:A 0.000123615 +2 *860:A 9.4318e-05 +3 *861:A 0.000135689 +4 *856:X 0 +5 *273:15 0.000322807 +6 *273:5 0.000240563 +7 *857:A *865:TE 4.19926e-05 +8 *857:A *278:8 1.59362e-06 +9 *861:A *278:8 3.44412e-06 +10 *273:15 *278:8 3.69782e-05 +11 *858:Z *860:A 6.08467e-05 +12 *863:A *860:A 0.000147759 +13 *36:12 *860:A 1.88422e-05 +14 *37:14 *857:A 0 +15 *37:14 *273:15 0 +*RES +1 *856:X *273:5 13.7491 +2 *273:5 *861:A 16.9985 +3 *273:5 *273:15 2.6625 +4 *273:15 *860:A 16.691 +5 *273:15 *857:A 16.8269 +*END + +*D_NET *274 0.000817559 +*CONN +*I *866:A I *D sky130_fd_sc_hd__einvp_2 +*I *864:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *866:A 0.000201041 +2 *864:X 0.000201041 +3 *866:A *865:A 2.15348e-05 +4 *866:A *866:Z 6.50586e-05 +5 *780:S *866:A 0 +6 *796:A1 *866:A 0.000101133 +7 *272:11 *866:A 0.000217923 +8 *272:15 *866:A 9.82896e-06 +*RES +1 *864:X *866:A 33.3813 +*END + +*D_NET *275 0.000474377 +*CONN +*I *869:A I *D sky130_fd_sc_hd__clkinv_1 +*I *866:Z O *D sky130_fd_sc_hd__einvp_2 +*I *868:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *869:A 0 +2 *866:Z 2.29697e-05 +3 *868:Z 0.000122607 +4 *275:8 0.000145576 +5 *866:Z *865:A 0.000118166 +6 *772:A1 *275:8 0 +7 *796:A1 *275:8 0 +8 *866:A *866:Z 6.50586e-05 +*RES +1 *868:Z *275:8 20.9116 +2 *275:8 *866:Z 10.5271 +3 *275:8 *869:A 9.24915 +*END + +*D_NET *276 0.00102989 +*CONN +*I *865:A I *D sky130_fd_sc_hd__einvp_2 +*I *869:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *865:A 0.000120773 +2 *869:Y 0.000120773 +3 *865:A *866:TE 5.88657e-05 +4 *866:A *865:A 2.15348e-05 +5 *866:Z *865:A 0.000118166 +6 *272:15 *865:A 0.000589782 +*RES +1 *869:Y *865:A 24.7677 +*END + +*D_NET *277 0.000858725 +*CONN +*I *865:Z O *D sky130_fd_sc_hd__einvp_2 +*I *870:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *867:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *865:Z 0.000130201 +2 *870:A 0.000153576 +3 *867:Z 0 +4 *277:5 0.000283777 +5 *865:Z *868:TE_B 4.24447e-05 +6 *772:A1 *870:A 2.20893e-05 +7 *784:A1 *865:Z 0 +8 *784:A1 *870:A 0 +9 *786:A0 *870:A 0.000114271 +10 *786:A1 *870:A 0 +11 *5:153 *870:A 0.000112367 +*RES +1 *867:Z *277:5 13.7491 +2 *277:5 *870:A 18.1049 +3 *277:5 *865:Z 17.2421 +*END + +*D_NET *278 0.00134806 +*CONN +*I *865:TE I *D sky130_fd_sc_hd__einvp_2 +*I *867:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *786:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *865:TE 0.000253928 +2 *867:TE_B 1.41955e-05 +3 *786:X 0.000199743 +4 *278:8 0.000467866 +5 *867:TE_B *280:5 6.27718e-05 +6 *784:A1 *278:8 0 +7 *857:A *865:TE 4.19926e-05 +8 *857:A *278:8 1.59362e-06 +9 *861:A *278:8 3.44412e-06 +10 *34:16 *865:TE 4.49297e-05 +11 *34:16 *278:8 1.86357e-05 +12 *36:12 *867:TE_B 2.58616e-05 +13 *272:15 *865:TE 0.000176122 +14 *273:15 *278:8 3.69782e-05 +*RES +1 *786:X *278:8 17.6896 +2 *278:8 *867:TE_B 14.4725 +3 *278:8 *865:TE 21.4325 +*END + +*D_NET *279 0.0061235 +*CONN +*I *866:TE I *D sky130_fd_sc_hd__einvp_2 +*I *868:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *787:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *866:TE 3.08323e-05 +2 *868:TE_B 9.63599e-05 +3 *787:X 0.00181763 +4 *279:10 0.000127192 +5 *279:8 7.10213e-05 +6 *279:7 0.00188865 +7 *868:TE_B *280:15 6.27718e-05 +8 *279:7 *886:TE 0.000230361 +9 *279:7 *299:7 9.90116e-05 +10 *772:A1 *868:TE_B 0.000169186 +11 *780:S *279:7 2.65831e-05 +12 *865:A *866:TE 5.88657e-05 +13 *865:Z *868:TE_B 4.24447e-05 +14 *36:12 *868:TE_B 2.16355e-05 +15 *272:11 *279:7 0.000842848 +16 *272:15 *279:7 0.00053811 +*RES +1 *787:X *279:7 49.7249 +2 *279:7 *279:8 81.1229 +3 *279:8 *279:10 9.24915 +4 *279:10 *868:TE_B 22.0503 +5 *279:10 *866:TE 9.97254 +*END + +*D_NET *280 0.00220776 +*CONN +*I *864:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *868:A I *D sky130_fd_sc_hd__einvn_4 +*I *867:A I *D sky130_fd_sc_hd__einvn_8 +*I *863:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *864:A 7.94464e-05 +2 *868:A 0 +3 *867:A 2.1308e-05 +4 *863:X 0.000172225 +5 *280:15 0.000312999 +6 *280:5 0.000427085 +7 *867:TE_B *280:5 6.27718e-05 +8 *868:TE_B *280:15 6.27718e-05 +9 *34:16 *280:5 0.000134167 +10 *34:16 *280:15 0.000305597 +11 *36:12 *864:A 0.00026012 +12 *36:12 *280:5 0.00012478 +13 *36:12 *280:15 0.000244485 +*RES +1 *863:X *280:5 15.5186 +2 *280:5 *867:A 9.82786 +3 *280:5 *280:15 10.7304 +4 *280:15 *868:A 9.24915 +5 *280:15 *864:A 12.191 +*END + +*D_NET *281 0.00097105 +*CONN +*I *873:A I *D sky130_fd_sc_hd__einvp_2 +*I *871:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *873:A 0.000288272 +2 *871:X 0.000288272 +3 *873:A *872:A 1.03594e-05 +4 *772:A1 *873:A 2.67514e-05 +5 *265:13 *873:A 0.000357395 +*RES +1 *871:X *873:A 32.9661 +*END + +*D_NET *282 0.00151319 +*CONN +*I *873:Z O *D sky130_fd_sc_hd__einvp_2 +*I *876:A I *D sky130_fd_sc_hd__clkinv_1 +*I *875:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *873:Z 0.000242258 +2 *876:A 3.37491e-05 +3 *875:Z 0 +4 *282:4 0.000276007 +5 *873:Z *872:A 0.000269108 +6 *873:Z *284:23 0.000191541 +7 *876:A *872:A 0.000220738 +8 *784:A0 *873:Z 2.51531e-05 +9 *786:A0 *873:Z 0 +10 *790:A0 *873:Z 7.23987e-05 +11 *790:A0 *876:A 6.01398e-05 +12 *5:63 *873:Z 0.000122098 +*RES +1 *875:Z *282:4 9.24915 +2 *282:4 *876:A 11.6364 +3 *282:4 *873:Z 27.3148 +*END + +*D_NET *283 0.00181202 +*CONN +*I *872:A I *D sky130_fd_sc_hd__einvp_2 +*I *876:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *872:A 0.000419002 +2 *876:Y 0.000419002 +3 *872:A *284:23 0.000165481 +4 *772:A1 *872:A 0.000298583 +5 *790:A0 *872:A 9.75148e-06 +6 *873:A *872:A 1.03594e-05 +7 *873:Z *872:A 0.000269108 +8 *876:A *872:A 0.000220738 +*RES +1 *876:Y *872:A 38.2278 +*END + +*D_NET *284 0.00745015 +*CONN +*I *877:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *907:A I *D sky130_fd_sc_hd__clkinv_2 +*I *872:Z O *D sky130_fd_sc_hd__einvp_2 +*I *874:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *877:A 0.000614386 +2 *907:A 0 +3 *872:Z 0.000142695 +4 *874:Z 0 +5 *284:23 0.00123664 +6 *284:4 0.00199372 +7 *872:Z *871:A 6.08467e-05 +8 *872:Z *287:8 3.25618e-05 +9 *872:Z *287:12 0.000101118 +10 *284:23 *321:10 0 +11 *624:A *284:23 0.000200536 +12 *725:A3 *877:A 0.000357884 +13 *769:A *284:23 2.50864e-05 +14 *772:A1 *872:Z 0.000118054 +15 *772:A1 *284:23 0.000113299 +16 *778:A0 *877:A 0.000145923 +17 *784:A0 *872:Z 2.16355e-05 +18 *784:A0 *877:A 0.000111708 +19 *796:A0 *284:23 0.00015321 +20 *821:A *284:23 0.000183642 +21 *827:A *284:23 0.000122378 +22 *872:A *284:23 0.000165481 +23 *873:Z *284:23 0.000191541 +24 *911:A *284:23 0 +25 *5:51 *284:23 0.00010126 +26 *5:63 *284:23 8.29929e-05 +27 *5:72 *877:A 6.22868e-05 +28 *44:51 *872:Z 0.000158357 +29 *44:51 *877:A 0.000459889 +30 *48:8 *877:A 6.18702e-05 +31 *90:22 *284:23 0.000209746 +32 *233:18 *284:23 0.000103563 +33 *233:25 *284:23 0.000117846 +*RES +1 *874:Z *284:4 9.24915 +2 *284:4 *872:Z 23.0524 +3 *284:4 *284:23 47.5923 +4 *284:23 *907:A 9.24915 +5 *284:4 *877:A 32.92 +*END + +*D_NET *285 0.00100237 +*CONN +*I *872:TE I *D sky130_fd_sc_hd__einvp_2 +*I *874:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *784:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *872:TE 5.27329e-05 +2 *874:TE_B 0.000294932 +3 *784:X 0 +4 *285:4 0.000347665 +5 *874:TE_B *875:A 0 +6 *874:TE_B *287:12 0 +7 *784:A0 *872:TE 6.55651e-05 +8 *784:A0 *874:TE_B 2.32625e-05 +9 *852:A *874:TE_B 0 +10 *5:137 *872:TE 0 +11 *5:148 *874:TE_B 9.46346e-05 +12 *44:51 *874:TE_B 0.000123582 +*RES +1 *784:X *285:4 9.24915 +2 *285:4 *874:TE_B 24.4081 +3 *285:4 *872:TE 10.9612 +*END + +*D_NET *286 0.00388276 +*CONN +*I *873:TE I *D sky130_fd_sc_hd__einvp_2 +*I *875:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *785:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *873:TE 0 +2 *875:TE_B 0.000274837 +3 *785:X 0.000756611 +4 *286:13 0.00103145 +5 *286:13 *871:A 0.000161956 +6 *286:13 *880:A 0.000114594 +7 *286:13 *882:TE_B 0.00010448 +8 *286:13 *289:5 8.17138e-05 +9 *286:13 *294:5 3.82228e-05 +10 *726:A1 *286:13 0.000107499 +11 *726:A2 *875:TE_B 8.5294e-06 +12 *726:A2 *286:13 0.000200805 +13 *726:B1 *286:13 8.58417e-05 +14 *781:A0 *286:13 0.000100687 +15 *786:A0 *875:TE_B 0.000296873 +16 *790:A0 *875:TE_B 4.65396e-05 +17 *793:A1 *286:13 2.65948e-05 +18 *43:8 *286:13 6.50727e-05 +19 *225:99 *875:TE_B 0.000120584 +20 *225:113 *875:TE_B 0.000130808 +21 *225:113 *286:13 4.0752e-05 +22 *265:13 *286:13 8.83094e-05 +*RES +1 *785:X *286:13 39.854 +2 *286:13 *875:TE_B 27.3631 +3 *286:13 *873:TE 9.24915 +*END + +*D_NET *287 0.00296047 +*CONN +*I *871:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *874:A I *D sky130_fd_sc_hd__einvn_8 +*I *875:A I *D sky130_fd_sc_hd__einvn_4 +*I *870:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *871:A 0.000441227 +2 *874:A 0 +3 *875:A 0.000178475 +4 *870:X 0.00020643 +5 *287:12 0.000268673 +6 *287:8 0.000737854 +7 *772:A1 *287:8 6.22669e-05 +8 *772:A1 *287:12 1.70077e-05 +9 *784:A0 *871:A 7.41247e-05 +10 *786:A0 *287:8 0.000110458 +11 *872:Z *871:A 6.08467e-05 +12 *872:Z *287:8 3.25618e-05 +13 *872:Z *287:12 0.000101118 +14 *874:TE_B *875:A 0 +15 *874:TE_B *287:12 0 +16 *37:14 *875:A 9.9028e-05 +17 *44:51 *875:A 0.000220183 +18 *265:13 *871:A 0.00018826 +19 *286:13 *871:A 0.000161956 +*RES +1 *870:X *287:8 18.5201 +2 *287:8 *287:12 7.1625 +3 *287:12 *875:A 23.7141 +4 *287:12 *874:A 9.24915 +5 *287:8 *871:A 22.6951 +*END + +*D_NET *288 0.000447603 +*CONN +*I *880:A I *D sky130_fd_sc_hd__einvp_2 +*I *878:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *880:A 0.000123877 +2 *878:X 0.000123877 +3 *880:A *882:TE_B 6.64392e-05 +4 *880:A *289:5 1.88152e-05 +5 *774:A1 *880:A 0 +6 *286:13 *880:A 0.000114594 +*RES +1 *878:X *880:A 31.0235 +*END + +*D_NET *289 0.0010712 +*CONN +*I *880:Z O *D sky130_fd_sc_hd__einvp_2 +*I *883:A I *D sky130_fd_sc_hd__clkinv_1 +*I *882:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *880:Z 0 +2 *883:A 7.24431e-05 +3 *882:Z 7.64871e-05 +4 *289:5 0.00014893 +5 *289:5 *882:TE_B 0.000117376 +6 *793:A1 *883:A 8.92089e-05 +7 *880:A *289:5 1.88152e-05 +8 *265:13 *289:5 0.000466227 +9 *286:13 *289:5 8.17138e-05 +*RES +1 *882:Z *289:5 14.4094 +2 *289:5 *883:A 20.0811 +3 *289:5 *880:Z 9.24915 +*END + +*D_NET *290 0.000151155 +*CONN +*I *879:A I *D sky130_fd_sc_hd__einvp_2 +*I *883:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *879:A 5.12122e-05 +2 *883:Y 5.12122e-05 +3 *5:186 *879:A 4.87301e-05 +*RES +1 *883:Y *879:A 19.7763 +*END + +*D_NET *291 0.000935048 +*CONN +*I *879:Z O *D sky130_fd_sc_hd__einvp_2 +*I *884:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *881:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *879:Z 8.24108e-05 +2 *884:A 0.000105753 +3 *881:Z 0 +4 *291:4 0.000188164 +5 *879:Z *879:TE 0.000114271 +6 *884:A *888:TE_B 3.44412e-06 +7 *774:A1 *884:A 7.57637e-05 +8 *5:186 *879:Z 0.000365242 +*RES +1 *881:Z *291:4 9.24915 +2 *291:4 *884:A 21.3269 +3 *291:4 *879:Z 13.3002 +*END + +*D_NET *292 0.00124403 +*CONN +*I *879:TE I *D sky130_fd_sc_hd__einvp_2 +*I *881:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *782:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *879:TE 0.000314248 +2 *881:TE_B 1.86223e-05 +3 *782:X 3.84469e-05 +4 *292:7 0.000371318 +5 *782:A0 *879:TE 7.92757e-06 +6 *782:A0 *292:7 1.43698e-05 +7 *879:Z *879:TE 0.000114271 +8 *5:161 *879:TE 0.000164257 +9 *5:161 *292:7 2.58616e-05 +10 *5:186 *879:TE 0.000174704 +*RES +1 *782:X *292:7 10.5513 +2 *292:7 *881:TE_B 9.82786 +3 *292:7 *879:TE 19.4008 +*END + +*D_NET *293 0.00228501 +*CONN +*I *880:TE I *D sky130_fd_sc_hd__einvp_2 +*I *882:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *783:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *880:TE 0 +2 *882:TE_B 7.76972e-05 +3 *783:X 0.000420456 +4 *293:13 0.000498154 +5 *293:13 *886:A 9.76996e-05 +6 *293:13 *890:A 7.26606e-05 +7 *791:A0 *293:13 0.000210531 +8 *791:A1 *293:13 6.46815e-05 +9 *791:S *293:13 1.88563e-05 +10 *880:A *882:TE_B 6.64392e-05 +11 *5:194 *293:13 6.77815e-05 +12 *5:217 *293:13 0.000258195 +13 *265:13 *882:TE_B 9.95498e-05 +14 *265:13 *293:13 0.000110458 +15 *286:13 *882:TE_B 0.00010448 +16 *289:5 *882:TE_B 0.000117376 +*RES +1 *783:X *293:13 29.3165 +2 *293:13 *882:TE_B 13.3002 +3 *293:13 *880:TE 9.24915 +*END + +*D_NET *294 0.00171157 +*CONN +*I *882:A I *D sky130_fd_sc_hd__einvn_4 +*I *881:A I *D sky130_fd_sc_hd__einvn_8 +*I *878:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *877:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *882:A 0 +2 *881:A 5.4685e-05 +3 *878:A 0.000200936 +4 *877:X 4.42171e-05 +5 *294:7 0.000255621 +6 *294:5 4.42171e-05 +7 *878:A *312:15 6.90469e-05 +8 *774:A1 *878:A 0.000210391 +9 *774:A1 *881:A 0.000168334 +10 *5:72 *878:A 0.000213725 +11 *16:12 *878:A 0.000213989 +12 *16:12 *881:A 0.000159968 +13 *265:13 *294:5 3.82228e-05 +14 *286:13 *294:5 3.82228e-05 +*RES +1 *877:X *294:5 10.5271 +2 *294:5 *294:7 4.5 +3 *294:7 *878:A 21.7084 +4 *294:7 *881:A 16.8269 +5 *294:5 *882:A 9.24915 +*END + +*D_NET *295 0.000776509 +*CONN +*I *887:A I *D sky130_fd_sc_hd__einvp_2 +*I *885:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *887:A 0.000107306 +2 *885:X 0.000107306 +3 *887:A *887:Z 0.000121653 +4 *887:A *890:A 0.000163478 +5 *781:A1 *887:A 0.000276765 +*RES +1 *885:X *887:A 32.6523 +*END + +*D_NET *296 0.00170751 +*CONN +*I *887:Z O *D sky130_fd_sc_hd__einvp_2 +*I *890:A I *D sky130_fd_sc_hd__clkinv_1 +*I *889:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *887:Z 5.71462e-05 +2 *890:A 0.000256629 +3 *889:Z 3.41323e-05 +4 *296:7 0.000347907 +5 *887:Z *886:A 4.36737e-05 +6 *890:A *885:A 0.000233978 +7 *890:A *886:A 1.4426e-05 +8 *296:7 *889:A 0.000156076 +9 *296:7 *306:5 0.000156076 +10 *781:A1 *890:A 2.09501e-05 +11 *791:A0 *890:A 2.58616e-05 +12 *887:A *887:Z 0.000121653 +13 *887:A *890:A 0.000163478 +14 *21:18 *890:A 2.86013e-06 +15 *293:13 *890:A 7.26606e-05 +*RES +1 *889:Z *296:7 15.5817 +2 *296:7 *890:A 21.4269 +3 *296:7 *887:Z 15.9964 +*END + +*D_NET *297 0.00196321 +*CONN +*I *886:A I *D sky130_fd_sc_hd__einvp_2 +*I *890:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *886:A 0.000387241 +2 *890:Y 0.000387241 +3 *886:A *885:A 0.000233845 +4 *886:A *887:TE 6.50586e-05 +5 *791:A0 *886:A 8.15736e-05 +6 *791:A1 *886:A 0.000451192 +7 *887:Z *886:A 4.36737e-05 +8 *890:A *886:A 1.4426e-05 +9 *21:18 *886:A 0.000171273 +10 *272:11 *886:A 2.99843e-05 +11 *293:13 *886:A 9.76996e-05 +*RES +1 *890:Y *886:A 41.8551 +*END + +*D_NET *298 0.00199501 +*CONN +*I *891:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *886:Z O *D sky130_fd_sc_hd__einvp_2 +*I *888:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *891:A 0.000375153 +2 *886:Z 0.000163103 +3 *888:Z 0 +4 *298:4 0.000538256 +5 *886:Z *887:TE 3.44951e-05 +6 *886:Z *300:8 8.62474e-06 +7 *886:Z *301:13 4.89392e-05 +8 *891:A *889:A 0.000349583 +9 *891:A *894:TE 7.45919e-05 +10 *891:A *894:Z 9.28161e-05 +11 *891:A *301:13 7.0575e-05 +12 *891:A *306:5 0.000238875 +*RES +1 *888:Z *298:4 9.24915 +2 *298:4 *886:Z 22.6049 +3 *298:4 *891:A 22.3667 +*END + +*D_NET *299 0.00160905 +*CONN +*I *886:TE I *D sky130_fd_sc_hd__einvp_2 +*I *888:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *780:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *886:TE 6.93732e-05 +2 *888:TE_B 0.000155036 +3 *780:X 4.57446e-05 +4 *299:7 0.000270154 +5 *774:A1 *888:TE_B 0 +6 *793:A1 *888:TE_B 0 +7 *884:A *888:TE_B 3.44412e-06 +8 *272:11 *886:TE 0.000511549 +9 *272:11 *299:7 0.000224381 +10 *279:7 *886:TE 0.000230361 +11 *279:7 *299:7 9.90116e-05 +*RES +1 *780:X *299:7 12.2151 +2 *299:7 *888:TE_B 21.7421 +3 *299:7 *886:TE 14.964 +*END + +*D_NET *300 0.00101896 +*CONN +*I *887:TE I *D sky130_fd_sc_hd__einvp_2 +*I *889:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *781:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *887:TE 0.000100063 +2 *889:TE_B 0 +3 *781:X 0.000167902 +4 *300:8 0.000267964 +5 *781:A1 *300:8 4.24488e-05 +6 *791:A1 *887:TE 0.000162666 +7 *791:A1 *300:8 0.000143175 +8 *886:A *887:TE 6.50586e-05 +9 *886:Z *887:TE 3.44951e-05 +10 *886:Z *300:8 8.62474e-06 +11 *272:11 *887:TE 2.65667e-05 +*RES +1 *781:X *300:8 17.6896 +2 *300:8 *889:TE_B 13.7491 +3 *300:8 *887:TE 17.5503 +*END + +*D_NET *301 0.00331563 +*CONN +*I *885:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *889:A I *D sky130_fd_sc_hd__einvn_4 +*I *888:A I *D sky130_fd_sc_hd__einvn_8 +*I *884:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *885:A 9.95762e-05 +2 *889:A 9.38594e-05 +3 *888:A 2.12792e-05 +4 *884:X 0.000161146 +5 *301:13 0.000336441 +6 *301:5 0.00032543 +7 *889:A *306:5 7.65083e-05 +8 *301:5 *306:5 0.000561471 +9 *301:13 *306:5 0.000546925 +10 *886:A *885:A 0.000233845 +11 *886:Z *301:13 4.89392e-05 +12 *890:A *885:A 0.000233978 +13 *891:A *889:A 0.000349583 +14 *891:A *301:13 7.0575e-05 +15 *296:7 *889:A 0.000156076 +*RES +1 *884:X *301:5 15.5186 +2 *301:5 *888:A 9.82786 +3 *301:5 *301:13 6.26943 +4 *301:13 *889:A 13.8789 +5 *301:13 *885:A 22.5727 +*END + +*D_NET *302 0.00172589 +*CONN +*I *894:A I *D sky130_fd_sc_hd__einvp_2 +*I *892:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *894:A 0.000521876 +2 *892:X 0.000521876 +3 *894:A *894:TE 2.48716e-05 +4 *783:A1 *894:A 0.000657265 +*RES +1 *892:X *894:A 37.1242 +*END + +*D_NET *303 0.00166098 +*CONN +*I *897:A I *D sky130_fd_sc_hd__clkinv_1 +*I *894:Z O *D sky130_fd_sc_hd__einvp_2 +*I *896:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *897:A 0.000165566 +2 *894:Z 0.000296926 +3 *896:Z 0 +4 *303:4 0.000462492 +5 *894:Z *894:TE 0.000159032 +6 *894:Z *306:5 1.77588e-05 +7 *894:Z *308:7 0.000159032 +8 *897:A *896:A 0.000163165 +9 *783:A1 *894:Z 2.57986e-05 +10 *783:A1 *897:A 5.10874e-05 +11 *789:A0 *894:Z 6.73023e-05 +12 *891:A *894:Z 9.28161e-05 +*RES +1 *896:Z *303:4 9.24915 +2 *303:4 *894:Z 27.2105 +3 *303:4 *897:A 13.8789 +*END + +*D_NET *304 0.000584973 +*CONN +*I *893:A I *D sky130_fd_sc_hd__einvp_2 +*I *897:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *893:A 0.000119896 +2 *897:Y 0.000119896 +3 *893:A *893:Z 0.000197176 +4 *893:A *308:12 3.89804e-05 +5 *21:18 *893:A 0.000109024 +*RES +1 *897:Y *893:A 32.6523 +*END + +*D_NET *305 0.00108535 +*CONN +*I *898:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *893:Z O *D sky130_fd_sc_hd__einvp_2 +*I *895:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *898:A 9.62399e-05 +2 *893:Z 0.000204252 +3 *895:Z 0 +4 *305:4 0.000300492 +5 *893:Z *895:A 5.04829e-06 +6 *893:Z *308:12 5.23435e-05 +7 *893:A *893:Z 0.000197176 +8 *5:244 *893:Z 6.16146e-05 +9 *21:18 *898:A 0.000168186 +*RES +1 *895:Z *305:4 9.24915 +2 *305:4 *893:Z 24.1294 +3 *305:4 *898:A 21.3269 +*END + +*D_NET *306 0.00565814 +*CONN +*I *893:TE I *D sky130_fd_sc_hd__einvp_2 +*I *895:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *778:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *893:TE 0.000268519 +2 *895:TE_B 3.60272e-05 +3 *778:X 0.00166643 +4 *306:5 0.00197098 +5 *893:TE *308:7 6.73679e-05 +6 *306:5 *308:7 1.43698e-05 +7 *787:A1 *893:TE 6.08697e-06 +8 *789:A0 *893:TE 0 +9 *889:A *306:5 7.65083e-05 +10 *891:A *306:5 0.000238875 +11 *894:Z *306:5 1.77588e-05 +12 *5:244 *893:TE 3.07542e-05 +13 *296:7 *306:5 0.000156076 +14 *301:5 *306:5 0.000561471 +15 *301:13 *306:5 0.000546925 +*RES +1 *778:X *306:5 36.5935 +2 *306:5 *895:TE_B 10.2378 +3 *306:5 *893:TE 23.4382 +*END + +*D_NET *307 0.00204025 +*CONN +*I *894:TE I *D sky130_fd_sc_hd__einvp_2 +*I *896:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *779:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *894:TE 0.000430674 +2 *896:TE_B 0 +3 *779:X 0.000373111 +4 *307:6 0.000803785 +5 *737:B1 *307:6 0.00017419 +6 *741:B *307:6 0 +7 *779:A1 *307:6 0 +8 *789:A0 *307:6 0 +9 *891:A *894:TE 7.45919e-05 +10 *894:A *894:TE 2.48716e-05 +11 *894:Z *894:TE 0.000159032 +*RES +1 *779:X *307:6 22.6404 +2 *307:6 *896:TE_B 13.7491 +3 *307:6 *894:TE 22.0059 +*END + +*D_NET *308 0.00158341 +*CONN +*I *895:A I *D sky130_fd_sc_hd__einvn_8 +*I *892:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *896:A I *D sky130_fd_sc_hd__einvn_4 +*I *891:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *895:A 3.42956e-05 +2 *892:A 0 +3 *896:A 3.37365e-05 +4 *891:X 0.000218407 +5 *308:12 0.000153967 +6 *308:7 0.000372933 +7 *783:A1 *896:A 6.53173e-05 +8 *893:A *308:12 3.89804e-05 +9 *893:TE *308:7 6.73679e-05 +10 *893:Z *895:A 5.04829e-06 +11 *893:Z *308:12 5.23435e-05 +12 *894:Z *308:7 0.000159032 +13 *897:A *896:A 0.000163165 +14 *5:244 *308:12 0.000204448 +15 *306:5 *308:7 1.43698e-05 +*RES +1 *891:X *308:7 15.5427 +2 *308:7 *308:12 12.9083 +3 *308:12 *896:A 11.0817 +4 *308:12 *892:A 9.24915 +5 *308:7 *895:A 9.97254 +*END + +*D_NET *309 0.000382005 +*CONN +*I *901:A I *D sky130_fd_sc_hd__einvp_2 +*I *899:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *901:A 0.000104002 +2 *899:X 0.000104002 +3 *901:A *901:Z 0.000174001 +*RES +1 *899:X *901:A 30.6083 +*END + +*D_NET *310 0.00159905 +*CONN +*I *904:A I *D sky130_fd_sc_hd__clkinv_1 +*I *901:Z O *D sky130_fd_sc_hd__einvp_2 +*I *903:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *904:A 0.000223225 +2 *901:Z 0.000232183 +3 *903:Z 0 +4 *310:4 0.000455408 +5 *901:Z *903:TE_B 0.000101377 +6 *901:Z *313:8 3.67528e-06 +7 *901:Z *314:13 9.68095e-05 +8 *904:A *903:TE_B 0.000259086 +9 *901:A *901:Z 0.000174001 +10 *21:18 *901:Z 1.37566e-05 +11 *21:18 *904:A 3.95326e-05 +*RES +1 *903:Z *310:4 9.24915 +2 *310:4 *901:Z 26.3422 +3 *310:4 *904:A 14.9881 +*END + +*D_NET *311 0.00126857 +*CONN +*I *900:A I *D sky130_fd_sc_hd__einvp_2 +*I *904:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *900:A 0.000309744 +2 *904:Y 0.000309744 +3 *900:A *903:TE_B 0.000291545 +4 *5:244 *900:A 1.86797e-05 +5 *21:18 *900:A 0.000136576 +6 *241:6 *900:A 0.000202283 +*RES +1 *904:Y *900:A 36.1487 +*END + +*D_NET *312 0.00460218 +*CONN +*I *900:TE I *D sky130_fd_sc_hd__einvp_2 +*I *902:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *776:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *900:TE 0.000320034 +2 *902:TE_B 0 +3 *776:X 0.00114257 +4 *312:15 0.0014626 +5 *737:A2 *312:15 0.000107496 +6 *737:A3 *312:15 2.19485e-06 +7 *737:B1 *900:TE 0 +8 *737:B1 *312:15 0.000402967 +9 *741:B *312:15 8.01687e-05 +10 *743:A *312:15 0.00046436 +11 *779:A1 *900:TE 0 +12 *789:A0 *900:TE 5.67857e-05 +13 *789:A0 *312:15 7.92757e-06 +14 *878:A *312:15 6.90469e-05 +15 *5:72 *312:15 0.000357884 +16 *5:244 *900:TE 4.66598e-05 +17 *118:85 *312:15 8.14875e-05 +*RES +1 *776:X *312:15 46.8174 +2 *312:15 *902:TE_B 9.24915 +3 *312:15 *900:TE 26.4871 +*END + +*D_NET *313 0.00196842 +*CONN +*I *903:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *901:TE I *D sky130_fd_sc_hd__einvp_2 +*I *777:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *903:TE_B 0.000213286 +2 *901:TE 0 +3 *777:X 0.000204945 +4 *313:8 0.000418231 +5 *777:S *313:8 2.65831e-05 +6 *828:A *313:8 2.56453e-05 +7 *900:A *903:TE_B 0.000291545 +8 *901:Z *903:TE_B 0.000101377 +9 *901:Z *313:8 3.67528e-06 +10 *904:A *903:TE_B 0.000259086 +11 *5:254 *313:8 0.000123582 +12 *21:18 *903:TE_B 0.000287769 +13 *241:6 *903:TE_B 7.46648e-06 +14 *241:6 *313:8 5.22654e-06 +*RES +1 *777:X *313:8 18.2442 +2 *313:8 *901:TE 13.7491 +3 *313:8 *903:TE_B 23.506 +*END + +*D_NET *314 0.00103728 +*CONN +*I *903:A I *D sky130_fd_sc_hd__einvn_4 +*I *899:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *902:A I *D sky130_fd_sc_hd__einvn_8 +*I *898:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *903:A 0 +2 *899:A 0 +3 *902:A 5.86929e-05 +4 *898:X 0.000163146 +5 *314:13 0.000297137 +6 *314:8 0.00040159 +7 *783:A1 *314:8 1.99054e-05 +8 *901:Z *314:13 9.68095e-05 +*RES +1 *898:X *314:8 16.8591 +2 *314:8 *314:13 10.6561 +3 *314:13 *902:A 10.5271 +4 *314:13 *899:A 9.24915 +5 *314:8 *903:A 13.7491 +*END + +*D_NET *315 0.000752658 +*CONN +*I *914:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *910:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *914:TE_B 0.000235927 +2 *910:X 0.000235927 +3 *914:TE_B *917:A 0.000232073 +4 *236:7 *914:TE_B 4.87301e-05 +*RES +1 *910:X *914:TE_B 33.2714 +*END + +*D_NET *316 0.000277204 +*CONN +*I *913:A I *D sky130_fd_sc_hd__einvp_2 +*I *911:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *913:A 0.000136237 +2 *911:X 0.000136237 +3 *810:D *913:A 4.73037e-06 +4 *223:29 *913:A 0 +*RES +1 *911:X *913:A 30.0537 +*END + +*D_NET *317 0.00111246 +*CONN +*I *913:Z O *D sky130_fd_sc_hd__einvp_2 +*I *916:A I *D sky130_fd_sc_hd__clkinv_1 +*I *915:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *913:Z 5.36936e-05 +2 *916:A 0.000123498 +3 *915:Z 0.000114059 +4 *317:7 0.000291251 +5 *913:Z *915:TE_B 0.000122378 +6 *916:A *912:A 0.000125876 +7 *317:7 *912:A 0.0002817 +8 *223:29 *916:A 0 +*RES +1 *915:Z *317:7 13.3243 +2 *317:7 *916:A 21.7421 +3 *317:7 *913:Z 11.0817 +*END + +*D_NET *318 0.000987066 +*CONN +*I *912:A I *D sky130_fd_sc_hd__einvp_2 +*I *916:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *912:A 0.000289745 +2 *916:Y 0.000289745 +3 *916:A *912:A 0.000125876 +4 *249:26 *912:A 0 +5 *317:7 *912:A 0.0002817 +*RES +1 *916:Y *912:A 36.015 +*END + +*D_NET *319 0.00120086 +*CONN +*I *917:A I *D sky130_fd_sc_hd__einvp_1 +*I *909:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *917:A 0.000234743 +2 *909:HI 0.000234743 +3 *917:A *910:A 7.58194e-05 +4 *917:A *910:B 0.000122378 +5 *917:A *321:10 0.00028644 +6 *914:TE_B *917:A 0.000232073 +7 *233:18 *917:A 1.46645e-05 +*RES +1 *909:HI *917:A 36.7033 +*END + +*D_NET *320 0.00112224 +*CONN +*I *910:A I *D sky130_fd_sc_hd__or2_2 +*I *917:TE I *D sky130_fd_sc_hd__einvp_1 +*I *624:B I *D sky130_fd_sc_hd__nor2_2 +*I *623:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *910:A 7.86816e-05 +2 *917:TE 2.31818e-05 +3 *624:B 0 +4 *623:Y 0.000140848 +5 *320:15 0.000243524 +6 *320:6 0.000282509 +7 *623:A *320:6 1.91246e-05 +8 *824:TE *910:A 0.000116764 +9 *824:TE *917:TE 6.50586e-05 +10 *827:A *320:15 0 +11 *905:A *320:6 0 +12 *905:A *320:15 0 +13 *906:A *320:6 0 +14 *917:A *910:A 7.58194e-05 +15 *4:10 *320:6 0 +16 *233:5 *910:A 7.16754e-05 +17 *233:18 *910:A 5.04829e-06 +*RES +1 *623:Y *320:6 16.4116 +2 *320:6 *624:B 13.7491 +3 *320:6 *320:15 7.57775 +4 *320:15 *917:TE 9.97254 +5 *320:15 *910:A 12.7456 +*END + +*D_NET *321 0.00163389 +*CONN +*I *912:TE I *D sky130_fd_sc_hd__einvp_2 +*I *910:B I *D sky130_fd_sc_hd__or2_2 +*I *796:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *912:TE 0 +2 *910:B 5.67305e-05 +3 *796:X 0.000492668 +4 *321:10 0.000549399 +5 *772:A1 *321:10 4.26859e-05 +6 *845:TE *321:10 3.69003e-05 +7 *917:A *910:B 0.000122378 +8 *917:A *321:10 0.00028644 +9 *233:18 *910:B 4.66876e-05 +10 *284:23 *321:10 0 +*RES +1 *796:X *321:10 31.1858 +2 *321:10 *910:B 11.6605 +3 *321:10 *912:TE 9.24915 +*END + +*D_NET *322 0.00209973 +*CONN +*I *913:TE I *D sky130_fd_sc_hd__einvp_2 +*I *915:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *797:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *913:TE 0 +2 *915:TE_B 0.000178483 +3 *797:X 0.000244712 +4 *322:7 0.000423195 +5 *613:A *322:7 4.94028e-05 +6 *672:A *322:7 1.92336e-05 +7 *694:A1 *322:7 6.65878e-05 +8 *694:A2 *322:7 4.31539e-05 +9 *797:S *322:7 6.08467e-05 +10 *913:Z *915:TE_B 0.000122378 +11 *120:11 *322:7 0.000186597 +12 *120:15 *322:7 0.00062282 +13 *185:11 *322:7 6.45419e-05 +14 *186:10 *322:7 1.77822e-05 +*RES +1 *797:X *322:7 19.9795 +2 *322:7 *915:TE_B 13.8789 +3 *322:7 *913:TE 9.24915 +*END diff --git a/spef/gpio_control_block.sdc b/spef/gpio_control_block.sdc new file mode 100644 index 00000000..6820d748 --- /dev/null +++ b/spef/gpio_control_block.sdc @@ -0,0 +1,110 @@ +############################################################################### +# Created by write_sdc +# Sat Nov 20 12:29:42 2021 +############################################################################### +current_design gpio_control_block +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name serial_clock -period 50.0000 [get_ports {serial_clock}] +set_clock_transition 0.1500 [get_clocks {serial_clock}] +set_clock_uncertainty 0.2500 serial_clock +set_propagated_clock [get_clocks {serial_clock}] +create_clock -name serial_load -period 50.0000 [get_ports {serial_load}] +set_clock_transition 0.1500 [get_clocks {serial_load}] +set_clock_uncertainty 0.2500 serial_load +set_propagated_clock [get_clocks {serial_load}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[0]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[10]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[11]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[12]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[1]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[2]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[3]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[4]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[5]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[6]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[7]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[8]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[9]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_oeb}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_out}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_in}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {resetn}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_in}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_load}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_oeb}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_in}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {one}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_en}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_pol}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_sel}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[0]}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[1]}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[2]}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_holdover}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ib_mode_sel}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_inenb}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_outenb}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_slow_sel}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_vtrip_sel}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {resetn_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_clock_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_load_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_in}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {zero}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_in}] +set_load -pin_load 0.0334 [get_ports {one}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_ana_en}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_ana_pol}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_ana_sel}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_holdover}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_ib_mode_sel}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_inenb}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_out}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_outenb}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_slow_sel}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_vtrip_sel}] +set_load -pin_load 0.0334 [get_ports {resetn_out}] +set_load -pin_load 0.0334 [get_ports {serial_clock_out}] +set_load -pin_load 0.0334 [get_ports {serial_data_out}] +set_load -pin_load 0.0334 [get_ports {serial_load_out}] +set_load -pin_load 0.0334 [get_ports {user_gpio_in}] +set_load -pin_load 0.0334 [get_ports {zero}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_dm[2]}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_dm[1]}] +set_load -pin_load 0.0334 [get_ports {pad_gpio_dm[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_oeb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_out}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {pad_gpio_in}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {resetn}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {serial_clock}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {serial_data_in}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {serial_load}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_gpio_oeb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_gpio_out}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/spef/gpio_control_block.spef b/spef/gpio_control_block.spef new file mode 100644 index 00000000..4600eb07 --- /dev/null +++ b/spef/gpio_control_block.spef @@ -0,0 +1,6611 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_control_block" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 gpio_defaults[0] +*2 gpio_defaults[10] +*3 gpio_defaults[11] +*4 gpio_defaults[12] +*5 gpio_defaults[1] +*6 gpio_defaults[2] +*7 gpio_defaults[3] +*8 gpio_defaults[4] +*9 gpio_defaults[5] +*10 gpio_defaults[6] +*11 gpio_defaults[7] +*12 gpio_defaults[8] +*13 gpio_defaults[9] +*14 mgmt_gpio_in +*15 mgmt_gpio_oeb +*16 mgmt_gpio_out +*17 one +*18 pad_gpio_ana_en +*19 pad_gpio_ana_pol +*20 pad_gpio_ana_sel +*21 pad_gpio_dm[0] +*22 pad_gpio_dm[1] +*23 pad_gpio_dm[2] +*24 pad_gpio_holdover +*25 pad_gpio_ib_mode_sel +*26 pad_gpio_in +*27 pad_gpio_inenb +*28 pad_gpio_out +*29 pad_gpio_outenb +*30 pad_gpio_slow_sel +*31 pad_gpio_vtrip_sel +*32 resetn +*33 resetn_out +*34 serial_clock +*35 serial_clock_out +*36 serial_data_in +*37 serial_data_out +*38 serial_load +*39 serial_load_out +*40 user_gpio_in +*41 user_gpio_oeb +*42 user_gpio_out +*47 zero +*48 _000_ +*49 _001_ +*50 _002_ +*51 _003_ +*52 _004_ +*53 _005_ +*54 _006_ +*55 _007_ +*56 _008_ +*57 _009_ +*58 _010_ +*59 _011_ +*60 _012_ +*61 _013_ +*62 _014_ +*63 _015_ +*64 _016_ +*65 _017_ +*66 _018_ +*67 _019_ +*68 _020_ +*69 _021_ +*70 _022_ +*71 _023_ +*72 _024_ +*73 _025_ +*74 _026_ +*75 _027_ +*76 _028_ +*77 _029_ +*78 _030_ +*79 _031_ +*80 _032_ +*81 _033_ +*82 _034_ +*83 _035_ +*84 _036_ +*85 _037_ +*86 _038_ +*87 _039_ +*88 _040_ +*89 _041_ +*90 _042_ +*91 _043_ +*92 _044_ +*93 _045_ +*94 _046_ +*95 _047_ +*96 _048_ +*97 _049_ +*98 _050_ +*99 _051_ +*100 _052_ +*101 _053_ +*102 _054_ +*103 _055_ +*104 _056_ +*105 _057_ +*106 _058_ +*107 _059_ +*108 _060_ +*109 _061_ +*110 _062_ +*111 _063_ +*112 _064_ +*113 _065_ +*114 _066_ +*115 _067_ +*116 _068_ +*117 _069_ +*118 _070_ +*119 _071_ +*120 _072_ +*121 _073_ +*122 _074_ +*123 _075_ +*124 _076_ +*125 _077_ +*126 _078_ +*127 _079_ +*128 _080_ +*129 _081_ +*130 _082_ +*131 _083_ +*132 _084_ +*133 _085_ +*134 _086_ +*135 _087_ +*136 _088_ +*137 _089_ +*138 _090_ +*139 _091_ +*140 _092_ +*141 _093_ +*142 _094_ +*143 _095_ +*144 _096_ +*145 _097_ +*146 _098_ +*147 _099_ +*148 _100_ +*149 _101_ +*150 clknet_0_serial_clock +*151 clknet_1_0_0_serial_clock +*152 clknet_1_1_0_serial_clock +*153 gpio_logic1 +*154 gpio_outenb +*155 mgmt_ena +*156 net1 +*157 net10 +*158 net11 +*159 net12 +*160 net13 +*161 net14 +*162 net15 +*163 net16 +*164 net17 +*165 net18 +*166 net19 +*167 net2 +*168 net20 +*169 net21 +*170 net22 +*171 net23 +*172 net24 +*173 net25 +*174 net26 +*175 net27 +*176 net28 +*177 net29 +*178 net3 +*179 net30 +*180 net31 +*181 net32 +*182 net33 +*183 net34 +*184 net35 +*185 net36 +*186 net37 +*187 net38 +*188 net39 +*189 net4 +*190 net40 +*191 net5 +*192 net6 +*193 net7 +*194 net8 +*195 net9 +*196 serial_data_pre +*197 shift_register\[0\] +*198 shift_register\[10\] +*199 shift_register\[11\] +*200 shift_register\[1\] +*201 shift_register\[2\] +*202 shift_register\[3\] +*203 shift_register\[4\] +*204 shift_register\[5\] +*205 shift_register\[6\] +*206 shift_register\[7\] +*207 shift_register\[8\] +*208 shift_register\[9\] +*209 ANTENNA_0 +*210 ANTENNA_1 +*211 ANTENNA_10 +*212 ANTENNA_11 +*213 ANTENNA_12 +*214 ANTENNA_13 +*215 ANTENNA_14 +*216 ANTENNA_15 +*217 ANTENNA_16 +*218 ANTENNA_17 +*219 ANTENNA_18 +*220 ANTENNA_19 +*221 ANTENNA_2 +*222 ANTENNA_20 +*223 ANTENNA_21 +*224 ANTENNA_3 +*225 ANTENNA_4 +*226 ANTENNA_5 +*227 ANTENNA_6 +*228 ANTENNA_7 +*229 ANTENNA_8 +*230 ANTENNA_9 +*231 FILLER_0_26 +*232 FILLER_0_75 +*233 FILLER_0_85 +*234 FILLER_10_13 +*235 FILLER_10_20 +*236 FILLER_10_29 +*237 FILLER_10_37 +*238 FILLER_10_68 +*239 FILLER_11_12 +*240 FILLER_11_19 +*241 FILLER_11_46 +*242 FILLER_11_57 +*243 FILLER_11_78 +*244 FILLER_11_92 +*245 FILLER_12_15 +*246 FILLER_12_3 +*247 FILLER_12_41 +*248 FILLER_12_72 +*249 FILLER_13_10 +*250 FILLER_13_3 +*251 FILLER_13_49 +*252 FILLER_13_57 +*253 FILLER_13_65 +*254 FILLER_13_92 +*255 FILLER_14_15 +*256 FILLER_14_29 +*257 FILLER_14_3 +*258 FILLER_15_3 +*259 FILLER_15_39 +*260 FILLER_15_81 +*261 FILLER_15_9 +*262 FILLER_16_13 +*263 FILLER_16_18 +*264 FILLER_16_3 +*265 FILLER_16_7 +*266 FILLER_16_85 +*267 FILLER_1_26 +*268 FILLER_1_67 +*269 FILLER_1_77 +*270 FILLER_1_86 +*271 FILLER_1_93 +*272 FILLER_2_93 +*273 FILLER_3_26 +*274 FILLER_3_92 +*275 FILLER_4_61 +*276 FILLER_5_26 +*277 FILLER_5_53 +*278 FILLER_5_80 +*279 FILLER_5_93 +*280 FILLER_6_46 +*281 FILLER_6_78 +*282 FILLER_7_92 +*283 FILLER_8_10 +*284 FILLER_8_20 +*285 FILLER_8_3 +*286 FILLER_8_33 +*287 FILLER_8_45 +*288 FILLER_9_14 +*289 FILLER_9_3 +*290 FILLER_9_63 +*291 FILLER_9_8 +*292 PHY_0 +*293 PHY_1 +*294 PHY_10 +*295 PHY_11 +*296 PHY_12 +*297 PHY_13 +*298 PHY_14 +*299 PHY_15 +*300 PHY_16 +*301 PHY_17 +*302 PHY_18 +*303 PHY_19 +*304 PHY_2 +*305 PHY_20 +*306 PHY_21 +*307 PHY_22 +*308 PHY_23 +*309 PHY_24 +*310 PHY_25 +*311 PHY_26 +*312 PHY_27 +*313 PHY_28 +*314 PHY_29 +*315 PHY_3 +*316 PHY_30 +*317 PHY_31 +*318 PHY_32 +*319 PHY_33 +*320 PHY_4 +*321 PHY_5 +*322 PHY_6 +*323 PHY_7 +*324 PHY_8 +*325 PHY_9 +*326 TAP_34 +*327 TAP_35 +*328 TAP_36 +*329 TAP_37 +*330 TAP_38 +*331 TAP_39 +*332 TAP_40 +*333 TAP_41 +*334 TAP_42 +*335 TAP_43 +*336 TAP_44 +*337 TAP_45 +*338 TAP_46 +*339 TAP_47 +*340 TAP_48 +*341 TAP_49 +*342 TAP_50 +*343 TAP_51 +*344 TAP_52 +*345 TAP_53 +*346 TAP_54 +*347 TAP_55 +*348 TAP_56 +*349 TAP_57 +*350 TAP_58 +*351 _102_ +*352 _103_ +*353 _104_ +*354 _105_ +*355 _106_ +*356 _107_ +*357 _108_ +*358 _109_ +*359 _110_ +*360 _111_ +*361 _112_ +*362 _113_ +*363 _114_ +*364 _115_ +*365 _116_ +*366 _117_ +*367 _118_ +*368 _119_ +*369 _120_ +*370 _121_ +*371 _122_ +*372 _123_ +*373 _124_ +*374 _125_ +*375 _126_ +*376 _127_ +*377 _128_ +*378 _129_ +*379 _130_ +*380 _131_ +*381 _132_ +*382 _133_ +*383 _134_ +*384 _135_ +*385 _136_ +*386 _137_ +*387 _138_ +*388 _139_ +*389 _140_ +*390 _141_ +*391 _142_ +*392 _143_ +*393 _144_ +*394 _145_ +*395 _146_ +*396 _147_ +*397 _148_ +*398 _149_ +*399 _150_ +*400 _151_ +*401 _152_ +*402 _153_ +*403 _154_ +*404 _155_ +*405 _156_ +*406 _157_ +*407 _158_ +*408 _159_ +*409 _160_ +*410 _161_ +*411 _162_ +*412 _163_ +*413 _164_ +*414 _165_ +*415 _166_ +*416 _167_ +*417 _168_ +*418 _169_ +*419 _170_ +*420 _171_ +*421 _172_ +*422 _173_ +*423 _174_ +*424 _175_ +*425 _176_ +*426 _177_ +*427 _178_ +*428 _179_ +*429 _180_ +*430 _181_ +*431 _182_ +*432 _183_ +*433 _184_ +*434 _185_ +*435 _186_ +*436 _187_ +*437 _188_ +*438 _189_ +*439 _190_ +*440 _191_ +*441 _192_ +*442 _193_ +*443 _194_ +*444 _195_ +*445 _196_ +*446 _197_ +*447 _198_ +*448 _199_ +*449 _200_ +*450 _201_ +*451 _202_ +*452 _203_ +*453 _204_ +*454 _205_ +*455 _206_ +*456 _207_ +*457 _208_ +*458 _209_ +*459 _210_ +*460 _211_ +*461 _212_ +*462 _213_ +*463 _214_ +*464 _215_ +*465 _216_ +*466 _217_ +*467 _218_ +*468 _219_ +*469 _220_ +*470 _221_ +*471 _222_ +*472 _223_ +*473 clkbuf_0_serial_clock +*474 clkbuf_1_0_0_serial_clock +*475 clkbuf_1_1_0_serial_clock +*476 const_source +*477 gpio_in_buf +*478 gpio_logic_high +*479 input1 +*480 input10 +*481 input11 +*482 input12 +*483 input13 +*484 input14 +*485 input15 +*486 input16 +*487 input17 +*488 input18 +*489 input19 +*490 input2 +*491 input20 +*492 input21 +*493 input3 +*494 input4 +*495 input5 +*496 input6 +*497 input7 +*498 input8 +*499 input9 +*500 output23 +*501 output24 +*502 output25 +*503 output26 +*504 output27 +*505 output28 +*506 output29 +*507 output30 +*508 output31 +*509 output32 +*510 output33 +*511 output34 +*512 output35 +*513 output36 +*514 output37 +*515 output38 +*516 output39 +*517 output40 + +*PORTS +gpio_defaults[0] I +gpio_defaults[10] I +gpio_defaults[11] I +gpio_defaults[12] I +gpio_defaults[1] I +gpio_defaults[2] I +gpio_defaults[3] I +gpio_defaults[4] I +gpio_defaults[5] I +gpio_defaults[6] I +gpio_defaults[7] I +gpio_defaults[8] I +gpio_defaults[9] I +mgmt_gpio_in O +mgmt_gpio_oeb I +mgmt_gpio_out I +one O +pad_gpio_ana_en O +pad_gpio_ana_pol O +pad_gpio_ana_sel O +pad_gpio_dm[0] O +pad_gpio_dm[1] O +pad_gpio_dm[2] O +pad_gpio_holdover O +pad_gpio_ib_mode_sel O +pad_gpio_in I +pad_gpio_inenb O +pad_gpio_out O +pad_gpio_outenb O +pad_gpio_slow_sel O +pad_gpio_vtrip_sel O +resetn I +resetn_out O +serial_clock I +serial_clock_out O +serial_data_in I +serial_data_out O +serial_load I +serial_load_out O +user_gpio_in O +user_gpio_oeb I +user_gpio_out I +zero O + +*D_NET *1 0.0239158 +*CONN +*P gpio_defaults[0] I +*I *479:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *222:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[0] 2.68958e-05 +2 *479:A 0 +3 *222:DIODE 8.47792e-05 +4 *1:30 0.00326718 +5 *1:11 0.00513609 +6 *1:10 0.00195369 +7 *1:8 0.00130417 +8 *1:7 0.00133106 +9 *222:DIODE *156:21 2.22974e-05 +10 *1:8 *6:5 0.00264612 +11 *1:11 *23:9 1.19465e-05 +12 *1:30 pad_gpio_ib_mode_sel 8.49477e-05 +13 *1:30 pad_gpio_out 0.00198445 +14 *1:30 *230:DIODE 0.000143175 +15 *1:30 *461:D 0.00141246 +16 *1:30 *497:A 0 +17 *1:30 *26:20 0.000119563 +18 *1:30 *53:28 0.00107297 +19 *1:30 *117:23 0.00301634 +20 *1:30 *156:21 0.000133822 +21 *1:30 *163:42 2.06254e-05 +22 *1:30 *194:13 0.000143175 +*RES +1 gpio_defaults[0] *1:7 3.43197 +2 *1:7 *1:8 53.1156 +3 *1:8 *1:10 4.5 +4 *1:10 *1:11 53.9653 +5 *1:11 *1:30 30.8376 +6 *1:30 *222:DIODE 11.0817 +7 *1:30 *479:A 9.24915 +*END + +*D_NET *2 0.0146285 +*CONN +*P gpio_defaults[10] I +*I *209:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *490:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 gpio_defaults[10] 0.000391648 +2 *209:DIODE 0 +3 *490:A 1.31528e-05 +4 *2:38 8.41741e-05 +5 *2:37 0.000182756 +6 *2:33 0.000713105 +7 *2:26 0.00211996 +8 *2:14 0.00161065 +9 *2:13 0.00136668 +10 *2:10 0.00166627 +11 *490:A *493:A 6.17618e-05 +12 *490:A *191:13 6.36477e-05 +13 *2:10 zero 0.000407258 +14 *2:10 *3:11 0.000836804 +15 *2:10 *13:18 9.12047e-05 +16 *2:13 pad_gpio_ana_en 0.00188588 +17 *2:13 *3:20 0 +18 *2:13 *16:15 0.000120517 +19 *2:13 *17:26 0.000115903 +20 *2:13 *20:12 0 +21 *2:13 *119:16 0.000400393 +22 *2:26 *361:A 2.29888e-05 +23 *2:26 *455:RESET_B 5.8493e-05 +24 *2:26 *455:SET_B 0.000257287 +25 *2:26 *455:CLK_N 2.57986e-05 +26 *2:26 *464:RESET_B 0.000181814 +27 *2:26 *471:CLK 1.34153e-05 +28 *2:26 *66:16 0.000132398 +29 *2:26 *89:38 0.000233422 +30 *2:26 *119:16 1.00091e-05 +31 *2:26 *164:282 0.000137823 +32 *2:26 *178:22 2.30231e-05 +33 *2:26 *203:8 6.08467e-05 +34 *2:33 *495:A 0.000236207 +35 *2:33 *3:29 3.03953e-05 +36 *2:33 *94:7 6.36477e-05 +37 *2:33 *94:33 6.16595e-06 +38 *2:33 *111:14 0.000704594 +39 *2:33 *191:13 0.000135322 +40 *2:33 *193:38 3.60933e-06 +41 *2:37 *495:A 0.000159519 +*RES +1 gpio_defaults[10] *2:10 23.9044 +2 *2:10 *2:13 43.2488 +3 *2:13 *2:14 127.479 +4 *2:14 *2:26 45.6675 +5 *2:26 *2:33 21.9858 +6 *2:33 *2:37 11.0817 +7 *2:37 *2:38 81.1229 +8 *2:38 *490:A 19.2217 +9 *2:33 *209:DIODE 9.24915 +*END + +*D_NET *3 0.0133122 +*CONN +*P gpio_defaults[11] I +*I *210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *493:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 gpio_defaults[11] 0.000976001 +2 *210:DIODE 0 +3 *493:A 0.00012716 +4 *3:29 0.000230689 +5 *3:26 0.00017455 +6 *3:25 0.000543471 +7 *3:20 0.00246091 +8 *3:14 0.00203742 +9 *3:13 4.89522e-05 +10 *3:11 0.000976001 +11 *493:A *495:A 0.00045129 +12 *493:A *191:13 0.000158335 +13 *3:11 pad_gpio_ana_en 0 +14 *3:11 pad_gpio_ana_pol 4.10997e-05 +15 *3:11 *4:8 0.000109801 +16 *3:11 *13:18 7.49735e-06 +17 *3:11 *20:12 0.00176144 +18 *3:20 *381:A 7.92757e-06 +19 *3:20 *381:B_N 0.000297054 +20 *3:20 *382:A 6.01985e-05 +21 *3:20 *432:B_N 7.84564e-05 +22 *3:20 *463:D 6.93171e-05 +23 *3:20 *471:D 6.08467e-05 +24 *3:20 *20:12 7.79287e-05 +25 *3:20 *89:41 3.64497e-06 +26 *3:20 *97:23 0.00025456 +27 *3:20 *129:23 3.78939e-05 +28 *3:20 *153:29 5.91586e-05 +29 *3:20 *164:250 6.03492e-05 +30 *3:20 *164:258 1.30449e-05 +31 *3:20 *199:8 5.63639e-06 +32 *3:25 *191:13 0.000691693 +33 *3:29 *385:A 6.08494e-05 +34 *3:29 *495:A 0.000157107 +35 *3:29 *111:14 0.000158905 +36 *3:29 *191:13 0.000124053 +37 *490:A *493:A 6.17618e-05 +38 *2:10 *3:11 0.000836804 +39 *2:13 *3:20 0 +40 *2:33 *3:29 3.03953e-05 +*RES +1 gpio_defaults[11] *3:11 43.8367 +2 *3:11 *3:13 9.24915 +3 *3:13 *3:14 74.3058 +4 *3:14 *3:20 45.8379 +5 *3:20 *3:25 21.6824 +6 *3:25 *3:26 81.1229 +7 *3:26 *3:29 13.8548 +8 *3:29 *493:A 14.9881 +9 *3:29 *210:DIODE 9.24915 +*END + +*D_NET *4 0.0125393 +*CONN +*P gpio_defaults[12] I +*I *221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *494:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 gpio_defaults[12] 0.00437392 +2 *221:DIODE 0.000233201 +3 *494:A 0.000273781 +4 *4:8 0.0048809 +5 *221:DIODE *12:20 8.19941e-05 +6 *221:DIODE *71:18 8.6603e-05 +7 *221:DIODE *191:13 0.000247443 +8 *494:A *480:A 0.000157074 +9 *494:A *16:15 2.24271e-05 +10 *494:A *71:18 2.02035e-05 +11 *494:A *92:10 1.12479e-05 +12 *494:A *178:9 7.08444e-05 +13 *494:A *189:18 9.25778e-05 +14 *494:A *191:13 9.12416e-06 +15 *4:8 one 0.000202758 +16 *4:8 zero 3.84497e-05 +17 *4:8 *369:B_N 6.85024e-06 +18 *4:8 *400:A 4.91917e-06 +19 *4:8 *402:B 0.000101079 +20 *4:8 *7:15 0.000306985 +21 *4:8 *10:14 8.64865e-06 +22 *4:8 *12:20 0.000402153 +23 *4:8 *13:18 0 +24 *4:8 *15:15 0 +25 *4:8 *71:18 0.000752657 +26 *4:8 *153:29 1.66626e-05 +27 *4:8 *158:14 2.6958e-05 +28 *3:11 *4:8 0.000109801 +*RES +1 gpio_defaults[12] *4:8 27.1845 +2 *4:8 *494:A 30.0173 +3 *4:8 *221:DIODE 18.523 +*END + +*D_NET *5 0.0127856 +*CONN +*P gpio_defaults[1] I +*I *495:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *224:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[1] 0.00347584 +2 *495:A 0.000524748 +3 *224:DIODE 4.51842e-05 +4 *5:8 0.00404577 +5 *224:DIODE *191:13 6.08467e-05 +6 *495:A *463:CLK 8.42535e-06 +7 *495:A *6:21 2.18821e-05 +8 *495:A *71:18 0.00018982 +9 *495:A *92:10 0.000287341 +10 *495:A *93:23 8.08437e-05 +11 *495:A *152:38 1.84334e-05 +12 *495:A *189:18 1.21461e-06 +13 *495:A *191:13 4.00046e-05 +14 *5:8 *229:DIODE 1.33682e-05 +15 *5:8 *397:A 5.85383e-05 +16 *5:8 *451:D 0.000139506 +17 *5:8 *452:SET_B 0 +18 *5:8 *463:CLK 1.8234e-05 +19 *5:8 *465:D 4.60899e-05 +20 *5:8 *466:D 0 +21 *5:8 *7:15 0.000787004 +22 *5:8 *9:11 0.00155702 +23 *5:8 *93:23 0.000105157 +24 *5:8 *193:38 7.48876e-06 +25 *5:8 *202:8 0.000146302 +26 *5:8 *203:8 0.000102424 +27 *493:A *495:A 0.00045129 +28 *2:33 *495:A 0.000236207 +29 *2:37 *495:A 0.000159519 +30 *3:29 *495:A 0.000157107 +*RES +1 gpio_defaults[1] *5:8 49.9032 +2 *5:8 *224:DIODE 14.4725 +3 *5:8 *495:A 39.7716 +*END + +*D_NET *6 0.023975 +*CONN +*P gpio_defaults[2] I +*I *496:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *225:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[2] 0.000935817 +2 *496:A 0.000250196 +3 *225:DIODE 0.000127207 +4 *6:46 1.65112e-05 +5 *6:21 0.00316968 +6 *6:8 0.00460826 +7 *6:7 0.00181598 +8 *6:5 0.000919306 +9 *225:DIODE *499:A 6.59781e-05 +10 *225:DIODE *162:16 0.000300016 +11 *496:A *226:DIODE 6.27782e-05 +12 *496:A *419:A 0.000119385 +13 *496:A *429:A 6.02829e-05 +14 *496:A *92:10 5.94961e-05 +15 *496:A *160:27 4.92053e-06 +16 *496:A *192:17 0.000114594 +17 *6:8 pad_gpio_ana_pol 0 +18 *6:8 *11:16 0.00021944 +19 *6:8 *34:13 0.000158371 +20 *6:21 pad_gpio_dm[1] 1.5714e-05 +21 *6:21 pad_gpio_holdover 0.00164599 +22 *6:21 pad_gpio_ib_mode_sel 6.69491e-05 +23 *6:21 *398:A 0.000611255 +24 *6:21 *419:A 0.000800148 +25 *6:21 *444:S 0.000540564 +26 *6:21 *448:RESET_B 9.14685e-06 +27 *6:21 *448:SET_B 1.74199e-05 +28 *6:21 *11:23 0.00103476 +29 *6:21 *21:9 0.000307158 +30 *6:21 *34:20 0.000239007 +31 *6:21 *66:16 0.000517968 +32 *6:21 *92:10 2.09356e-05 +33 *6:21 *97:23 0.000131528 +34 *6:21 *112:13 0.000754073 +35 *6:21 *159:17 7.35292e-05 +36 *6:21 *161:23 0.00146533 +37 *6:21 *162:16 4.73077e-05 +38 *495:A *6:21 2.18821e-05 +39 *1:8 *6:5 0.00264612 +*RES +1 gpio_defaults[2] *6:5 45.6879 +2 *6:5 *6:7 4.5 +3 *6:7 *6:8 53.4107 +4 *6:8 *6:21 22.4963 +5 *6:21 *225:DIODE 17.8002 +6 *6:21 *496:A 21.1119 +7 gpio_defaults[2] *6:46 0.0631875 +*END + +*D_NET *7 0.0154883 +*CONN +*P gpio_defaults[3] I +*I *226:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *497:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 gpio_defaults[3] 0.00281075 +2 *226:DIODE 0.000110728 +3 *497:A 0.000435719 +4 *7:27 0.00113074 +5 *7:18 0.000804084 +6 *7:17 0.000219793 +7 *7:15 0.00281075 +8 *226:DIODE *419:A 3.26503e-05 +9 *497:A *427:A 0.000330557 +10 *497:A *53:28 2.60879e-06 +11 *497:A *54:17 1.91391e-05 +12 *497:A *92:10 0.000156203 +13 *497:A *160:27 3.44412e-06 +14 *497:A *166:11 0.000256466 +15 *497:A *193:16 4.87439e-05 +16 *7:15 *8:7 0.00219509 +17 *7:15 *92:35 1.91246e-05 +18 *7:15 *153:29 5.04829e-06 +19 *7:15 *158:14 0.00040722 +20 *7:15 *194:23 0.000153864 +21 *7:15 *195:33 3.13169e-05 +22 *7:18 *157:16 3.96548e-05 +23 *7:18 *178:10 0 +24 *7:18 *178:16 1.55703e-05 +25 *7:27 *230:DIODE 0.000124667 +26 *7:27 *419:A 2.58757e-05 +27 *7:27 *429:A 7.92757e-06 +28 *7:27 *448:SET_B 1.91246e-05 +29 *7:27 *480:A 0.000197736 +30 *7:27 *481:A 8.65288e-05 +31 *7:27 *485:A 0.000137071 +32 *7:27 *92:10 0.000890602 +33 *7:27 *157:15 8.90033e-06 +34 *7:27 *194:13 0.000447312 +35 *7:27 *195:15 0.000346525 +36 *496:A *226:DIODE 6.27782e-05 +37 *1:30 *497:A 0 +38 *4:8 *7:15 0.000306985 +39 *5:8 *7:15 0.000787004 +*RES +1 gpio_defaults[3] *7:15 41.8327 +2 *7:15 *7:17 9.24915 +3 *7:17 *7:18 359.259 +4 *7:18 *7:27 41.4684 +5 *7:27 *497:A 31.1495 +6 *7:27 *226:DIODE 11.0817 +*END + +*D_NET *8 0.0145903 +*CONN +*P gpio_defaults[4] I +*I *498:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *227:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[4] 0.00219278 +2 *498:A 0.000352361 +3 *227:DIODE 4.51842e-05 +4 *8:8 0.00168098 +5 *8:7 0.00347621 +6 *227:DIODE *499:A 6.08467e-05 +7 *498:A *230:DIODE 5.04829e-06 +8 *498:A *499:A 0.000815001 +9 *498:A *112:20 0.000339454 +10 *498:A *123:33 4.38803e-05 +11 *498:A *137:18 0.000193492 +12 *498:A *195:15 2.58616e-05 +13 *8:7 *9:11 0.0024883 +14 *8:7 *10:14 2.75706e-05 +15 *8:8 *137:18 0.000593935 +16 *8:8 *158:14 5.43679e-05 +17 *7:15 *8:7 0.00219509 +*RES +1 gpio_defaults[4] *8:7 14.1406 +2 *8:7 *8:8 38.5818 +3 *8:8 *227:DIODE 14.4725 +4 *8:8 *498:A 29.3464 +*END + +*D_NET *9 0.0171365 +*CONN +*P gpio_defaults[5] I +*I *228:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *499:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 gpio_defaults[5] 0.00241322 +2 *228:DIODE 0 +3 *499:A 0.00100432 +4 *9:17 0.00133248 +5 *9:11 0.00274137 +6 *499:A *483:A 0.000325939 +7 *499:A *112:20 8.70662e-06 +8 *499:A *160:27 2.5433e-05 +9 *499:A *162:16 7.28567e-06 +10 *499:A *191:13 0.000203756 +11 *9:11 *229:DIODE 3.23874e-05 +12 *9:11 *451:CLK_N 6.84443e-05 +13 *9:11 *463:CLK 5.71849e-05 +14 *9:11 *464:CLK 0.000699986 +15 *9:11 *480:A 2.41274e-06 +16 *9:11 *10:14 0.00210544 +17 *9:11 *151:20 0.000364508 +18 *9:17 *393:A 0.000293672 +19 *9:17 *451:CLK_N 0.000224377 +20 *9:17 *454:RESET_B 2.32028e-05 +21 *9:17 *483:A 0.000112361 +22 *9:17 *152:38 3.88348e-05 +23 *9:17 *193:16 6.40758e-05 +24 *225:DIODE *499:A 6.59781e-05 +25 *227:DIODE *499:A 6.08467e-05 +26 *498:A *499:A 0.000815001 +27 *5:8 *9:11 0.00155702 +28 *8:7 *9:11 0.0024883 +*RES +1 gpio_defaults[5] *9:11 47.592 +2 *9:11 *9:17 18.3388 +3 *9:17 *499:A 44.7215 +4 *9:17 *228:DIODE 9.24915 +*END + +*D_NET *10 0.013554 +*CONN +*P gpio_defaults[6] I +*I *229:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *480:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 gpio_defaults[6] 0.0035972 +2 *229:DIODE 0.000276541 +3 *480:A 0.000428432 +4 *10:14 0.00430217 +5 *229:DIODE *463:D 1.43698e-05 +6 *229:DIODE *93:23 9.67837e-05 +7 *229:DIODE *191:13 6.08467e-05 +8 *229:DIODE *193:38 0.000126495 +9 *229:DIODE *202:8 2.58757e-05 +10 *480:A *157:15 0 +11 *480:A *178:22 8.28297e-07 +12 *480:A *194:13 0.000189301 +13 *480:A *195:15 5.34182e-05 +14 *10:14 *359:B 0 +15 *10:14 *393:A 0.000453812 +16 *10:14 *451:CLK_N 0.000326584 +17 *10:14 *464:CLK 1.68911e-05 +18 *10:14 *12:20 0.000851469 +19 *10:14 *71:18 0.000118782 +20 *10:14 *151:20 6.95527e-05 +21 *494:A *480:A 0.000157074 +22 *4:8 *10:14 8.64865e-06 +23 *5:8 *229:DIODE 1.33682e-05 +24 *7:27 *480:A 0.000197736 +25 *8:7 *10:14 2.75706e-05 +26 *9:11 *229:DIODE 3.23874e-05 +27 *9:11 *480:A 2.41274e-06 +28 *9:11 *10:14 0.00210544 +*RES +1 gpio_defaults[6] *10:14 43.2949 +2 *10:14 *480:A 30.23 +3 *10:14 *229:DIODE 25.1315 +*END + +*D_NET *11 0.0204043 +*CONN +*P gpio_defaults[7] I +*I *230:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *481:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 gpio_defaults[7] 0.00109165 +2 *230:DIODE 0.00047081 +3 *481:A 0.000197161 +4 *11:23 0.00403232 +5 *11:16 0.00452776 +6 *11:10 0.00225507 +7 *230:DIODE *448:SET_B 2.18679e-05 +8 *230:DIODE *485:A 0.000105116 +9 *230:DIODE *53:28 9.29777e-05 +10 *230:DIODE *92:10 0.000122708 +11 *230:DIODE *194:13 0.000354699 +12 *481:A *485:A 4.34034e-05 +13 *481:A *194:13 0.000250029 +14 *11:16 pad_gpio_dm[0] 1.64979e-05 +15 *11:23 pad_gpio_ana_en 0 +16 *11:23 pad_gpio_ana_pol 0.000169791 +17 *11:23 pad_gpio_dm[1] 0.000214931 +18 *11:23 pad_gpio_dm[2] 7.62796e-06 +19 *11:23 pad_gpio_holdover 2.71414e-05 +20 *11:23 *398:A 0.000165025 +21 *11:23 *454:RESET_B 0.00354783 +22 *11:23 *97:23 0.000991671 +23 *11:23 *112:13 5.0192e-05 +24 *11:23 *161:22 3.4371e-05 +25 *498:A *230:DIODE 5.04829e-06 +26 *1:30 *230:DIODE 0.000143175 +27 *6:8 *11:16 0.00021944 +28 *6:21 *11:23 0.00103476 +29 *7:27 *230:DIODE 0.000124667 +30 *7:27 *481:A 8.65288e-05 +*RES +1 gpio_defaults[7] *11:10 38.2087 +2 *11:10 *11:16 42.6623 +3 *11:16 *11:23 13.7282 +4 *11:23 *481:A 20.137 +5 *11:23 *230:DIODE 27.6607 +*END + +*D_NET *12 0.0173049 +*CONN +*P gpio_defaults[8] I +*I *211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *482:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 gpio_defaults[8] 0.00390157 +2 *211:DIODE 3.91168e-05 +3 *482:A 0 +4 *12:30 0.000429301 +5 *12:20 0.00429175 +6 *211:DIODE *483:A 0.000263835 +7 *211:DIODE *116:16 0.000114271 +8 *211:DIODE *159:17 6.47496e-05 +9 *12:20 *359:B 0.000515234 +10 *12:20 *393:A 8.16019e-06 +11 *12:20 *451:CLK_N 5.04829e-06 +12 *12:20 *464:CLK 0.000490501 +13 *12:20 *13:18 0.00412339 +14 *12:20 *15:15 0 +15 *12:20 *47:7 0.00062204 +16 *12:20 *71:18 8.72221e-06 +17 *12:20 *151:20 0.000226126 +18 *12:20 *153:29 0.000247443 +19 *12:20 *157:28 1.59634e-05 +20 *12:20 *158:14 0.000165005 +21 *12:20 *167:20 0.000225627 +22 *12:20 *178:15 0 +23 *12:20 *194:23 2.1109e-05 +24 *12:20 *195:33 3.46522e-06 +25 *12:30 *393:A 6.93171e-05 +26 *12:30 *398:A 9.57583e-05 +27 *12:30 *454:RESET_B 1.70073e-05 +28 *12:30 *15:15 4.7903e-06 +29 *12:30 *191:13 0 +30 *12:30 *193:16 0 +31 *221:DIODE *12:20 8.19941e-05 +32 *4:8 *12:20 0.000402153 +33 *10:14 *12:20 0.000851469 +*RES +1 gpio_defaults[8] *12:20 49.7489 +2 *12:20 *12:30 13.3913 +3 *12:30 *482:A 9.24915 +4 *12:30 *211:DIODE 12.191 +*END + +*D_NET *13 0.0169182 +*CONN +*P gpio_defaults[9] I +*I *483:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[9] 0.00363595 +2 *483:A 0.000184713 +3 *212:DIODE 0 +4 *13:20 0.000184713 +5 *13:18 0.00363595 +6 *483:A *116:16 6.05729e-05 +7 *483:A *159:17 2.54243e-05 +8 *483:A *160:27 4.17531e-06 +9 *483:A *162:16 6.78364e-06 +10 *13:18 *453:CLK_N 0.000133447 +11 *13:18 *454:RESET_B 0.0011455 +12 *13:18 *457:SET_B 2.29386e-05 +13 *13:18 *458:D 6.04178e-05 +14 *13:18 *93:23 1.21131e-05 +15 *13:18 *94:33 0.000399413 +16 *13:18 *103:11 0.00065446 +17 *13:18 *111:14 2.27901e-06 +18 *13:18 *152:17 7.34778e-05 +19 *13:18 *152:38 0.000186432 +20 *13:18 *153:29 0.000389292 +21 *13:18 *161:22 0.000242636 +22 *13:18 *164:65 4.62703e-05 +23 *13:18 *179:8 0 +24 *13:18 *206:10 0.000131565 +25 *13:18 *206:24 0.000755415 +26 *211:DIODE *483:A 0.000263835 +27 *499:A *483:A 0.000325939 +28 *2:10 *13:18 9.12047e-05 +29 *3:11 *13:18 7.49735e-06 +30 *4:8 *13:18 0 +31 *9:17 *483:A 0.000112361 +32 *12:20 *13:18 0.00412339 +*RES +1 gpio_defaults[9] *13:18 46.4675 +2 *13:18 *13:20 4.5 +3 *13:20 *212:DIODE 9.24915 +4 *13:20 *483:A 16.676 +*END + +*D_NET *14 0.0055304 +*CONN +*P mgmt_gpio_in O +*I *446:Z O *D sky130_fd_sc_hd__ebufn_1 +*CAP +1 mgmt_gpio_in 0.000532847 +2 *446:Z 0.00113542 +3 *14:10 0.00166827 +4 mgmt_gpio_in one 0.0007402 +5 mgmt_gpio_in *15:15 0.000551271 +6 *14:10 pad_gpio_holdover 0 +7 *14:10 pad_gpio_ib_mode_sel 4.25818e-05 +8 *14:10 *23:9 0.000859809 +*RES +1 *446:Z *14:10 47.8562 +2 *14:10 mgmt_gpio_in 2.66385 +*END + +*D_NET *15 0.0120854 +*CONN +*P mgmt_gpio_oeb I +*I *484:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *213:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mgmt_gpio_oeb 0.00417699 +2 *484:A 0.000132927 +3 *213:DIODE 0 +4 *15:15 0.00430992 +5 *484:A *485:A 0.000125746 +6 *15:15 one 7.91736e-05 +7 *15:15 *369:B_N 0.000185637 +8 *15:15 *400:A 9.63101e-05 +9 *15:15 *402:B 8.53037e-06 +10 *15:15 *451:CLK_N 6.08467e-05 +11 *15:15 *485:A 1.34424e-05 +12 *15:15 *16:15 0.0018947 +13 *15:15 *71:18 3.99086e-06 +14 *15:15 *92:35 0.000109923 +15 *15:15 *153:29 5.31024e-05 +16 *15:15 *167:20 4.81572e-05 +17 *15:15 *195:33 0.000229935 +18 mgmt_gpio_in *15:15 0.000551271 +19 *4:8 *15:15 0 +20 *12:20 *15:15 0 +21 *12:30 *15:15 4.7903e-06 +*RES +1 mgmt_gpio_oeb *15:15 33.1453 +2 *15:15 *213:DIODE 9.24915 +3 *15:15 *484:A 12.625 +*END + +*D_NET *16 0.0133598 +*CONN +*P mgmt_gpio_out I +*I *485:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *214:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mgmt_gpio_out 0.00393674 +2 *485:A 0.000200101 +3 *214:DIODE 0 +4 *16:15 0.00413684 +5 *485:A *71:18 1.41976e-05 +6 *16:15 pad_gpio_ana_en 0.000330413 +7 *16:15 *71:18 1.58551e-05 +8 *16:15 *92:10 0 +9 *16:15 *137:18 5.38901e-05 +10 *16:15 *167:20 0.00189378 +11 *16:15 *178:9 7.08444e-05 +12 *16:15 *189:18 6.43178e-05 +13 *16:15 *191:13 0.000180398 +14 *230:DIODE *485:A 0.000105116 +15 *481:A *485:A 4.34034e-05 +16 *484:A *485:A 0.000125746 +17 *494:A *16:15 2.24271e-05 +18 *2:13 *16:15 0.000120517 +19 *7:27 *485:A 0.000137071 +20 *15:15 *485:A 1.34424e-05 +21 *15:15 *16:15 0.0018947 +*RES +1 mgmt_gpio_out *16:15 28.6512 +2 *16:15 *214:DIODE 9.24915 +3 *16:15 *485:A 24.2875 +*END + +*D_NET *17 0.00637784 +*CONN +*P one O +*I *435:A I *D sky130_fd_sc_hd__and2_1 +*I *215:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *476:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 one 0.00096213 +2 *435:A 0 +3 *215:DIODE 1.25637e-05 +4 *216:DIODE 4.28882e-05 +5 *476:HI 0 +6 *17:26 0.000458492 +7 *17:14 0.00193099 +8 *17:4 0.000565824 +9 one zero 6.67511e-05 +10 *215:DIODE *119:16 6.46815e-05 +11 *215:DIODE *171:13 2.58757e-05 +12 *216:DIODE *128:15 6.27782e-05 +13 *17:14 *433:A 3.98512e-05 +14 *17:14 *47:7 6.87575e-06 +15 *17:14 *128:15 0.000146858 +16 *17:14 *164:192 0.000125076 +17 *17:26 pad_gpio_ana_en 0.000154145 +18 *17:26 *382:A 1.44054e-05 +19 *17:26 *433:A 1.02448e-05 +20 *17:26 *76:14 0.000138747 +21 *17:26 *119:16 0.000209836 +22 *17:26 *164:192 4.88625e-05 +23 *17:26 *171:13 0.000151928 +24 mgmt_gpio_in one 0.0007402 +25 *2:13 *17:26 0.000115903 +26 *4:8 one 0.000202758 +27 *15:15 one 7.91736e-05 +*RES +1 *476:HI *17:4 9.24915 +2 *17:4 *216:DIODE 9.97254 +3 *17:4 *17:14 16.956 +4 *17:14 one 7.02701 +5 *17:14 *17:26 18.486 +6 *17:26 *215:DIODE 9.97254 +7 *17:26 *435:A 9.24915 +*END + +*D_NET *18 0.00409415 +*CONN +*P pad_gpio_ana_en O +*I *500:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_ana_en 0.000812713 +2 *500:X 0.000812713 +3 pad_gpio_ana_en pad_gpio_ana_pol 9.82797e-05 +4 pad_gpio_ana_en pad_gpio_dm[0] 0 +5 pad_gpio_ana_en pad_gpio_dm[1] 0 +6 *2:13 pad_gpio_ana_en 0.00188588 +7 *3:11 pad_gpio_ana_en 0 +8 *11:23 pad_gpio_ana_en 0 +9 *16:15 pad_gpio_ana_en 0.000330413 +10 *17:26 pad_gpio_ana_en 0.000154145 +*RES +1 *500:X pad_gpio_ana_en 40.489 +*END + +*D_NET *19 0.00308033 +*CONN +*P pad_gpio_ana_pol O +*I *501:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_ana_pol 0.0012639 +2 *501:X 0.0012639 +3 pad_gpio_ana_pol pad_gpio_ana_sel 7.2593e-05 +4 pad_gpio_ana_pol pad_gpio_dm[0] 7.50634e-05 +5 pad_gpio_ana_pol *20:12 9.56919e-05 +6 pad_gpio_ana_pol *34:13 0 +7 pad_gpio_ana_pol *34:20 0 +8 pad_gpio_ana_en pad_gpio_ana_pol 9.82797e-05 +9 *3:11 pad_gpio_ana_pol 4.10997e-05 +10 *6:8 pad_gpio_ana_pol 0 +11 *11:23 pad_gpio_ana_pol 0.000169791 +*RES +1 *501:X pad_gpio_ana_pol 49.0074 +*END + +*D_NET *20 0.00520062 +*CONN +*P pad_gpio_ana_sel O +*I *502:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_ana_sel 2.78873e-05 +2 *502:X 0.00124508 +3 *20:12 0.00127297 +4 pad_gpio_ana_sel pad_gpio_dm[0] 3.84497e-05 +5 *20:12 *76:14 0.000608581 +6 pad_gpio_ana_pol pad_gpio_ana_sel 7.2593e-05 +7 pad_gpio_ana_pol *20:12 9.56919e-05 +8 *2:13 *20:12 0 +9 *3:11 *20:12 0.00176144 +10 *3:20 *20:12 7.79287e-05 +*RES +1 *502:X *20:12 49.6866 +2 *20:12 pad_gpio_ana_sel 3.58495 +*END + +*D_NET *21 0.00584683 +*CONN +*P pad_gpio_dm[0] O +*I *503:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_dm[0] 0.000227811 +2 *503:X 0.000432711 +3 *21:9 0.000660521 +4 pad_gpio_dm[0] pad_gpio_dm[1] 0.000309505 +5 pad_gpio_dm[0] *34:13 5.56367e-05 +6 *21:9 *22:13 0.00126398 +7 *21:9 *34:13 0.00031187 +8 *21:9 *34:20 0.00214763 +9 pad_gpio_ana_en pad_gpio_dm[0] 0 +10 pad_gpio_ana_pol pad_gpio_dm[0] 7.50634e-05 +11 pad_gpio_ana_sel pad_gpio_dm[0] 3.84497e-05 +12 *6:21 *21:9 0.000307158 +13 *11:16 pad_gpio_dm[0] 1.64979e-05 +*RES +1 *503:X *21:9 45.9644 +2 *21:9 pad_gpio_dm[0] 9.09957 +*END + +*D_NET *22 0.00527459 +*CONN +*P pad_gpio_dm[1] O +*I *504:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_dm[1] 0.00024029 +2 *504:X 0.00103875 +3 *22:13 0.00127904 +4 pad_gpio_dm[1] pad_gpio_dm[2] 3.31632e-05 +5 pad_gpio_dm[1] *34:13 1.45398e-05 +6 *22:13 pad_gpio_holdover 0.000127226 +7 *22:13 *445:A1 0.000269312 +8 *22:13 *503:A 2.16355e-05 +9 *22:13 *504:A 0.000203756 +10 *22:13 *506:A 0.000109427 +11 *22:13 *23:9 9.50523e-05 +12 *22:13 *34:20 3.82658e-05 +13 pad_gpio_ana_en pad_gpio_dm[1] 0 +14 pad_gpio_dm[0] pad_gpio_dm[1] 0.000309505 +15 *6:21 pad_gpio_dm[1] 1.5714e-05 +16 *11:23 pad_gpio_dm[1] 0.000214931 +17 *21:9 *22:13 0.00126398 +*RES +1 *504:X *22:13 46.9622 +2 *22:13 pad_gpio_dm[1] 12.8456 +*END + +*D_NET *23 0.00495933 +*CONN +*P pad_gpio_dm[2] O +*I *505:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_dm[2] 0.000218372 +2 *505:X 0.00121874 +3 *23:9 0.00143712 +4 pad_gpio_dm[2] pad_gpio_holdover 0.000107462 +5 pad_gpio_dm[2] *34:13 0.000265084 +6 *23:9 pad_gpio_ib_mode_sel 0.000155056 +7 *23:9 *430:A 0.000159032 +8 *23:9 *446:TE_B 7.52954e-05 +9 *23:9 *505:A 1.65872e-05 +10 *23:9 *162:23 0.000298979 +11 pad_gpio_dm[1] pad_gpio_dm[2] 3.31632e-05 +12 *1:11 *23:9 1.19465e-05 +13 *11:23 pad_gpio_dm[2] 7.62796e-06 +14 *14:10 *23:9 0.000859809 +15 *22:13 *23:9 9.50523e-05 +*RES +1 *505:X *23:9 46.4799 +2 *23:9 pad_gpio_dm[2] 12.8289 +*END + +*D_NET *24 0.0065032 +*CONN +*P pad_gpio_holdover O +*I *506:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_holdover 0.0015216 +2 *506:X 0.0015216 +3 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000868359 +4 pad_gpio_holdover *442:A0 0.000112532 +5 pad_gpio_holdover *442:A1 9.94284e-06 +6 pad_gpio_holdover *446:A 0.000398179 +7 pad_gpio_holdover *510:A 0.000163168 +8 pad_gpio_dm[2] pad_gpio_holdover 0.000107462 +9 *6:21 pad_gpio_holdover 0.00164599 +10 *11:23 pad_gpio_holdover 2.71414e-05 +11 *14:10 pad_gpio_holdover 0 +12 *22:13 pad_gpio_holdover 0.000127226 +*RES +1 *506:X pad_gpio_holdover 40.8956 +*END + +*D_NET *25 0.0065393 +*CONN +*P pad_gpio_ib_mode_sel O +*I *507:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_ib_mode_sel 0.00228084 +2 *507:X 0.00228084 +3 pad_gpio_ib_mode_sel pad_gpio_inenb 0 +4 pad_gpio_ib_mode_sel pad_gpio_out 0 +5 pad_gpio_ib_mode_sel *446:TE_B 0.000356681 +6 pad_gpio_ib_mode_sel *475:A 0.000112361 +7 pad_gpio_ib_mode_sel *477:A 0.000124975 +8 pad_gpio_ib_mode_sel *505:A 0.000102403 +9 pad_gpio_ib_mode_sel *26:20 6.23168e-05 +10 pad_gpio_ib_mode_sel *162:23 9.80242e-07 +11 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000868359 +12 *1:30 pad_gpio_ib_mode_sel 8.49477e-05 +13 *6:21 pad_gpio_ib_mode_sel 6.69491e-05 +14 *14:10 pad_gpio_ib_mode_sel 4.25818e-05 +15 *23:9 pad_gpio_ib_mode_sel 0.000155056 +*RES +1 *507:X pad_gpio_ib_mode_sel 39.5598 +*END + +*D_NET *26 0.0142844 +*CONN +*P pad_gpio_in I +*I *486:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *217:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 pad_gpio_in 0.00329337 +2 *486:A 2.3034e-05 +3 *217:DIODE 4.57456e-05 +4 *26:23 0.00015385 +5 *26:20 0.00337844 +6 *217:DIODE *156:21 0.000168313 +7 *26:20 pad_gpio_inenb 8.7566e-05 +8 *26:20 pad_gpio_out 0.00020851 +9 *26:20 pad_gpio_vtrip_sel 0.000879129 +10 *26:20 serial_clock_out 0.00288835 +11 *26:20 *426:A 1.85244e-05 +12 *26:20 *427:A 0.000360909 +13 *26:20 *460:RESET_B 2.02035e-05 +14 *26:20 *461:RESET_B 0.000251454 +15 *26:20 *27:8 0.00035316 +16 *26:20 *34:13 0.000496591 +17 *26:20 *108:21 5.11322e-06 +18 *26:20 *119:20 0 +19 *26:20 *123:14 0.000208369 +20 *26:20 *123:20 7.38654e-05 +21 *26:20 *156:21 8.65114e-05 +22 *26:20 *168:18 0.000602432 +23 *26:20 *193:16 0.000207327 +24 *26:20 *198:17 4.69495e-06 +25 *26:23 *449:SET_B 6.08467e-05 +26 *26:23 *152:38 5.481e-05 +27 *26:23 *156:21 0.000171433 +28 pad_gpio_ib_mode_sel *26:20 6.23168e-05 +29 *1:30 *26:20 0.000119563 +*RES +1 pad_gpio_in *26:20 49.024 +2 *26:20 *26:23 7.44181 +3 *26:23 *217:DIODE 11.0817 +4 *26:23 *486:A 9.82786 +*END + +*D_NET *27 0.0041474 +*CONN +*P pad_gpio_inenb O +*I *508:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_inenb 0.000135312 +2 *508:X 0.00100322 +3 *27:8 0.00113853 +4 pad_gpio_inenb pad_gpio_out 0.000118026 +5 *27:8 pad_gpio_outenb 0 +6 *27:8 pad_gpio_vtrip_sel 0.000132095 +7 *27:8 *29:11 0.000611509 +8 *27:8 *34:13 6.77022e-05 +9 *27:8 *39:21 0.000500287 +10 pad_gpio_ib_mode_sel pad_gpio_inenb 0 +11 *26:20 pad_gpio_inenb 8.7566e-05 +12 *26:20 *27:8 0.00035316 +*RES +1 *508:X *27:8 47.5588 +2 *27:8 pad_gpio_inenb 4.02727 +*END + +*D_NET *28 0.00529617 +*CONN +*P pad_gpio_out O +*I *509:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_out 0.00122801 +2 *509:X 0.00122801 +3 pad_gpio_out pad_gpio_outenb 7.2593e-05 +4 pad_gpio_out pad_gpio_vtrip_sel 4.45159e-05 +5 pad_gpio_out resetn_out 2.27135e-05 +6 pad_gpio_out *442:S 0.000141689 +7 pad_gpio_out *445:S 0.000145508 +8 pad_gpio_out *508:A 1.12967e-05 +9 pad_gpio_out *509:A 9.08325e-05 +10 pad_gpio_ib_mode_sel pad_gpio_out 0 +11 pad_gpio_inenb pad_gpio_out 0.000118026 +12 *1:30 pad_gpio_out 0.00198445 +13 *26:20 pad_gpio_out 0.00020851 +*RES +1 *509:X pad_gpio_out 31.3503 +*END + +*D_NET *29 0.00400313 +*CONN +*P pad_gpio_outenb O +*I *510:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_outenb 0.00083346 +2 *510:X 0.000419512 +3 *29:12 0.0010068 +4 *29:11 0.000592852 +5 pad_gpio_outenb pad_gpio_slow_sel 6.67511e-05 +6 pad_gpio_outenb *34:13 7.22422e-05 +7 *29:11 *440:A 0.000117376 +8 *29:11 *508:A 1.03403e-05 +9 *29:11 *39:21 0.000199699 +10 pad_gpio_out pad_gpio_outenb 7.2593e-05 +11 *27:8 pad_gpio_outenb 0 +12 *27:8 *29:11 0.000611509 +*RES +1 *510:X *29:11 30.5067 +2 *29:11 *29:12 266.547 +3 *29:12 pad_gpio_outenb 38.6777 +*END + +*D_NET *30 0.00367393 +*CONN +*P pad_gpio_slow_sel O +*I *511:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_slow_sel 0.00023705 +2 *511:X 0.00109811 +3 *30:9 0.00133516 +4 pad_gpio_slow_sel pad_gpio_vtrip_sel 3.84497e-05 +5 pad_gpio_slow_sel *34:13 0.000434561 +6 *30:9 pad_gpio_vtrip_sel 1.40978e-05 +7 *30:9 resetn_out 0.000346439 +8 *30:9 *511:A 4.65954e-06 +9 *30:9 *39:21 9.86476e-05 +10 *30:9 *42:8 0 +11 pad_gpio_outenb pad_gpio_slow_sel 6.67511e-05 +*RES +1 *511:X *30:9 43.336 +2 *30:9 pad_gpio_slow_sel 11.0229 +*END + +*D_NET *31 0.00744061 +*CONN +*P pad_gpio_vtrip_sel O +*I *512:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_gpio_vtrip_sel 0.00196323 +2 *512:X 0.00196323 +3 pad_gpio_vtrip_sel resetn_out 0.00145961 +4 pad_gpio_vtrip_sel serial_clock_out 0.000845223 +5 pad_gpio_vtrip_sel *487:A 0.000101033 +6 pad_gpio_out pad_gpio_vtrip_sel 4.45159e-05 +7 pad_gpio_slow_sel pad_gpio_vtrip_sel 3.84497e-05 +8 *26:20 pad_gpio_vtrip_sel 0.000879129 +9 *27:8 pad_gpio_vtrip_sel 0.000132095 +10 *30:9 pad_gpio_vtrip_sel 1.40978e-05 +*RES +1 *512:X pad_gpio_vtrip_sel 43.6358 +*END + +*D_NET *32 0.00794987 +*CONN +*P resetn I +*I *487:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 resetn 0.00132489 +2 *487:A 0.00132489 +3 *487:A resetn_out 0.00122419 +4 *487:A serial_clock_out 0.00314339 +5 *487:A *445:A0 3.46639e-05 +6 *487:A *511:A 0.000520111 +7 *487:A *36:10 0 +8 *487:A *39:21 0.000221747 +9 *487:A *168:18 5.49582e-05 +10 pad_gpio_vtrip_sel *487:A 0.000101033 +*RES +1 resetn *487:A 30.7213 +*END + +*D_NET *33 0.00645517 +*CONN +*P resetn_out O +*I *513:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 resetn_out 0.00144324 +2 *513:X 0.00144324 +3 resetn_out serial_clock_out 2.9322e-05 +4 resetn_out *445:A0 0 +5 resetn_out *447:CLK_N 0.000277488 +6 resetn_out *509:A 1.32509e-05 +7 resetn_out *511:A 0.000110336 +8 resetn_out *34:13 8.53474e-05 +9 resetn_out *36:10 0 +10 pad_gpio_out resetn_out 2.27135e-05 +11 pad_gpio_vtrip_sel resetn_out 0.00145961 +12 *487:A resetn_out 0.00122419 +13 *30:9 resetn_out 0.000346439 +*RES +1 *513:X resetn_out 40.3303 +*END + +*D_NET *34 0.0106964 +*CONN +*P serial_clock I +*I *473:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 serial_clock 0.000786424 +2 *473:A 0.000140112 +3 *34:20 0.00116408 +4 *34:13 0.00181039 +5 *473:A *446:TE_B 0.000348568 +6 *34:13 serial_clock_out 0.000158803 +7 *34:20 *477:TE 2.23419e-05 +8 *34:20 *503:A 6.08467e-05 +9 *34:20 *504:A 0.000121574 +10 *34:20 *506:A 2.55108e-05 +11 *34:20 *97:23 0.000247882 +12 *34:20 *116:16 0.000739989 +13 *34:20 *162:23 0.000365146 +14 *34:20 *179:8 0.000317886 +15 pad_gpio_ana_pol *34:13 0 +16 pad_gpio_ana_pol *34:20 0 +17 pad_gpio_dm[0] *34:13 5.56367e-05 +18 pad_gpio_dm[1] *34:13 1.45398e-05 +19 pad_gpio_dm[2] *34:13 0.000265084 +20 pad_gpio_outenb *34:13 7.22422e-05 +21 pad_gpio_slow_sel *34:13 0.000434561 +22 resetn_out *34:13 8.53474e-05 +23 *6:8 *34:13 0.000158371 +24 *6:21 *34:20 0.000239007 +25 *21:9 *34:13 0.00031187 +26 *21:9 *34:20 0.00214763 +27 *22:13 *34:20 3.82658e-05 +28 *26:20 *34:13 0.000496591 +29 *27:8 *34:13 6.77022e-05 +*RES +1 serial_clock *34:13 38.5072 +2 *34:13 *34:20 49.1221 +3 *34:20 *473:A 13.3002 +*END + +*D_NET *35 0.015013 +*CONN +*P serial_clock_out O +*I *514:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 serial_clock_out 0.0031057 +2 *514:X 0.0031057 +3 serial_clock_out *427:A 0.000215491 +4 serial_clock_out *468:D 0.00103508 +5 serial_clock_out *36:10 9.94701e-05 +6 serial_clock_out *112:20 7.62627e-06 +7 serial_clock_out *114:19 0.000132415 +8 serial_clock_out *156:21 4.77351e-05 +9 serial_clock_out *163:42 0.000157217 +10 serial_clock_out *168:18 3.97063e-06 +11 serial_clock_out *198:17 3.74798e-05 +12 pad_gpio_vtrip_sel serial_clock_out 0.000845223 +13 resetn_out serial_clock_out 2.9322e-05 +14 *487:A serial_clock_out 0.00314339 +15 *26:20 serial_clock_out 0.00288835 +16 *34:13 serial_clock_out 0.000158803 +*RES +1 *514:X serial_clock_out 40.7344 +*END + +*D_NET *36 0.0093178 +*CONN +*P serial_data_in I +*I *488:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *218:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 serial_data_in 0.00283788 +2 *488:A 0 +3 *218:DIODE 4.14549e-05 +4 *36:10 0.00287933 +5 *218:DIODE *165:11 7.92757e-06 +6 *218:DIODE *168:18 4.2372e-05 +7 *218:DIODE *185:23 0.000138671 +8 *36:10 serial_data_out 0.001863 +9 *36:10 *355:A 0.000238877 +10 *36:10 *436:A 0.00021764 +11 *36:10 *38:14 0.000231099 +12 *36:10 *42:8 0.000350762 +13 *36:10 *112:20 0.000137573 +14 *36:10 *123:14 0.000178709 +15 *36:10 *168:18 5.30254e-05 +16 resetn_out *36:10 0 +17 serial_clock_out *36:10 9.94701e-05 +18 *487:A *36:10 0 +*RES +1 serial_data_in *36:10 21.9042 +2 *36:10 *218:DIODE 11.0817 +3 *36:10 *488:A 9.24915 +*END + +*D_NET *37 0.0065238 +*CONN +*P serial_data_out O +*I *515:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 serial_data_out 0.00155428 +2 *515:X 0.00155428 +3 serial_data_out user_gpio_in 0.000291856 +4 serial_data_out *422:A 0 +5 serial_data_out *447:CLK_N 6.64392e-05 +6 serial_data_out *38:14 0.0011303 +7 serial_data_out *165:11 6.36477e-05 +8 *36:10 serial_data_out 0.001863 +*RES +1 *515:X serial_data_out 30.3361 +*END + +*D_NET *38 0.0088529 +*CONN +*P serial_load I +*I *489:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *223:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 serial_load 0.00298086 +2 *489:A 0 +3 *223:DIODE 6.71102e-05 +4 *38:14 0.00304797 +5 *223:DIODE *186:7 6.55124e-05 +6 *38:14 user_gpio_in 0.000687051 +7 *38:14 *355:A 0 +8 *38:14 *427:A 6.02259e-05 +9 *38:14 *492:A 0 +10 *38:14 *41:14 0.000469472 +11 *38:14 *42:11 0 +12 *38:14 *185:23 0.000113288 +13 serial_data_out *38:14 0.0011303 +14 *36:10 *38:14 0.000231099 +*RES +1 serial_load *38:14 29.0342 +2 *38:14 *223:DIODE 11.0817 +3 *38:14 *489:A 9.24915 +*END + +*D_NET *39 0.00798421 +*CONN +*P serial_load_out O +*I *516:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 serial_load_out 0.00045292 +2 *516:X 0.000427092 +3 *39:28 2.68684e-05 +4 *39:21 0.00199805 +5 *39:15 0.00199909 +6 serial_load_out *42:7 0.000236589 +7 *39:15 *440:A 0.000754994 +8 *39:15 *447:CLK_N 1.58588e-05 +9 *39:15 *512:A 4.63742e-05 +10 *39:15 *516:A 1.47978e-05 +11 *39:15 *517:A 6.1478e-06 +12 *39:15 *63:16 3.99086e-06 +13 *39:15 *164:15 0.000148524 +14 *39:15 *165:11 8.43687e-05 +15 *39:15 *186:7 0.000505283 +16 *39:21 *440:A 1.37189e-05 +17 *39:21 *508:A 6.08467e-05 +18 *39:21 *186:7 0.000168313 +19 *487:A *39:21 0.000221747 +20 *27:8 *39:21 0.000500287 +21 *29:11 *39:21 0.000199699 +22 *30:9 *39:21 9.86476e-05 +*RES +1 *516:X *39:15 36.3951 +2 *39:15 *39:21 49.5767 +3 *39:21 serial_load_out 21.9507 +4 serial_load_out *39:28 0.0631875 +*END + +*D_NET *40 0.00679701 +*CONN +*P user_gpio_in O +*I *517:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user_gpio_in 0.00207985 +2 *517:X 0.00207985 +3 user_gpio_in *355:A 3.73224e-05 +4 user_gpio_in *409:A 8.79791e-05 +5 user_gpio_in *423:A 0 +6 user_gpio_in *517:A 2.57365e-05 +7 user_gpio_in *41:14 0.00120521 +8 user_gpio_in *63:16 0.000112228 +9 user_gpio_in *123:9 4.96461e-05 +10 user_gpio_in *165:11 0 +11 user_gpio_in *208:8 0.000140284 +12 serial_data_out user_gpio_in 0.000291856 +13 *38:14 user_gpio_in 0.000687051 +*RES +1 *517:X user_gpio_in 41.1955 +*END + +*D_NET *41 0.00820117 +*CONN +*P user_gpio_oeb I +*I *491:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *219:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_gpio_oeb 0.00310459 +2 *491:A 1.40477e-05 +3 *219:DIODE 0.000107995 +4 *41:14 0.00322663 +5 *219:DIODE *168:18 2.16355e-05 +6 *219:DIODE *185:23 5.04829e-06 +7 *491:A *168:18 2.57986e-05 +8 *491:A *185:23 2.07503e-05 +9 user_gpio_in *41:14 0.00120521 +10 *38:14 *41:14 0.000469472 +*RES +1 user_gpio_oeb *41:14 31.8645 +2 *41:14 *219:DIODE 11.6364 +3 *41:14 *491:A 9.97254 +*END + +*D_NET *42 0.0126837 +*CONN +*P user_gpio_out I +*I *220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *492:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 user_gpio_out 0.000438635 +2 *220:DIODE 0 +3 *492:A 0.000154493 +4 *42:28 2.68684e-05 +5 *42:11 0.000238018 +6 *42:8 0.00248398 +7 *42:7 0.00281222 +8 *492:A *112:20 0 +9 *492:A *169:8 0 +10 *42:8 *436:A 0.000339028 +11 *42:8 *112:20 0.000184969 +12 *42:8 *123:9 0.000642625 +13 *42:8 *123:14 0.0010053 +14 *42:8 *169:11 0.00377026 +15 *42:11 *112:20 0 +16 *42:11 *169:8 0 +17 serial_load_out *42:7 0.000236589 +18 *30:9 *42:8 0 +19 *36:10 *42:8 0.000350762 +20 *38:14 *492:A 0 +21 *38:14 *42:11 0 +*RES +1 user_gpio_out *42:7 21.5355 +2 *42:7 *42:8 88.9054 +3 *42:8 *42:11 6.332 +4 *42:11 *492:A 17.5531 +5 *42:11 *220:DIODE 13.7491 +6 user_gpio_out *42:28 0.0631875 +*END + +*D_NET *47 0.00396447 +*CONN +*P zero O +*I *476:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 zero 0.000338788 +2 *476:LO 0.00106805 +3 *47:7 0.00140683 +4 *47:7 *128:15 9.43222e-06 +5 one zero 6.67511e-05 +6 *2:10 zero 0.000407258 +7 *4:8 zero 3.84497e-05 +8 *12:20 *47:7 0.00062204 +9 *17:14 *47:7 6.87575e-06 +*RES +1 *476:LO *47:7 40.5389 +2 *47:7 zero 13.5144 +*END + +*D_NET *48 0.00874883 +*CONN +*I *442:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *431:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *442:A1 0.000495289 +2 *431:X 0.0011556 +3 *48:24 0.00165089 +4 *442:A1 *424:B_N 0.000160942 +5 *442:A1 *442:A0 0.000508476 +6 *442:A1 *446:A 0.000203736 +7 *442:A1 *114:19 0.000110458 +8 *442:A1 *163:42 1.00901e-05 +9 *48:24 *403:A 0 +10 *48:24 *412:B_N 1.01851e-05 +11 *48:24 *448:RESET_B 0.000828556 +12 *48:24 *450:SET_B 7.36962e-05 +13 *48:24 *450:CLK_N 5.01835e-05 +14 *48:24 *53:28 0.00192622 +15 *48:24 *54:17 0.00137389 +16 *48:24 *97:23 8.07924e-05 +17 *48:24 *117:23 4.17531e-06 +18 *48:24 *152:48 8.28174e-05 +19 *48:24 *161:23 1.29043e-05 +20 *48:24 *163:42 0 +21 *48:24 *164:46 0 +22 *48:24 *198:17 0 +23 pad_gpio_holdover *442:A1 9.94284e-06 +*RES +1 *431:X *48:24 44.9893 +2 *48:24 *442:A1 23.283 +*END + +*D_NET *49 0.000503401 +*CONN +*I *443:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *454:Q_N O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *443:A0 0.000174914 +2 *454:Q_N 0.000174914 +3 *443:A0 *443:A1 1.12967e-05 +4 *443:A0 *443:S 1.69371e-05 +5 *443:A0 *444:A1 9.37259e-05 +6 *443:A0 *172:13 3.16131e-05 +*RES +1 *454:Q_N *443:A0 32.5868 +*END + +*D_NET *50 0.00263922 +*CONN +*I *443:S I *D sky130_fd_sc_hd__mux2_1 +*I *433:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *443:S 0.000632066 +2 *433:X 0.000632066 +3 *443:S *372:A 1.32549e-05 +4 *443:S *378:A 0.0001195 +5 *443:S *382:A 7.28994e-06 +6 *443:S *433:A 0.000783171 +7 *443:S *443:A1 3.20407e-05 +8 *443:S *456:RESET_B 0.000315541 +9 *443:S *76:14 4.4408e-05 +10 *443:S *172:13 0 +11 *443:S *176:19 4.29411e-05 +12 *443:S *189:18 0 +13 *443:A0 *443:S 1.69371e-05 +*RES +1 *433:X *443:S 47.4943 +*END + +*D_NET *51 0.000988722 +*CONN +*I *444:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *443:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *444:A1 0.000364054 +2 *443:X 0.000364054 +3 *444:A1 *443:A1 0.000117879 +4 *444:A1 *505:A 0 +5 *444:A1 *76:14 4.90091e-05 +6 *443:A0 *444:A1 9.37259e-05 +*RES +1 *443:X *444:A1 35.1789 +*END + +*D_NET *52 0.00186921 +*CONN +*I *445:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *444:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *445:A1 0.000611685 +2 *444:X 0.000611685 +3 *445:A1 *442:S 0.000241167 +4 *445:A1 *445:S 6.56589e-05 +5 *445:A1 *508:A 6.96979e-05 +6 *445:A1 *510:A 0 +7 *445:A1 *117:23 0 +8 *22:13 *445:A1 0.000269312 +*RES +1 *444:X *445:A1 41.8229 +*END + +*D_NET *53 0.00850389 +*CONN +*I *477:A I *D sky130_fd_sc_hd__einvp_2 +*I *434:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *477:A 9.85319e-05 +2 *434:Y 0.00153145 +3 *53:28 0.00162998 +4 *477:A *475:A 0.000160047 +5 *477:A *477:TE 6.08467e-05 +6 *477:A *162:23 7.92757e-06 +7 *477:A *190:16 0.000152265 +8 *53:28 *403:A 5.16998e-05 +9 *53:28 *415:A 0 +10 *53:28 *448:RESET_B 3.57291e-06 +11 *53:28 *450:SET_B 0.000180035 +12 *53:28 *450:CLK_N 5.11322e-06 +13 *53:28 *475:A 5.46384e-06 +14 *53:28 *54:17 0.000281799 +15 *53:28 *92:10 0.000114612 +16 *53:28 *117:23 0.00097146 +17 *53:28 *123:33 6.11982e-06 +18 *53:28 *179:8 1.03594e-05 +19 *53:28 *190:16 1.28646e-05 +20 *53:28 *198:17 0 +21 pad_gpio_ib_mode_sel *477:A 0.000124975 +22 *230:DIODE *53:28 9.29777e-05 +23 *497:A *53:28 2.60879e-06 +24 *1:30 *53:28 0.00107297 +25 *48:24 *53:28 0.00192622 +*RES +1 *434:Y *53:28 45.4675 +2 *53:28 *477:A 14.4094 +*END + +*D_NET *54 0.00836338 +*CONN +*I *447:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *427:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *447:RESET_B 0 +2 *427:X 0.00212301 +3 *54:17 0.00212301 +4 *54:17 *407:A 2.16355e-05 +5 *54:17 *447:SET_B 1.11225e-05 +6 *54:17 *447:CLK_N 2.58757e-05 +7 *54:17 *448:RESET_B 1.14704e-05 +8 *54:17 *450:SET_B 0.00118658 +9 *54:17 *461:D 4.94724e-05 +10 *54:17 *508:A 1.99299e-05 +11 *54:17 *512:A 7.26606e-05 +12 *54:17 *63:16 6.09429e-06 +13 *54:17 *92:10 2.18821e-05 +14 *54:17 *117:23 0.000118 +15 *54:17 *123:33 7.94213e-05 +16 *54:17 *160:27 0.000791657 +17 *54:17 *164:44 1.03594e-05 +18 *54:17 *164:46 1.63832e-05 +19 *497:A *54:17 1.91391e-05 +20 *48:24 *54:17 0.00137389 +21 *53:28 *54:17 0.000281799 +*RES +1 *427:X *54:17 45.6791 +2 *54:17 *447:RESET_B 9.24915 +*END + +*D_NET *55 0.0047604 +*CONN +*I *447:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *425:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *447:SET_B 0.00182463 +2 *425:X 0.00182463 +3 *447:SET_B *406:B_N 0.000398767 +4 *447:SET_B *407:A 5.82003e-05 +5 *447:SET_B *415:A 1.01683e-05 +6 *447:SET_B *425:A 0.000109427 +7 *447:SET_B *437:A 1.41976e-05 +8 *447:SET_B *449:RESET_B 7.18731e-05 +9 *447:SET_B *449:SET_B 5.37479e-05 +10 *447:SET_B *449:CLK_N 6.97525e-05 +11 *447:SET_B *450:CLK_N 0 +12 *447:SET_B *461:D 0.00010072 +13 *447:SET_B *461:RESET_B 0.000157107 +14 *447:SET_B *115:12 3.15254e-05 +15 *447:SET_B *188:17 1.40528e-05 +16 *447:SET_B *206:24 1.04731e-05 +17 *54:17 *447:SET_B 1.11225e-05 +*RES +1 *425:X *447:SET_B 48.9996 +*END + +*D_NET *56 0.0028165 +*CONN +*I *447:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *423:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *447:CLK_N 0.000687663 +2 *423:X 0.000687663 +3 *447:CLK_N *461:D 6.08467e-05 +4 *447:CLK_N *511:A 0.000108729 +5 *447:CLK_N *512:A 6.86425e-05 +6 *447:CLK_N *515:A 0.000179687 +7 *447:CLK_N *63:16 0.000126813 +8 *447:CLK_N *119:20 0 +9 *447:CLK_N *165:11 0.000336916 +10 *447:CLK_N *185:23 0.000170268 +11 *447:CLK_N *197:14 3.60933e-06 +12 *447:CLK_N *198:17 0 +13 resetn_out *447:CLK_N 0.000277488 +14 serial_data_out *447:CLK_N 6.64392e-05 +15 *39:15 *447:CLK_N 1.58588e-05 +16 *54:17 *447:CLK_N 2.58757e-05 +*RES +1 *423:X *447:CLK_N 49.6731 +*END + +*D_NET *57 0.00684108 +*CONN +*I *448:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *421:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *448:RESET_B 0.00138857 +2 *421:X 0.00138857 +3 *448:RESET_B *448:SET_B 0.00221843 +4 *448:RESET_B *450:SET_B 9.21698e-05 +5 *448:RESET_B *102:12 6.77099e-05 +6 *448:RESET_B *123:33 0.000331845 +7 *448:RESET_B *160:27 5.66121e-05 +8 *448:RESET_B *161:23 3.81774e-05 +9 *448:RESET_B *164:48 6.1001e-05 +10 *448:RESET_B *179:8 0.000202298 +11 *448:RESET_B *190:16 6.03172e-05 +12 *448:RESET_B *194:13 3.95165e-05 +13 *448:RESET_B *195:15 4.3122e-05 +14 *6:21 *448:RESET_B 9.14685e-06 +15 *48:24 *448:RESET_B 0.000828556 +16 *53:28 *448:RESET_B 3.57291e-06 +17 *54:17 *448:RESET_B 1.14704e-05 +*RES +1 *421:X *448:RESET_B 43.4789 +*END + +*D_NET *58 0.00585789 +*CONN +*I *448:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *419:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *448:SET_B 0.00100076 +2 *419:X 0.00100076 +3 *448:SET_B *379:A 5.2153e-05 +4 *448:SET_B *403:A 7.86825e-06 +5 *448:SET_B *419:A 6.0577e-05 +6 *448:SET_B *453:RESET_B 3.94601e-06 +7 *448:SET_B *152:17 4.49063e-05 +8 *448:SET_B *161:22 0 +9 *448:SET_B *162:16 0.00141009 +10 *230:DIODE *448:SET_B 2.18679e-05 +11 *448:RESET_B *448:SET_B 0.00221843 +12 *6:21 *448:SET_B 1.74199e-05 +13 *7:27 *448:SET_B 1.91246e-05 +*RES +1 *419:X *448:SET_B 32.2519 +*END + +*D_NET *59 0.00242775 +*CONN +*I *448:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *417:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *448:CLK_N 0.00084842 +2 *417:X 0.00084842 +3 *448:CLK_N *379:A 6.08467e-05 +4 *448:CLK_N *453:SET_B 0.000291027 +5 *448:CLK_N *462:D 0.000124019 +6 *448:CLK_N *102:12 9.32704e-05 +7 *448:CLK_N *116:16 3.73237e-05 +8 *448:CLK_N *192:17 4.49625e-06 +9 *448:CLK_N *200:9 0.000119927 +*RES +1 *417:X *448:CLK_N 42.2844 +*END + +*D_NET *60 0.00089972 +*CONN +*I *449:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *415:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *449:RESET_B 0.000259388 +2 *415:X 0.000259388 +3 *449:RESET_B *415:A 4.68239e-05 +4 *449:RESET_B *423:A 6.27718e-05 +5 *449:RESET_B *450:CLK_N 1.17135e-05 +6 *449:RESET_B *468:D 7.36257e-05 +7 *449:RESET_B *516:A 2.77625e-06 +8 *449:RESET_B *206:24 0.000111359 +9 *447:SET_B *449:RESET_B 7.18731e-05 +*RES +1 *415:X *449:RESET_B 34.3806 +*END + +*D_NET *61 0.00220327 +*CONN +*I *449:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *413:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *449:SET_B 0.000670239 +2 *413:X 0.000670239 +3 *449:SET_B *401:A 4.29314e-06 +4 *449:SET_B *403:A 2.37588e-05 +5 *449:SET_B *404:A 5.23737e-05 +6 *449:SET_B *411:A 0.000112367 +7 *449:SET_B *461:D 1.5714e-05 +8 *449:SET_B *94:64 1.83992e-05 +9 *449:SET_B *108:21 7.05206e-05 +10 *449:SET_B *115:12 4.59816e-06 +11 *449:SET_B *156:21 0.000159016 +12 *449:SET_B *164:332 6.22259e-05 +13 *449:SET_B *164:348 0.000162703 +14 *449:SET_B *200:9 6.22259e-05 +15 *447:SET_B *449:SET_B 5.37479e-05 +16 *26:23 *449:SET_B 6.08467e-05 +*RES +1 *413:X *449:SET_B 33.2775 +*END + +*D_NET *62 0.000237992 +*CONN +*I *449:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *411:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *449:CLK_N 6.9078e-05 +2 *411:X 6.9078e-05 +3 *449:CLK_N *188:17 3.00829e-05 +4 *447:SET_B *449:CLK_N 6.97525e-05 +*RES +1 *411:X *449:CLK_N 20.9096 +*END + +*D_NET *63 0.00303676 +*CONN +*I *450:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *409:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *450:RESET_B 0 +2 *409:X 0.000975455 +3 *63:16 0.000975455 +4 *63:16 *409:A 6.88361e-05 +5 *63:16 *415:A 1.43698e-05 +6 *63:16 *461:D 5.49916e-05 +7 *63:16 *470:D 0.000107496 +8 *63:16 *470:CLK 5.0587e-05 +9 *63:16 *511:A 8.74843e-05 +10 *63:16 *512:A 5.98553e-05 +11 *63:16 *516:A 4.79321e-06 +12 *63:16 *517:A 3.54295e-05 +13 *63:16 *115:12 1.83827e-06 +14 *63:16 *152:92 0.000173323 +15 *63:16 *164:44 0.000159032 +16 *63:16 *164:46 0 +17 *63:16 *165:11 5.04829e-06 +18 *63:16 *186:7 9.80242e-07 +19 *63:16 *190:16 1.2657e-05 +20 *63:16 *197:14 0 +21 user_gpio_in *63:16 0.000112228 +22 *447:CLK_N *63:16 0.000126813 +23 *39:15 *63:16 3.99086e-06 +24 *54:17 *63:16 6.09429e-06 +*RES +1 *409:X *63:16 46.4925 +2 *63:16 *450:RESET_B 9.24915 +*END + +*D_NET *64 0.00309536 +*CONN +*I *450:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *407:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *450:SET_B 0.000656309 +2 *407:X 0.000656309 +3 *450:SET_B *403:A 7.86825e-06 +4 *450:SET_B *415:A 2.02035e-05 +5 *450:SET_B *66:16 2.18821e-05 +6 *450:SET_B *94:64 1.01116e-05 +7 *450:SET_B *102:12 0.000190199 +8 *448:RESET_B *450:SET_B 9.21698e-05 +9 *48:24 *450:SET_B 7.36962e-05 +10 *53:28 *450:SET_B 0.000180035 +11 *54:17 *450:SET_B 0.00118658 +*RES +1 *407:X *450:SET_B 27.6396 +*END + +*D_NET *65 0.00190762 +*CONN +*I *450:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *405:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *450:CLK_N 0.000634987 +2 *405:X 0.000634987 +3 *450:CLK_N *403:A 1.72399e-05 +4 *450:CLK_N *412:B_N 0.000148385 +5 *450:CLK_N *415:A 0 +6 *450:CLK_N *423:A 0.000109421 +7 *450:CLK_N *453:RESET_B 5.17016e-07 +8 *450:CLK_N *468:D 2.69087e-05 +9 *450:CLK_N *516:A 1.84673e-05 +10 *450:CLK_N *97:23 1.50924e-05 +11 *450:CLK_N *112:16 0.000234608 +12 *447:SET_B *450:CLK_N 0 +13 *449:RESET_B *450:CLK_N 1.17135e-05 +14 *48:24 *450:CLK_N 5.01835e-05 +15 *53:28 *450:CLK_N 5.11322e-06 +*RES +1 *405:X *450:CLK_N 40.4401 +*END + +*D_NET *66 0.0049314 +*CONN +*I *451:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *403:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *451:RESET_B 0.000111493 +2 *403:X 0.00103912 +3 *66:16 0.00115062 +4 *451:RESET_B *153:29 5.22585e-05 +5 *451:RESET_B *157:28 6.13051e-05 +6 *66:16 *404:A 0.000114594 +7 *66:16 *451:SET_B 0.000813834 +8 *66:16 *453:D 0.000132432 +9 *66:16 *453:SET_B 0.000133906 +10 *66:16 *89:38 4.02549e-05 +11 *66:16 *94:64 2.64738e-05 +12 *66:16 *102:12 8.6297e-06 +13 *66:16 *159:17 0.000506809 +14 *66:16 *161:22 5.81985e-05 +15 *66:16 *164:282 9.23149e-06 +16 *66:16 *200:9 0 +17 *450:SET_B *66:16 2.18821e-05 +18 *2:26 *66:16 0.000132398 +19 *6:21 *66:16 0.000517968 +*RES +1 *403:X *66:16 49.3534 +2 *66:16 *451:RESET_B 12.191 +*END + +*D_NET *67 0.00367992 +*CONN +*I *451:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *401:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *451:SET_B 0.000755341 +2 *401:X 0.000755341 +3 *451:SET_B *403:A 0.000159908 +4 *451:SET_B *453:SET_B 2.57465e-06 +5 *451:SET_B *102:12 0.00105587 +6 *451:SET_B *112:16 2.78219e-06 +7 *451:SET_B *159:17 4.38306e-05 +8 *451:SET_B *164:332 8.70105e-05 +9 *451:SET_B *200:9 3.42037e-06 +10 *66:16 *451:SET_B 0.000813834 +*RES +1 *401:X *451:SET_B 41.8458 +*END + +*D_NET *68 0.00284826 +*CONN +*I *451:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *399:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *451:CLK_N 0.000800049 +2 *399:X 0.000800049 +3 *451:CLK_N *393:A 2.95913e-05 +4 *451:CLK_N *399:A 2.47751e-05 +5 *451:CLK_N *454:RESET_B 1.83795e-06 +6 *451:CLK_N *463:CLK 0.000143427 +7 *451:CLK_N *464:CLK 0.000158138 +8 *451:CLK_N *71:18 2.08197e-05 +9 *451:CLK_N *152:38 0.00012977 +10 *451:CLK_N *153:29 1.58551e-05 +11 *451:CLK_N *157:28 3.82228e-05 +12 *451:CLK_N *193:38 4.28856e-07 +13 *9:11 *451:CLK_N 6.84443e-05 +14 *9:17 *451:CLK_N 0.000224377 +15 *10:14 *451:CLK_N 0.000326584 +16 *12:20 *451:CLK_N 5.04829e-06 +17 *15:15 *451:CLK_N 6.08467e-05 +*RES +1 *399:X *451:CLK_N 48.1994 +*END + +*D_NET *69 0.00284943 +*CONN +*I *452:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *397:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *452:RESET_B 0.000940622 +2 *397:X 0.000940622 +3 *452:RESET_B *467:CLK 3.00829e-05 +4 *452:RESET_B *128:15 1.95129e-05 +5 *452:RESET_B *151:13 0.000485133 +6 *452:RESET_B *151:15 0.000339588 +7 *452:RESET_B *151:20 6.55453e-05 +8 *452:RESET_B *164:72 0 +9 *452:RESET_B *164:91 2.8322e-05 +10 *452:RESET_B *206:10 0 +*RES +1 *397:X *452:RESET_B 47.5111 +*END + +*D_NET *70 0.00151867 +*CONN +*I *452:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *395:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *452:SET_B 0.000520968 +2 *395:X 0.000520968 +3 *452:SET_B *394:B_N 2.39189e-05 +4 *452:SET_B *395:A 4.24629e-05 +5 *452:SET_B *397:A 0.000161956 +6 *452:SET_B *457:CLK_N 0 +7 *452:SET_B *465:D 0 +8 *452:SET_B *466:D 0 +9 *452:SET_B *164:100 0.000171519 +10 *452:SET_B *164:105 6.27718e-05 +11 *452:SET_B *194:23 1.4106e-05 +12 *5:8 *452:SET_B 0 +*RES +1 *395:X *452:SET_B 31.2719 +*END + +*D_NET *71 0.00563379 +*CONN +*I *452:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *393:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *452:CLK_N 0.000135324 +2 *393:X 0.00101255 +3 *71:18 0.00114787 +4 *452:CLK_N *92:35 5.22585e-05 +5 *71:18 *393:A 0.000638514 +6 *71:18 *399:A 2.99287e-05 +7 *71:18 *92:10 6.75233e-05 +8 *71:18 *123:33 0.0005399 +9 *71:18 *161:22 0.000558217 +10 *71:18 *191:13 0.000220051 +11 *221:DIODE *71:18 8.6603e-05 +12 *451:CLK_N *71:18 2.08197e-05 +13 *485:A *71:18 1.41976e-05 +14 *494:A *71:18 2.02035e-05 +15 *495:A *71:18 0.00018982 +16 *4:8 *71:18 0.000752657 +17 *10:14 *71:18 0.000118782 +18 *12:20 *71:18 8.72221e-06 +19 *15:15 *71:18 3.99086e-06 +20 *16:15 *71:18 1.58551e-05 +*RES +1 *393:X *71:18 47.9155 +2 *71:18 *452:CLK_N 16.691 +*END + +*D_NET *72 0.00283417 +*CONN +*I *453:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *391:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *453:RESET_B 0.000841555 +2 *391:X 0.000841555 +3 *453:RESET_B *403:A 0.000297816 +4 *453:RESET_B *415:A 2.27175e-05 +5 *453:RESET_B *450:D 1.58551e-05 +6 *453:RESET_B *454:CLK_N 0.000270186 +7 *453:RESET_B *97:23 2.16355e-05 +8 *453:RESET_B *154:8 5.04829e-06 +9 *453:RESET_B *161:22 0.000233622 +10 *453:RESET_B *206:24 0.000279717 +11 *448:SET_B *453:RESET_B 3.94601e-06 +12 *450:CLK_N *453:RESET_B 5.17016e-07 +*RES +1 *391:X *453:RESET_B 46.5118 +*END + +*D_NET *73 0.00180714 +*CONN +*I *453:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *389:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *453:SET_B 0.000402668 +2 *389:X 0.000402668 +3 *453:SET_B *379:A 1.65872e-05 +4 *453:SET_B *414:A 1.00766e-05 +5 *453:SET_B *462:RESET_B 2.98409e-05 +6 *453:SET_B *96:16 1.66398e-05 +7 *453:SET_B *102:12 5.1493e-06 +8 *453:SET_B *112:16 9.80536e-05 +9 *453:SET_B *116:16 1.37189e-05 +10 *453:SET_B *161:22 1.03594e-05 +11 *453:SET_B *192:17 0.000247443 +12 *453:SET_B *193:38 0 +13 *453:SET_B *200:9 6.3657e-05 +14 *453:SET_B *201:8 6.27718e-05 +15 *448:CLK_N *453:SET_B 0.000291027 +16 *451:SET_B *453:SET_B 2.57465e-06 +17 *66:16 *453:SET_B 0.000133906 +*RES +1 *389:X *453:SET_B 38.9521 +*END + +*D_NET *74 0.00129024 +*CONN +*I *453:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *387:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *453:CLK_N 0.000329943 +2 *387:X 0.000329943 +3 *453:CLK_N *380:A 6.27718e-05 +4 *453:CLK_N *419:A 5.39173e-05 +5 *453:CLK_N *454:CLK_N 1.65872e-05 +6 *453:CLK_N *93:23 6.27718e-05 +7 *453:CLK_N *111:14 2.66801e-05 +8 *453:CLK_N *116:16 0.000264091 +9 *453:CLK_N *193:38 1.00901e-05 +10 *13:18 *453:CLK_N 0.000133447 +*RES +1 *387:X *453:CLK_N 34.9352 +*END + +*D_NET *75 0.00818684 +*CONN +*I *454:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *384:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *454:RESET_B 0.00154819 +2 *384:X 0.00154819 +3 *454:RESET_B *393:A 1.57662e-05 +4 *454:RESET_B *398:A 0.000198711 +5 *454:RESET_B *93:23 0 +6 *454:RESET_B *129:23 0 +7 *454:RESET_B *153:29 4.73665e-05 +8 *454:RESET_B *161:22 5.08721e-05 +9 *454:RESET_B *172:13 4.23622e-05 +10 *454:RESET_B *189:18 0 +11 *451:CLK_N *454:RESET_B 1.83795e-06 +12 *9:17 *454:RESET_B 2.32028e-05 +13 *11:23 *454:RESET_B 0.00354783 +14 *12:30 *454:RESET_B 1.70073e-05 +15 *13:18 *454:RESET_B 0.0011455 +*RES +1 *384:X *454:RESET_B 49.7729 +*END + +*D_NET *76 0.00329467 +*CONN +*I *454:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *382:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *454:SET_B 0 +2 *382:X 0.000843432 +3 *76:14 0.000843432 +4 *76:14 *376:A 3.20407e-05 +5 *76:14 *382:A 0.000286993 +6 *76:14 *443:A1 0.000111352 +7 *76:14 *446:TE_B 0.000212332 +8 *76:14 *504:A 0.000111285 +9 *76:14 *505:A 5.07618e-06 +10 *76:14 *164:192 7.98171e-06 +11 *443:S *76:14 4.4408e-05 +12 *444:A1 *76:14 4.90091e-05 +13 *17:26 *76:14 0.000138747 +14 *20:12 *76:14 0.000608581 +*RES +1 *382:X *76:14 47.3936 +2 *76:14 *454:SET_B 4.5 +*END + +*D_NET *77 0.00361523 +*CONN +*I *454:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *380:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *454:CLK_N 0.00106376 +2 *380:X 0.00106376 +3 *454:CLK_N *380:A 0.000179264 +4 *454:CLK_N *471:D 0.000652391 +5 *454:CLK_N *93:23 0.000110458 +6 *454:CLK_N *96:16 0.00015607 +7 *454:CLK_N *154:8 9.81043e-05 +8 *454:CLK_N *161:22 4.65647e-06 +9 *453:RESET_B *454:CLK_N 0.000270186 +10 *453:CLK_N *454:CLK_N 1.65872e-05 +*RES +1 *380:X *454:CLK_N 40.3449 +*END + +*D_NET *78 0.00278439 +*CONN +*I *455:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *378:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *455:RESET_B 0.000578542 +2 *378:X 0.000578542 +3 *455:RESET_B *367:A 0.000301926 +4 *455:RESET_B *369:B_N 0.000114594 +5 *455:RESET_B *370:A 4.11567e-05 +6 *455:RESET_B *455:SET_B 6.08467e-05 +7 *455:RESET_B *456:D 4.13313e-05 +8 *455:RESET_B *459:RESET_B 9.59811e-06 +9 *455:RESET_B *459:SET_B 8.42554e-06 +10 *455:RESET_B *119:16 7.78924e-05 +11 *455:RESET_B *129:23 0.000470271 +12 *455:RESET_B *164:192 7.58194e-05 +13 *455:RESET_B *164:197 4.87439e-05 +14 *455:RESET_B *172:13 9.87056e-05 +15 *455:RESET_B *180:11 0.0002195 +16 *2:26 *455:RESET_B 5.8493e-05 +*RES +1 *378:X *455:RESET_B 47.9799 +*END + +*D_NET *79 0.00176189 +*CONN +*I *455:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *376:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *455:SET_B 0.000434479 +2 *376:X 0.000434479 +3 *455:SET_B *446:TE_B 4.43579e-05 +4 *455:SET_B *456:CLK_N 0.000148764 +5 *455:SET_B *119:16 0.000156611 +6 *455:SET_B *167:20 0.000179873 +7 *455:SET_B *180:11 4.51877e-05 +8 *455:RESET_B *455:SET_B 6.08467e-05 +9 *2:26 *455:SET_B 0.000257287 +*RES +1 *376:X *455:SET_B 29.8935 +*END + +*D_NET *80 0.00271253 +*CONN +*I *455:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *374:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *455:CLK_N 0.000656315 +2 *374:X 0.000656315 +3 *455:CLK_N *362:A 0.000732578 +4 *455:CLK_N *392:A 0.00040526 +5 *455:CLK_N *472:CLK 0.000135921 +6 *455:CLK_N *89:41 2.1203e-06 +7 *455:CLK_N *132:21 3.81675e-05 +8 *455:CLK_N *151:5 2.57986e-05 +9 *455:CLK_N *151:9 1.88422e-05 +10 *455:CLK_N *153:29 1.27831e-06 +11 *455:CLK_N *178:22 1.41307e-05 +12 *2:26 *455:CLK_N 2.57986e-05 +*RES +1 *374:X *455:CLK_N 46.9255 +*END + +*D_NET *81 0.00133673 +*CONN +*I *456:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *372:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *456:RESET_B 0.000300143 +2 *372:X 0.000300143 +3 *456:RESET_B *369:B_N 0 +4 *456:RESET_B *370:A 0 +5 *456:RESET_B *372:A 6.96846e-05 +6 *456:RESET_B *378:A 4.87301e-05 +7 *456:RESET_B *171:13 0.000156946 +8 *456:RESET_B *176:19 0.000145542 +9 *443:S *456:RESET_B 0.000315541 +*RES +1 *372:X *456:RESET_B 36.5934 +*END + +*D_NET *82 0.000442839 +*CONN +*I *456:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *370:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *456:SET_B 4.47673e-05 +2 *370:X 4.47673e-05 +3 *456:SET_B *171:13 0.000176652 +4 *456:SET_B *172:13 0.000176652 +*RES +1 *370:X *456:SET_B 21.3269 +*END + +*D_NET *83 0.00143246 +*CONN +*I *456:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *368:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *456:CLK_N 0.00049013 +2 *368:X 0.00049013 +3 *456:CLK_N *376:A 7.16754e-05 +4 *456:CLK_N *456:D 8.64141e-05 +5 *456:CLK_N *474:A 0 +6 *456:CLK_N *93:23 6.08467e-05 +7 *456:CLK_N *119:16 6.96846e-05 +8 *456:CLK_N *180:11 1.4813e-05 +9 *455:SET_B *456:CLK_N 0.000148764 +*RES +1 *368:X *456:CLK_N 39.8494 +*END + +*D_NET *84 0.0029017 +*CONN +*I *457:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *366:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *457:RESET_B 0.000562688 +2 *366:X 0.000562688 +3 *457:RESET_B *351:A 0.000159032 +4 *457:RESET_B *353:B 1.88422e-05 +5 *457:RESET_B *354:A 3.41241e-05 +6 *457:RESET_B *358:A 0.000110458 +7 *457:RESET_B *366:A 3.90198e-05 +8 *457:RESET_B *128:15 0.000155063 +9 *457:RESET_B *157:31 0.000506931 +10 *457:RESET_B *171:13 0.000752853 +*RES +1 *366:X *457:RESET_B 47.1258 +*END + +*D_NET *85 0.00177447 +*CONN +*I *457:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *364:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *457:SET_B 0.000582307 +2 *364:X 0.000582307 +3 *457:SET_B *360:A 0.000111802 +4 *457:SET_B *364:A 0.00020309 +5 *457:SET_B *458:D 0.000103434 +6 *457:SET_B *206:10 0.000168586 +7 *13:18 *457:SET_B 2.29386e-05 +*RES +1 *364:X *457:SET_B 29.8147 +*END + +*D_NET *86 0.00203899 +*CONN +*I *457:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *362:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *457:CLK_N 0.000730024 +2 *362:X 0.000730024 +3 *457:CLK_N *466:D 0 +4 *457:CLK_N *89:9 6.54161e-05 +5 *457:CLK_N *132:21 0.000467583 +6 *457:CLK_N *157:28 4.59423e-05 +7 *452:SET_B *457:CLK_N 0 +*RES +1 *362:X *457:CLK_N 41.965 +*END + +*D_NET *87 0.00146526 +*CONN +*I *458:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *360:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *458:RESET_B 0.000379309 +2 *360:X 0.000379309 +3 *458:RESET_B *459:SET_B 6.06764e-05 +4 *458:RESET_B *128:15 0.000510052 +5 *458:RESET_B *158:28 0.000122992 +6 *458:RESET_B *171:13 1.29211e-05 +*RES +1 *360:X *458:RESET_B 35.4604 +*END + +*D_NET *88 0.000762195 +*CONN +*I *458:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *358:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *458:SET_B 0.000289012 +2 *358:X 0.000289012 +3 *458:SET_B *357:A 0 +4 *458:SET_B *358:A 2.46862e-05 +5 *458:SET_B *459:RESET_B 2.63292e-05 +6 *458:SET_B *158:28 0.000133154 +*RES +1 *358:X *458:SET_B 24.5968 +*END + +*D_NET *89 0.00903991 +*CONN +*I *361:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *379:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *373:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *367:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *458:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *356:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *361:A 1.49738e-05 +2 *379:A 0.000479109 +3 *373:A 0.000210515 +4 *367:A 0.000563971 +5 *458:CLK_N 0 +6 *356:X 0 +7 *89:41 0.000891655 +8 *89:38 0.00057759 +9 *89:9 0.000920856 +10 *89:5 0.000717469 +11 *361:A *178:22 1.41307e-05 +12 *367:A *364:A 1.96344e-05 +13 *367:A *458:D 0.000112367 +14 *367:A *459:RESET_B 0 +15 *367:A *459:SET_B 0.000319462 +16 *367:A *129:23 1.65872e-05 +17 *367:A *158:28 0.000243065 +18 *367:A *179:8 0 +19 *373:A *390:B 0.000107496 +20 *373:A *446:TE_B 4.87439e-05 +21 *373:A *96:16 1.4474e-05 +22 *373:A *111:14 7.10483e-06 +23 *373:A *132:21 6.08467e-05 +24 *379:A *463:D 3.18342e-06 +25 *379:A *471:D 0.000189032 +26 *379:A *96:16 0.000122877 +27 *379:A *116:16 0.000133659 +28 *379:A *152:17 0.000190174 +29 *379:A *192:17 9.34993e-05 +30 *89:9 *363:B_N 0.00030844 +31 *89:9 *364:A 1.43698e-05 +32 *89:9 *157:28 0.000334235 +33 *89:9 *158:28 0.000368088 +34 *89:9 *164:77 6.46921e-05 +35 *89:9 *164:91 0.000250405 +36 *89:9 *195:33 3.96696e-05 +37 *89:38 *356:A 0 +38 *89:38 *400:A 0 +39 *89:38 *464:RESET_B 1.41976e-05 +40 *89:38 *471:CLK 6.91404e-05 +41 *89:38 *102:12 0 +42 *89:38 *157:28 0.000240063 +43 *89:38 *178:22 3.17103e-05 +44 *89:41 *471:D 8.22431e-05 +45 *89:41 *132:21 0 +46 *89:41 *137:18 6.34564e-05 +47 *89:41 *153:29 0.000287367 +48 *448:SET_B *379:A 5.2153e-05 +49 *448:CLK_N *379:A 6.08467e-05 +50 *453:SET_B *379:A 1.65872e-05 +51 *455:RESET_B *367:A 0.000301926 +52 *455:CLK_N *89:41 2.1203e-06 +53 *457:CLK_N *89:9 6.54161e-05 +54 *2:26 *361:A 2.29888e-05 +55 *2:26 *89:38 0.000233422 +56 *3:20 *89:41 3.64497e-06 +57 *66:16 *89:38 4.02549e-05 +*RES +1 *356:X *89:5 13.7491 +2 *89:5 *89:9 18.833 +3 *89:9 *458:CLK_N 9.24915 +4 *89:9 *367:A 35.7172 +5 *89:5 *89:38 14.606 +6 *89:38 *89:41 10.4845 +7 *89:41 *373:A 18.5718 +8 *89:41 *379:A 27.1631 +9 *89:38 *361:A 9.97254 +*END + +*D_NET *90 0.00136458 +*CONN +*I *459:RESET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *354:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *459:RESET_B 0.000445819 +2 *354:X 0.000445819 +3 *459:RESET_B *352:A 2.57986e-05 +4 *459:RESET_B *459:SET_B 4.06407e-05 +5 *459:RESET_B *502:A 0.000160942 +6 *459:RESET_B *158:28 0.000209636 +7 *459:RESET_B *179:8 0 +8 *367:A *459:RESET_B 0 +9 *455:RESET_B *459:RESET_B 9.59811e-06 +10 *458:SET_B *459:RESET_B 2.63292e-05 +*RES +1 *354:X *459:RESET_B 38.5093 +*END + +*D_NET *91 0.00186134 +*CONN +*I *459:SET_B I *D sky130_fd_sc_hd__dfbbn_1 +*I *352:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *459:SET_B 0.00054312 +2 *352:X 0.00054312 +3 *459:SET_B *502:A 0.000152666 +4 *459:SET_B *129:23 0.000112361 +5 *459:SET_B *158:28 2.82255e-05 +6 *459:SET_B *171:13 5.26405e-05 +7 *367:A *459:SET_B 0.000319462 +8 *455:RESET_B *459:SET_B 8.42554e-06 +9 *458:RESET_B *459:SET_B 6.06764e-05 +10 *459:RESET_B *459:SET_B 4.06407e-05 +*RES +1 *352:X *459:SET_B 31.9754 +*END + +*D_NET *92 0.00809449 +*CONN +*I *459:CLK_N I *D sky130_fd_sc_hd__dfbbn_1 +*I *438:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *459:CLK_N 0 +2 *438:X 0.000930111 +3 *92:35 0.00185079 +4 *92:10 0.0027809 +5 *92:10 *160:27 0 +6 *92:10 *189:18 0.000150499 +7 *92:10 *194:13 5.18062e-06 +8 *92:35 *158:28 5.51346e-05 +9 *92:35 *179:8 6.64392e-05 +10 *92:35 *194:23 1.91246e-05 +11 *92:35 *195:33 0.000302458 +12 *230:DIODE *92:10 0.000122708 +13 *452:CLK_N *92:35 5.22585e-05 +14 *494:A *92:10 1.12479e-05 +15 *495:A *92:10 0.000287341 +16 *496:A *92:10 5.94961e-05 +17 *497:A *92:10 0.000156203 +18 *6:21 *92:10 2.09356e-05 +19 *7:15 *92:35 1.91246e-05 +20 *7:27 *92:10 0.000890602 +21 *15:15 *92:35 0.000109923 +22 *16:15 *92:10 0 +23 *53:28 *92:10 0.000114612 +24 *54:17 *92:10 2.18821e-05 +25 *71:18 *92:10 6.75233e-05 +*RES +1 *438:X *92:10 42.048 +2 *92:10 *92:35 48.4431 +3 *92:35 *459:CLK_N 9.24915 +*END + +*D_NET *93 0.00721002 +*CONN +*I *384:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *383:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *384:A 0 +2 *383:X 0.00140715 +3 *93:23 0.00140715 +4 *93:23 *390:B 0.000837581 +5 *93:23 *432:B_N 0.000109427 +6 *93:23 *474:A 2.08648e-05 +7 *93:23 *111:14 0.000102939 +8 *93:23 *119:16 8.25226e-06 +9 *93:23 *123:33 6.65821e-05 +10 *93:23 *129:23 7.12632e-06 +11 *93:23 *152:17 0.000131168 +12 *93:23 *152:38 1.15845e-05 +13 *93:23 *153:29 5.03226e-05 +14 *93:23 *164:258 0.000312899 +15 *93:23 *189:18 0.00217483 +16 *93:23 *193:38 3.31749e-05 +17 *229:DIODE *93:23 9.67837e-05 +18 *453:CLK_N *93:23 6.27718e-05 +19 *454:RESET_B *93:23 0 +20 *454:CLK_N *93:23 0.000110458 +21 *456:CLK_N *93:23 6.08467e-05 +22 *495:A *93:23 8.08437e-05 +23 *5:8 *93:23 0.000105157 +24 *13:18 *93:23 1.21131e-05 +*RES +1 *383:X *93:23 43.8112 +2 *93:23 *384:A 9.24915 +*END + +*D_NET *94 0.00967631 +*CONN +*I *404:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *410:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *386:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *398:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *392:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *385:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *404:A 0.000375939 +2 *410:A 2.48531e-05 +3 *386:A 2.28157e-05 +4 *398:A 0.000315054 +5 *392:A 0.000893685 +6 *385:X 1.09219e-05 +7 *94:64 0.000748552 +8 *94:46 0.000420186 +9 *94:33 0.000794007 +10 *94:7 0.00133395 +11 *386:A *387:A 0.000109427 +12 *386:A *116:16 0.000109427 +13 *392:A *356:A 0.000178411 +14 *392:A *400:A 8.03393e-06 +15 *392:A *102:12 0.00046828 +16 *392:A *132:21 3.13805e-06 +17 *404:A *407:A 0.000140998 +18 *404:A *461:D 2.07365e-05 +19 *404:A *108:21 0.000229588 +20 *404:A *160:27 0.000260698 +21 *404:A *200:9 2.58563e-05 +22 *410:A *403:A 0 +23 *94:7 *191:13 6.36477e-05 +24 *94:33 *419:A 1.20144e-05 +25 *94:33 *453:D 7.29623e-05 +26 *94:33 *102:12 7.22263e-05 +27 *94:33 *111:14 9.31434e-05 +28 *94:33 *161:22 0.000406614 +29 *94:33 *193:38 4.15661e-05 +30 *94:46 *419:A 1.53148e-05 +31 *94:46 *453:D 5.01835e-05 +32 *94:64 *419:A 8.72221e-06 +33 *94:64 *453:D 0.000129176 +34 *94:64 *152:38 1.60434e-05 +35 *94:64 *159:17 3.29488e-05 +36 *449:SET_B *404:A 5.23737e-05 +37 *449:SET_B *94:64 1.83992e-05 +38 *450:SET_B *94:64 1.01116e-05 +39 *454:RESET_B *398:A 0.000198711 +40 *455:CLK_N *392:A 0.00040526 +41 *2:33 *94:7 6.36477e-05 +42 *2:33 *94:33 6.16595e-06 +43 *6:21 *398:A 0.000611255 +44 *11:23 *398:A 0.000165025 +45 *12:30 *398:A 9.57583e-05 +46 *13:18 *94:33 0.000399413 +47 *66:16 *404:A 0.000114594 +48 *66:16 *94:64 2.64738e-05 +*RES +1 *385:X *94:7 14.4725 +2 *94:7 *392:A 33.9868 +3 *94:7 *94:33 14.1745 +4 *94:33 *398:A 23.4176 +5 *94:33 *94:46 1.20912 +6 *94:46 *386:A 15.0271 +7 *94:46 *94:64 12.6904 +8 *94:64 *410:A 9.82786 +9 *94:64 *404:A 29.1891 +*END + +*D_NET *95 0.000473435 +*CONN +*I *387:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *386:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *387:A 8.97347e-05 +2 *386:X 8.97347e-05 +3 *387:A *116:16 7.31956e-05 +4 *387:A *192:17 0.000111342 +5 *386:A *387:A 0.000109427 +*RES +1 *386:X *387:A 22.5975 +*END + +*D_NET *96 0.00222663 +*CONN +*I *389:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *388:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *389:A 0 +2 *388:X 0.0004242 +3 *96:16 0.0004242 +4 *96:16 *390:B 5.9036e-05 +5 *96:16 *463:D 0.000191472 +6 *96:16 *97:23 2.28198e-05 +7 *96:16 *111:14 0.000207892 +8 *96:16 *112:16 0.000235243 +9 *96:16 *152:17 0.000120123 +10 *96:16 *161:22 0.000109658 +11 *96:16 *201:8 0.000121922 +12 *373:A *96:16 1.4474e-05 +13 *379:A *96:16 0.000122877 +14 *453:SET_B *96:16 1.66398e-05 +15 *454:CLK_N *96:16 0.00015607 +*RES +1 *388:X *96:16 41.6793 +2 *96:16 *389:A 9.24915 +*END + +*D_NET *97 0.00537143 +*CONN +*I *391:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *390:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *391:A 0 +2 *390:X 0.00091505 +3 *97:23 0.00091505 +4 *97:23 *390:B 3.29488e-05 +5 *97:23 *403:A 4.36134e-05 +6 *97:23 *412:B_N 9.0951e-05 +7 *97:23 *463:D 9.67358e-05 +8 *97:23 *474:A 3.04631e-05 +9 *97:23 *477:TE 0.000262422 +10 *97:23 *112:13 0.000615379 +11 *97:23 *112:16 9.29993e-06 +12 *97:23 *152:17 0.000300277 +13 *97:23 *164:250 6.96754e-05 +14 *97:23 *164:258 4.6535e-05 +15 *97:23 *179:8 4.60046e-05 +16 *97:23 *201:8 0.000131046 +17 *450:CLK_N *97:23 1.50924e-05 +18 *453:RESET_B *97:23 2.16355e-05 +19 *3:20 *97:23 0.00025456 +20 *6:21 *97:23 0.000131528 +21 *11:23 *97:23 0.000991671 +22 *34:20 *97:23 0.000247882 +23 *48:24 *97:23 8.07924e-05 +24 *96:16 *97:23 2.28198e-05 +*RES +1 *390:X *97:23 47.2674 +2 *97:23 *391:A 9.24915 +*END + +*D_NET *98 0.00261387 +*CONN +*I *393:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *392:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *393:A 0.00055252 +2 *392:X 0.00055252 +3 *451:CLK_N *393:A 2.95913e-05 +4 *454:RESET_B *393:A 1.57662e-05 +5 *9:17 *393:A 0.000293672 +6 *10:14 *393:A 0.000453812 +7 *12:20 *393:A 8.16019e-06 +8 *12:30 *393:A 6.93171e-05 +9 *71:18 *393:A 0.000638514 +*RES +1 *392:X *393:A 45.2815 +*END + +*D_NET *99 0.00191376 +*CONN +*I *395:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *394:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *395:A 5.29957e-05 +2 *394:X 0.000479743 +3 *99:8 0.000103725 +4 *99:7 0.000530473 +5 *395:A *194:23 0.000154095 +6 *99:7 *394:B_N 0.000259406 +7 *99:7 *466:D 7.1769e-05 +8 *99:7 *466:RESET_B 1.68951e-06 +9 *99:7 *164:105 1.37189e-05 +10 *99:7 *194:23 0.000203685 +11 *452:SET_B *395:A 4.24629e-05 +*RES +1 *394:X *99:7 26.4315 +2 *99:7 *99:8 57.9449 +3 *99:8 *395:A 20.3309 +*END + +*D_NET *100 0.000725576 +*CONN +*I *397:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *396:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *397:A 0.000241046 +2 *396:X 0.000241046 +3 *397:A *164:105 2.29888e-05 +4 *452:SET_B *397:A 0.000161956 +5 *5:8 *397:A 5.85383e-05 +*RES +1 *396:X *397:A 32.548 +*END + +*D_NET *101 0.000146523 +*CONN +*I *399:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *398:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *399:A 4.59094e-05 +2 *398:X 4.59094e-05 +3 *451:CLK_N *399:A 2.47751e-05 +4 *71:18 *399:A 2.99287e-05 +*RES +1 *398:X *399:A 20.2103 +*END + +*D_NET *102 0.00444679 +*CONN +*I *401:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *400:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *401:A 5.71993e-05 +2 *400:X 0.000914757 +3 *102:12 0.000971956 +4 *401:A *403:A 0.00015901 +5 *401:A *163:42 1.03403e-05 +6 *102:12 *380:A 0.000136327 +7 *102:12 *400:A 4.94e-06 +8 *102:12 *453:D 0 +9 *102:12 *112:16 5.01835e-05 +10 *102:12 *164:332 4.89489e-05 +11 *102:12 *193:38 9.02963e-06 +12 *102:12 *200:9 0.000118465 +13 *392:A *102:12 0.00046828 +14 *448:RESET_B *102:12 6.77099e-05 +15 *448:CLK_N *102:12 9.32704e-05 +16 *449:SET_B *401:A 4.29314e-06 +17 *450:SET_B *102:12 0.000190199 +18 *451:SET_B *102:12 0.00105587 +19 *453:SET_B *102:12 5.1493e-06 +20 *66:16 *102:12 8.6297e-06 +21 *89:38 *102:12 0 +22 *94:33 *102:12 7.22263e-05 +*RES +1 *400:X *102:12 46.4384 +2 *102:12 *401:A 15.5817 +*END + +*D_NET *103 0.00619525 +*CONN +*I *403:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *402:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *403:A 0.000497298 +2 *402:X 0.000801143 +3 *103:11 0.00129844 +4 *403:A *415:A 4.8878e-06 +5 *403:A *450:D 6.64392e-05 +6 *403:A *163:42 0.000186511 +7 *103:11 *415:A 7.92757e-06 +8 *103:11 *418:B_N 2.90836e-05 +9 *103:11 *475:A 9.56715e-05 +10 *103:11 *112:13 0.000270602 +11 *103:11 *151:5 3.42678e-05 +12 *103:11 *162:23 0.000347849 +13 *103:11 *164:48 0.000350243 +14 *103:11 *164:50 6.4309e-05 +15 *103:11 *164:52 0.000180696 +16 *103:11 *164:54 0.00034463 +17 *103:11 *164:56 0.000154987 +18 *103:11 *164:65 8.36586e-06 +19 *103:11 *206:24 2.86545e-05 +20 *401:A *403:A 0.00015901 +21 *410:A *403:A 0 +22 *448:SET_B *403:A 7.86825e-06 +23 *449:SET_B *403:A 2.37588e-05 +24 *450:SET_B *403:A 7.86825e-06 +25 *450:CLK_N *403:A 1.72399e-05 +26 *451:SET_B *403:A 0.000159908 +27 *453:RESET_B *403:A 0.000297816 +28 *13:18 *103:11 0.00065446 +29 *48:24 *403:A 0 +30 *53:28 *403:A 5.16998e-05 +31 *97:23 *403:A 4.36134e-05 +*RES +1 *402:X *103:11 45.8969 +2 *103:11 *403:A 34.1269 +*END + +*D_NET *104 0.00115049 +*CONN +*I *405:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *404:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *405:A 0.000270693 +2 *404:X 0.000270693 +3 *405:A *108:21 0.000109427 +4 *405:A *112:16 3.77235e-05 +5 *405:A *163:42 0.00017943 +6 *405:A *164:348 0.000282519 +*RES +1 *404:X *405:A 34.7608 +*END + +*D_NET *105 0.00312384 +*CONN +*I *407:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *406:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *407:A 0.000584366 +2 *406:X 0.000584366 +3 *407:A *406:B_N 0.000147647 +4 *407:A *108:21 0.000110306 +5 *407:A *160:27 0.000906339 +6 *407:A *164:319 0.000125308 +7 *407:A *164:321 0.000287569 +8 *407:A *164:325 0.000157107 +9 *404:A *407:A 0.000140998 +10 *447:SET_B *407:A 5.82003e-05 +11 *54:17 *407:A 2.16355e-05 +*RES +1 *406:X *407:A 48.3086 +*END + +*D_NET *106 0.00129986 +*CONN +*I *409:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *408:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *409:A 0.000298629 +2 *408:X 0.000298629 +3 *409:A *415:A 0.000137515 +4 *409:A *516:A 2.29454e-05 +5 *409:A *517:A 0.000165521 +6 *409:A *206:24 0.00018937 +7 *409:A *208:8 3.04314e-05 +8 user_gpio_in *409:A 8.79791e-05 +9 *63:16 *409:A 6.88361e-05 +*RES +1 *408:X *409:A 36.864 +*END + +*D_NET *107 0.00105122 +*CONN +*I *411:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *410:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *411:A 0.000371417 +2 *410:X 0.000371417 +3 *411:A *461:RESET_B 2.57465e-06 +4 *411:A *108:21 8.72256e-06 +5 *411:A *117:23 0.000133447 +6 *411:A *156:21 0 +7 *411:A *160:27 5.12718e-05 +8 *449:SET_B *411:A 0.000112367 +*RES +1 *410:X *411:A 35.0784 +*END + +*D_NET *108 0.00259845 +*CONN +*I *413:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *412:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *413:A 0 +2 *412:X 0.000818176 +3 *108:21 0.000818176 +4 *108:21 *406:B_N 2.53145e-06 +5 *108:21 *412:B_N 0.000109421 +6 *108:21 *461:D 7.807e-05 +7 *108:21 *156:21 6.74426e-05 +8 *108:21 *160:27 0.000161827 +9 *108:21 *200:9 9.12416e-06 +10 *404:A *108:21 0.000229588 +11 *405:A *108:21 0.000109427 +12 *407:A *108:21 0.000110306 +13 *411:A *108:21 8.72256e-06 +14 *449:SET_B *108:21 7.05206e-05 +15 *26:20 *108:21 5.11322e-06 +*RES +1 *412:X *108:21 44.6536 +2 *108:21 *413:A 9.24915 +*END + +*D_NET *109 0.00266381 +*CONN +*I *415:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *414:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *415:A 0.000815739 +2 *414:X 0.000815739 +3 *415:A *408:A 4.84017e-05 +4 *415:A *423:A 1.06977e-05 +5 *415:A *475:A 0.000114594 +6 *415:A *516:A 0.000112361 +7 *415:A *152:17 0.000159756 +8 *415:A *152:81 4.81452e-05 +9 *415:A *162:23 9.75148e-06 +10 *415:A *206:24 0.000264017 +11 *403:A *415:A 4.8878e-06 +12 *409:A *415:A 0.000137515 +13 *447:SET_B *415:A 1.01683e-05 +14 *449:RESET_B *415:A 4.68239e-05 +15 *450:SET_B *415:A 2.02035e-05 +16 *450:CLK_N *415:A 0 +17 *453:RESET_B *415:A 2.27175e-05 +18 *53:28 *415:A 0 +19 *63:16 *415:A 1.43698e-05 +20 *103:11 *415:A 7.92757e-06 +*RES +1 *414:X *415:A 47.7003 +*END + +*D_NET *110 0.000309394 +*CONN +*I *417:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *416:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *417:A 7.93479e-05 +2 *416:X 7.93479e-05 +3 *417:A *462:CLK 9.38968e-05 +4 *417:A *160:27 0 +5 *417:A *192:17 5.1584e-05 +6 *417:A *193:16 5.21758e-06 +*RES +1 *416:X *417:A 29.7455 +*END + +*D_NET *111 0.00700148 +*CONN +*I *419:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *418:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *419:A 0.000621012 +2 *418:X 0.000690834 +3 *111:14 0.00131185 +4 *419:A *429:A 0.000206718 +5 *419:A *152:38 1.23535e-05 +6 *419:A *160:27 0.000149738 +7 *419:A *162:16 0.000136768 +8 *111:14 *385:A 4.45278e-05 +9 *111:14 *390:B 1.4106e-05 +10 *111:14 *446:TE_B 0.000450467 +11 *111:14 *164:48 5.03545e-06 +12 *111:14 *164:50 2.83365e-05 +13 *111:14 *164:52 1.02917e-05 +14 *111:14 *164:277 0.00049385 +15 *111:14 *164:282 0.000247443 +16 *111:14 *179:8 9.76996e-05 +17 *111:14 *191:13 3.73692e-05 +18 *111:14 *193:38 1.09407e-05 +19 *226:DIODE *419:A 3.26503e-05 +20 *373:A *111:14 7.10483e-06 +21 *448:SET_B *419:A 6.0577e-05 +22 *453:CLK_N *419:A 5.39173e-05 +23 *453:CLK_N *111:14 2.66801e-05 +24 *496:A *419:A 0.000119385 +25 *2:33 *111:14 0.000704594 +26 *3:29 *111:14 0.000158905 +27 *6:21 *419:A 0.000800148 +28 *7:27 *419:A 2.58757e-05 +29 *13:18 *111:14 2.27901e-06 +30 *93:23 *111:14 0.000102939 +31 *94:33 *419:A 1.20144e-05 +32 *94:33 *111:14 9.31434e-05 +33 *94:46 *419:A 1.53148e-05 +34 *94:64 *419:A 8.72221e-06 +35 *96:16 *111:14 0.000207892 +*RES +1 *418:X *111:14 47.3459 +2 *111:14 *419:A 29.9425 +*END + +*D_NET *112 0.0116287 +*CONN +*I *421:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *420:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *421:A 0.00017279 +2 *420:X 0.000421533 +3 *112:20 0.00151706 +4 *112:16 0.0023124 +5 *112:13 0.00138965 +6 *421:A *429:A 6.44432e-05 +7 *112:13 *418:B_N 0.00012372 +8 *112:13 *420:B 0.000113309 +9 *112:13 *475:A 0.000114795 +10 *112:13 *162:23 3.03953e-05 +11 *112:13 *164:48 7.28994e-06 +12 *112:13 *190:16 6.08467e-05 +13 *112:16 *412:B_N 0.000269555 +14 *112:16 *422:A 0.00031748 +15 *112:16 *423:A 8.54931e-06 +16 *112:16 *159:17 0.000148291 +17 *112:16 *163:42 0.000243561 +18 *112:16 *164:332 2.76699e-05 +19 *112:16 *164:348 3.45298e-05 +20 *112:20 *436:A 0.000862393 +21 *112:20 *123:14 3.96696e-05 +22 *112:20 *123:33 8.51203e-05 +23 *112:20 *169:8 7.86847e-05 +24 *112:20 *169:11 5.44727e-05 +25 *112:20 *195:15 9.40106e-05 +26 serial_clock_out *112:20 7.62627e-06 +27 *405:A *112:16 3.77235e-05 +28 *450:CLK_N *112:16 0.000234608 +29 *451:SET_B *112:16 2.78219e-06 +30 *453:SET_B *112:16 9.80536e-05 +31 *492:A *112:20 0 +32 *498:A *112:20 0.000339454 +33 *499:A *112:20 8.70662e-06 +34 *6:21 *112:13 0.000754073 +35 *11:23 *112:13 5.0192e-05 +36 *36:10 *112:20 0.000137573 +37 *42:8 *112:20 0.000184969 +38 *42:11 *112:20 0 +39 *96:16 *112:16 0.000235243 +40 *97:23 *112:13 0.000615379 +41 *97:23 *112:16 9.29993e-06 +42 *102:12 *112:16 5.01835e-05 +43 *103:11 *112:13 0.000270602 +*RES +1 *420:X *112:13 28.5258 +2 *112:13 *112:16 29.7937 +3 *112:16 *112:20 47.5106 +4 *112:20 *421:A 17.2697 +*END + +*D_NET *113 0.00130557 +*CONN +*I *423:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *422:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *423:A 0.000282939 +2 *422:X 0.000282939 +3 *423:A *422:A 5.61153e-05 +4 *423:A *515:A 0.000220809 +5 *423:A *516:A 5.05229e-05 +6 *423:A *185:23 0.000220809 +7 user_gpio_in *423:A 0 +8 *415:A *423:A 1.06977e-05 +9 *449:RESET_B *423:A 6.27718e-05 +10 *450:CLK_N *423:A 0.000109421 +11 *112:16 *423:A 8.54931e-06 +*RES +1 *422:X *423:A 36.181 +*END + +*D_NET *114 0.00723686 +*CONN +*I *425:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *424:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *425:A 3.7929e-05 +2 *424:X 0.00120914 +3 *114:26 7.76553e-05 +4 *114:25 6.24727e-05 +5 *114:19 0.00123188 +6 *425:A *188:17 1.96619e-05 +7 *114:19 *424:A 1.99912e-05 +8 *114:19 *426:A 0.000247443 +9 *114:19 *468:D 7.91098e-05 +10 *114:19 *470:RESET_B 1.63322e-05 +11 *114:19 *510:A 0.000330722 +12 *114:19 *512:A 1.66771e-05 +13 *114:19 *115:12 0.000295977 +14 *114:19 *117:23 3.78809e-05 +15 *114:19 *152:92 7.86825e-06 +16 *114:19 *156:21 0.0018574 +17 *114:19 *163:42 0.000794471 +18 *114:19 *164:44 8.60706e-05 +19 *114:19 *168:18 1.29211e-05 +20 *114:19 *197:14 0.000272802 +21 *114:19 *198:17 0.00015901 +22 *114:25 *461:RESET_B 1.11499e-05 +23 serial_clock_out *114:19 0.000132415 +24 *442:A1 *114:19 0.000110458 +25 *447:SET_B *425:A 0.000109427 +*RES +1 *424:X *114:19 49.6315 +2 *114:19 *114:25 17.4965 +3 *114:25 *114:26 57.9449 +4 *114:26 *425:A 19.7763 +*END + +*D_NET *115 0.00862578 +*CONN +*I *427:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *426:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *427:A 0.000846146 +2 *426:X 0.0020336 +3 *115:12 0.00287974 +4 *427:A *355:A 4.86974e-06 +5 *427:A *434:A 4.71853e-05 +6 *427:A *438:A 6.11447e-06 +7 *427:A *152:48 0 +8 *427:A *163:42 0.000318389 +9 *427:A *166:11 0.000122858 +10 *427:A *168:18 0.000200261 +11 *427:A *185:23 1.68773e-05 +12 *115:12 *445:A0 2.41274e-06 +13 *115:12 *469:D 8.06767e-05 +14 *115:12 *469:CLK 2.46824e-05 +15 *115:12 *470:D 1.59634e-05 +16 *115:12 *511:A 6.08467e-05 +17 *115:12 *188:17 5.27582e-05 +18 *115:12 *198:17 0.000157107 +19 *115:12 *206:24 0.000454171 +20 serial_clock_out *427:A 0.000215491 +21 *447:SET_B *115:12 3.15254e-05 +22 *449:SET_B *115:12 4.59816e-06 +23 *497:A *427:A 0.000330557 +24 *26:20 *427:A 0.000360909 +25 *38:14 *427:A 6.02259e-05 +26 *63:16 *115:12 1.83827e-06 +27 *114:19 *115:12 0.000295977 +*RES +1 *426:X *115:12 49.4575 +2 *115:12 *427:A 30.1351 +*END + +*D_NET *116 0.00713355 +*CONN +*I *429:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *428:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *429:A 0.000462539 +2 *428:X 0.00187592 +3 *116:16 0.00233846 +4 *429:A *160:27 1.264e-05 +5 *429:A *192:17 8.45425e-05 +6 *116:16 *159:17 0.000364516 +7 *116:16 *160:27 0 +8 *116:16 *162:16 5.91586e-05 +9 *116:16 *192:17 4.46403e-05 +10 *116:16 *193:16 5.51831e-06 +11 *211:DIODE *116:16 0.000114271 +12 *379:A *116:16 0.000133659 +13 *386:A *116:16 0.000109427 +14 *387:A *116:16 7.31956e-05 +15 *419:A *429:A 0.000206718 +16 *421:A *429:A 6.44432e-05 +17 *448:CLK_N *116:16 3.73237e-05 +18 *453:SET_B *116:16 1.37189e-05 +19 *453:CLK_N *116:16 0.000264091 +20 *483:A *116:16 6.05729e-05 +21 *496:A *429:A 6.02829e-05 +22 *7:27 *429:A 7.92757e-06 +23 *34:20 *116:16 0.000739989 +*RES +1 *428:X *116:16 49.6825 +2 *116:16 *429:A 25.119 +*END + +*D_NET *117 0.00920631 +*CONN +*I *431:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *430:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *431:A 0 +2 *430:X 0.00184256 +3 *117:23 0.00184256 +4 *117:23 *430:B 5.03545e-06 +5 *117:23 *438:A 0 +6 *117:23 *446:A 0.000174246 +7 *117:23 *461:RESET_B 7.70869e-05 +8 *117:23 *461:CLK 6.98337e-06 +9 *117:23 *510:A 0.00010203 +10 *117:23 *152:59 4.16935e-06 +11 *117:23 *156:21 0.000205746 +12 *117:23 *163:42 8.21214e-05 +13 *117:23 *193:16 2.15007e-05 +14 *117:23 *197:14 0.000560957 +15 *411:A *117:23 0.000133447 +16 *445:A1 *117:23 0 +17 *1:30 *117:23 0.00301634 +18 *48:24 *117:23 4.17531e-06 +19 *53:28 *117:23 0.00097146 +20 *54:17 *117:23 0.000118 +21 *114:19 *117:23 3.78809e-05 +*RES +1 *430:X *117:23 48.4241 +2 *117:23 *431:A 9.24915 +*END + +*D_NET *118 0.00237802 +*CONN +*I *433:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *432:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *433:A 0.000399664 +2 *432:X 0.000399664 +3 *433:A *354:A 3.28433e-06 +4 *433:A *382:A 0.00034144 +5 *433:A *164:192 0.000400699 +6 *443:S *433:A 0.000783171 +7 *17:14 *433:A 3.98512e-05 +8 *17:26 *433:A 1.02448e-05 +*RES +1 *432:X *433:A 44.1723 +*END + +*D_NET *119 0.0104768 +*CONN +*I *436:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *435:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *436:A 0.000698103 +2 *435:X 0.000566727 +3 *119:20 0.00179194 +4 *119:16 0.00166056 +5 *436:A *123:9 0.000656822 +6 *436:A *123:14 0.000973943 +7 *436:A *164:355 4.19797e-05 +8 *119:16 *474:A 0 +9 *119:16 *129:23 1.66771e-05 +10 *119:16 *175:8 6.27718e-05 +11 *119:16 *180:11 9.10232e-06 +12 *119:20 *424:B_N 0.000126953 +13 *119:20 *426:A 2.60879e-06 +14 *119:20 *430:A 1.49776e-05 +15 *119:20 *445:A0 0 +16 *119:20 *460:RESET_B 4.13501e-05 +17 *119:20 *475:A 0 +18 *119:20 *506:A 0.00029481 +19 *119:20 *154:8 0.000108135 +20 *119:20 *180:11 0.000109865 +21 *119:20 *198:17 0.000883091 +22 *215:DIODE *119:16 6.46815e-05 +23 *447:CLK_N *119:20 0 +24 *455:RESET_B *119:16 7.78924e-05 +25 *455:SET_B *119:16 0.000156611 +26 *456:CLK_N *119:16 6.96846e-05 +27 *2:13 *119:16 0.000400393 +28 *2:26 *119:16 1.00091e-05 +29 *17:26 *119:16 0.000209836 +30 *26:20 *119:20 0 +31 *36:10 *436:A 0.00021764 +32 *42:8 *436:A 0.000339028 +33 *93:23 *119:16 8.25226e-06 +34 *112:20 *436:A 0.000862393 +*RES +1 *435:X *119:16 29.8139 +2 *119:16 *119:20 35.192 +3 *119:20 *436:A 47.838 +*END + +*D_NET *120 0.00109784 +*CONN +*I *438:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *437:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *438:A 0.000222125 +2 *437:X 0.000222125 +3 *438:A *355:A 1.88014e-05 +4 *438:A *163:42 0.000195794 +5 *438:A *166:11 0.000267404 +6 *438:A *188:17 0.000165476 +7 *427:A *438:A 6.11447e-06 +8 *117:23 *438:A 0 +*RES +1 *437:X *438:A 34.903 +*END + +*D_NET *121 0.000349217 +*CONN +*I *352:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *351:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *352:A 0.000103532 +2 *351:X 0.000103532 +3 *352:A *164:197 0.000116355 +4 *459:RESET_B *352:A 2.57986e-05 +*RES +1 *351:X *352:A 30.4689 +*END + +*D_NET *122 0.00067608 +*CONN +*I *354:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *353:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *354:A 0.000319336 +2 *353:X 0.000319336 +3 *354:A *164:197 0 +4 *433:A *354:A 3.28433e-06 +5 *457:RESET_B *354:A 3.41241e-05 +*RES +1 *353:X *354:A 33.791 +*END + +*D_NET *123 0.0170154 +*CONN +*I *422:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *437:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *416:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *385:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *356:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *355:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *422:A 0.000231428 +2 *437:A 2.79567e-05 +3 *416:A 0 +4 *385:A 8.20752e-05 +5 *356:A 0.000638858 +6 *355:Y 0.000208377 +7 *123:33 0.00215188 +8 *123:20 0.001706 +9 *123:14 0.000815846 +10 *123:9 0.00095264 +11 *356:A *362:A 0.000217028 +12 *356:A *400:A 1.02611e-05 +13 *356:A *402:B 9.12416e-06 +14 *356:A *132:21 0.000217028 +15 *356:A *193:38 0.000645021 +16 *385:A *191:13 0.00016124 +17 *385:A *193:38 4.65119e-05 +18 *437:A *188:17 1.43698e-05 +19 *123:9 *165:11 1.15904e-05 +20 *123:14 *355:A 4.29623e-05 +21 *123:14 *461:RESET_B 3.64497e-06 +22 *123:14 *152:59 5.08126e-05 +23 *123:14 *164:355 2.16741e-05 +24 *123:14 *168:18 2.57465e-06 +25 *123:20 *152:48 0.000159903 +26 *123:20 *152:59 0.000141592 +27 *123:20 *193:16 0.000227973 +28 *123:33 *462:CLK 3.77568e-05 +29 *123:33 *137:18 0.000633386 +30 *123:33 *152:17 0.000348938 +31 *123:33 *152:48 2.77564e-05 +32 *123:33 *158:14 1.5714e-05 +33 *123:33 *160:27 0.000445054 +34 *123:33 *161:22 0.000286882 +35 *123:33 *189:18 0.000139975 +36 *123:33 *193:16 5.84166e-05 +37 *123:33 *195:15 0.000569786 +38 serial_data_out *422:A 0 +39 user_gpio_in *123:9 4.96461e-05 +40 *392:A *356:A 0.000178411 +41 *423:A *422:A 5.61153e-05 +42 *436:A *123:9 0.000656822 +43 *436:A *123:14 0.000973943 +44 *447:SET_B *437:A 1.41976e-05 +45 *448:RESET_B *123:33 0.000331845 +46 *498:A *123:33 4.38803e-05 +47 *3:29 *385:A 6.08494e-05 +48 *26:20 *123:14 0.000208369 +49 *26:20 *123:20 7.38654e-05 +50 *36:10 *123:14 0.000178709 +51 *42:8 *123:9 0.000642625 +52 *42:8 *123:14 0.0010053 +53 *53:28 *123:33 6.11982e-06 +54 *54:17 *123:33 7.94213e-05 +55 *71:18 *123:33 0.0005399 +56 *89:38 *356:A 0 +57 *93:23 *123:33 6.65821e-05 +58 *111:14 *385:A 4.45278e-05 +59 *112:16 *422:A 0.00031748 +60 *112:20 *123:14 3.96696e-05 +61 *112:20 *123:33 8.51203e-05 +*RES +1 *355:Y *123:9 28.2903 +2 *123:9 *123:14 25.8979 +3 *123:14 *123:20 7.71881 +4 *123:20 *123:33 31.9146 +5 *123:33 *356:A 32.2213 +6 *123:33 *385:A 16.7909 +7 *123:20 *416:A 13.7491 +8 *123:14 *437:A 14.4725 +9 *123:9 *422:A 24.6489 +*END + +*D_NET *124 0.000555009 +*CONN +*I *358:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *357:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *358:A 0.000183032 +2 *357:X 0.000183032 +3 *358:A *359:B 5.38019e-05 +4 *457:RESET_B *358:A 0.000110458 +5 *458:SET_B *358:A 2.46862e-05 +*RES +1 *357:X *358:A 31.4388 +*END + +*D_NET *125 0.00139618 +*CONN +*I *360:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *359:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *360:A 0.00040592 +2 *359:X 0.00040592 +3 *360:A *353:B 6.77815e-05 +4 *360:A *357:A 2.39426e-05 +5 *360:A *357:B_N 5.5818e-05 +6 *360:A *359:B 1.77894e-05 +7 *360:A *364:A 0.000212754 +8 *360:A *164:204 9.4449e-05 +9 *457:SET_B *360:A 0.000111802 +*RES +1 *359:X *360:A 38.5093 +*END + +*D_NET *126 0.00218827 +*CONN +*I *362:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *361:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *362:A 0.000302687 +2 *361:X 0.000302687 +3 *362:A *471:CLK 3.77232e-05 +4 *362:A *472:CLK 0.000163161 +5 *362:A *132:21 0.000132663 +6 *362:A *151:9 0.000112367 +7 *362:A *153:29 0.000187373 +8 *356:A *362:A 0.000217028 +9 *455:CLK_N *362:A 0.000732578 +*RES +1 *361:X *362:A 41.5795 +*END + +*D_NET *127 0.00206224 +*CONN +*I *364:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *363:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *364:A 0.000532703 +2 *363:X 0.000532703 +3 *364:A *458:D 0.000306226 +4 *364:A *467:RESET_B 9.11291e-05 +5 *364:A *164:204 4.89392e-05 +6 *364:A *206:10 0.000100695 +7 *360:A *364:A 0.000212754 +8 *367:A *364:A 1.96344e-05 +9 *457:SET_B *364:A 0.00020309 +10 *89:9 *364:A 1.43698e-05 +*RES +1 *363:X *364:A 43.4121 +*END + +*D_NET *128 0.00409925 +*CONN +*I *366:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *365:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *366:A 0.000190432 +2 *365:X 0.00112362 +3 *128:15 0.00131406 +4 *128:15 *353:B 0.000109421 +5 *128:15 *157:31 3.193e-05 +6 *128:15 *158:31 0.000161956 +7 *128:15 *171:13 0.000225116 +8 *216:DIODE *128:15 6.27782e-05 +9 *452:RESET_B *128:15 1.95129e-05 +10 *457:RESET_B *366:A 3.90198e-05 +11 *457:RESET_B *128:15 0.000155063 +12 *458:RESET_B *128:15 0.000510052 +13 *17:14 *128:15 0.000146858 +14 *47:7 *128:15 9.43222e-06 +*RES +1 *365:X *128:15 48.9301 +2 *128:15 *366:A 18.0727 +*END + +*D_NET *129 0.00310665 +*CONN +*I *368:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *367:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *368:A 0 +2 *367:X 0.000728766 +3 *129:23 0.000728766 +4 *129:23 *376:A 0.000155384 +5 *129:23 *378:A 0.000196969 +6 *129:23 *383:A 2.71226e-06 +7 *129:23 *502:A 1.29211e-05 +8 *129:23 *504:A 5.49916e-05 +9 *129:23 *164:258 0.000110364 +10 *129:23 *175:8 8.74753e-05 +11 *129:23 *180:11 1.88719e-05 +12 *129:23 *189:18 0.000348507 +13 *367:A *129:23 1.65872e-05 +14 *454:RESET_B *129:23 0 +15 *455:RESET_B *129:23 0.000470271 +16 *459:SET_B *129:23 0.000112361 +17 *3:20 *129:23 3.78939e-05 +18 *93:23 *129:23 7.12632e-06 +19 *119:16 *129:23 1.66771e-05 +*RES +1 *367:X *129:23 42.3514 +2 *129:23 *368:A 9.24915 +*END + +*D_NET *130 0.00117874 +*CONN +*I *370:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *369:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *370:A 0.000314984 +2 *369:X 0.000314984 +3 *370:A *369:B_N 0.000188582 +4 *370:A *172:13 0.000319029 +5 *370:A *176:19 0 +6 *455:RESET_B *370:A 4.11567e-05 +7 *456:RESET_B *370:A 0 +*RES +1 *369:X *370:A 35.87 +*END + +*D_NET *131 0.000330764 +*CONN +*I *372:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *371:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *372:A 6.97782e-05 +2 *371:X 6.97782e-05 +3 *372:A *176:19 0.000108268 +4 *443:S *372:A 1.32549e-05 +5 *456:RESET_B *372:A 6.96846e-05 +*RES +1 *371:X *372:A 29.7455 +*END + +*D_NET *132 0.00414719 +*CONN +*I *374:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *373:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *374:A 0 +2 *373:X 0.00117476 +3 *132:21 0.00117476 +4 *132:21 *400:A 6.00234e-05 +5 *132:21 *472:D 0.000158121 +6 *132:21 *137:18 0.000123764 +7 *132:21 *151:5 0.000105578 +8 *132:21 *151:9 7.20028e-05 +9 *132:21 *164:65 6.08467e-05 +10 *132:21 *164:72 2.75449e-05 +11 *132:21 *199:8 0.000104124 +12 *132:21 *206:24 0.000166235 +13 *356:A *132:21 0.000217028 +14 *362:A *132:21 0.000132663 +15 *373:A *132:21 6.08467e-05 +16 *392:A *132:21 3.13805e-06 +17 *455:CLK_N *132:21 3.81675e-05 +18 *457:CLK_N *132:21 0.000467583 +19 *89:41 *132:21 0 +*RES +1 *373:X *132:21 48.1409 +2 *132:21 *374:A 9.24915 +*END + +*D_NET *133 0.00268008 +*CONN +*I *376:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *375:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *376:A 0.000819635 +2 *375:X 0.000819635 +3 *376:A *378:A 7.61174e-05 +4 *376:A *456:D 4.89251e-05 +5 *376:A *474:A 1.21461e-06 +6 *376:A *502:A 0.000635473 +7 *376:A *504:A 1.99786e-05 +8 *456:CLK_N *376:A 7.16754e-05 +9 *76:14 *376:A 3.20407e-05 +10 *129:23 *376:A 0.000155384 +*RES +1 *375:X *376:A 46.5356 +*END + +*D_NET *134 0.0018588 +*CONN +*I *378:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *377:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *378:A 0.000673485 +2 *377:X 0.000673485 +3 *378:A *502:A 5.61483e-05 +4 *378:A *164:192 1.43698e-05 +5 *376:A *378:A 7.61174e-05 +6 *443:S *378:A 0.0001195 +7 *456:RESET_B *378:A 4.87301e-05 +8 *129:23 *378:A 0.000196969 +*RES +1 *377:X *378:A 49.786 +*END + +*D_NET *135 0.0010665 +*CONN +*I *380:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *379:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *380:A 0.000277035 +2 *379:X 0.000277035 +3 *380:A *453:D 0 +4 *380:A *193:38 0.000134071 +5 *453:CLK_N *380:A 6.27718e-05 +6 *454:CLK_N *380:A 0.000179264 +7 *102:12 *380:A 0.000136327 +*RES +1 *379:X *380:A 36.6231 +*END + +*D_NET *136 0.00160624 +*CONN +*I *382:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *381:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *382:A 0.000306193 +2 *381:X 0.000306193 +3 *382:A *432:B_N 2.99287e-05 +4 *382:A *505:A 0.000117376 +5 *382:A *164:192 2.16355e-05 +6 *382:A *176:19 0.000114584 +7 *433:A *382:A 0.00034144 +8 *443:S *382:A 7.28994e-06 +9 *3:20 *382:A 6.01985e-05 +10 *17:26 *382:A 1.44054e-05 +11 *76:14 *382:A 0.000286993 +*RES +1 *381:X *382:A 38.8118 +*END + +*D_NET *137 0.0125969 +*CONN +*I *446:TE_B I *D sky130_fd_sc_hd__ebufn_1 +*I *429:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *446:TE_B 0.000902446 +2 *429:X 0.00149415 +3 *137:18 0.0023966 +4 *446:TE_B *504:A 2.68379e-06 +5 *446:TE_B *505:A 0.000563833 +6 *446:TE_B *508:A 6.09467e-05 +7 *446:TE_B *150:7 9.46346e-05 +8 *446:TE_B *180:14 6.32034e-05 +9 *137:18 *390:B 2.1359e-05 +10 *137:18 *471:D 1.52896e-05 +11 *137:18 *158:14 8.46061e-05 +12 *137:18 *167:20 0.0016699 +13 *137:18 *189:18 0.00201855 +14 *137:18 *206:24 1.03079e-05 +15 pad_gpio_ib_mode_sel *446:TE_B 0.000356681 +16 *373:A *446:TE_B 4.87439e-05 +17 *455:SET_B *446:TE_B 4.43579e-05 +18 *473:A *446:TE_B 0.000348568 +19 *498:A *137:18 0.000193492 +20 *8:8 *137:18 0.000593935 +21 *16:15 *137:18 5.38901e-05 +22 *23:9 *446:TE_B 7.52954e-05 +23 *76:14 *446:TE_B 0.000212332 +24 *89:41 *137:18 6.34564e-05 +25 *111:14 *446:TE_B 0.000450467 +26 *123:33 *137:18 0.000633386 +27 *132:21 *137:18 0.000123764 +*RES +1 *429:X *137:18 49.2721 +2 *137:18 *446:TE_B 48.1831 +*END + +*D_NET *150 0.00500387 +*CONN +*I *475:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *474:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *473:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *475:A 0.000632895 +2 *474:A 0.00086757 +3 *473:X 0.000140642 +4 *150:7 0.00164111 +5 *474:A *375:A 2.49496e-05 +6 *474:A *390:B 5.35941e-05 +7 *474:A *477:TE 8.9907e-05 +8 *474:A *151:5 0.000110458 +9 *474:A *164:65 0.000110458 +10 *474:A *179:8 0 +11 *475:A *420:B 6.27782e-05 +12 *475:A *477:TE 0.000442318 +13 *475:A *152:81 6.14769e-05 +14 *475:A *190:16 1.56049e-05 +15 *475:A *198:17 0 +16 pad_gpio_ib_mode_sel *475:A 0.000112361 +17 *376:A *474:A 1.21461e-06 +18 *415:A *475:A 0.000114594 +19 *446:TE_B *150:7 9.46346e-05 +20 *456:CLK_N *474:A 0 +21 *477:A *475:A 0.000160047 +22 *53:28 *475:A 5.46384e-06 +23 *93:23 *474:A 2.08648e-05 +24 *97:23 *474:A 3.04631e-05 +25 *103:11 *475:A 9.56715e-05 +26 *112:13 *475:A 0.000114795 +27 *119:16 *474:A 0 +28 *119:20 *475:A 0 +*RES +1 *473:X *150:7 16.1364 +2 *150:7 *474:A 34.0294 +3 *150:7 *475:A 32.1517 +*END + +*D_NET *151 0.00933784 +*CONN +*I *472:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *471:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *467:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *466:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *464:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *465:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *474:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *472:CLK 0.000215967 +2 *471:CLK 0.0003165 +3 *467:CLK 0.000109845 +4 *466:CLK 0 +5 *464:CLK 0.000265689 +6 *465:CLK 0 +7 *474:X 0.000184145 +8 *151:20 0.000525112 +9 *151:15 0.000467816 +10 *151:13 0.000699038 +11 *151:9 0.00072877 +12 *151:5 0.000431582 +13 *467:CLK *205:9 0.000117376 +14 *471:CLK *464:RESET_B 0.00012445 +15 *471:CLK *153:29 0.000282913 +16 *471:CLK *157:28 0.000230939 +17 *472:CLK *180:11 5.28741e-05 +18 *151:5 *375:A 2.41274e-06 +19 *151:5 *164:65 0.000139808 +20 *151:13 *157:28 0.000403345 +21 *151:13 *164:72 0 +22 *151:13 *204:8 0.000122858 +23 *151:15 *466:D 7.16754e-05 +24 *362:A *471:CLK 3.77232e-05 +25 *362:A *472:CLK 0.000163161 +26 *362:A *151:9 0.000112367 +27 *451:CLK_N *464:CLK 0.000158138 +28 *452:RESET_B *467:CLK 3.00829e-05 +29 *452:RESET_B *151:13 0.000485133 +30 *452:RESET_B *151:15 0.000339588 +31 *452:RESET_B *151:20 6.55453e-05 +32 *455:CLK_N *472:CLK 0.000135921 +33 *455:CLK_N *151:5 2.57986e-05 +34 *455:CLK_N *151:9 1.88422e-05 +35 *474:A *151:5 0.000110458 +36 *2:26 *471:CLK 1.34153e-05 +37 *9:11 *464:CLK 0.000699986 +38 *9:11 *151:20 0.000364508 +39 *10:14 *464:CLK 1.68911e-05 +40 *10:14 *151:20 6.95527e-05 +41 *12:20 *464:CLK 0.000490501 +42 *12:20 *151:20 0.000226126 +43 *89:38 *471:CLK 6.91404e-05 +44 *103:11 *151:5 3.42678e-05 +45 *132:21 *151:5 0.000105578 +46 *132:21 *151:9 7.20028e-05 +*RES +1 *474:X *151:5 15.5186 +2 *151:5 *151:9 6.3326 +3 *151:9 *151:13 17.7073 +4 *151:13 *151:15 8.48785 +5 *151:15 *151:20 14.2568 +6 *151:20 *465:CLK 13.7491 +7 *151:20 *464:CLK 26.7929 +8 *151:15 *466:CLK 9.24915 +9 *151:13 *467:CLK 12.7456 +10 *151:9 *471:CLK 23.8862 +11 *151:5 *472:CLK 24.684 +*END + +*D_NET *152 0.0160903 +*CONN +*I *460:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *440:A I *D sky130_fd_sc_hd__buf_2 +*I *470:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *469:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *468:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *461:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *462:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *463:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *475:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *460:CLK 5.12394e-05 +2 *440:A 0.000735401 +3 *470:CLK 4.95658e-05 +4 *469:CLK 0.000397314 +5 *468:CLK 0.000194992 +6 *461:CLK 7.76464e-05 +7 *462:CLK 0.000110035 +8 *463:CLK 9.16072e-05 +9 *475:X 0 +10 *152:92 0.000996964 +11 *152:81 0.000685129 +12 *152:59 0.000792579 +13 *152:48 0.000414642 +14 *152:38 0.000878054 +15 *152:17 0.00190663 +16 *152:4 0.00160897 +17 *440:A *165:11 6.77815e-05 +18 *460:CLK *511:A 1.33278e-05 +19 *460:CLK *512:A 1.41811e-05 +20 *460:CLK *165:11 2.77564e-05 +21 *461:CLK *461:D 5.04829e-06 +22 *461:CLK *193:16 1.1957e-05 +23 *462:CLK *193:16 2.96915e-05 +24 *468:CLK *439:A 2.72515e-05 +25 *468:CLK *468:D 2.16355e-05 +26 *469:CLK *461:RESET_B 1.16182e-05 +27 *469:CLK *468:D 0.000140854 +28 *469:CLK *469:D 0 +29 *469:CLK *164:355 0.000129786 +30 *469:CLK *186:7 1.4091e-06 +31 *469:CLK *188:17 7.09666e-06 +32 *470:CLK *190:16 9.19563e-05 +33 *152:17 *414:A 6.27782e-05 +34 *152:17 *463:D 0.000212084 +35 *152:17 *161:22 0.000994529 +36 *152:17 *162:16 1.5714e-05 +37 *152:17 *162:23 3.10304e-06 +38 *152:38 *159:17 0 +39 *152:38 *193:16 0.000389934 +40 *152:48 *434:A 0 +41 *152:59 *355:A 0.000122841 +42 *152:59 *193:16 6.40758e-05 +43 *152:81 *420:B 6.27782e-05 +44 *152:81 *164:44 1.86936e-05 +45 *152:81 *164:46 0.000233837 +46 *152:81 *190:16 0.000287514 +47 *152:81 *206:24 8.44495e-06 +48 *152:92 *512:A 2.59934e-05 +49 *152:92 *164:44 7.36257e-05 +50 *379:A *152:17 0.000190174 +51 *415:A *152:17 0.000159756 +52 *415:A *152:81 4.81452e-05 +53 *417:A *462:CLK 9.38968e-05 +54 *419:A *152:38 1.23535e-05 +55 *427:A *152:48 0 +56 *448:SET_B *152:17 4.49063e-05 +57 *451:CLK_N *463:CLK 0.000143427 +58 *451:CLK_N *152:38 0.00012977 +59 *475:A *152:81 6.14769e-05 +60 *495:A *463:CLK 8.42535e-06 +61 *495:A *152:38 1.84334e-05 +62 *5:8 *463:CLK 1.8234e-05 +63 *9:11 *463:CLK 5.71849e-05 +64 *9:17 *152:38 3.88348e-05 +65 *13:18 *152:17 7.34778e-05 +66 *13:18 *152:38 0.000186432 +67 *26:23 *152:38 5.481e-05 +68 *29:11 *440:A 0.000117376 +69 *39:15 *440:A 0.000754994 +70 *39:21 *440:A 1.37189e-05 +71 *48:24 *152:48 8.28174e-05 +72 *63:16 *470:CLK 5.0587e-05 +73 *63:16 *152:92 0.000173323 +74 *93:23 *152:17 0.000131168 +75 *93:23 *152:38 1.15845e-05 +76 *94:64 *152:38 1.60434e-05 +77 *96:16 *152:17 0.000120123 +78 *97:23 *152:17 0.000300277 +79 *114:19 *152:92 7.86825e-06 +80 *115:12 *469:CLK 2.46824e-05 +81 *117:23 *461:CLK 6.98337e-06 +82 *117:23 *152:59 4.16935e-06 +83 *123:14 *152:59 5.08126e-05 +84 *123:20 *152:48 0.000159903 +85 *123:20 *152:59 0.000141592 +86 *123:33 *462:CLK 3.77568e-05 +87 *123:33 *152:17 0.000348938 +88 *123:33 *152:48 2.77564e-05 +*RES +1 *475:X *152:4 9.24915 +2 *152:4 *152:17 28.8844 +3 *152:17 *463:CLK 16.6193 +4 *152:17 *152:38 25.8916 +5 *152:38 *462:CLK 16.985 +6 *152:38 *152:48 3.90826 +7 *152:48 *461:CLK 15.5817 +8 *152:48 *152:59 10.4845 +9 *152:59 *468:CLK 12.625 +10 *152:59 *469:CLK 26.0691 +11 *152:4 *152:81 14.4645 +12 *152:81 *470:CLK 15.7888 +13 *152:81 *152:92 5.82574 +14 *152:92 *440:A 29.5192 +15 *152:92 *460:CLK 15.1659 +*END + +*D_NET *153 0.00864712 +*CONN +*I *477:TE I *D sky130_fd_sc_hd__einvp_2 +*I *478:gpio_logic1 O *D gpio_logic_high +*CAP +1 *477:TE 0.000299307 +2 *478:gpio_logic1 0.00245753 +3 *153:29 0.00275684 +4 *477:TE *179:8 4.69116e-05 +5 *477:TE *190:16 6.08467e-05 +6 *153:29 *390:B 0.000359557 +7 *153:29 *451:D 2.84758e-05 +8 *153:29 *464:RESET_B 8.54149e-06 +9 *153:29 *157:28 3.26595e-05 +10 *153:29 *158:14 2.02035e-05 +11 *153:29 *164:282 2.9617e-06 +12 *362:A *153:29 0.000187373 +13 *451:RESET_B *153:29 5.22585e-05 +14 *451:CLK_N *153:29 1.58551e-05 +15 *454:RESET_B *153:29 4.73665e-05 +16 *455:CLK_N *153:29 1.27831e-06 +17 *471:CLK *153:29 0.000282913 +18 *474:A *477:TE 8.9907e-05 +19 *475:A *477:TE 0.000442318 +20 *477:A *477:TE 6.08467e-05 +21 *3:20 *153:29 5.91586e-05 +22 *4:8 *153:29 1.66626e-05 +23 *7:15 *153:29 5.04829e-06 +24 *12:20 *153:29 0.000247443 +25 *13:18 *153:29 0.000389292 +26 *15:15 *153:29 5.31024e-05 +27 *34:20 *477:TE 2.23419e-05 +28 *89:41 *153:29 0.000287367 +29 *93:23 *153:29 5.03226e-05 +30 *97:23 *477:TE 0.000262422 +*RES +1 *478:gpio_logic1 *153:29 47.8956 +2 *153:29 *477:TE 24.8172 +*END + +*D_NET *154 0.00294766 +*CONN +*I *430:A I *D sky130_fd_sc_hd__and2_1 +*I *428:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *453:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *430:A 0.00019137 +2 *428:B_N 0 +3 *453:Q 0.000810994 +4 *154:8 0.00100236 +5 *430:A *504:A 2.77419e-05 +6 *430:A *505:A 0.000206718 +7 *430:A *506:A 0.00011815 +8 *430:A *508:A 4.2748e-05 +9 *430:A *162:23 1.88563e-05 +10 *430:A *180:14 1.53182e-05 +11 *154:8 *471:D 3.27621e-05 +12 *154:8 *504:A 3.17021e-05 +13 *154:8 *180:11 8.36586e-06 +14 *154:8 *198:17 5.52748e-05 +15 *453:RESET_B *154:8 5.04829e-06 +16 *454:CLK_N *154:8 9.81043e-05 +17 *23:9 *430:A 0.000159032 +18 *119:20 *430:A 1.49776e-05 +19 *119:20 *154:8 0.000108135 +*RES +1 *453:Q *154:8 26.7027 +2 *154:8 *428:B_N 13.7491 +3 *154:8 *430:A 20.3622 +*END + +*D_NET *155 0.000941398 +*CONN +*I *445:S I *D sky130_fd_sc_hd__mux2_1 +*I *442:S I *D sky130_fd_sc_hd__mux2_1 +*I *447:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *445:S 5.9724e-05 +2 *442:S 0.000101076 +3 *447:Q 0 +4 *155:5 0.0001608 +5 *445:S *508:A 2.5775e-05 +6 pad_gpio_out *442:S 0.000141689 +7 pad_gpio_out *445:S 0.000145508 +8 *445:A1 *442:S 0.000241167 +9 *445:A1 *445:S 6.56589e-05 +*RES +1 *447:Q *155:5 13.7491 +2 *155:5 *442:S 18.0727 +3 *155:5 *445:S 16.4116 +*END + +*D_NET *156 0.00990348 +*CONN +*I *426:A I *D sky130_fd_sc_hd__or2_1 +*I *424:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *479:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *426:A 0.000130676 +2 *424:B_N 0.000250067 +3 *479:X 0.00200201 +4 *156:21 0.00238275 +5 *424:B_N *446:A 0.000157107 +6 *424:B_N *506:A 1.03594e-05 +7 *424:B_N *508:A 0.000175369 +8 *424:B_N *168:18 1.60913e-05 +9 *426:A *470:RESET_B 0.00015901 +10 *156:21 *434:A 0.000186835 +11 *156:21 *461:D 0.000461573 +12 *156:21 *461:RESET_B 6.6175e-05 +13 *156:21 *163:7 0.000216467 +14 *156:21 *163:42 1.78584e-05 +15 *156:21 *198:17 0.000194945 +16 serial_clock_out *156:21 4.77351e-05 +17 *217:DIODE *156:21 0.000168313 +18 *222:DIODE *156:21 2.22974e-05 +19 *411:A *156:21 0 +20 *442:A1 *424:B_N 0.000160942 +21 *449:SET_B *156:21 0.000159016 +22 *1:30 *156:21 0.000133822 +23 *26:20 *426:A 1.85244e-05 +24 *26:20 *156:21 8.65114e-05 +25 *26:23 *156:21 0.000171433 +26 *108:21 *156:21 6.74426e-05 +27 *114:19 *426:A 0.000247443 +28 *114:19 *156:21 0.0018574 +29 *117:23 *156:21 0.000205746 +30 *119:20 *424:B_N 0.000126953 +31 *119:20 *426:A 2.60879e-06 +*RES +1 *479:X *156:21 43.3584 +2 *156:21 *424:B_N 22.1891 +3 *156:21 *426:A 17.8024 +*END + +*D_NET *157 0.0104229 +*CONN +*I *357:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *359:B I *D sky130_fd_sc_hd__or2_1 +*I *480:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *357:B_N 6.266e-05 +2 *359:B 0.000320758 +3 *480:X 3.64812e-05 +4 *157:31 0.00137947 +5 *157:28 0.00237625 +6 *157:16 0.00144228 +7 *157:15 0.000256072 +8 *157:10 0.000355469 +9 *157:9 0.000197953 +10 *357:B_N *357:A 7.09666e-06 +11 *359:B *353:B 0.000125027 +12 *359:B *357:A 1.5714e-05 +13 *157:9 *194:13 7.08444e-05 +14 *157:9 *195:15 7.08444e-05 +15 *157:10 *178:10 0 +16 *157:15 *158:14 0.00059136 +17 *157:15 *178:15 2.98155e-05 +18 *157:15 *194:13 0.000209863 +19 *157:15 *195:15 8.24433e-06 +20 *157:16 *178:16 4.29906e-05 +21 *157:28 *451:D 4.47179e-05 +22 *157:28 *466:D 0 +23 *157:28 *178:15 8.9917e-06 +24 *157:28 *204:8 0.000122858 +25 *157:31 *466:D 1.43832e-05 +26 *358:A *359:B 5.38019e-05 +27 *360:A *357:B_N 5.5818e-05 +28 *360:A *359:B 1.77894e-05 +29 *451:RESET_B *157:28 6.13051e-05 +30 *451:CLK_N *157:28 3.82228e-05 +31 *457:RESET_B *157:31 0.000506931 +32 *457:CLK_N *157:28 4.59423e-05 +33 *471:CLK *157:28 0.000230939 +34 *480:A *157:15 0 +35 *7:18 *157:16 3.96548e-05 +36 *7:27 *157:15 8.90033e-06 +37 *10:14 *359:B 0 +38 *12:20 *359:B 0.000515234 +39 *12:20 *157:28 1.59634e-05 +40 *89:9 *157:28 0.000334235 +41 *89:38 *157:28 0.000240063 +42 *128:15 *157:31 3.193e-05 +43 *151:13 *157:28 0.000403345 +44 *153:29 *157:28 3.26595e-05 +*RES +1 *480:X *157:9 28.915 +2 *157:9 *157:10 266.547 +3 *157:10 *157:15 26.4315 +4 *157:15 *157:16 127.479 +5 *157:16 *157:28 48.1675 +6 *157:28 *157:31 19.6431 +7 *157:31 *359:B 24.0585 +8 *157:31 *357:B_N 15.1659 +*END + +*D_NET *158 0.0113664 +*CONN +*I *353:B I *D sky130_fd_sc_hd__or2_1 +*I *351:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *481:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *353:B 0.000285239 +2 *351:B_N 0 +3 *481:X 0.000627312 +4 *158:31 0.000307811 +5 *158:28 0.00207535 +6 *158:14 0.00268009 +7 *353:B *353:A 0.000114594 +8 *353:B *164:197 1.95333e-05 +9 *353:B *164:201 3.82572e-05 +10 *353:B *164:202 0.000112361 +11 *353:B *164:204 6.46815e-05 +12 *353:B *171:13 7.20108e-05 +13 *158:14 *178:15 0.000245459 +14 *158:14 *194:13 1.67286e-05 +15 *158:14 *194:23 0.000662814 +16 *158:14 *195:15 0.000241405 +17 *158:28 *465:D 3.00829e-05 +18 *158:28 *164:91 0.000396254 +19 *158:28 *164:100 0.000175215 +20 *158:28 *171:13 0 +21 *158:28 *195:33 2.85729e-05 +22 *158:31 *171:13 0.00016386 +23 *359:B *353:B 0.000125027 +24 *360:A *353:B 6.77815e-05 +25 *367:A *158:28 0.000243065 +26 *457:RESET_B *353:B 1.88422e-05 +27 *458:RESET_B *158:28 0.000122992 +28 *458:SET_B *158:28 0.000133154 +29 *459:RESET_B *158:28 0.000209636 +30 *459:SET_B *158:28 2.82255e-05 +31 *4:8 *158:14 2.6958e-05 +32 *7:15 *158:14 0.00040722 +33 *8:8 *158:14 5.43679e-05 +34 *12:20 *158:14 0.000165005 +35 *89:9 *158:28 0.000368088 +36 *92:35 *158:28 5.51346e-05 +37 *123:33 *158:14 1.5714e-05 +38 *128:15 *353:B 0.000109421 +39 *128:15 *158:31 0.000161956 +40 *137:18 *158:14 8.46061e-05 +41 *153:29 *158:14 2.02035e-05 +42 *157:15 *158:14 0.00059136 +*RES +1 *481:X *158:14 49.6036 +2 *158:14 *158:28 47.1543 +3 *158:28 *158:31 6.3326 +4 *158:31 *351:B_N 9.24915 +5 *158:31 *353:B 27.281 +*END + +*D_NET *159 0.00582984 +*CONN +*I *414:B I *D sky130_fd_sc_hd__or2_1 +*I *412:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *482:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *414:B 0.000123213 +2 *412:B_N 0.000235298 +3 *482:X 0.000596736 +4 *159:34 0.000150466 +5 *159:32 0.000120008 +6 *159:31 0.000138804 +7 *159:17 0.000878084 +8 *412:B_N *160:27 0.000109421 +9 *414:B *414:A 6.56275e-05 +10 *159:17 *414:A 0.000109427 +11 *159:17 *162:16 0.0010365 +12 *159:17 *164:332 2.99506e-05 +13 *159:17 *192:17 0.000301919 +14 *159:31 *414:A 4.57935e-05 +15 *211:DIODE *159:17 6.47496e-05 +16 *450:CLK_N *412:B_N 0.000148385 +17 *451:SET_B *159:17 4.38306e-05 +18 *483:A *159:17 2.54243e-05 +19 *6:21 *159:17 7.35292e-05 +20 *48:24 *412:B_N 1.01851e-05 +21 *66:16 *159:17 0.000506809 +22 *94:64 *159:17 3.29488e-05 +23 *97:23 *412:B_N 9.0951e-05 +24 *108:21 *412:B_N 0.000109421 +25 *112:16 *412:B_N 0.000269555 +26 *112:16 *159:17 0.000148291 +27 *116:16 *159:17 0.000364516 +28 *152:38 *159:17 0 +*RES +1 *482:X *159:17 38.7669 +2 *159:17 *412:B_N 25.5117 +3 *159:17 *159:31 9.97254 +4 *159:31 *159:32 127.479 +5 *159:32 *159:34 42.9474 +6 *159:34 *414:B 21.5607 +*END + +*D_NET *160 0.00610695 +*CONN +*I *406:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *408:B I *D sky130_fd_sc_hd__or2_1 +*I *483:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *406:B_N 0.0001452 +2 *408:B 0 +3 *483:X 0.00107167 +4 *160:27 0.00121687 +5 *160:27 *461:D 3.16163e-05 +6 *160:27 *162:16 0.000109421 +7 *160:27 *192:17 0 +8 *404:A *160:27 0.000260698 +9 *407:A *406:B_N 0.000147647 +10 *407:A *160:27 0.000906339 +11 *411:A *160:27 5.12718e-05 +12 *412:B_N *160:27 0.000109421 +13 *417:A *160:27 0 +14 *419:A *160:27 0.000149738 +15 *429:A *160:27 1.264e-05 +16 *447:SET_B *406:B_N 0.000398767 +17 *448:RESET_B *160:27 5.66121e-05 +18 *483:A *160:27 4.17531e-06 +19 *496:A *160:27 4.92053e-06 +20 *497:A *160:27 3.44412e-06 +21 *499:A *160:27 2.5433e-05 +22 *54:17 *160:27 0.000791657 +23 *92:10 *160:27 0 +24 *108:21 *406:B_N 2.53145e-06 +25 *108:21 *160:27 0.000161827 +26 *116:16 *160:27 0 +27 *123:33 *160:27 0.000445054 +*RES +1 *483:X *160:27 49.9678 +2 *160:27 *408:B 9.24915 +3 *160:27 *406:B_N 15.0122 +*END + +*D_NET *161 0.0111651 +*CONN +*I *444:S I *D sky130_fd_sc_hd__mux2_1 +*I *430:B I *D sky130_fd_sc_hd__and2_1 +*I *484:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *444:S 0.000325232 +2 *430:B 0.000110758 +3 *484:X 0.00171638 +4 *161:23 0.0011412 +5 *161:22 0.0024216 +6 *430:B *505:A 0.000167477 +7 *430:B *162:23 9.70503e-05 +8 *161:22 *463:D 2.26092e-05 +9 *161:22 *195:15 0.00011015 +10 *161:23 *197:14 0 +11 *448:RESET_B *161:23 3.81774e-05 +12 *448:SET_B *161:22 0 +13 *453:RESET_B *161:22 0.000233622 +14 *453:SET_B *161:22 1.03594e-05 +15 *454:RESET_B *161:22 5.08721e-05 +16 *454:CLK_N *161:22 4.65647e-06 +17 *6:21 *444:S 0.000540564 +18 *6:21 *161:23 0.00146533 +19 *11:23 *161:22 3.4371e-05 +20 *13:18 *161:22 0.000242636 +21 *48:24 *161:23 1.29043e-05 +22 *66:16 *161:22 5.81985e-05 +23 *71:18 *161:22 0.000558217 +24 *94:33 *161:22 0.000406614 +25 *96:16 *161:22 0.000109658 +26 *117:23 *430:B 5.03545e-06 +27 *123:33 *161:22 0.000286882 +28 *152:17 *161:22 0.000994529 +*RES +1 *484:X *161:22 49.9614 +2 *161:22 *161:23 2.11512 +3 *161:23 *430:B 20.4033 +4 *161:23 *444:S 18.3113 +*END + +*D_NET *162 0.0114116 +*CONN +*I *444:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *443:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *485:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *444:A0 0 +2 *443:A1 0.000431109 +3 *485:X 0.00109939 +4 *162:23 0.00197937 +5 *162:16 0.00264765 +6 *162:16 *192:17 5.54585e-05 +7 *162:23 *414:A 1.21461e-06 +8 *162:23 *503:A 4.7162e-05 +9 *162:23 *505:A 1.62799e-05 +10 *162:23 *190:16 0.000552334 +11 pad_gpio_ib_mode_sel *162:23 9.80242e-07 +12 *225:DIODE *162:16 0.000300016 +13 *415:A *162:23 9.75148e-06 +14 *419:A *162:16 0.000136768 +15 *430:A *162:23 1.88563e-05 +16 *430:B *162:23 9.70503e-05 +17 *443:A0 *443:A1 1.12967e-05 +18 *443:S *443:A1 3.20407e-05 +19 *444:A1 *443:A1 0.000117879 +20 *448:SET_B *162:16 0.00141009 +21 *477:A *162:23 7.92757e-06 +22 *483:A *162:16 6.78364e-06 +23 *499:A *162:16 7.28567e-06 +24 *6:21 *162:16 4.73077e-05 +25 *23:9 *162:23 0.000298979 +26 *34:20 *162:23 0.000365146 +27 *76:14 *443:A1 0.000111352 +28 *103:11 *162:23 0.000347849 +29 *112:13 *162:23 3.03953e-05 +30 *116:16 *162:16 5.91586e-05 +31 *152:17 *162:16 1.5714e-05 +32 *152:17 *162:23 3.10304e-06 +33 *159:17 *162:16 0.0010365 +34 *160:27 *162:16 0.000109421 +*RES +1 *485:X *162:16 37.6555 +2 *162:16 *162:23 35.0147 +3 *162:23 *443:A1 28.7848 +4 *162:23 *444:A0 9.24915 +*END + +*D_NET *163 0.0107249 +*CONN +*I *446:A I *D sky130_fd_sc_hd__ebufn_1 +*I *434:A I *D sky130_fd_sc_hd__inv_2 +*I *486:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *446:A 0.00035225 +2 *434:A 0.000188362 +3 *486:X 0.000135457 +4 *163:42 0.00242913 +5 *163:7 0.0024007 +6 *446:A *442:A0 0.00025527 +7 *446:A *512:A 0.000112652 +8 *163:42 *450:D 0.000150741 +9 *163:42 *468:D 0.000844521 +10 *163:42 *512:A 0.000112361 +11 *163:42 *164:332 6.22114e-05 +12 *163:42 *164:348 7.30219e-05 +13 *163:42 *190:16 8.02366e-06 +14 pad_gpio_holdover *446:A 0.000398179 +15 serial_clock_out *163:42 0.000157217 +16 *401:A *163:42 1.03403e-05 +17 *403:A *163:42 0.000186511 +18 *405:A *163:42 0.00017943 +19 *424:B_N *446:A 0.000157107 +20 *427:A *434:A 4.71853e-05 +21 *427:A *163:42 0.000318389 +22 *438:A *163:42 0.000195794 +23 *442:A1 *446:A 0.000203736 +24 *442:A1 *163:42 1.00901e-05 +25 *1:30 *163:42 2.06254e-05 +26 *48:24 *163:42 0 +27 *112:16 *163:42 0.000243561 +28 *114:19 *163:42 0.000794471 +29 *117:23 *446:A 0.000174246 +30 *117:23 *163:42 8.21214e-05 +31 *152:48 *434:A 0 +32 *156:21 *434:A 0.000186835 +33 *156:21 *163:7 0.000216467 +34 *156:21 *163:42 1.78584e-05 +*RES +1 *486:X *163:7 16.1364 +2 *163:7 *434:A 18.8258 +3 *163:7 *163:42 42.5661 +4 *163:42 *446:A 31.8938 +*END + +*D_NET *164 0.0370776 +*CONN +*I *426:B I *D sky130_fd_sc_hd__or2_1 +*I *406:A I *D sky130_fd_sc_hd__or2b_1 +*I *408:A I *D sky130_fd_sc_hd__or2_1 +*I *412:A I *D sky130_fd_sc_hd__or2b_1 +*I *469:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *439:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *468:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *461:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *414:A I *D sky130_fd_sc_hd__or2_1 +*I *462:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *420:A I *D sky130_fd_sc_hd__or2_1 +*I *418:A I *D sky130_fd_sc_hd__or2b_1 +*I *388:A I *D sky130_fd_sc_hd__or2b_1 +*I *463:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *464:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *381:A I *D sky130_fd_sc_hd__or2b_1 +*I *383:A I *D sky130_fd_sc_hd__or2_1 +*I *390:A I *D sky130_fd_sc_hd__or2_1 +*I *471:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *377:A I *D sky130_fd_sc_hd__or2_1 +*I *369:A I *D sky130_fd_sc_hd__or2b_1 +*I *351:A I *D sky130_fd_sc_hd__or2b_1 +*I *353:A I *D sky130_fd_sc_hd__or2_1 +*I *359:A I *D sky130_fd_sc_hd__or2_1 +*I *357:A I *D sky130_fd_sc_hd__or2b_1 +*I *467:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *371:A I *D sky130_fd_sc_hd__or2_1 +*I *472:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *375:A I *D sky130_fd_sc_hd__or2b_1 +*I *402:A I *D sky130_fd_sc_hd__or2_1 +*I *400:A I *D sky130_fd_sc_hd__or2b_1 +*I *363:A I *D sky130_fd_sc_hd__or2b_1 +*I *465:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *396:A I *D sky130_fd_sc_hd__or2_1 +*I *466:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *394:A I *D sky130_fd_sc_hd__or2b_1 +*I *365:A I *D sky130_fd_sc_hd__or2_1 +*I *470:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *424:A I *D sky130_fd_sc_hd__or2b_1 +*I *460:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *487:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *426:B 0 +2 *406:A 0 +3 *408:A 7.14219e-05 +4 *412:A 0 +5 *469:RESET_B 4.02629e-05 +6 *439:A 0.000123545 +7 *468:RESET_B 0.000123545 +8 *461:RESET_B 0.000351513 +9 *414:A 0.000159096 +10 *462:RESET_B 8.77122e-05 +11 *420:A 0 +12 *418:A 0 +13 *388:A 0 +14 *463:RESET_B 5.05665e-05 +15 *464:RESET_B 0.000226297 +16 *381:A 0.000104266 +17 *383:A 2.18834e-05 +18 *390:A 2.3034e-05 +19 *471:RESET_B 0.000159641 +20 *377:A 0 +21 *369:A 0 +22 *351:A 0.000108306 +23 *353:A 8.86314e-05 +24 *359:A 0 +25 *357:A 0.000156897 +26 *467:RESET_B 4.17492e-05 +27 *371:A 5.85783e-05 +28 *472:RESET_B 0.000162841 +29 *375:A 0.000123703 +30 *402:A 0 +31 *400:A 0.000466203 +32 *363:A 0 +33 *465:RESET_B 1.8176e-05 +34 *396:A 0 +35 *466:RESET_B 0.000253229 +36 *394:A 0 +37 *365:A 2.51664e-05 +38 *470:RESET_B 0.000389718 +39 *424:A 0.000135939 +40 *460:RESET_B 0.000318227 +41 *487:X 0.000137058 +42 *164:355 0.000625163 +43 *164:348 0.00085378 +44 *164:332 0.000465904 +45 *164:325 0.000869911 +46 *164:321 0.000411583 +47 *164:319 0.000639927 +48 *164:318 0.000267871 +49 *164:282 0.000584005 +50 *164:277 0.000636666 +51 *164:258 0.000237102 +52 *164:250 0.000293628 +53 *164:204 0.000397764 +54 *164:202 0.000237826 +55 *164:201 0.00026168 +56 *164:197 0.000590883 +57 *164:192 0.000962124 +58 *164:176 0.00101117 +59 *164:172 0.000447883 +60 *164:105 0.000362592 +61 *164:100 0.000358436 +62 *164:91 0.000419459 +63 *164:77 0.000352912 +64 *164:72 0.000403821 +65 *164:67 0.000694489 +66 *164:65 0.00026848 +67 *164:56 0.000473697 +68 *164:54 0.000365475 +69 *164:52 0.000369928 +70 *164:50 0.000507966 +71 *164:48 0.000304791 +72 *164:46 0.000482736 +73 *164:44 0.000520405 +74 *164:24 8.60648e-05 +75 *164:15 0.000317109 +76 *365:A *363:B_N 6.27718e-05 +77 *371:A *371:B 9.95922e-06 +78 *381:A *381:B_N 7.78924e-05 +79 *381:A *432:B_N 0.000236207 +80 *381:A *502:A 4.7162e-05 +81 *381:A *505:A 4.7162e-05 +82 *400:A *402:B 0.000803876 +83 *414:A *201:8 1.16748e-05 +84 *424:A *168:18 0.000259302 +85 *424:A *197:14 0.000207597 +86 *439:A *468:D 9.84318e-05 +87 *439:A *186:7 0.000451468 +88 *460:RESET_B *445:A0 0 +89 *460:RESET_B *168:18 4.81507e-05 +90 *461:RESET_B *449:D 0 +91 *461:RESET_B *468:D 5.87761e-05 +92 *461:RESET_B *188:17 0 +93 *462:RESET_B *200:9 2.52414e-06 +94 *463:RESET_B *463:D 0.000150008 +95 *463:RESET_B *202:8 1.90746e-05 +96 *464:RESET_B *178:22 8.21325e-05 +97 *464:RESET_B *203:8 2.99747e-05 +98 *466:RESET_B *466:D 8.49775e-05 +99 *467:RESET_B *206:10 0.000160561 +100 *472:RESET_B *377:B 2.52414e-06 +101 *472:RESET_B *180:11 1.44764e-05 +102 *164:15 *510:A 1.03256e-05 +103 *164:15 *168:18 0.000197853 +104 *164:15 *186:7 5.22859e-05 +105 *164:15 *197:14 0.000153419 +106 *164:44 *512:A 6.31219e-05 +107 *164:46 *420:B 2.55661e-06 +108 *164:46 *190:16 0.000101489 +109 *164:48 *420:B 4.2664e-06 +110 *164:48 *179:8 3.76267e-05 +111 *164:48 *190:16 5.36603e-05 +112 *164:52 *179:8 3.38105e-05 +113 *164:54 *178:22 7.74123e-05 +114 *164:54 *179:8 2.94157e-05 +115 *164:56 *375:B_N 4.78554e-05 +116 *164:56 *178:22 1.58372e-05 +117 *164:65 *375:B_N 3.46922e-05 +118 *164:65 *179:8 7.74044e-06 +119 *164:72 *402:B 3.5534e-06 +120 *164:72 *206:10 0.000136732 +121 *164:72 *206:24 3.4101e-05 +122 *164:77 *363:B_N 0.00016553 +123 *164:77 *206:10 9.35979e-05 +124 *164:91 *195:33 2.74744e-05 +125 *164:100 *465:D 1.03594e-05 +126 *164:100 *195:33 0.000106774 +127 *164:105 *394:B_N 7.26953e-05 +128 *164:172 *377:B 8.4908e-05 +129 *164:172 *435:B 9.32983e-05 +130 *164:172 *180:11 3.99086e-06 +131 *164:172 *196:5 6.27718e-05 +132 *164:176 *371:B 1.14727e-05 +133 *164:176 *377:B 1.5562e-05 +134 *164:176 *435:B 4.4146e-05 +135 *164:197 *171:13 6.49537e-05 +136 *164:197 *172:13 8.36586e-06 +137 *164:250 *199:8 0.000111672 +138 *164:258 *381:B_N 3.82228e-05 +139 *164:258 *432:B_N 1.41976e-05 +140 *164:282 *463:D 0.000168348 +141 *164:332 *200:9 3.14677e-05 +142 *164:348 *355:A 0.000253312 +143 *164:348 *469:D 0 +144 *164:348 *168:18 0.000221569 +145 *164:355 *355:A 0.000549812 +146 *164:355 *168:18 0.000536701 +147 *352:A *164:197 0.000116355 +148 *353:B *353:A 0.000114594 +149 *353:B *164:197 1.95333e-05 +150 *353:B *164:201 3.82572e-05 +151 *353:B *164:202 0.000112361 +152 *353:B *164:204 6.46815e-05 +153 *354:A *164:197 0 +154 *356:A *400:A 1.02611e-05 +155 *357:B_N *357:A 7.09666e-06 +156 *359:B *357:A 1.5714e-05 +157 *360:A *357:A 2.39426e-05 +158 *360:A *164:204 9.4449e-05 +159 *364:A *467:RESET_B 9.11291e-05 +160 *364:A *164:204 4.89392e-05 +161 *378:A *164:192 1.43698e-05 +162 *382:A *164:192 2.16355e-05 +163 *392:A *400:A 8.03393e-06 +164 *397:A *164:105 2.29888e-05 +165 *405:A *164:348 0.000282519 +166 *407:A *164:319 0.000125308 +167 *407:A *164:321 0.000287569 +168 *407:A *164:325 0.000157107 +169 *411:A *461:RESET_B 2.57465e-06 +170 *414:B *414:A 6.56275e-05 +171 *415:A *408:A 4.84017e-05 +172 *426:A *470:RESET_B 0.00015901 +173 *433:A *164:192 0.000400699 +174 *436:A *164:355 4.19797e-05 +175 *447:SET_B *461:RESET_B 0.000157107 +176 *448:RESET_B *164:48 6.1001e-05 +177 *449:SET_B *164:332 6.22259e-05 +178 *449:SET_B *164:348 0.000162703 +179 *451:SET_B *164:332 8.70105e-05 +180 *452:RESET_B *164:72 0 +181 *452:RESET_B *164:91 2.8322e-05 +182 *452:SET_B *164:100 0.000171519 +183 *452:SET_B *164:105 6.27718e-05 +184 *453:SET_B *414:A 1.00766e-05 +185 *453:SET_B *462:RESET_B 2.98409e-05 +186 *455:RESET_B *164:192 7.58194e-05 +187 *455:RESET_B *164:197 4.87439e-05 +188 *457:RESET_B *351:A 0.000159032 +189 *458:SET_B *357:A 0 +190 *468:CLK *439:A 2.72515e-05 +191 *469:CLK *461:RESET_B 1.16182e-05 +192 *469:CLK *164:355 0.000129786 +193 *471:CLK *464:RESET_B 0.00012445 +194 *474:A *375:A 2.49496e-05 +195 *474:A *164:65 0.000110458 +196 *2:26 *464:RESET_B 0.000181814 +197 *2:26 *164:282 0.000137823 +198 *3:20 *381:A 7.92757e-06 +199 *3:20 *164:250 6.03492e-05 +200 *3:20 *164:258 1.30449e-05 +201 *4:8 *400:A 4.91917e-06 +202 *13:18 *164:65 4.62703e-05 +203 *15:15 *400:A 9.63101e-05 +204 *17:14 *164:192 0.000125076 +205 *17:26 *164:192 4.88625e-05 +206 *26:20 *460:RESET_B 2.02035e-05 +207 *26:20 *461:RESET_B 0.000251454 +208 *39:15 *164:15 0.000148524 +209 *48:24 *164:46 0 +210 *54:17 *164:44 1.03594e-05 +211 *54:17 *164:46 1.63832e-05 +212 *63:16 *164:44 0.000159032 +213 *63:16 *164:46 0 +214 *66:16 *164:282 9.23149e-06 +215 *76:14 *164:192 7.98171e-06 +216 *89:9 *164:77 6.46921e-05 +217 *89:9 *164:91 0.000250405 +218 *89:38 *400:A 0 +219 *89:38 *464:RESET_B 1.41976e-05 +220 *93:23 *164:258 0.000312899 +221 *97:23 *164:250 6.96754e-05 +222 *97:23 *164:258 4.6535e-05 +223 *99:7 *466:RESET_B 1.68951e-06 +224 *99:7 *164:105 1.37189e-05 +225 *102:12 *400:A 4.94e-06 +226 *102:12 *164:332 4.89489e-05 +227 *103:11 *164:48 0.000350243 +228 *103:11 *164:50 6.4309e-05 +229 *103:11 *164:52 0.000180696 +230 *103:11 *164:54 0.00034463 +231 *103:11 *164:56 0.000154987 +232 *103:11 *164:65 8.36586e-06 +233 *111:14 *164:48 5.03545e-06 +234 *111:14 *164:50 2.83365e-05 +235 *111:14 *164:52 1.02917e-05 +236 *111:14 *164:277 0.00049385 +237 *111:14 *164:282 0.000247443 +238 *112:13 *164:48 7.28994e-06 +239 *112:16 *164:332 2.76699e-05 +240 *112:16 *164:348 3.45298e-05 +241 *114:19 *424:A 1.99912e-05 +242 *114:19 *470:RESET_B 1.63322e-05 +243 *114:19 *164:44 8.60706e-05 +244 *114:25 *461:RESET_B 1.11499e-05 +245 *117:23 *461:RESET_B 7.70869e-05 +246 *119:20 *460:RESET_B 4.13501e-05 +247 *123:14 *461:RESET_B 3.64497e-06 +248 *123:14 *164:355 2.16741e-05 +249 *129:23 *383:A 2.71226e-06 +250 *129:23 *164:258 0.000110364 +251 *132:21 *400:A 6.00234e-05 +252 *132:21 *164:65 6.08467e-05 +253 *132:21 *164:72 2.75449e-05 +254 *151:5 *375:A 2.41274e-06 +255 *151:5 *164:65 0.000139808 +256 *151:13 *164:72 0 +257 *152:17 *414:A 6.27782e-05 +258 *152:81 *164:44 1.86936e-05 +259 *152:81 *164:46 0.000233837 +260 *152:92 *164:44 7.36257e-05 +261 *153:29 *464:RESET_B 8.54149e-06 +262 *153:29 *164:282 2.9617e-06 +263 *156:21 *461:RESET_B 6.6175e-05 +264 *158:28 *164:91 0.000396254 +265 *158:28 *164:100 0.000175215 +266 *159:17 *414:A 0.000109427 +267 *159:17 *164:332 2.99506e-05 +268 *159:31 *414:A 4.57935e-05 +269 *162:23 *414:A 1.21461e-06 +270 *163:42 *164:332 6.22114e-05 +271 *163:42 *164:348 7.30219e-05 +*RES +1 *487:X *460:RESET_B 21.7421 +2 *460:RESET_B *164:15 10.5717 +3 *164:15 *424:A 18.9032 +4 *164:15 *164:24 4.5 +5 *164:24 *470:RESET_B 2.30281 +6 *470:RESET_B *164:44 12.7385 +7 *164:44 *164:46 5.56926 +8 *164:46 *164:48 8.06078 +9 *164:48 *164:50 1.41674 +10 *164:50 *164:52 3.90826 +11 *164:52 *164:54 6.81502 +12 *164:54 *164:56 3.07775 +13 *164:56 *164:65 10.9912 +14 *164:65 *164:67 4.5 +15 *164:67 *164:72 6.0578 +16 *164:72 *164:77 9.82841 +17 *164:77 *365:A 9.97254 +18 *164:77 *164:91 15.6011 +19 *164:91 *164:100 17.8314 +20 *164:100 *164:105 3.95456 +21 *164:105 *394:A 9.24915 +22 *164:105 *466:RESET_B 13.9104 +23 *164:100 *396:A 9.24915 +24 *164:91 *465:RESET_B 0.494321 +25 *164:72 *363:A 13.7491 +26 *164:67 *400:A 23.7634 +27 *164:65 *402:A 9.24915 +28 *164:56 *375:A 15.5817 +29 *164:54 *472:RESET_B 5.6936 +30 *472:RESET_B *164:172 3.41202 +31 *164:172 *164:176 7.11339 +32 *164:176 *371:A 10.9612 +33 *164:176 *164:192 24.3323 +34 *164:192 *164:197 12.593 +35 *164:197 *164:201 7.57775 +36 *164:201 *164:202 1.278 +37 *164:202 *164:204 5.71483 +38 *164:204 *467:RESET_B 2.30281 +39 *164:204 *357:A 21.3269 +40 *164:202 *359:A 9.24915 +41 *164:201 *353:A 11.6364 +42 *164:197 *351:A 15.5817 +43 *164:192 *369:A 9.24915 +44 *164:172 *377:A 9.24915 +45 *164:52 *471:RESET_B 4.5 +46 *471:RESET_B *164:250 5.50987 +47 *164:250 *390:A 9.82786 +48 *164:250 *164:258 4.60562 +49 *164:258 *383:A 9.82786 +50 *164:258 *381:A 22.1924 +51 *164:50 *164:277 10.2148 +52 *164:277 *164:282 11.3501 +53 *164:282 *464:RESET_B 11.4532 +54 *164:282 *463:RESET_B 6.24821 +55 *164:277 *388:A 9.24915 +56 *164:48 *418:A 13.7491 +57 *164:46 *420:A 13.7491 +58 *164:44 *164:318 4.5 +59 *164:318 *164:319 4.05102 +60 *164:319 *164:321 5.16022 +61 *164:321 *164:325 6.3326 +62 *164:325 *164:332 10.5578 +63 *164:332 *462:RESET_B 1.74821 +64 *164:332 *414:A 13.3002 +65 *164:325 *164:348 15.3151 +66 *164:348 *164:355 8.12526 +67 *164:355 *461:RESET_B 22.3186 +68 *164:355 *468:RESET_B 4.5 +69 *468:RESET_B *439:A 14.9037 +70 *164:348 *469:RESET_B 9.0779 +71 *164:321 *412:A 9.24915 +72 *164:319 *408:A 19.8294 +73 *164:318 *406:A 9.24915 +74 *164:24 *426:B 9.24915 +*END + +*D_NET *165 0.0044474 +*CONN +*I *460:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *488:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *460:D 0 +2 *488:X 0.00156048 +3 *165:11 0.00156048 +4 *165:11 *355:A 7.29095e-06 +5 *165:11 *511:A 0.000131384 +6 *165:11 *512:A 0 +7 *165:11 *515:A 0.000520132 +8 *165:11 *168:18 6.08467e-05 +9 *165:11 *185:23 1.75155e-06 +10 serial_data_out *165:11 6.36477e-05 +11 user_gpio_in *165:11 0 +12 *218:DIODE *165:11 7.92757e-06 +13 *440:A *165:11 6.77815e-05 +14 *447:CLK_N *165:11 0.000336916 +15 *460:CLK *165:11 2.77564e-05 +16 *39:15 *165:11 8.43687e-05 +17 *63:16 *165:11 5.04829e-06 +18 *123:9 *165:11 1.15904e-05 +*RES +1 *488:X *165:11 46.6188 +2 *165:11 *460:D 9.24915 +*END + +*D_NET *166 0.00619359 +*CONN +*I *355:A I *D sky130_fd_sc_hd__inv_2 +*I *441:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *489:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *355:A 0.0013272 +2 *441:A 0 +3 *489:X 0.000200765 +4 *166:11 0.00152796 +5 *355:A *168:18 0.00105761 +6 *355:A *169:11 0.000100244 +7 *355:A *185:23 0 +8 *355:A *193:16 2.57847e-05 +9 *166:11 *193:16 3.12044e-05 +10 user_gpio_in *355:A 3.73224e-05 +11 *427:A *355:A 4.86974e-06 +12 *427:A *166:11 0.000122858 +13 *438:A *355:A 1.88014e-05 +14 *438:A *166:11 0.000267404 +15 *497:A *166:11 0.000256466 +16 *36:10 *355:A 0.000238877 +17 *38:14 *355:A 0 +18 *123:14 *355:A 4.29623e-05 +19 *152:59 *355:A 0.000122841 +20 *164:348 *355:A 0.000253312 +21 *164:355 *355:A 0.000549812 +22 *165:11 *355:A 7.29095e-06 +*RES +1 *489:X *166:11 25.6807 +2 *166:11 *441:A 9.24915 +3 *166:11 *355:A 37.7123 +*END + +*D_NET *167 0.00827088 +*CONN +*I *381:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *383:B I *D sky130_fd_sc_hd__or2_1 +*I *490:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *381:B_N 0.000110513 +2 *383:B 0 +3 *490:X 0.00155783 +4 *167:20 0.00166834 +5 *381:B_N *432:B_N 3.13805e-06 +6 *167:20 *180:11 0.000181256 +7 *167:20 *189:18 0.000198476 +8 *167:20 *191:13 0.000114584 +9 *167:20 *195:33 6.23715e-06 +10 *381:A *381:B_N 7.78924e-05 +11 *455:SET_B *167:20 0.000179873 +12 *3:20 *381:B_N 0.000297054 +13 *12:20 *167:20 0.000225627 +14 *15:15 *167:20 4.81572e-05 +15 *16:15 *167:20 0.00189378 +16 *137:18 *167:20 0.0016699 +17 *164:258 *381:B_N 3.82228e-05 +*RES +1 *490:X *167:20 38.9662 +2 *167:20 *383:B 9.24915 +3 *167:20 *381:B_N 13.3243 +*END + +*D_NET *168 0.00901555 +*CONN +*I *442:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *491:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *442:A0 8.07266e-05 +2 *491:X 0.00217644 +3 *168:18 0.00225717 +4 *168:18 *508:A 0.000164868 +5 *168:18 *185:23 4.19892e-05 +6 pad_gpio_holdover *442:A0 0.000112532 +7 serial_clock_out *168:18 3.97063e-06 +8 *218:DIODE *168:18 4.2372e-05 +9 *219:DIODE *168:18 2.16355e-05 +10 *355:A *168:18 0.00105761 +11 *424:A *168:18 0.000259302 +12 *424:B_N *168:18 1.60913e-05 +13 *427:A *168:18 0.000200261 +14 *442:A1 *442:A0 0.000508476 +15 *446:A *442:A0 0.00025527 +16 *460:RESET_B *168:18 4.81507e-05 +17 *487:A *168:18 5.49582e-05 +18 *491:A *168:18 2.57986e-05 +19 *26:20 *168:18 0.000602432 +20 *36:10 *168:18 5.30254e-05 +21 *114:19 *168:18 1.29211e-05 +22 *123:14 *168:18 2.57465e-06 +23 *164:15 *168:18 0.000197853 +24 *164:348 *168:18 0.000221569 +25 *164:355 *168:18 0.000536701 +26 *165:11 *168:18 6.08467e-05 +*RES +1 *491:X *168:18 49.0425 +2 *168:18 *442:A0 14.964 +*END + +*D_NET *169 0.0103821 +*CONN +*I *445:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *492:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *445:A0 0.000523704 +2 *492:X 4.70787e-05 +3 *169:11 0.00299496 +4 *169:8 0.00251833 +5 *445:A0 *508:A 0 +6 *445:A0 *509:A 5.52748e-05 +7 *445:A0 *510:A 0.000202009 +8 resetn_out *445:A0 0 +9 *355:A *169:11 0.000100244 +10 *460:RESET_B *445:A0 0 +11 *487:A *445:A0 3.46639e-05 +12 *492:A *169:8 0 +13 *42:8 *169:11 0.00377026 +14 *42:11 *169:8 0 +15 *112:20 *169:8 7.86847e-05 +16 *112:20 *169:11 5.44727e-05 +17 *115:12 *445:A0 2.41274e-06 +18 *119:20 *445:A0 0 +*RES +1 *492:X *169:8 19.6659 +2 *169:8 *169:11 48.4825 +3 *169:11 *445:A0 26.5521 +*END + +*D_NET *171 0.00424984 +*CONN +*I *500:A I *D sky130_fd_sc_hd__buf_2 +*I *457:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *500:A 0 +2 *457:Q 0.000807968 +3 *171:13 0.000807968 +4 *171:13 *502:A 0.000624033 +5 *171:13 *172:13 0.000154118 +6 *215:DIODE *171:13 2.58757e-05 +7 *353:B *171:13 7.20108e-05 +8 *456:RESET_B *171:13 0.000156946 +9 *456:SET_B *171:13 0.000176652 +10 *457:RESET_B *171:13 0.000752853 +11 *458:RESET_B *171:13 1.29211e-05 +12 *459:SET_B *171:13 5.26405e-05 +13 *17:26 *171:13 0.000151928 +14 *128:15 *171:13 0.000225116 +15 *158:28 *171:13 0 +16 *158:31 *171:13 0.00016386 +17 *164:197 *171:13 6.49537e-05 +*RES +1 *457:Q *171:13 48.8418 +2 *171:13 *500:A 9.24915 +*END + +*D_NET *172 0.00379928 +*CONN +*I *501:A I *D sky130_fd_sc_hd__buf_2 +*I *459:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *501:A 0 +2 *459:Q 0.00101402 +3 *172:13 0.00101402 +4 *172:13 *369:B_N 2.88515e-05 +5 *172:13 *435:B 0.000112902 +6 *172:13 *502:A 3.30323e-05 +7 *172:13 *503:A 0.00031834 +8 *172:13 *505:A 0.000311391 +9 *172:13 *189:18 0.000135871 +10 *370:A *172:13 0.000319029 +11 *443:A0 *172:13 3.16131e-05 +12 *443:S *172:13 0 +13 *454:RESET_B *172:13 4.23622e-05 +14 *455:RESET_B *172:13 9.87056e-05 +15 *456:SET_B *172:13 0.000176652 +16 *164:197 *172:13 8.36586e-06 +17 *171:13 *172:13 0.000154118 +*RES +1 *459:Q *172:13 47.5848 +2 *172:13 *501:A 9.24915 +*END + +*D_NET *173 0.00297469 +*CONN +*I *502:A I *D sky130_fd_sc_hd__buf_2 +*I *458:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *502:A 0.000590676 +2 *458:Q 0.000590676 +3 *502:A *505:A 7.09617e-05 +4 *376:A *502:A 0.000635473 +5 *378:A *502:A 5.61483e-05 +6 *381:A *502:A 4.7162e-05 +7 *459:RESET_B *502:A 0.000160942 +8 *459:SET_B *502:A 0.000152666 +9 *129:23 *502:A 1.29211e-05 +10 *171:13 *502:A 0.000624033 +11 *172:13 *502:A 3.30323e-05 +*RES +1 *458:Q *502:A 48.1882 +*END + +*D_NET *174 0.00127795 +*CONN +*I *503:A I *D sky130_fd_sc_hd__buf_2 +*I *454:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *503:A 0.000361803 +2 *454:Q 0.000361803 +3 *503:A *505:A 0.000106356 +4 *22:13 *503:A 2.16355e-05 +5 *34:20 *503:A 6.08467e-05 +6 *162:23 *503:A 4.7162e-05 +7 *172:13 *503:A 0.00031834 +*RES +1 *454:Q *503:A 35.4237 +*END + +*D_NET *175 0.00343291 +*CONN +*I *504:A I *D sky130_fd_sc_hd__buf_2 +*I *432:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *455:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *504:A 0.000430225 +2 *432:B_N 0.000209098 +3 *455:Q 9.4548e-05 +4 *175:8 0.00073387 +5 *432:B_N *505:A 7.6317e-05 +6 *504:A *506:A 4.36818e-05 +7 *504:A *180:11 0.000432282 +8 *504:A *180:14 0.000126753 +9 *175:8 *180:11 9.08188e-05 +10 *376:A *504:A 1.99786e-05 +11 *381:A *432:B_N 0.000236207 +12 *381:B_N *432:B_N 3.13805e-06 +13 *382:A *432:B_N 2.99287e-05 +14 *430:A *504:A 2.77419e-05 +15 *446:TE_B *504:A 2.68379e-06 +16 *3:20 *432:B_N 7.84564e-05 +17 *22:13 *504:A 0.000203756 +18 *34:20 *504:A 0.000121574 +19 *76:14 *504:A 0.000111285 +20 *93:23 *432:B_N 0.000109427 +21 *119:16 *175:8 6.27718e-05 +22 *129:23 *504:A 5.49916e-05 +23 *129:23 *175:8 8.74753e-05 +24 *154:8 *504:A 3.17021e-05 +25 *164:258 *432:B_N 1.41976e-05 +*RES +1 *455:Q *175:8 16.3045 +2 *175:8 *432:B_N 20.6214 +3 *175:8 *504:A 28.7918 +*END + +*D_NET *176 0.00491753 +*CONN +*I *432:A I *D sky130_fd_sc_hd__or2b_1 +*I *505:A I *D sky130_fd_sc_hd__buf_2 +*I *456:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *432:A 0 +2 *505:A 0.000699188 +3 *456:Q 0.000619519 +4 *176:19 0.00131871 +5 *176:19 *369:B_N 3.18937e-05 +6 *176:19 *189:18 2.89471e-05 +7 pad_gpio_ib_mode_sel *505:A 0.000102403 +8 *370:A *176:19 0 +9 *372:A *176:19 0.000108268 +10 *381:A *505:A 4.7162e-05 +11 *382:A *505:A 0.000117376 +12 *382:A *176:19 0.000114584 +13 *430:A *505:A 0.000206718 +14 *430:B *505:A 0.000167477 +15 *432:B_N *505:A 7.6317e-05 +16 *443:S *176:19 4.29411e-05 +17 *444:A1 *505:A 0 +18 *446:TE_B *505:A 0.000563833 +19 *456:RESET_B *176:19 0.000145542 +20 *502:A *505:A 7.09617e-05 +21 *503:A *505:A 0.000106356 +22 *23:9 *505:A 1.65872e-05 +23 *76:14 *505:A 5.07618e-06 +24 *162:23 *505:A 1.62799e-05 +25 *172:13 *505:A 0.000311391 +*RES +1 *456:Q *176:19 31.7827 +2 *176:19 *505:A 40.8578 +3 *176:19 *432:A 9.24915 +*END + +*D_NET *177 0.00142759 +*CONN +*I *506:A I *D sky130_fd_sc_hd__buf_2 +*I *448:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *506:A 0.000392382 +2 *448:Q 0.000392382 +3 *506:A *508:A 4.08919e-05 +4 *424:B_N *506:A 1.03594e-05 +5 *430:A *506:A 0.00011815 +6 *504:A *506:A 4.36818e-05 +7 *22:13 *506:A 0.000109427 +8 *34:20 *506:A 2.55108e-05 +9 *119:20 *506:A 0.00029481 +*RES +1 *448:Q *506:A 37.1186 +*END + +*D_NET *178 0.0066482 +*CONN +*I *377:B I *D sky130_fd_sc_hd__or2_1 +*I *375:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *493:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *377:B 0.000111086 +2 *375:B_N 8.83642e-05 +3 *493:X 3.64812e-05 +4 *178:22 0.00198234 +5 *178:16 0.00185361 +6 *178:15 0.000273354 +7 *178:10 0.000416733 +8 *178:9 0.000250581 +9 *375:B_N *179:8 0.000188637 +10 *377:B *180:11 0.00025549 +11 *178:22 *179:8 0.000237985 +12 *178:22 *203:8 2.42863e-05 +13 *361:A *178:22 1.41307e-05 +14 *455:CLK_N *178:22 1.41307e-05 +15 *464:RESET_B *178:22 8.21325e-05 +16 *472:RESET_B *377:B 2.52414e-06 +17 *480:A *178:22 8.28297e-07 +18 *494:A *178:9 7.08444e-05 +19 *2:26 *178:22 2.30231e-05 +20 *7:18 *178:10 0 +21 *7:18 *178:16 1.55703e-05 +22 *12:20 *178:15 0 +23 *16:15 *178:9 7.08444e-05 +24 *89:38 *178:22 3.17103e-05 +25 *157:10 *178:10 0 +26 *157:15 *178:15 2.98155e-05 +27 *157:16 *178:16 4.29906e-05 +28 *157:28 *178:15 8.9917e-06 +29 *158:14 *178:15 0.000245459 +30 *164:54 *178:22 7.74123e-05 +31 *164:56 *375:B_N 4.78554e-05 +32 *164:56 *178:22 1.58372e-05 +33 *164:65 *375:B_N 3.46922e-05 +34 *164:172 *377:B 8.4908e-05 +35 *164:176 *377:B 1.5562e-05 +*RES +1 *493:X *178:9 28.915 +2 *178:9 *178:10 312.903 +3 *178:10 *178:15 23.1039 +4 *178:15 *178:16 127.479 +5 *178:16 *178:22 40.8408 +6 *178:22 *375:B_N 17.2421 +7 *178:22 *377:B 21.1519 +*END + +*D_NET *179 0.00428678 +*CONN +*I *507:A I *D sky130_fd_sc_hd__buf_2 +*I *452:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *507:A 0 +2 *452:Q 0.00147937 +3 *179:8 0.00147937 +4 *179:8 *390:B 5.21758e-06 +5 *367:A *179:8 0 +6 *375:B_N *179:8 0.000188637 +7 *448:RESET_B *179:8 0.000202298 +8 *459:RESET_B *179:8 0 +9 *474:A *179:8 0 +10 *477:TE *179:8 4.69116e-05 +11 *13:18 *179:8 0 +12 *34:20 *179:8 0.000317886 +13 *53:28 *179:8 1.03594e-05 +14 *92:35 *179:8 6.64392e-05 +15 *97:23 *179:8 4.60046e-05 +16 *111:14 *179:8 9.76996e-05 +17 *164:48 *179:8 3.76267e-05 +18 *164:52 *179:8 3.38105e-05 +19 *164:54 *179:8 2.94157e-05 +20 *164:65 *179:8 7.74044e-06 +21 *178:22 *179:8 0.000237985 +*RES +1 *452:Q *179:8 48.0115 +2 *179:8 *507:A 13.7491 +*END + +*D_NET *180 0.00803405 +*CONN +*I *508:A I *D sky130_fd_sc_hd__buf_2 +*I *428:A I *D sky130_fd_sc_hd__or2b_1 +*I *451:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *508:A 0.00119199 +2 *428:A 0 +3 *451:Q 0.00140123 +4 *180:14 0.00131757 +5 *180:11 0.00152682 +6 *508:A *509:A 0.000128742 +7 *508:A *510:A 0 +8 *180:11 *456:D 0.000113396 +9 *180:11 *472:D 9.43222e-06 +10 pad_gpio_out *508:A 1.12967e-05 +11 *377:B *180:11 0.00025549 +12 *424:B_N *508:A 0.000175369 +13 *430:A *508:A 4.2748e-05 +14 *430:A *180:14 1.53182e-05 +15 *445:A0 *508:A 0 +16 *445:A1 *508:A 6.96979e-05 +17 *445:S *508:A 2.5775e-05 +18 *446:TE_B *508:A 6.09467e-05 +19 *446:TE_B *180:14 6.32034e-05 +20 *455:RESET_B *180:11 0.0002195 +21 *455:SET_B *180:11 4.51877e-05 +22 *456:CLK_N *180:11 1.4813e-05 +23 *472:RESET_B *180:11 1.44764e-05 +24 *472:CLK *180:11 5.28741e-05 +25 *504:A *180:11 0.000432282 +26 *504:A *180:14 0.000126753 +27 *506:A *508:A 4.08919e-05 +28 *29:11 *508:A 1.03403e-05 +29 *39:21 *508:A 6.08467e-05 +30 *54:17 *508:A 1.99299e-05 +31 *119:16 *180:11 9.10232e-06 +32 *119:20 *180:11 0.000109865 +33 *129:23 *180:11 1.88719e-05 +34 *154:8 *180:11 8.36586e-06 +35 *164:172 *180:11 3.99086e-06 +36 *167:20 *180:11 0.000181256 +37 *168:18 *508:A 0.000164868 +38 *175:8 *180:11 9.08188e-05 +*RES +1 *451:Q *180:11 47.9871 +2 *180:11 *180:14 7.993 +3 *180:14 *428:A 13.7491 +4 *180:14 *508:A 47.8765 +*END + +*D_NET *181 0.00108875 +*CONN +*I *509:A I *D sky130_fd_sc_hd__buf_2 +*I *445:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *509:A 0.000400323 +2 *445:X 0.000400323 +3 pad_gpio_out *509:A 9.08325e-05 +4 resetn_out *509:A 1.32509e-05 +5 *445:A0 *509:A 5.52748e-05 +6 *508:A *509:A 0.000128742 +*RES +1 *445:X *509:A 36.0094 +*END + +*D_NET *182 0.00162392 +*CONN +*I *510:A I *D sky130_fd_sc_hd__buf_2 +*I *442:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *510:A 0.000390812 +2 *442:X 0.000390812 +3 *510:A *197:14 3.40414e-05 +4 pad_gpio_holdover *510:A 0.000163168 +5 *445:A0 *510:A 0.000202009 +6 *445:A1 *510:A 0 +7 *508:A *510:A 0 +8 *114:19 *510:A 0.000330722 +9 *117:23 *510:A 0.00010203 +10 *164:15 *510:A 1.03256e-05 +*RES +1 *442:X *510:A 38.6374 +*END + +*D_NET *183 0.00308942 +*CONN +*I *511:A I *D sky130_fd_sc_hd__buf_2 +*I *449:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *511:A 0.000905244 +2 *449:Q 0.000905244 +3 *511:A *470:D 1.03403e-05 +4 *511:A *185:23 6.08467e-05 +5 *511:A *190:16 0.000127736 +6 *511:A *208:8 4.31309e-05 +7 resetn_out *511:A 0.000110336 +8 *447:CLK_N *511:A 0.000108729 +9 *460:CLK *511:A 1.33278e-05 +10 *487:A *511:A 0.000520111 +11 *30:9 *511:A 4.65954e-06 +12 *63:16 *511:A 8.74843e-05 +13 *115:12 *511:A 6.08467e-05 +14 *165:11 *511:A 0.000131384 +*RES +1 *449:Q *511:A 49.845 +*END + +*D_NET *184 0.00214007 +*CONN +*I *512:A I *D sky130_fd_sc_hd__buf_2 +*I *450:Q O *D sky130_fd_sc_hd__dfbbn_1 +*CAP +1 *512:A 0.000744517 +2 *450:Q 0.000744517 +3 *512:A *461:D 5.8518e-05 +4 *446:A *512:A 0.000112652 +5 *447:CLK_N *512:A 6.86425e-05 +6 *460:CLK *512:A 1.41811e-05 +7 *39:15 *512:A 4.63742e-05 +8 *54:17 *512:A 7.26606e-05 +9 *63:16 *512:A 5.98553e-05 +10 *114:19 *512:A 1.66771e-05 +11 *152:92 *512:A 2.59934e-05 +12 *163:42 *512:A 0.000112361 +13 *164:44 *512:A 6.31219e-05 +14 *165:11 *512:A 0 +*RES +1 *450:Q *512:A 43.7626 +*END + +*D_NET *185 0.00435653 +*CONN +*I *513:A I *D sky130_fd_sc_hd__buf_2 +*I *439:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *513:A 0 +2 *439:X 0.00137857 +3 *185:23 0.00137857 +4 *185:23 *469:D 6.50586e-05 +5 *185:23 *515:A 0.000273597 +6 *185:23 *208:8 0.00047044 +7 *218:DIODE *185:23 0.000138671 +8 *219:DIODE *185:23 5.04829e-06 +9 *355:A *185:23 0 +10 *423:A *185:23 0.000220809 +11 *427:A *185:23 1.68773e-05 +12 *447:CLK_N *185:23 0.000170268 +13 *491:A *185:23 2.07503e-05 +14 *511:A *185:23 6.08467e-05 +15 *38:14 *185:23 0.000113288 +16 *165:11 *185:23 1.75155e-06 +17 *168:18 *185:23 4.19892e-05 +*RES +1 *439:X *185:23 48.7195 +2 *185:23 *513:A 9.24915 +*END + +*D_NET *186 0.00792556 +*CONN +*I *514:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *440:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *514:A 0 +2 *440:X 0 +3 *186:7 0.00284637 +4 *186:4 0.00284637 +5 *186:7 *468:D 9.13394e-06 +6 *186:7 *469:D 5.15114e-05 +7 *186:7 *516:A 0.000897777 +8 *186:7 *517:A 7.92757e-06 +9 *186:7 *188:17 7.0838e-06 +10 *186:7 *207:5 1.41307e-05 +11 *223:DIODE *186:7 6.55124e-05 +12 *439:A *186:7 0.000451468 +13 *469:CLK *186:7 1.4091e-06 +14 *39:15 *186:7 0.000505283 +15 *39:21 *186:7 0.000168313 +16 *63:16 *186:7 9.80242e-07 +17 *164:15 *186:7 5.22859e-05 +*RES +1 *440:X *186:4 9.24915 +2 *186:4 *186:7 45.5257 +3 *186:7 *514:A 9.24915 +*END + +*D_NET *187 0.00190254 +*CONN +*I *515:A I *D sky130_fd_sc_hd__buf_2 +*I *436:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *515:A 0.000340877 +2 *436:X 0.000340877 +3 *515:A *469:D 2.65667e-05 +4 *423:A *515:A 0.000220809 +5 *447:CLK_N *515:A 0.000179687 +6 *165:11 *515:A 0.000520132 +7 *185:23 *515:A 0.000273597 +*RES +1 *436:X *515:A 34.7988 +*END + +*D_NET *188 0.00421296 +*CONN +*I *516:A I *D sky130_fd_sc_hd__buf_2 +*I *441:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *516:A 0.000214412 +2 *441:X 0.00092158 +3 *188:18 0.000286875 +4 *188:17 0.000994043 +5 *516:A *517:A 0.000243004 +6 *188:17 *468:D 2.57465e-06 +7 *188:17 *469:D 9.47003e-05 +8 *188:17 *207:5 2.07503e-05 +9 *409:A *516:A 2.29454e-05 +10 *415:A *516:A 0.000112361 +11 *423:A *516:A 5.05229e-05 +12 *425:A *188:17 1.96619e-05 +13 *437:A *188:17 1.43698e-05 +14 *438:A *188:17 0.000165476 +15 *447:SET_B *188:17 1.40528e-05 +16 *449:RESET_B *516:A 2.77625e-06 +17 *449:CLK_N *188:17 3.00829e-05 +18 *450:CLK_N *516:A 1.84673e-05 +19 *461:RESET_B *188:17 0 +20 *469:CLK *188:17 7.09666e-06 +21 *39:15 *516:A 1.47978e-05 +22 *63:16 *516:A 4.79321e-06 +23 *115:12 *188:17 5.27582e-05 +24 *186:7 *516:A 0.000897777 +25 *186:7 *188:17 7.0838e-06 +*RES +1 *441:X *188:17 41.8031 +2 *188:17 *188:18 104.301 +3 *188:18 *516:A 29.2287 +*END + +*D_NET *189 0.011617 +*CONN +*I *369:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *371:B I *D sky130_fd_sc_hd__or2_1 +*I *494:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *369:B_N 0.000703022 +2 *371:B 4.79492e-05 +3 *494:X 0.00203695 +4 *189:18 0.00278792 +5 *189:18 *435:B 0.000109596 +6 *370:A *369:B_N 0.000188582 +7 *371:A *371:B 9.95922e-06 +8 *443:S *189:18 0 +9 *454:RESET_B *189:18 0 +10 *455:RESET_B *369:B_N 0.000114594 +11 *456:RESET_B *369:B_N 0 +12 *494:A *189:18 9.25778e-05 +13 *495:A *189:18 1.21461e-06 +14 *4:8 *369:B_N 6.85024e-06 +15 *15:15 *369:B_N 0.000185637 +16 *16:15 *189:18 6.43178e-05 +17 *92:10 *189:18 0.000150499 +18 *93:23 *189:18 0.00217483 +19 *123:33 *189:18 0.000139975 +20 *129:23 *189:18 0.000348507 +21 *137:18 *189:18 0.00201855 +22 *164:176 *371:B 1.14727e-05 +23 *167:20 *189:18 0.000198476 +24 *172:13 *369:B_N 2.88515e-05 +25 *172:13 *189:18 0.000135871 +26 *176:19 *369:B_N 3.18937e-05 +27 *176:19 *189:18 2.89471e-05 +*RES +1 *494:X *189:18 35.9028 +2 *189:18 *371:B 15.0151 +3 *189:18 *369:B_N 28.6938 +*END + +*D_NET *190 0.0041235 +*CONN +*I *517:A I *D sky130_fd_sc_hd__buf_2 +*I *477:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *517:A 9.18222e-05 +2 *477:Z 0.000672746 +3 *190:16 0.000764568 +4 *190:16 *450:D 0.000286256 +5 *190:16 *206:24 3.20773e-05 +6 *190:16 *208:8 0.000194147 +7 user_gpio_in *517:A 2.57365e-05 +8 *409:A *517:A 0.000165521 +9 *448:RESET_B *190:16 6.03172e-05 +10 *470:CLK *190:16 9.19563e-05 +11 *475:A *190:16 1.56049e-05 +12 *477:A *190:16 0.000152265 +13 *477:TE *190:16 6.08467e-05 +14 *511:A *190:16 0.000127736 +15 *516:A *517:A 0.000243004 +16 *39:15 *517:A 6.1478e-06 +17 *53:28 *190:16 1.28646e-05 +18 *63:16 *517:A 3.54295e-05 +19 *63:16 *190:16 1.2657e-05 +20 *112:13 *190:16 6.08467e-05 +21 *152:81 *190:16 0.000287514 +22 *162:23 *190:16 0.000552334 +23 *163:42 *190:16 8.02366e-06 +24 *164:46 *190:16 0.000101489 +25 *164:48 *190:16 5.36603e-05 +26 *186:7 *517:A 7.92757e-06 +*RES +1 *477:Z *190:16 49.3965 +2 *190:16 *517:A 13.4931 +*END + +*D_NET *191 0.00682369 +*CONN +*I *390:B I *D sky130_fd_sc_hd__or2_1 +*I *388:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *495:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *390:B 0.000452822 +2 *388:B_N 2.3034e-05 +3 *495:X 0.000868451 +4 *191:13 0.00134431 +5 *390:B *471:D 7.1815e-05 +6 *221:DIODE *191:13 0.000247443 +7 *224:DIODE *191:13 6.08467e-05 +8 *229:DIODE *191:13 6.08467e-05 +9 *373:A *390:B 0.000107496 +10 *385:A *191:13 0.00016124 +11 *474:A *390:B 5.35941e-05 +12 *490:A *191:13 6.36477e-05 +13 *493:A *191:13 0.000158335 +14 *494:A *191:13 9.12416e-06 +15 *495:A *191:13 4.00046e-05 +16 *499:A *191:13 0.000203756 +17 *2:33 *191:13 0.000135322 +18 *3:25 *191:13 0.000691693 +19 *3:29 *191:13 0.000124053 +20 *12:30 *191:13 0 +21 *16:15 *191:13 0.000180398 +22 *71:18 *191:13 0.000220051 +23 *93:23 *390:B 0.000837581 +24 *94:7 *191:13 6.36477e-05 +25 *96:16 *390:B 5.9036e-05 +26 *97:23 *390:B 3.29488e-05 +27 *111:14 *390:B 1.4106e-05 +28 *111:14 *191:13 3.73692e-05 +29 *137:18 *390:B 2.1359e-05 +30 *153:29 *390:B 0.000359557 +31 *167:20 *191:13 0.000114584 +32 *179:8 *390:B 5.21758e-06 +*RES +1 *495:X *191:13 45.6703 +2 *191:13 *388:B_N 9.82786 +3 *191:13 *390:B 31.4046 +*END + +*D_NET *192 0.00559984 +*CONN +*I *420:B I *D sky130_fd_sc_hd__or2_1 +*I *418:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *496:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *420:B 9.95578e-05 +2 *418:B_N 0.000134813 +3 *496:X 0.00159178 +4 *192:17 0.00182616 +5 *418:B_N *206:24 0.000209611 +6 *420:B *206:24 0.000145171 +7 *192:17 *193:16 8.47356e-05 +8 *379:A *192:17 9.34993e-05 +9 *387:A *192:17 0.000111342 +10 *417:A *192:17 5.1584e-05 +11 *429:A *192:17 8.45425e-05 +12 *448:CLK_N *192:17 4.49625e-06 +13 *453:SET_B *192:17 0.000247443 +14 *475:A *420:B 6.27782e-05 +15 *496:A *192:17 0.000114594 +16 *103:11 *418:B_N 2.90836e-05 +17 *112:13 *418:B_N 0.00012372 +18 *112:13 *420:B 0.000113309 +19 *116:16 *192:17 4.46403e-05 +20 *152:81 *420:B 6.27782e-05 +21 *159:17 *192:17 0.000301919 +22 *160:27 *192:17 0 +23 *162:16 *192:17 5.54585e-05 +24 *164:46 *420:B 2.55661e-06 +25 *164:48 *420:B 4.2664e-06 +*RES +1 *496:X *192:17 49.6821 +2 *192:17 *418:B_N 18.0727 +3 *192:17 *420:B 17.5503 +*END + +*D_NET *193 0.00943259 +*CONN +*I *400:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *402:B I *D sky130_fd_sc_hd__or2_1 +*I *497:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *400:B_N 0 +2 *402:B 0.000584629 +3 *497:X 0.000842413 +4 *193:38 0.00210425 +5 *193:16 0.00236203 +6 *193:16 *461:D 0.000107496 +7 *193:38 *451:D 6.14474e-06 +8 *193:38 *453:D 7.366e-06 +9 *193:38 *202:8 5.65691e-05 +10 *193:38 *203:8 9.09456e-05 +11 *229:DIODE *193:38 0.000126495 +12 *355:A *193:16 2.57847e-05 +13 *356:A *402:B 9.12416e-06 +14 *356:A *193:38 0.000645021 +15 *380:A *193:38 0.000134071 +16 *385:A *193:38 4.65119e-05 +17 *400:A *402:B 0.000803876 +18 *417:A *193:16 5.21758e-06 +19 *451:CLK_N *193:38 4.28856e-07 +20 *453:SET_B *193:38 0 +21 *453:CLK_N *193:38 1.00901e-05 +22 *461:CLK *193:16 1.1957e-05 +23 *462:CLK *193:16 2.96915e-05 +24 *497:A *193:16 4.87439e-05 +25 *2:33 *193:38 3.60933e-06 +26 *4:8 *402:B 0.000101079 +27 *5:8 *193:38 7.48876e-06 +28 *9:17 *193:16 6.40758e-05 +29 *12:30 *193:16 0 +30 *15:15 *402:B 8.53037e-06 +31 *26:20 *193:16 0.000207327 +32 *93:23 *193:38 3.31749e-05 +33 *94:33 *193:38 4.15661e-05 +34 *102:12 *193:38 9.02963e-06 +35 *111:14 *193:38 1.09407e-05 +36 *116:16 *193:16 5.51831e-06 +37 *117:23 *193:16 2.15007e-05 +38 *123:20 *193:16 0.000227973 +39 *123:33 *193:16 5.84166e-05 +40 *152:38 *193:16 0.000389934 +41 *152:59 *193:16 6.40758e-05 +42 *164:72 *402:B 3.5534e-06 +43 *166:11 *193:16 3.12044e-05 +44 *192:17 *193:16 8.47356e-05 +*RES +1 *497:X *193:16 47.454 +2 *193:16 *193:38 36.5003 +3 *193:38 *402:B 22.5942 +4 *193:38 *400:B_N 13.7491 +*END + +*D_NET *194 0.00757837 +*CONN +*I *394:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *396:B I *D sky130_fd_sc_hd__or2_1 +*I *498:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *394:B_N 6.71495e-05 +2 *396:B 0 +3 *498:X 0.000649039 +4 *194:23 0.000728956 +5 *194:13 0.00131085 +6 *194:13 *195:15 0.00060568 +7 *194:23 *195:33 0.000905228 +8 *230:DIODE *194:13 0.000354699 +9 *395:A *194:23 0.000154095 +10 *448:RESET_B *194:13 3.95165e-05 +11 *452:SET_B *394:B_N 2.39189e-05 +12 *452:SET_B *194:23 1.4106e-05 +13 *480:A *194:13 0.000189301 +14 *481:A *194:13 0.000250029 +15 *1:30 *194:13 0.000143175 +16 *7:15 *194:23 0.000153864 +17 *7:27 *194:13 0.000447312 +18 *12:20 *194:23 2.1109e-05 +19 *92:10 *194:13 5.18062e-06 +20 *92:35 *194:23 1.91246e-05 +21 *99:7 *394:B_N 0.000259406 +22 *99:7 *194:23 0.000203685 +23 *157:9 *194:13 7.08444e-05 +24 *157:15 *194:13 0.000209863 +25 *158:14 *194:13 1.67286e-05 +26 *158:14 *194:23 0.000662814 +27 *164:105 *394:B_N 7.26953e-05 +*RES +1 *498:X *194:13 46.6319 +2 *194:13 *194:23 32.9543 +3 *194:23 *396:B 9.24915 +4 *194:23 *394:B_N 12.7697 +*END + +*D_NET *195 0.00924579 +*CONN +*I *363:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *365:B I *D sky130_fd_sc_hd__or2_1 +*I *499:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *363:B_N 8.81138e-05 +2 *365:B 0 +3 *499:X 0.00077616 +4 *195:33 0.00164408 +5 *195:15 0.00233212 +6 *195:33 *465:D 1.83992e-05 +7 *365:A *363:B_N 6.27718e-05 +8 *448:RESET_B *195:15 4.3122e-05 +9 *480:A *195:15 5.34182e-05 +10 *498:A *195:15 2.58616e-05 +11 *7:15 *195:33 3.13169e-05 +12 *7:27 *195:15 0.000346525 +13 *12:20 *195:33 3.46522e-06 +14 *15:15 *195:33 0.000229935 +15 *89:9 *363:B_N 0.00030844 +16 *89:9 *195:33 3.96696e-05 +17 *92:35 *195:33 0.000302458 +18 *112:20 *195:15 9.40106e-05 +19 *123:33 *195:15 0.000569786 +20 *157:9 *195:15 7.08444e-05 +21 *157:15 *195:15 8.24433e-06 +22 *158:14 *195:15 0.000241405 +23 *158:28 *195:33 2.85729e-05 +24 *161:22 *195:15 0.00011015 +25 *164:77 *363:B_N 0.00016553 +26 *164:91 *195:33 2.74744e-05 +27 *164:100 *195:33 0.000106774 +28 *167:20 *195:33 6.23715e-06 +29 *194:13 *195:15 0.00060568 +30 *194:23 *195:33 0.000905228 +*RES +1 *499:X *195:15 47.0794 +2 *195:15 *195:33 49.1199 +3 *195:33 *365:B 9.24915 +4 *195:33 *363:B_N 13.3243 +*END + +*D_NET *196 0.00210512 +*CONN +*I *435:B I *D sky130_fd_sc_hd__and2_1 +*I *456:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *472:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *435:B 0.000461655 +2 *456:D 0.000191618 +3 *472:Q 4.28958e-05 +4 *196:5 0.000696169 +5 *376:A *456:D 4.89251e-05 +6 *455:RESET_B *456:D 4.13313e-05 +7 *456:CLK_N *456:D 8.64141e-05 +8 *164:172 *435:B 9.32983e-05 +9 *164:172 *196:5 6.27718e-05 +10 *164:176 *435:B 4.4146e-05 +11 *172:13 *435:B 0.000112902 +12 *180:11 *456:D 0.000113396 +13 *189:18 *435:B 0.000109596 +*RES +1 *472:Q *196:5 9.97254 +2 *196:5 *456:D 23.8507 +3 *196:5 *435:B 26.2112 +*END + +*D_NET *197 0.00741507 +*CONN +*I *447:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *461:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *460:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *447:D 0 +2 *461:D 0.00128438 +3 *460:Q 0.000564781 +4 *197:14 0.00184916 +5 *197:14 *198:17 2.70631e-05 +6 *404:A *461:D 2.07365e-05 +7 *424:A *197:14 0.000207597 +8 *447:SET_B *461:D 0.00010072 +9 *447:CLK_N *461:D 6.08467e-05 +10 *447:CLK_N *197:14 3.60933e-06 +11 *449:SET_B *461:D 1.5714e-05 +12 *461:CLK *461:D 5.04829e-06 +13 *510:A *197:14 3.40414e-05 +14 *512:A *461:D 5.8518e-05 +15 *1:30 *461:D 0.00141246 +16 *54:17 *461:D 4.94724e-05 +17 *63:16 *461:D 5.49916e-05 +18 *63:16 *197:14 0 +19 *108:21 *461:D 7.807e-05 +20 *114:19 *197:14 0.000272802 +21 *117:23 *197:14 0.000560957 +22 *156:21 *461:D 0.000461573 +23 *160:27 *461:D 3.16163e-05 +24 *161:23 *197:14 0 +25 *164:15 *197:14 0.000153419 +26 *193:16 *461:D 0.000107496 +*RES +1 *460:Q *197:14 34.4485 +2 *197:14 *461:D 41.3448 +3 *197:14 *447:D 9.24915 +*END + +*D_NET *198 0.00574155 +*CONN +*I *454:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *471:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *470:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *454:D 0 +2 *471:D 0.000696241 +3 *470:Q 0.000863012 +4 *198:17 0.00155925 +5 *471:D *206:24 0 +6 serial_clock_out *198:17 3.74798e-05 +7 *379:A *471:D 0.000189032 +8 *390:B *471:D 7.1815e-05 +9 *447:CLK_N *198:17 0 +10 *454:CLK_N *471:D 0.000652391 +11 *475:A *198:17 0 +12 *3:20 *471:D 6.08467e-05 +13 *26:20 *198:17 4.69495e-06 +14 *48:24 *198:17 0 +15 *53:28 *198:17 0 +16 *89:41 *471:D 8.22431e-05 +17 *114:19 *198:17 0.00015901 +18 *115:12 *198:17 0.000157107 +19 *119:20 *198:17 0.000883091 +20 *137:18 *471:D 1.52896e-05 +21 *154:8 *471:D 3.27621e-05 +22 *154:8 *198:17 5.52748e-05 +23 *156:21 *198:17 0.000194945 +24 *197:14 *198:17 2.70631e-05 +*RES +1 *470:Q *198:17 47.2684 +2 *198:17 *471:D 35.6192 +3 *198:17 *454:D 9.24915 +*END + +*D_NET *199 0.00169105 +*CONN +*I *455:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *472:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *471:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *455:D 0 +2 *472:D 0.000107642 +3 *471:Q 0.000407737 +4 *199:8 0.000515379 +5 *472:D *206:24 0.000158203 +6 *199:8 *206:24 0.000113104 +7 *3:20 *199:8 5.63639e-06 +8 *132:21 *472:D 0.000158121 +9 *132:21 *199:8 0.000104124 +10 *164:250 *199:8 0.000111672 +11 *180:11 *472:D 9.43222e-06 +*RES +1 *471:Q *199:8 21.1566 +2 *199:8 *472:D 17.5503 +3 *199:8 *455:D 13.7491 +*END + +*D_NET *200 0.00265912 +*CONN +*I *453:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *462:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *461:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *453:D 0.000355214 +2 *462:D 0.000121868 +3 *461:Q 0.000376076 +4 *200:9 0.000853158 +5 *380:A *453:D 0 +6 *404:A *200:9 2.58563e-05 +7 *448:CLK_N *462:D 0.000124019 +8 *448:CLK_N *200:9 0.000119927 +9 *449:SET_B *200:9 6.22259e-05 +10 *451:SET_B *200:9 3.42037e-06 +11 *453:SET_B *200:9 6.3657e-05 +12 *462:RESET_B *200:9 2.52414e-06 +13 *66:16 *453:D 0.000132432 +14 *66:16 *200:9 0 +15 *94:33 *453:D 7.29623e-05 +16 *94:46 *453:D 5.01835e-05 +17 *94:64 *453:D 0.000129176 +18 *102:12 *453:D 0 +19 *102:12 *200:9 0.000118465 +20 *108:21 *200:9 9.12416e-06 +21 *164:332 *200:9 3.14677e-05 +22 *193:38 *453:D 7.366e-06 +*RES +1 *461:Q *200:9 28.2847 +2 *200:9 *462:D 12.7456 +3 *200:9 *453:D 26.1145 +*END + +*D_NET *201 0.00374672 +*CONN +*I *448:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *463:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *462:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *448:D 0 +2 *463:D 0.000983001 +3 *462:Q 0.000248717 +4 *201:8 0.00123172 +5 *463:D *202:8 2.77428e-05 +6 *229:DIODE *463:D 1.43698e-05 +7 *379:A *463:D 3.18342e-06 +8 *414:A *201:8 1.16748e-05 +9 *453:SET_B *201:8 6.27718e-05 +10 *463:RESET_B *463:D 0.000150008 +11 *3:20 *463:D 6.93171e-05 +12 *96:16 *463:D 0.000191472 +13 *96:16 *201:8 0.000121922 +14 *97:23 *463:D 9.67358e-05 +15 *97:23 *201:8 0.000131046 +16 *152:17 *463:D 0.000212084 +17 *161:22 *463:D 2.26092e-05 +18 *164:282 *463:D 0.000168348 +*RES +1 *462:Q *201:8 18.7989 +2 *201:8 *463:D 32.8162 +3 *201:8 *448:D 13.7491 +*END + +*D_NET *202 0.00225796 +*CONN +*I *464:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *451:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *463:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *464:D 0 +2 *451:D 0.000109287 +3 *463:Q 0.000751119 +4 *202:8 0.000860406 +5 *451:D *203:8 4.27419e-05 +6 *229:DIODE *202:8 2.58757e-05 +7 *463:D *202:8 2.77428e-05 +8 *463:RESET_B *202:8 1.90746e-05 +9 *5:8 *451:D 0.000139506 +10 *5:8 *202:8 0.000146302 +11 *153:29 *451:D 2.84758e-05 +12 *157:28 *451:D 4.47179e-05 +13 *193:38 *451:D 6.14474e-06 +14 *193:38 *202:8 5.65691e-05 +*RES +1 *463:Q *202:8 25.8693 +2 *202:8 *451:D 17.6896 +3 *202:8 *464:D 13.7491 +*END + +*D_NET *203 0.00262683 +*CONN +*I *452:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *465:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *464:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *452:D 0 +2 *465:D 0.000188072 +3 *464:Q 0.000897269 +4 *203:8 0.00108534 +5 *451:D *203:8 4.27419e-05 +6 *452:SET_B *465:D 0 +7 *464:RESET_B *203:8 2.99747e-05 +8 *2:26 *203:8 6.08467e-05 +9 *5:8 *465:D 4.60899e-05 +10 *5:8 *203:8 0.000102424 +11 *158:28 *465:D 3.00829e-05 +12 *164:100 *465:D 1.03594e-05 +13 *178:22 *203:8 2.42863e-05 +14 *193:38 *203:8 9.09456e-05 +15 *195:33 *465:D 1.83992e-05 +*RES +1 *464:Q *203:8 30.5737 +2 *203:8 *465:D 18.6983 +3 *203:8 *452:D 13.7491 +*END + +*D_NET *204 0.00146531 +*CONN +*I *457:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *466:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *465:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *457:D 0 +2 *466:D 0.00044679 +3 *465:Q 4.16064e-05 +4 *204:8 0.000488397 +5 *452:SET_B *466:D 0 +6 *457:CLK_N *466:D 0 +7 *466:RESET_B *466:D 8.49775e-05 +8 *5:8 *466:D 0 +9 *99:7 *466:D 7.1769e-05 +10 *151:13 *204:8 0.000122858 +11 *151:15 *466:D 7.16754e-05 +12 *157:28 *466:D 0 +13 *157:28 *204:8 0.000122858 +14 *157:31 *466:D 1.43832e-05 +*RES +1 *465:Q *204:8 20.4964 +2 *204:8 *466:D 29.0109 +3 *204:8 *457:D 9.24915 +*END + +*D_NET *205 0.00165258 +*CONN +*I *458:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *467:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *466:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *458:D 0.000312893 +2 *467:D 0 +3 *466:Q 0.000135134 +4 *205:9 0.000448027 +5 *458:D *206:10 5.67013e-05 +6 *364:A *458:D 0.000306226 +7 *367:A *458:D 0.000112367 +8 *457:SET_B *458:D 0.000103434 +9 *467:CLK *205:9 0.000117376 +10 *13:18 *458:D 6.04178e-05 +*RES +1 *466:Q *205:9 12.9385 +2 *205:9 *467:D 9.24915 +3 *205:9 *458:D 28.8687 +*END + +*D_NET *206 0.0121528 +*CONN +*I *468:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *459:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *467:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *468:D 0.000538908 +2 *459:D 0 +3 *467:Q 0.00092193 +4 *206:24 0.00187337 +5 *206:10 0.00225639 +6 *206:24 *450:D 0.000289731 +7 *206:24 *208:8 6.29351e-05 +8 serial_clock_out *468:D 0.00103508 +9 *364:A *206:10 0.000100695 +10 *409:A *206:24 0.00018937 +11 *415:A *206:24 0.000264017 +12 *418:B_N *206:24 0.000209611 +13 *420:B *206:24 0.000145171 +14 *439:A *468:D 9.84318e-05 +15 *447:SET_B *206:24 1.04731e-05 +16 *449:RESET_B *468:D 7.36257e-05 +17 *449:RESET_B *206:24 0.000111359 +18 *450:CLK_N *468:D 2.69087e-05 +19 *452:RESET_B *206:10 0 +20 *453:RESET_B *206:24 0.000279717 +21 *457:SET_B *206:10 0.000168586 +22 *458:D *206:10 5.67013e-05 +23 *461:RESET_B *468:D 5.87761e-05 +24 *467:RESET_B *206:10 0.000160561 +25 *468:CLK *468:D 2.16355e-05 +26 *469:CLK *468:D 0.000140854 +27 *471:D *206:24 0 +28 *472:D *206:24 0.000158203 +29 *13:18 *206:10 0.000131565 +30 *13:18 *206:24 0.000755415 +31 *103:11 *206:24 2.86545e-05 +32 *114:19 *468:D 7.91098e-05 +33 *115:12 *206:24 0.000454171 +34 *132:21 *206:24 0.000166235 +35 *137:18 *206:24 1.03079e-05 +36 *152:81 *206:24 8.44495e-06 +37 *163:42 *468:D 0.000844521 +38 *164:72 *206:10 0.000136732 +39 *164:72 *206:24 3.4101e-05 +40 *164:77 *206:10 9.35979e-05 +41 *186:7 *468:D 9.13394e-06 +42 *188:17 *468:D 2.57465e-06 +43 *190:16 *206:24 3.20773e-05 +44 *199:8 *206:24 0.000113104 +*RES +1 *467:Q *206:10 34.3526 +2 *206:10 *459:D 13.7491 +3 *206:10 *206:24 47.6924 +4 *206:24 *468:D 32.8198 +*END + +*D_NET *207 0.00113529 +*CONN +*I *449:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *469:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *468:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *449:D 0.000171331 +2 *469:D 0.000202484 +3 *468:Q 1.71321e-05 +4 *207:5 0.000390947 +5 *461:RESET_B *449:D 0 +6 *469:CLK *469:D 0 +7 *515:A *469:D 2.65667e-05 +8 *115:12 *469:D 8.06767e-05 +9 *164:348 *469:D 0 +10 *185:23 *469:D 6.50586e-05 +11 *186:7 *469:D 5.15114e-05 +12 *186:7 *207:5 1.41307e-05 +13 *188:17 *469:D 9.47003e-05 +14 *188:17 *207:5 2.07503e-05 +*RES +1 *468:Q *207:5 9.97254 +2 *207:5 *469:D 24.4375 +3 *207:5 *449:D 21.3269 +*END + +*D_NET *208 0.00378059 +*CONN +*I *470:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *450:D I *D sky130_fd_sc_hd__dfbbn_1 +*I *469:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *470:D 0.000136528 +2 *450:D 0.000296458 +3 *469:Q 0.000515216 +4 *208:8 0.000948202 +5 user_gpio_in *208:8 0.000140284 +6 *403:A *450:D 6.64392e-05 +7 *409:A *208:8 3.04314e-05 +8 *453:RESET_B *450:D 1.58551e-05 +9 *511:A *470:D 1.03403e-05 +10 *511:A *208:8 4.31309e-05 +11 *63:16 *470:D 0.000107496 +12 *115:12 *470:D 1.59634e-05 +13 *163:42 *450:D 0.000150741 +14 *185:23 *208:8 0.00047044 +15 *190:16 *450:D 0.000286256 +16 *190:16 *208:8 0.000194147 +17 *206:24 *450:D 0.000289731 +18 *206:24 *208:8 6.29351e-05 +*RES +1 *469:Q *208:8 25.3091 +2 *208:8 *450:D 23.7847 +3 *208:8 *470:D 16.691 +*END diff --git a/spef/gpio_defaults_block.sdc b/spef/gpio_defaults_block.sdc new file mode 100644 index 00000000..e58dbe0b --- /dev/null +++ b/spef/gpio_defaults_block.sdc @@ -0,0 +1,45 @@ +############################################################################### +# Created by write_sdc +# Fri Nov 5 21:10:28 2021 +############################################################################### +current_design gpio_defaults_block +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[0]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[10]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[11]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[12]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[1]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[2]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[3]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[4]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[5]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[6]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[7]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[8]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_defaults[9]}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {gpio_defaults[12]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[11]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[10]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[9]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[8]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[7]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[6]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[5]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[4]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[3]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[2]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[1]}] +set_load -pin_load 0.0334 [get_ports {gpio_defaults[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/spef/gpio_defaults_block.spef b/spef/gpio_defaults_block.spef new file mode 100644 index 00000000..e5c81061 --- /dev/null +++ b/spef/gpio_defaults_block.spef @@ -0,0 +1,273 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_defaults_block" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 gpio_defaults_low\[0\] +*4 gpio_defaults_high\[10\] +*5 gpio_defaults_low\[11\] +*6 gpio_defaults_low\[12\] +*7 gpio_defaults_high\[1\] +*8 gpio_defaults_low\[2\] +*9 gpio_defaults_low\[3\] +*10 gpio_defaults_low\[4\] +*11 gpio_defaults_low\[5\] +*12 gpio_defaults_low\[6\] +*13 gpio_defaults_low\[7\] +*14 gpio_defaults_low\[8\] +*15 gpio_defaults_low\[9\] +*16 gpio_defaults_high\[0\] +*17 gpio_defaults_high\[11\] +*18 gpio_defaults_high\[12\] +*19 gpio_defaults_high\[2\] +*20 gpio_defaults_high\[3\] +*21 gpio_defaults_high\[4\] +*22 gpio_defaults_high\[5\] +*23 gpio_defaults_high\[6\] +*24 gpio_defaults_high\[7\] +*25 gpio_defaults_high\[8\] +*26 gpio_defaults_high\[9\] +*27 gpio_defaults_low\[10\] +*28 gpio_defaults_low\[1\] +*29 FILLER_0_29 +*30 FILLER_0_3 +*31 FILLER_0_33 +*32 FILLER_0_38 +*33 FILLER_0_43 +*34 FILLER_0_48 +*35 FILLER_0_55 +*36 FILLER_0_60 +*37 FILLER_0_9 +*38 FILLER_1_15 +*39 FILLER_1_27 +*40 FILLER_1_3 +*41 FILLER_1_39 +*42 FILLER_1_51 +*43 FILLER_1_55 +*44 FILLER_1_57 +*45 FILLER_1_61 +*46 FILLER_2_15 +*47 FILLER_2_27 +*48 FILLER_2_29 +*49 FILLER_2_3 +*50 FILLER_2_41 +*51 FILLER_2_53 +*52 FILLER_2_57 +*53 FILLER_2_61 +*54 PHY_0 +*55 PHY_1 +*56 PHY_2 +*57 PHY_3 +*58 PHY_4 +*59 PHY_5 +*60 TAP_10 +*61 TAP_6 +*62 TAP_7 +*63 TAP_8 +*64 TAP_9 +*65 gpio_default_value\[0\] +*66 gpio_default_value\[10\] +*67 gpio_default_value\[11\] +*68 gpio_default_value\[12\] +*69 gpio_default_value\[1\] +*70 gpio_default_value\[2\] +*71 gpio_default_value\[3\] +*72 gpio_default_value\[4\] +*73 gpio_default_value\[5\] +*74 gpio_default_value\[6\] +*75 gpio_default_value\[7\] +*76 gpio_default_value\[8\] +*77 gpio_default_value\[9\] + +*PORTS +gpio_defaults[0] O +gpio_defaults[10] O +gpio_defaults[11] O +gpio_defaults[12] O +gpio_defaults[1] O +gpio_defaults[2] O +gpio_defaults[3] O +gpio_defaults[4] O +gpio_defaults[5] O +gpio_defaults[6] O +gpio_defaults[7] O +gpio_defaults[8] O +gpio_defaults[9] O + +*D_NET *3 0.000662868 +*CONN +*P gpio_defaults[0] O +*I *65:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[0] 0.000295589 +2 *65:LO 0.000295589 +3 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *65:LO gpio_defaults[0] 21.1394 +*END + +*D_NET *4 0.000169932 +*CONN +*P gpio_defaults[10] O +*I *66:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[10] 8.49658e-05 +2 *66:HI 8.49658e-05 +3 gpio_defaults[10] gpio_defaults[11] 0 +4 gpio_defaults[10] gpio_defaults[9] 0 +*RES +1 *66:HI gpio_defaults[10] 15.7033 +*END + +*D_NET *5 0.000230895 +*CONN +*P gpio_defaults[11] O +*I *67:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[11] 0.000115448 +2 *67:LO 0.000115448 +3 gpio_defaults[11] gpio_defaults[12] 0 +4 gpio_defaults[10] gpio_defaults[11] 0 +*RES +1 *67:LO gpio_defaults[11] 16.5338 +*END + +*D_NET *6 0.000822209 +*CONN +*P gpio_defaults[12] O +*I *68:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[12] 0.000411104 +2 *68:LO 0.000411104 +3 gpio_defaults[11] gpio_defaults[12] 0 +*RES +1 *68:LO gpio_defaults[12] 23.2185 +*END + +*D_NET *7 0.00071336 +*CONN +*P gpio_defaults[1] O +*I *69:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[1] 0.000307544 +2 *69:HI 0.000307544 +3 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +4 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *69:HI gpio_defaults[1] 19.1997 +*END + +*D_NET *8 0.000464143 +*CONN +*P gpio_defaults[2] O +*I *70:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[2] 0.00021878 +2 *70:LO 0.00021878 +3 gpio_defaults[2] gpio_defaults[3] 0 +4 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +*RES +1 *70:LO gpio_defaults[2] 18.921 +*END + +*D_NET *9 0.000363376 +*CONN +*P gpio_defaults[3] O +*I *71:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[3] 0.000181688 +2 *71:LO 0.000181688 +3 gpio_defaults[3] gpio_defaults[4] 0 +4 gpio_defaults[2] gpio_defaults[3] 0 +*RES +1 *71:LO gpio_defaults[3] 17.8118 +*END + +*D_NET *10 0.000236028 +*CONN +*P gpio_defaults[4] O +*I *72:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[4] 0.000118014 +2 *72:LO 0.000118014 +3 gpio_defaults[4] gpio_defaults[5] 0 +4 gpio_defaults[3] gpio_defaults[4] 0 +*RES +1 *72:LO gpio_defaults[4] 16.5338 +*END + +*D_NET *11 0.000230895 +*CONN +*P gpio_defaults[5] O +*I *73:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[5] 0.000115448 +2 *73:LO 0.000115448 +3 gpio_defaults[5] gpio_defaults[6] 0 +4 gpio_defaults[4] gpio_defaults[5] 0 +*RES +1 *73:LO gpio_defaults[5] 16.5338 +*END + +*D_NET *12 0.000230895 +*CONN +*P gpio_defaults[6] O +*I *74:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[6] 0.000115448 +2 *74:LO 0.000115448 +3 gpio_defaults[6] gpio_defaults[7] 0 +4 gpio_defaults[5] gpio_defaults[6] 0 +*RES +1 *74:LO gpio_defaults[6] 16.5338 +*END + +*D_NET *13 0.00022764 +*CONN +*P gpio_defaults[7] O +*I *75:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[7] 0.00011382 +2 *75:LO 0.00011382 +3 gpio_defaults[7] gpio_defaults[8] 0 +4 gpio_defaults[6] gpio_defaults[7] 0 +*RES +1 *75:LO gpio_defaults[7] 16.5338 +*END + +*D_NET *14 0.000224385 +*CONN +*P gpio_defaults[8] O +*I *76:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[8] 0.000112192 +2 *76:LO 0.000112192 +3 gpio_defaults[8] gpio_defaults[9] 0 +4 gpio_defaults[7] gpio_defaults[8] 0 +*RES +1 *76:LO gpio_defaults[8] 16.5338 +*END + +*D_NET *15 0.00022764 +*CONN +*P gpio_defaults[9] O +*I *77:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[9] 0.00011382 +2 *77:LO 0.00011382 +3 gpio_defaults[10] gpio_defaults[9] 0 +4 gpio_defaults[8] gpio_defaults[9] 0 +*RES +1 *77:LO gpio_defaults[9] 16.5338 +*END diff --git a/spef/gpio_logic_high.sdc b/spef/gpio_logic_high.sdc new file mode 100644 index 00000000..00f00e38 --- /dev/null +++ b/spef/gpio_logic_high.sdc @@ -0,0 +1,21 @@ +############################################################################### +# Created by write_sdc +# Fri Nov 5 09:51:10 2021 +############################################################################### +current_design gpio_logic_high +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {gpio_logic1}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {gpio_logic1}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/spef/gpio_logic_high.spef b/spef/gpio_logic_high.spef new file mode 100644 index 00000000..e0950e41 --- /dev/null +++ b/spef/gpio_logic_high.spef @@ -0,0 +1,57 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_logic_high" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 gpio_logic1 +*2 FILLER_0_3 +*3 FILLER_0_7 +*4 FILLER_0_9 +*5 FILLER_1_11 +*6 FILLER_1_3 +*7 FILLER_2_3 +*8 FILLER_2_7 +*9 FILLER_2_9 +*10 FILLER_3_3 +*11 FILLER_4_3 +*12 FILLER_4_7 +*13 FILLER_4_9 +*14 PHY_0 +*15 PHY_1 +*16 PHY_2 +*17 PHY_3 +*18 PHY_4 +*19 PHY_5 +*20 PHY_6 +*21 PHY_7 +*22 PHY_8 +*23 PHY_9 +*24 TAP_10 +*25 TAP_11 +*26 TAP_12 +*27 gpio_logic_high + +*PORTS +gpio_logic1 O + +*D_NET *1 0.000513616 +*CONN +*P gpio_logic1 O +*I *27:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_logic1 0.000256808 +2 *27:HI 0.000256808 +*RES +1 *27:HI gpio_logic1 21.9631 +*END diff --git a/spef/housekeeping.sdc b/spef/housekeeping.sdc new file mode 100644 index 00000000..6b62897e --- /dev/null +++ b/spef/housekeeping.sdc @@ -0,0 +1,823 @@ +############################################################################### +# Created by write_sdc +# Wed Nov 24 20:39:01 2021 +############################################################################### +current_design housekeeping +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name wb_clk_i -period 25.0000 [get_ports {wb_clk_i}] +set_clock_transition 0.1500 [get_clocks {wb_clk_i}] +set_clock_uncertainty 0.2500 wb_clk_i +set_propagated_clock [get_clocks {wb_clk_i}] +create_clock -name mgmt_gpio_in -period 100.0000 [get_ports {mgmt_gpio_in[4]}] +set_clock_transition 0.1500 [get_clocks {mgmt_gpio_in}] +set_clock_uncertainty 0.2500 mgmt_gpio_in +set_propagated_clock [get_clocks {mgmt_gpio_in}] +create_generated_clock -name wbbd_sck -source [get_ports {wb_clk_i}] -divide_by 1 [get_pins {_9640_/Q}] +set_propagated_clock [get_clocks {wbbd_sck}] +create_generated_clock -name csclk_slow -source [get_ports {mgmt_gpio_in[4]}] -divide_by 1 [get_pins {_8847_/X}] +set_propagated_clock [get_clocks {csclk_slow}] +set_clock_groups -name group1 -logically_exclusive \ + -group [get_clocks {mgmt_gpio_in}]\ + -group [get_clocks {wb_clk_i}] +set_clock_groups -name group2 -logically_exclusive \ + -group [get_clocks {csclk_slow}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_mode}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_oeb}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_out}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[0]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[10]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[11]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[12]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[13]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[14]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[15]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[16]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[17]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[18]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[19]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[1]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[20]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[21]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[22]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[23]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[24]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[25]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[26]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[27]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[28]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[29]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[2]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[30]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[31]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[3]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[4]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[5]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[6]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[7]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[8]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[9]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[0]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[10]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[11]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[12]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[13]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[14]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[15]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[16]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[17]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[18]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[19]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[1]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[20]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[21]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[22]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[23]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[24]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[25]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[26]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[27]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[28]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[29]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[2]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[30]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[31]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[32]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[33]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[34]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[35]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[36]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[37]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[3]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[5]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[6]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[7]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[8]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[9]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_di}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_di}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {porb}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {qspi_enabled}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {ser_tx}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_csb}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_enabled}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sck}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdo}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdoenb}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_clk}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_csb}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_do}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_oeb}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_do}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_oeb}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_do}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_oeb}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_do}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_oeb}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[0]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[10]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[11]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[12]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[13]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[14]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[15]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[16]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[17]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[18]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[19]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[1]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[20]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[21]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[22]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[23]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[24]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[25]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[26]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[27]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[28]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[29]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[2]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[30]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[31]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[3]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[4]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[5]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[6]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[7]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[8]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_data[9]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {trap}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {uart_enabled}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_clock}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr1_vcc_pwrgood}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr1_vdd_pwrgood}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr2_vcc_pwrgood}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr2_vdd_pwrgood}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[0]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[10]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[11]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[12]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[13]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[14]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[15]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[16]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[17]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[18]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[19]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[1]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[20]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[21]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[22]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[23]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[24]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[25]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[26]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[27]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[28]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[29]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[2]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[30]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[31]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[3]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[4]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[5]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[6]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[7]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[8]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[9]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_cyc_i}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[0]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[10]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[11]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[12]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[13]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[14]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[15]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[16]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[17]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[18]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[19]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[1]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[20]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[21]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[22]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[23]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[24]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[25]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[26]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[27]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[28]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[29]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[2]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[30]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[31]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[3]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[4]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[5]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[6]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[7]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[8]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[9]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_rstn_i}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[0]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[1]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[2]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[3]}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_stb_i}] +set_input_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_we_i}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_in}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[10]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[11]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[12]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[13]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[14]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[15]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[16]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[17]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[18]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[19]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[20]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[21]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[22]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[23]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[24]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[25]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[26]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[27]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[28]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[29]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[30]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[31]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[32]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[33]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[34]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[35]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[36]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[37]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[3]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[4]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[5]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[6]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[7]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[8]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[9]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[10]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[11]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[12]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[13]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[14]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[15]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[16]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[17]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[18]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[19]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[20]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[21]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[22]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[23]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[24]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[25]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[26]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[27]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[28]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[29]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[30]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[31]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[32]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[33]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[34]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[35]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[36]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[37]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[3]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[4]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[5]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[6]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[7]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[8]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[9]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_clk}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_clk_oeb}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_csb}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_csb_oeb}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_do}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_ieb}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_oeb}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_do}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_ieb}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_oeb}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_bypass}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_dco_ena}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[3]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[4]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_ena}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[10]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[11]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[12]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[13]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[14]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[15]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[16]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[17]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[18]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[19]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[20]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[21]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[22]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[23]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[24]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[25]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[3]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[4]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[5]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[6]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[7]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[8]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[9]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[3]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {reset}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {ser_rx}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_clock}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_data_1}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_data_2}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_load}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_resetn}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdi}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_di}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_di}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_di}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_di}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_addr[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_addr[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_addr[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_addr[3]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_addr[4]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_addr[5]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_addr[6]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_addr[7]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_clk}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {sram_ro_csb}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_ack_o}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[0]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[10]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[11]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[12]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[13]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[14]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[15]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[16]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[17]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[18]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[19]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[1]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[20]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[21]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[22]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[23]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[24]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[25]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[26]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[27]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[28]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[29]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[2]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[30]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[31]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[3]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[4]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[5]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[6]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[7]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[8]}] +set_output_delay 5.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[9]}] +set_false_path\ + -from [list [get_ports {porb}]\ + [get_ports {wb_rstn_i}]] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {debug_in}] +set_load -pin_load 0.0334 [get_ports {pad_flash_clk}] +set_load -pin_load 0.0334 [get_ports {pad_flash_clk_oeb}] +set_load -pin_load 0.0334 [get_ports {pad_flash_csb}] +set_load -pin_load 0.0334 [get_ports {pad_flash_csb_oeb}] +set_load -pin_load 0.0334 [get_ports {pad_flash_io0_do}] +set_load -pin_load 0.0334 [get_ports {pad_flash_io0_ieb}] +set_load -pin_load 0.0334 [get_ports {pad_flash_io0_oeb}] +set_load -pin_load 0.0334 [get_ports {pad_flash_io1_do}] +set_load -pin_load 0.0334 [get_ports {pad_flash_io1_ieb}] +set_load -pin_load 0.0334 [get_ports {pad_flash_io1_oeb}] +set_load -pin_load 0.0334 [get_ports {pll_bypass}] +set_load -pin_load 0.0334 [get_ports {pll_dco_ena}] +set_load -pin_load 0.0334 [get_ports {pll_ena}] +set_load -pin_load 0.0334 [get_ports {reset}] +set_load -pin_load 0.0334 [get_ports {ser_rx}] +set_load -pin_load 0.0334 [get_ports {serial_clock}] +set_load -pin_load 0.0334 [get_ports {serial_data_1}] +set_load -pin_load 0.0334 [get_ports {serial_data_2}] +set_load -pin_load 0.0334 [get_ports {serial_load}] +set_load -pin_load 0.0334 [get_ports {serial_resetn}] +set_load -pin_load 0.0334 [get_ports {spi_sdi}] +set_load -pin_load 0.0334 [get_ports {spimemio_flash_io0_di}] +set_load -pin_load 0.0334 [get_ports {spimemio_flash_io1_di}] +set_load -pin_load 0.0334 [get_ports {spimemio_flash_io2_di}] +set_load -pin_load 0.0334 [get_ports {spimemio_flash_io3_di}] +set_load -pin_load 0.0334 [get_ports {sram_ro_clk}] +set_load -pin_load 0.0334 [get_ports {sram_ro_csb}] +set_load -pin_load 0.0334 [get_ports {wb_ack_o}] +set_load -pin_load 0.0334 [get_ports {irq[2]}] +set_load -pin_load 0.0334 [get_ports {irq[1]}] +set_load -pin_load 0.0334 [get_ports {irq[0]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[37]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[36]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[35]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[34]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[33]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[32]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[31]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[30]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[29]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[28]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[27]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[26]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[25]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[24]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[23]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[22]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[21]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[20]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[19]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[18]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[17]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[16]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[15]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[14]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[13]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[12]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[11]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[10]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[9]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[8]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[7]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[6]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[5]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[4]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[3]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[2]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[1]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_oeb[0]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[37]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[36]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[35]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[34]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[33]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[32]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[31]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[30]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[29]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[28]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[27]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[26]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[25]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[24]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[23]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[22]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[21]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[20]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[19]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[18]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[17]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[16]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[15]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[14]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[13]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[12]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[11]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[10]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[9]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[8]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[7]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[6]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[5]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[4]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[3]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[2]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[1]}] +set_load -pin_load 0.0334 [get_ports {mgmt_gpio_out[0]}] +set_load -pin_load 0.0334 [get_ports {pll90_sel[2]}] +set_load -pin_load 0.0334 [get_ports {pll90_sel[1]}] +set_load -pin_load 0.0334 [get_ports {pll90_sel[0]}] +set_load -pin_load 0.0334 [get_ports {pll_div[4]}] +set_load -pin_load 0.0334 [get_ports {pll_div[3]}] +set_load -pin_load 0.0334 [get_ports {pll_div[2]}] +set_load -pin_load 0.0334 [get_ports {pll_div[1]}] +set_load -pin_load 0.0334 [get_ports {pll_div[0]}] +set_load -pin_load 0.0334 [get_ports {pll_sel[2]}] +set_load -pin_load 0.0334 [get_ports {pll_sel[1]}] +set_load -pin_load 0.0334 [get_ports {pll_sel[0]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[25]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[24]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[23]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[22]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[21]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[20]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[19]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[18]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[17]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[16]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[15]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[14]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[13]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[12]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[11]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[10]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[9]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[8]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[7]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[6]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[5]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[4]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[3]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[2]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[1]}] +set_load -pin_load 0.0334 [get_ports {pll_trim[0]}] +set_load -pin_load 0.0334 [get_ports {pwr_ctrl_out[3]}] +set_load -pin_load 0.0334 [get_ports {pwr_ctrl_out[2]}] +set_load -pin_load 0.0334 [get_ports {pwr_ctrl_out[1]}] +set_load -pin_load 0.0334 [get_ports {pwr_ctrl_out[0]}] +set_load -pin_load 0.0334 [get_ports {sram_ro_addr[7]}] +set_load -pin_load 0.0334 [get_ports {sram_ro_addr[6]}] +set_load -pin_load 0.0334 [get_ports {sram_ro_addr[5]}] +set_load -pin_load 0.0334 [get_ports {sram_ro_addr[4]}] +set_load -pin_load 0.0334 [get_ports {sram_ro_addr[3]}] +set_load -pin_load 0.0334 [get_ports {sram_ro_addr[2]}] +set_load -pin_load 0.0334 [get_ports {sram_ro_addr[1]}] +set_load -pin_load 0.0334 [get_ports {sram_ro_addr[0]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[31]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[30]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[29]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[28]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[27]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[26]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[25]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[24]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[23]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[22]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[21]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[20]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[19]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[18]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[17]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[16]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[15]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[14]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[13]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[12]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[11]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[10]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[9]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[8]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[7]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[6]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[5]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[4]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[3]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[2]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[1]}] +set_load -pin_load 0.0334 [get_ports {wb_dat_o[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {debug_mode}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {debug_oeb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {debug_out}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {pad_flash_io0_di}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {pad_flash_io1_di}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {porb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {qspi_enabled}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ser_tx}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spi_csb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spi_enabled}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spi_sck}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spi_sdo}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spi_sdoenb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_clk}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_csb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_io0_do}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_io0_oeb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_io1_do}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_io1_oeb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_io2_do}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_io2_oeb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_io3_do}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {spimemio_flash_io3_oeb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {trap}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {uart_enabled}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {usr1_vcc_pwrgood}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {usr1_vdd_pwrgood}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {usr2_vcc_pwrgood}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {usr2_vdd_pwrgood}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_clk_i}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_cyc_i}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_rstn_i}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_stb_i}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_we_i}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mask_rev_in[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[37]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[36]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[35]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[34]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[33]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[32]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_in[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {sram_ro_data[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_adr_i[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_dat_i[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_sel_i[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_sel_i[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_sel_i[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_sel_i[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 20.0000 [current_design] diff --git a/spef/housekeeping.spef b/spef/housekeeping.spef new file mode 100644 index 00000000..0caddf3e --- /dev/null +++ b/spef/housekeeping.spef @@ -0,0 +1,349026 @@ +*SPEF "ieee 1481-1999" +*DESIGN "housekeeping" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 debug_in +*4 debug_mode +*5 debug_oeb +*6 debug_out +*7 irq[0] +*8 irq[1] +*9 irq[2] +*10 mask_rev_in[0] +*11 mask_rev_in[10] +*12 mask_rev_in[11] +*13 mask_rev_in[12] +*14 mask_rev_in[13] +*15 mask_rev_in[14] +*16 mask_rev_in[15] +*17 mask_rev_in[16] +*18 mask_rev_in[17] +*19 mask_rev_in[18] +*20 mask_rev_in[19] +*21 mask_rev_in[1] +*22 mask_rev_in[20] +*23 mask_rev_in[21] +*24 mask_rev_in[22] +*25 mask_rev_in[23] +*26 mask_rev_in[24] +*27 mask_rev_in[25] +*28 mask_rev_in[26] +*29 mask_rev_in[27] +*30 mask_rev_in[28] +*31 mask_rev_in[29] +*32 mask_rev_in[2] +*33 mask_rev_in[30] +*34 mask_rev_in[31] +*35 mask_rev_in[3] +*36 mask_rev_in[4] +*37 mask_rev_in[5] +*38 mask_rev_in[6] +*39 mask_rev_in[7] +*40 mask_rev_in[8] +*41 mask_rev_in[9] +*42 mgmt_gpio_in[0] +*43 mgmt_gpio_in[10] +*44 mgmt_gpio_in[11] +*45 mgmt_gpio_in[12] +*46 mgmt_gpio_in[13] +*47 mgmt_gpio_in[14] +*48 mgmt_gpio_in[15] +*49 mgmt_gpio_in[16] +*50 mgmt_gpio_in[17] +*51 mgmt_gpio_in[18] +*52 mgmt_gpio_in[19] +*53 mgmt_gpio_in[1] +*54 mgmt_gpio_in[20] +*55 mgmt_gpio_in[21] +*56 mgmt_gpio_in[22] +*57 mgmt_gpio_in[23] +*58 mgmt_gpio_in[24] +*59 mgmt_gpio_in[25] +*60 mgmt_gpio_in[26] +*61 mgmt_gpio_in[27] +*62 mgmt_gpio_in[28] +*63 mgmt_gpio_in[29] +*64 mgmt_gpio_in[2] +*65 mgmt_gpio_in[30] +*66 mgmt_gpio_in[31] +*67 mgmt_gpio_in[32] +*68 mgmt_gpio_in[33] +*69 mgmt_gpio_in[34] +*70 mgmt_gpio_in[35] +*71 mgmt_gpio_in[36] +*72 mgmt_gpio_in[37] +*73 mgmt_gpio_in[3] +*74 mgmt_gpio_in[4] +*75 mgmt_gpio_in[5] +*76 mgmt_gpio_in[6] +*77 mgmt_gpio_in[7] +*78 mgmt_gpio_in[8] +*79 mgmt_gpio_in[9] +*80 mgmt_gpio_oeb[0] +*81 mgmt_gpio_oeb[10] +*82 mgmt_gpio_oeb[11] +*83 mgmt_gpio_oeb[12] +*84 mgmt_gpio_oeb[13] +*85 mgmt_gpio_oeb[14] +*86 mgmt_gpio_oeb[15] +*87 mgmt_gpio_oeb[16] +*88 mgmt_gpio_oeb[17] +*89 mgmt_gpio_oeb[18] +*90 mgmt_gpio_oeb[19] +*91 mgmt_gpio_oeb[1] +*92 mgmt_gpio_oeb[20] +*93 mgmt_gpio_oeb[21] +*94 mgmt_gpio_oeb[22] +*95 mgmt_gpio_oeb[23] +*96 mgmt_gpio_oeb[24] +*97 mgmt_gpio_oeb[25] +*98 mgmt_gpio_oeb[26] +*99 mgmt_gpio_oeb[27] +*100 mgmt_gpio_oeb[28] +*101 mgmt_gpio_oeb[29] +*102 mgmt_gpio_oeb[2] +*103 mgmt_gpio_oeb[30] +*104 mgmt_gpio_oeb[31] +*105 mgmt_gpio_oeb[32] +*106 mgmt_gpio_oeb[33] +*107 mgmt_gpio_oeb[34] +*108 mgmt_gpio_oeb[35] +*109 mgmt_gpio_oeb[36] +*110 mgmt_gpio_oeb[37] +*111 mgmt_gpio_oeb[3] +*112 mgmt_gpio_oeb[4] +*113 mgmt_gpio_oeb[5] +*114 mgmt_gpio_oeb[6] +*115 mgmt_gpio_oeb[7] +*116 mgmt_gpio_oeb[8] +*117 mgmt_gpio_oeb[9] +*118 mgmt_gpio_out[0] +*119 mgmt_gpio_out[10] +*120 mgmt_gpio_out[11] +*121 mgmt_gpio_out[12] +*122 mgmt_gpio_out[13] +*123 mgmt_gpio_out[14] +*124 mgmt_gpio_out[15] +*125 mgmt_gpio_out[16] +*126 mgmt_gpio_out[17] +*127 mgmt_gpio_out[18] +*128 mgmt_gpio_out[19] +*129 mgmt_gpio_out[1] +*130 mgmt_gpio_out[20] +*131 mgmt_gpio_out[21] +*132 mgmt_gpio_out[22] +*133 mgmt_gpio_out[23] +*134 mgmt_gpio_out[24] +*135 mgmt_gpio_out[25] +*136 mgmt_gpio_out[26] +*137 mgmt_gpio_out[27] +*138 mgmt_gpio_out[28] +*139 mgmt_gpio_out[29] +*140 mgmt_gpio_out[2] +*141 mgmt_gpio_out[30] +*142 mgmt_gpio_out[31] +*143 mgmt_gpio_out[32] +*144 mgmt_gpio_out[33] +*145 mgmt_gpio_out[34] +*146 mgmt_gpio_out[35] +*147 mgmt_gpio_out[36] +*148 mgmt_gpio_out[37] +*149 mgmt_gpio_out[3] +*150 mgmt_gpio_out[4] +*151 mgmt_gpio_out[5] +*152 mgmt_gpio_out[6] +*153 mgmt_gpio_out[7] +*154 mgmt_gpio_out[8] +*155 mgmt_gpio_out[9] +*156 pad_flash_clk +*157 pad_flash_clk_oeb +*158 pad_flash_csb +*159 pad_flash_csb_oeb +*160 pad_flash_io0_di +*161 pad_flash_io0_do +*162 pad_flash_io0_ieb +*163 pad_flash_io0_oeb +*164 pad_flash_io1_di +*165 pad_flash_io1_do +*166 pad_flash_io1_ieb +*167 pad_flash_io1_oeb +*168 pll90_sel[0] +*169 pll90_sel[1] +*170 pll90_sel[2] +*171 pll_bypass +*172 pll_dco_ena +*173 pll_div[0] +*174 pll_div[1] +*175 pll_div[2] +*176 pll_div[3] +*177 pll_div[4] +*178 pll_ena +*179 pll_sel[0] +*180 pll_sel[1] +*181 pll_sel[2] +*182 pll_trim[0] +*183 pll_trim[10] +*184 pll_trim[11] +*185 pll_trim[12] +*186 pll_trim[13] +*187 pll_trim[14] +*188 pll_trim[15] +*189 pll_trim[16] +*190 pll_trim[17] +*191 pll_trim[18] +*192 pll_trim[19] +*193 pll_trim[1] +*194 pll_trim[20] +*195 pll_trim[21] +*196 pll_trim[22] +*197 pll_trim[23] +*198 pll_trim[24] +*199 pll_trim[25] +*200 pll_trim[2] +*201 pll_trim[3] +*202 pll_trim[4] +*203 pll_trim[5] +*204 pll_trim[6] +*205 pll_trim[7] +*206 pll_trim[8] +*207 pll_trim[9] +*208 porb +*209 pwr_ctrl_out[0] +*210 pwr_ctrl_out[1] +*211 pwr_ctrl_out[2] +*212 pwr_ctrl_out[3] +*213 qspi_enabled +*214 reset +*215 ser_rx +*216 ser_tx +*217 serial_clock +*218 serial_data_1 +*219 serial_data_2 +*220 serial_load +*221 serial_resetn +*222 spi_csb +*223 spi_enabled +*224 spi_sck +*225 spi_sdi +*226 spi_sdo +*227 spi_sdoenb +*228 spimemio_flash_clk +*229 spimemio_flash_csb +*230 spimemio_flash_io0_di +*231 spimemio_flash_io0_do +*232 spimemio_flash_io0_oeb +*233 spimemio_flash_io1_di +*234 spimemio_flash_io1_do +*235 spimemio_flash_io1_oeb +*236 spimemio_flash_io2_di +*237 spimemio_flash_io2_do +*238 spimemio_flash_io2_oeb +*239 spimemio_flash_io3_di +*240 spimemio_flash_io3_do +*241 spimemio_flash_io3_oeb +*242 sram_ro_addr[0] +*243 sram_ro_addr[1] +*244 sram_ro_addr[2] +*245 sram_ro_addr[3] +*246 sram_ro_addr[4] +*247 sram_ro_addr[5] +*248 sram_ro_addr[6] +*249 sram_ro_addr[7] +*250 sram_ro_clk +*251 sram_ro_csb +*252 sram_ro_data[0] +*253 sram_ro_data[10] +*254 sram_ro_data[11] +*255 sram_ro_data[12] +*256 sram_ro_data[13] +*257 sram_ro_data[14] +*258 sram_ro_data[15] +*259 sram_ro_data[16] +*260 sram_ro_data[17] +*261 sram_ro_data[18] +*262 sram_ro_data[19] +*263 sram_ro_data[1] +*264 sram_ro_data[20] +*265 sram_ro_data[21] +*266 sram_ro_data[22] +*267 sram_ro_data[23] +*268 sram_ro_data[24] +*269 sram_ro_data[25] +*270 sram_ro_data[26] +*271 sram_ro_data[27] +*272 sram_ro_data[28] +*273 sram_ro_data[29] +*274 sram_ro_data[2] +*275 sram_ro_data[30] +*276 sram_ro_data[31] +*277 sram_ro_data[3] +*278 sram_ro_data[4] +*279 sram_ro_data[5] +*280 sram_ro_data[6] +*281 sram_ro_data[7] +*282 sram_ro_data[8] +*283 sram_ro_data[9] +*284 trap +*285 uart_enabled +*286 user_clock +*287 usr1_vcc_pwrgood +*288 usr1_vdd_pwrgood +*289 usr2_vcc_pwrgood +*290 usr2_vdd_pwrgood +*291 wb_ack_o +*292 wb_adr_i[0] +*293 wb_adr_i[10] +*294 wb_adr_i[11] +*295 wb_adr_i[12] +*296 wb_adr_i[13] +*297 wb_adr_i[14] +*298 wb_adr_i[15] +*299 wb_adr_i[16] +*300 wb_adr_i[17] +*301 wb_adr_i[18] +*302 wb_adr_i[19] +*303 wb_adr_i[1] +*304 wb_adr_i[20] +*305 wb_adr_i[21] +*306 wb_adr_i[22] +*307 wb_adr_i[23] +*308 wb_adr_i[24] +*309 wb_adr_i[25] +*310 wb_adr_i[26] +*311 wb_adr_i[27] +*312 wb_adr_i[28] +*313 wb_adr_i[29] +*314 wb_adr_i[2] +*315 wb_adr_i[30] +*316 wb_adr_i[31] +*317 wb_adr_i[3] +*318 wb_adr_i[4] +*319 wb_adr_i[5] +*320 wb_adr_i[6] +*321 wb_adr_i[7] +*322 wb_adr_i[8] +*323 wb_adr_i[9] +*324 wb_clk_i +*325 wb_cyc_i +*326 wb_dat_i[0] +*327 wb_dat_i[10] +*328 wb_dat_i[11] +*329 wb_dat_i[12] +*330 wb_dat_i[13] +*331 wb_dat_i[14] +*332 wb_dat_i[15] +*333 wb_dat_i[16] +*334 wb_dat_i[17] +*335 wb_dat_i[18] +*336 wb_dat_i[19] +*337 wb_dat_i[1] +*338 wb_dat_i[20] +*339 wb_dat_i[21] +*340 wb_dat_i[22] +*341 wb_dat_i[23] +*342 wb_dat_i[24] +*343 wb_dat_i[25] +*344 wb_dat_i[26] +*345 wb_dat_i[27] +*346 wb_dat_i[28] +*347 wb_dat_i[29] +*348 wb_dat_i[2] +*349 wb_dat_i[30] +*350 wb_dat_i[31] +*351 wb_dat_i[3] +*352 wb_dat_i[4] +*353 wb_dat_i[5] +*354 wb_dat_i[6] +*355 wb_dat_i[7] +*356 wb_dat_i[8] +*357 wb_dat_i[9] +*358 wb_dat_o[0] +*359 wb_dat_o[10] +*360 wb_dat_o[11] +*361 wb_dat_o[12] +*362 wb_dat_o[13] +*363 wb_dat_o[14] +*364 wb_dat_o[15] +*365 wb_dat_o[16] +*366 wb_dat_o[17] +*367 wb_dat_o[18] +*368 wb_dat_o[19] +*369 wb_dat_o[1] +*370 wb_dat_o[20] +*371 wb_dat_o[21] +*372 wb_dat_o[22] +*373 wb_dat_o[23] +*374 wb_dat_o[24] +*375 wb_dat_o[25] +*376 wb_dat_o[26] +*377 wb_dat_o[27] +*378 wb_dat_o[28] +*379 wb_dat_o[29] +*380 wb_dat_o[2] +*381 wb_dat_o[30] +*382 wb_dat_o[31] +*383 wb_dat_o[3] +*384 wb_dat_o[4] +*385 wb_dat_o[5] +*386 wb_dat_o[6] +*387 wb_dat_o[7] +*388 wb_dat_o[8] +*389 wb_dat_o[9] +*390 wb_rstn_i +*391 wb_sel_i[0] +*392 wb_sel_i[1] +*393 wb_sel_i[2] +*394 wb_sel_i[3] +*395 wb_stb_i +*396 wb_we_i +*397 _0000_ +*398 _0001_ +*399 _0002_ +*400 _0003_ +*401 _0004_ +*402 _0005_ +*403 _0006_ +*404 _0007_ +*405 _0008_ +*406 _0009_ +*407 _0010_ +*408 _0011_ +*409 _0012_ +*410 _0013_ +*411 _0014_ +*412 _0015_ +*413 _0016_ +*414 _0017_ +*415 _0018_ +*416 _0019_ +*417 _0020_ +*418 _0021_ +*419 _0022_ +*420 _0023_ +*421 _0024_ +*422 _0025_ +*423 _0026_ +*424 _0027_ +*425 _0028_ +*426 _0029_ +*427 _0030_ +*428 _0031_ +*429 _0032_ +*430 _0033_ +*431 _0034_ +*432 _0035_ +*433 _0036_ +*434 _0037_ +*435 _0038_ +*436 _0039_ +*437 _0040_ +*438 _0041_ +*439 _0042_ +*440 _0043_ +*441 _0044_ +*442 _0045_ +*443 _0046_ +*444 _0047_ +*445 _0048_ +*446 _0049_ +*447 _0050_ +*448 _0051_ +*449 _0052_ +*450 _0053_ +*451 _0054_ +*452 _0055_ +*453 _0056_ +*454 _0057_ +*455 _0058_ +*456 _0059_ +*457 _0060_ +*458 _0061_ +*459 _0062_ +*460 _0063_ +*461 _0064_ +*462 _0065_ +*463 _0066_ +*464 _0067_ +*465 _0068_ +*466 _0069_ +*467 _0070_ +*468 _0071_ +*469 _0072_ +*470 _0073_ +*471 _0074_ +*472 _0075_ +*473 _0076_ +*474 _0077_ +*475 _0078_ +*476 _0079_ +*477 _0080_ +*478 _0081_ +*479 _0082_ +*480 _0083_ +*481 _0084_ +*482 _0085_ +*483 _0086_ +*484 _0087_ +*485 _0088_ +*486 _0089_ +*487 _0090_ +*488 _0091_ +*489 _0092_ +*490 _0093_ +*491 _0094_ +*492 _0095_ +*493 _0096_ +*494 _0097_ +*495 _0098_ +*496 _0099_ +*497 _0100_ +*498 _0101_ +*499 _0102_ +*500 _0103_ +*501 _0104_ +*502 _0105_ +*503 _0106_ +*504 _0107_ +*505 _0108_ +*506 _0109_ +*507 _0110_ +*508 _0111_ +*509 _0112_ +*510 _0113_ +*511 _0114_ +*512 _0115_ +*513 _0116_ +*514 _0117_ +*515 _0118_ +*516 _0119_ +*517 _0120_ +*518 _0121_ +*519 _0122_ +*520 _0123_ +*521 _0124_ +*522 _0125_ +*523 _0126_ +*524 _0127_ +*525 _0128_ +*526 _0129_ +*527 _0130_ +*528 _0131_ +*529 _0132_ +*530 _0133_ +*531 _0134_ +*532 _0135_ +*533 _0136_ +*534 _0137_ +*535 _0138_ +*536 _0139_ +*537 _0140_ +*538 _0141_ +*539 _0142_ +*540 _0143_ +*541 _0144_ +*542 _0145_ +*543 _0146_ +*544 _0147_ +*545 _0148_ +*546 _0149_ +*547 _0150_ +*548 _0151_ +*549 _0152_ +*550 _0153_ +*551 _0154_ +*552 _0155_ +*553 _0156_ +*554 _0157_ +*555 _0158_ +*556 _0159_ +*557 _0160_ +*558 _0161_ +*559 _0162_ +*560 _0163_ +*561 _0164_ +*562 _0165_ +*563 _0166_ +*564 _0167_ +*565 _0168_ +*566 _0169_ +*567 _0170_ +*568 _0171_ +*569 _0172_ +*570 _0173_ +*571 _0174_ +*572 _0175_ +*573 _0176_ +*574 _0177_ +*575 _0178_ +*576 _0179_ +*577 _0180_ +*578 _0181_ +*579 _0182_ +*580 _0183_ +*581 _0184_ +*582 _0185_ +*583 _0186_ +*584 _0187_ +*585 _0188_ +*586 _0189_ +*587 _0190_ +*588 _0191_ +*589 _0192_ +*590 _0193_ +*591 _0194_ +*592 _0195_ +*593 _0196_ +*594 _0197_ +*595 _0198_ +*596 _0199_ +*597 _0200_ +*598 _0201_ +*599 _0202_ +*600 _0203_ +*601 _0204_ +*602 _0205_ +*603 _0206_ +*604 _0207_ +*605 _0208_ +*606 _0209_ +*607 _0210_ +*608 _0211_ +*609 _0212_ +*610 _0213_ +*611 _0214_ +*612 _0215_ +*613 _0216_ +*614 _0217_ +*615 _0218_ +*616 _0219_ +*617 _0220_ +*618 _0221_ +*619 _0223_ +*620 _0224_ +*621 _0225_ +*622 _0226_ +*623 _0227_ +*624 _0228_ +*625 _0229_ +*626 _0230_ +*627 _0231_ +*628 _0232_ +*629 _0233_ +*630 _0234_ +*631 _0235_ +*632 _0236_ +*633 _0237_ +*634 _0238_ +*635 _0239_ +*636 _0240_ +*637 _0241_ +*638 _0242_ +*639 _0243_ +*640 _0244_ +*641 _0245_ +*642 _0246_ +*643 _0247_ +*644 _0248_ +*645 _0249_ +*646 _0250_ +*647 _0251_ +*648 _0252_ +*649 _0253_ +*650 _0254_ +*651 _0255_ +*652 _0256_ +*653 _0257_ +*654 _0258_ +*655 _0259_ +*656 _0260_ +*657 _0261_ +*658 _0262_ +*659 _0263_ +*660 _0264_ +*661 _0265_ +*662 _0266_ +*663 _0267_ +*664 _0268_ +*665 _0269_ +*666 _0270_ +*667 _0271_ +*668 _0272_ +*669 _0273_ +*670 _0274_ +*671 _0275_ +*672 _0276_ +*673 _0277_ +*674 _0278_ +*675 _0279_ +*676 _0280_ +*677 _0281_ +*678 _0282_ +*679 _0283_ +*680 _0284_ +*681 _0285_ +*682 _0286_ +*683 _0287_ +*684 _0288_ +*685 _0289_ +*686 _0290_ +*687 _0291_ +*688 _0292_ +*689 _0293_ +*690 _0294_ +*691 _0295_ +*692 _0296_ +*693 _0297_ +*694 _0298_ +*695 _0299_ +*696 _0300_ +*697 _0301_ +*698 _0302_ +*699 _0303_ +*700 _0304_ +*701 _0305_ +*702 _0306_ +*703 _0307_ +*704 _0308_ +*705 _0309_ +*706 _0310_ +*707 _0311_ +*708 _0312_ +*709 _0313_ +*710 _0314_ +*711 _0315_ +*712 _0316_ +*713 _0317_ +*714 _0318_ +*715 _0319_ +*716 _0320_ +*717 _0321_ +*718 _0322_ +*719 _0323_ +*720 _0324_ +*721 _0325_ +*722 _0326_ +*723 _0327_ +*724 _0328_ +*725 _0329_ +*726 _0330_ +*727 _0331_ +*728 _0332_ +*729 _0333_ +*730 _0334_ +*731 _0335_ +*732 _0336_ +*733 _0337_ +*734 _0338_ +*735 _0339_ +*736 _0340_ +*737 _0341_ +*738 _0342_ +*739 _0343_ +*740 _0344_ +*741 _0345_ +*742 _0346_ +*743 _0347_ +*744 _0348_ +*745 _0349_ +*746 _0350_ +*747 _0351_ +*748 _0352_ +*749 _0353_ +*750 _0354_ +*751 _0355_ +*752 _0356_ +*753 _0357_ +*754 _0358_ +*755 _0359_ +*756 _0360_ +*757 _0361_ +*758 _0362_ +*759 _0363_ +*760 _0364_ +*761 _0365_ +*762 _0366_ +*763 _0367_ +*764 _0368_ +*765 _0369_ +*766 _0370_ +*767 _0371_ +*768 _0372_ +*769 _0373_ +*770 _0374_ +*771 _0375_ +*772 _0376_ +*773 _0377_ +*774 _0378_ +*775 _0379_ +*776 _0380_ +*777 _0381_ +*778 _0382_ +*779 _0383_ +*780 _0384_ +*781 _0385_ +*782 _0386_ +*783 _0387_ +*784 _0388_ +*785 _0389_ +*786 _0390_ +*787 _0391_ +*788 _0392_ +*789 _0393_ +*790 _0394_ +*791 _0395_ +*792 _0396_ +*793 _0397_ +*794 _0398_ +*795 _0399_ +*796 _0400_ +*797 _0401_ +*798 _0402_ +*799 _0403_ +*800 _0404_ +*801 _0405_ +*802 _0406_ +*803 _0407_ +*804 _0408_ +*805 _0409_ +*806 _0410_ +*807 _0411_ +*808 _0412_ +*809 _0413_ +*810 _0414_ +*811 _0415_ +*812 _0416_ +*813 _0417_ +*814 _0418_ +*815 _0419_ +*816 _0420_ +*817 _0421_ +*818 _0422_ +*819 _0423_ +*820 _0424_ +*821 _0425_ +*822 _0426_ +*823 _0427_ +*824 _0428_ +*825 _0429_ +*826 _0430_ +*827 _0431_ +*828 _0432_ +*829 _0433_ +*830 _0434_ +*831 _0435_ +*832 _0436_ +*833 _0437_ +*834 _0438_ +*835 _0439_ +*836 _0440_ +*837 _0441_ +*838 _0442_ +*839 _0443_ +*840 _0444_ +*841 _0445_ +*842 _0446_ +*843 _0447_ +*844 _0448_ +*845 _0449_ +*846 _0450_ +*847 _0451_ +*848 _0452_ +*849 _0453_ +*850 _0454_ +*851 _0455_ +*852 _0456_ +*853 _0457_ +*854 _0458_ +*855 _0459_ +*856 _0460_ +*857 _0461_ +*858 _0462_ +*859 _0463_ +*860 _0464_ +*861 _0465_ +*862 _0466_ +*863 _0467_ +*864 _0468_ +*865 _0469_ +*866 _0470_ +*867 _0471_ +*868 _0472_ +*869 _0473_ +*870 _0474_ +*871 _0475_ +*872 _0476_ +*873 _0477_ +*874 _0478_ +*875 _0479_ +*876 _0480_ +*877 _0481_ +*878 _0482_ +*879 _0483_ +*880 _0484_ +*881 _0485_ +*882 _0486_ +*883 _0487_ +*884 _0488_ +*885 _0489_ +*886 _0490_ +*887 _0491_ +*888 _0492_ +*889 _0493_ +*890 _0494_ +*891 _0495_ +*892 _0496_ +*893 _0497_ +*894 _0498_ +*895 _0499_ +*896 _0500_ +*897 _0501_ +*898 _0502_ +*899 _0503_ +*900 _0504_ +*901 _0505_ +*902 _0506_ +*903 _0507_ +*904 _0508_ +*905 _0509_ +*906 _0510_ +*907 _0511_ +*908 _0512_ +*909 _0513_ +*910 _0514_ +*911 _0515_ +*912 _0516_ +*913 _0517_ +*914 _0518_ +*915 _0519_ +*916 _0520_ +*917 _0521_ +*918 _0522_ +*919 _0523_ +*920 _0524_ +*921 _0525_ +*922 _0526_ +*923 _0527_ +*924 _0528_ +*925 _0529_ +*926 _0530_ +*927 _0531_ +*928 _0532_ +*929 _0533_ +*930 _0534_ +*931 _0535_ +*932 _0536_ +*933 _0537_ +*934 _0538_ +*935 _0539_ +*936 _0540_ +*937 _0541_ +*938 _0542_ +*939 _0543_ +*940 _0544_ +*941 _0545_ +*942 _0546_ +*943 _0547_ +*944 _0548_ +*945 _0549_ +*946 _0550_ +*947 _0551_ +*948 _0552_ +*949 _0553_ +*950 _0554_ +*951 _0555_ +*952 _0556_ +*953 _0557_ +*954 _0558_ +*955 _0559_ +*956 _0560_ +*957 _0561_ +*958 _0562_ +*959 _0563_ +*960 _0564_ +*961 _0565_ +*962 _0566_ +*963 _0567_ +*964 _0568_ +*965 _0569_ +*966 _0570_ +*967 _0571_ +*968 _0572_ +*969 _0573_ +*970 _0574_ +*971 _0575_ +*972 _0576_ +*973 _0577_ +*974 _0578_ +*975 _0579_ +*976 _0580_ +*977 _0581_ +*978 _0582_ +*979 _0583_ +*980 _0584_ +*981 _0585_ +*982 _0586_ +*983 _0587_ +*984 _0588_ +*985 _0589_ +*986 _0590_ +*987 _0591_ +*988 _0592_ +*989 _0593_ +*990 _0594_ +*991 _0595_ +*992 _0596_ +*993 _0597_ +*994 _0598_ +*995 _0599_ +*996 _0600_ +*997 _0601_ +*998 _0602_ +*999 _0603_ +*1000 _0604_ +*1001 _0605_ +*1002 _0606_ +*1003 _0607_ +*1004 _0608_ +*1005 _0609_ +*1006 _0610_ +*1007 _0611_ +*1008 _0612_ +*1009 _0613_ +*1010 _0614_ +*1011 _0615_ +*1012 _0616_ +*1013 _0617_ +*1014 _0618_ +*1015 _0619_ +*1016 _0620_ +*1017 _0621_ +*1018 _0622_ +*1019 _0623_ +*1020 _0624_ +*1021 _0625_ +*1022 _0626_ +*1023 _0627_ +*1024 _0628_ +*1025 _0629_ +*1026 _0630_ +*1027 _0631_ +*1028 _0632_ +*1029 _0633_ +*1030 _0634_ +*1031 _0635_ +*1032 _0636_ +*1033 _0637_ +*1034 _0638_ +*1035 _0639_ +*1036 _0640_ +*1037 _0641_ +*1038 _0642_ +*1039 _0643_ +*1040 _0644_ +*1041 _0645_ +*1042 _0646_ +*1043 _0647_ +*1044 _0648_ +*1045 _0649_ +*1046 _0650_ +*1047 _0651_ +*1048 _0652_ +*1049 _0653_ +*1050 _0654_ +*1051 _0655_ +*1052 _0656_ +*1053 _0657_ +*1054 _0658_ +*1055 _0659_ +*1056 _0660_ +*1057 _0661_ +*1058 _0662_ +*1059 _0663_ +*1060 _0664_ +*1061 _0665_ +*1062 _0666_ +*1063 _0667_ +*1064 _0668_ +*1065 _0669_ +*1066 _0670_ +*1067 _0671_ +*1068 _0672_ +*1069 _0673_ +*1070 _0674_ +*1071 _0675_ +*1072 _0676_ +*1073 _0677_ +*1074 _0678_ +*1075 _0679_ +*1076 _0680_ +*1077 _0681_ +*1078 _0682_ +*1079 _0683_ +*1080 _0684_ +*1081 _0685_ +*1082 _0686_ +*1083 _0687_ +*1084 _0688_ +*1085 _0689_ +*1086 _0690_ +*1087 _0691_ +*1088 _0692_ +*1089 _0693_ +*1090 _0694_ +*1091 _0695_ +*1092 _0696_ +*1093 _0697_ +*1094 _0698_ +*1095 _0699_ +*1096 _0700_ +*1097 _0701_ +*1098 _0702_ +*1099 _0703_ +*1100 _0704_ +*1101 _0705_ +*1102 _0706_ +*1103 _0707_ +*1104 _0708_ +*1105 _0709_ +*1106 _0710_ +*1107 _0711_ +*1108 _0712_ +*1109 _0713_ +*1110 _0714_ +*1111 _0715_ +*1112 _0716_ +*1113 _0717_ +*1114 _0718_ +*1115 _0719_ +*1116 _0720_ +*1117 _0721_ +*1118 _0722_ +*1119 _0723_ +*1120 _0724_ +*1121 _0725_ +*1122 _0726_ +*1123 _0727_ +*1124 _0728_ +*1125 _0729_ +*1126 _0730_ +*1127 _0731_ +*1128 _0732_ +*1129 _0733_ +*1130 _0734_ +*1131 _0735_ +*1132 _0736_ +*1133 _0737_ +*1134 _0738_ +*1135 _0739_ +*1136 _0740_ +*1137 _0741_ +*1138 _0742_ +*1139 _0743_ +*1140 _0744_ +*1141 _0745_ +*1142 _0746_ +*1143 _0747_ +*1144 _0748_ +*1145 _0749_ +*1146 _0750_ +*1147 _0751_ +*1148 _0752_ +*1149 _0753_ +*1150 _0754_ +*1151 _0755_ +*1152 _0756_ +*1153 _0757_ +*1154 _0758_ +*1155 _0759_ +*1156 _0760_ +*1157 _0761_ +*1158 _0762_ +*1159 _0763_ +*1160 _0764_ +*1161 _0765_ +*1162 _0766_ +*1163 _0767_ +*1164 _0768_ +*1165 _0769_ +*1166 _0770_ +*1167 _0771_ +*1168 _0772_ +*1169 _0773_ +*1170 _0774_ +*1171 _0775_ +*1172 _0776_ +*1173 _0777_ +*1174 _0778_ +*1175 _0779_ +*1176 _0780_ +*1177 _0781_ +*1178 _0782_ +*1179 _0783_ +*1180 _0784_ +*1181 _0785_ +*1182 _0786_ +*1183 _0787_ +*1184 _0788_ +*1185 _0789_ +*1186 _0790_ +*1187 _0791_ +*1188 _0792_ +*1189 _0793_ +*1190 _0794_ +*1191 _0795_ +*1192 _0796_ +*1193 _0797_ +*1194 _0798_ +*1195 _0799_ +*1196 _0800_ +*1197 _0801_ +*1198 _0802_ +*1199 _0803_ +*1200 _0804_ +*1201 _0805_ +*1202 _0806_ +*1203 _0807_ +*1204 _0808_ +*1205 _0809_ +*1206 _0810_ +*1207 _0811_ +*1208 _0812_ +*1209 _0813_ +*1210 _0814_ +*1211 _0815_ +*1212 _0816_ +*1213 _0817_ +*1214 _0818_ +*1215 _0819_ +*1216 _0820_ +*1217 _0821_ +*1218 _0822_ +*1219 _0823_ +*1220 _0824_ +*1221 _0825_ +*1222 _0826_ +*1223 _0827_ +*1224 _0828_ +*1225 _0829_ +*1226 _0830_ +*1227 _0831_ +*1228 _0832_ +*1229 _0833_ +*1230 _0834_ +*1231 _0835_ +*1232 _0836_ +*1233 _0837_ +*1234 _0838_ +*1235 _0839_ +*1236 _0840_ +*1237 _0841_ +*1238 _0842_ +*1239 _0843_ +*1240 _0844_ +*1241 _0845_ +*1242 _0846_ +*1243 _0847_ +*1244 _0848_ +*1245 _0849_ +*1246 _0850_ +*1247 _0851_ +*1248 _0852_ +*1249 _0853_ +*1250 _0854_ +*1251 _0855_ +*1252 _0856_ +*1253 _0857_ +*1254 _0858_ +*1255 _0859_ +*1256 _0860_ +*1257 _0861_ +*1258 _0862_ +*1259 _0863_ +*1260 _0864_ +*1261 _0865_ +*1262 _0866_ +*1263 _0867_ +*1264 _0868_ +*1265 _0869_ +*1266 _0870_ +*1267 _0871_ +*1268 _0872_ +*1269 _0873_ +*1270 _0874_ +*1271 _0875_ +*1272 _0876_ +*1273 _0877_ +*1274 _0878_ +*1275 _0879_ +*1276 _0880_ +*1277 _0881_ +*1278 _0882_ +*1279 _0883_ +*1280 _0884_ +*1281 _0885_ +*1282 _0886_ +*1283 _0887_ +*1284 _0888_ +*1285 _0889_ +*1286 _0890_ +*1287 _0891_ +*1288 _0892_ +*1289 _0893_ +*1290 _0894_ +*1291 _0895_ +*1292 _0896_ +*1293 _0897_ +*1294 _0898_ +*1295 _0899_ +*1296 _0900_ +*1297 _0901_ +*1298 _0902_ +*1299 _0903_ +*1300 _0904_ +*1301 _0905_ +*1302 _0906_ +*1303 _0907_ +*1304 _0908_ +*1305 _0909_ +*1306 _0910_ +*1307 _0911_ +*1308 _0912_ +*1309 _0913_ +*1310 _0914_ +*1311 _0915_ +*1312 _0916_ +*1313 _0917_ +*1314 _0918_ +*1315 _0919_ +*1316 _0920_ +*1317 _0921_ +*1318 _0922_ +*1319 _0923_ +*1320 _0924_ +*1321 _0925_ +*1322 _0926_ +*1323 _0927_ +*1324 _0928_ +*1325 _0929_ +*1326 _0930_ +*1327 _0931_ +*1328 _0932_ +*1329 _0933_ +*1330 _0934_ +*1331 _0935_ +*1332 _0936_ +*1333 _0937_ +*1334 _0938_ +*1335 _0939_ +*1336 _0940_ +*1337 _0941_ +*1338 _0942_ +*1339 _0943_ +*1340 _0944_ +*1341 _0945_ +*1342 _0946_ +*1343 _0947_ +*1344 _0948_ +*1345 _0949_ +*1346 _0950_ +*1347 _0951_ +*1348 _0952_ +*1349 _0953_ +*1350 _0954_ +*1351 _0955_ +*1352 _0956_ +*1353 _0957_ +*1354 _0958_ +*1355 _0959_ +*1356 _0960_ +*1357 _0961_ +*1358 _0962_ +*1359 _0963_ +*1360 _0964_ +*1361 _0965_ +*1362 _0966_ +*1363 _0967_ +*1364 _0968_ +*1365 _0969_ +*1366 _0970_ +*1367 _0971_ +*1368 _0972_ +*1369 _0973_ +*1370 _0974_ +*1371 _0975_ +*1372 _0976_ +*1373 _0977_ +*1374 _0978_ +*1375 _0979_ +*1376 _0980_ +*1377 _0981_ +*1378 _0982_ +*1379 _0983_ +*1380 _0984_ +*1381 _0985_ +*1382 _0986_ +*1383 _0987_ +*1384 _0988_ +*1385 _0989_ +*1386 _0990_ +*1387 _0991_ +*1388 _0992_ +*1389 _0993_ +*1390 _0994_ +*1391 _0995_ +*1392 _0996_ +*1393 _0997_ +*1394 _0998_ +*1395 _0999_ +*1396 _1000_ +*1397 _1001_ +*1398 _1002_ +*1399 _1003_ +*1400 _1004_ +*1401 _1005_ +*1402 _1006_ +*1403 _1007_ +*1404 _1008_ +*1405 _1009_ +*1406 _1010_ +*1407 _1011_ +*1408 _1012_ +*1409 _1013_ +*1410 _1014_ +*1411 _1015_ +*1412 _1016_ +*1413 _1017_ +*1414 _1018_ +*1415 _1019_ +*1416 _1020_ +*1417 _1021_ +*1418 _1022_ +*1419 _1023_ +*1420 _1024_ +*1421 _1025_ +*1422 _1026_ +*1423 _1027_ +*1424 _1028_ +*1425 _1029_ +*1426 _1030_ +*1427 _1031_ +*1428 _1032_ +*1429 _1033_ +*1430 _1034_ +*1431 _1035_ +*1432 _1036_ +*1433 _1037_ +*1434 _1038_ +*1435 _1039_ +*1436 _1040_ +*1437 _1041_ +*1438 _1042_ +*1439 _1043_ +*1440 _1044_ +*1441 _1045_ +*1442 _1046_ +*1443 _1047_ +*1444 _1048_ +*1445 _1049_ +*1446 _1050_ +*1447 _1051_ +*1448 _1052_ +*1449 _1053_ +*1450 _1054_ +*1451 _1055_ +*1452 _1056_ +*1453 _1057_ +*1454 _1058_ +*1455 _1059_ +*1456 _1060_ +*1457 _1061_ +*1458 _1062_ +*1459 _1063_ +*1460 _1064_ +*1461 _1065_ +*1462 _1066_ +*1463 _1067_ +*1464 _1068_ +*1465 _1069_ +*1466 _1070_ +*1467 _1071_ +*1468 _1072_ +*1469 _1073_ +*1470 _1074_ +*1471 _1075_ +*1472 _1076_ +*1473 _1077_ +*1474 _1078_ +*1475 _1079_ +*1476 _1080_ +*1477 _1081_ +*1478 _1082_ +*1479 _1083_ +*1480 _1084_ +*1481 _1085_ +*1482 _1086_ +*1483 _1087_ +*1484 _1088_ +*1485 _1089_ +*1486 _1090_ +*1487 _1091_ +*1488 _1092_ +*1489 _1093_ +*1490 _1094_ +*1491 _1095_ +*1492 _1096_ +*1493 _1097_ +*1494 _1098_ +*1495 _1099_ +*1496 _1100_ +*1497 _1101_ +*1498 _1102_ +*1499 _1103_ +*1500 _1104_ +*1501 _1105_ +*1502 _1106_ +*1503 _1107_ +*1504 _1108_ +*1505 _1109_ +*1506 _1110_ +*1507 _1111_ +*1508 _1112_ +*1509 _1113_ +*1510 _1114_ +*1511 _1115_ +*1512 _1116_ +*1513 _1117_ +*1514 _1118_ +*1515 _1119_ +*1516 _1120_ +*1517 _1121_ +*1518 _1122_ +*1519 _1123_ +*1520 _1124_ +*1521 _1125_ +*1522 _1126_ +*1523 _1127_ +*1524 _1128_ +*1525 _1129_ +*1526 _1130_ +*1527 _1131_ +*1528 _1132_ +*1529 _1133_ +*1530 _1134_ +*1531 _1135_ +*1532 _1136_ +*1533 _1137_ +*1534 _1138_ +*1535 _1139_ +*1536 _1140_ +*1537 _1141_ +*1538 _1142_ +*1539 _1143_ +*1540 _1144_ +*1541 _1145_ +*1542 _1146_ +*1543 _1147_ +*1544 _1148_ +*1545 _1149_ +*1546 _1150_ +*1547 _1151_ +*1548 _1152_ +*1549 _1153_ +*1550 _1154_ +*1551 _1155_ +*1552 _1156_ +*1553 _1157_ +*1554 _1158_ +*1555 _1159_ +*1556 _1160_ +*1557 _1161_ +*1558 _1162_ +*1559 _1163_ +*1560 _1164_ +*1561 _1165_ +*1562 _1166_ +*1563 _1167_ +*1564 _1168_ +*1565 _1169_ +*1566 _1170_ +*1567 _1171_ +*1568 _1172_ +*1569 _1173_ +*1570 _1174_ +*1571 _1175_ +*1572 _1176_ +*1573 _1177_ +*1574 _1178_ +*1575 _1179_ +*1576 _1180_ +*1577 _1181_ +*1578 _1182_ +*1579 _1183_ +*1580 _1184_ +*1581 _1185_ +*1582 _1186_ +*1583 _1187_ +*1584 _1188_ +*1585 _1189_ +*1586 _1190_ +*1587 _1191_ +*1588 _1192_ +*1589 _1193_ +*1590 _1194_ +*1591 _1195_ +*1592 _1196_ +*1593 _1197_ +*1594 _1198_ +*1595 _1199_ +*1596 _1200_ +*1597 _1201_ +*1598 _1202_ +*1599 _1203_ +*1600 _1204_ +*1601 _1205_ +*1602 _1206_ +*1603 _1207_ +*1604 _1208_ +*1605 _1209_ +*1606 _1210_ +*1607 _1211_ +*1608 _1212_ +*1609 _1213_ +*1610 _1214_ +*1611 _1215_ +*1612 _1216_ +*1613 _1217_ +*1614 _1218_ +*1615 _1219_ +*1616 _1220_ +*1617 _1221_ +*1618 _1222_ +*1619 _1223_ +*1620 _1224_ +*1621 _1225_ +*1622 _1226_ +*1623 _1227_ +*1624 _1228_ +*1625 _1229_ +*1626 _1230_ +*1627 _1231_ +*1628 _1232_ +*1629 _1233_ +*1630 _1234_ +*1631 _1235_ +*1632 _1236_ +*1633 _1237_ +*1634 _1238_ +*1635 _1239_ +*1636 _1240_ +*1637 _1241_ +*1638 _1242_ +*1639 _1243_ +*1640 _1244_ +*1641 _1245_ +*1642 _1246_ +*1643 _1247_ +*1644 _1248_ +*1645 _1249_ +*1646 _1250_ +*1647 _1251_ +*1648 _1252_ +*1649 _1253_ +*1650 _1254_ +*1651 _1255_ +*1652 _1256_ +*1653 _1257_ +*1654 _1258_ +*1655 _1259_ +*1656 _1260_ +*1657 _1261_ +*1658 _1262_ +*1659 _1263_ +*1660 _1264_ +*1661 _1265_ +*1662 _1266_ +*1663 _1267_ +*1664 _1268_ +*1665 _1269_ +*1666 _1270_ +*1667 _1271_ +*1668 _1272_ +*1669 _1273_ +*1670 _1274_ +*1671 _1275_ +*1672 _1276_ +*1673 _1277_ +*1674 _1278_ +*1675 _1279_ +*1676 _1280_ +*1677 _1281_ +*1678 _1282_ +*1679 _1283_ +*1680 _1284_ +*1681 _1285_ +*1682 _1286_ +*1683 _1287_ +*1684 _1288_ +*1685 _1289_ +*1686 _1290_ +*1687 _1291_ +*1688 _1292_ +*1689 _1293_ +*1690 _1294_ +*1691 _1295_ +*1692 _1296_ +*1693 _1297_ +*1694 _1298_ +*1695 _1299_ +*1696 _1300_ +*1697 _1301_ +*1698 _1302_ +*1699 _1303_ +*1700 _1304_ +*1701 _1305_ +*1702 _1306_ +*1703 _1307_ +*1704 _1308_ +*1705 _1309_ +*1706 _1310_ +*1707 _1311_ +*1708 _1312_ +*1709 _1313_ +*1710 _1314_ +*1711 _1315_ +*1712 _1316_ +*1713 _1317_ +*1714 _1318_ +*1715 _1319_ +*1716 _1320_ +*1717 _1321_ +*1718 _1322_ +*1719 _1323_ +*1720 _1324_ +*1721 _1325_ +*1722 _1326_ +*1723 _1327_ +*1724 _1328_ +*1725 _1329_ +*1726 _1330_ +*1727 _1331_ +*1728 _1332_ +*1729 _1333_ +*1730 _1334_ +*1731 _1335_ +*1732 _1336_ +*1733 _1337_ +*1734 _1338_ +*1735 _1339_ +*1736 _1340_ +*1737 _1341_ +*1738 _1342_ +*1739 _1343_ +*1740 _1344_ +*1741 _1345_ +*1742 _1346_ +*1743 _1347_ +*1744 _1348_ +*1745 _1349_ +*1746 _1350_ +*1747 _1351_ +*1748 _1352_ +*1749 _1353_ +*1750 _1354_ +*1751 _1355_ +*1752 _1356_ +*1753 _1357_ +*1754 _1358_ +*1755 _1359_ +*1756 _1360_ +*1757 _1361_ +*1758 _1362_ +*1759 _1363_ +*1760 _1364_ +*1761 _1365_ +*1762 _1366_ +*1763 _1367_ +*1764 _1368_ +*1765 _1369_ +*1766 _1370_ +*1767 _1371_ +*1768 _1372_ +*1769 _1373_ +*1770 _1374_ +*1771 _1375_ +*1772 _1376_ +*1773 _1377_ +*1774 _1378_ +*1775 _1379_ +*1776 _1380_ +*1777 _1381_ +*1778 _1382_ +*1779 _1383_ +*1780 _1384_ +*1781 _1385_ +*1782 _1386_ +*1783 _1387_ +*1784 _1388_ +*1785 _1389_ +*1786 _1390_ +*1787 _1391_ +*1788 _1392_ +*1789 _1393_ +*1790 _1394_ +*1791 _1395_ +*1792 _1396_ +*1793 _1397_ +*1794 _1398_ +*1795 _1399_ +*1796 _1400_ +*1797 _1401_ +*1798 _1402_ +*1799 _1403_ +*1800 _1404_ +*1801 _1405_ +*1802 _1406_ +*1803 _1407_ +*1804 _1408_ +*1805 _1409_ +*1806 _1410_ +*1807 _1411_ +*1808 _1412_ +*1809 _1413_ +*1810 _1414_ +*1811 _1415_ +*1812 _1416_ +*1813 _1417_ +*1814 _1418_ +*1815 _1419_ +*1816 _1420_ +*1817 _1421_ +*1818 _1422_ +*1819 _1423_ +*1820 _1424_ +*1821 _1425_ +*1822 _1426_ +*1823 _1427_ +*1824 _1428_ +*1825 _1429_ +*1826 _1430_ +*1827 _1431_ +*1828 _1432_ +*1829 _1433_ +*1830 _1434_ +*1831 _1435_ +*1832 _1436_ +*1833 _1437_ +*1834 _1438_ +*1835 _1439_ +*1836 _1440_ +*1837 _1441_ +*1838 _1442_ +*1839 _1443_ +*1840 _1444_ +*1841 _1445_ +*1842 _1446_ +*1843 _1447_ +*1844 _1448_ +*1845 _1449_ +*1846 _1450_ +*1847 _1451_ +*1848 _1452_ +*1849 _1453_ +*1850 _1454_ +*1851 _1455_ +*1852 _1456_ +*1853 _1457_ +*1854 _1458_ +*1855 _1459_ +*1856 _1460_ +*1857 _1461_ +*1858 _1462_ +*1859 _1463_ +*1860 _1464_ +*1861 _1465_ +*1862 _1466_ +*1863 _1467_ +*1864 _1468_ +*1865 _1469_ +*1866 _1470_ +*1867 _1471_ +*1868 _1472_ +*1869 _1473_ +*1870 _1474_ +*1871 _1475_ +*1872 _1476_ +*1873 _1477_ +*1874 _1478_ +*1875 _1479_ +*1876 _1480_ +*1877 _1481_ +*1878 _1482_ +*1879 _1483_ +*1880 _1484_ +*1881 _1485_ +*1882 _1486_ +*1883 _1487_ +*1884 _1488_ +*1885 _1489_ +*1886 _1490_ +*1887 _1491_ +*1888 _1492_ +*1889 _1493_ +*1890 _1494_ +*1891 _1495_ +*1892 _1496_ +*1893 _1497_ +*1894 _1498_ +*1895 _1499_ +*1896 _1500_ +*1897 _1501_ +*1898 _1502_ +*1899 _1503_ +*1900 _1504_ +*1901 _1505_ +*1902 _1506_ +*1903 _1507_ +*1904 _1508_ +*1905 _1509_ +*1906 _1510_ +*1907 _1511_ +*1908 _1512_ +*1909 _1513_ +*1910 _1514_ +*1911 _1515_ +*1912 _1516_ +*1913 _1517_ +*1914 _1518_ +*1915 _1519_ +*1916 _1520_ +*1917 _1521_ +*1918 _1522_ +*1919 _1523_ +*1920 _1524_ +*1921 _1525_ +*1922 _1526_ +*1923 _1527_ +*1924 _1528_ +*1925 _1529_ +*1926 _1530_ +*1927 _1531_ +*1928 _1532_ +*1929 _1533_ +*1930 _1534_ +*1931 _1535_ +*1932 _1536_ +*1933 _1537_ +*1934 _1538_ +*1935 _1539_ +*1936 _1540_ +*1937 _1541_ +*1938 _1542_ +*1939 _1543_ +*1940 _1544_ +*1941 _1545_ +*1942 _1546_ +*1943 _1547_ +*1944 _1548_ +*1945 _1549_ +*1946 _1550_ +*1947 _1551_ +*1948 _1552_ +*1949 _1553_ +*1950 _1554_ +*1951 _1555_ +*1952 _1556_ +*1953 _1557_ +*1954 _1558_ +*1955 _1559_ +*1956 _1560_ +*1957 _1561_ +*1958 _1562_ +*1959 _1563_ +*1960 _1564_ +*1961 _1565_ +*1962 _1566_ +*1963 _1567_ +*1964 _1568_ +*1965 _1569_ +*1966 _1570_ +*1967 _1571_ +*1968 _1572_ +*1969 _1573_ +*1970 _1574_ +*1971 _1575_ +*1972 _1576_ +*1973 _1577_ +*1974 _1578_ +*1975 _1579_ +*1976 _1580_ +*1977 _1581_ +*1978 _1582_ +*1979 _1583_ +*1980 _1584_ +*1981 _1585_ +*1982 _1586_ +*1983 _1587_ +*1984 _1588_ +*1985 _1589_ +*1986 _1590_ +*1987 _1591_ +*1988 _1592_ +*1989 _1593_ +*1990 _1594_ +*1991 _1595_ +*1992 _1596_ +*1993 _1597_ +*1994 _1598_ +*1995 _1599_ +*1996 _1600_ +*1997 _1601_ +*1998 _1602_ +*1999 _1603_ +*2000 _1604_ +*2001 _1605_ +*2002 _1606_ +*2003 _1607_ +*2004 _1608_ +*2005 _1609_ +*2006 _1610_ +*2007 _1611_ +*2008 _1612_ +*2009 _1613_ +*2010 _1614_ +*2011 _1615_ +*2012 _1616_ +*2013 _1617_ +*2014 _1618_ +*2015 _1619_ +*2016 _1620_ +*2017 _1621_ +*2018 _1622_ +*2019 _1623_ +*2020 _1624_ +*2021 _1625_ +*2022 _1626_ +*2023 _1627_ +*2024 _1628_ +*2025 _1629_ +*2026 _1630_ +*2027 _1631_ +*2028 _1632_ +*2029 _1633_ +*2030 _1634_ +*2031 _1635_ +*2032 _1636_ +*2033 _1637_ +*2034 _1638_ +*2035 _1639_ +*2036 _1640_ +*2037 _1641_ +*2038 _1642_ +*2039 _1643_ +*2040 _1644_ +*2041 _1645_ +*2042 _1646_ +*2043 _1647_ +*2044 _1648_ +*2045 _1649_ +*2046 _1650_ +*2047 _1651_ +*2048 _1652_ +*2049 _1653_ +*2050 _1654_ +*2051 _1655_ +*2052 _1656_ +*2053 _1657_ +*2054 _1658_ +*2055 _1659_ +*2056 _1660_ +*2057 _1661_ +*2058 _1662_ +*2059 _1663_ +*2060 _1664_ +*2061 _1665_ +*2062 _1666_ +*2063 _1667_ +*2064 _1668_ +*2065 _1669_ +*2066 _1670_ +*2067 _1671_ +*2068 _1672_ +*2069 _1673_ +*2070 _1674_ +*2071 _1675_ +*2072 _1676_ +*2073 _1677_ +*2074 _1678_ +*2075 _1679_ +*2076 _1680_ +*2077 _1681_ +*2078 _1682_ +*2079 _1683_ +*2080 _1684_ +*2081 _1685_ +*2082 _1686_ +*2083 _1687_ +*2084 _1688_ +*2085 _1689_ +*2086 _1690_ +*2087 _1691_ +*2088 _1692_ +*2089 _1693_ +*2090 _1694_ +*2091 _1695_ +*2092 _1696_ +*2093 _1697_ +*2094 _1698_ +*2095 _1699_ +*2096 _1700_ +*2097 _1701_ +*2098 _1702_ +*2099 _1703_ +*2100 _1704_ +*2101 _1705_ +*2102 _1706_ +*2103 _1707_ +*2104 _1708_ +*2105 _1709_ +*2106 _1710_ +*2107 _1711_ +*2108 _1712_ +*2109 _1713_ +*2110 _1714_ +*2111 _1715_ +*2112 _1716_ +*2113 _1717_ +*2114 _1718_ +*2115 _1719_ +*2116 _1720_ +*2117 _1721_ +*2118 _1722_ +*2119 _1723_ +*2120 _1724_ +*2121 _1725_ +*2122 _1726_ +*2123 _1727_ +*2124 _1728_ +*2125 _1729_ +*2126 _1730_ +*2127 _1731_ +*2128 _1732_ +*2129 _1733_ +*2130 _1734_ +*2131 _1735_ +*2132 _1736_ +*2133 _1737_ +*2134 _1738_ +*2135 _1739_ +*2136 _1740_ +*2137 _1741_ +*2138 _1742_ +*2139 _1743_ +*2140 _1744_ +*2141 _1745_ +*2142 _1746_ +*2143 _1747_ +*2144 _1748_ +*2145 _1749_ +*2146 _1750_ +*2147 _1751_ +*2148 _1752_ +*2149 _1753_ +*2150 _1754_ +*2151 _1755_ +*2152 _1756_ +*2153 _1757_ +*2154 _1758_ +*2155 _1759_ +*2156 _1760_ +*2157 _1761_ +*2158 _1762_ +*2159 _1763_ +*2160 _1764_ +*2161 _1765_ +*2162 _1766_ +*2163 _1767_ +*2164 _1768_ +*2165 _1769_ +*2166 _1770_ +*2167 _1771_ +*2168 _1772_ +*2169 _1773_ +*2170 _1774_ +*2171 _1775_ +*2172 _1776_ +*2173 _1777_ +*2174 _1778_ +*2175 _1779_ +*2176 _1780_ +*2177 _1781_ +*2178 _1782_ +*2179 _1783_ +*2180 _1784_ +*2181 _1785_ +*2182 _1786_ +*2183 _1787_ +*2184 _1788_ +*2185 _1789_ +*2186 _1790_ +*2187 _1791_ +*2188 _1792_ +*2189 _1793_ +*2190 _1794_ +*2191 _1795_ +*2192 _1796_ +*2193 _1797_ +*2194 _1798_ +*2195 _1799_ +*2196 _1800_ +*2197 _1801_ +*2198 _1802_ +*2199 _1803_ +*2200 _1804_ +*2201 _1805_ +*2202 _1806_ +*2203 _1807_ +*2204 _1808_ +*2205 _1809_ +*2206 _1810_ +*2207 _1811_ +*2208 _1812_ +*2209 _1813_ +*2210 _1814_ +*2211 _1815_ +*2212 _1816_ +*2213 _1817_ +*2214 _1818_ +*2215 _1819_ +*2216 _1820_ +*2217 _1821_ +*2218 _1822_ +*2219 _1823_ +*2220 _1824_ +*2221 _1825_ +*2222 _1826_ +*2223 _1827_ +*2224 _1828_ +*2225 _1829_ +*2226 _1830_ +*2227 _1831_ +*2228 _1832_ +*2229 _1833_ +*2230 _1834_ +*2231 _1835_ +*2232 _1836_ +*2233 _1837_ +*2234 _1838_ +*2235 _1839_ +*2236 _1840_ +*2237 _1841_ +*2238 _1842_ +*2239 _1843_ +*2240 _1844_ +*2241 _1845_ +*2242 _1846_ +*2243 _1847_ +*2244 _1848_ +*2245 _1849_ +*2246 _1850_ +*2247 _1851_ +*2248 _1852_ +*2249 _1853_ +*2250 _1854_ +*2251 _1855_ +*2252 _1856_ +*2253 _1857_ +*2254 _1858_ +*2255 _1859_ +*2256 _1860_ +*2257 _1861_ +*2258 _1862_ +*2259 _1863_ +*2260 _1864_ +*2261 _1865_ +*2262 _1866_ +*2263 _1867_ +*2264 _1868_ +*2265 _1869_ +*2266 _1870_ +*2267 _1871_ +*2268 _1872_ +*2269 _1873_ +*2270 _1874_ +*2271 _1875_ +*2272 _1876_ +*2273 _1877_ +*2274 _1878_ +*2275 _1879_ +*2276 _1880_ +*2277 _1881_ +*2278 _1882_ +*2279 _1883_ +*2280 _1884_ +*2281 _1885_ +*2282 _1886_ +*2283 _1887_ +*2284 _1888_ +*2285 _1889_ +*2286 _1890_ +*2287 _1891_ +*2288 _1892_ +*2289 _1893_ +*2290 _1894_ +*2291 _1895_ +*2292 _1896_ +*2293 _1897_ +*2294 _1898_ +*2295 _1899_ +*2296 _1900_ +*2297 _1901_ +*2298 _1902_ +*2299 _1903_ +*2300 _1904_ +*2301 _1905_ +*2302 _1906_ +*2303 _1907_ +*2304 _1908_ +*2305 _1909_ +*2306 _1910_ +*2307 _1911_ +*2308 _1912_ +*2309 _1913_ +*2310 _1914_ +*2311 _1915_ +*2312 _1916_ +*2313 _1917_ +*2314 _1918_ +*2315 _1919_ +*2316 _1920_ +*2317 _1921_ +*2318 _1922_ +*2319 _1923_ +*2320 _1924_ +*2321 _1925_ +*2322 _1926_ +*2323 _1927_ +*2324 _1928_ +*2325 _1929_ +*2326 _1930_ +*2327 _1931_ +*2328 _1932_ +*2329 _1933_ +*2330 _1934_ +*2331 _1935_ +*2332 _1936_ +*2333 _1937_ +*2334 _1938_ +*2335 _1939_ +*2336 _1940_ +*2337 _1941_ +*2338 _1942_ +*2339 _1943_ +*2340 _1944_ +*2341 _1945_ +*2342 _1946_ +*2343 _1947_ +*2344 _1948_ +*2345 _1949_ +*2346 _1950_ +*2347 _1951_ +*2348 _1952_ +*2349 _1953_ +*2350 _1954_ +*2351 _1955_ +*2352 _1956_ +*2353 _1957_ +*2354 _1958_ +*2355 _1959_ +*2356 _1960_ +*2357 _1961_ +*2358 _1962_ +*2359 _1963_ +*2360 _1964_ +*2361 _1965_ +*2362 _1966_ +*2363 _1967_ +*2364 _1968_ +*2365 _1969_ +*2366 _1970_ +*2367 _1971_ +*2368 _1972_ +*2369 _1973_ +*2370 _1974_ +*2371 _1975_ +*2372 _1976_ +*2373 _1977_ +*2374 _1978_ +*2375 _1979_ +*2376 _1980_ +*2377 _1981_ +*2378 _1982_ +*2379 _1983_ +*2380 _1984_ +*2381 _1985_ +*2382 _1986_ +*2383 _1987_ +*2384 _1988_ +*2385 _1989_ +*2386 _1990_ +*2387 _1991_ +*2388 _1992_ +*2389 _1993_ +*2390 _1994_ +*2391 _1995_ +*2392 _1996_ +*2393 _1997_ +*2394 _1998_ +*2395 _1999_ +*2396 _2000_ +*2397 _2001_ +*2398 _2002_ +*2399 _2003_ +*2400 _2004_ +*2401 _2005_ +*2402 _2006_ +*2403 _2007_ +*2404 _2008_ +*2405 _2009_ +*2406 _2010_ +*2407 _2011_ +*2408 _2012_ +*2409 _2013_ +*2410 _2014_ +*2411 _2015_ +*2412 _2016_ +*2413 _2017_ +*2414 _2018_ +*2415 _2019_ +*2416 _2020_ +*2417 _2021_ +*2418 _2022_ +*2419 _2023_ +*2420 _2024_ +*2421 _2025_ +*2422 _2026_ +*2423 _2027_ +*2424 _2028_ +*2425 _2029_ +*2426 _2030_ +*2427 _2031_ +*2428 _2032_ +*2429 _2033_ +*2430 _2034_ +*2431 _2035_ +*2432 _2036_ +*2433 _2037_ +*2434 _2038_ +*2435 _2039_ +*2436 _2040_ +*2437 _2041_ +*2438 _2042_ +*2439 _2043_ +*2440 _2044_ +*2441 _2045_ +*2442 _2046_ +*2443 _2047_ +*2444 _2048_ +*2445 _2049_ +*2446 _2050_ +*2447 _2051_ +*2448 _2052_ +*2449 _2053_ +*2450 _2054_ +*2451 _2055_ +*2452 _2056_ +*2453 _2057_ +*2454 _2058_ +*2455 _2059_ +*2456 _2060_ +*2457 _2061_ +*2458 _2062_ +*2459 _2063_ +*2460 _2064_ +*2461 _2065_ +*2462 _2066_ +*2463 _2067_ +*2464 _2068_ +*2465 _2069_ +*2466 _2070_ +*2467 _2071_ +*2468 _2072_ +*2469 _2073_ +*2470 _2074_ +*2471 _2075_ +*2472 _2076_ +*2473 _2077_ +*2474 _2078_ +*2475 _2079_ +*2476 _2080_ +*2477 _2081_ +*2478 _2082_ +*2479 _2083_ +*2480 _2084_ +*2481 _2085_ +*2482 _2086_ +*2483 _2087_ +*2484 _2088_ +*2485 _2089_ +*2486 _2090_ +*2487 _2091_ +*2488 _2092_ +*2489 _2093_ +*2490 _2094_ +*2491 _2095_ +*2492 _2096_ +*2493 _2097_ +*2494 _2098_ +*2495 _2099_ +*2496 _2100_ +*2497 _2101_ +*2498 _2102_ +*2499 _2103_ +*2500 _2104_ +*2501 _2105_ +*2502 _2106_ +*2503 _2107_ +*2504 _2108_ +*2505 _2109_ +*2506 _2110_ +*2507 _2111_ +*2508 _2112_ +*2509 _2113_ +*2510 _2114_ +*2511 _2115_ +*2512 _2116_ +*2513 _2117_ +*2514 _2118_ +*2515 _2119_ +*2516 _2120_ +*2517 _2121_ +*2518 _2122_ +*2519 _2123_ +*2520 _2124_ +*2521 _2125_ +*2522 _2126_ +*2523 _2127_ +*2524 _2128_ +*2525 _2129_ +*2526 _2130_ +*2527 _2131_ +*2528 _2132_ +*2529 _2133_ +*2530 _2134_ +*2531 _2135_ +*2532 _2136_ +*2533 _2137_ +*2534 _2138_ +*2535 _2139_ +*2536 _2140_ +*2537 _2141_ +*2538 _2142_ +*2539 _2143_ +*2540 _2144_ +*2541 _2145_ +*2542 _2146_ +*2543 _2147_ +*2544 _2148_ +*2545 _2149_ +*2546 _2150_ +*2547 _2151_ +*2548 _2152_ +*2549 _2153_ +*2550 _2154_ +*2551 _2155_ +*2552 _2156_ +*2553 _2157_ +*2554 _2158_ +*2555 _2159_ +*2556 _2160_ +*2557 _2161_ +*2558 _2162_ +*2559 _2163_ +*2560 _2164_ +*2561 _2165_ +*2562 _2166_ +*2563 _2167_ +*2564 _2168_ +*2565 _2169_ +*2566 _2170_ +*2567 _2171_ +*2568 _2172_ +*2569 _2173_ +*2570 _2174_ +*2571 _2175_ +*2572 _2176_ +*2573 _2177_ +*2574 _2178_ +*2575 _2179_ +*2576 _2180_ +*2577 _2181_ +*2578 _2182_ +*2579 _2183_ +*2580 _2184_ +*2581 _2185_ +*2582 _2186_ +*2583 _2187_ +*2584 _2188_ +*2585 _2189_ +*2586 _2190_ +*2587 _2191_ +*2588 _2192_ +*2589 _2193_ +*2590 _2194_ +*2591 _2195_ +*2592 _2196_ +*2593 _2197_ +*2594 _2198_ +*2595 _2199_ +*2596 _2200_ +*2597 _2201_ +*2598 _2202_ +*2599 _2203_ +*2600 _2204_ +*2601 _2205_ +*2602 _2206_ +*2603 _2207_ +*2604 _2208_ +*2605 _2209_ +*2606 _2210_ +*2607 _2211_ +*2608 _2212_ +*2609 _2213_ +*2610 _2214_ +*2611 _2215_ +*2612 _2216_ +*2613 _2217_ +*2614 _2218_ +*2615 _2219_ +*2616 _2220_ +*2617 _2221_ +*2618 _2222_ +*2619 _2223_ +*2620 _2224_ +*2621 _2225_ +*2622 _2226_ +*2623 _2227_ +*2624 _2228_ +*2625 _2229_ +*2626 _2230_ +*2627 _2231_ +*2628 _2232_ +*2629 _2233_ +*2630 _2234_ +*2631 _2235_ +*2632 _2236_ +*2633 _2237_ +*2634 _2238_ +*2635 _2239_ +*2636 _2240_ +*2637 _2241_ +*2638 _2242_ +*2639 _2243_ +*2640 _2244_ +*2641 _2245_ +*2642 _2246_ +*2643 _2247_ +*2644 _2248_ +*2645 _2249_ +*2646 _2250_ +*2647 _2251_ +*2648 _2252_ +*2649 _2253_ +*2650 _2254_ +*2651 _2255_ +*2652 _2256_ +*2653 _2257_ +*2654 _2258_ +*2655 _2259_ +*2656 _2260_ +*2657 _2261_ +*2658 _2262_ +*2659 _2263_ +*2660 _2264_ +*2661 _2265_ +*2662 _2266_ +*2663 _2267_ +*2664 _2268_ +*2665 _2269_ +*2666 _2270_ +*2667 _2271_ +*2668 _2272_ +*2669 _2273_ +*2670 _2274_ +*2671 _2275_ +*2672 _2276_ +*2673 _2277_ +*2674 _2278_ +*2675 _2279_ +*2676 _2280_ +*2677 _2281_ +*2678 _2282_ +*2679 _2283_ +*2680 _2284_ +*2681 _2285_ +*2682 _2286_ +*2683 _2287_ +*2684 _2288_ +*2685 _2289_ +*2686 _2290_ +*2687 _2291_ +*2688 _2292_ +*2689 _2293_ +*2690 _2294_ +*2691 _2295_ +*2692 _2296_ +*2693 _2297_ +*2694 _2298_ +*2695 _2299_ +*2696 _2300_ +*2697 _2301_ +*2698 _2302_ +*2699 _2303_ +*2700 _2304_ +*2701 _2305_ +*2702 _2306_ +*2703 _2307_ +*2704 _2308_ +*2705 _2309_ +*2706 _2310_ +*2707 _2311_ +*2708 _2312_ +*2709 _2313_ +*2710 _2314_ +*2711 _2315_ +*2712 _2316_ +*2713 _2317_ +*2714 _2318_ +*2715 _2319_ +*2716 _2320_ +*2717 _2321_ +*2718 _2322_ +*2719 _2323_ +*2720 _2324_ +*2721 _2325_ +*2722 _2326_ +*2723 _2327_ +*2724 _2328_ +*2725 _2329_ +*2726 _2330_ +*2727 _2331_ +*2728 _2332_ +*2729 _2333_ +*2730 _2334_ +*2731 _2335_ +*2732 _2336_ +*2733 _2337_ +*2734 _2338_ +*2735 _2339_ +*2736 _2340_ +*2737 _2341_ +*2738 _2342_ +*2739 _2343_ +*2740 _2344_ +*2741 _2345_ +*2742 _2346_ +*2743 _2347_ +*2744 _2348_ +*2745 _2349_ +*2746 _2350_ +*2747 _2351_ +*2748 _2352_ +*2749 _2353_ +*2750 _2354_ +*2751 _2355_ +*2752 _2356_ +*2753 _2357_ +*2754 _2358_ +*2755 _2359_ +*2756 _2360_ +*2757 _2361_ +*2758 _2362_ +*2759 _2363_ +*2760 _2364_ +*2761 _2365_ +*2762 _2366_ +*2763 _2367_ +*2764 _2368_ +*2765 _2369_ +*2766 _2370_ +*2767 _2371_ +*2768 _2372_ +*2769 _2373_ +*2770 _2374_ +*2771 _2375_ +*2772 _2376_ +*2773 _2377_ +*2774 _2378_ +*2775 _2379_ +*2776 _2380_ +*2777 _2381_ +*2778 _2382_ +*2779 _2383_ +*2780 _2384_ +*2781 _2385_ +*2782 _2386_ +*2783 _2387_ +*2784 _2388_ +*2785 _2389_ +*2786 _2390_ +*2787 _2391_ +*2788 _2392_ +*2789 _2393_ +*2790 _2394_ +*2791 _2395_ +*2792 _2396_ +*2793 _2397_ +*2794 _2398_ +*2795 _2399_ +*2796 _2400_ +*2797 _2401_ +*2798 _2402_ +*2799 _2403_ +*2800 _2404_ +*2801 _2405_ +*2802 _2406_ +*2803 _2407_ +*2804 _2408_ +*2805 _2409_ +*2806 _2410_ +*2807 _2411_ +*2808 _2412_ +*2809 _2413_ +*2810 _2414_ +*2811 _2415_ +*2812 _2416_ +*2813 _2417_ +*2814 _2418_ +*2815 _2419_ +*2816 _2420_ +*2817 _2421_ +*2818 _2422_ +*2819 _2423_ +*2820 _2424_ +*2821 _2425_ +*2822 _2426_ +*2823 _2427_ +*2824 _2428_ +*2825 _2429_ +*2826 _2430_ +*2827 _2431_ +*2828 _2432_ +*2829 _2433_ +*2830 _2434_ +*2831 _2435_ +*2832 _2436_ +*2833 _2437_ +*2834 _2438_ +*2835 _2439_ +*2836 _2440_ +*2837 _2441_ +*2838 _2442_ +*2839 _2443_ +*2840 _2444_ +*2841 _2445_ +*2842 _2446_ +*2843 _2447_ +*2844 _2448_ +*2845 _2449_ +*2846 _2450_ +*2847 _2451_ +*2848 _2452_ +*2849 _2453_ +*2850 _2454_ +*2851 _2455_ +*2852 _2456_ +*2853 _2457_ +*2854 _2458_ +*2855 _2459_ +*2856 _2460_ +*2857 _2461_ +*2858 _2462_ +*2859 _2463_ +*2860 _2464_ +*2861 _2465_ +*2862 _2466_ +*2863 _2467_ +*2864 _2468_ +*2865 _2469_ +*2866 _2470_ +*2867 _2471_ +*2868 _2472_ +*2869 _2473_ +*2870 _2474_ +*2871 _2475_ +*2872 _2476_ +*2873 _2477_ +*2874 _2478_ +*2875 _2479_ +*2876 _2480_ +*2877 _2481_ +*2878 _2482_ +*2879 _2483_ +*2880 _2484_ +*2881 _2485_ +*2882 _2486_ +*2883 _2487_ +*2884 _2488_ +*2885 _2489_ +*2886 _2490_ +*2887 _2491_ +*2888 _2492_ +*2889 _2493_ +*2890 _2494_ +*2891 _2495_ +*2892 _2496_ +*2893 _2497_ +*2894 _2498_ +*2895 _2499_ +*2896 _2500_ +*2897 _2501_ +*2898 _2502_ +*2899 _2503_ +*2900 _2504_ +*2901 _2505_ +*2902 _2506_ +*2903 _2507_ +*2904 _2508_ +*2905 _2509_ +*2906 _2510_ +*2907 _2511_ +*2908 _2512_ +*2909 _2513_ +*2910 _2514_ +*2911 _2515_ +*2912 _2516_ +*2913 _2517_ +*2914 _2518_ +*2915 _2519_ +*2916 _2520_ +*2917 _2521_ +*2918 _2522_ +*2919 _2523_ +*2920 _2524_ +*2921 _2525_ +*2922 _2526_ +*2923 _2527_ +*2924 _2528_ +*2925 _2529_ +*2926 _2530_ +*2927 _2531_ +*2928 _2532_ +*2929 _2533_ +*2930 _2534_ +*2931 _2535_ +*2932 _2536_ +*2933 _2537_ +*2934 _2538_ +*2935 _2539_ +*2936 _2540_ +*2937 _2541_ +*2938 _2542_ +*2939 _2543_ +*2940 _2544_ +*2941 _2545_ +*2942 _2546_ +*2943 _2547_ +*2944 _2548_ +*2945 _2549_ +*2946 _2550_ +*2947 _2551_ +*2948 _2552_ +*2949 _2553_ +*2950 _2554_ +*2951 _2555_ +*2952 _2556_ +*2953 _2557_ +*2954 _2558_ +*2955 _2559_ +*2956 _2560_ +*2957 _2561_ +*2958 _2562_ +*2959 _2563_ +*2960 _2564_ +*2961 _2565_ +*2962 _2566_ +*2963 _2567_ +*2964 _2568_ +*2965 _2569_ +*2966 _2570_ +*2967 _2571_ +*2968 _2572_ +*2969 _2573_ +*2970 _2574_ +*2971 _2575_ +*2972 _2576_ +*2973 _2577_ +*2974 _2578_ +*2975 _2579_ +*2976 _2580_ +*2977 _2581_ +*2978 _2582_ +*2979 _2583_ +*2980 _2584_ +*2981 _2585_ +*2982 _2586_ +*2983 _2587_ +*2984 _2588_ +*2985 _2589_ +*2986 _2590_ +*2987 _2591_ +*2988 _2592_ +*2989 _2593_ +*2990 _2594_ +*2991 _2595_ +*2992 _2596_ +*2993 _2597_ +*2994 _2598_ +*2995 _2599_ +*2996 _2600_ +*2997 _2601_ +*2998 _2602_ +*2999 _2603_ +*3000 _2604_ +*3001 _2605_ +*3002 _2606_ +*3003 _2607_ +*3004 _2608_ +*3005 _2609_ +*3006 _2610_ +*3007 _2611_ +*3008 _2612_ +*3009 _2613_ +*3010 _2614_ +*3011 _2615_ +*3012 _2616_ +*3013 _2617_ +*3014 _2618_ +*3015 _2619_ +*3016 _2620_ +*3017 _2621_ +*3018 _2622_ +*3019 _2623_ +*3020 _2624_ +*3021 _2625_ +*3022 _2626_ +*3023 _2627_ +*3024 _2628_ +*3025 _2629_ +*3026 _2630_ +*3027 _2631_ +*3028 _2632_ +*3029 _2633_ +*3030 _2634_ +*3031 _2635_ +*3032 _2636_ +*3033 _2637_ +*3034 _2638_ +*3035 _2639_ +*3036 _2640_ +*3037 _2641_ +*3038 _2642_ +*3039 _2643_ +*3040 _2644_ +*3041 _2645_ +*3042 _2646_ +*3043 _2647_ +*3044 _2648_ +*3045 _2649_ +*3046 _2650_ +*3047 _2651_ +*3048 _2652_ +*3049 _2653_ +*3050 _2654_ +*3051 _2655_ +*3052 _2656_ +*3053 _2657_ +*3054 _2658_ +*3055 _2659_ +*3056 _2660_ +*3057 _2661_ +*3058 _2662_ +*3059 _2663_ +*3060 _2664_ +*3061 _2665_ +*3062 _2666_ +*3063 _2667_ +*3064 _2668_ +*3065 _2669_ +*3066 _2670_ +*3067 _2671_ +*3068 _2672_ +*3069 _2673_ +*3070 _2674_ +*3071 _2675_ +*3072 _2676_ +*3073 _2677_ +*3074 _2678_ +*3075 _2679_ +*3076 _2680_ +*3077 _2681_ +*3078 _2682_ +*3079 _2683_ +*3080 _2684_ +*3081 _2685_ +*3082 _2686_ +*3083 _2687_ +*3084 _2688_ +*3085 _2689_ +*3086 _2690_ +*3087 _2691_ +*3088 _2692_ +*3089 _2693_ +*3090 _2694_ +*3091 _2695_ +*3092 _2696_ +*3093 _2697_ +*3094 _2698_ +*3095 _2699_ +*3096 _2700_ +*3097 _2701_ +*3098 _2702_ +*3099 _2703_ +*3100 _2704_ +*3101 _2705_ +*3102 _2706_ +*3103 _2707_ +*3104 _2708_ +*3105 _2709_ +*3106 _2710_ +*3107 _2711_ +*3108 _2712_ +*3109 _2713_ +*3110 _2714_ +*3111 _2715_ +*3112 _2716_ +*3113 _2717_ +*3114 _2718_ +*3115 _2719_ +*3116 _2720_ +*3117 _2721_ +*3118 _2722_ +*3119 _2723_ +*3120 _2724_ +*3121 _2725_ +*3122 _2726_ +*3123 _2727_ +*3124 _2728_ +*3125 _2729_ +*3126 _2730_ +*3127 _2731_ +*3128 _2732_ +*3129 _2733_ +*3130 _2734_ +*3131 _2735_ +*3132 _2736_ +*3133 _2737_ +*3134 _2738_ +*3135 _2739_ +*3136 _2740_ +*3137 _2741_ +*3138 _2742_ +*3139 _2743_ +*3140 _2744_ +*3141 _2745_ +*3142 _2746_ +*3143 _2747_ +*3144 _2748_ +*3145 _2749_ +*3146 _2750_ +*3147 _2751_ +*3148 _2752_ +*3149 _2753_ +*3150 _2754_ +*3151 _2755_ +*3152 _2756_ +*3153 _2757_ +*3154 _2758_ +*3155 _2759_ +*3156 _2760_ +*3157 _2761_ +*3158 _2762_ +*3159 _2763_ +*3160 _2764_ +*3161 _2765_ +*3162 _2766_ +*3163 _2767_ +*3164 _2768_ +*3165 _2769_ +*3166 _2770_ +*3167 _2771_ +*3168 _2772_ +*3169 _2773_ +*3170 _2774_ +*3171 _2775_ +*3172 _2776_ +*3173 _2777_ +*3174 _2778_ +*3175 _2779_ +*3176 _2780_ +*3177 _2781_ +*3178 _2782_ +*3179 _2783_ +*3180 _2784_ +*3181 _2785_ +*3182 _2786_ +*3183 _2787_ +*3184 _2788_ +*3185 _2789_ +*3186 _2790_ +*3187 _2791_ +*3188 _2792_ +*3189 _2793_ +*3190 _2794_ +*3191 _2795_ +*3192 _2796_ +*3193 _2797_ +*3194 _2798_ +*3195 _2799_ +*3196 _2800_ +*3197 _2801_ +*3198 _2802_ +*3199 _2803_ +*3200 _2804_ +*3201 _2805_ +*3202 _2806_ +*3203 _2807_ +*3204 _2808_ +*3205 _2809_ +*3206 _2810_ +*3207 _2811_ +*3208 _2812_ +*3209 _2813_ +*3210 _2814_ +*3211 _2815_ +*3212 _2816_ +*3213 _2817_ +*3214 _2818_ +*3215 _2819_ +*3216 _2820_ +*3217 _2821_ +*3218 _2822_ +*3219 _2823_ +*3220 _2824_ +*3221 _2825_ +*3222 _2826_ +*3223 _2827_ +*3224 _2828_ +*3225 _2829_ +*3226 _2830_ +*3227 _2831_ +*3228 _2832_ +*3229 _2833_ +*3230 _2834_ +*3231 _2835_ +*3232 _2836_ +*3233 _2837_ +*3234 _2838_ +*3235 _2839_ +*3236 _2840_ +*3237 _2841_ +*3238 _2842_ +*3239 _2843_ +*3240 _2844_ +*3241 _2845_ +*3242 _2846_ +*3243 _2847_ +*3244 _2848_ +*3245 _2849_ +*3246 _2850_ +*3247 _2851_ +*3248 _2852_ +*3249 _2853_ +*3250 _2854_ +*3251 _2855_ +*3252 _2856_ +*3253 _2857_ +*3254 _2858_ +*3255 _2859_ +*3256 _2860_ +*3257 _2861_ +*3258 _2862_ +*3259 _2863_ +*3260 _2864_ +*3261 _2865_ +*3262 _2866_ +*3263 _2867_ +*3264 _2868_ +*3265 _2869_ +*3266 _2870_ +*3267 _2871_ +*3268 _2872_ +*3269 _2873_ +*3270 _2874_ +*3271 _2875_ +*3272 _2876_ +*3273 _2877_ +*3274 _2878_ +*3275 _2879_ +*3276 _2880_ +*3277 _2881_ +*3278 _2882_ +*3279 _2883_ +*3280 _2884_ +*3281 _2885_ +*3282 _2886_ +*3283 _2887_ +*3284 _2888_ +*3285 _2889_ +*3286 _2890_ +*3287 _2891_ +*3288 _2892_ +*3289 _2893_ +*3290 _2894_ +*3291 _2895_ +*3292 _2896_ +*3293 _2897_ +*3294 _2898_ +*3295 _2899_ +*3296 _2900_ +*3297 _2901_ +*3298 _2902_ +*3299 _2903_ +*3300 _2904_ +*3301 _2905_ +*3302 _2906_ +*3303 _2907_ +*3304 _2908_ +*3305 _2909_ +*3306 _2910_ +*3307 _2911_ +*3308 _2912_ +*3309 _2913_ +*3310 _2914_ +*3311 _2915_ +*3312 _2916_ +*3313 _2917_ +*3314 _2918_ +*3315 _2919_ +*3316 _2920_ +*3317 _2921_ +*3318 _2922_ +*3319 _2923_ +*3320 _2924_ +*3321 _2925_ +*3322 _2926_ +*3323 _2927_ +*3324 _2928_ +*3325 _2929_ +*3326 _2930_ +*3327 _2931_ +*3328 _2932_ +*3329 _2933_ +*3330 _2934_ +*3331 _2935_ +*3332 _2936_ +*3333 _2937_ +*3334 _2938_ +*3335 _2939_ +*3336 _2940_ +*3337 _2941_ +*3338 _2942_ +*3339 _2943_ +*3340 _2944_ +*3341 _2945_ +*3342 _2946_ +*3343 _2947_ +*3344 _2948_ +*3345 _2949_ +*3346 _2950_ +*3347 _2951_ +*3348 _2952_ +*3349 _2953_ +*3350 _2954_ +*3351 _2955_ +*3352 _2956_ +*3353 _2957_ +*3354 _2958_ +*3355 _2959_ +*3356 _2960_ +*3357 _2961_ +*3358 _2962_ +*3359 _2963_ +*3360 _2964_ +*3361 _2965_ +*3362 _2966_ +*3363 _2967_ +*3364 _2968_ +*3365 _2969_ +*3366 _2970_ +*3367 _2971_ +*3368 _2972_ +*3369 _2973_ +*3370 _2974_ +*3371 _2975_ +*3372 _2976_ +*3373 _2977_ +*3374 _2978_ +*3375 _2979_ +*3376 _2980_ +*3377 _2981_ +*3378 _2982_ +*3379 _2983_ +*3380 _2984_ +*3381 _2985_ +*3382 _2986_ +*3383 _2987_ +*3384 _2988_ +*3385 _2989_ +*3386 _2990_ +*3387 _2991_ +*3388 _2992_ +*3389 _2993_ +*3390 _2994_ +*3391 _2995_ +*3392 _2996_ +*3393 _2997_ +*3394 _2998_ +*3395 _2999_ +*3396 _3000_ +*3397 _3001_ +*3398 _3002_ +*3399 _3003_ +*3400 _3004_ +*3401 _3005_ +*3402 _3006_ +*3403 _3007_ +*3404 _3008_ +*3405 _3009_ +*3406 _3010_ +*3407 _3011_ +*3408 _3012_ +*3409 _3013_ +*3410 _3014_ +*3411 _3015_ +*3412 _3016_ +*3413 _3017_ +*3414 _3018_ +*3415 _3019_ +*3416 _3020_ +*3417 _3021_ +*3418 _3022_ +*3419 _3023_ +*3420 _3024_ +*3421 _3025_ +*3422 _3026_ +*3423 _3027_ +*3424 _3028_ +*3425 _3029_ +*3426 _3030_ +*3427 _3031_ +*3428 _3032_ +*3429 _3033_ +*3430 _3034_ +*3431 _3035_ +*3432 _3036_ +*3433 _3037_ +*3434 _3038_ +*3435 _3039_ +*3436 _3040_ +*3437 _3041_ +*3438 _3042_ +*3439 _3043_ +*3440 _3044_ +*3441 _3045_ +*3442 _3046_ +*3443 _3047_ +*3444 _3048_ +*3445 _3049_ +*3446 _3050_ +*3447 _3051_ +*3448 _3052_ +*3449 _3053_ +*3450 _3054_ +*3451 _3055_ +*3452 _3056_ +*3453 _3057_ +*3454 _3058_ +*3455 _3059_ +*3456 _3060_ +*3457 _3061_ +*3458 _3062_ +*3459 _3063_ +*3460 _3064_ +*3461 _3065_ +*3462 _3066_ +*3463 _3067_ +*3464 _3068_ +*3465 _3069_ +*3466 _3070_ +*3467 _3071_ +*3468 _3072_ +*3469 _3073_ +*3470 _3074_ +*3471 _3075_ +*3472 _3076_ +*3473 _3077_ +*3474 _3078_ +*3475 _3079_ +*3476 _3080_ +*3477 _3081_ +*3478 _3082_ +*3479 _3083_ +*3480 _3084_ +*3481 _3085_ +*3482 _3086_ +*3483 _3087_ +*3484 _3088_ +*3485 _3089_ +*3486 _3090_ +*3487 _3091_ +*3488 _3092_ +*3489 _3093_ +*3490 _3094_ +*3491 _3095_ +*3492 _3096_ +*3493 _3097_ +*3494 _3098_ +*3495 _3099_ +*3496 _3100_ +*3497 _3101_ +*3498 _3102_ +*3499 _3103_ +*3500 _3104_ +*3501 _3105_ +*3502 _3106_ +*3503 _3107_ +*3504 _3108_ +*3505 _3109_ +*3506 _3110_ +*3507 _3111_ +*3508 _3112_ +*3509 _3113_ +*3510 _3114_ +*3511 _3115_ +*3512 _3116_ +*3513 _3117_ +*3514 _3118_ +*3515 _3119_ +*3516 _3120_ +*3517 _3121_ +*3518 _3122_ +*3519 _3123_ +*3520 _3124_ +*3521 _3125_ +*3522 _3126_ +*3523 _3127_ +*3524 _3128_ +*3525 _3129_ +*3526 _3130_ +*3527 _3131_ +*3528 _3132_ +*3529 _3133_ +*3530 _3134_ +*3531 _3135_ +*3532 _3136_ +*3533 _3137_ +*3534 _3138_ +*3535 _3139_ +*3536 _3140_ +*3537 _3141_ +*3538 _3142_ +*3539 _3143_ +*3540 _3144_ +*3541 _3145_ +*3542 _3146_ +*3543 _3147_ +*3544 _3148_ +*3545 _3149_ +*3546 _3150_ +*3547 _3151_ +*3548 _3152_ +*3549 _3153_ +*3550 _3154_ +*3551 _3155_ +*3552 _3156_ +*3553 _3157_ +*3554 _3158_ +*3555 _3159_ +*3556 _3160_ +*3557 _3161_ +*3558 _3162_ +*3559 _3163_ +*3560 _3164_ +*3561 _3165_ +*3562 _3166_ +*3563 _3167_ +*3564 _3168_ +*3565 _3169_ +*3566 _3170_ +*3567 _3171_ +*3568 _3172_ +*3569 _3173_ +*3570 _3174_ +*3571 _3175_ +*3572 _3176_ +*3573 _3177_ +*3574 _3178_ +*3575 _3179_ +*3576 _3180_ +*3577 _3181_ +*3578 _3182_ +*3579 _3183_ +*3580 _3184_ +*3581 _3185_ +*3582 _3186_ +*3583 _3187_ +*3584 _3188_ +*3585 _3189_ +*3586 _3190_ +*3587 _3191_ +*3588 _3192_ +*3589 _3193_ +*3590 _3194_ +*3591 _3195_ +*3592 _3196_ +*3593 _3197_ +*3594 _3198_ +*3595 _3199_ +*3596 _3200_ +*3597 _3201_ +*3598 _3202_ +*3599 _3203_ +*3600 _3204_ +*3601 _3205_ +*3602 _3206_ +*3603 _3207_ +*3604 _3208_ +*3605 _3209_ +*3606 _3210_ +*3607 _3211_ +*3608 _3212_ +*3609 _3213_ +*3610 _3214_ +*3611 _3215_ +*3612 _3216_ +*3613 _3217_ +*3614 _3218_ +*3615 _3219_ +*3616 _3220_ +*3617 _3221_ +*3618 _3222_ +*3619 _3223_ +*3620 _3224_ +*3621 _3225_ +*3622 _3226_ +*3623 _3227_ +*3624 _3228_ +*3625 _3229_ +*3626 _3230_ +*3627 _3231_ +*3628 _3232_ +*3629 _3233_ +*3630 _3234_ +*3631 _3235_ +*3632 _3236_ +*3633 _3237_ +*3634 _3238_ +*3635 _3239_ +*3636 _3240_ +*3637 _3241_ +*3638 _3242_ +*3639 _3243_ +*3640 _3244_ +*3641 _3245_ +*3642 _3246_ +*3643 _3247_ +*3644 _3248_ +*3645 _3249_ +*3646 _3250_ +*3647 _3251_ +*3648 _3252_ +*3649 _3253_ +*3650 _3254_ +*3651 _3255_ +*3652 _3256_ +*3653 _3257_ +*3654 _3258_ +*3655 _3259_ +*3656 _3260_ +*3657 _3261_ +*3658 _3262_ +*3659 _3263_ +*3660 _3264_ +*3661 _3265_ +*3662 _3266_ +*3663 _3267_ +*3664 _3268_ +*3665 _3269_ +*3666 _3270_ +*3667 _3271_ +*3668 _3272_ +*3669 _3273_ +*3670 _3274_ +*3671 _3275_ +*3672 _3276_ +*3673 _3277_ +*3674 _3278_ +*3675 _3279_ +*3676 _3280_ +*3677 _3281_ +*3678 _3282_ +*3679 _3283_ +*3680 _3284_ +*3681 _3285_ +*3682 _3286_ +*3683 _3287_ +*3684 _3288_ +*3685 _3289_ +*3686 _3290_ +*3687 _3291_ +*3688 _3292_ +*3689 _3293_ +*3690 _3294_ +*3691 _3295_ +*3692 _3296_ +*3693 _3297_ +*3694 _3298_ +*3695 _3299_ +*3696 _3300_ +*3697 _3301_ +*3698 _3302_ +*3699 _3303_ +*3700 _3304_ +*3701 _3305_ +*3702 _3306_ +*3703 _3307_ +*3704 _3308_ +*3705 _3309_ +*3706 _3310_ +*3707 _3311_ +*3708 _3312_ +*3709 _3313_ +*3710 _3314_ +*3711 _3315_ +*3712 _3316_ +*3713 _3317_ +*3714 _3318_ +*3715 _3319_ +*3716 _3320_ +*3717 _3321_ +*3718 _3322_ +*3719 _3323_ +*3720 _3324_ +*3721 _3325_ +*3722 _3326_ +*3723 _3327_ +*3724 _3328_ +*3725 _3329_ +*3726 _3330_ +*3727 _3331_ +*3728 _3332_ +*3729 _3333_ +*3730 _3334_ +*3731 _3335_ +*3732 _3336_ +*3733 _3337_ +*3734 _3338_ +*3735 _3339_ +*3736 _3340_ +*3737 _3341_ +*3738 _3342_ +*3739 _3343_ +*3740 _3344_ +*3741 _3345_ +*3742 _3346_ +*3743 _3347_ +*3744 _3348_ +*3745 _3349_ +*3746 _3350_ +*3747 _3351_ +*3748 _3352_ +*3749 _3353_ +*3750 _3354_ +*3751 _3355_ +*3752 _3356_ +*3753 _3357_ +*3754 _3358_ +*3755 _3359_ +*3756 _3360_ +*3757 _3361_ +*3758 _3362_ +*3759 _3363_ +*3760 _3364_ +*3761 _3365_ +*3762 _3366_ +*3763 _3367_ +*3764 _3368_ +*3765 _3369_ +*3766 _3370_ +*3767 _3371_ +*3768 _3372_ +*3769 _3373_ +*3770 _3374_ +*3771 _3375_ +*3772 _3376_ +*3773 _3377_ +*3774 _3378_ +*3775 _3379_ +*3776 _3380_ +*3777 _3381_ +*3778 _3382_ +*3779 _3383_ +*3780 _3384_ +*3781 _3385_ +*3782 _3386_ +*3783 _3387_ +*3784 _3388_ +*3785 _3389_ +*3786 _3390_ +*3787 _3391_ +*3788 _3392_ +*3789 _3393_ +*3790 _3394_ +*3791 _3395_ +*3792 _3396_ +*3793 _3397_ +*3794 _3398_ +*3795 _3399_ +*3796 _3400_ +*3797 _3401_ +*3798 _3402_ +*3799 _3403_ +*3800 _3404_ +*3801 _3405_ +*3802 _3406_ +*3803 _3407_ +*3804 _3408_ +*3805 _3409_ +*3806 _3410_ +*3807 _3411_ +*3808 _3412_ +*3809 _3413_ +*3810 _3414_ +*3811 _3415_ +*3812 _3416_ +*3813 _3417_ +*3814 _3418_ +*3815 _3419_ +*3816 _3420_ +*3817 _3421_ +*3818 _3422_ +*3819 _3423_ +*3820 _3424_ +*3821 _3425_ +*3822 _3426_ +*3823 _3427_ +*3824 _3428_ +*3825 _3429_ +*3826 _3430_ +*3827 _3431_ +*3828 _3432_ +*3829 _3433_ +*3830 _3434_ +*3831 _3435_ +*3832 _3436_ +*3833 _3437_ +*3834 _3438_ +*3835 _3439_ +*3836 _3440_ +*3837 _3441_ +*3838 _3442_ +*3839 _3443_ +*3840 _3444_ +*3841 _3445_ +*3842 _3446_ +*3843 _3447_ +*3844 _3448_ +*3845 _3449_ +*3846 _3450_ +*3847 _3451_ +*3848 _3452_ +*3849 _3453_ +*3850 _3454_ +*3851 _3455_ +*3852 _3456_ +*3853 _3457_ +*3854 _3458_ +*3855 _3459_ +*3856 _3460_ +*3857 _3461_ +*3858 _3462_ +*3859 _3463_ +*3860 _3464_ +*3861 _3465_ +*3862 _3466_ +*3863 _3467_ +*3864 _3468_ +*3865 _3469_ +*3866 _3470_ +*3867 _3471_ +*3868 _3472_ +*3869 _3473_ +*3870 _3474_ +*3871 _3475_ +*3872 _3476_ +*3873 _3477_ +*3874 _3478_ +*3875 _3479_ +*3876 _3480_ +*3877 _3481_ +*3878 _3482_ +*3879 _3483_ +*3880 _3484_ +*3881 _3485_ +*3882 _3486_ +*3883 _3487_ +*3884 _3488_ +*3885 _3489_ +*3886 _3490_ +*3887 _3491_ +*3888 _3492_ +*3889 _3493_ +*3890 _3494_ +*3891 _3495_ +*3892 _3496_ +*3893 _3497_ +*3894 _3498_ +*3895 _3499_ +*3896 _3500_ +*3897 _3501_ +*3898 _3502_ +*3899 _3503_ +*3900 _3504_ +*3901 _3505_ +*3902 _3506_ +*3903 _3507_ +*3904 _3508_ +*3905 _3509_ +*3906 _3510_ +*3907 _3511_ +*3908 _3512_ +*3909 _3513_ +*3910 _3514_ +*3911 _3515_ +*3912 _3516_ +*3913 _3517_ +*3914 _3518_ +*3915 _3519_ +*3916 _3520_ +*3917 _3521_ +*3918 _3522_ +*3919 _3523_ +*3920 _3524_ +*3921 _3525_ +*3922 _3526_ +*3923 _3527_ +*3924 _3528_ +*3925 _3529_ +*3926 _3530_ +*3927 _3531_ +*3928 _3532_ +*3929 _3533_ +*3930 _3534_ +*3931 _3535_ +*3932 _3536_ +*3933 _3537_ +*3934 _3538_ +*3935 _3539_ +*3936 _3540_ +*3937 _3541_ +*3938 _3542_ +*3939 _3543_ +*3940 _3544_ +*3941 _3545_ +*3942 _3546_ +*3943 _3547_ +*3944 _3548_ +*3945 _3549_ +*3946 _3550_ +*3947 _3551_ +*3948 _3552_ +*3949 _3553_ +*3950 _3554_ +*3951 _3555_ +*3952 _3556_ +*3953 _3557_ +*3954 _3558_ +*3955 _3559_ +*3956 _3560_ +*3957 _3561_ +*3958 _3562_ +*3959 _3563_ +*3960 _3564_ +*3961 _3565_ +*3962 _3566_ +*3963 _3567_ +*3964 _3568_ +*3965 _3569_ +*3966 _3570_ +*3967 _3571_ +*3968 _3572_ +*3969 _3573_ +*3970 _3574_ +*3971 _3575_ +*3972 _3576_ +*3973 _3577_ +*3974 _3578_ +*3975 _3579_ +*3976 _3580_ +*3977 _3581_ +*3978 _3582_ +*3979 _3583_ +*3980 _3584_ +*3981 _3585_ +*3982 _3586_ +*3983 _3587_ +*3984 _3588_ +*3985 _3589_ +*3986 _3590_ +*3987 _3591_ +*3988 _3592_ +*3989 _3593_ +*3990 _3594_ +*3991 _3595_ +*3992 _3596_ +*3993 _3597_ +*3994 _3598_ +*3995 _3599_ +*3996 _3600_ +*3997 _3601_ +*3998 _3602_ +*3999 _3603_ +*4000 _3604_ +*4001 _3605_ +*4002 _3606_ +*4003 _3607_ +*4004 _3608_ +*4005 _3609_ +*4006 _3610_ +*4007 _3611_ +*4008 _3612_ +*4009 _3613_ +*4010 _3614_ +*4011 _3615_ +*4012 _3616_ +*4013 _3617_ +*4014 _3618_ +*4015 _3619_ +*4016 _3620_ +*4017 _3621_ +*4018 _3622_ +*4019 _3623_ +*4020 _3624_ +*4021 _3625_ +*4022 _3626_ +*4023 _3627_ +*4024 _3628_ +*4025 _3629_ +*4026 _3630_ +*4027 _3631_ +*4028 _3632_ +*4029 _3633_ +*4030 _3634_ +*4031 _3635_ +*4032 _3636_ +*4033 _3637_ +*4034 _3638_ +*4035 _3639_ +*4036 _3640_ +*4037 _3641_ +*4038 _3642_ +*4039 _3643_ +*4040 _3644_ +*4041 _3645_ +*4042 _3646_ +*4043 _3647_ +*4044 _3648_ +*4045 _3649_ +*4046 _3650_ +*4047 _3651_ +*4048 _3652_ +*4049 _3653_ +*4050 _3654_ +*4051 _3655_ +*4052 _3656_ +*4053 _3657_ +*4054 _3658_ +*4055 _3659_ +*4056 _3660_ +*4057 _3661_ +*4058 _3662_ +*4059 _3663_ +*4060 _3664_ +*4061 _3665_ +*4062 _3666_ +*4063 _3667_ +*4064 _3668_ +*4065 _3669_ +*4066 _3670_ +*4067 _3671_ +*4068 _3672_ +*4069 _3673_ +*4070 _3674_ +*4071 _3675_ +*4072 _3676_ +*4073 _3677_ +*4074 _3678_ +*4075 _3679_ +*4076 _3680_ +*4077 _3681_ +*4078 _3682_ +*4079 _3683_ +*4080 _3684_ +*4081 _3685_ +*4082 _3686_ +*4083 _3687_ +*4084 _3688_ +*4085 _3689_ +*4086 _3690_ +*4087 _3691_ +*4088 _3692_ +*4089 _3693_ +*4090 _3694_ +*4091 _3695_ +*4092 _3696_ +*4093 _3697_ +*4094 _3698_ +*4095 _3699_ +*4096 _3700_ +*4097 _3701_ +*4098 _3702_ +*4099 _3703_ +*4100 _3704_ +*4101 _3705_ +*4102 _3706_ +*4103 _3707_ +*4104 _3708_ +*4105 _3709_ +*4106 _3710_ +*4107 _3711_ +*4108 _3712_ +*4109 _3713_ +*4110 _3714_ +*4111 _3715_ +*4112 _3716_ +*4113 _3717_ +*4114 _3718_ +*4115 _3719_ +*4116 _3720_ +*4117 _3721_ +*4118 _3722_ +*4119 _3723_ +*4120 _3724_ +*4121 _3725_ +*4122 _3726_ +*4123 _3727_ +*4124 _3728_ +*4125 _3729_ +*4126 _3730_ +*4127 _3731_ +*4128 _3732_ +*4129 _3733_ +*4130 _3734_ +*4131 _3735_ +*4132 _3736_ +*4133 _3737_ +*4134 _3738_ +*4135 _3739_ +*4136 _3740_ +*4137 _3741_ +*4138 _3742_ +*4139 _3743_ +*4140 _3744_ +*4141 _3745_ +*4142 _3746_ +*4143 _3747_ +*4144 _3748_ +*4145 _3749_ +*4146 _3750_ +*4147 _3751_ +*4148 _3752_ +*4149 _3753_ +*4150 _3754_ +*4151 _3755_ +*4152 _3756_ +*4153 _3757_ +*4154 _3758_ +*4155 _3759_ +*4156 _3760_ +*4157 _3761_ +*4158 _3762_ +*4159 _3763_ +*4160 _3764_ +*4161 _3765_ +*4162 _3766_ +*4163 _3767_ +*4164 _3768_ +*4165 _3769_ +*4166 _3770_ +*4167 _3771_ +*4168 _3772_ +*4169 _3773_ +*4170 _3774_ +*4171 _3775_ +*4172 _3776_ +*4173 _3777_ +*4174 _3778_ +*4175 _3779_ +*4176 _3780_ +*4177 _3781_ +*4178 _3782_ +*4179 _3783_ +*4180 _3784_ +*4181 _3785_ +*4182 _3786_ +*4183 _3787_ +*4184 _3788_ +*4185 _3789_ +*4186 _3790_ +*4187 _3791_ +*4188 _3792_ +*4189 _3793_ +*4190 _3794_ +*4191 _3795_ +*4192 _3796_ +*4193 _3797_ +*4194 _3798_ +*4195 _3799_ +*4196 _3800_ +*4197 _3801_ +*4198 _3802_ +*4199 _3803_ +*4200 _3804_ +*4201 _3805_ +*4202 _3806_ +*4203 _3807_ +*4204 _3808_ +*4205 _3809_ +*4206 _3810_ +*4207 _3811_ +*4208 _3812_ +*4209 _3813_ +*4210 _3814_ +*4211 _3815_ +*4212 _3816_ +*4213 _3817_ +*4214 _3818_ +*4215 _3819_ +*4216 _3820_ +*4217 _3821_ +*4218 _3822_ +*4219 _3823_ +*4220 _3824_ +*4221 _3825_ +*4222 _3826_ +*4223 _3827_ +*4224 _3828_ +*4225 _3829_ +*4226 _3830_ +*4227 _3831_ +*4228 _3832_ +*4229 _3833_ +*4230 _3834_ +*4231 _3835_ +*4232 _3836_ +*4233 _3837_ +*4234 _3838_ +*4235 _3839_ +*4236 _3840_ +*4237 _3841_ +*4238 _3842_ +*4239 _3843_ +*4240 _3844_ +*4241 _3845_ +*4242 _3846_ +*4243 _3847_ +*4244 _3848_ +*4245 _3849_ +*4246 _3850_ +*4247 _3851_ +*4248 _3852_ +*4249 _3853_ +*4250 _3854_ +*4251 _3855_ +*4252 _3856_ +*4253 _3857_ +*4254 _3858_ +*4255 _3859_ +*4256 _3860_ +*4257 _3861_ +*4258 _3862_ +*4259 _3863_ +*4260 _3864_ +*4261 _3865_ +*4262 _3866_ +*4263 _3867_ +*4264 _3868_ +*4265 _3869_ +*4266 _3870_ +*4267 _3871_ +*4268 _3872_ +*4269 _3873_ +*4270 _3874_ +*4271 _3875_ +*4272 _3876_ +*4273 _3877_ +*4274 _3878_ +*4275 _3879_ +*4276 _3880_ +*4277 _3881_ +*4278 _3882_ +*4279 _3883_ +*4280 _3884_ +*4281 _3885_ +*4282 _3886_ +*4283 _3887_ +*4284 _3888_ +*4285 _3889_ +*4286 _3890_ +*4287 _3891_ +*4288 _3892_ +*4289 _3893_ +*4290 _3894_ +*4291 _3895_ +*4292 _3896_ +*4293 _3897_ +*4294 _3898_ +*4295 _3899_ +*4296 _3900_ +*4297 _3901_ +*4298 _3902_ +*4299 _3903_ +*4300 _3904_ +*4301 _3905_ +*4302 _3906_ +*4303 _3907_ +*4304 _3908_ +*4305 _3909_ +*4306 _3910_ +*4307 _3911_ +*4308 _3912_ +*4309 _3913_ +*4310 _3914_ +*4311 _3915_ +*4312 _3916_ +*4313 _3917_ +*4314 _3918_ +*4315 _3919_ +*4316 _3920_ +*4317 _3921_ +*4318 _3922_ +*4319 _3923_ +*4320 _3924_ +*4321 _3925_ +*4322 _3926_ +*4323 _3927_ +*4324 _3928_ +*4325 _3929_ +*4326 _3930_ +*4327 _3931_ +*4328 _3932_ +*4329 _3933_ +*4330 _3934_ +*4331 _3935_ +*4332 _3936_ +*4333 _3937_ +*4334 _3938_ +*4335 _3939_ +*4336 _3940_ +*4337 _3941_ +*4338 _3942_ +*4339 _3943_ +*4340 _3944_ +*4341 _3945_ +*4342 _3946_ +*4343 _3947_ +*4344 _3948_ +*4345 _3949_ +*4346 _3950_ +*4347 _3951_ +*4348 _3952_ +*4349 _3953_ +*4350 _3954_ +*4351 _3955_ +*4352 _3956_ +*4353 _3957_ +*4354 _3958_ +*4355 _3959_ +*4356 _3960_ +*4357 _3961_ +*4358 _3962_ +*4359 _3963_ +*4360 _3964_ +*4361 _3965_ +*4362 _3966_ +*4363 _3967_ +*4364 _3968_ +*4365 _3969_ +*4366 _3970_ +*4367 _3971_ +*4368 _3972_ +*4369 _3973_ +*4370 _3974_ +*4371 _3975_ +*4372 _3976_ +*4373 _3977_ +*4374 _3978_ +*4375 _3979_ +*4376 _3980_ +*4377 _3981_ +*4378 _3982_ +*4379 _3983_ +*4380 _3984_ +*4381 _3985_ +*4382 _3986_ +*4383 _3987_ +*4384 _3988_ +*4385 _3989_ +*4386 _3990_ +*4387 _3991_ +*4388 _3992_ +*4389 _3993_ +*4390 _3994_ +*4391 _3995_ +*4392 _3996_ +*4393 _3997_ +*4394 _3998_ +*4395 _3999_ +*4396 _4000_ +*4397 _4001_ +*4398 _4002_ +*4399 _4003_ +*4400 _4004_ +*4401 _4005_ +*4402 _4006_ +*4403 _4007_ +*4404 _4008_ +*4405 _4009_ +*4406 _4010_ +*4407 _4011_ +*4408 _4012_ +*4409 _4013_ +*4410 _4014_ +*4411 _4015_ +*4412 _4016_ +*4413 _4017_ +*4414 _4018_ +*4415 _4019_ +*4416 _4020_ +*4417 _4021_ +*4418 _4022_ +*4419 _4023_ +*4420 _4024_ +*4421 _4025_ +*4422 _4026_ +*4423 _4027_ +*4424 _4028_ +*4425 _4029_ +*4426 _4030_ +*4427 _4031_ +*4428 _4032_ +*4429 _4033_ +*4430 _4034_ +*4431 _4035_ +*4432 _4036_ +*4433 _4037_ +*4434 _4038_ +*4435 _4039_ +*4436 _4040_ +*4437 _4041_ +*4438 _4042_ +*4439 _4043_ +*4440 _4044_ +*4441 _4045_ +*4442 _4046_ +*4443 _4047_ +*4444 _4048_ +*4445 _4049_ +*4446 _4050_ +*4447 _4051_ +*4448 _4052_ +*4449 _4053_ +*4450 _4054_ +*4451 _4055_ +*4452 _4056_ +*4453 _4057_ +*4454 _4058_ +*4455 _4059_ +*4456 _4060_ +*4457 _4061_ +*4458 _4062_ +*4459 _4063_ +*4460 _4064_ +*4461 _4065_ +*4462 _4066_ +*4463 _4067_ +*4464 _4068_ +*4465 _4069_ +*4466 _4070_ +*4467 _4071_ +*4468 _4072_ +*4469 _4073_ +*4470 _4074_ +*4471 _4075_ +*4472 _4076_ +*4473 _4077_ +*4474 _4078_ +*4475 _4079_ +*4476 _4080_ +*4477 _4081_ +*4478 _4082_ +*4479 _4083_ +*4480 _4084_ +*4481 _4085_ +*4482 _4086_ +*4483 _4087_ +*4484 _4088_ +*4485 _4089_ +*4486 _4090_ +*4487 _4091_ +*4488 _4092_ +*4489 _4093_ +*4490 _4094_ +*4491 _4095_ +*4492 _4096_ +*4493 _4097_ +*4494 _4098_ +*4495 _4099_ +*4496 _4100_ +*4497 _4101_ +*4498 _4102_ +*4499 _4103_ +*4500 _4104_ +*4501 _4105_ +*4502 _4106_ +*4503 _4107_ +*4504 _4108_ +*4505 _4109_ +*4506 _4110_ +*4507 _4111_ +*4508 _4112_ +*4509 _4113_ +*4510 _4114_ +*4511 _4115_ +*4512 _4116_ +*4513 _4117_ +*4514 _4118_ +*4515 _4119_ +*4516 _4120_ +*4517 _4121_ +*4518 _4122_ +*4519 _4123_ +*4520 _4124_ +*4521 _4125_ +*4522 _4126_ +*4523 _4127_ +*4524 _4128_ +*4525 _4129_ +*4526 _4130_ +*4527 _4131_ +*4528 _4132_ +*4529 _4133_ +*4530 _4134_ +*4531 _4135_ +*4532 _4136_ +*4533 _4137_ +*4534 _4138_ +*4535 _4139_ +*4536 _4140_ +*4537 _4141_ +*4538 _4142_ +*4539 _4143_ +*4540 _4144_ +*4541 _4145_ +*4542 _4146_ +*4543 _4147_ +*4544 _4148_ +*4545 _4149_ +*4546 _4150_ +*4547 _4151_ +*4548 _4152_ +*4549 _4153_ +*4550 _4154_ +*4551 _4155_ +*4552 _4156_ +*4553 _4157_ +*4554 _4158_ +*4555 _4159_ +*4556 _4160_ +*4557 _4161_ +*4558 _4162_ +*4559 _4163_ +*4560 _4164_ +*4561 _4165_ +*4562 _4166_ +*4563 _4167_ +*4564 _4168_ +*4565 _4169_ +*4566 _4170_ +*4567 _4171_ +*4568 _4172_ +*4569 _4173_ +*4570 _4174_ +*4571 _4175_ +*4572 _4176_ +*4573 _4177_ +*4574 _4178_ +*4575 _4179_ +*4576 _4180_ +*4577 _4181_ +*4578 _4182_ +*4579 _4183_ +*4580 _4184_ +*4581 _4185_ +*4582 _4186_ +*4583 _4187_ +*4584 _4188_ +*4585 _4189_ +*4586 _4190_ +*4587 _4191_ +*4588 _4192_ +*4589 _4193_ +*4590 _4194_ +*4591 _4195_ +*4592 _4196_ +*4593 _4197_ +*4594 _4198_ +*4595 _4199_ +*4596 _4200_ +*4597 _4201_ +*4598 _4202_ +*4599 _4203_ +*4600 _4204_ +*4601 _4205_ +*4602 _4206_ +*4603 _4207_ +*4604 _4208_ +*4605 _4209_ +*4606 _4210_ +*4607 _4211_ +*4608 _4212_ +*4609 _4213_ +*4610 _4214_ +*4611 _4215_ +*4612 _4216_ +*4613 _4217_ +*4614 _4218_ +*4615 _4219_ +*4616 _4220_ +*4617 _4221_ +*4618 _4222_ +*4619 _4223_ +*4620 _4224_ +*4621 _4225_ +*4622 _4226_ +*4623 _4227_ +*4624 _4228_ +*4625 _4229_ +*4626 _4230_ +*4627 _4231_ +*4628 _4232_ +*4629 _4233_ +*4630 _4234_ +*4631 _4235_ +*4632 _4236_ +*4633 _4237_ +*4634 _4238_ +*4635 _4239_ +*4636 _4240_ +*4637 _4241_ +*4638 _4242_ +*4639 _4243_ +*4640 _4244_ +*4641 _4245_ +*4642 _4246_ +*4643 _4247_ +*4644 _4248_ +*4645 _4249_ +*4646 _4250_ +*4647 _4251_ +*4648 _4252_ +*4649 _4253_ +*4650 _4254_ +*4651 _4255_ +*4652 _4256_ +*4653 _4257_ +*4654 _4258_ +*4655 _4259_ +*4656 _4260_ +*4657 _4261_ +*4658 _4262_ +*4659 _4263_ +*4660 _4264_ +*4661 _4265_ +*4662 _4266_ +*4663 _4267_ +*4664 _4268_ +*4665 _4269_ +*4666 _4270_ +*4667 _4271_ +*4668 _4272_ +*4669 _4273_ +*4670 _4274_ +*4671 _4275_ +*4672 _4276_ +*4673 _4277_ +*4674 _4278_ +*4675 _4279_ +*4676 _4280_ +*4677 _4281_ +*4678 _4282_ +*4679 _4283_ +*4680 _4284_ +*4681 _4285_ +*4682 _4286_ +*4683 _4287_ +*4684 _4288_ +*4685 _4289_ +*4686 _4290_ +*4687 _4291_ +*4688 _4292_ +*4689 _4293_ +*4690 _4294_ +*4691 _4295_ +*4692 _4296_ +*4693 _4297_ +*4694 _4298_ +*4695 _4299_ +*4696 _4300_ +*4697 _4301_ +*4698 _4302_ +*4699 _4303_ +*4700 _4304_ +*4701 _4305_ +*4702 _4306_ +*4703 _4307_ +*4704 _4308_ +*4705 _4309_ +*4706 _4310_ +*4707 _4311_ +*4708 _4312_ +*4709 _4313_ +*4710 _4314_ +*4711 _4315_ +*4712 _4316_ +*4713 _4317_ +*4714 _4318_ +*4715 _4319_ +*4716 _4320_ +*4717 _4321_ +*4718 _4322_ +*4719 _4323_ +*4720 _4324_ +*4721 _4325_ +*4722 _4326_ +*4723 _4327_ +*4724 _4328_ +*4725 _4329_ +*4726 _4330_ +*4727 _4331_ +*4728 _4332_ +*4729 _4333_ +*4730 _4334_ +*4731 _4335_ +*4732 _4336_ +*4733 _4337_ +*4734 _4338_ +*4735 _4339_ +*4736 _4340_ +*4737 _4341_ +*4738 _4342_ +*4739 _4343_ +*4740 _4344_ +*4741 _4345_ +*4742 _4346_ +*4743 _4347_ +*4744 _4348_ +*4745 _4349_ +*4746 _4350_ +*4747 _4351_ +*4748 _4352_ +*4749 _4353_ +*4750 _4354_ +*4751 _4355_ +*4752 _4356_ +*4753 _4357_ +*4754 _4358_ +*4755 _4359_ +*4756 _4360_ +*4757 _4361_ +*4758 _4362_ +*4759 _4363_ +*4760 _4364_ +*4761 _4365_ +*4762 _4366_ +*4763 _4367_ +*4764 _4368_ +*4765 _4369_ +*4766 _4370_ +*4767 _4371_ +*4768 _4372_ +*4769 _4373_ +*4770 _4374_ +*4771 _4375_ +*4772 _4376_ +*4773 _4377_ +*4774 _4378_ +*4775 _4379_ +*4776 _4380_ +*4777 _4381_ +*4778 _4382_ +*4779 _4383_ +*4780 _4384_ +*4781 _4385_ +*4782 _4386_ +*4783 _4387_ +*4784 _4388_ +*4785 _4389_ +*4786 _4390_ +*4787 _4391_ +*4788 _4392_ +*4789 _4393_ +*4790 _4394_ +*4791 _4395_ +*4792 _4396_ +*4793 _4397_ +*4794 _4398_ +*4795 _4399_ +*4796 _4400_ +*4797 _4401_ +*4798 _4402_ +*4799 _4403_ +*4800 _4404_ +*4801 _4405_ +*4802 _4406_ +*4803 _4407_ +*4804 _4408_ +*4805 _4409_ +*4806 _4410_ +*4807 _4411_ +*4808 _4412_ +*4809 _4413_ +*4810 _4414_ +*4811 _4415_ +*4812 _4416_ +*4813 _4417_ +*4814 _4418_ +*4815 _4419_ +*4816 _4420_ +*4817 _4421_ +*4818 _4422_ +*4819 _4423_ +*4820 _4424_ +*4821 _4425_ +*4822 _4426_ +*4823 _4427_ +*4824 _4428_ +*4825 _4429_ +*4826 _4430_ +*4827 _4431_ +*4828 _4432_ +*4829 _4433_ +*4830 _4434_ +*4831 _4435_ +*4832 _4436_ +*4833 _4437_ +*4834 _4438_ +*4835 _4439_ +*4836 _4440_ +*4837 _4442_ +*4838 cdata\[0\] +*4839 cdata\[1\] +*4840 cdata\[2\] +*4841 cdata\[3\] +*4842 cdata\[4\] +*4843 cdata\[5\] +*4844 cdata\[6\] +*4845 cdata\[7\] +*4846 clk1_output_dest +*4847 clk2_output_dest +*4848 clknet_0_csclk +*4849 clknet_0_mgmt_gpio_in[4] +*4850 clknet_0_wb_clk_i +*4851 clknet_1_0_0_csclk +*4852 clknet_1_0_0_mgmt_gpio_in[4] +*4853 clknet_1_0_0_wb_clk_i +*4854 clknet_1_0_1_csclk +*4855 clknet_1_0_1_mgmt_gpio_in[4] +*4856 clknet_1_0_1_wb_clk_i +*4857 clknet_1_1_0_csclk +*4858 clknet_1_1_0_mgmt_gpio_in[4] +*4859 clknet_1_1_0_wb_clk_i +*4860 clknet_1_1_1_csclk +*4861 clknet_1_1_1_mgmt_gpio_in[4] +*4862 clknet_1_1_1_wb_clk_i +*4863 clknet_2_0_0_csclk +*4864 clknet_2_0_0_mgmt_gpio_in[4] +*4865 clknet_2_0_0_wb_clk_i +*4866 clknet_2_1_0_csclk +*4867 clknet_2_1_0_mgmt_gpio_in[4] +*4868 clknet_2_1_0_wb_clk_i +*4869 clknet_2_2_0_csclk +*4870 clknet_2_2_0_mgmt_gpio_in[4] +*4871 clknet_2_2_0_wb_clk_i +*4872 clknet_2_3_0_csclk +*4873 clknet_2_3_0_mgmt_gpio_in[4] +*4874 clknet_2_3_0_wb_clk_i +*4875 clknet_3_0_0_wb_clk_i +*4876 clknet_3_1_0_wb_clk_i +*4877 clknet_3_2_0_wb_clk_i +*4878 clknet_3_3_0_wb_clk_i +*4879 clknet_3_4_0_wb_clk_i +*4880 clknet_3_5_0_wb_clk_i +*4881 clknet_3_6_0_wb_clk_i +*4882 clknet_3_7_0_wb_clk_i +*4883 clknet_leaf_0_csclk +*4884 clknet_leaf_10_csclk +*4885 clknet_leaf_11_csclk +*4886 clknet_leaf_12_csclk +*4887 clknet_leaf_13_csclk +*4888 clknet_leaf_14_csclk +*4889 clknet_leaf_15_csclk +*4890 clknet_leaf_16_csclk +*4891 clknet_leaf_17_csclk +*4892 clknet_leaf_18_csclk +*4893 clknet_leaf_19_csclk +*4894 clknet_leaf_1_csclk +*4895 clknet_leaf_20_csclk +*4896 clknet_leaf_21_csclk +*4897 clknet_leaf_22_csclk +*4898 clknet_leaf_23_csclk +*4899 clknet_leaf_24_csclk +*4900 clknet_leaf_25_csclk +*4901 clknet_leaf_26_csclk +*4902 clknet_leaf_27_csclk +*4903 clknet_leaf_28_csclk +*4904 clknet_leaf_29_csclk +*4905 clknet_leaf_2_csclk +*4906 clknet_leaf_30_csclk +*4907 clknet_leaf_31_csclk +*4908 clknet_leaf_32_csclk +*4909 clknet_leaf_33_csclk +*4910 clknet_leaf_34_csclk +*4911 clknet_leaf_35_csclk +*4912 clknet_leaf_36_csclk +*4913 clknet_leaf_37_csclk +*4914 clknet_leaf_38_csclk +*4915 clknet_leaf_39_csclk +*4916 clknet_leaf_3_csclk +*4917 clknet_leaf_40_csclk +*4918 clknet_leaf_41_csclk +*4919 clknet_leaf_42_csclk +*4920 clknet_leaf_43_csclk +*4921 clknet_leaf_44_csclk +*4922 clknet_leaf_45_csclk +*4923 clknet_leaf_46_csclk +*4924 clknet_leaf_47_csclk +*4925 clknet_leaf_48_csclk +*4926 clknet_leaf_49_csclk +*4927 clknet_leaf_4_csclk +*4928 clknet_leaf_50_csclk +*4929 clknet_leaf_51_csclk +*4930 clknet_leaf_52_csclk +*4931 clknet_leaf_5_csclk +*4932 clknet_leaf_6_csclk +*4933 clknet_leaf_7_csclk +*4934 clknet_leaf_8_csclk +*4935 clknet_leaf_9_csclk +*4936 clknet_opt_1_0_csclk +*4937 clknet_opt_2_0_csclk +*4938 clknet_opt_3_0_csclk +*4939 clknet_opt_4_0_csclk +*4940 clknet_opt_5_0_csclk +*4941 clknet_opt_6_0_csclk +*4942 csclk +*4943 gpio_configure\[0\]\[0\] +*4944 gpio_configure\[0\]\[10\] +*4945 gpio_configure\[0\]\[11\] +*4946 gpio_configure\[0\]\[12\] +*4947 gpio_configure\[0\]\[1\] +*4948 gpio_configure\[0\]\[2\] +*4949 gpio_configure\[0\]\[3\] +*4950 gpio_configure\[0\]\[4\] +*4951 gpio_configure\[0\]\[5\] +*4952 gpio_configure\[0\]\[6\] +*4953 gpio_configure\[0\]\[7\] +*4954 gpio_configure\[0\]\[8\] +*4955 gpio_configure\[0\]\[9\] +*4956 gpio_configure\[10\]\[0\] +*4957 gpio_configure\[10\]\[10\] +*4958 gpio_configure\[10\]\[11\] +*4959 gpio_configure\[10\]\[12\] +*4960 gpio_configure\[10\]\[1\] +*4961 gpio_configure\[10\]\[2\] +*4962 gpio_configure\[10\]\[3\] +*4963 gpio_configure\[10\]\[4\] +*4964 gpio_configure\[10\]\[5\] +*4965 gpio_configure\[10\]\[6\] +*4966 gpio_configure\[10\]\[7\] +*4967 gpio_configure\[10\]\[8\] +*4968 gpio_configure\[10\]\[9\] +*4969 gpio_configure\[11\]\[0\] +*4970 gpio_configure\[11\]\[10\] +*4971 gpio_configure\[11\]\[11\] +*4972 gpio_configure\[11\]\[12\] +*4973 gpio_configure\[11\]\[1\] +*4974 gpio_configure\[11\]\[2\] +*4975 gpio_configure\[11\]\[3\] +*4976 gpio_configure\[11\]\[4\] +*4977 gpio_configure\[11\]\[5\] +*4978 gpio_configure\[11\]\[6\] +*4979 gpio_configure\[11\]\[7\] +*4980 gpio_configure\[11\]\[8\] +*4981 gpio_configure\[11\]\[9\] +*4982 gpio_configure\[12\]\[0\] +*4983 gpio_configure\[12\]\[10\] +*4984 gpio_configure\[12\]\[11\] +*4985 gpio_configure\[12\]\[12\] +*4986 gpio_configure\[12\]\[1\] +*4987 gpio_configure\[12\]\[2\] +*4988 gpio_configure\[12\]\[3\] +*4989 gpio_configure\[12\]\[4\] +*4990 gpio_configure\[12\]\[5\] +*4991 gpio_configure\[12\]\[6\] +*4992 gpio_configure\[12\]\[7\] +*4993 gpio_configure\[12\]\[8\] +*4994 gpio_configure\[12\]\[9\] +*4995 gpio_configure\[13\]\[0\] +*4996 gpio_configure\[13\]\[10\] +*4997 gpio_configure\[13\]\[11\] +*4998 gpio_configure\[13\]\[12\] +*4999 gpio_configure\[13\]\[1\] +*5000 gpio_configure\[13\]\[2\] +*5001 gpio_configure\[13\]\[3\] +*5002 gpio_configure\[13\]\[4\] +*5003 gpio_configure\[13\]\[5\] +*5004 gpio_configure\[13\]\[6\] +*5005 gpio_configure\[13\]\[7\] +*5006 gpio_configure\[13\]\[8\] +*5007 gpio_configure\[13\]\[9\] +*5008 gpio_configure\[14\]\[0\] +*5009 gpio_configure\[14\]\[10\] +*5010 gpio_configure\[14\]\[11\] +*5011 gpio_configure\[14\]\[12\] +*5012 gpio_configure\[14\]\[1\] +*5013 gpio_configure\[14\]\[2\] +*5014 gpio_configure\[14\]\[3\] +*5015 gpio_configure\[14\]\[4\] +*5016 gpio_configure\[14\]\[5\] +*5017 gpio_configure\[14\]\[6\] +*5018 gpio_configure\[14\]\[7\] +*5019 gpio_configure\[14\]\[8\] +*5020 gpio_configure\[14\]\[9\] +*5021 gpio_configure\[15\]\[0\] +*5022 gpio_configure\[15\]\[10\] +*5023 gpio_configure\[15\]\[11\] +*5024 gpio_configure\[15\]\[12\] +*5025 gpio_configure\[15\]\[1\] +*5026 gpio_configure\[15\]\[2\] +*5027 gpio_configure\[15\]\[3\] +*5028 gpio_configure\[15\]\[4\] +*5029 gpio_configure\[15\]\[5\] +*5030 gpio_configure\[15\]\[6\] +*5031 gpio_configure\[15\]\[7\] +*5032 gpio_configure\[15\]\[8\] +*5033 gpio_configure\[15\]\[9\] +*5034 gpio_configure\[16\]\[0\] +*5035 gpio_configure\[16\]\[10\] +*5036 gpio_configure\[16\]\[11\] +*5037 gpio_configure\[16\]\[12\] +*5038 gpio_configure\[16\]\[1\] +*5039 gpio_configure\[16\]\[2\] +*5040 gpio_configure\[16\]\[3\] +*5041 gpio_configure\[16\]\[4\] +*5042 gpio_configure\[16\]\[5\] +*5043 gpio_configure\[16\]\[6\] +*5044 gpio_configure\[16\]\[7\] +*5045 gpio_configure\[16\]\[8\] +*5046 gpio_configure\[16\]\[9\] +*5047 gpio_configure\[17\]\[0\] +*5048 gpio_configure\[17\]\[10\] +*5049 gpio_configure\[17\]\[11\] +*5050 gpio_configure\[17\]\[12\] +*5051 gpio_configure\[17\]\[1\] +*5052 gpio_configure\[17\]\[2\] +*5053 gpio_configure\[17\]\[3\] +*5054 gpio_configure\[17\]\[4\] +*5055 gpio_configure\[17\]\[5\] +*5056 gpio_configure\[17\]\[6\] +*5057 gpio_configure\[17\]\[7\] +*5058 gpio_configure\[17\]\[8\] +*5059 gpio_configure\[17\]\[9\] +*5060 gpio_configure\[18\]\[0\] +*5061 gpio_configure\[18\]\[10\] +*5062 gpio_configure\[18\]\[11\] +*5063 gpio_configure\[18\]\[12\] +*5064 gpio_configure\[18\]\[1\] +*5065 gpio_configure\[18\]\[2\] +*5066 gpio_configure\[18\]\[3\] +*5067 gpio_configure\[18\]\[4\] +*5068 gpio_configure\[18\]\[5\] +*5069 gpio_configure\[18\]\[6\] +*5070 gpio_configure\[18\]\[7\] +*5071 gpio_configure\[18\]\[8\] +*5072 gpio_configure\[18\]\[9\] +*5073 gpio_configure\[19\]\[0\] +*5074 gpio_configure\[19\]\[10\] +*5075 gpio_configure\[19\]\[11\] +*5076 gpio_configure\[19\]\[12\] +*5077 gpio_configure\[19\]\[1\] +*5078 gpio_configure\[19\]\[2\] +*5079 gpio_configure\[19\]\[3\] +*5080 gpio_configure\[19\]\[4\] +*5081 gpio_configure\[19\]\[5\] +*5082 gpio_configure\[19\]\[6\] +*5083 gpio_configure\[19\]\[7\] +*5084 gpio_configure\[19\]\[8\] +*5085 gpio_configure\[19\]\[9\] +*5086 gpio_configure\[1\]\[0\] +*5087 gpio_configure\[1\]\[10\] +*5088 gpio_configure\[1\]\[11\] +*5089 gpio_configure\[1\]\[12\] +*5090 gpio_configure\[1\]\[1\] +*5091 gpio_configure\[1\]\[2\] +*5092 gpio_configure\[1\]\[3\] +*5093 gpio_configure\[1\]\[4\] +*5094 gpio_configure\[1\]\[5\] +*5095 gpio_configure\[1\]\[6\] +*5096 gpio_configure\[1\]\[7\] +*5097 gpio_configure\[1\]\[8\] +*5098 gpio_configure\[1\]\[9\] +*5099 gpio_configure\[20\]\[0\] +*5100 gpio_configure\[20\]\[10\] +*5101 gpio_configure\[20\]\[11\] +*5102 gpio_configure\[20\]\[12\] +*5103 gpio_configure\[20\]\[1\] +*5104 gpio_configure\[20\]\[2\] +*5105 gpio_configure\[20\]\[3\] +*5106 gpio_configure\[20\]\[4\] +*5107 gpio_configure\[20\]\[5\] +*5108 gpio_configure\[20\]\[6\] +*5109 gpio_configure\[20\]\[7\] +*5110 gpio_configure\[20\]\[8\] +*5111 gpio_configure\[20\]\[9\] +*5112 gpio_configure\[21\]\[0\] +*5113 gpio_configure\[21\]\[10\] +*5114 gpio_configure\[21\]\[11\] +*5115 gpio_configure\[21\]\[12\] +*5116 gpio_configure\[21\]\[1\] +*5117 gpio_configure\[21\]\[2\] +*5118 gpio_configure\[21\]\[3\] +*5119 gpio_configure\[21\]\[4\] +*5120 gpio_configure\[21\]\[5\] +*5121 gpio_configure\[21\]\[6\] +*5122 gpio_configure\[21\]\[7\] +*5123 gpio_configure\[21\]\[8\] +*5124 gpio_configure\[21\]\[9\] +*5125 gpio_configure\[22\]\[0\] +*5126 gpio_configure\[22\]\[10\] +*5127 gpio_configure\[22\]\[11\] +*5128 gpio_configure\[22\]\[12\] +*5129 gpio_configure\[22\]\[1\] +*5130 gpio_configure\[22\]\[2\] +*5131 gpio_configure\[22\]\[3\] +*5132 gpio_configure\[22\]\[4\] +*5133 gpio_configure\[22\]\[5\] +*5134 gpio_configure\[22\]\[6\] +*5135 gpio_configure\[22\]\[7\] +*5136 gpio_configure\[22\]\[8\] +*5137 gpio_configure\[22\]\[9\] +*5138 gpio_configure\[23\]\[0\] +*5139 gpio_configure\[23\]\[10\] +*5140 gpio_configure\[23\]\[11\] +*5141 gpio_configure\[23\]\[12\] +*5142 gpio_configure\[23\]\[1\] +*5143 gpio_configure\[23\]\[2\] +*5144 gpio_configure\[23\]\[3\] +*5145 gpio_configure\[23\]\[4\] +*5146 gpio_configure\[23\]\[5\] +*5147 gpio_configure\[23\]\[6\] +*5148 gpio_configure\[23\]\[7\] +*5149 gpio_configure\[23\]\[8\] +*5150 gpio_configure\[23\]\[9\] +*5151 gpio_configure\[24\]\[0\] +*5152 gpio_configure\[24\]\[10\] +*5153 gpio_configure\[24\]\[11\] +*5154 gpio_configure\[24\]\[12\] +*5155 gpio_configure\[24\]\[1\] +*5156 gpio_configure\[24\]\[2\] +*5157 gpio_configure\[24\]\[3\] +*5158 gpio_configure\[24\]\[4\] +*5159 gpio_configure\[24\]\[5\] +*5160 gpio_configure\[24\]\[6\] +*5161 gpio_configure\[24\]\[7\] +*5162 gpio_configure\[24\]\[8\] +*5163 gpio_configure\[24\]\[9\] +*5164 gpio_configure\[25\]\[0\] +*5165 gpio_configure\[25\]\[10\] +*5166 gpio_configure\[25\]\[11\] +*5167 gpio_configure\[25\]\[12\] +*5168 gpio_configure\[25\]\[1\] +*5169 gpio_configure\[25\]\[2\] +*5170 gpio_configure\[25\]\[3\] +*5171 gpio_configure\[25\]\[4\] +*5172 gpio_configure\[25\]\[5\] +*5173 gpio_configure\[25\]\[6\] +*5174 gpio_configure\[25\]\[7\] +*5175 gpio_configure\[25\]\[8\] +*5176 gpio_configure\[25\]\[9\] +*5177 gpio_configure\[26\]\[0\] +*5178 gpio_configure\[26\]\[10\] +*5179 gpio_configure\[26\]\[11\] +*5180 gpio_configure\[26\]\[12\] +*5181 gpio_configure\[26\]\[1\] +*5182 gpio_configure\[26\]\[2\] +*5183 gpio_configure\[26\]\[3\] +*5184 gpio_configure\[26\]\[4\] +*5185 gpio_configure\[26\]\[5\] +*5186 gpio_configure\[26\]\[6\] +*5187 gpio_configure\[26\]\[7\] +*5188 gpio_configure\[26\]\[8\] +*5189 gpio_configure\[26\]\[9\] +*5190 gpio_configure\[27\]\[0\] +*5191 gpio_configure\[27\]\[10\] +*5192 gpio_configure\[27\]\[11\] +*5193 gpio_configure\[27\]\[12\] +*5194 gpio_configure\[27\]\[1\] +*5195 gpio_configure\[27\]\[2\] +*5196 gpio_configure\[27\]\[3\] +*5197 gpio_configure\[27\]\[4\] +*5198 gpio_configure\[27\]\[5\] +*5199 gpio_configure\[27\]\[6\] +*5200 gpio_configure\[27\]\[7\] +*5201 gpio_configure\[27\]\[8\] +*5202 gpio_configure\[27\]\[9\] +*5203 gpio_configure\[28\]\[0\] +*5204 gpio_configure\[28\]\[10\] +*5205 gpio_configure\[28\]\[11\] +*5206 gpio_configure\[28\]\[12\] +*5207 gpio_configure\[28\]\[1\] +*5208 gpio_configure\[28\]\[2\] +*5209 gpio_configure\[28\]\[3\] +*5210 gpio_configure\[28\]\[4\] +*5211 gpio_configure\[28\]\[5\] +*5212 gpio_configure\[28\]\[6\] +*5213 gpio_configure\[28\]\[7\] +*5214 gpio_configure\[28\]\[8\] +*5215 gpio_configure\[28\]\[9\] +*5216 gpio_configure\[29\]\[0\] +*5217 gpio_configure\[29\]\[10\] +*5218 gpio_configure\[29\]\[11\] +*5219 gpio_configure\[29\]\[12\] +*5220 gpio_configure\[29\]\[1\] +*5221 gpio_configure\[29\]\[2\] +*5222 gpio_configure\[29\]\[3\] +*5223 gpio_configure\[29\]\[4\] +*5224 gpio_configure\[29\]\[5\] +*5225 gpio_configure\[29\]\[6\] +*5226 gpio_configure\[29\]\[7\] +*5227 gpio_configure\[29\]\[8\] +*5228 gpio_configure\[29\]\[9\] +*5229 gpio_configure\[2\]\[0\] +*5230 gpio_configure\[2\]\[10\] +*5231 gpio_configure\[2\]\[11\] +*5232 gpio_configure\[2\]\[12\] +*5233 gpio_configure\[2\]\[1\] +*5234 gpio_configure\[2\]\[2\] +*5235 gpio_configure\[2\]\[3\] +*5236 gpio_configure\[2\]\[4\] +*5237 gpio_configure\[2\]\[5\] +*5238 gpio_configure\[2\]\[6\] +*5239 gpio_configure\[2\]\[7\] +*5240 gpio_configure\[2\]\[8\] +*5241 gpio_configure\[2\]\[9\] +*5242 gpio_configure\[30\]\[0\] +*5243 gpio_configure\[30\]\[10\] +*5244 gpio_configure\[30\]\[11\] +*5245 gpio_configure\[30\]\[12\] +*5246 gpio_configure\[30\]\[1\] +*5247 gpio_configure\[30\]\[2\] +*5248 gpio_configure\[30\]\[3\] +*5249 gpio_configure\[30\]\[4\] +*5250 gpio_configure\[30\]\[5\] +*5251 gpio_configure\[30\]\[6\] +*5252 gpio_configure\[30\]\[7\] +*5253 gpio_configure\[30\]\[8\] +*5254 gpio_configure\[30\]\[9\] +*5255 gpio_configure\[31\]\[0\] +*5256 gpio_configure\[31\]\[10\] +*5257 gpio_configure\[31\]\[11\] +*5258 gpio_configure\[31\]\[12\] +*5259 gpio_configure\[31\]\[1\] +*5260 gpio_configure\[31\]\[2\] +*5261 gpio_configure\[31\]\[3\] +*5262 gpio_configure\[31\]\[4\] +*5263 gpio_configure\[31\]\[5\] +*5264 gpio_configure\[31\]\[6\] +*5265 gpio_configure\[31\]\[7\] +*5266 gpio_configure\[31\]\[8\] +*5267 gpio_configure\[31\]\[9\] +*5268 gpio_configure\[32\]\[0\] +*5269 gpio_configure\[32\]\[10\] +*5270 gpio_configure\[32\]\[11\] +*5271 gpio_configure\[32\]\[12\] +*5272 gpio_configure\[32\]\[1\] +*5273 gpio_configure\[32\]\[2\] +*5274 gpio_configure\[32\]\[3\] +*5275 gpio_configure\[32\]\[4\] +*5276 gpio_configure\[32\]\[5\] +*5277 gpio_configure\[32\]\[6\] +*5278 gpio_configure\[32\]\[7\] +*5279 gpio_configure\[32\]\[8\] +*5280 gpio_configure\[32\]\[9\] +*5281 gpio_configure\[33\]\[0\] +*5282 gpio_configure\[33\]\[10\] +*5283 gpio_configure\[33\]\[11\] +*5284 gpio_configure\[33\]\[12\] +*5285 gpio_configure\[33\]\[1\] +*5286 gpio_configure\[33\]\[2\] +*5287 gpio_configure\[33\]\[3\] +*5288 gpio_configure\[33\]\[4\] +*5289 gpio_configure\[33\]\[5\] +*5290 gpio_configure\[33\]\[6\] +*5291 gpio_configure\[33\]\[7\] +*5292 gpio_configure\[33\]\[8\] +*5293 gpio_configure\[33\]\[9\] +*5294 gpio_configure\[34\]\[0\] +*5295 gpio_configure\[34\]\[10\] +*5296 gpio_configure\[34\]\[11\] +*5297 gpio_configure\[34\]\[12\] +*5298 gpio_configure\[34\]\[1\] +*5299 gpio_configure\[34\]\[2\] +*5300 gpio_configure\[34\]\[3\] +*5301 gpio_configure\[34\]\[4\] +*5302 gpio_configure\[34\]\[5\] +*5303 gpio_configure\[34\]\[6\] +*5304 gpio_configure\[34\]\[7\] +*5305 gpio_configure\[34\]\[8\] +*5306 gpio_configure\[34\]\[9\] +*5307 gpio_configure\[35\]\[0\] +*5308 gpio_configure\[35\]\[10\] +*5309 gpio_configure\[35\]\[11\] +*5310 gpio_configure\[35\]\[12\] +*5311 gpio_configure\[35\]\[1\] +*5312 gpio_configure\[35\]\[2\] +*5313 gpio_configure\[35\]\[3\] +*5314 gpio_configure\[35\]\[4\] +*5315 gpio_configure\[35\]\[5\] +*5316 gpio_configure\[35\]\[6\] +*5317 gpio_configure\[35\]\[7\] +*5318 gpio_configure\[35\]\[8\] +*5319 gpio_configure\[35\]\[9\] +*5320 gpio_configure\[36\]\[0\] +*5321 gpio_configure\[36\]\[10\] +*5322 gpio_configure\[36\]\[11\] +*5323 gpio_configure\[36\]\[12\] +*5324 gpio_configure\[36\]\[1\] +*5325 gpio_configure\[36\]\[2\] +*5326 gpio_configure\[36\]\[3\] +*5327 gpio_configure\[36\]\[4\] +*5328 gpio_configure\[36\]\[5\] +*5329 gpio_configure\[36\]\[6\] +*5330 gpio_configure\[36\]\[7\] +*5331 gpio_configure\[36\]\[8\] +*5332 gpio_configure\[36\]\[9\] +*5333 gpio_configure\[37\]\[0\] +*5334 gpio_configure\[37\]\[10\] +*5335 gpio_configure\[37\]\[11\] +*5336 gpio_configure\[37\]\[12\] +*5337 gpio_configure\[37\]\[1\] +*5338 gpio_configure\[37\]\[2\] +*5339 gpio_configure\[37\]\[3\] +*5340 gpio_configure\[37\]\[4\] +*5341 gpio_configure\[37\]\[5\] +*5342 gpio_configure\[37\]\[6\] +*5343 gpio_configure\[37\]\[7\] +*5344 gpio_configure\[37\]\[8\] +*5345 gpio_configure\[37\]\[9\] +*5346 gpio_configure\[3\]\[0\] +*5347 gpio_configure\[3\]\[10\] +*5348 gpio_configure\[3\]\[11\] +*5349 gpio_configure\[3\]\[12\] +*5350 gpio_configure\[3\]\[1\] +*5351 gpio_configure\[3\]\[2\] +*5352 gpio_configure\[3\]\[3\] +*5353 gpio_configure\[3\]\[4\] +*5354 gpio_configure\[3\]\[5\] +*5355 gpio_configure\[3\]\[6\] +*5356 gpio_configure\[3\]\[7\] +*5357 gpio_configure\[3\]\[8\] +*5358 gpio_configure\[3\]\[9\] +*5359 gpio_configure\[4\]\[0\] +*5360 gpio_configure\[4\]\[10\] +*5361 gpio_configure\[4\]\[11\] +*5362 gpio_configure\[4\]\[12\] +*5363 gpio_configure\[4\]\[1\] +*5364 gpio_configure\[4\]\[2\] +*5365 gpio_configure\[4\]\[3\] +*5366 gpio_configure\[4\]\[4\] +*5367 gpio_configure\[4\]\[5\] +*5368 gpio_configure\[4\]\[6\] +*5369 gpio_configure\[4\]\[7\] +*5370 gpio_configure\[4\]\[8\] +*5371 gpio_configure\[4\]\[9\] +*5372 gpio_configure\[5\]\[0\] +*5373 gpio_configure\[5\]\[10\] +*5374 gpio_configure\[5\]\[11\] +*5375 gpio_configure\[5\]\[12\] +*5376 gpio_configure\[5\]\[1\] +*5377 gpio_configure\[5\]\[2\] +*5378 gpio_configure\[5\]\[3\] +*5379 gpio_configure\[5\]\[4\] +*5380 gpio_configure\[5\]\[5\] +*5381 gpio_configure\[5\]\[6\] +*5382 gpio_configure\[5\]\[7\] +*5383 gpio_configure\[5\]\[8\] +*5384 gpio_configure\[5\]\[9\] +*5385 gpio_configure\[6\]\[0\] +*5386 gpio_configure\[6\]\[10\] +*5387 gpio_configure\[6\]\[11\] +*5388 gpio_configure\[6\]\[12\] +*5389 gpio_configure\[6\]\[1\] +*5390 gpio_configure\[6\]\[2\] +*5391 gpio_configure\[6\]\[3\] +*5392 gpio_configure\[6\]\[4\] +*5393 gpio_configure\[6\]\[5\] +*5394 gpio_configure\[6\]\[6\] +*5395 gpio_configure\[6\]\[7\] +*5396 gpio_configure\[6\]\[8\] +*5397 gpio_configure\[6\]\[9\] +*5398 gpio_configure\[7\]\[0\] +*5399 gpio_configure\[7\]\[10\] +*5400 gpio_configure\[7\]\[11\] +*5401 gpio_configure\[7\]\[12\] +*5402 gpio_configure\[7\]\[1\] +*5403 gpio_configure\[7\]\[2\] +*5404 gpio_configure\[7\]\[3\] +*5405 gpio_configure\[7\]\[4\] +*5406 gpio_configure\[7\]\[5\] +*5407 gpio_configure\[7\]\[6\] +*5408 gpio_configure\[7\]\[7\] +*5409 gpio_configure\[7\]\[8\] +*5410 gpio_configure\[7\]\[9\] +*5411 gpio_configure\[8\]\[0\] +*5412 gpio_configure\[8\]\[10\] +*5413 gpio_configure\[8\]\[11\] +*5414 gpio_configure\[8\]\[12\] +*5415 gpio_configure\[8\]\[1\] +*5416 gpio_configure\[8\]\[2\] +*5417 gpio_configure\[8\]\[3\] +*5418 gpio_configure\[8\]\[4\] +*5419 gpio_configure\[8\]\[5\] +*5420 gpio_configure\[8\]\[6\] +*5421 gpio_configure\[8\]\[7\] +*5422 gpio_configure\[8\]\[8\] +*5423 gpio_configure\[8\]\[9\] +*5424 gpio_configure\[9\]\[0\] +*5425 gpio_configure\[9\]\[10\] +*5426 gpio_configure\[9\]\[11\] +*5427 gpio_configure\[9\]\[12\] +*5428 gpio_configure\[9\]\[1\] +*5429 gpio_configure\[9\]\[2\] +*5430 gpio_configure\[9\]\[3\] +*5431 gpio_configure\[9\]\[4\] +*5432 gpio_configure\[9\]\[5\] +*5433 gpio_configure\[9\]\[6\] +*5434 gpio_configure\[9\]\[7\] +*5435 gpio_configure\[9\]\[8\] +*5436 gpio_configure\[9\]\[9\] +*5437 hkspi\.SDO +*5438 hkspi\.addr\[0\] +*5439 hkspi\.addr\[1\] +*5440 hkspi\.addr\[2\] +*5441 hkspi\.addr\[3\] +*5442 hkspi\.addr\[4\] +*5443 hkspi\.addr\[5\] +*5444 hkspi\.addr\[6\] +*5445 hkspi\.addr\[7\] +*5446 hkspi\.count\[0\] +*5447 hkspi\.count\[1\] +*5448 hkspi\.count\[2\] +*5449 hkspi\.fixed\[0\] +*5450 hkspi\.fixed\[1\] +*5451 hkspi\.fixed\[2\] +*5452 hkspi\.idata\[1\] +*5453 hkspi\.idata\[2\] +*5454 hkspi\.idata\[3\] +*5455 hkspi\.idata\[4\] +*5456 hkspi\.idata\[5\] +*5457 hkspi\.idata\[6\] +*5458 hkspi\.idata\[7\] +*5459 hkspi\.ldata\[0\] +*5460 hkspi\.ldata\[1\] +*5461 hkspi\.ldata\[2\] +*5462 hkspi\.ldata\[3\] +*5463 hkspi\.ldata\[4\] +*5464 hkspi\.ldata\[5\] +*5465 hkspi\.ldata\[6\] +*5466 hkspi\.odata\[1\] +*5467 hkspi\.odata\[2\] +*5468 hkspi\.odata\[3\] +*5469 hkspi\.odata\[4\] +*5470 hkspi\.odata\[5\] +*5471 hkspi\.odata\[6\] +*5472 hkspi\.odata\[7\] +*5473 hkspi\.pass_thru_mgmt +*5474 hkspi\.pass_thru_mgmt_delay +*5475 hkspi\.pass_thru_user +*5476 hkspi\.pass_thru_user_delay +*5477 hkspi\.pre_pass_thru_mgmt +*5478 hkspi\.pre_pass_thru_user +*5479 hkspi\.rdstb +*5480 hkspi\.readmode +*5481 hkspi\.sdoenb +*5482 hkspi\.state\[0\] +*5483 hkspi\.state\[1\] +*5484 hkspi\.state\[2\] +*5485 hkspi\.state\[3\] +*5486 hkspi\.state\[4\] +*5487 hkspi\.writemode +*5488 hkspi\.wrstb +*5489 hkspi_disable +*5490 irq_1_inputsrc +*5491 irq_2_inputsrc +*5492 mgmt_gpio_data\[0\] +*5493 mgmt_gpio_data\[10\] +*5494 mgmt_gpio_data\[11\] +*5495 mgmt_gpio_data\[12\] +*5496 mgmt_gpio_data\[13\] +*5497 mgmt_gpio_data\[14\] +*5498 mgmt_gpio_data\[15\] +*5499 mgmt_gpio_data\[16\] +*5500 mgmt_gpio_data\[17\] +*5501 mgmt_gpio_data\[18\] +*5502 mgmt_gpio_data\[19\] +*5503 mgmt_gpio_data\[1\] +*5504 mgmt_gpio_data\[20\] +*5505 mgmt_gpio_data\[21\] +*5506 mgmt_gpio_data\[22\] +*5507 mgmt_gpio_data\[23\] +*5508 mgmt_gpio_data\[24\] +*5509 mgmt_gpio_data\[25\] +*5510 mgmt_gpio_data\[26\] +*5511 mgmt_gpio_data\[27\] +*5512 mgmt_gpio_data\[28\] +*5513 mgmt_gpio_data\[29\] +*5514 mgmt_gpio_data\[2\] +*5515 mgmt_gpio_data\[30\] +*5516 mgmt_gpio_data\[31\] +*5517 mgmt_gpio_data\[32\] +*5518 mgmt_gpio_data\[33\] +*5519 mgmt_gpio_data\[34\] +*5520 mgmt_gpio_data\[35\] +*5521 mgmt_gpio_data\[36\] +*5522 mgmt_gpio_data\[37\] +*5523 mgmt_gpio_data\[3\] +*5524 mgmt_gpio_data\[4\] +*5525 mgmt_gpio_data\[5\] +*5526 mgmt_gpio_data\[6\] +*5527 mgmt_gpio_data\[7\] +*5528 mgmt_gpio_data\[8\] +*5529 mgmt_gpio_data\[9\] +*5530 mgmt_gpio_data_buf\[0\] +*5531 mgmt_gpio_data_buf\[10\] +*5532 mgmt_gpio_data_buf\[11\] +*5533 mgmt_gpio_data_buf\[12\] +*5534 mgmt_gpio_data_buf\[13\] +*5535 mgmt_gpio_data_buf\[14\] +*5536 mgmt_gpio_data_buf\[15\] +*5537 mgmt_gpio_data_buf\[16\] +*5538 mgmt_gpio_data_buf\[17\] +*5539 mgmt_gpio_data_buf\[18\] +*5540 mgmt_gpio_data_buf\[19\] +*5541 mgmt_gpio_data_buf\[1\] +*5542 mgmt_gpio_data_buf\[20\] +*5543 mgmt_gpio_data_buf\[21\] +*5544 mgmt_gpio_data_buf\[22\] +*5545 mgmt_gpio_data_buf\[23\] +*5546 mgmt_gpio_data_buf\[2\] +*5547 mgmt_gpio_data_buf\[3\] +*5548 mgmt_gpio_data_buf\[4\] +*5549 mgmt_gpio_data_buf\[5\] +*5550 mgmt_gpio_data_buf\[6\] +*5551 mgmt_gpio_data_buf\[7\] +*5552 mgmt_gpio_data_buf\[8\] +*5553 mgmt_gpio_data_buf\[9\] +*5554 mgmt_gpio_out_pre\[10\] +*5555 mgmt_gpio_out_pre\[13\] +*5556 mgmt_gpio_out_pre\[14\] +*5557 mgmt_gpio_out_pre\[15\] +*5558 mgmt_gpio_out_pre\[32\] +*5559 mgmt_gpio_out_pre\[33\] +*5560 mgmt_gpio_out_pre\[6\] +*5561 mgmt_gpio_out_pre\[8\] +*5562 mgmt_gpio_out_pre\[9\] +*5563 net1 +*5564 net10 +*5565 net100 +*5566 net101 +*5567 net102 +*5568 net103 +*5569 net104 +*5570 net105 +*5571 net106 +*5572 net107 +*5573 net108 +*5574 net109 +*5575 net11 +*5576 net110 +*5577 net111 +*5578 net112 +*5579 net113 +*5580 net114 +*5581 net115 +*5582 net116 +*5583 net117 +*5584 net118 +*5585 net119 +*5586 net12 +*5587 net120 +*5588 net121 +*5589 net122 +*5590 net123 +*5591 net124 +*5592 net125 +*5593 net126 +*5594 net127 +*5595 net128 +*5596 net129 +*5597 net13 +*5598 net130 +*5599 net131 +*5600 net132 +*5601 net133 +*5602 net134 +*5603 net135 +*5604 net136 +*5605 net137 +*5606 net138 +*5607 net139 +*5608 net14 +*5609 net140 +*5610 net141 +*5611 net142 +*5612 net143 +*5613 net144 +*5614 net145 +*5615 net146 +*5616 net147 +*5617 net148 +*5618 net149 +*5619 net15 +*5620 net150 +*5621 net151 +*5622 net152 +*5623 net153 +*5624 net154 +*5625 net155 +*5626 net156 +*5627 net157 +*5628 net158 +*5629 net159 +*5630 net16 +*5631 net160 +*5632 net161 +*5633 net162 +*5634 net163 +*5635 net164 +*5636 net165 +*5637 net166 +*5638 net167 +*5639 net168 +*5640 net169 +*5641 net17 +*5642 net170 +*5643 net171 +*5644 net172 +*5645 net173 +*5646 net174 +*5647 net175 +*5648 net176 +*5649 net177 +*5650 net178 +*5651 net179 +*5652 net18 +*5653 net180 +*5654 net181 +*5655 net182 +*5656 net183 +*5657 net184 +*5658 net185 +*5659 net186 +*5660 net187 +*5661 net188 +*5662 net189 +*5663 net19 +*5664 net190 +*5665 net191 +*5666 net192 +*5667 net193 +*5668 net194 +*5669 net195 +*5670 net196 +*5671 net197 +*5672 net198 +*5673 net199 +*5674 net2 +*5675 net20 +*5676 net200 +*5677 net201 +*5678 net202 +*5679 net203 +*5680 net204 +*5681 net205 +*5682 net206 +*5683 net207 +*5684 net208 +*5685 net209 +*5686 net21 +*5687 net210 +*5688 net211 +*5689 net212 +*5690 net213 +*5691 net214 +*5692 net215 +*5693 net216 +*5694 net217 +*5695 net218 +*5696 net219 +*5697 net22 +*5698 net220 +*5699 net221 +*5700 net222 +*5701 net223 +*5702 net224 +*5703 net225 +*5704 net226 +*5705 net227 +*5706 net228 +*5707 net229 +*5708 net23 +*5709 net230 +*5710 net231 +*5711 net232 +*5712 net233 +*5713 net234 +*5714 net235 +*5715 net236 +*5716 net237 +*5717 net238 +*5718 net239 +*5719 net24 +*5720 net240 +*5721 net241 +*5722 net242 +*5723 net243 +*5724 net244 +*5725 net245 +*5726 net246 +*5727 net247 +*5728 net248 +*5729 net249 +*5730 net25 +*5731 net250 +*5732 net251 +*5733 net252 +*5734 net253 +*5735 net254 +*5736 net255 +*5737 net256 +*5738 net257 +*5739 net258 +*5740 net259 +*5741 net26 +*5742 net260 +*5743 net261 +*5744 net262 +*5745 net263 +*5746 net264 +*5747 net265 +*5748 net266 +*5749 net267 +*5750 net268 +*5751 net269 +*5752 net27 +*5753 net270 +*5754 net271 +*5755 net272 +*5756 net273 +*5757 net274 +*5758 net275 +*5759 net276 +*5760 net277 +*5761 net278 +*5762 net279 +*5763 net28 +*5764 net280 +*5765 net281 +*5766 net282 +*5767 net283 +*5768 net284 +*5769 net285 +*5770 net286 +*5771 net287 +*5772 net288 +*5773 net289 +*5774 net29 +*5775 net290 +*5776 net291 +*5777 net292 +*5778 net293 +*5779 net294 +*5780 net295 +*5781 net296 +*5782 net297 +*5783 net298 +*5784 net299 +*5785 net3 +*5786 net30 +*5787 net300 +*5788 net301 +*5789 net302 +*5790 net303 +*5791 net304 +*5792 net305 +*5793 net306 +*5794 net307 +*5795 net308 +*5796 net309 +*5797 net31 +*5798 net310 +*5799 net311 +*5800 net312 +*5801 net313 +*5802 net314 +*5803 net315 +*5804 net316 +*5805 net317 +*5806 net318 +*5807 net319 +*5808 net32 +*5809 net320 +*5810 net321 +*5811 net322 +*5812 net323 +*5813 net324 +*5814 net325 +*5815 net326 +*5816 net327 +*5817 net328 +*5818 net329 +*5819 net33 +*5820 net330 +*5821 net331 +*5822 net332 +*5823 net333 +*5824 net334 +*5825 net335 +*5826 net336 +*5827 net337 +*5828 net338 +*5829 net339 +*5830 net34 +*5831 net340 +*5832 net341 +*5833 net342 +*5834 net343 +*5835 net344 +*5836 net345 +*5837 net346 +*5838 net347 +*5839 net348 +*5840 net349 +*5841 net35 +*5842 net350 +*5843 net351 +*5844 net352 +*5845 net353 +*5846 net354 +*5847 net355 +*5848 net356 +*5849 net357 +*5850 net358 +*5851 net359 +*5852 net36 +*5853 net360 +*5854 net361 +*5855 net362 +*5856 net363 +*5857 net364 +*5858 net365 +*5859 net366 +*5860 net367 +*5861 net368 +*5862 net369 +*5863 net37 +*5864 net370 +*5865 net371 +*5866 net372 +*5867 net373 +*5868 net374 +*5869 net375 +*5870 net376 +*5871 net377 +*5872 net378 +*5873 net379 +*5874 net38 +*5875 net380 +*5876 net381 +*5877 net39 +*5878 net4 +*5879 net40 +*5880 net41 +*5881 net42 +*5882 net43 +*5883 net44 +*5884 net45 +*5885 net46 +*5886 net47 +*5887 net48 +*5888 net49 +*5889 net5 +*5890 net50 +*5891 net51 +*5892 net52 +*5893 net53 +*5894 net54 +*5895 net55 +*5896 net56 +*5897 net57 +*5898 net58 +*5899 net59 +*5900 net6 +*5901 net60 +*5902 net61 +*5903 net62 +*5904 net63 +*5905 net64 +*5906 net65 +*5907 net66 +*5908 net67 +*5909 net68 +*5910 net69 +*5911 net7 +*5912 net70 +*5913 net71 +*5914 net72 +*5915 net73 +*5916 net74 +*5917 net75 +*5918 net76 +*5919 net77 +*5920 net78 +*5921 net79 +*5922 net8 +*5923 net80 +*5924 net81 +*5925 net82 +*5926 net83 +*5927 net84 +*5928 net85 +*5929 net86 +*5930 net87 +*5931 net88 +*5932 net89 +*5933 net9 +*5934 net90 +*5935 net91 +*5936 net92 +*5937 net93 +*5938 net94 +*5939 net95 +*5940 net96 +*5941 net97 +*5942 net98 +*5943 net99 +*5944 pad_count_1\[0\] +*5945 pad_count_1\[1\] +*5946 pad_count_1\[2\] +*5947 pad_count_1\[3\] +*5948 pad_count_1\[4\] +*5949 pad_count_2\[0\] +*5950 pad_count_2\[1\] +*5951 pad_count_2\[2\] +*5952 pad_count_2\[3\] +*5953 pad_count_2\[4\] +*5954 pad_count_2\[5\] +*5955 reset_reg +*5956 serial_bb_clock +*5957 serial_bb_data_1 +*5958 serial_bb_data_2 +*5959 serial_bb_enable +*5960 serial_bb_load +*5961 serial_bb_resetn +*5962 serial_busy +*5963 serial_clock_pre +*5964 serial_data_staging_1\[0\] +*5965 serial_data_staging_1\[10\] +*5966 serial_data_staging_1\[11\] +*5967 serial_data_staging_1\[12\] +*5968 serial_data_staging_1\[1\] +*5969 serial_data_staging_1\[2\] +*5970 serial_data_staging_1\[3\] +*5971 serial_data_staging_1\[4\] +*5972 serial_data_staging_1\[5\] +*5973 serial_data_staging_1\[6\] +*5974 serial_data_staging_1\[7\] +*5975 serial_data_staging_1\[8\] +*5976 serial_data_staging_1\[9\] +*5977 serial_data_staging_2\[0\] +*5978 serial_data_staging_2\[10\] +*5979 serial_data_staging_2\[11\] +*5980 serial_data_staging_2\[12\] +*5981 serial_data_staging_2\[1\] +*5982 serial_data_staging_2\[2\] +*5983 serial_data_staging_2\[3\] +*5984 serial_data_staging_2\[4\] +*5985 serial_data_staging_2\[5\] +*5986 serial_data_staging_2\[6\] +*5987 serial_data_staging_2\[7\] +*5988 serial_data_staging_2\[8\] +*5989 serial_data_staging_2\[9\] +*5990 serial_load_pre +*5991 serial_resetn_pre +*5992 serial_xfer +*5993 trap_output_dest +*5994 wbbd_addr\[0\] +*5995 wbbd_addr\[1\] +*5996 wbbd_addr\[2\] +*5997 wbbd_addr\[3\] +*5998 wbbd_addr\[4\] +*5999 wbbd_addr\[5\] +*6000 wbbd_addr\[6\] +*6001 wbbd_busy +*6002 wbbd_data\[0\] +*6003 wbbd_data\[1\] +*6004 wbbd_data\[2\] +*6005 wbbd_data\[3\] +*6006 wbbd_data\[4\] +*6007 wbbd_data\[5\] +*6008 wbbd_data\[6\] +*6009 wbbd_data\[7\] +*6010 wbbd_sck +*6011 wbbd_state\[0\] +*6012 wbbd_state\[1\] +*6013 wbbd_state\[2\] +*6014 wbbd_state\[3\] +*6015 wbbd_state\[4\] +*6016 wbbd_state\[5\] +*6017 wbbd_state\[6\] +*6018 wbbd_state\[7\] +*6019 wbbd_state\[8\] +*6020 wbbd_state\[9\] +*6021 wbbd_write +*6022 xfer_count\[0\] +*6023 xfer_count\[1\] +*6024 xfer_count\[2\] +*6025 xfer_count\[3\] +*6026 xfer_state\[0\] +*6027 xfer_state\[1\] +*6028 xfer_state\[2\] +*6029 xfer_state\[3\] +*6030 ANTENNA_0 +*6031 ANTENNA_1 +*6032 ANTENNA_10 +*6033 ANTENNA_100 +*6034 ANTENNA_101 +*6035 ANTENNA_102 +*6036 ANTENNA_103 +*6037 ANTENNA_104 +*6038 ANTENNA_105 +*6039 ANTENNA_106 +*6040 ANTENNA_107 +*6041 ANTENNA_108 +*6042 ANTENNA_109 +*6043 ANTENNA_11 +*6044 ANTENNA_110 +*6045 ANTENNA_111 +*6046 ANTENNA_112 +*6047 ANTENNA_113 +*6048 ANTENNA_114 +*6049 ANTENNA_115 +*6050 ANTENNA_116 +*6051 ANTENNA_117 +*6052 ANTENNA_118 +*6053 ANTENNA_119 +*6054 ANTENNA_12 +*6055 ANTENNA_120 +*6056 ANTENNA_121 +*6057 ANTENNA_122 +*6058 ANTENNA_123 +*6059 ANTENNA_124 +*6060 ANTENNA_125 +*6061 ANTENNA_126 +*6062 ANTENNA_127 +*6063 ANTENNA_128 +*6064 ANTENNA_129 +*6065 ANTENNA_13 +*6066 ANTENNA_130 +*6067 ANTENNA_131 +*6068 ANTENNA_132 +*6069 ANTENNA_133 +*6070 ANTENNA_134 +*6071 ANTENNA_135 +*6072 ANTENNA_136 +*6073 ANTENNA_137 +*6074 ANTENNA_138 +*6075 ANTENNA_139 +*6076 ANTENNA_14 +*6077 ANTENNA_140 +*6078 ANTENNA_141 +*6079 ANTENNA_142 +*6080 ANTENNA_143 +*6081 ANTENNA_144 +*6082 ANTENNA_145 +*6083 ANTENNA_146 +*6084 ANTENNA_147 +*6085 ANTENNA_148 +*6086 ANTENNA_149 +*6087 ANTENNA_15 +*6088 ANTENNA_150 +*6089 ANTENNA_151 +*6090 ANTENNA_152 +*6091 ANTENNA_153 +*6092 ANTENNA_154 +*6093 ANTENNA_155 +*6094 ANTENNA_156 +*6095 ANTENNA_157 +*6096 ANTENNA_158 +*6097 ANTENNA_159 +*6098 ANTENNA_16 +*6099 ANTENNA_160 +*6100 ANTENNA_161 +*6101 ANTENNA_162 +*6102 ANTENNA_163 +*6103 ANTENNA_164 +*6104 ANTENNA_165 +*6105 ANTENNA_166 +*6106 ANTENNA_167 +*6107 ANTENNA_168 +*6108 ANTENNA_169 +*6109 ANTENNA_17 +*6110 ANTENNA_170 +*6111 ANTENNA_171 +*6112 ANTENNA_172 +*6113 ANTENNA_173 +*6114 ANTENNA_174 +*6115 ANTENNA_175 +*6116 ANTENNA_176 +*6117 ANTENNA_177 +*6118 ANTENNA_178 +*6119 ANTENNA_179 +*6120 ANTENNA_18 +*6121 ANTENNA_180 +*6122 ANTENNA_181 +*6123 ANTENNA_182 +*6124 ANTENNA_183 +*6125 ANTENNA_184 +*6126 ANTENNA_185 +*6127 ANTENNA_186 +*6128 ANTENNA_187 +*6129 ANTENNA_188 +*6130 ANTENNA_189 +*6131 ANTENNA_19 +*6132 ANTENNA_190 +*6133 ANTENNA_191 +*6134 ANTENNA_192 +*6135 ANTENNA_193 +*6136 ANTENNA_194 +*6137 ANTENNA_195 +*6138 ANTENNA_196 +*6139 ANTENNA_197 +*6140 ANTENNA_198 +*6141 ANTENNA_2 +*6142 ANTENNA_20 +*6143 ANTENNA_21 +*6144 ANTENNA_22 +*6145 ANTENNA_23 +*6146 ANTENNA_24 +*6147 ANTENNA_25 +*6148 ANTENNA_26 +*6149 ANTENNA_27 +*6150 ANTENNA_28 +*6151 ANTENNA_29 +*6152 ANTENNA_3 +*6153 ANTENNA_30 +*6154 ANTENNA_31 +*6155 ANTENNA_32 +*6156 ANTENNA_33 +*6157 ANTENNA_34 +*6158 ANTENNA_35 +*6159 ANTENNA_36 +*6160 ANTENNA_37 +*6161 ANTENNA_38 +*6162 ANTENNA_39 +*6163 ANTENNA_4 +*6164 ANTENNA_40 +*6165 ANTENNA_41 +*6166 ANTENNA_42 +*6167 ANTENNA_43 +*6168 ANTENNA_44 +*6169 ANTENNA_45 +*6170 ANTENNA_46 +*6171 ANTENNA_47 +*6172 ANTENNA_48 +*6173 ANTENNA_49 +*6174 ANTENNA_5 +*6175 ANTENNA_50 +*6176 ANTENNA_51 +*6177 ANTENNA_52 +*6178 ANTENNA_53 +*6179 ANTENNA_54 +*6180 ANTENNA_55 +*6181 ANTENNA_56 +*6182 ANTENNA_57 +*6183 ANTENNA_58 +*6184 ANTENNA_59 +*6185 ANTENNA_6 +*6186 ANTENNA_60 +*6187 ANTENNA_61 +*6188 ANTENNA_62 +*6189 ANTENNA_63 +*6190 ANTENNA_64 +*6191 ANTENNA_65 +*6192 ANTENNA_66 +*6193 ANTENNA_67 +*6194 ANTENNA_68 +*6195 ANTENNA_69 +*6196 ANTENNA_7 +*6197 ANTENNA_70 +*6198 ANTENNA_71 +*6199 ANTENNA_72 +*6200 ANTENNA_73 +*6201 ANTENNA_74 +*6202 ANTENNA_75 +*6203 ANTENNA_76 +*6204 ANTENNA_77 +*6205 ANTENNA_78 +*6206 ANTENNA_79 +*6207 ANTENNA_8 +*6208 ANTENNA_80 +*6209 ANTENNA_81 +*6210 ANTENNA_82 +*6211 ANTENNA_83 +*6212 ANTENNA_84 +*6213 ANTENNA_85 +*6214 ANTENNA_86 +*6215 ANTENNA_87 +*6216 ANTENNA_88 +*6217 ANTENNA_89 +*6218 ANTENNA_9 +*6219 ANTENNA_90 +*6220 ANTENNA_91 +*6221 ANTENNA_92 +*6222 ANTENNA_93 +*6223 ANTENNA_94 +*6224 ANTENNA_95 +*6225 ANTENNA_96 +*6226 ANTENNA_97 +*6227 ANTENNA_98 +*6228 ANTENNA_99 +*6229 FILLER_0_109 +*6230 FILLER_0_11 +*6231 FILLER_0_117 +*6232 FILLER_0_123 +*6233 FILLER_0_130 +*6234 FILLER_0_137 +*6235 FILLER_0_145 +*6236 FILLER_0_152 +*6237 FILLER_0_159 +*6238 FILLER_0_166 +*6239 FILLER_0_173 +*6240 FILLER_0_180 +*6241 FILLER_0_187 +*6242 FILLER_0_194 +*6243 FILLER_0_201 +*6244 FILLER_0_208 +*6245 FILLER_0_216 +*6246 FILLER_0_223 +*6247 FILLER_0_225 +*6248 FILLER_0_230 +*6249 FILLER_0_237 +*6250 FILLER_0_24 +*6251 FILLER_0_244 +*6252 FILLER_0_251 +*6253 FILLER_0_253 +*6254 FILLER_0_258 +*6255 FILLER_0_265 +*6256 FILLER_0_272 +*6257 FILLER_0_279 +*6258 FILLER_0_281 +*6259 FILLER_0_287 +*6260 FILLER_0_294 +*6261 FILLER_0_301 +*6262 FILLER_0_309 +*6263 FILLER_0_315 +*6264 FILLER_0_322 +*6265 FILLER_0_329 +*6266 FILLER_0_33 +*6267 FILLER_0_337 +*6268 FILLER_0_343 +*6269 FILLER_0_350 +*6270 FILLER_0_357 +*6271 FILLER_0_365 +*6272 FILLER_0_372 +*6273 FILLER_0_38 +*6274 FILLER_0_399 +*6275 FILLER_0_406 +*6276 FILLER_0_413 +*6277 FILLER_0_421 +*6278 FILLER_0_427 +*6279 FILLER_0_431 +*6280 FILLER_0_435 +*6281 FILLER_0_442 +*6282 FILLER_0_45 +*6283 FILLER_0_456 +*6284 FILLER_0_463 +*6285 FILLER_0_470 +*6286 FILLER_0_480 +*6287 FILLER_0_484 +*6288 FILLER_0_491 +*6289 FILLER_0_498 +*6290 FILLER_0_508 +*6291 FILLER_0_513 +*6292 FILLER_0_52 +*6293 FILLER_0_520 +*6294 FILLER_0_527 +*6295 FILLER_0_531 +*6296 FILLER_0_536 +*6297 FILLER_0_541 +*6298 FILLER_0_548 +*6299 FILLER_0_555 +*6300 FILLER_0_559 +*6301 FILLER_0_564 +*6302 FILLER_0_569 +*6303 FILLER_0_573 +*6304 FILLER_0_577 +*6305 FILLER_0_584 +*6306 FILLER_0_592 +*6307 FILLER_0_598 +*6308 FILLER_0_605 +*6309 FILLER_0_61 +*6310 FILLER_0_66 +*6311 FILLER_0_74 +*6312 FILLER_100_105 +*6313 FILLER_100_112 +*6314 FILLER_100_121 +*6315 FILLER_100_13 +*6316 FILLER_100_133 +*6317 FILLER_100_139 +*6318 FILLER_100_141 +*6319 FILLER_100_153 +*6320 FILLER_100_177 +*6321 FILLER_100_189 +*6322 FILLER_100_195 +*6323 FILLER_100_197 +*6324 FILLER_100_209 +*6325 FILLER_100_220 +*6326 FILLER_100_231 +*6327 FILLER_100_240 +*6328 FILLER_100_25 +*6329 FILLER_100_253 +*6330 FILLER_100_265 +*6331 FILLER_100_269 +*6332 FILLER_100_279 +*6333 FILLER_100_29 +*6334 FILLER_100_290 +*6335 FILLER_100_3 +*6336 FILLER_100_302 +*6337 FILLER_100_309 +*6338 FILLER_100_321 +*6339 FILLER_100_33 +*6340 FILLER_100_333 +*6341 FILLER_100_337 +*6342 FILLER_100_355 +*6343 FILLER_100_363 +*6344 FILLER_100_365 +*6345 FILLER_100_377 +*6346 FILLER_100_389 +*6347 FILLER_100_40 +*6348 FILLER_100_410 +*6349 FILLER_100_418 +*6350 FILLER_100_421 +*6351 FILLER_100_433 +*6352 FILLER_100_445 +*6353 FILLER_100_457 +*6354 FILLER_100_469 +*6355 FILLER_100_475 +*6356 FILLER_100_477 +*6357 FILLER_100_489 +*6358 FILLER_100_50 +*6359 FILLER_100_501 +*6360 FILLER_100_509 +*6361 FILLER_100_517 +*6362 FILLER_100_526 +*6363 FILLER_100_533 +*6364 FILLER_100_541 +*6365 FILLER_100_56 +*6366 FILLER_100_568 +*6367 FILLER_100_584 +*6368 FILLER_100_589 +*6369 FILLER_100_78 +*6370 FILLER_101_110 +*6371 FILLER_101_113 +*6372 FILLER_101_121 +*6373 FILLER_101_127 +*6374 FILLER_101_135 +*6375 FILLER_101_147 +*6376 FILLER_101_159 +*6377 FILLER_101_167 +*6378 FILLER_101_176 +*6379 FILLER_101_19 +*6380 FILLER_101_225 +*6381 FILLER_101_237 +*6382 FILLER_101_249 +*6383 FILLER_101_277 +*6384 FILLER_101_281 +*6385 FILLER_101_297 +*6386 FILLER_101_309 +*6387 FILLER_101_31 +*6388 FILLER_101_321 +*6389 FILLER_101_333 +*6390 FILLER_101_337 +*6391 FILLER_101_345 +*6392 FILLER_101_349 +*6393 FILLER_101_360 +*6394 FILLER_101_368 +*6395 FILLER_101_378 +*6396 FILLER_101_390 +*6397 FILLER_101_400 +*6398 FILLER_101_408 +*6399 FILLER_101_422 +*6400 FILLER_101_43 +*6401 FILLER_101_435 +*6402 FILLER_101_458 +*6403 FILLER_101_470 +*6404 FILLER_101_482 +*6405 FILLER_101_501 +*6406 FILLER_101_505 +*6407 FILLER_101_517 +*6408 FILLER_101_529 +*6409 FILLER_101_53 +*6410 FILLER_101_541 +*6411 FILLER_101_553 +*6412 FILLER_101_559 +*6413 FILLER_101_561 +*6414 FILLER_101_57 +*6415 FILLER_101_573 +*6416 FILLER_101_595 +*6417 FILLER_101_610 +*6418 FILLER_101_617 +*6419 FILLER_101_63 +*6420 FILLER_101_70 +*6421 FILLER_101_86 +*6422 FILLER_101_98 +*6423 FILLER_102_105 +*6424 FILLER_102_112 +*6425 FILLER_102_12 +*6426 FILLER_102_125 +*6427 FILLER_102_139 +*6428 FILLER_102_141 +*6429 FILLER_102_153 +*6430 FILLER_102_165 +*6431 FILLER_102_169 +*6432 FILLER_102_181 +*6433 FILLER_102_192 +*6434 FILLER_102_197 +*6435 FILLER_102_209 +*6436 FILLER_102_221 +*6437 FILLER_102_233 +*6438 FILLER_102_24 +*6439 FILLER_102_250 +*6440 FILLER_102_253 +*6441 FILLER_102_273 +*6442 FILLER_102_285 +*6443 FILLER_102_29 +*6444 FILLER_102_296 +*6445 FILLER_102_3 +*6446 FILLER_102_309 +*6447 FILLER_102_322 +*6448 FILLER_102_339 +*6449 FILLER_102_351 +*6450 FILLER_102_363 +*6451 FILLER_102_372 +*6452 FILLER_102_384 +*6453 FILLER_102_395 +*6454 FILLER_102_407 +*6455 FILLER_102_41 +*6456 FILLER_102_419 +*6457 FILLER_102_421 +*6458 FILLER_102_425 +*6459 FILLER_102_435 +*6460 FILLER_102_443 +*6461 FILLER_102_454 +*6462 FILLER_102_466 +*6463 FILLER_102_474 +*6464 FILLER_102_477 +*6465 FILLER_102_494 +*6466 FILLER_102_506 +*6467 FILLER_102_51 +*6468 FILLER_102_518 +*6469 FILLER_102_528 +*6470 FILLER_102_533 +*6471 FILLER_102_545 +*6472 FILLER_102_557 +*6473 FILLER_102_569 +*6474 FILLER_102_581 +*6475 FILLER_102_587 +*6476 FILLER_102_589 +*6477 FILLER_102_601 +*6478 FILLER_102_613 +*6479 FILLER_102_63 +*6480 FILLER_102_75 +*6481 FILLER_102_81 +*6482 FILLER_102_85 +*6483 FILLER_102_97 +*6484 FILLER_103_101 +*6485 FILLER_103_109 +*6486 FILLER_103_116 +*6487 FILLER_103_124 +*6488 FILLER_103_15 +*6489 FILLER_103_163 +*6490 FILLER_103_167 +*6491 FILLER_103_169 +*6492 FILLER_103_181 +*6493 FILLER_103_193 +*6494 FILLER_103_205 +*6495 FILLER_103_217 +*6496 FILLER_103_223 +*6497 FILLER_103_225 +*6498 FILLER_103_237 +*6499 FILLER_103_249 +*6500 FILLER_103_261 +*6501 FILLER_103_273 +*6502 FILLER_103_279 +*6503 FILLER_103_290 +*6504 FILLER_103_304 +*6505 FILLER_103_324 +*6506 FILLER_103_328 +*6507 FILLER_103_33 +*6508 FILLER_103_362 +*6509 FILLER_103_377 +*6510 FILLER_103_389 +*6511 FILLER_103_39 +*6512 FILLER_103_402 +*6513 FILLER_103_417 +*6514 FILLER_103_425 +*6515 FILLER_103_437 +*6516 FILLER_103_447 +*6517 FILLER_103_456 +*6518 FILLER_103_465 +*6519 FILLER_103_47 +*6520 FILLER_103_492 +*6521 FILLER_103_505 +*6522 FILLER_103_510 +*6523 FILLER_103_520 +*6524 FILLER_103_526 +*6525 FILLER_103_547 +*6526 FILLER_103_55 +*6527 FILLER_103_559 +*6528 FILLER_103_57 +*6529 FILLER_103_575 +*6530 FILLER_103_587 +*6531 FILLER_103_595 +*6532 FILLER_103_617 +*6533 FILLER_103_69 +*6534 FILLER_103_7 +*6535 FILLER_103_93 +*6536 FILLER_104_103 +*6537 FILLER_104_107 +*6538 FILLER_104_123 +*6539 FILLER_104_134 +*6540 FILLER_104_138 +*6541 FILLER_104_141 +*6542 FILLER_104_149 +*6543 FILLER_104_15 +*6544 FILLER_104_157 +*6545 FILLER_104_169 +*6546 FILLER_104_181 +*6547 FILLER_104_192 +*6548 FILLER_104_197 +*6549 FILLER_104_225 +*6550 FILLER_104_245 +*6551 FILLER_104_251 +*6552 FILLER_104_253 +*6553 FILLER_104_265 +*6554 FILLER_104_27 +*6555 FILLER_104_273 +*6556 FILLER_104_281 +*6557 FILLER_104_289 +*6558 FILLER_104_306 +*6559 FILLER_104_309 +*6560 FILLER_104_339 +*6561 FILLER_104_351 +*6562 FILLER_104_36 +*6563 FILLER_104_363 +*6564 FILLER_104_374 +*6565 FILLER_104_393 +*6566 FILLER_104_414 +*6567 FILLER_104_421 +*6568 FILLER_104_446 +*6569 FILLER_104_48 +*6570 FILLER_104_486 +*6571 FILLER_104_506 +*6572 FILLER_104_516 +*6573 FILLER_104_528 +*6574 FILLER_104_540 +*6575 FILLER_104_559 +*6576 FILLER_104_574 +*6577 FILLER_104_60 +*6578 FILLER_104_610 +*6579 FILLER_104_624 +*6580 FILLER_104_72 +*6581 FILLER_104_81 +*6582 FILLER_104_85 +*6583 FILLER_105_104 +*6584 FILLER_105_113 +*6585 FILLER_105_125 +*6586 FILLER_105_137 +*6587 FILLER_105_149 +*6588 FILLER_105_15 +*6589 FILLER_105_157 +*6590 FILLER_105_167 +*6591 FILLER_105_169 +*6592 FILLER_105_181 +*6593 FILLER_105_207 +*6594 FILLER_105_213 +*6595 FILLER_105_221 +*6596 FILLER_105_225 +*6597 FILLER_105_237 +*6598 FILLER_105_249 +*6599 FILLER_105_269 +*6600 FILLER_105_277 +*6601 FILLER_105_281 +*6602 FILLER_105_293 +*6603 FILLER_105_3 +*6604 FILLER_105_304 +*6605 FILLER_105_316 +*6606 FILLER_105_328 +*6607 FILLER_105_337 +*6608 FILLER_105_349 +*6609 FILLER_105_361 +*6610 FILLER_105_373 +*6611 FILLER_105_381 +*6612 FILLER_105_389 +*6613 FILLER_105_400 +*6614 FILLER_105_408 +*6615 FILLER_105_420 +*6616 FILLER_105_432 +*6617 FILLER_105_44 +*6618 FILLER_105_444 +*6619 FILLER_105_449 +*6620 FILLER_105_461 +*6621 FILLER_105_473 +*6622 FILLER_105_482 +*6623 FILLER_105_495 +*6624 FILLER_105_503 +*6625 FILLER_105_512 +*6626 FILLER_105_524 +*6627 FILLER_105_532 +*6628 FILLER_105_54 +*6629 FILLER_105_561 +*6630 FILLER_105_573 +*6631 FILLER_105_585 +*6632 FILLER_105_593 +*6633 FILLER_105_617 +*6634 FILLER_105_62 +*6635 FILLER_105_74 +*6636 FILLER_105_86 +*6637 FILLER_105_94 +*6638 FILLER_106_110 +*6639 FILLER_106_122 +*6640 FILLER_106_134 +*6641 FILLER_106_141 +*6642 FILLER_106_153 +*6643 FILLER_106_17 +*6644 FILLER_106_181 +*6645 FILLER_106_193 +*6646 FILLER_106_197 +*6647 FILLER_106_209 +*6648 FILLER_106_221 +*6649 FILLER_106_225 +*6650 FILLER_106_235 +*6651 FILLER_106_245 +*6652 FILLER_106_25 +*6653 FILLER_106_251 +*6654 FILLER_106_253 +*6655 FILLER_106_265 +*6656 FILLER_106_277 +*6657 FILLER_106_29 +*6658 FILLER_106_294 +*6659 FILLER_106_306 +*6660 FILLER_106_309 +*6661 FILLER_106_321 +*6662 FILLER_106_333 +*6663 FILLER_106_345 +*6664 FILLER_106_356 +*6665 FILLER_106_365 +*6666 FILLER_106_377 +*6667 FILLER_106_389 +*6668 FILLER_106_401 +*6669 FILLER_106_41 +*6670 FILLER_106_413 +*6671 FILLER_106_419 +*6672 FILLER_106_421 +*6673 FILLER_106_433 +*6674 FILLER_106_445 +*6675 FILLER_106_457 +*6676 FILLER_106_469 +*6677 FILLER_106_475 +*6678 FILLER_106_477 +*6679 FILLER_106_489 +*6680 FILLER_106_501 +*6681 FILLER_106_513 +*6682 FILLER_106_517 +*6683 FILLER_106_525 +*6684 FILLER_106_53 +*6685 FILLER_106_531 +*6686 FILLER_106_536 +*6687 FILLER_106_548 +*6688 FILLER_106_560 +*6689 FILLER_106_566 +*6690 FILLER_106_578 +*6691 FILLER_106_586 +*6692 FILLER_106_589 +*6693 FILLER_106_601 +*6694 FILLER_106_613 +*6695 FILLER_106_66 +*6696 FILLER_106_72 +*6697 FILLER_106_85 +*6698 FILLER_106_9 +*6699 FILLER_106_93 +*6700 FILLER_106_98 +*6701 FILLER_107_110 +*6702 FILLER_107_113 +*6703 FILLER_107_12 +*6704 FILLER_107_125 +*6705 FILLER_107_137 +*6706 FILLER_107_149 +*6707 FILLER_107_159 +*6708 FILLER_107_167 +*6709 FILLER_107_169 +*6710 FILLER_107_176 +*6711 FILLER_107_218 +*6712 FILLER_107_225 +*6713 FILLER_107_24 +*6714 FILLER_107_244 +*6715 FILLER_107_256 +*6716 FILLER_107_268 +*6717 FILLER_107_281 +*6718 FILLER_107_293 +*6719 FILLER_107_301 +*6720 FILLER_107_310 +*6721 FILLER_107_32 +*6722 FILLER_107_322 +*6723 FILLER_107_334 +*6724 FILLER_107_344 +*6725 FILLER_107_350 +*6726 FILLER_107_367 +*6727 FILLER_107_379 +*6728 FILLER_107_391 +*6729 FILLER_107_393 +*6730 FILLER_107_40 +*6731 FILLER_107_405 +*6732 FILLER_107_411 +*6733 FILLER_107_423 +*6734 FILLER_107_431 +*6735 FILLER_107_439 +*6736 FILLER_107_456 +*6737 FILLER_107_468 +*6738 FILLER_107_480 +*6739 FILLER_107_492 +*6740 FILLER_107_505 +*6741 FILLER_107_511 +*6742 FILLER_107_52 +*6743 FILLER_107_535 +*6744 FILLER_107_547 +*6745 FILLER_107_559 +*6746 FILLER_107_561 +*6747 FILLER_107_585 +*6748 FILLER_107_593 +*6749 FILLER_107_617 +*6750 FILLER_107_76 +*6751 FILLER_107_91 +*6752 FILLER_107_98 +*6753 FILLER_108_109 +*6754 FILLER_108_118 +*6755 FILLER_108_129 +*6756 FILLER_108_144 +*6757 FILLER_108_168 +*6758 FILLER_108_180 +*6759 FILLER_108_19 +*6760 FILLER_108_190 +*6761 FILLER_108_197 +*6762 FILLER_108_203 +*6763 FILLER_108_212 +*6764 FILLER_108_218 +*6765 FILLER_108_230 +*6766 FILLER_108_238 +*6767 FILLER_108_246 +*6768 FILLER_108_268 +*6769 FILLER_108_27 +*6770 FILLER_108_285 +*6771 FILLER_108_29 +*6772 FILLER_108_296 +*6773 FILLER_108_309 +*6774 FILLER_108_317 +*6775 FILLER_108_325 +*6776 FILLER_108_341 +*6777 FILLER_108_347 +*6778 FILLER_108_357 +*6779 FILLER_108_363 +*6780 FILLER_108_365 +*6781 FILLER_108_371 +*6782 FILLER_108_381 +*6783 FILLER_108_385 +*6784 FILLER_108_390 +*6785 FILLER_108_402 +*6786 FILLER_108_410 +*6787 FILLER_108_430 +*6788 FILLER_108_443 +*6789 FILLER_108_464 +*6790 FILLER_108_473 +*6791 FILLER_108_477 +*6792 FILLER_108_483 +*6793 FILLER_108_493 +*6794 FILLER_108_517 +*6795 FILLER_108_52 +*6796 FILLER_108_529 +*6797 FILLER_108_533 +*6798 FILLER_108_545 +*6799 FILLER_108_554 +*6800 FILLER_108_562 +*6801 FILLER_108_571 +*6802 FILLER_108_583 +*6803 FILLER_108_587 +*6804 FILLER_108_589 +*6805 FILLER_108_601 +*6806 FILLER_108_61 +*6807 FILLER_108_613 +*6808 FILLER_108_69 +*6809 FILLER_108_77 +*6810 FILLER_108_85 +*6811 FILLER_108_94 +*6812 FILLER_109_103 +*6813 FILLER_109_111 +*6814 FILLER_109_113 +*6815 FILLER_109_12 +*6816 FILLER_109_121 +*6817 FILLER_109_133 +*6818 FILLER_109_152 +*6819 FILLER_109_164 +*6820 FILLER_109_169 +*6821 FILLER_109_177 +*6822 FILLER_109_199 +*6823 FILLER_109_207 +*6824 FILLER_109_216 +*6825 FILLER_109_235 +*6826 FILLER_109_24 +*6827 FILLER_109_267 +*6828 FILLER_109_279 +*6829 FILLER_109_288 +*6830 FILLER_109_3 +*6831 FILLER_109_300 +*6832 FILLER_109_330 +*6833 FILLER_109_337 +*6834 FILLER_109_349 +*6835 FILLER_109_362 +*6836 FILLER_109_373 +*6837 FILLER_109_390 +*6838 FILLER_109_407 +*6839 FILLER_109_411 +*6840 FILLER_109_421 +*6841 FILLER_109_446 +*6842 FILLER_109_456 +*6843 FILLER_109_477 +*6844 FILLER_109_499 +*6845 FILLER_109_503 +*6846 FILLER_109_505 +*6847 FILLER_109_517 +*6848 FILLER_109_529 +*6849 FILLER_109_55 +*6850 FILLER_109_561 +*6851 FILLER_109_565 +*6852 FILLER_109_574 +*6853 FILLER_109_582 +*6854 FILLER_109_607 +*6855 FILLER_109_615 +*6856 FILLER_109_617 +*6857 FILLER_109_62 +*6858 FILLER_109_70 +*6859 FILLER_109_92 +*6860 FILLER_10_108 +*6861 FILLER_10_120 +*6862 FILLER_10_127 +*6863 FILLER_10_139 +*6864 FILLER_10_141 +*6865 FILLER_10_153 +*6866 FILLER_10_186 +*6867 FILLER_10_19 +*6868 FILLER_10_190 +*6869 FILLER_10_239 +*6870 FILLER_10_247 +*6871 FILLER_10_251 +*6872 FILLER_10_27 +*6873 FILLER_10_29 +*6874 FILLER_10_298 +*6875 FILLER_10_302 +*6876 FILLER_10_315 +*6877 FILLER_10_333 +*6878 FILLER_10_345 +*6879 FILLER_10_357 +*6880 FILLER_10_363 +*6881 FILLER_10_373 +*6882 FILLER_10_377 +*6883 FILLER_10_385 +*6884 FILLER_10_397 +*6885 FILLER_10_403 +*6886 FILLER_10_41 +*6887 FILLER_10_415 +*6888 FILLER_10_419 +*6889 FILLER_10_421 +*6890 FILLER_10_429 +*6891 FILLER_10_442 +*6892 FILLER_10_465 +*6893 FILLER_10_486 +*6894 FILLER_10_528 +*6895 FILLER_10_53 +*6896 FILLER_10_533 +*6897 FILLER_10_545 +*6898 FILLER_10_550 +*6899 FILLER_10_562 +*6900 FILLER_10_566 +*6901 FILLER_10_571 +*6902 FILLER_10_583 +*6903 FILLER_10_587 +*6904 FILLER_10_589 +*6905 FILLER_10_597 +*6906 FILLER_10_62 +*6907 FILLER_10_622 +*6908 FILLER_10_7 +*6909 FILLER_10_70 +*6910 FILLER_10_81 +*6911 FILLER_110_106 +*6912 FILLER_110_118 +*6913 FILLER_110_13 +*6914 FILLER_110_130 +*6915 FILLER_110_134 +*6916 FILLER_110_138 +*6917 FILLER_110_144 +*6918 FILLER_110_156 +*6919 FILLER_110_168 +*6920 FILLER_110_180 +*6921 FILLER_110_192 +*6922 FILLER_110_244 +*6923 FILLER_110_253 +*6924 FILLER_110_26 +*6925 FILLER_110_265 +*6926 FILLER_110_271 +*6927 FILLER_110_279 +*6928 FILLER_110_287 +*6929 FILLER_110_29 +*6930 FILLER_110_309 +*6931 FILLER_110_319 +*6932 FILLER_110_334 +*6933 FILLER_110_342 +*6934 FILLER_110_350 +*6935 FILLER_110_363 +*6936 FILLER_110_365 +*6937 FILLER_110_375 +*6938 FILLER_110_379 +*6939 FILLER_110_405 +*6940 FILLER_110_41 +*6941 FILLER_110_417 +*6942 FILLER_110_421 +*6943 FILLER_110_433 +*6944 FILLER_110_446 +*6945 FILLER_110_458 +*6946 FILLER_110_466 +*6947 FILLER_110_486 +*6948 FILLER_110_499 +*6949 FILLER_110_507 +*6950 FILLER_110_516 +*6951 FILLER_110_520 +*6952 FILLER_110_53 +*6953 FILLER_110_531 +*6954 FILLER_110_553 +*6955 FILLER_110_559 +*6956 FILLER_110_596 +*6957 FILLER_110_65 +*6958 FILLER_110_7 +*6959 FILLER_110_85 +*6960 FILLER_110_97 +*6961 FILLER_111_110 +*6962 FILLER_111_113 +*6963 FILLER_111_121 +*6964 FILLER_111_125 +*6965 FILLER_111_131 +*6966 FILLER_111_143 +*6967 FILLER_111_15 +*6968 FILLER_111_155 +*6969 FILLER_111_167 +*6970 FILLER_111_169 +*6971 FILLER_111_173 +*6972 FILLER_111_188 +*6973 FILLER_111_200 +*6974 FILLER_111_212 +*6975 FILLER_111_225 +*6976 FILLER_111_237 +*6977 FILLER_111_249 +*6978 FILLER_111_261 +*6979 FILLER_111_27 +*6980 FILLER_111_273 +*6981 FILLER_111_279 +*6982 FILLER_111_281 +*6983 FILLER_111_293 +*6984 FILLER_111_3 +*6985 FILLER_111_305 +*6986 FILLER_111_317 +*6987 FILLER_111_329 +*6988 FILLER_111_335 +*6989 FILLER_111_337 +*6990 FILLER_111_350 +*6991 FILLER_111_362 +*6992 FILLER_111_366 +*6993 FILLER_111_383 +*6994 FILLER_111_39 +*6995 FILLER_111_391 +*6996 FILLER_111_393 +*6997 FILLER_111_401 +*6998 FILLER_111_413 +*6999 FILLER_111_425 +*7000 FILLER_111_437 +*7001 FILLER_111_445 +*7002 FILLER_111_449 +*7003 FILLER_111_461 +*7004 FILLER_111_473 +*7005 FILLER_111_485 +*7006 FILLER_111_497 +*7007 FILLER_111_503 +*7008 FILLER_111_505 +*7009 FILLER_111_51 +*7010 FILLER_111_513 +*7011 FILLER_111_520 +*7012 FILLER_111_532 +*7013 FILLER_111_544 +*7014 FILLER_111_55 +*7015 FILLER_111_556 +*7016 FILLER_111_561 +*7017 FILLER_111_573 +*7018 FILLER_111_585 +*7019 FILLER_111_597 +*7020 FILLER_111_601 +*7021 FILLER_111_606 +*7022 FILLER_111_614 +*7023 FILLER_111_617 +*7024 FILLER_111_62 +*7025 FILLER_111_623 +*7026 FILLER_111_74 +*7027 FILLER_111_86 +*7028 FILLER_111_98 +*7029 FILLER_112_100 +*7030 FILLER_112_109 +*7031 FILLER_112_121 +*7032 FILLER_112_129 +*7033 FILLER_112_13 +*7034 FILLER_112_137 +*7035 FILLER_112_141 +*7036 FILLER_112_149 +*7037 FILLER_112_162 +*7038 FILLER_112_197 +*7039 FILLER_112_209 +*7040 FILLER_112_221 +*7041 FILLER_112_233 +*7042 FILLER_112_245 +*7043 FILLER_112_25 +*7044 FILLER_112_251 +*7045 FILLER_112_253 +*7046 FILLER_112_261 +*7047 FILLER_112_278 +*7048 FILLER_112_29 +*7049 FILLER_112_290 +*7050 FILLER_112_3 +*7051 FILLER_112_302 +*7052 FILLER_112_309 +*7053 FILLER_112_321 +*7054 FILLER_112_341 +*7055 FILLER_112_353 +*7056 FILLER_112_361 +*7057 FILLER_112_365 +*7058 FILLER_112_377 +*7059 FILLER_112_389 +*7060 FILLER_112_401 +*7061 FILLER_112_41 +*7062 FILLER_112_414 +*7063 FILLER_112_421 +*7064 FILLER_112_433 +*7065 FILLER_112_445 +*7066 FILLER_112_457 +*7067 FILLER_112_465 +*7068 FILLER_112_473 +*7069 FILLER_112_477 +*7070 FILLER_112_489 +*7071 FILLER_112_501 +*7072 FILLER_112_513 +*7073 FILLER_112_525 +*7074 FILLER_112_53 +*7075 FILLER_112_531 +*7076 FILLER_112_533 +*7077 FILLER_112_545 +*7078 FILLER_112_556 +*7079 FILLER_112_568 +*7080 FILLER_112_57 +*7081 FILLER_112_580 +*7082 FILLER_112_589 +*7083 FILLER_112_601 +*7084 FILLER_112_64 +*7085 FILLER_112_81 +*7086 FILLER_112_85 +*7087 FILLER_112_91 +*7088 FILLER_113_106 +*7089 FILLER_113_113 +*7090 FILLER_113_125 +*7091 FILLER_113_132 +*7092 FILLER_113_144 +*7093 FILLER_113_173 +*7094 FILLER_113_188 +*7095 FILLER_113_19 +*7096 FILLER_113_200 +*7097 FILLER_113_212 +*7098 FILLER_113_225 +*7099 FILLER_113_237 +*7100 FILLER_113_249 +*7101 FILLER_113_255 +*7102 FILLER_113_267 +*7103 FILLER_113_275 +*7104 FILLER_113_281 +*7105 FILLER_113_292 +*7106 FILLER_113_298 +*7107 FILLER_113_315 +*7108 FILLER_113_327 +*7109 FILLER_113_335 +*7110 FILLER_113_337 +*7111 FILLER_113_349 +*7112 FILLER_113_35 +*7113 FILLER_113_361 +*7114 FILLER_113_373 +*7115 FILLER_113_385 +*7116 FILLER_113_391 +*7117 FILLER_113_393 +*7118 FILLER_113_405 +*7119 FILLER_113_417 +*7120 FILLER_113_428 +*7121 FILLER_113_440 +*7122 FILLER_113_458 +*7123 FILLER_113_47 +*7124 FILLER_113_470 +*7125 FILLER_113_482 +*7126 FILLER_113_493 +*7127 FILLER_113_501 +*7128 FILLER_113_511 +*7129 FILLER_113_524 +*7130 FILLER_113_55 +*7131 FILLER_113_556 +*7132 FILLER_113_561 +*7133 FILLER_113_567 +*7134 FILLER_113_575 +*7135 FILLER_113_587 +*7136 FILLER_113_599 +*7137 FILLER_113_611 +*7138 FILLER_113_615 +*7139 FILLER_113_617 +*7140 FILLER_113_72 +*7141 FILLER_113_84 +*7142 FILLER_113_88 +*7143 FILLER_113_94 +*7144 FILLER_114_105 +*7145 FILLER_114_111 +*7146 FILLER_114_115 +*7147 FILLER_114_119 +*7148 FILLER_114_125 +*7149 FILLER_114_137 +*7150 FILLER_114_141 +*7151 FILLER_114_149 +*7152 FILLER_114_158 +*7153 FILLER_114_170 +*7154 FILLER_114_189 +*7155 FILLER_114_19 +*7156 FILLER_114_195 +*7157 FILLER_114_200 +*7158 FILLER_114_212 +*7159 FILLER_114_224 +*7160 FILLER_114_228 +*7161 FILLER_114_249 +*7162 FILLER_114_253 +*7163 FILLER_114_265 +*7164 FILLER_114_27 +*7165 FILLER_114_29 +*7166 FILLER_114_298 +*7167 FILLER_114_306 +*7168 FILLER_114_309 +*7169 FILLER_114_324 +*7170 FILLER_114_352 +*7171 FILLER_114_362 +*7172 FILLER_114_365 +*7173 FILLER_114_380 +*7174 FILLER_114_397 +*7175 FILLER_114_40 +*7176 FILLER_114_410 +*7177 FILLER_114_418 +*7178 FILLER_114_437 +*7179 FILLER_114_443 +*7180 FILLER_114_454 +*7181 FILLER_114_458 +*7182 FILLER_114_477 +*7183 FILLER_114_48 +*7184 FILLER_114_489 +*7185 FILLER_114_499 +*7186 FILLER_114_510 +*7187 FILLER_114_589 +*7188 FILLER_114_597 +*7189 FILLER_114_607 +*7190 FILLER_114_624 +*7191 FILLER_114_63 +*7192 FILLER_114_67 +*7193 FILLER_114_7 +*7194 FILLER_114_77 +*7195 FILLER_114_83 +*7196 FILLER_114_85 +*7197 FILLER_114_91 +*7198 FILLER_114_97 +*7199 FILLER_115_110 +*7200 FILLER_115_113 +*7201 FILLER_115_119 +*7202 FILLER_115_128 +*7203 FILLER_115_138 +*7204 FILLER_115_146 +*7205 FILLER_115_169 +*7206 FILLER_115_174 +*7207 FILLER_115_186 +*7208 FILLER_115_19 +*7209 FILLER_115_214 +*7210 FILLER_115_223 +*7211 FILLER_115_225 +*7212 FILLER_115_235 +*7213 FILLER_115_243 +*7214 FILLER_115_255 +*7215 FILLER_115_272 +*7216 FILLER_115_286 +*7217 FILLER_115_298 +*7218 FILLER_115_317 +*7219 FILLER_115_323 +*7220 FILLER_115_333 +*7221 FILLER_115_337 +*7222 FILLER_115_349 +*7223 FILLER_115_36 +*7224 FILLER_115_361 +*7225 FILLER_115_373 +*7226 FILLER_115_393 +*7227 FILLER_115_40 +*7228 FILLER_115_404 +*7229 FILLER_115_412 +*7230 FILLER_115_422 +*7231 FILLER_115_431 +*7232 FILLER_115_439 +*7233 FILLER_115_456 +*7234 FILLER_115_468 +*7235 FILLER_115_480 +*7236 FILLER_115_493 +*7237 FILLER_115_502 +*7238 FILLER_115_505 +*7239 FILLER_115_51 +*7240 FILLER_115_513 +*7241 FILLER_115_519 +*7242 FILLER_115_527 +*7243 FILLER_115_55 +*7244 FILLER_115_554 +*7245 FILLER_115_561 +*7246 FILLER_115_567 +*7247 FILLER_115_57 +*7248 FILLER_115_572 +*7249 FILLER_115_584 +*7250 FILLER_115_590 +*7251 FILLER_115_617 +*7252 FILLER_115_69 +*7253 FILLER_115_7 +*7254 FILLER_115_75 +*7255 FILLER_115_82 +*7256 FILLER_115_88 +*7257 FILLER_115_98 +*7258 FILLER_116_109 +*7259 FILLER_116_118 +*7260 FILLER_116_130 +*7261 FILLER_116_138 +*7262 FILLER_116_141 +*7263 FILLER_116_15 +*7264 FILLER_116_153 +*7265 FILLER_116_19 +*7266 FILLER_116_193 +*7267 FILLER_116_197 +*7268 FILLER_116_238 +*7269 FILLER_116_24 +*7270 FILLER_116_251 +*7271 FILLER_116_257 +*7272 FILLER_116_269 +*7273 FILLER_116_281 +*7274 FILLER_116_29 +*7275 FILLER_116_293 +*7276 FILLER_116_299 +*7277 FILLER_116_3 +*7278 FILLER_116_307 +*7279 FILLER_116_309 +*7280 FILLER_116_317 +*7281 FILLER_116_331 +*7282 FILLER_116_343 +*7283 FILLER_116_355 +*7284 FILLER_116_363 +*7285 FILLER_116_365 +*7286 FILLER_116_377 +*7287 FILLER_116_38 +*7288 FILLER_116_390 +*7289 FILLER_116_402 +*7290 FILLER_116_414 +*7291 FILLER_116_421 +*7292 FILLER_116_433 +*7293 FILLER_116_445 +*7294 FILLER_116_457 +*7295 FILLER_116_469 +*7296 FILLER_116_475 +*7297 FILLER_116_492 +*7298 FILLER_116_50 +*7299 FILLER_116_501 +*7300 FILLER_116_513 +*7301 FILLER_116_525 +*7302 FILLER_116_531 +*7303 FILLER_116_533 +*7304 FILLER_116_545 +*7305 FILLER_116_557 +*7306 FILLER_116_569 +*7307 FILLER_116_609 +*7308 FILLER_116_615 +*7309 FILLER_116_62 +*7310 FILLER_116_74 +*7311 FILLER_116_78 +*7312 FILLER_116_85 +*7313 FILLER_116_92 +*7314 FILLER_117_111 +*7315 FILLER_117_113 +*7316 FILLER_117_117 +*7317 FILLER_117_121 +*7318 FILLER_117_133 +*7319 FILLER_117_145 +*7320 FILLER_117_15 +*7321 FILLER_117_157 +*7322 FILLER_117_165 +*7323 FILLER_117_169 +*7324 FILLER_117_181 +*7325 FILLER_117_198 +*7326 FILLER_117_206 +*7327 FILLER_117_215 +*7328 FILLER_117_223 +*7329 FILLER_117_225 +*7330 FILLER_117_237 +*7331 FILLER_117_249 +*7332 FILLER_117_257 +*7333 FILLER_117_27 +*7334 FILLER_117_276 +*7335 FILLER_117_288 +*7336 FILLER_117_300 +*7337 FILLER_117_318 +*7338 FILLER_117_330 +*7339 FILLER_117_337 +*7340 FILLER_117_34 +*7341 FILLER_117_345 +*7342 FILLER_117_355 +*7343 FILLER_117_367 +*7344 FILLER_117_379 +*7345 FILLER_117_391 +*7346 FILLER_117_393 +*7347 FILLER_117_40 +*7348 FILLER_117_405 +*7349 FILLER_117_417 +*7350 FILLER_117_429 +*7351 FILLER_117_441 +*7352 FILLER_117_447 +*7353 FILLER_117_449 +*7354 FILLER_117_46 +*7355 FILLER_117_466 +*7356 FILLER_117_487 +*7357 FILLER_117_491 +*7358 FILLER_117_501 +*7359 FILLER_117_512 +*7360 FILLER_117_524 +*7361 FILLER_117_536 +*7362 FILLER_117_54 +*7363 FILLER_117_548 +*7364 FILLER_117_561 +*7365 FILLER_117_57 +*7366 FILLER_117_573 +*7367 FILLER_117_585 +*7368 FILLER_117_597 +*7369 FILLER_117_609 +*7370 FILLER_117_615 +*7371 FILLER_117_617 +*7372 FILLER_117_74 +*7373 FILLER_117_92 +*7374 FILLER_117_99 +*7375 FILLER_118_102 +*7376 FILLER_118_114 +*7377 FILLER_118_126 +*7378 FILLER_118_138 +*7379 FILLER_118_147 +*7380 FILLER_118_151 +*7381 FILLER_118_172 +*7382 FILLER_118_176 +*7383 FILLER_118_180 +*7384 FILLER_118_19 +*7385 FILLER_118_192 +*7386 FILLER_118_197 +*7387 FILLER_118_209 +*7388 FILLER_118_221 +*7389 FILLER_118_233 +*7390 FILLER_118_245 +*7391 FILLER_118_251 +*7392 FILLER_118_257 +*7393 FILLER_118_269 +*7394 FILLER_118_27 +*7395 FILLER_118_279 +*7396 FILLER_118_29 +*7397 FILLER_118_292 +*7398 FILLER_118_304 +*7399 FILLER_118_309 +*7400 FILLER_118_321 +*7401 FILLER_118_327 +*7402 FILLER_118_337 +*7403 FILLER_118_345 +*7404 FILLER_118_356 +*7405 FILLER_118_374 +*7406 FILLER_118_390 +*7407 FILLER_118_41 +*7408 FILLER_118_418 +*7409 FILLER_118_421 +*7410 FILLER_118_431 +*7411 FILLER_118_442 +*7412 FILLER_118_451 +*7413 FILLER_118_472 +*7414 FILLER_118_477 +*7415 FILLER_118_489 +*7416 FILLER_118_50 +*7417 FILLER_118_501 +*7418 FILLER_118_513 +*7419 FILLER_118_524 +*7420 FILLER_118_533 +*7421 FILLER_118_545 +*7422 FILLER_118_557 +*7423 FILLER_118_580 +*7424 FILLER_118_589 +*7425 FILLER_118_601 +*7426 FILLER_118_613 +*7427 FILLER_118_619 +*7428 FILLER_118_623 +*7429 FILLER_118_67 +*7430 FILLER_118_80 +*7431 FILLER_118_90 +*7432 FILLER_119_103 +*7433 FILLER_119_111 +*7434 FILLER_119_113 +*7435 FILLER_119_121 +*7436 FILLER_119_129 +*7437 FILLER_119_137 +*7438 FILLER_119_150 +*7439 FILLER_119_158 +*7440 FILLER_119_185 +*7441 FILLER_119_19 +*7442 FILLER_119_193 +*7443 FILLER_119_198 +*7444 FILLER_119_210 +*7445 FILLER_119_222 +*7446 FILLER_119_225 +*7447 FILLER_119_240 +*7448 FILLER_119_265 +*7449 FILLER_119_304 +*7450 FILLER_119_31 +*7451 FILLER_119_310 +*7452 FILLER_119_318 +*7453 FILLER_119_335 +*7454 FILLER_119_362 +*7455 FILLER_119_382 +*7456 FILLER_119_390 +*7457 FILLER_119_393 +*7458 FILLER_119_401 +*7459 FILLER_119_413 +*7460 FILLER_119_425 +*7461 FILLER_119_43 +*7462 FILLER_119_446 +*7463 FILLER_119_465 +*7464 FILLER_119_473 +*7465 FILLER_119_483 +*7466 FILLER_119_495 +*7467 FILLER_119_503 +*7468 FILLER_119_510 +*7469 FILLER_119_535 +*7470 FILLER_119_55 +*7471 FILLER_119_559 +*7472 FILLER_119_568 +*7473 FILLER_119_574 +*7474 FILLER_119_612 +*7475 FILLER_119_617 +*7476 FILLER_119_65 +*7477 FILLER_119_7 +*7478 FILLER_119_73 +*7479 FILLER_119_79 +*7480 FILLER_119_91 +*7481 FILLER_11_107 +*7482 FILLER_11_111 +*7483 FILLER_11_113 +*7484 FILLER_11_125 +*7485 FILLER_11_137 +*7486 FILLER_11_149 +*7487 FILLER_11_15 +*7488 FILLER_11_161 +*7489 FILLER_11_169 +*7490 FILLER_11_173 +*7491 FILLER_11_199 +*7492 FILLER_11_211 +*7493 FILLER_11_223 +*7494 FILLER_11_229 +*7495 FILLER_11_233 +*7496 FILLER_11_245 +*7497 FILLER_11_253 +*7498 FILLER_11_259 +*7499 FILLER_11_27 +*7500 FILLER_11_271 +*7501 FILLER_11_279 +*7502 FILLER_11_281 +*7503 FILLER_11_293 +*7504 FILLER_11_298 +*7505 FILLER_11_3 +*7506 FILLER_11_310 +*7507 FILLER_11_314 +*7508 FILLER_11_33 +*7509 FILLER_11_337 +*7510 FILLER_11_341 +*7511 FILLER_11_351 +*7512 FILLER_11_363 +*7513 FILLER_11_37 +*7514 FILLER_11_375 +*7515 FILLER_11_383 +*7516 FILLER_11_389 +*7517 FILLER_11_393 +*7518 FILLER_11_405 +*7519 FILLER_11_413 +*7520 FILLER_11_427 +*7521 FILLER_11_442 +*7522 FILLER_11_449 +*7523 FILLER_11_466 +*7524 FILLER_11_47 +*7525 FILLER_11_503 +*7526 FILLER_11_521 +*7527 FILLER_11_533 +*7528 FILLER_11_545 +*7529 FILLER_11_55 +*7530 FILLER_11_557 +*7531 FILLER_11_561 +*7532 FILLER_11_573 +*7533 FILLER_11_585 +*7534 FILLER_11_591 +*7535 FILLER_11_596 +*7536 FILLER_11_608 +*7537 FILLER_11_617 +*7538 FILLER_11_77 +*7539 FILLER_11_86 +*7540 FILLER_11_94 +*7541 FILLER_120_105 +*7542 FILLER_120_110 +*7543 FILLER_120_138 +*7544 FILLER_120_15 +*7545 FILLER_120_151 +*7546 FILLER_120_193 +*7547 FILLER_120_197 +*7548 FILLER_120_209 +*7549 FILLER_120_221 +*7550 FILLER_120_251 +*7551 FILLER_120_253 +*7552 FILLER_120_265 +*7553 FILLER_120_27 +*7554 FILLER_120_274 +*7555 FILLER_120_286 +*7556 FILLER_120_298 +*7557 FILLER_120_3 +*7558 FILLER_120_306 +*7559 FILLER_120_309 +*7560 FILLER_120_328 +*7561 FILLER_120_336 +*7562 FILLER_120_345 +*7563 FILLER_120_360 +*7564 FILLER_120_365 +*7565 FILLER_120_377 +*7566 FILLER_120_383 +*7567 FILLER_120_400 +*7568 FILLER_120_412 +*7569 FILLER_120_42 +*7570 FILLER_120_430 +*7571 FILLER_120_441 +*7572 FILLER_120_453 +*7573 FILLER_120_464 +*7574 FILLER_120_48 +*7575 FILLER_120_486 +*7576 FILLER_120_502 +*7577 FILLER_120_515 +*7578 FILLER_120_522 +*7579 FILLER_120_540 +*7580 FILLER_120_568 +*7581 FILLER_120_572 +*7582 FILLER_120_580 +*7583 FILLER_120_60 +*7584 FILLER_120_602 +*7585 FILLER_120_69 +*7586 FILLER_120_81 +*7587 FILLER_120_85 +*7588 FILLER_120_97 +*7589 FILLER_121_111 +*7590 FILLER_121_125 +*7591 FILLER_121_137 +*7592 FILLER_121_154 +*7593 FILLER_121_16 +*7594 FILLER_121_166 +*7595 FILLER_121_169 +*7596 FILLER_121_179 +*7597 FILLER_121_183 +*7598 FILLER_121_204 +*7599 FILLER_121_209 +*7600 FILLER_121_218 +*7601 FILLER_121_225 +*7602 FILLER_121_231 +*7603 FILLER_121_235 +*7604 FILLER_121_247 +*7605 FILLER_121_259 +*7606 FILLER_121_271 +*7607 FILLER_121_279 +*7608 FILLER_121_281 +*7609 FILLER_121_293 +*7610 FILLER_121_302 +*7611 FILLER_121_314 +*7612 FILLER_121_334 +*7613 FILLER_121_337 +*7614 FILLER_121_349 +*7615 FILLER_121_361 +*7616 FILLER_121_369 +*7617 FILLER_121_388 +*7618 FILLER_121_393 +*7619 FILLER_121_405 +*7620 FILLER_121_417 +*7621 FILLER_121_430 +*7622 FILLER_121_442 +*7623 FILLER_121_449 +*7624 FILLER_121_464 +*7625 FILLER_121_476 +*7626 FILLER_121_488 +*7627 FILLER_121_500 +*7628 FILLER_121_505 +*7629 FILLER_121_517 +*7630 FILLER_121_54 +*7631 FILLER_121_550 +*7632 FILLER_121_558 +*7633 FILLER_121_561 +*7634 FILLER_121_573 +*7635 FILLER_121_585 +*7636 FILLER_121_617 +*7637 FILLER_121_62 +*7638 FILLER_121_7 +*7639 FILLER_121_74 +*7640 FILLER_121_86 +*7641 FILLER_121_92 +*7642 FILLER_121_98 +*7643 FILLER_122_105 +*7644 FILLER_122_116 +*7645 FILLER_122_128 +*7646 FILLER_122_141 +*7647 FILLER_122_145 +*7648 FILLER_122_157 +*7649 FILLER_122_169 +*7650 FILLER_122_181 +*7651 FILLER_122_19 +*7652 FILLER_122_193 +*7653 FILLER_122_231 +*7654 FILLER_122_243 +*7655 FILLER_122_251 +*7656 FILLER_122_253 +*7657 FILLER_122_259 +*7658 FILLER_122_269 +*7659 FILLER_122_27 +*7660 FILLER_122_279 +*7661 FILLER_122_29 +*7662 FILLER_122_291 +*7663 FILLER_122_303 +*7664 FILLER_122_307 +*7665 FILLER_122_309 +*7666 FILLER_122_321 +*7667 FILLER_122_33 +*7668 FILLER_122_333 +*7669 FILLER_122_345 +*7670 FILLER_122_357 +*7671 FILLER_122_363 +*7672 FILLER_122_365 +*7673 FILLER_122_377 +*7674 FILLER_122_385 +*7675 FILLER_122_421 +*7676 FILLER_122_43 +*7677 FILLER_122_433 +*7678 FILLER_122_445 +*7679 FILLER_122_457 +*7680 FILLER_122_469 +*7681 FILLER_122_475 +*7682 FILLER_122_477 +*7683 FILLER_122_489 +*7684 FILLER_122_501 +*7685 FILLER_122_506 +*7686 FILLER_122_518 +*7687 FILLER_122_530 +*7688 FILLER_122_533 +*7689 FILLER_122_545 +*7690 FILLER_122_55 +*7691 FILLER_122_557 +*7692 FILLER_122_569 +*7693 FILLER_122_581 +*7694 FILLER_122_587 +*7695 FILLER_122_589 +*7696 FILLER_122_601 +*7697 FILLER_122_613 +*7698 FILLER_122_74 +*7699 FILLER_122_83 +*7700 FILLER_123_104 +*7701 FILLER_123_113 +*7702 FILLER_123_125 +*7703 FILLER_123_137 +*7704 FILLER_123_149 +*7705 FILLER_123_154 +*7706 FILLER_123_160 +*7707 FILLER_123_169 +*7708 FILLER_123_177 +*7709 FILLER_123_183 +*7710 FILLER_123_19 +*7711 FILLER_123_217 +*7712 FILLER_123_223 +*7713 FILLER_123_225 +*7714 FILLER_123_237 +*7715 FILLER_123_249 +*7716 FILLER_123_273 +*7717 FILLER_123_279 +*7718 FILLER_123_281 +*7719 FILLER_123_293 +*7720 FILLER_123_305 +*7721 FILLER_123_31 +*7722 FILLER_123_317 +*7723 FILLER_123_329 +*7724 FILLER_123_335 +*7725 FILLER_123_337 +*7726 FILLER_123_349 +*7727 FILLER_123_361 +*7728 FILLER_123_376 +*7729 FILLER_123_388 +*7730 FILLER_123_393 +*7731 FILLER_123_415 +*7732 FILLER_123_427 +*7733 FILLER_123_43 +*7734 FILLER_123_439 +*7735 FILLER_123_447 +*7736 FILLER_123_449 +*7737 FILLER_123_461 +*7738 FILLER_123_473 +*7739 FILLER_123_488 +*7740 FILLER_123_499 +*7741 FILLER_123_503 +*7742 FILLER_123_505 +*7743 FILLER_123_517 +*7744 FILLER_123_529 +*7745 FILLER_123_541 +*7746 FILLER_123_55 +*7747 FILLER_123_553 +*7748 FILLER_123_559 +*7749 FILLER_123_561 +*7750 FILLER_123_57 +*7751 FILLER_123_573 +*7752 FILLER_123_585 +*7753 FILLER_123_591 +*7754 FILLER_123_595 +*7755 FILLER_123_607 +*7756 FILLER_123_615 +*7757 FILLER_123_617 +*7758 FILLER_123_65 +*7759 FILLER_123_7 +*7760 FILLER_123_74 +*7761 FILLER_123_86 +*7762 FILLER_124_104 +*7763 FILLER_124_116 +*7764 FILLER_124_128 +*7765 FILLER_124_137 +*7766 FILLER_124_141 +*7767 FILLER_124_153 +*7768 FILLER_124_175 +*7769 FILLER_124_187 +*7770 FILLER_124_19 +*7771 FILLER_124_195 +*7772 FILLER_124_197 +*7773 FILLER_124_209 +*7774 FILLER_124_221 +*7775 FILLER_124_233 +*7776 FILLER_124_244 +*7777 FILLER_124_253 +*7778 FILLER_124_265 +*7779 FILLER_124_27 +*7780 FILLER_124_29 +*7781 FILLER_124_291 +*7782 FILLER_124_300 +*7783 FILLER_124_318 +*7784 FILLER_124_339 +*7785 FILLER_124_363 +*7786 FILLER_124_37 +*7787 FILLER_124_374 +*7788 FILLER_124_386 +*7789 FILLER_124_390 +*7790 FILLER_124_400 +*7791 FILLER_124_412 +*7792 FILLER_124_428 +*7793 FILLER_124_432 +*7794 FILLER_124_447 +*7795 FILLER_124_46 +*7796 FILLER_124_470 +*7797 FILLER_124_477 +*7798 FILLER_124_489 +*7799 FILLER_124_496 +*7800 FILLER_124_50 +*7801 FILLER_124_508 +*7802 FILLER_124_529 +*7803 FILLER_124_538 +*7804 FILLER_124_553 +*7805 FILLER_124_561 +*7806 FILLER_124_573 +*7807 FILLER_124_592 +*7808 FILLER_124_600 +*7809 FILLER_124_65 +*7810 FILLER_124_7 +*7811 FILLER_124_73 +*7812 FILLER_124_85 +*7813 FILLER_124_92 +*7814 FILLER_125_100 +*7815 FILLER_125_113 +*7816 FILLER_125_119 +*7817 FILLER_125_12 +*7818 FILLER_125_132 +*7819 FILLER_125_160 +*7820 FILLER_125_169 +*7821 FILLER_125_177 +*7822 FILLER_125_189 +*7823 FILLER_125_201 +*7824 FILLER_125_213 +*7825 FILLER_125_221 +*7826 FILLER_125_229 +*7827 FILLER_125_24 +*7828 FILLER_125_256 +*7829 FILLER_125_261 +*7830 FILLER_125_269 +*7831 FILLER_125_278 +*7832 FILLER_125_281 +*7833 FILLER_125_3 +*7834 FILLER_125_300 +*7835 FILLER_125_322 +*7836 FILLER_125_332 +*7837 FILLER_125_337 +*7838 FILLER_125_359 +*7839 FILLER_125_36 +*7840 FILLER_125_374 +*7841 FILLER_125_378 +*7842 FILLER_125_388 +*7843 FILLER_125_407 +*7844 FILLER_125_41 +*7845 FILLER_125_419 +*7846 FILLER_125_437 +*7847 FILLER_125_447 +*7848 FILLER_125_449 +*7849 FILLER_125_461 +*7850 FILLER_125_474 +*7851 FILLER_125_486 +*7852 FILLER_125_49 +*7853 FILLER_125_501 +*7854 FILLER_125_512 +*7855 FILLER_125_524 +*7856 FILLER_125_536 +*7857 FILLER_125_55 +*7858 FILLER_125_561 +*7859 FILLER_125_57 +*7860 FILLER_125_611 +*7861 FILLER_125_615 +*7862 FILLER_125_617 +*7863 FILLER_125_621 +*7864 FILLER_125_76 +*7865 FILLER_125_88 +*7866 FILLER_126_104 +*7867 FILLER_126_116 +*7868 FILLER_126_125 +*7869 FILLER_126_129 +*7870 FILLER_126_135 +*7871 FILLER_126_139 +*7872 FILLER_126_141 +*7873 FILLER_126_153 +*7874 FILLER_126_165 +*7875 FILLER_126_177 +*7876 FILLER_126_181 +*7877 FILLER_126_186 +*7878 FILLER_126_19 +*7879 FILLER_126_191 +*7880 FILLER_126_195 +*7881 FILLER_126_197 +*7882 FILLER_126_209 +*7883 FILLER_126_235 +*7884 FILLER_126_246 +*7885 FILLER_126_253 +*7886 FILLER_126_265 +*7887 FILLER_126_27 +*7888 FILLER_126_277 +*7889 FILLER_126_289 +*7890 FILLER_126_29 +*7891 FILLER_126_301 +*7892 FILLER_126_307 +*7893 FILLER_126_309 +*7894 FILLER_126_321 +*7895 FILLER_126_329 +*7896 FILLER_126_339 +*7897 FILLER_126_360 +*7898 FILLER_126_365 +*7899 FILLER_126_369 +*7900 FILLER_126_379 +*7901 FILLER_126_397 +*7902 FILLER_126_409 +*7903 FILLER_126_421 +*7904 FILLER_126_432 +*7905 FILLER_126_444 +*7906 FILLER_126_470 +*7907 FILLER_126_49 +*7908 FILLER_126_491 +*7909 FILLER_126_516 +*7910 FILLER_126_528 +*7911 FILLER_126_533 +*7912 FILLER_126_545 +*7913 FILLER_126_557 +*7914 FILLER_126_569 +*7915 FILLER_126_581 +*7916 FILLER_126_587 +*7917 FILLER_126_589 +*7918 FILLER_126_61 +*7919 FILLER_126_73 +*7920 FILLER_126_81 +*7921 FILLER_126_85 +*7922 FILLER_126_92 +*7923 FILLER_127_104 +*7924 FILLER_127_118 +*7925 FILLER_127_130 +*7926 FILLER_127_142 +*7927 FILLER_127_154 +*7928 FILLER_127_162 +*7929 FILLER_127_176 +*7930 FILLER_127_199 +*7931 FILLER_127_211 +*7932 FILLER_127_225 +*7933 FILLER_127_24 +*7934 FILLER_127_247 +*7935 FILLER_127_259 +*7936 FILLER_127_269 +*7937 FILLER_127_276 +*7938 FILLER_127_281 +*7939 FILLER_127_289 +*7940 FILLER_127_297 +*7941 FILLER_127_309 +*7942 FILLER_127_313 +*7943 FILLER_127_323 +*7944 FILLER_127_333 +*7945 FILLER_127_346 +*7946 FILLER_127_358 +*7947 FILLER_127_370 +*7948 FILLER_127_382 +*7949 FILLER_127_390 +*7950 FILLER_127_393 +*7951 FILLER_127_400 +*7952 FILLER_127_412 +*7953 FILLER_127_424 +*7954 FILLER_127_436 +*7955 FILLER_127_456 +*7956 FILLER_127_460 +*7957 FILLER_127_468 +*7958 FILLER_127_479 +*7959 FILLER_127_49 +*7960 FILLER_127_491 +*7961 FILLER_127_503 +*7962 FILLER_127_505 +*7963 FILLER_127_538 +*7964 FILLER_127_546 +*7965 FILLER_127_55 +*7966 FILLER_127_558 +*7967 FILLER_127_561 +*7968 FILLER_127_573 +*7969 FILLER_127_585 +*7970 FILLER_127_597 +*7971 FILLER_127_609 +*7972 FILLER_127_615 +*7973 FILLER_127_617 +*7974 FILLER_127_62 +*7975 FILLER_127_7 +*7976 FILLER_127_70 +*7977 FILLER_127_81 +*7978 FILLER_127_87 +*7979 FILLER_128_100 +*7980 FILLER_128_106 +*7981 FILLER_128_11 +*7982 FILLER_128_115 +*7983 FILLER_128_127 +*7984 FILLER_128_139 +*7985 FILLER_128_141 +*7986 FILLER_128_153 +*7987 FILLER_128_157 +*7988 FILLER_128_178 +*7989 FILLER_128_19 +*7990 FILLER_128_190 +*7991 FILLER_128_197 +*7992 FILLER_128_215 +*7993 FILLER_128_223 +*7994 FILLER_128_227 +*7995 FILLER_128_239 +*7996 FILLER_128_251 +*7997 FILLER_128_27 +*7998 FILLER_128_273 +*7999 FILLER_128_29 +*8000 FILLER_128_294 +*8001 FILLER_128_307 +*8002 FILLER_128_317 +*8003 FILLER_128_329 +*8004 FILLER_128_341 +*8005 FILLER_128_353 +*8006 FILLER_128_361 +*8007 FILLER_128_365 +*8008 FILLER_128_377 +*8009 FILLER_128_389 +*8010 FILLER_128_41 +*8011 FILLER_128_413 +*8012 FILLER_128_419 +*8013 FILLER_128_421 +*8014 FILLER_128_433 +*8015 FILLER_128_445 +*8016 FILLER_128_457 +*8017 FILLER_128_469 +*8018 FILLER_128_475 +*8019 FILLER_128_477 +*8020 FILLER_128_496 +*8021 FILLER_128_508 +*8022 FILLER_128_520 +*8023 FILLER_128_533 +*8024 FILLER_128_557 +*8025 FILLER_128_569 +*8026 FILLER_128_581 +*8027 FILLER_128_587 +*8028 FILLER_128_589 +*8029 FILLER_128_60 +*8030 FILLER_128_601 +*8031 FILLER_128_613 +*8032 FILLER_128_617 +*8033 FILLER_128_7 +*8034 FILLER_128_72 +*8035 FILLER_128_85 +*8036 FILLER_129_102 +*8037 FILLER_129_111 +*8038 FILLER_129_113 +*8039 FILLER_129_125 +*8040 FILLER_129_143 +*8041 FILLER_129_15 +*8042 FILLER_129_161 +*8043 FILLER_129_167 +*8044 FILLER_129_190 +*8045 FILLER_129_195 +*8046 FILLER_129_203 +*8047 FILLER_129_209 +*8048 FILLER_129_217 +*8049 FILLER_129_223 +*8050 FILLER_129_225 +*8051 FILLER_129_233 +*8052 FILLER_129_255 +*8053 FILLER_129_267 +*8054 FILLER_129_27 +*8055 FILLER_129_279 +*8056 FILLER_129_281 +*8057 FILLER_129_3 +*8058 FILLER_129_302 +*8059 FILLER_129_309 +*8060 FILLER_129_321 +*8061 FILLER_129_333 +*8062 FILLER_129_337 +*8063 FILLER_129_34 +*8064 FILLER_129_349 +*8065 FILLER_129_361 +*8066 FILLER_129_373 +*8067 FILLER_129_385 +*8068 FILLER_129_391 +*8069 FILLER_129_393 +*8070 FILLER_129_401 +*8071 FILLER_129_429 +*8072 FILLER_129_441 +*8073 FILLER_129_447 +*8074 FILLER_129_449 +*8075 FILLER_129_46 +*8076 FILLER_129_461 +*8077 FILLER_129_473 +*8078 FILLER_129_483 +*8079 FILLER_129_495 +*8080 FILLER_129_503 +*8081 FILLER_129_505 +*8082 FILLER_129_517 +*8083 FILLER_129_529 +*8084 FILLER_129_54 +*8085 FILLER_129_541 +*8086 FILLER_129_553 +*8087 FILLER_129_559 +*8088 FILLER_129_581 +*8089 FILLER_129_585 +*8090 FILLER_129_606 +*8091 FILLER_129_614 +*8092 FILLER_129_617 +*8093 FILLER_129_63 +*8094 FILLER_129_72 +*8095 FILLER_129_84 +*8096 FILLER_129_96 +*8097 FILLER_12_115 +*8098 FILLER_12_124 +*8099 FILLER_12_136 +*8100 FILLER_12_16 +*8101 FILLER_12_169 +*8102 FILLER_12_181 +*8103 FILLER_12_191 +*8104 FILLER_12_195 +*8105 FILLER_12_197 +*8106 FILLER_12_209 +*8107 FILLER_12_221 +*8108 FILLER_12_233 +*8109 FILLER_12_24 +*8110 FILLER_12_245 +*8111 FILLER_12_251 +*8112 FILLER_12_253 +*8113 FILLER_12_265 +*8114 FILLER_12_277 +*8115 FILLER_12_287 +*8116 FILLER_12_299 +*8117 FILLER_12_303 +*8118 FILLER_12_307 +*8119 FILLER_12_325 +*8120 FILLER_12_332 +*8121 FILLER_12_338 +*8122 FILLER_12_346 +*8123 FILLER_12_358 +*8124 FILLER_12_365 +*8125 FILLER_12_377 +*8126 FILLER_12_389 +*8127 FILLER_12_401 +*8128 FILLER_12_409 +*8129 FILLER_12_421 +*8130 FILLER_12_429 +*8131 FILLER_12_432 +*8132 FILLER_12_444 +*8133 FILLER_12_456 +*8134 FILLER_12_468 +*8135 FILLER_12_477 +*8136 FILLER_12_487 +*8137 FILLER_12_499 +*8138 FILLER_12_511 +*8139 FILLER_12_519 +*8140 FILLER_12_527 +*8141 FILLER_12_53 +*8142 FILLER_12_531 +*8143 FILLER_12_533 +*8144 FILLER_12_545 +*8145 FILLER_12_551 +*8146 FILLER_12_556 +*8147 FILLER_12_568 +*8148 FILLER_12_580 +*8149 FILLER_12_589 +*8150 FILLER_12_597 +*8151 FILLER_12_603 +*8152 FILLER_12_611 +*8153 FILLER_12_88 +*8154 FILLER_130_106 +*8155 FILLER_130_113 +*8156 FILLER_130_120 +*8157 FILLER_130_124 +*8158 FILLER_130_128 +*8159 FILLER_130_144 +*8160 FILLER_130_156 +*8161 FILLER_130_164 +*8162 FILLER_130_174 +*8163 FILLER_130_182 +*8164 FILLER_130_19 +*8165 FILLER_130_191 +*8166 FILLER_130_195 +*8167 FILLER_130_197 +*8168 FILLER_130_220 +*8169 FILLER_130_232 +*8170 FILLER_130_244 +*8171 FILLER_130_253 +*8172 FILLER_130_265 +*8173 FILLER_130_269 +*8174 FILLER_130_281 +*8175 FILLER_130_285 +*8176 FILLER_130_289 +*8177 FILLER_130_29 +*8178 FILLER_130_301 +*8179 FILLER_130_307 +*8180 FILLER_130_309 +*8181 FILLER_130_315 +*8182 FILLER_130_323 +*8183 FILLER_130_336 +*8184 FILLER_130_360 +*8185 FILLER_130_374 +*8186 FILLER_130_380 +*8187 FILLER_130_393 +*8188 FILLER_130_40 +*8189 FILLER_130_401 +*8190 FILLER_130_412 +*8191 FILLER_130_421 +*8192 FILLER_130_454 +*8193 FILLER_130_469 +*8194 FILLER_130_475 +*8195 FILLER_130_477 +*8196 FILLER_130_485 +*8197 FILLER_130_495 +*8198 FILLER_130_499 +*8199 FILLER_130_503 +*8200 FILLER_130_52 +*8201 FILLER_130_531 +*8202 FILLER_130_533 +*8203 FILLER_130_545 +*8204 FILLER_130_557 +*8205 FILLER_130_569 +*8206 FILLER_130_581 +*8207 FILLER_130_587 +*8208 FILLER_130_589 +*8209 FILLER_130_601 +*8210 FILLER_130_623 +*8211 FILLER_130_7 +*8212 FILLER_130_81 +*8213 FILLER_130_85 +*8214 FILLER_131_107 +*8215 FILLER_131_111 +*8216 FILLER_131_113 +*8217 FILLER_131_117 +*8218 FILLER_131_123 +*8219 FILLER_131_135 +*8220 FILLER_131_141 +*8221 FILLER_131_148 +*8222 FILLER_131_15 +*8223 FILLER_131_160 +*8224 FILLER_131_169 +*8225 FILLER_131_181 +*8226 FILLER_131_204 +*8227 FILLER_131_216 +*8228 FILLER_131_225 +*8229 FILLER_131_23 +*8230 FILLER_131_237 +*8231 FILLER_131_242 +*8232 FILLER_131_248 +*8233 FILLER_131_269 +*8234 FILLER_131_281 +*8235 FILLER_131_287 +*8236 FILLER_131_299 +*8237 FILLER_131_3 +*8238 FILLER_131_30 +*8239 FILLER_131_307 +*8240 FILLER_131_326 +*8241 FILLER_131_334 +*8242 FILLER_131_346 +*8243 FILLER_131_356 +*8244 FILLER_131_360 +*8245 FILLER_131_368 +*8246 FILLER_131_389 +*8247 FILLER_131_393 +*8248 FILLER_131_405 +*8249 FILLER_131_417 +*8250 FILLER_131_42 +*8251 FILLER_131_427 +*8252 FILLER_131_439 +*8253 FILLER_131_458 +*8254 FILLER_131_470 +*8255 FILLER_131_482 +*8256 FILLER_131_532 +*8257 FILLER_131_54 +*8258 FILLER_131_549 +*8259 FILLER_131_557 +*8260 FILLER_131_561 +*8261 FILLER_131_594 +*8262 FILLER_131_617 +*8263 FILLER_131_64 +*8264 FILLER_131_76 +*8265 FILLER_131_95 +*8266 FILLER_132_109 +*8267 FILLER_132_117 +*8268 FILLER_132_125 +*8269 FILLER_132_132 +*8270 FILLER_132_139 +*8271 FILLER_132_14 +*8272 FILLER_132_149 +*8273 FILLER_132_161 +*8274 FILLER_132_173 +*8275 FILLER_132_181 +*8276 FILLER_132_191 +*8277 FILLER_132_195 +*8278 FILLER_132_197 +*8279 FILLER_132_205 +*8280 FILLER_132_238 +*8281 FILLER_132_250 +*8282 FILLER_132_253 +*8283 FILLER_132_259 +*8284 FILLER_132_26 +*8285 FILLER_132_267 +*8286 FILLER_132_289 +*8287 FILLER_132_301 +*8288 FILLER_132_307 +*8289 FILLER_132_309 +*8290 FILLER_132_321 +*8291 FILLER_132_333 +*8292 FILLER_132_34 +*8293 FILLER_132_346 +*8294 FILLER_132_358 +*8295 FILLER_132_374 +*8296 FILLER_132_382 +*8297 FILLER_132_394 +*8298 FILLER_132_406 +*8299 FILLER_132_418 +*8300 FILLER_132_421 +*8301 FILLER_132_433 +*8302 FILLER_132_445 +*8303 FILLER_132_46 +*8304 FILLER_132_462 +*8305 FILLER_132_474 +*8306 FILLER_132_484 +*8307 FILLER_132_496 +*8308 FILLER_132_518 +*8309 FILLER_132_530 +*8310 FILLER_132_533 +*8311 FILLER_132_541 +*8312 FILLER_132_562 +*8313 FILLER_132_574 +*8314 FILLER_132_58 +*8315 FILLER_132_586 +*8316 FILLER_132_617 +*8317 FILLER_132_70 +*8318 FILLER_132_82 +*8319 FILLER_132_85 +*8320 FILLER_132_97 +*8321 FILLER_133_111 +*8322 FILLER_133_118 +*8323 FILLER_133_126 +*8324 FILLER_133_132 +*8325 FILLER_133_140 +*8326 FILLER_133_146 +*8327 FILLER_133_158 +*8328 FILLER_133_166 +*8329 FILLER_133_169 +*8330 FILLER_133_181 +*8331 FILLER_133_19 +*8332 FILLER_133_205 +*8333 FILLER_133_217 +*8334 FILLER_133_223 +*8335 FILLER_133_225 +*8336 FILLER_133_242 +*8337 FILLER_133_254 +*8338 FILLER_133_266 +*8339 FILLER_133_278 +*8340 FILLER_133_281 +*8341 FILLER_133_293 +*8342 FILLER_133_301 +*8343 FILLER_133_31 +*8344 FILLER_133_310 +*8345 FILLER_133_322 +*8346 FILLER_133_334 +*8347 FILLER_133_337 +*8348 FILLER_133_343 +*8349 FILLER_133_355 +*8350 FILLER_133_367 +*8351 FILLER_133_379 +*8352 FILLER_133_39 +*8353 FILLER_133_391 +*8354 FILLER_133_393 +*8355 FILLER_133_405 +*8356 FILLER_133_417 +*8357 FILLER_133_429 +*8358 FILLER_133_441 +*8359 FILLER_133_447 +*8360 FILLER_133_449 +*8361 FILLER_133_461 +*8362 FILLER_133_473 +*8363 FILLER_133_485 +*8364 FILLER_133_497 +*8365 FILLER_133_503 +*8366 FILLER_133_505 +*8367 FILLER_133_513 +*8368 FILLER_133_525 +*8369 FILLER_133_537 +*8370 FILLER_133_54 +*8371 FILLER_133_549 +*8372 FILLER_133_557 +*8373 FILLER_133_561 +*8374 FILLER_133_57 +*8375 FILLER_133_573 +*8376 FILLER_133_585 +*8377 FILLER_133_598 +*8378 FILLER_133_610 +*8379 FILLER_133_617 +*8380 FILLER_133_623 +*8381 FILLER_133_75 +*8382 FILLER_133_87 +*8383 FILLER_133_99 +*8384 FILLER_134_104 +*8385 FILLER_134_108 +*8386 FILLER_134_11 +*8387 FILLER_134_121 +*8388 FILLER_134_129 +*8389 FILLER_134_136 +*8390 FILLER_134_147 +*8391 FILLER_134_156 +*8392 FILLER_134_168 +*8393 FILLER_134_180 +*8394 FILLER_134_19 +*8395 FILLER_134_192 +*8396 FILLER_134_197 +*8397 FILLER_134_205 +*8398 FILLER_134_212 +*8399 FILLER_134_224 +*8400 FILLER_134_236 +*8401 FILLER_134_242 +*8402 FILLER_134_250 +*8403 FILLER_134_27 +*8404 FILLER_134_273 +*8405 FILLER_134_285 +*8406 FILLER_134_293 +*8407 FILLER_134_301 +*8408 FILLER_134_307 +*8409 FILLER_134_309 +*8410 FILLER_134_313 +*8411 FILLER_134_321 +*8412 FILLER_134_333 +*8413 FILLER_134_345 +*8414 FILLER_134_351 +*8415 FILLER_134_361 +*8416 FILLER_134_365 +*8417 FILLER_134_377 +*8418 FILLER_134_383 +*8419 FILLER_134_412 +*8420 FILLER_134_428 +*8421 FILLER_134_441 +*8422 FILLER_134_46 +*8423 FILLER_134_460 +*8424 FILLER_134_472 +*8425 FILLER_134_477 +*8426 FILLER_134_485 +*8427 FILLER_134_496 +*8428 FILLER_134_504 +*8429 FILLER_134_516 +*8430 FILLER_134_522 +*8431 FILLER_134_531 +*8432 FILLER_134_544 +*8433 FILLER_134_556 +*8434 FILLER_134_563 +*8435 FILLER_134_568 +*8436 FILLER_134_58 +*8437 FILLER_134_580 +*8438 FILLER_134_589 +*8439 FILLER_134_601 +*8440 FILLER_134_7 +*8441 FILLER_134_70 +*8442 FILLER_134_82 +*8443 FILLER_134_85 +*8444 FILLER_134_97 +*8445 FILLER_135_111 +*8446 FILLER_135_113 +*8447 FILLER_135_120 +*8448 FILLER_135_132 +*8449 FILLER_135_144 +*8450 FILLER_135_156 +*8451 FILLER_135_165 +*8452 FILLER_135_169 +*8453 FILLER_135_181 +*8454 FILLER_135_190 +*8455 FILLER_135_202 +*8456 FILLER_135_210 +*8457 FILLER_135_221 +*8458 FILLER_135_225 +*8459 FILLER_135_229 +*8460 FILLER_135_23 +*8461 FILLER_135_233 +*8462 FILLER_135_242 +*8463 FILLER_135_250 +*8464 FILLER_135_260 +*8465 FILLER_135_268 +*8466 FILLER_135_274 +*8467 FILLER_135_281 +*8468 FILLER_135_3 +*8469 FILLER_135_330 +*8470 FILLER_135_359 +*8471 FILLER_135_365 +*8472 FILLER_135_385 +*8473 FILLER_135_39 +*8474 FILLER_135_391 +*8475 FILLER_135_393 +*8476 FILLER_135_405 +*8477 FILLER_135_419 +*8478 FILLER_135_438 +*8479 FILLER_135_446 +*8480 FILLER_135_449 +*8481 FILLER_135_471 +*8482 FILLER_135_499 +*8483 FILLER_135_503 +*8484 FILLER_135_51 +*8485 FILLER_135_512 +*8486 FILLER_135_524 +*8487 FILLER_135_536 +*8488 FILLER_135_55 +*8489 FILLER_135_551 +*8490 FILLER_135_559 +*8491 FILLER_135_57 +*8492 FILLER_135_588 +*8493 FILLER_135_601 +*8494 FILLER_135_614 +*8495 FILLER_135_617 +*8496 FILLER_135_621 +*8497 FILLER_135_65 +*8498 FILLER_135_83 +*8499 FILLER_135_99 +*8500 FILLER_136_102 +*8501 FILLER_136_107 +*8502 FILLER_136_119 +*8503 FILLER_136_131 +*8504 FILLER_136_139 +*8505 FILLER_136_141 +*8506 FILLER_136_163 +*8507 FILLER_136_19 +*8508 FILLER_136_191 +*8509 FILLER_136_195 +*8510 FILLER_136_197 +*8511 FILLER_136_224 +*8512 FILLER_136_253 +*8513 FILLER_136_263 +*8514 FILLER_136_27 +*8515 FILLER_136_275 +*8516 FILLER_136_287 +*8517 FILLER_136_29 +*8518 FILLER_136_299 +*8519 FILLER_136_307 +*8520 FILLER_136_309 +*8521 FILLER_136_317 +*8522 FILLER_136_329 +*8523 FILLER_136_338 +*8524 FILLER_136_350 +*8525 FILLER_136_359 +*8526 FILLER_136_383 +*8527 FILLER_136_391 +*8528 FILLER_136_40 +*8529 FILLER_136_404 +*8530 FILLER_136_416 +*8531 FILLER_136_421 +*8532 FILLER_136_433 +*8533 FILLER_136_445 +*8534 FILLER_136_457 +*8535 FILLER_136_469 +*8536 FILLER_136_475 +*8537 FILLER_136_477 +*8538 FILLER_136_489 +*8539 FILLER_136_495 +*8540 FILLER_136_517 +*8541 FILLER_136_52 +*8542 FILLER_136_529 +*8543 FILLER_136_533 +*8544 FILLER_136_541 +*8545 FILLER_136_563 +*8546 FILLER_136_575 +*8547 FILLER_136_587 +*8548 FILLER_136_589 +*8549 FILLER_136_59 +*8550 FILLER_136_601 +*8551 FILLER_136_613 +*8552 FILLER_136_7 +*8553 FILLER_136_71 +*8554 FILLER_136_83 +*8555 FILLER_136_90 +*8556 FILLER_137_103 +*8557 FILLER_137_111 +*8558 FILLER_137_113 +*8559 FILLER_137_125 +*8560 FILLER_137_129 +*8561 FILLER_137_133 +*8562 FILLER_137_142 +*8563 FILLER_137_150 +*8564 FILLER_137_160 +*8565 FILLER_137_169 +*8566 FILLER_137_181 +*8567 FILLER_137_19 +*8568 FILLER_137_205 +*8569 FILLER_137_209 +*8570 FILLER_137_221 +*8571 FILLER_137_232 +*8572 FILLER_137_244 +*8573 FILLER_137_256 +*8574 FILLER_137_268 +*8575 FILLER_137_274 +*8576 FILLER_137_281 +*8577 FILLER_137_293 +*8578 FILLER_137_301 +*8579 FILLER_137_309 +*8580 FILLER_137_31 +*8581 FILLER_137_317 +*8582 FILLER_137_329 +*8583 FILLER_137_335 +*8584 FILLER_137_342 +*8585 FILLER_137_354 +*8586 FILLER_137_366 +*8587 FILLER_137_378 +*8588 FILLER_137_390 +*8589 FILLER_137_393 +*8590 FILLER_137_417 +*8591 FILLER_137_42 +*8592 FILLER_137_441 +*8593 FILLER_137_447 +*8594 FILLER_137_449 +*8595 FILLER_137_470 +*8596 FILLER_137_482 +*8597 FILLER_137_494 +*8598 FILLER_137_502 +*8599 FILLER_137_510 +*8600 FILLER_137_514 +*8601 FILLER_137_54 +*8602 FILLER_137_540 +*8603 FILLER_137_552 +*8604 FILLER_137_561 +*8605 FILLER_137_573 +*8606 FILLER_137_585 +*8607 FILLER_137_597 +*8608 FILLER_137_60 +*8609 FILLER_137_609 +*8610 FILLER_137_615 +*8611 FILLER_137_617 +*8612 FILLER_137_623 +*8613 FILLER_137_7 +*8614 FILLER_137_72 +*8615 FILLER_137_80 +*8616 FILLER_137_91 +*8617 FILLER_138_111 +*8618 FILLER_138_123 +*8619 FILLER_138_135 +*8620 FILLER_138_139 +*8621 FILLER_138_14 +*8622 FILLER_138_141 +*8623 FILLER_138_145 +*8624 FILLER_138_153 +*8625 FILLER_138_165 +*8626 FILLER_138_177 +*8627 FILLER_138_183 +*8628 FILLER_138_194 +*8629 FILLER_138_197 +*8630 FILLER_138_202 +*8631 FILLER_138_214 +*8632 FILLER_138_238 +*8633 FILLER_138_250 +*8634 FILLER_138_253 +*8635 FILLER_138_26 +*8636 FILLER_138_265 +*8637 FILLER_138_290 +*8638 FILLER_138_302 +*8639 FILLER_138_309 +*8640 FILLER_138_331 +*8641 FILLER_138_34 +*8642 FILLER_138_343 +*8643 FILLER_138_355 +*8644 FILLER_138_363 +*8645 FILLER_138_365 +*8646 FILLER_138_377 +*8647 FILLER_138_410 +*8648 FILLER_138_418 +*8649 FILLER_138_42 +*8650 FILLER_138_421 +*8651 FILLER_138_432 +*8652 FILLER_138_440 +*8653 FILLER_138_454 +*8654 FILLER_138_47 +*8655 FILLER_138_471 +*8656 FILLER_138_475 +*8657 FILLER_138_486 +*8658 FILLER_138_498 +*8659 FILLER_138_506 +*8660 FILLER_138_522 +*8661 FILLER_138_530 +*8662 FILLER_138_549 +*8663 FILLER_138_56 +*8664 FILLER_138_561 +*8665 FILLER_138_580 +*8666 FILLER_138_618 +*8667 FILLER_138_68 +*8668 FILLER_138_79 +*8669 FILLER_138_83 +*8670 FILLER_138_85 +*8671 FILLER_138_93 +*8672 FILLER_138_99 +*8673 FILLER_139_110 +*8674 FILLER_139_113 +*8675 FILLER_139_125 +*8676 FILLER_139_137 +*8677 FILLER_139_150 +*8678 FILLER_139_162 +*8679 FILLER_139_169 +*8680 FILLER_139_177 +*8681 FILLER_139_186 +*8682 FILLER_139_19 +*8683 FILLER_139_198 +*8684 FILLER_139_210 +*8685 FILLER_139_222 +*8686 FILLER_139_225 +*8687 FILLER_139_233 +*8688 FILLER_139_243 +*8689 FILLER_139_259 +*8690 FILLER_139_265 +*8691 FILLER_139_27 +*8692 FILLER_139_273 +*8693 FILLER_139_279 +*8694 FILLER_139_281 +*8695 FILLER_139_285 +*8696 FILLER_139_290 +*8697 FILLER_139_294 +*8698 FILLER_139_302 +*8699 FILLER_139_308 +*8700 FILLER_139_312 +*8701 FILLER_139_324 +*8702 FILLER_139_337 +*8703 FILLER_139_349 +*8704 FILLER_139_35 +*8705 FILLER_139_357 +*8706 FILLER_139_362 +*8707 FILLER_139_374 +*8708 FILLER_139_380 +*8709 FILLER_139_391 +*8710 FILLER_139_393 +*8711 FILLER_139_405 +*8712 FILLER_139_413 +*8713 FILLER_139_422 +*8714 FILLER_139_43 +*8715 FILLER_139_434 +*8716 FILLER_139_446 +*8717 FILLER_139_463 +*8718 FILLER_139_473 +*8719 FILLER_139_480 +*8720 FILLER_139_492 +*8721 FILLER_139_505 +*8722 FILLER_139_517 +*8723 FILLER_139_525 +*8724 FILLER_139_55 +*8725 FILLER_139_554 +*8726 FILLER_139_575 +*8727 FILLER_139_583 +*8728 FILLER_139_594 +*8729 FILLER_139_600 +*8730 FILLER_139_608 +*8731 FILLER_139_617 +*8732 FILLER_139_67 +*8733 FILLER_139_79 +*8734 FILLER_139_83 +*8735 FILLER_139_98 +*8736 FILLER_13_11 +*8737 FILLER_13_110 +*8738 FILLER_13_113 +*8739 FILLER_13_137 +*8740 FILLER_13_148 +*8741 FILLER_13_160 +*8742 FILLER_13_169 +*8743 FILLER_13_177 +*8744 FILLER_13_18 +*8745 FILLER_13_183 +*8746 FILLER_13_206 +*8747 FILLER_13_218 +*8748 FILLER_13_225 +*8749 FILLER_13_251 +*8750 FILLER_13_256 +*8751 FILLER_13_268 +*8752 FILLER_13_3 +*8753 FILLER_13_30 +*8754 FILLER_13_310 +*8755 FILLER_13_322 +*8756 FILLER_13_334 +*8757 FILLER_13_337 +*8758 FILLER_13_349 +*8759 FILLER_13_357 +*8760 FILLER_13_36 +*8761 FILLER_13_379 +*8762 FILLER_13_391 +*8763 FILLER_13_393 +*8764 FILLER_13_41 +*8765 FILLER_13_412 +*8766 FILLER_13_446 +*8767 FILLER_13_458 +*8768 FILLER_13_470 +*8769 FILLER_13_482 +*8770 FILLER_13_49 +*8771 FILLER_13_494 +*8772 FILLER_13_502 +*8773 FILLER_13_505 +*8774 FILLER_13_517 +*8775 FILLER_13_543 +*8776 FILLER_13_55 +*8777 FILLER_13_551 +*8778 FILLER_13_559 +*8779 FILLER_13_568 +*8780 FILLER_13_57 +*8781 FILLER_13_577 +*8782 FILLER_13_606 +*8783 FILLER_13_614 +*8784 FILLER_13_617 +*8785 FILLER_13_69 +*8786 FILLER_13_77 +*8787 FILLER_13_81 +*8788 FILLER_13_93 +*8789 FILLER_140_11 +*8790 FILLER_140_115 +*8791 FILLER_140_124 +*8792 FILLER_140_136 +*8793 FILLER_140_141 +*8794 FILLER_140_147 +*8795 FILLER_140_153 +*8796 FILLER_140_165 +*8797 FILLER_140_19 +*8798 FILLER_140_193 +*8799 FILLER_140_197 +*8800 FILLER_140_209 +*8801 FILLER_140_221 +*8802 FILLER_140_229 +*8803 FILLER_140_253 +*8804 FILLER_140_27 +*8805 FILLER_140_282 +*8806 FILLER_140_29 +*8807 FILLER_140_3 +*8808 FILLER_140_305 +*8809 FILLER_140_309 +*8810 FILLER_140_321 +*8811 FILLER_140_329 +*8812 FILLER_140_350 +*8813 FILLER_140_354 +*8814 FILLER_140_36 +*8815 FILLER_140_362 +*8816 FILLER_140_381 +*8817 FILLER_140_389 +*8818 FILLER_140_396 +*8819 FILLER_140_42 +*8820 FILLER_140_421 +*8821 FILLER_140_440 +*8822 FILLER_140_448 +*8823 FILLER_140_455 +*8824 FILLER_140_467 +*8825 FILLER_140_475 +*8826 FILLER_140_477 +*8827 FILLER_140_489 +*8828 FILLER_140_495 +*8829 FILLER_140_50 +*8830 FILLER_140_503 +*8831 FILLER_140_514 +*8832 FILLER_140_526 +*8833 FILLER_140_553 +*8834 FILLER_140_563 +*8835 FILLER_140_573 +*8836 FILLER_140_58 +*8837 FILLER_140_586 +*8838 FILLER_140_610 +*8839 FILLER_140_622 +*8840 FILLER_140_68 +*8841 FILLER_140_72 +*8842 FILLER_140_85 +*8843 FILLER_140_93 +*8844 FILLER_141_100 +*8845 FILLER_141_106 +*8846 FILLER_141_140 +*8847 FILLER_141_146 +*8848 FILLER_141_152 +*8849 FILLER_141_164 +*8850 FILLER_141_185 +*8851 FILLER_141_217 +*8852 FILLER_141_223 +*8853 FILLER_141_229 +*8854 FILLER_141_24 +*8855 FILLER_141_241 +*8856 FILLER_141_253 +*8857 FILLER_141_261 +*8858 FILLER_141_270 +*8859 FILLER_141_278 +*8860 FILLER_141_281 +*8861 FILLER_141_293 +*8862 FILLER_141_305 +*8863 FILLER_141_316 +*8864 FILLER_141_32 +*8865 FILLER_141_331 +*8866 FILLER_141_335 +*8867 FILLER_141_337 +*8868 FILLER_141_345 +*8869 FILLER_141_390 +*8870 FILLER_141_393 +*8871 FILLER_141_405 +*8872 FILLER_141_41 +*8873 FILLER_141_417 +*8874 FILLER_141_425 +*8875 FILLER_141_449 +*8876 FILLER_141_461 +*8877 FILLER_141_505 +*8878 FILLER_141_515 +*8879 FILLER_141_523 +*8880 FILLER_141_528 +*8881 FILLER_141_53 +*8882 FILLER_141_537 +*8883 FILLER_141_545 +*8884 FILLER_141_555 +*8885 FILLER_141_559 +*8886 FILLER_141_57 +*8887 FILLER_141_574 +*8888 FILLER_141_586 +*8889 FILLER_141_598 +*8890 FILLER_141_604 +*8891 FILLER_141_614 +*8892 FILLER_141_617 +*8893 FILLER_141_69 +*8894 FILLER_141_7 +*8895 FILLER_141_76 +*8896 FILLER_142_110 +*8897 FILLER_142_118 +*8898 FILLER_142_125 +*8899 FILLER_142_146 +*8900 FILLER_142_15 +*8901 FILLER_142_158 +*8902 FILLER_142_166 +*8903 FILLER_142_171 +*8904 FILLER_142_183 +*8905 FILLER_142_195 +*8906 FILLER_142_197 +*8907 FILLER_142_220 +*8908 FILLER_142_232 +*8909 FILLER_142_244 +*8910 FILLER_142_253 +*8911 FILLER_142_265 +*8912 FILLER_142_27 +*8913 FILLER_142_277 +*8914 FILLER_142_287 +*8915 FILLER_142_29 +*8916 FILLER_142_299 +*8917 FILLER_142_3 +*8918 FILLER_142_307 +*8919 FILLER_142_329 +*8920 FILLER_142_341 +*8921 FILLER_142_353 +*8922 FILLER_142_361 +*8923 FILLER_142_365 +*8924 FILLER_142_376 +*8925 FILLER_142_38 +*8926 FILLER_142_380 +*8927 FILLER_142_388 +*8928 FILLER_142_393 +*8929 FILLER_142_405 +*8930 FILLER_142_417 +*8931 FILLER_142_429 +*8932 FILLER_142_441 +*8933 FILLER_142_453 +*8934 FILLER_142_465 +*8935 FILLER_142_473 +*8936 FILLER_142_477 +*8937 FILLER_142_489 +*8938 FILLER_142_50 +*8939 FILLER_142_501 +*8940 FILLER_142_527 +*8941 FILLER_142_531 +*8942 FILLER_142_554 +*8943 FILLER_142_579 +*8944 FILLER_142_587 +*8945 FILLER_142_589 +*8946 FILLER_142_604 +*8947 FILLER_142_62 +*8948 FILLER_142_74 +*8949 FILLER_142_82 +*8950 FILLER_142_85 +*8951 FILLER_142_98 +*8952 FILLER_143_110 +*8953 FILLER_143_113 +*8954 FILLER_143_125 +*8955 FILLER_143_157 +*8956 FILLER_143_165 +*8957 FILLER_143_181 +*8958 FILLER_143_19 +*8959 FILLER_143_193 +*8960 FILLER_143_205 +*8961 FILLER_143_217 +*8962 FILLER_143_223 +*8963 FILLER_143_225 +*8964 FILLER_143_23 +*8965 FILLER_143_233 +*8966 FILLER_143_238 +*8967 FILLER_143_272 +*8968 FILLER_143_311 +*8969 FILLER_143_323 +*8970 FILLER_143_335 +*8971 FILLER_143_337 +*8972 FILLER_143_349 +*8973 FILLER_143_361 +*8974 FILLER_143_369 +*8975 FILLER_143_393 +*8976 FILLER_143_408 +*8977 FILLER_143_420 +*8978 FILLER_143_432 +*8979 FILLER_143_444 +*8980 FILLER_143_449 +*8981 FILLER_143_456 +*8982 FILLER_143_464 +*8983 FILLER_143_472 +*8984 FILLER_143_476 +*8985 FILLER_143_488 +*8986 FILLER_143_500 +*8987 FILLER_143_505 +*8988 FILLER_143_51 +*8989 FILLER_143_517 +*8990 FILLER_143_529 +*8991 FILLER_143_541 +*8992 FILLER_143_55 +*8993 FILLER_143_553 +*8994 FILLER_143_559 +*8995 FILLER_143_561 +*8996 FILLER_143_567 +*8997 FILLER_143_575 +*8998 FILLER_143_584 +*8999 FILLER_143_617 +*9000 FILLER_143_62 +*9001 FILLER_143_7 +*9002 FILLER_143_74 +*9003 FILLER_143_86 +*9004 FILLER_143_98 +*9005 FILLER_144_108 +*9006 FILLER_144_120 +*9007 FILLER_144_132 +*9008 FILLER_144_141 +*9009 FILLER_144_145 +*9010 FILLER_144_151 +*9011 FILLER_144_157 +*9012 FILLER_144_171 +*9013 FILLER_144_183 +*9014 FILLER_144_19 +*9015 FILLER_144_197 +*9016 FILLER_144_211 +*9017 FILLER_144_235 +*9018 FILLER_144_248 +*9019 FILLER_144_257 +*9020 FILLER_144_261 +*9021 FILLER_144_27 +*9022 FILLER_144_303 +*9023 FILLER_144_307 +*9024 FILLER_144_309 +*9025 FILLER_144_317 +*9026 FILLER_144_34 +*9027 FILLER_144_351 +*9028 FILLER_144_365 +*9029 FILLER_144_377 +*9030 FILLER_144_389 +*9031 FILLER_144_413 +*9032 FILLER_144_419 +*9033 FILLER_144_421 +*9034 FILLER_144_430 +*9035 FILLER_144_434 +*9036 FILLER_144_446 +*9037 FILLER_144_450 +*9038 FILLER_144_454 +*9039 FILLER_144_46 +*9040 FILLER_144_477 +*9041 FILLER_144_498 +*9042 FILLER_144_510 +*9043 FILLER_144_522 +*9044 FILLER_144_530 +*9045 FILLER_144_533 +*9046 FILLER_144_54 +*9047 FILLER_144_545 +*9048 FILLER_144_557 +*9049 FILLER_144_565 +*9050 FILLER_144_589 +*9051 FILLER_144_60 +*9052 FILLER_144_601 +*9053 FILLER_144_613 +*9054 FILLER_144_7 +*9055 FILLER_144_81 +*9056 FILLER_144_85 +*9057 FILLER_144_96 +*9058 FILLER_145_108 +*9059 FILLER_145_113 +*9060 FILLER_145_125 +*9061 FILLER_145_137 +*9062 FILLER_145_14 +*9063 FILLER_145_145 +*9064 FILLER_145_152 +*9065 FILLER_145_164 +*9066 FILLER_145_169 +*9067 FILLER_145_195 +*9068 FILLER_145_219 +*9069 FILLER_145_223 +*9070 FILLER_145_225 +*9071 FILLER_145_26 +*9072 FILLER_145_260 +*9073 FILLER_145_272 +*9074 FILLER_145_281 +*9075 FILLER_145_293 +*9076 FILLER_145_3 +*9077 FILLER_145_30 +*9078 FILLER_145_305 +*9079 FILLER_145_309 +*9080 FILLER_145_317 +*9081 FILLER_145_329 +*9082 FILLER_145_335 +*9083 FILLER_145_347 +*9084 FILLER_145_369 +*9085 FILLER_145_381 +*9086 FILLER_145_389 +*9087 FILLER_145_393 +*9088 FILLER_145_40 +*9089 FILLER_145_405 +*9090 FILLER_145_417 +*9091 FILLER_145_438 +*9092 FILLER_145_442 +*9093 FILLER_145_447 +*9094 FILLER_145_453 +*9095 FILLER_145_465 +*9096 FILLER_145_477 +*9097 FILLER_145_489 +*9098 FILLER_145_497 +*9099 FILLER_145_512 +*9100 FILLER_145_52 +*9101 FILLER_145_531 +*9102 FILLER_145_543 +*9103 FILLER_145_549 +*9104 FILLER_145_557 +*9105 FILLER_145_561 +*9106 FILLER_145_57 +*9107 FILLER_145_573 +*9108 FILLER_145_585 +*9109 FILLER_145_597 +*9110 FILLER_145_609 +*9111 FILLER_145_615 +*9112 FILLER_145_623 +*9113 FILLER_145_69 +*9114 FILLER_145_81 +*9115 FILLER_145_90 +*9116 FILLER_145_94 +*9117 FILLER_146_109 +*9118 FILLER_146_122 +*9119 FILLER_146_133 +*9120 FILLER_146_139 +*9121 FILLER_146_141 +*9122 FILLER_146_153 +*9123 FILLER_146_165 +*9124 FILLER_146_173 +*9125 FILLER_146_182 +*9126 FILLER_146_194 +*9127 FILLER_146_197 +*9128 FILLER_146_209 +*9129 FILLER_146_221 +*9130 FILLER_146_242 +*9131 FILLER_146_250 +*9132 FILLER_146_253 +*9133 FILLER_146_26 +*9134 FILLER_146_265 +*9135 FILLER_146_277 +*9136 FILLER_146_289 +*9137 FILLER_146_301 +*9138 FILLER_146_307 +*9139 FILLER_146_309 +*9140 FILLER_146_331 +*9141 FILLER_146_343 +*9142 FILLER_146_35 +*9143 FILLER_146_355 +*9144 FILLER_146_363 +*9145 FILLER_146_365 +*9146 FILLER_146_377 +*9147 FILLER_146_389 +*9148 FILLER_146_401 +*9149 FILLER_146_413 +*9150 FILLER_146_419 +*9151 FILLER_146_421 +*9152 FILLER_146_433 +*9153 FILLER_146_437 +*9154 FILLER_146_458 +*9155 FILLER_146_465 +*9156 FILLER_146_47 +*9157 FILLER_146_473 +*9158 FILLER_146_477 +*9159 FILLER_146_485 +*9160 FILLER_146_492 +*9161 FILLER_146_496 +*9162 FILLER_146_517 +*9163 FILLER_146_523 +*9164 FILLER_146_531 +*9165 FILLER_146_553 +*9166 FILLER_146_566 +*9167 FILLER_146_578 +*9168 FILLER_146_586 +*9169 FILLER_146_59 +*9170 FILLER_146_598 +*9171 FILLER_146_69 +*9172 FILLER_146_79 +*9173 FILLER_146_83 +*9174 FILLER_146_85 +*9175 FILLER_146_97 +*9176 FILLER_147_113 +*9177 FILLER_147_126 +*9178 FILLER_147_138 +*9179 FILLER_147_150 +*9180 FILLER_147_167 +*9181 FILLER_147_169 +*9182 FILLER_147_178 +*9183 FILLER_147_190 +*9184 FILLER_147_202 +*9185 FILLER_147_214 +*9186 FILLER_147_222 +*9187 FILLER_147_225 +*9188 FILLER_147_237 +*9189 FILLER_147_24 +*9190 FILLER_147_249 +*9191 FILLER_147_261 +*9192 FILLER_147_265 +*9193 FILLER_147_273 +*9194 FILLER_147_279 +*9195 FILLER_147_281 +*9196 FILLER_147_285 +*9197 FILLER_147_293 +*9198 FILLER_147_301 +*9199 FILLER_147_306 +*9200 FILLER_147_318 +*9201 FILLER_147_32 +*9202 FILLER_147_330 +*9203 FILLER_147_337 +*9204 FILLER_147_349 +*9205 FILLER_147_361 +*9206 FILLER_147_369 +*9207 FILLER_147_379 +*9208 FILLER_147_39 +*9209 FILLER_147_391 +*9210 FILLER_147_393 +*9211 FILLER_147_432 +*9212 FILLER_147_436 +*9213 FILLER_147_444 +*9214 FILLER_147_449 +*9215 FILLER_147_455 +*9216 FILLER_147_463 +*9217 FILLER_147_472 +*9218 FILLER_147_484 +*9219 FILLER_147_496 +*9220 FILLER_147_508 +*9221 FILLER_147_51 +*9222 FILLER_147_520 +*9223 FILLER_147_526 +*9224 FILLER_147_531 +*9225 FILLER_147_55 +*9226 FILLER_147_602 +*9227 FILLER_147_606 +*9228 FILLER_147_614 +*9229 FILLER_147_617 +*9230 FILLER_147_7 +*9231 FILLER_147_85 +*9232 FILLER_147_91 +*9233 FILLER_147_95 +*9234 FILLER_148_105 +*9235 FILLER_148_114 +*9236 FILLER_148_126 +*9237 FILLER_148_138 +*9238 FILLER_148_141 +*9239 FILLER_148_15 +*9240 FILLER_148_153 +*9241 FILLER_148_159 +*9242 FILLER_148_171 +*9243 FILLER_148_183 +*9244 FILLER_148_195 +*9245 FILLER_148_204 +*9246 FILLER_148_209 +*9247 FILLER_148_21 +*9248 FILLER_148_221 +*9249 FILLER_148_233 +*9250 FILLER_148_245 +*9251 FILLER_148_251 +*9252 FILLER_148_253 +*9253 FILLER_148_265 +*9254 FILLER_148_287 +*9255 FILLER_148_29 +*9256 FILLER_148_3 +*9257 FILLER_148_302 +*9258 FILLER_148_322 +*9259 FILLER_148_334 +*9260 FILLER_148_346 +*9261 FILLER_148_352 +*9262 FILLER_148_356 +*9263 FILLER_148_368 +*9264 FILLER_148_397 +*9265 FILLER_148_401 +*9266 FILLER_148_405 +*9267 FILLER_148_417 +*9268 FILLER_148_421 +*9269 FILLER_148_433 +*9270 FILLER_148_445 +*9271 FILLER_148_473 +*9272 FILLER_148_477 +*9273 FILLER_148_489 +*9274 FILLER_148_496 +*9275 FILLER_148_50 +*9276 FILLER_148_504 +*9277 FILLER_148_514 +*9278 FILLER_148_526 +*9279 FILLER_148_533 +*9280 FILLER_148_545 +*9281 FILLER_148_557 +*9282 FILLER_148_562 +*9283 FILLER_148_574 +*9284 FILLER_148_58 +*9285 FILLER_148_586 +*9286 FILLER_148_596 +*9287 FILLER_148_608 +*9288 FILLER_148_620 +*9289 FILLER_148_624 +*9290 FILLER_148_81 +*9291 FILLER_148_88 +*9292 FILLER_148_96 +*9293 FILLER_149_113 +*9294 FILLER_149_121 +*9295 FILLER_149_131 +*9296 FILLER_149_135 +*9297 FILLER_149_146 +*9298 FILLER_149_154 +*9299 FILLER_149_159 +*9300 FILLER_149_167 +*9301 FILLER_149_172 +*9302 FILLER_149_178 +*9303 FILLER_149_19 +*9304 FILLER_149_200 +*9305 FILLER_149_208 +*9306 FILLER_149_220 +*9307 FILLER_149_225 +*9308 FILLER_149_237 +*9309 FILLER_149_249 +*9310 FILLER_149_255 +*9311 FILLER_149_260 +*9312 FILLER_149_264 +*9313 FILLER_149_268 +*9314 FILLER_149_281 +*9315 FILLER_149_308 +*9316 FILLER_149_320 +*9317 FILLER_149_333 +*9318 FILLER_149_337 +*9319 FILLER_149_350 +*9320 FILLER_149_371 +*9321 FILLER_149_393 +*9322 FILLER_149_40 +*9323 FILLER_149_407 +*9324 FILLER_149_419 +*9325 FILLER_149_431 +*9326 FILLER_149_439 +*9327 FILLER_149_44 +*9328 FILLER_149_444 +*9329 FILLER_149_449 +*9330 FILLER_149_475 +*9331 FILLER_149_481 +*9332 FILLER_149_486 +*9333 FILLER_149_498 +*9334 FILLER_149_525 +*9335 FILLER_149_537 +*9336 FILLER_149_54 +*9337 FILLER_149_549 +*9338 FILLER_149_557 +*9339 FILLER_149_561 +*9340 FILLER_149_573 +*9341 FILLER_149_585 +*9342 FILLER_149_597 +*9343 FILLER_149_609 +*9344 FILLER_149_61 +*9345 FILLER_149_615 +*9346 FILLER_149_617 +*9347 FILLER_149_7 +*9348 FILLER_149_73 +*9349 FILLER_149_79 +*9350 FILLER_149_84 +*9351 FILLER_14_109 +*9352 FILLER_14_117 +*9353 FILLER_14_123 +*9354 FILLER_14_135 +*9355 FILLER_14_139 +*9356 FILLER_14_141 +*9357 FILLER_14_145 +*9358 FILLER_14_149 +*9359 FILLER_14_155 +*9360 FILLER_14_159 +*9361 FILLER_14_171 +*9362 FILLER_14_183 +*9363 FILLER_14_19 +*9364 FILLER_14_195 +*9365 FILLER_14_197 +*9366 FILLER_14_209 +*9367 FILLER_14_221 +*9368 FILLER_14_233 +*9369 FILLER_14_242 +*9370 FILLER_14_250 +*9371 FILLER_14_253 +*9372 FILLER_14_27 +*9373 FILLER_14_284 +*9374 FILLER_14_29 +*9375 FILLER_14_292 +*9376 FILLER_14_299 +*9377 FILLER_14_307 +*9378 FILLER_14_309 +*9379 FILLER_14_321 +*9380 FILLER_14_341 +*9381 FILLER_14_353 +*9382 FILLER_14_361 +*9383 FILLER_14_372 +*9384 FILLER_14_398 +*9385 FILLER_14_402 +*9386 FILLER_14_41 +*9387 FILLER_14_415 +*9388 FILLER_14_419 +*9389 FILLER_14_421 +*9390 FILLER_14_429 +*9391 FILLER_14_432 +*9392 FILLER_14_444 +*9393 FILLER_14_454 +*9394 FILLER_14_466 +*9395 FILLER_14_474 +*9396 FILLER_14_477 +*9397 FILLER_14_489 +*9398 FILLER_14_497 +*9399 FILLER_14_507 +*9400 FILLER_14_515 +*9401 FILLER_14_524 +*9402 FILLER_14_53 +*9403 FILLER_14_533 +*9404 FILLER_14_557 +*9405 FILLER_14_584 +*9406 FILLER_14_589 +*9407 FILLER_14_604 +*9408 FILLER_14_616 +*9409 FILLER_14_624 +*9410 FILLER_14_65 +*9411 FILLER_14_7 +*9412 FILLER_14_77 +*9413 FILLER_14_83 +*9414 FILLER_14_85 +*9415 FILLER_14_97 +*9416 FILLER_150_103 +*9417 FILLER_150_115 +*9418 FILLER_150_123 +*9419 FILLER_150_129 +*9420 FILLER_150_137 +*9421 FILLER_150_141 +*9422 FILLER_150_153 +*9423 FILLER_150_161 +*9424 FILLER_150_173 +*9425 FILLER_150_185 +*9426 FILLER_150_19 +*9427 FILLER_150_193 +*9428 FILLER_150_220 +*9429 FILLER_150_232 +*9430 FILLER_150_27 +*9431 FILLER_150_280 +*9432 FILLER_150_284 +*9433 FILLER_150_292 +*9434 FILLER_150_304 +*9435 FILLER_150_330 +*9436 FILLER_150_354 +*9437 FILLER_150_362 +*9438 FILLER_150_365 +*9439 FILLER_150_377 +*9440 FILLER_150_385 +*9441 FILLER_150_41 +*9442 FILLER_150_421 +*9443 FILLER_150_453 +*9444 FILLER_150_465 +*9445 FILLER_150_497 +*9446 FILLER_150_509 +*9447 FILLER_150_51 +*9448 FILLER_150_521 +*9449 FILLER_150_529 +*9450 FILLER_150_533 +*9451 FILLER_150_545 +*9452 FILLER_150_557 +*9453 FILLER_150_565 +*9454 FILLER_150_577 +*9455 FILLER_150_585 +*9456 FILLER_150_589 +*9457 FILLER_150_59 +*9458 FILLER_150_604 +*9459 FILLER_150_614 +*9460 FILLER_150_65 +*9461 FILLER_150_7 +*9462 FILLER_150_75 +*9463 FILLER_150_83 +*9464 FILLER_150_85 +*9465 FILLER_150_91 +*9466 FILLER_151_100 +*9467 FILLER_151_105 +*9468 FILLER_151_111 +*9469 FILLER_151_113 +*9470 FILLER_151_118 +*9471 FILLER_151_126 +*9472 FILLER_151_13 +*9473 FILLER_151_139 +*9474 FILLER_151_157 +*9475 FILLER_151_165 +*9476 FILLER_151_169 +*9477 FILLER_151_181 +*9478 FILLER_151_193 +*9479 FILLER_151_205 +*9480 FILLER_151_217 +*9481 FILLER_151_223 +*9482 FILLER_151_225 +*9483 FILLER_151_25 +*9484 FILLER_151_269 +*9485 FILLER_151_277 +*9486 FILLER_151_281 +*9487 FILLER_151_293 +*9488 FILLER_151_3 +*9489 FILLER_151_305 +*9490 FILLER_151_317 +*9491 FILLER_151_325 +*9492 FILLER_151_33 +*9493 FILLER_151_333 +*9494 FILLER_151_337 +*9495 FILLER_151_349 +*9496 FILLER_151_361 +*9497 FILLER_151_373 +*9498 FILLER_151_385 +*9499 FILLER_151_391 +*9500 FILLER_151_393 +*9501 FILLER_151_40 +*9502 FILLER_151_405 +*9503 FILLER_151_413 +*9504 FILLER_151_442 +*9505 FILLER_151_462 +*9506 FILLER_151_474 +*9507 FILLER_151_48 +*9508 FILLER_151_482 +*9509 FILLER_151_503 +*9510 FILLER_151_505 +*9511 FILLER_151_513 +*9512 FILLER_151_520 +*9513 FILLER_151_524 +*9514 FILLER_151_535 +*9515 FILLER_151_547 +*9516 FILLER_151_559 +*9517 FILLER_151_564 +*9518 FILLER_151_572 +*9519 FILLER_151_594 +*9520 FILLER_151_615 +*9521 FILLER_151_617 +*9522 FILLER_151_66 +*9523 FILLER_151_78 +*9524 FILLER_151_82 +*9525 FILLER_151_96 +*9526 FILLER_152_100 +*9527 FILLER_152_115 +*9528 FILLER_152_127 +*9529 FILLER_152_139 +*9530 FILLER_152_141 +*9531 FILLER_152_153 +*9532 FILLER_152_165 +*9533 FILLER_152_197 +*9534 FILLER_152_209 +*9535 FILLER_152_22 +*9536 FILLER_152_247 +*9537 FILLER_152_251 +*9538 FILLER_152_253 +*9539 FILLER_152_261 +*9540 FILLER_152_284 +*9541 FILLER_152_29 +*9542 FILLER_152_296 +*9543 FILLER_152_309 +*9544 FILLER_152_313 +*9545 FILLER_152_321 +*9546 FILLER_152_330 +*9547 FILLER_152_342 +*9548 FILLER_152_354 +*9549 FILLER_152_362 +*9550 FILLER_152_365 +*9551 FILLER_152_377 +*9552 FILLER_152_389 +*9553 FILLER_152_397 +*9554 FILLER_152_409 +*9555 FILLER_152_41 +*9556 FILLER_152_417 +*9557 FILLER_152_421 +*9558 FILLER_152_433 +*9559 FILLER_152_445 +*9560 FILLER_152_451 +*9561 FILLER_152_457 +*9562 FILLER_152_469 +*9563 FILLER_152_475 +*9564 FILLER_152_477 +*9565 FILLER_152_490 +*9566 FILLER_152_502 +*9567 FILLER_152_514 +*9568 FILLER_152_522 +*9569 FILLER_152_53 +*9570 FILLER_152_583 +*9571 FILLER_152_587 +*9572 FILLER_152_596 +*9573 FILLER_152_600 +*9574 FILLER_152_65 +*9575 FILLER_152_77 +*9576 FILLER_152_83 +*9577 FILLER_152_85 +*9578 FILLER_152_91 +*9579 FILLER_153_101 +*9580 FILLER_153_111 +*9581 FILLER_153_113 +*9582 FILLER_153_121 +*9583 FILLER_153_131 +*9584 FILLER_153_144 +*9585 FILLER_153_156 +*9586 FILLER_153_163 +*9587 FILLER_153_167 +*9588 FILLER_153_169 +*9589 FILLER_153_181 +*9590 FILLER_153_193 +*9591 FILLER_153_205 +*9592 FILLER_153_217 +*9593 FILLER_153_22 +*9594 FILLER_153_223 +*9595 FILLER_153_232 +*9596 FILLER_153_244 +*9597 FILLER_153_256 +*9598 FILLER_153_268 +*9599 FILLER_153_281 +*9600 FILLER_153_289 +*9601 FILLER_153_317 +*9602 FILLER_153_329 +*9603 FILLER_153_335 +*9604 FILLER_153_337 +*9605 FILLER_153_34 +*9606 FILLER_153_341 +*9607 FILLER_153_349 +*9608 FILLER_153_355 +*9609 FILLER_153_379 +*9610 FILLER_153_393 +*9611 FILLER_153_414 +*9612 FILLER_153_426 +*9613 FILLER_153_438 +*9614 FILLER_153_446 +*9615 FILLER_153_449 +*9616 FILLER_153_460 +*9617 FILLER_153_472 +*9618 FILLER_153_484 +*9619 FILLER_153_496 +*9620 FILLER_153_518 +*9621 FILLER_153_55 +*9622 FILLER_153_553 +*9623 FILLER_153_559 +*9624 FILLER_153_561 +*9625 FILLER_153_585 +*9626 FILLER_153_598 +*9627 FILLER_153_610 +*9628 FILLER_153_617 +*9629 FILLER_153_621 +*9630 FILLER_153_7 +*9631 FILLER_153_89 +*9632 FILLER_154_105 +*9633 FILLER_154_11 +*9634 FILLER_154_111 +*9635 FILLER_154_123 +*9636 FILLER_154_135 +*9637 FILLER_154_139 +*9638 FILLER_154_144 +*9639 FILLER_154_156 +*9640 FILLER_154_164 +*9641 FILLER_154_174 +*9642 FILLER_154_186 +*9643 FILLER_154_194 +*9644 FILLER_154_197 +*9645 FILLER_154_209 +*9646 FILLER_154_221 +*9647 FILLER_154_233 +*9648 FILLER_154_245 +*9649 FILLER_154_251 +*9650 FILLER_154_253 +*9651 FILLER_154_265 +*9652 FILLER_154_277 +*9653 FILLER_154_289 +*9654 FILLER_154_29 +*9655 FILLER_154_293 +*9656 FILLER_154_305 +*9657 FILLER_154_309 +*9658 FILLER_154_321 +*9659 FILLER_154_326 +*9660 FILLER_154_338 +*9661 FILLER_154_360 +*9662 FILLER_154_365 +*9663 FILLER_154_397 +*9664 FILLER_154_410 +*9665 FILLER_154_416 +*9666 FILLER_154_421 +*9667 FILLER_154_430 +*9668 FILLER_154_435 +*9669 FILLER_154_459 +*9670 FILLER_154_467 +*9671 FILLER_154_475 +*9672 FILLER_154_480 +*9673 FILLER_154_492 +*9674 FILLER_154_501 +*9675 FILLER_154_507 +*9676 FILLER_154_528 +*9677 FILLER_154_533 +*9678 FILLER_154_545 +*9679 FILLER_154_551 +*9680 FILLER_154_557 +*9681 FILLER_154_569 +*9682 FILLER_154_581 +*9683 FILLER_154_587 +*9684 FILLER_154_589 +*9685 FILLER_154_601 +*9686 FILLER_154_613 +*9687 FILLER_154_7 +*9688 FILLER_154_81 +*9689 FILLER_154_85 +*9690 FILLER_154_97 +*9691 FILLER_155_109 +*9692 FILLER_155_113 +*9693 FILLER_155_125 +*9694 FILLER_155_137 +*9695 FILLER_155_145 +*9696 FILLER_155_15 +*9697 FILLER_155_151 +*9698 FILLER_155_159 +*9699 FILLER_155_169 +*9700 FILLER_155_196 +*9701 FILLER_155_208 +*9702 FILLER_155_220 +*9703 FILLER_155_225 +*9704 FILLER_155_235 +*9705 FILLER_155_248 +*9706 FILLER_155_256 +*9707 FILLER_155_261 +*9708 FILLER_155_27 +*9709 FILLER_155_273 +*9710 FILLER_155_279 +*9711 FILLER_155_3 +*9712 FILLER_155_302 +*9713 FILLER_155_31 +*9714 FILLER_155_314 +*9715 FILLER_155_337 +*9716 FILLER_155_349 +*9717 FILLER_155_361 +*9718 FILLER_155_37 +*9719 FILLER_155_371 +*9720 FILLER_155_383 +*9721 FILLER_155_391 +*9722 FILLER_155_393 +*9723 FILLER_155_399 +*9724 FILLER_155_440 +*9725 FILLER_155_449 +*9726 FILLER_155_457 +*9727 FILLER_155_481 +*9728 FILLER_155_493 +*9729 FILLER_155_501 +*9730 FILLER_155_505 +*9731 FILLER_155_511 +*9732 FILLER_155_519 +*9733 FILLER_155_531 +*9734 FILLER_155_54 +*9735 FILLER_155_543 +*9736 FILLER_155_555 +*9737 FILLER_155_559 +*9738 FILLER_155_57 +*9739 FILLER_155_570 +*9740 FILLER_155_582 +*9741 FILLER_155_594 +*9742 FILLER_155_602 +*9743 FILLER_155_611 +*9744 FILLER_155_615 +*9745 FILLER_155_623 +*9746 FILLER_155_72 +*9747 FILLER_155_85 +*9748 FILLER_155_97 +*9749 FILLER_156_109 +*9750 FILLER_156_121 +*9751 FILLER_156_133 +*9752 FILLER_156_165 +*9753 FILLER_156_177 +*9754 FILLER_156_189 +*9755 FILLER_156_19 +*9756 FILLER_156_195 +*9757 FILLER_156_197 +*9758 FILLER_156_230 +*9759 FILLER_156_253 +*9760 FILLER_156_265 +*9761 FILLER_156_27 +*9762 FILLER_156_273 +*9763 FILLER_156_283 +*9764 FILLER_156_29 +*9765 FILLER_156_296 +*9766 FILLER_156_330 +*9767 FILLER_156_342 +*9768 FILLER_156_354 +*9769 FILLER_156_359 +*9770 FILLER_156_363 +*9771 FILLER_156_365 +*9772 FILLER_156_377 +*9773 FILLER_156_389 +*9774 FILLER_156_401 +*9775 FILLER_156_41 +*9776 FILLER_156_413 +*9777 FILLER_156_419 +*9778 FILLER_156_421 +*9779 FILLER_156_433 +*9780 FILLER_156_445 +*9781 FILLER_156_464 +*9782 FILLER_156_477 +*9783 FILLER_156_485 +*9784 FILLER_156_498 +*9785 FILLER_156_510 +*9786 FILLER_156_515 +*9787 FILLER_156_527 +*9788 FILLER_156_53 +*9789 FILLER_156_531 +*9790 FILLER_156_533 +*9791 FILLER_156_545 +*9792 FILLER_156_569 +*9793 FILLER_156_581 +*9794 FILLER_156_587 +*9795 FILLER_156_589 +*9796 FILLER_156_620 +*9797 FILLER_156_65 +*9798 FILLER_156_7 +*9799 FILLER_156_77 +*9800 FILLER_156_83 +*9801 FILLER_156_85 +*9802 FILLER_156_97 +*9803 FILLER_157_101 +*9804 FILLER_157_123 +*9805 FILLER_157_130 +*9806 FILLER_157_140 +*9807 FILLER_157_148 +*9808 FILLER_157_153 +*9809 FILLER_157_165 +*9810 FILLER_157_169 +*9811 FILLER_157_189 +*9812 FILLER_157_19 +*9813 FILLER_157_211 +*9814 FILLER_157_223 +*9815 FILLER_157_232 +*9816 FILLER_157_274 +*9817 FILLER_157_281 +*9818 FILLER_157_293 +*9819 FILLER_157_305 +*9820 FILLER_157_31 +*9821 FILLER_157_313 +*9822 FILLER_157_337 +*9823 FILLER_157_343 +*9824 FILLER_157_351 +*9825 FILLER_157_363 +*9826 FILLER_157_375 +*9827 FILLER_157_387 +*9828 FILLER_157_391 +*9829 FILLER_157_403 +*9830 FILLER_157_415 +*9831 FILLER_157_423 +*9832 FILLER_157_429 +*9833 FILLER_157_43 +*9834 FILLER_157_437 +*9835 FILLER_157_445 +*9836 FILLER_157_454 +*9837 FILLER_157_462 +*9838 FILLER_157_483 +*9839 FILLER_157_505 +*9840 FILLER_157_511 +*9841 FILLER_157_519 +*9842 FILLER_157_541 +*9843 FILLER_157_549 +*9844 FILLER_157_55 +*9845 FILLER_157_554 +*9846 FILLER_157_561 +*9847 FILLER_157_569 +*9848 FILLER_157_57 +*9849 FILLER_157_578 +*9850 FILLER_157_582 +*9851 FILLER_157_603 +*9852 FILLER_157_615 +*9853 FILLER_157_617 +*9854 FILLER_157_7 +*9855 FILLER_157_78 +*9856 FILLER_157_95 +*9857 FILLER_158_116 +*9858 FILLER_158_141 +*9859 FILLER_158_153 +*9860 FILLER_158_159 +*9861 FILLER_158_164 +*9862 FILLER_158_176 +*9863 FILLER_158_19 +*9864 FILLER_158_190 +*9865 FILLER_158_205 +*9866 FILLER_158_237 +*9867 FILLER_158_247 +*9868 FILLER_158_251 +*9869 FILLER_158_253 +*9870 FILLER_158_257 +*9871 FILLER_158_265 +*9872 FILLER_158_27 +*9873 FILLER_158_29 +*9874 FILLER_158_290 +*9875 FILLER_158_294 +*9876 FILLER_158_302 +*9877 FILLER_158_309 +*9878 FILLER_158_314 +*9879 FILLER_158_324 +*9880 FILLER_158_336 +*9881 FILLER_158_359 +*9882 FILLER_158_363 +*9883 FILLER_158_365 +*9884 FILLER_158_377 +*9885 FILLER_158_405 +*9886 FILLER_158_417 +*9887 FILLER_158_421 +*9888 FILLER_158_433 +*9889 FILLER_158_44 +*9890 FILLER_158_454 +*9891 FILLER_158_466 +*9892 FILLER_158_474 +*9893 FILLER_158_477 +*9894 FILLER_158_489 +*9895 FILLER_158_501 +*9896 FILLER_158_509 +*9897 FILLER_158_530 +*9898 FILLER_158_553 +*9899 FILLER_158_561 +*9900 FILLER_158_582 +*9901 FILLER_158_598 +*9902 FILLER_158_82 +*9903 FILLER_158_96 +*9904 FILLER_159_110 +*9905 FILLER_159_113 +*9906 FILLER_159_125 +*9907 FILLER_159_137 +*9908 FILLER_159_149 +*9909 FILLER_159_161 +*9910 FILLER_159_167 +*9911 FILLER_159_169 +*9912 FILLER_159_173 +*9913 FILLER_159_190 +*9914 FILLER_159_202 +*9915 FILLER_159_21 +*9916 FILLER_159_214 +*9917 FILLER_159_222 +*9918 FILLER_159_225 +*9919 FILLER_159_237 +*9920 FILLER_159_241 +*9921 FILLER_159_249 +*9922 FILLER_159_261 +*9923 FILLER_159_267 +*9924 FILLER_159_275 +*9925 FILLER_159_279 +*9926 FILLER_159_281 +*9927 FILLER_159_289 +*9928 FILLER_159_29 +*9929 FILLER_159_3 +*9930 FILLER_159_312 +*9931 FILLER_159_324 +*9932 FILLER_159_337 +*9933 FILLER_159_345 +*9934 FILLER_159_373 +*9935 FILLER_159_383 +*9936 FILLER_159_391 +*9937 FILLER_159_393 +*9938 FILLER_159_405 +*9939 FILLER_159_409 +*9940 FILLER_159_430 +*9941 FILLER_159_434 +*9942 FILLER_159_446 +*9943 FILLER_159_449 +*9944 FILLER_159_461 +*9945 FILLER_159_473 +*9946 FILLER_159_482 +*9947 FILLER_159_494 +*9948 FILLER_159_502 +*9949 FILLER_159_505 +*9950 FILLER_159_517 +*9951 FILLER_159_52 +*9952 FILLER_159_529 +*9953 FILLER_159_541 +*9954 FILLER_159_553 +*9955 FILLER_159_559 +*9956 FILLER_159_561 +*9957 FILLER_159_565 +*9958 FILLER_159_575 +*9959 FILLER_159_592 +*9960 FILLER_159_600 +*9961 FILLER_159_612 +*9962 FILLER_159_617 +*9963 FILLER_159_64 +*9964 FILLER_159_71 +*9965 FILLER_159_79 +*9966 FILLER_159_93 +*9967 FILLER_159_99 +*9968 FILLER_15_101 +*9969 FILLER_15_111 +*9970 FILLER_15_113 +*9971 FILLER_15_125 +*9972 FILLER_15_137 +*9973 FILLER_15_149 +*9974 FILLER_15_15 +*9975 FILLER_15_153 +*9976 FILLER_15_169 +*9977 FILLER_15_181 +*9978 FILLER_15_202 +*9979 FILLER_15_214 +*9980 FILLER_15_222 +*9981 FILLER_15_248 +*9982 FILLER_15_260 +*9983 FILLER_15_268 +*9984 FILLER_15_27 +*9985 FILLER_15_276 +*9986 FILLER_15_281 +*9987 FILLER_15_293 +*9988 FILLER_15_3 +*9989 FILLER_15_305 +*9990 FILLER_15_317 +*9991 FILLER_15_329 +*9992 FILLER_15_335 +*9993 FILLER_15_337 +*9994 FILLER_15_349 +*9995 FILLER_15_360 +*9996 FILLER_15_368 +*9997 FILLER_15_377 +*9998 FILLER_15_383 +*9999 FILLER_15_39 +*10000 FILLER_15_391 +*10001 FILLER_15_393 +*10002 FILLER_15_397 +*10003 FILLER_15_401 +*10004 FILLER_15_409 +*10005 FILLER_15_430 +*10006 FILLER_15_442 +*10007 FILLER_15_469 +*10008 FILLER_15_481 +*10009 FILLER_15_493 +*10010 FILLER_15_501 +*10011 FILLER_15_51 +*10012 FILLER_15_512 +*10013 FILLER_15_524 +*10014 FILLER_15_532 +*10015 FILLER_15_55 +*10016 FILLER_15_551 +*10017 FILLER_15_559 +*10018 FILLER_15_561 +*10019 FILLER_15_57 +*10020 FILLER_15_573 +*10021 FILLER_15_587 +*10022 FILLER_15_599 +*10023 FILLER_15_611 +*10024 FILLER_15_615 +*10025 FILLER_15_617 +*10026 FILLER_15_69 +*10027 FILLER_15_81 +*10028 FILLER_15_93 +*10029 FILLER_160_109 +*10030 FILLER_160_121 +*10031 FILLER_160_129 +*10032 FILLER_160_137 +*10033 FILLER_160_141 +*10034 FILLER_160_153 +*10035 FILLER_160_157 +*10036 FILLER_160_171 +*10037 FILLER_160_179 +*10038 FILLER_160_183 +*10039 FILLER_160_195 +*10040 FILLER_160_197 +*10041 FILLER_160_209 +*10042 FILLER_160_21 +*10043 FILLER_160_221 +*10044 FILLER_160_229 +*10045 FILLER_160_256 +*10046 FILLER_160_268 +*10047 FILLER_160_27 +*10048 FILLER_160_280 +*10049 FILLER_160_292 +*10050 FILLER_160_304 +*10051 FILLER_160_309 +*10052 FILLER_160_317 +*10053 FILLER_160_329 +*10054 FILLER_160_339 +*10055 FILLER_160_343 +*10056 FILLER_160_351 +*10057 FILLER_160_365 +*10058 FILLER_160_386 +*10059 FILLER_160_398 +*10060 FILLER_160_402 +*10061 FILLER_160_417 +*10062 FILLER_160_421 +*10063 FILLER_160_432 +*10064 FILLER_160_444 +*10065 FILLER_160_456 +*10066 FILLER_160_468 +*10067 FILLER_160_477 +*10068 FILLER_160_489 +*10069 FILLER_160_510 +*10070 FILLER_160_521 +*10071 FILLER_160_529 +*10072 FILLER_160_537 +*10073 FILLER_160_54 +*10074 FILLER_160_549 +*10075 FILLER_160_561 +*10076 FILLER_160_573 +*10077 FILLER_160_585 +*10078 FILLER_160_589 +*10079 FILLER_160_60 +*10080 FILLER_160_601 +*10081 FILLER_160_613 +*10082 FILLER_160_64 +*10083 FILLER_160_76 +*10084 FILLER_160_85 +*10085 FILLER_160_97 +*10086 FILLER_161_103 +*10087 FILLER_161_111 +*10088 FILLER_161_113 +*10089 FILLER_161_125 +*10090 FILLER_161_137 +*10091 FILLER_161_144 +*10092 FILLER_161_15 +*10093 FILLER_161_155 +*10094 FILLER_161_167 +*10095 FILLER_161_169 +*10096 FILLER_161_181 +*10097 FILLER_161_185 +*10098 FILLER_161_206 +*10099 FILLER_161_218 +*10100 FILLER_161_265 +*10101 FILLER_161_277 +*10102 FILLER_161_281 +*10103 FILLER_161_293 +*10104 FILLER_161_3 +*10105 FILLER_161_305 +*10106 FILLER_161_309 +*10107 FILLER_161_333 +*10108 FILLER_161_35 +*10109 FILLER_161_357 +*10110 FILLER_161_369 +*10111 FILLER_161_377 +*10112 FILLER_161_384 +*10113 FILLER_161_400 +*10114 FILLER_161_423 +*10115 FILLER_161_435 +*10116 FILLER_161_447 +*10117 FILLER_161_47 +*10118 FILLER_161_480 +*10119 FILLER_161_488 +*10120 FILLER_161_496 +*10121 FILLER_161_505 +*10122 FILLER_161_543 +*10123 FILLER_161_55 +*10124 FILLER_161_551 +*10125 FILLER_161_561 +*10126 FILLER_161_573 +*10127 FILLER_161_585 +*10128 FILLER_161_593 +*10129 FILLER_161_603 +*10130 FILLER_161_615 +*10131 FILLER_161_617 +*10132 FILLER_161_623 +*10133 FILLER_161_79 +*10134 FILLER_161_91 +*10135 FILLER_162_101 +*10136 FILLER_162_108 +*10137 FILLER_162_116 +*10138 FILLER_162_128 +*10139 FILLER_162_133 +*10140 FILLER_162_139 +*10141 FILLER_162_141 +*10142 FILLER_162_152 +*10143 FILLER_162_184 +*10144 FILLER_162_19 +*10145 FILLER_162_197 +*10146 FILLER_162_209 +*10147 FILLER_162_231 +*10148 FILLER_162_237 +*10149 FILLER_162_245 +*10150 FILLER_162_251 +*10151 FILLER_162_253 +*10152 FILLER_162_261 +*10153 FILLER_162_269 +*10154 FILLER_162_27 +*10155 FILLER_162_277 +*10156 FILLER_162_286 +*10157 FILLER_162_29 +*10158 FILLER_162_304 +*10159 FILLER_162_309 +*10160 FILLER_162_313 +*10161 FILLER_162_321 +*10162 FILLER_162_333 +*10163 FILLER_162_345 +*10164 FILLER_162_357 +*10165 FILLER_162_363 +*10166 FILLER_162_365 +*10167 FILLER_162_377 +*10168 FILLER_162_402 +*10169 FILLER_162_41 +*10170 FILLER_162_414 +*10171 FILLER_162_421 +*10172 FILLER_162_438 +*10173 FILLER_162_469 +*10174 FILLER_162_475 +*10175 FILLER_162_498 +*10176 FILLER_162_506 +*10177 FILLER_162_529 +*10178 FILLER_162_561 +*10179 FILLER_162_567 +*10180 FILLER_162_574 +*10181 FILLER_162_578 +*10182 FILLER_162_586 +*10183 FILLER_162_589 +*10184 FILLER_162_614 +*10185 FILLER_162_622 +*10186 FILLER_162_67 +*10187 FILLER_162_7 +*10188 FILLER_162_75 +*10189 FILLER_162_83 +*10190 FILLER_162_89 +*10191 FILLER_163_110 +*10192 FILLER_163_123 +*10193 FILLER_163_135 +*10194 FILLER_163_143 +*10195 FILLER_163_151 +*10196 FILLER_163_163 +*10197 FILLER_163_167 +*10198 FILLER_163_169 +*10199 FILLER_163_181 +*10200 FILLER_163_19 +*10201 FILLER_163_216 +*10202 FILLER_163_225 +*10203 FILLER_163_229 +*10204 FILLER_163_251 +*10205 FILLER_163_277 +*10206 FILLER_163_31 +*10207 FILLER_163_317 +*10208 FILLER_163_329 +*10209 FILLER_163_335 +*10210 FILLER_163_337 +*10211 FILLER_163_349 +*10212 FILLER_163_361 +*10213 FILLER_163_373 +*10214 FILLER_163_385 +*10215 FILLER_163_39 +*10216 FILLER_163_391 +*10217 FILLER_163_393 +*10218 FILLER_163_405 +*10219 FILLER_163_417 +*10220 FILLER_163_421 +*10221 FILLER_163_442 +*10222 FILLER_163_456 +*10223 FILLER_163_477 +*10224 FILLER_163_489 +*10225 FILLER_163_501 +*10226 FILLER_163_505 +*10227 FILLER_163_517 +*10228 FILLER_163_544 +*10229 FILLER_163_55 +*10230 FILLER_163_556 +*10231 FILLER_163_561 +*10232 FILLER_163_565 +*10233 FILLER_163_57 +*10234 FILLER_163_586 +*10235 FILLER_163_598 +*10236 FILLER_163_610 +*10237 FILLER_163_617 +*10238 FILLER_163_621 +*10239 FILLER_163_67 +*10240 FILLER_163_7 +*10241 FILLER_163_79 +*10242 FILLER_163_85 +*10243 FILLER_164_107 +*10244 FILLER_164_119 +*10245 FILLER_164_127 +*10246 FILLER_164_136 +*10247 FILLER_164_146 +*10248 FILLER_164_153 +*10249 FILLER_164_159 +*10250 FILLER_164_165 +*10251 FILLER_164_177 +*10252 FILLER_164_189 +*10253 FILLER_164_19 +*10254 FILLER_164_195 +*10255 FILLER_164_197 +*10256 FILLER_164_203 +*10257 FILLER_164_214 +*10258 FILLER_164_226 +*10259 FILLER_164_238 +*10260 FILLER_164_250 +*10261 FILLER_164_253 +*10262 FILLER_164_265 +*10263 FILLER_164_277 +*10264 FILLER_164_289 +*10265 FILLER_164_301 +*10266 FILLER_164_307 +*10267 FILLER_164_309 +*10268 FILLER_164_321 +*10269 FILLER_164_333 +*10270 FILLER_164_345 +*10271 FILLER_164_35 +*10272 FILLER_164_353 +*10273 FILLER_164_361 +*10274 FILLER_164_375 +*10275 FILLER_164_387 +*10276 FILLER_164_399 +*10277 FILLER_164_41 +*10278 FILLER_164_411 +*10279 FILLER_164_419 +*10280 FILLER_164_421 +*10281 FILLER_164_433 +*10282 FILLER_164_445 +*10283 FILLER_164_45 +*10284 FILLER_164_457 +*10285 FILLER_164_469 +*10286 FILLER_164_475 +*10287 FILLER_164_477 +*10288 FILLER_164_489 +*10289 FILLER_164_49 +*10290 FILLER_164_501 +*10291 FILLER_164_513 +*10292 FILLER_164_533 +*10293 FILLER_164_545 +*10294 FILLER_164_557 +*10295 FILLER_164_568 +*10296 FILLER_164_580 +*10297 FILLER_164_589 +*10298 FILLER_164_601 +*10299 FILLER_164_61 +*10300 FILLER_164_613 +*10301 FILLER_164_70 +*10302 FILLER_164_78 +*10303 FILLER_164_85 +*10304 FILLER_164_94 +*10305 FILLER_164_98 +*10306 FILLER_165_104 +*10307 FILLER_165_113 +*10308 FILLER_165_119 +*10309 FILLER_165_132 +*10310 FILLER_165_139 +*10311 FILLER_165_147 +*10312 FILLER_165_15 +*10313 FILLER_165_157 +*10314 FILLER_165_164 +*10315 FILLER_165_182 +*10316 FILLER_165_194 +*10317 FILLER_165_206 +*10318 FILLER_165_218 +*10319 FILLER_165_225 +*10320 FILLER_165_237 +*10321 FILLER_165_249 +*10322 FILLER_165_261 +*10323 FILLER_165_273 +*10324 FILLER_165_279 +*10325 FILLER_165_281 +*10326 FILLER_165_293 +*10327 FILLER_165_3 +*10328 FILLER_165_305 +*10329 FILLER_165_314 +*10330 FILLER_165_326 +*10331 FILLER_165_335 +*10332 FILLER_165_337 +*10333 FILLER_165_34 +*10334 FILLER_165_371 +*10335 FILLER_165_382 +*10336 FILLER_165_390 +*10337 FILLER_165_393 +*10338 FILLER_165_403 +*10339 FILLER_165_415 +*10340 FILLER_165_421 +*10341 FILLER_165_433 +*10342 FILLER_165_443 +*10343 FILLER_165_447 +*10344 FILLER_165_449 +*10345 FILLER_165_461 +*10346 FILLER_165_467 +*10347 FILLER_165_472 +*10348 FILLER_165_481 +*10349 FILLER_165_493 +*10350 FILLER_165_501 +*10351 FILLER_165_505 +*10352 FILLER_165_517 +*10353 FILLER_165_529 +*10354 FILLER_165_541 +*10355 FILLER_165_55 +*10356 FILLER_165_554 +*10357 FILLER_165_561 +*10358 FILLER_165_573 +*10359 FILLER_165_585 +*10360 FILLER_165_597 +*10361 FILLER_165_609 +*10362 FILLER_165_615 +*10363 FILLER_165_623 +*10364 FILLER_165_76 +*10365 FILLER_165_88 +*10366 FILLER_165_94 +*10367 FILLER_166_105 +*10368 FILLER_166_133 +*10369 FILLER_166_150 +*10370 FILLER_166_155 +*10371 FILLER_166_163 +*10372 FILLER_166_187 +*10373 FILLER_166_19 +*10374 FILLER_166_192 +*10375 FILLER_166_197 +*10376 FILLER_166_209 +*10377 FILLER_166_221 +*10378 FILLER_166_229 +*10379 FILLER_166_237 +*10380 FILLER_166_246 +*10381 FILLER_166_253 +*10382 FILLER_166_265 +*10383 FILLER_166_27 +*10384 FILLER_166_29 +*10385 FILLER_166_294 +*10386 FILLER_166_300 +*10387 FILLER_166_329 +*10388 FILLER_166_359 +*10389 FILLER_166_363 +*10390 FILLER_166_365 +*10391 FILLER_166_383 +*10392 FILLER_166_398 +*10393 FILLER_166_41 +*10394 FILLER_166_411 +*10395 FILLER_166_421 +*10396 FILLER_166_429 +*10397 FILLER_166_455 +*10398 FILLER_166_518 +*10399 FILLER_166_524 +*10400 FILLER_166_529 +*10401 FILLER_166_53 +*10402 FILLER_166_533 +*10403 FILLER_166_577 +*10404 FILLER_166_585 +*10405 FILLER_166_589 +*10406 FILLER_166_601 +*10407 FILLER_166_613 +*10408 FILLER_166_65 +*10409 FILLER_166_7 +*10410 FILLER_166_77 +*10411 FILLER_166_83 +*10412 FILLER_166_93 +*10413 FILLER_167_105 +*10414 FILLER_167_111 +*10415 FILLER_167_113 +*10416 FILLER_167_125 +*10417 FILLER_167_129 +*10418 FILLER_167_143 +*10419 FILLER_167_151 +*10420 FILLER_167_163 +*10421 FILLER_167_167 +*10422 FILLER_167_169 +*10423 FILLER_167_181 +*10424 FILLER_167_19 +*10425 FILLER_167_193 +*10426 FILLER_167_205 +*10427 FILLER_167_217 +*10428 FILLER_167_223 +*10429 FILLER_167_246 +*10430 FILLER_167_254 +*10431 FILLER_167_262 +*10432 FILLER_167_274 +*10433 FILLER_167_281 +*10434 FILLER_167_285 +*10435 FILLER_167_293 +*10436 FILLER_167_305 +*10437 FILLER_167_31 +*10438 FILLER_167_335 +*10439 FILLER_167_337 +*10440 FILLER_167_348 +*10441 FILLER_167_360 +*10442 FILLER_167_366 +*10443 FILLER_167_387 +*10444 FILLER_167_39 +*10445 FILLER_167_391 +*10446 FILLER_167_43 +*10447 FILLER_167_433 +*10448 FILLER_167_443 +*10449 FILLER_167_447 +*10450 FILLER_167_449 +*10451 FILLER_167_453 +*10452 FILLER_167_474 +*10453 FILLER_167_482 +*10454 FILLER_167_505 +*10455 FILLER_167_517 +*10456 FILLER_167_529 +*10457 FILLER_167_537 +*10458 FILLER_167_547 +*10459 FILLER_167_55 +*10460 FILLER_167_559 +*10461 FILLER_167_561 +*10462 FILLER_167_567 +*10463 FILLER_167_57 +*10464 FILLER_167_575 +*10465 FILLER_167_587 +*10466 FILLER_167_593 +*10467 FILLER_167_614 +*10468 FILLER_167_617 +*10469 FILLER_167_69 +*10470 FILLER_167_7 +*10471 FILLER_167_81 +*10472 FILLER_167_93 +*10473 FILLER_168_101 +*10474 FILLER_168_105 +*10475 FILLER_168_111 +*10476 FILLER_168_121 +*10477 FILLER_168_129 +*10478 FILLER_168_136 +*10479 FILLER_168_141 +*10480 FILLER_168_153 +*10481 FILLER_168_165 +*10482 FILLER_168_177 +*10483 FILLER_168_181 +*10484 FILLER_168_19 +*10485 FILLER_168_193 +*10486 FILLER_168_197 +*10487 FILLER_168_201 +*10488 FILLER_168_228 +*10489 FILLER_168_240 +*10490 FILLER_168_260 +*10491 FILLER_168_27 +*10492 FILLER_168_281 +*10493 FILLER_168_29 +*10494 FILLER_168_292 +*10495 FILLER_168_296 +*10496 FILLER_168_304 +*10497 FILLER_168_316 +*10498 FILLER_168_328 +*10499 FILLER_168_340 +*10500 FILLER_168_352 +*10501 FILLER_168_365 +*10502 FILLER_168_377 +*10503 FILLER_168_389 +*10504 FILLER_168_401 +*10505 FILLER_168_413 +*10506 FILLER_168_419 +*10507 FILLER_168_421 +*10508 FILLER_168_428 +*10509 FILLER_168_440 +*10510 FILLER_168_452 +*10511 FILLER_168_464 +*10512 FILLER_168_477 +*10513 FILLER_168_485 +*10514 FILLER_168_495 +*10515 FILLER_168_528 +*10516 FILLER_168_533 +*10517 FILLER_168_54 +*10518 FILLER_168_545 +*10519 FILLER_168_557 +*10520 FILLER_168_587 +*10521 FILLER_168_589 +*10522 FILLER_168_602 +*10523 FILLER_168_61 +*10524 FILLER_168_7 +*10525 FILLER_168_73 +*10526 FILLER_168_79 +*10527 FILLER_168_83 +*10528 FILLER_168_85 +*10529 FILLER_168_95 +*10530 FILLER_169_100 +*10531 FILLER_169_104 +*10532 FILLER_169_110 +*10533 FILLER_169_113 +*10534 FILLER_169_133 +*10535 FILLER_169_139 +*10536 FILLER_169_151 +*10537 FILLER_169_165 +*10538 FILLER_169_177 +*10539 FILLER_169_185 +*10540 FILLER_169_211 +*10541 FILLER_169_223 +*10542 FILLER_169_225 +*10543 FILLER_169_234 +*10544 FILLER_169_261 +*10545 FILLER_169_270 +*10546 FILLER_169_278 +*10547 FILLER_169_281 +*10548 FILLER_169_3 +*10549 FILLER_169_307 +*10550 FILLER_169_31 +*10551 FILLER_169_319 +*10552 FILLER_169_331 +*10553 FILLER_169_335 +*10554 FILLER_169_337 +*10555 FILLER_169_349 +*10556 FILLER_169_361 +*10557 FILLER_169_373 +*10558 FILLER_169_38 +*10559 FILLER_169_385 +*10560 FILLER_169_391 +*10561 FILLER_169_393 +*10562 FILLER_169_405 +*10563 FILLER_169_434 +*10564 FILLER_169_446 +*10565 FILLER_169_449 +*10566 FILLER_169_461 +*10567 FILLER_169_473 +*10568 FILLER_169_485 +*10569 FILLER_169_497 +*10570 FILLER_169_503 +*10571 FILLER_169_505 +*10572 FILLER_169_517 +*10573 FILLER_169_52 +*10574 FILLER_169_529 +*10575 FILLER_169_538 +*10576 FILLER_169_550 +*10577 FILLER_169_558 +*10578 FILLER_169_561 +*10579 FILLER_169_572 +*10580 FILLER_169_606 +*10581 FILLER_169_614 +*10582 FILLER_169_617 +*10583 FILLER_169_78 +*10584 FILLER_169_90 +*10585 FILLER_16_11 +*10586 FILLER_16_118 +*10587 FILLER_16_130 +*10588 FILLER_16_138 +*10589 FILLER_16_161 +*10590 FILLER_16_183 +*10591 FILLER_16_19 +*10592 FILLER_16_197 +*10593 FILLER_16_221 +*10594 FILLER_16_229 +*10595 FILLER_16_237 +*10596 FILLER_16_27 +*10597 FILLER_16_273 +*10598 FILLER_16_29 +*10599 FILLER_16_295 +*10600 FILLER_16_3 +*10601 FILLER_16_307 +*10602 FILLER_16_329 +*10603 FILLER_16_33 +*10604 FILLER_16_337 +*10605 FILLER_16_360 +*10606 FILLER_16_365 +*10607 FILLER_16_369 +*10608 FILLER_16_390 +*10609 FILLER_16_394 +*10610 FILLER_16_411 +*10611 FILLER_16_419 +*10612 FILLER_16_421 +*10613 FILLER_16_427 +*10614 FILLER_16_448 +*10615 FILLER_16_46 +*10616 FILLER_16_467 +*10617 FILLER_16_475 +*10618 FILLER_16_484 +*10619 FILLER_16_492 +*10620 FILLER_16_50 +*10621 FILLER_16_513 +*10622 FILLER_16_517 +*10623 FILLER_16_525 +*10624 FILLER_16_531 +*10625 FILLER_16_533 +*10626 FILLER_16_548 +*10627 FILLER_16_560 +*10628 FILLER_16_572 +*10629 FILLER_16_584 +*10630 FILLER_16_609 +*10631 FILLER_16_617 +*10632 FILLER_16_66 +*10633 FILLER_16_85 +*10634 FILLER_16_92 +*10635 FILLER_170_109 +*10636 FILLER_170_119 +*10637 FILLER_170_131 +*10638 FILLER_170_139 +*10639 FILLER_170_141 +*10640 FILLER_170_149 +*10641 FILLER_170_188 +*10642 FILLER_170_197 +*10643 FILLER_170_209 +*10644 FILLER_170_221 +*10645 FILLER_170_225 +*10646 FILLER_170_24 +*10647 FILLER_170_246 +*10648 FILLER_170_260 +*10649 FILLER_170_272 +*10650 FILLER_170_290 +*10651 FILLER_170_302 +*10652 FILLER_170_309 +*10653 FILLER_170_321 +*10654 FILLER_170_333 +*10655 FILLER_170_345 +*10656 FILLER_170_352 +*10657 FILLER_170_375 +*10658 FILLER_170_387 +*10659 FILLER_170_399 +*10660 FILLER_170_411 +*10661 FILLER_170_419 +*10662 FILLER_170_421 +*10663 FILLER_170_433 +*10664 FILLER_170_445 +*10665 FILLER_170_45 +*10666 FILLER_170_457 +*10667 FILLER_170_469 +*10668 FILLER_170_475 +*10669 FILLER_170_477 +*10670 FILLER_170_489 +*10671 FILLER_170_495 +*10672 FILLER_170_510 +*10673 FILLER_170_522 +*10674 FILLER_170_530 +*10675 FILLER_170_553 +*10676 FILLER_170_565 +*10677 FILLER_170_57 +*10678 FILLER_170_577 +*10679 FILLER_170_585 +*10680 FILLER_170_589 +*10681 FILLER_170_601 +*10682 FILLER_170_613 +*10683 FILLER_170_619 +*10684 FILLER_170_623 +*10685 FILLER_170_69 +*10686 FILLER_170_7 +*10687 FILLER_170_80 +*10688 FILLER_170_97 +*10689 FILLER_171_107 +*10690 FILLER_171_111 +*10691 FILLER_171_113 +*10692 FILLER_171_122 +*10693 FILLER_171_128 +*10694 FILLER_171_146 +*10695 FILLER_171_154 +*10696 FILLER_171_162 +*10697 FILLER_171_169 +*10698 FILLER_171_173 +*10699 FILLER_171_181 +*10700 FILLER_171_19 +*10701 FILLER_171_203 +*10702 FILLER_171_215 +*10703 FILLER_171_223 +*10704 FILLER_171_225 +*10705 FILLER_171_237 +*10706 FILLER_171_249 +*10707 FILLER_171_257 +*10708 FILLER_171_267 +*10709 FILLER_171_279 +*10710 FILLER_171_281 +*10711 FILLER_171_293 +*10712 FILLER_171_305 +*10713 FILLER_171_31 +*10714 FILLER_171_328 +*10715 FILLER_171_351 +*10716 FILLER_171_376 +*10717 FILLER_171_391 +*10718 FILLER_171_413 +*10719 FILLER_171_417 +*10720 FILLER_171_438 +*10721 FILLER_171_446 +*10722 FILLER_171_449 +*10723 FILLER_171_453 +*10724 FILLER_171_467 +*10725 FILLER_171_472 +*10726 FILLER_171_498 +*10727 FILLER_171_525 +*10728 FILLER_171_53 +*10729 FILLER_171_533 +*10730 FILLER_171_537 +*10731 FILLER_171_545 +*10732 FILLER_171_548 +*10733 FILLER_171_568 +*10734 FILLER_171_580 +*10735 FILLER_171_592 +*10736 FILLER_171_604 +*10737 FILLER_171_61 +*10738 FILLER_171_617 +*10739 FILLER_171_69 +*10740 FILLER_171_78 +*10741 FILLER_171_95 +*10742 FILLER_172_104 +*10743 FILLER_172_119 +*10744 FILLER_172_131 +*10745 FILLER_172_139 +*10746 FILLER_172_14 +*10747 FILLER_172_141 +*10748 FILLER_172_153 +*10749 FILLER_172_165 +*10750 FILLER_172_177 +*10751 FILLER_172_189 +*10752 FILLER_172_195 +*10753 FILLER_172_197 +*10754 FILLER_172_201 +*10755 FILLER_172_229 +*10756 FILLER_172_241 +*10757 FILLER_172_249 +*10758 FILLER_172_26 +*10759 FILLER_172_286 +*10760 FILLER_172_29 +*10761 FILLER_172_298 +*10762 FILLER_172_306 +*10763 FILLER_172_316 +*10764 FILLER_172_360 +*10765 FILLER_172_365 +*10766 FILLER_172_373 +*10767 FILLER_172_379 +*10768 FILLER_172_383 +*10769 FILLER_172_404 +*10770 FILLER_172_41 +*10771 FILLER_172_416 +*10772 FILLER_172_428 +*10773 FILLER_172_474 +*10774 FILLER_172_501 +*10775 FILLER_172_513 +*10776 FILLER_172_525 +*10777 FILLER_172_531 +*10778 FILLER_172_533 +*10779 FILLER_172_567 +*10780 FILLER_172_579 +*10781 FILLER_172_58 +*10782 FILLER_172_587 +*10783 FILLER_172_589 +*10784 FILLER_172_601 +*10785 FILLER_172_613 +*10786 FILLER_172_70 +*10787 FILLER_172_83 +*10788 FILLER_172_85 +*10789 FILLER_173_102 +*10790 FILLER_173_11 +*10791 FILLER_173_110 +*10792 FILLER_173_113 +*10793 FILLER_173_125 +*10794 FILLER_173_137 +*10795 FILLER_173_145 +*10796 FILLER_173_153 +*10797 FILLER_173_165 +*10798 FILLER_173_169 +*10799 FILLER_173_181 +*10800 FILLER_173_193 +*10801 FILLER_173_20 +*10802 FILLER_173_205 +*10803 FILLER_173_217 +*10804 FILLER_173_223 +*10805 FILLER_173_225 +*10806 FILLER_173_233 +*10807 FILLER_173_279 +*10808 FILLER_173_281 +*10809 FILLER_173_285 +*10810 FILLER_173_293 +*10811 FILLER_173_297 +*10812 FILLER_173_3 +*10813 FILLER_173_301 +*10814 FILLER_173_32 +*10815 FILLER_173_325 +*10816 FILLER_173_333 +*10817 FILLER_173_337 +*10818 FILLER_173_341 +*10819 FILLER_173_356 +*10820 FILLER_173_364 +*10821 FILLER_173_388 +*10822 FILLER_173_393 +*10823 FILLER_173_399 +*10824 FILLER_173_410 +*10825 FILLER_173_422 +*10826 FILLER_173_434 +*10827 FILLER_173_44 +*10828 FILLER_173_444 +*10829 FILLER_173_449 +*10830 FILLER_173_464 +*10831 FILLER_173_476 +*10832 FILLER_173_488 +*10833 FILLER_173_496 +*10834 FILLER_173_503 +*10835 FILLER_173_505 +*10836 FILLER_173_517 +*10837 FILLER_173_523 +*10838 FILLER_173_531 +*10839 FILLER_173_543 +*10840 FILLER_173_555 +*10841 FILLER_173_559 +*10842 FILLER_173_561 +*10843 FILLER_173_57 +*10844 FILLER_173_573 +*10845 FILLER_173_614 +*10846 FILLER_173_617 +*10847 FILLER_173_69 +*10848 FILLER_173_81 +*10849 FILLER_173_93 +*10850 FILLER_173_97 +*10851 FILLER_174_101 +*10852 FILLER_174_113 +*10853 FILLER_174_125 +*10854 FILLER_174_137 +*10855 FILLER_174_161 +*10856 FILLER_174_177 +*10857 FILLER_174_189 +*10858 FILLER_174_195 +*10859 FILLER_174_197 +*10860 FILLER_174_204 +*10861 FILLER_174_21 +*10862 FILLER_174_216 +*10863 FILLER_174_224 +*10864 FILLER_174_233 +*10865 FILLER_174_266 +*10866 FILLER_174_27 +*10867 FILLER_174_29 +*10868 FILLER_174_309 +*10869 FILLER_174_318 +*10870 FILLER_174_330 +*10871 FILLER_174_342 +*10872 FILLER_174_354 +*10873 FILLER_174_362 +*10874 FILLER_174_365 +*10875 FILLER_174_377 +*10876 FILLER_174_388 +*10877 FILLER_174_41 +*10878 FILLER_174_416 +*10879 FILLER_174_421 +*10880 FILLER_174_433 +*10881 FILLER_174_445 +*10882 FILLER_174_453 +*10883 FILLER_174_477 +*10884 FILLER_174_48 +*10885 FILLER_174_489 +*10886 FILLER_174_501 +*10887 FILLER_174_516 +*10888 FILLER_174_528 +*10889 FILLER_174_533 +*10890 FILLER_174_545 +*10891 FILLER_174_557 +*10892 FILLER_174_576 +*10893 FILLER_174_589 +*10894 FILLER_174_60 +*10895 FILLER_174_72 +*10896 FILLER_174_85 +*10897 FILLER_174_89 +*10898 FILLER_174_9 +*10899 FILLER_174_93 +*10900 FILLER_174_97 +*10901 FILLER_175_105 +*10902 FILLER_175_111 +*10903 FILLER_175_113 +*10904 FILLER_175_121 +*10905 FILLER_175_136 +*10906 FILLER_175_148 +*10907 FILLER_175_159 +*10908 FILLER_175_167 +*10909 FILLER_175_169 +*10910 FILLER_175_195 +*10911 FILLER_175_207 +*10912 FILLER_175_219 +*10913 FILLER_175_223 +*10914 FILLER_175_245 +*10915 FILLER_175_257 +*10916 FILLER_175_26 +*10917 FILLER_175_269 +*10918 FILLER_175_297 +*10919 FILLER_175_30 +*10920 FILLER_175_309 +*10921 FILLER_175_321 +*10922 FILLER_175_333 +*10923 FILLER_175_337 +*10924 FILLER_175_349 +*10925 FILLER_175_361 +*10926 FILLER_175_373 +*10927 FILLER_175_385 +*10928 FILLER_175_391 +*10929 FILLER_175_393 +*10930 FILLER_175_405 +*10931 FILLER_175_417 +*10932 FILLER_175_429 +*10933 FILLER_175_441 +*10934 FILLER_175_447 +*10935 FILLER_175_449 +*10936 FILLER_175_461 +*10937 FILLER_175_473 +*10938 FILLER_175_479 +*10939 FILLER_175_490 +*10940 FILLER_175_502 +*10941 FILLER_175_510 +*10942 FILLER_175_532 +*10943 FILLER_175_549 +*10944 FILLER_175_557 +*10945 FILLER_175_561 +*10946 FILLER_175_57 +*10947 FILLER_175_583 +*10948 FILLER_175_595 +*10949 FILLER_175_603 +*10950 FILLER_175_615 +*10951 FILLER_175_617 +*10952 FILLER_175_69 +*10953 FILLER_175_73 +*10954 FILLER_175_81 +*10955 FILLER_175_93 +*10956 FILLER_176_103 +*10957 FILLER_176_115 +*10958 FILLER_176_148 +*10959 FILLER_176_173 +*10960 FILLER_176_185 +*10961 FILLER_176_189 +*10962 FILLER_176_195 +*10963 FILLER_176_197 +*10964 FILLER_176_228 +*10965 FILLER_176_240 +*10966 FILLER_176_253 +*10967 FILLER_176_265 +*10968 FILLER_176_277 +*10969 FILLER_176_289 +*10970 FILLER_176_29 +*10971 FILLER_176_3 +*10972 FILLER_176_301 +*10973 FILLER_176_307 +*10974 FILLER_176_316 +*10975 FILLER_176_337 +*10976 FILLER_176_349 +*10977 FILLER_176_357 +*10978 FILLER_176_361 +*10979 FILLER_176_372 +*10980 FILLER_176_384 +*10981 FILLER_176_396 +*10982 FILLER_176_408 +*10983 FILLER_176_421 +*10984 FILLER_176_427 +*10985 FILLER_176_431 +*10986 FILLER_176_443 +*10987 FILLER_176_45 +*10988 FILLER_176_455 +*10989 FILLER_176_467 +*10990 FILLER_176_475 +*10991 FILLER_176_477 +*10992 FILLER_176_510 +*10993 FILLER_176_522 +*10994 FILLER_176_530 +*10995 FILLER_176_533 +*10996 FILLER_176_541 +*10997 FILLER_176_577 +*10998 FILLER_176_585 +*10999 FILLER_176_589 +*11000 FILLER_176_601 +*11001 FILLER_176_613 +*11002 FILLER_177_103 +*11003 FILLER_177_111 +*11004 FILLER_177_119 +*11005 FILLER_177_13 +*11006 FILLER_177_135 +*11007 FILLER_177_147 +*11008 FILLER_177_160 +*11009 FILLER_177_169 +*11010 FILLER_177_181 +*11011 FILLER_177_193 +*11012 FILLER_177_197 +*11013 FILLER_177_204 +*11014 FILLER_177_216 +*11015 FILLER_177_225 +*11016 FILLER_177_237 +*11017 FILLER_177_249 +*11018 FILLER_177_25 +*11019 FILLER_177_261 +*11020 FILLER_177_273 +*11021 FILLER_177_279 +*11022 FILLER_177_288 +*11023 FILLER_177_294 +*11024 FILLER_177_3 +*11025 FILLER_177_302 +*11026 FILLER_177_326 +*11027 FILLER_177_337 +*11028 FILLER_177_359 +*11029 FILLER_177_37 +*11030 FILLER_177_371 +*11031 FILLER_177_379 +*11032 FILLER_177_390 +*11033 FILLER_177_400 +*11034 FILLER_177_412 +*11035 FILLER_177_438 +*11036 FILLER_177_446 +*11037 FILLER_177_452 +*11038 FILLER_177_462 +*11039 FILLER_177_467 +*11040 FILLER_177_503 +*11041 FILLER_177_505 +*11042 FILLER_177_52 +*11043 FILLER_177_526 +*11044 FILLER_177_549 +*11045 FILLER_177_557 +*11046 FILLER_177_568 +*11047 FILLER_177_57 +*11048 FILLER_177_580 +*11049 FILLER_177_601 +*11050 FILLER_177_613 +*11051 FILLER_177_617 +*11052 FILLER_177_69 +*11053 FILLER_177_75 +*11054 FILLER_177_79 +*11055 FILLER_177_84 +*11056 FILLER_177_91 +*11057 FILLER_178_105 +*11058 FILLER_178_127 +*11059 FILLER_178_139 +*11060 FILLER_178_141 +*11061 FILLER_178_153 +*11062 FILLER_178_165 +*11063 FILLER_178_173 +*11064 FILLER_178_19 +*11065 FILLER_178_195 +*11066 FILLER_178_197 +*11067 FILLER_178_208 +*11068 FILLER_178_212 +*11069 FILLER_178_220 +*11070 FILLER_178_226 +*11071 FILLER_178_238 +*11072 FILLER_178_250 +*11073 FILLER_178_27 +*11074 FILLER_178_29 +*11075 FILLER_178_293 +*11076 FILLER_178_309 +*11077 FILLER_178_317 +*11078 FILLER_178_339 +*11079 FILLER_178_35 +*11080 FILLER_178_386 +*11081 FILLER_178_390 +*11082 FILLER_178_412 +*11083 FILLER_178_447 +*11084 FILLER_178_475 +*11085 FILLER_178_497 +*11086 FILLER_178_509 +*11087 FILLER_178_51 +*11088 FILLER_178_521 +*11089 FILLER_178_529 +*11090 FILLER_178_533 +*11091 FILLER_178_545 +*11092 FILLER_178_557 +*11093 FILLER_178_569 +*11094 FILLER_178_589 +*11095 FILLER_178_601 +*11096 FILLER_178_613 +*11097 FILLER_178_64 +*11098 FILLER_178_7 +*11099 FILLER_178_76 +*11100 FILLER_178_85 +*11101 FILLER_178_97 +*11102 FILLER_179_110 +*11103 FILLER_179_113 +*11104 FILLER_179_125 +*11105 FILLER_179_137 +*11106 FILLER_179_149 +*11107 FILLER_179_161 +*11108 FILLER_179_167 +*11109 FILLER_179_169 +*11110 FILLER_179_17 +*11111 FILLER_179_178 +*11112 FILLER_179_190 +*11113 FILLER_179_198 +*11114 FILLER_179_204 +*11115 FILLER_179_216 +*11116 FILLER_179_232 +*11117 FILLER_179_254 +*11118 FILLER_179_26 +*11119 FILLER_179_267 +*11120 FILLER_179_279 +*11121 FILLER_179_3 +*11122 FILLER_179_311 +*11123 FILLER_179_323 +*11124 FILLER_179_332 +*11125 FILLER_179_357 +*11126 FILLER_179_365 +*11127 FILLER_179_38 +*11128 FILLER_179_388 +*11129 FILLER_179_393 +*11130 FILLER_179_405 +*11131 FILLER_179_413 +*11132 FILLER_179_421 +*11133 FILLER_179_433 +*11134 FILLER_179_446 +*11135 FILLER_179_449 +*11136 FILLER_179_461 +*11137 FILLER_179_473 +*11138 FILLER_179_492 +*11139 FILLER_179_50 +*11140 FILLER_179_505 +*11141 FILLER_179_517 +*11142 FILLER_179_529 +*11143 FILLER_179_539 +*11144 FILLER_179_551 +*11145 FILLER_179_559 +*11146 FILLER_179_561 +*11147 FILLER_179_57 +*11148 FILLER_179_573 +*11149 FILLER_179_579 +*11150 FILLER_179_600 +*11151 FILLER_179_612 +*11152 FILLER_179_617 +*11153 FILLER_179_69 +*11154 FILLER_179_81 +*11155 FILLER_179_90 +*11156 FILLER_179_98 +*11157 FILLER_17_104 +*11158 FILLER_17_113 +*11159 FILLER_17_123 +*11160 FILLER_17_135 +*11161 FILLER_17_148 +*11162 FILLER_17_167 +*11163 FILLER_17_169 +*11164 FILLER_17_181 +*11165 FILLER_17_186 +*11166 FILLER_17_197 +*11167 FILLER_17_215 +*11168 FILLER_17_225 +*11169 FILLER_17_237 +*11170 FILLER_17_264 +*11171 FILLER_17_276 +*11172 FILLER_17_301 +*11173 FILLER_17_314 +*11174 FILLER_17_337 +*11175 FILLER_17_349 +*11176 FILLER_17_353 +*11177 FILLER_17_384 +*11178 FILLER_17_390 +*11179 FILLER_17_393 +*11180 FILLER_17_405 +*11181 FILLER_17_439 +*11182 FILLER_17_447 +*11183 FILLER_17_449 +*11184 FILLER_17_461 +*11185 FILLER_17_496 +*11186 FILLER_17_505 +*11187 FILLER_17_531 +*11188 FILLER_17_54 +*11189 FILLER_17_543 +*11190 FILLER_17_556 +*11191 FILLER_17_561 +*11192 FILLER_17_573 +*11193 FILLER_17_585 +*11194 FILLER_17_597 +*11195 FILLER_17_609 +*11196 FILLER_17_615 +*11197 FILLER_17_617 +*11198 FILLER_17_80 +*11199 FILLER_180_108 +*11200 FILLER_180_120 +*11201 FILLER_180_132 +*11202 FILLER_180_151 +*11203 FILLER_180_156 +*11204 FILLER_180_165 +*11205 FILLER_180_169 +*11206 FILLER_180_190 +*11207 FILLER_180_213 +*11208 FILLER_180_249 +*11209 FILLER_180_273 +*11210 FILLER_180_285 +*11211 FILLER_180_29 +*11212 FILLER_180_304 +*11213 FILLER_180_309 +*11214 FILLER_180_321 +*11215 FILLER_180_333 +*11216 FILLER_180_348 +*11217 FILLER_180_360 +*11218 FILLER_180_365 +*11219 FILLER_180_377 +*11220 FILLER_180_381 +*11221 FILLER_180_386 +*11222 FILLER_180_398 +*11223 FILLER_180_41 +*11224 FILLER_180_410 +*11225 FILLER_180_418 +*11226 FILLER_180_421 +*11227 FILLER_180_433 +*11228 FILLER_180_437 +*11229 FILLER_180_458 +*11230 FILLER_180_470 +*11231 FILLER_180_477 +*11232 FILLER_180_489 +*11233 FILLER_180_497 +*11234 FILLER_180_522 +*11235 FILLER_180_53 +*11236 FILLER_180_530 +*11237 FILLER_180_533 +*11238 FILLER_180_545 +*11239 FILLER_180_557 +*11240 FILLER_180_576 +*11241 FILLER_180_589 +*11242 FILLER_180_604 +*11243 FILLER_180_616 +*11244 FILLER_180_624 +*11245 FILLER_180_65 +*11246 FILLER_180_73 +*11247 FILLER_180_97 +*11248 FILLER_181_109 +*11249 FILLER_181_11 +*11250 FILLER_181_113 +*11251 FILLER_181_122 +*11252 FILLER_181_134 +*11253 FILLER_181_165 +*11254 FILLER_181_169 +*11255 FILLER_181_177 +*11256 FILLER_181_188 +*11257 FILLER_181_192 +*11258 FILLER_181_196 +*11259 FILLER_181_214 +*11260 FILLER_181_222 +*11261 FILLER_181_225 +*11262 FILLER_181_237 +*11263 FILLER_181_249 +*11264 FILLER_181_26 +*11265 FILLER_181_260 +*11266 FILLER_181_272 +*11267 FILLER_181_281 +*11268 FILLER_181_293 +*11269 FILLER_181_3 +*11270 FILLER_181_305 +*11271 FILLER_181_317 +*11272 FILLER_181_325 +*11273 FILLER_181_331 +*11274 FILLER_181_335 +*11275 FILLER_181_337 +*11276 FILLER_181_349 +*11277 FILLER_181_361 +*11278 FILLER_181_373 +*11279 FILLER_181_38 +*11280 FILLER_181_414 +*11281 FILLER_181_426 +*11282 FILLER_181_438 +*11283 FILLER_181_446 +*11284 FILLER_181_449 +*11285 FILLER_181_461 +*11286 FILLER_181_473 +*11287 FILLER_181_485 +*11288 FILLER_181_50 +*11289 FILLER_181_505 +*11290 FILLER_181_533 +*11291 FILLER_181_561 +*11292 FILLER_181_565 +*11293 FILLER_181_57 +*11294 FILLER_181_586 +*11295 FILLER_181_592 +*11296 FILLER_181_613 +*11297 FILLER_181_617 +*11298 FILLER_181_80 +*11299 FILLER_181_84 +*11300 FILLER_181_93 +*11301 FILLER_182_118 +*11302 FILLER_182_182 +*11303 FILLER_182_194 +*11304 FILLER_182_197 +*11305 FILLER_182_205 +*11306 FILLER_182_216 +*11307 FILLER_182_228 +*11308 FILLER_182_240 +*11309 FILLER_182_253 +*11310 FILLER_182_26 +*11311 FILLER_182_265 +*11312 FILLER_182_278 +*11313 FILLER_182_284 +*11314 FILLER_182_296 +*11315 FILLER_182_309 +*11316 FILLER_182_321 +*11317 FILLER_182_329 +*11318 FILLER_182_355 +*11319 FILLER_182_36 +*11320 FILLER_182_363 +*11321 FILLER_182_368 +*11322 FILLER_182_380 +*11323 FILLER_182_392 +*11324 FILLER_182_404 +*11325 FILLER_182_416 +*11326 FILLER_182_421 +*11327 FILLER_182_443 +*11328 FILLER_182_455 +*11329 FILLER_182_460 +*11330 FILLER_182_464 +*11331 FILLER_182_472 +*11332 FILLER_182_477 +*11333 FILLER_182_48 +*11334 FILLER_182_489 +*11335 FILLER_182_501 +*11336 FILLER_182_509 +*11337 FILLER_182_55 +*11338 FILLER_182_575 +*11339 FILLER_182_587 +*11340 FILLER_182_589 +*11341 FILLER_182_595 +*11342 FILLER_182_60 +*11343 FILLER_182_603 +*11344 FILLER_182_72 +*11345 FILLER_182_85 +*11346 FILLER_182_97 +*11347 FILLER_183_106 +*11348 FILLER_183_120 +*11349 FILLER_183_13 +*11350 FILLER_183_132 +*11351 FILLER_183_144 +*11352 FILLER_183_156 +*11353 FILLER_183_169 +*11354 FILLER_183_17 +*11355 FILLER_183_175 +*11356 FILLER_183_197 +*11357 FILLER_183_209 +*11358 FILLER_183_220 +*11359 FILLER_183_225 +*11360 FILLER_183_237 +*11361 FILLER_183_245 +*11362 FILLER_183_268 +*11363 FILLER_183_277 +*11364 FILLER_183_3 +*11365 FILLER_183_34 +*11366 FILLER_183_344 +*11367 FILLER_183_377 +*11368 FILLER_183_389 +*11369 FILLER_183_393 +*11370 FILLER_183_405 +*11371 FILLER_183_409 +*11372 FILLER_183_489 +*11373 FILLER_183_497 +*11374 FILLER_183_503 +*11375 FILLER_183_505 +*11376 FILLER_183_509 +*11377 FILLER_183_519 +*11378 FILLER_183_527 +*11379 FILLER_183_54 +*11380 FILLER_183_549 +*11381 FILLER_183_561 +*11382 FILLER_183_573 +*11383 FILLER_183_585 +*11384 FILLER_183_597 +*11385 FILLER_183_609 +*11386 FILLER_183_615 +*11387 FILLER_183_623 +*11388 FILLER_183_67 +*11389 FILLER_183_79 +*11390 FILLER_183_91 +*11391 FILLER_183_99 +*11392 FILLER_184_11 +*11393 FILLER_184_111 +*11394 FILLER_184_138 +*11395 FILLER_184_14 +*11396 FILLER_184_141 +*11397 FILLER_184_153 +*11398 FILLER_184_157 +*11399 FILLER_184_179 +*11400 FILLER_184_191 +*11401 FILLER_184_195 +*11402 FILLER_184_197 +*11403 FILLER_184_209 +*11404 FILLER_184_215 +*11405 FILLER_184_226 +*11406 FILLER_184_234 +*11407 FILLER_184_242 +*11408 FILLER_184_246 +*11409 FILLER_184_26 +*11410 FILLER_184_281 +*11411 FILLER_184_29 +*11412 FILLER_184_303 +*11413 FILLER_184_307 +*11414 FILLER_184_316 +*11415 FILLER_184_328 +*11416 FILLER_184_340 +*11417 FILLER_184_35 +*11418 FILLER_184_352 +*11419 FILLER_184_386 +*11420 FILLER_184_398 +*11421 FILLER_184_416 +*11422 FILLER_184_421 +*11423 FILLER_184_447 +*11424 FILLER_184_472 +*11425 FILLER_184_50 +*11426 FILLER_184_517 +*11427 FILLER_184_529 +*11428 FILLER_184_540 +*11429 FILLER_184_552 +*11430 FILLER_184_564 +*11431 FILLER_184_576 +*11432 FILLER_184_589 +*11433 FILLER_184_601 +*11434 FILLER_184_61 +*11435 FILLER_184_613 +*11436 FILLER_184_7 +*11437 FILLER_184_73 +*11438 FILLER_184_83 +*11439 FILLER_184_85 +*11440 FILLER_184_93 +*11441 FILLER_184_99 +*11442 FILLER_185_108 +*11443 FILLER_185_113 +*11444 FILLER_185_121 +*11445 FILLER_185_143 +*11446 FILLER_185_169 +*11447 FILLER_185_191 +*11448 FILLER_185_197 +*11449 FILLER_185_21 +*11450 FILLER_185_222 +*11451 FILLER_185_257 +*11452 FILLER_185_269 +*11453 FILLER_185_277 +*11454 FILLER_185_281 +*11455 FILLER_185_293 +*11456 FILLER_185_320 +*11457 FILLER_185_33 +*11458 FILLER_185_332 +*11459 FILLER_185_337 +*11460 FILLER_185_345 +*11461 FILLER_185_375 +*11462 FILLER_185_379 +*11463 FILLER_185_390 +*11464 FILLER_185_393 +*11465 FILLER_185_397 +*11466 FILLER_185_428 +*11467 FILLER_185_439 +*11468 FILLER_185_447 +*11469 FILLER_185_449 +*11470 FILLER_185_45 +*11471 FILLER_185_485 +*11472 FILLER_185_497 +*11473 FILLER_185_503 +*11474 FILLER_185_505 +*11475 FILLER_185_51 +*11476 FILLER_185_528 +*11477 FILLER_185_540 +*11478 FILLER_185_55 +*11479 FILLER_185_552 +*11480 FILLER_185_561 +*11481 FILLER_185_57 +*11482 FILLER_185_573 +*11483 FILLER_185_604 +*11484 FILLER_185_617 +*11485 FILLER_185_65 +*11486 FILLER_185_88 +*11487 FILLER_186_109 +*11488 FILLER_186_11 +*11489 FILLER_186_121 +*11490 FILLER_186_129 +*11491 FILLER_186_133 +*11492 FILLER_186_139 +*11493 FILLER_186_150 +*11494 FILLER_186_161 +*11495 FILLER_186_173 +*11496 FILLER_186_186 +*11497 FILLER_186_195 +*11498 FILLER_186_217 +*11499 FILLER_186_226 +*11500 FILLER_186_23 +*11501 FILLER_186_238 +*11502 FILLER_186_250 +*11503 FILLER_186_253 +*11504 FILLER_186_265 +*11505 FILLER_186_27 +*11506 FILLER_186_277 +*11507 FILLER_186_289 +*11508 FILLER_186_29 +*11509 FILLER_186_3 +*11510 FILLER_186_301 +*11511 FILLER_186_307 +*11512 FILLER_186_309 +*11513 FILLER_186_321 +*11514 FILLER_186_35 +*11515 FILLER_186_360 +*11516 FILLER_186_365 +*11517 FILLER_186_377 +*11518 FILLER_186_39 +*11519 FILLER_186_401 +*11520 FILLER_186_413 +*11521 FILLER_186_419 +*11522 FILLER_186_441 +*11523 FILLER_186_453 +*11524 FILLER_186_46 +*11525 FILLER_186_465 +*11526 FILLER_186_473 +*11527 FILLER_186_477 +*11528 FILLER_186_489 +*11529 FILLER_186_501 +*11530 FILLER_186_509 +*11531 FILLER_186_517 +*11532 FILLER_186_529 +*11533 FILLER_186_533 +*11534 FILLER_186_545 +*11535 FILLER_186_58 +*11536 FILLER_186_585 +*11537 FILLER_186_589 +*11538 FILLER_186_595 +*11539 FILLER_186_605 +*11540 FILLER_186_617 +*11541 FILLER_186_70 +*11542 FILLER_186_83 +*11543 FILLER_186_85 +*11544 FILLER_186_97 +*11545 FILLER_187_101 +*11546 FILLER_187_109 +*11547 FILLER_187_113 +*11548 FILLER_187_125 +*11549 FILLER_187_137 +*11550 FILLER_187_145 +*11551 FILLER_187_169 +*11552 FILLER_187_181 +*11553 FILLER_187_189 +*11554 FILLER_187_19 +*11555 FILLER_187_201 +*11556 FILLER_187_213 +*11557 FILLER_187_221 +*11558 FILLER_187_225 +*11559 FILLER_187_237 +*11560 FILLER_187_249 +*11561 FILLER_187_253 +*11562 FILLER_187_265 +*11563 FILLER_187_277 +*11564 FILLER_187_295 +*11565 FILLER_187_301 +*11566 FILLER_187_307 +*11567 FILLER_187_31 +*11568 FILLER_187_319 +*11569 FILLER_187_327 +*11570 FILLER_187_335 +*11571 FILLER_187_337 +*11572 FILLER_187_345 +*11573 FILLER_187_353 +*11574 FILLER_187_365 +*11575 FILLER_187_377 +*11576 FILLER_187_389 +*11577 FILLER_187_39 +*11578 FILLER_187_393 +*11579 FILLER_187_405 +*11580 FILLER_187_417 +*11581 FILLER_187_429 +*11582 FILLER_187_441 +*11583 FILLER_187_447 +*11584 FILLER_187_449 +*11585 FILLER_187_461 +*11586 FILLER_187_473 +*11587 FILLER_187_485 +*11588 FILLER_187_497 +*11589 FILLER_187_503 +*11590 FILLER_187_505 +*11591 FILLER_187_51 +*11592 FILLER_187_517 +*11593 FILLER_187_540 +*11594 FILLER_187_55 +*11595 FILLER_187_552 +*11596 FILLER_187_568 +*11597 FILLER_187_57 +*11598 FILLER_187_589 +*11599 FILLER_187_602 +*11600 FILLER_187_614 +*11601 FILLER_187_617 +*11602 FILLER_187_63 +*11603 FILLER_187_75 +*11604 FILLER_187_83 +*11605 FILLER_187_94 +*11606 FILLER_188_104 +*11607 FILLER_188_125 +*11608 FILLER_188_137 +*11609 FILLER_188_14 +*11610 FILLER_188_141 +*11611 FILLER_188_153 +*11612 FILLER_188_161 +*11613 FILLER_188_172 +*11614 FILLER_188_184 +*11615 FILLER_188_197 +*11616 FILLER_188_209 +*11617 FILLER_188_221 +*11618 FILLER_188_233 +*11619 FILLER_188_245 +*11620 FILLER_188_251 +*11621 FILLER_188_253 +*11622 FILLER_188_261 +*11623 FILLER_188_27 +*11624 FILLER_188_273 +*11625 FILLER_188_277 +*11626 FILLER_188_299 +*11627 FILLER_188_3 +*11628 FILLER_188_309 +*11629 FILLER_188_321 +*11630 FILLER_188_33 +*11631 FILLER_188_333 +*11632 FILLER_188_345 +*11633 FILLER_188_357 +*11634 FILLER_188_363 +*11635 FILLER_188_365 +*11636 FILLER_188_377 +*11637 FILLER_188_381 +*11638 FILLER_188_393 +*11639 FILLER_188_405 +*11640 FILLER_188_417 +*11641 FILLER_188_421 +*11642 FILLER_188_433 +*11643 FILLER_188_445 +*11644 FILLER_188_45 +*11645 FILLER_188_457 +*11646 FILLER_188_469 +*11647 FILLER_188_475 +*11648 FILLER_188_477 +*11649 FILLER_188_489 +*11650 FILLER_188_514 +*11651 FILLER_188_526 +*11652 FILLER_188_53 +*11653 FILLER_188_553 +*11654 FILLER_188_565 +*11655 FILLER_188_577 +*11656 FILLER_188_585 +*11657 FILLER_188_589 +*11658 FILLER_188_60 +*11659 FILLER_188_601 +*11660 FILLER_188_613 +*11661 FILLER_188_67 +*11662 FILLER_188_79 +*11663 FILLER_188_83 +*11664 FILLER_188_98 +*11665 FILLER_189_105 +*11666 FILLER_189_111 +*11667 FILLER_189_113 +*11668 FILLER_189_121 +*11669 FILLER_189_133 +*11670 FILLER_189_145 +*11671 FILLER_189_157 +*11672 FILLER_189_165 +*11673 FILLER_189_169 +*11674 FILLER_189_181 +*11675 FILLER_189_193 +*11676 FILLER_189_205 +*11677 FILLER_189_217 +*11678 FILLER_189_223 +*11679 FILLER_189_225 +*11680 FILLER_189_237 +*11681 FILLER_189_249 +*11682 FILLER_189_253 +*11683 FILLER_189_275 +*11684 FILLER_189_279 +*11685 FILLER_189_281 +*11686 FILLER_189_293 +*11687 FILLER_189_320 +*11688 FILLER_189_33 +*11689 FILLER_189_332 +*11690 FILLER_189_337 +*11691 FILLER_189_349 +*11692 FILLER_189_361 +*11693 FILLER_189_369 +*11694 FILLER_189_393 +*11695 FILLER_189_409 +*11696 FILLER_189_421 +*11697 FILLER_189_429 +*11698 FILLER_189_433 +*11699 FILLER_189_437 +*11700 FILLER_189_445 +*11701 FILLER_189_449 +*11702 FILLER_189_45 +*11703 FILLER_189_456 +*11704 FILLER_189_502 +*11705 FILLER_189_512 +*11706 FILLER_189_524 +*11707 FILLER_189_53 +*11708 FILLER_189_532 +*11709 FILLER_189_540 +*11710 FILLER_189_551 +*11711 FILLER_189_559 +*11712 FILLER_189_561 +*11713 FILLER_189_57 +*11714 FILLER_189_573 +*11715 FILLER_189_585 +*11716 FILLER_189_611 +*11717 FILLER_189_617 +*11718 FILLER_189_69 +*11719 FILLER_189_7 +*11720 FILLER_189_81 +*11721 FILLER_189_93 +*11722 FILLER_18_108 +*11723 FILLER_18_11 +*11724 FILLER_18_137 +*11725 FILLER_18_141 +*11726 FILLER_18_153 +*11727 FILLER_18_165 +*11728 FILLER_18_17 +*11729 FILLER_18_177 +*11730 FILLER_18_189 +*11731 FILLER_18_195 +*11732 FILLER_18_197 +*11733 FILLER_18_209 +*11734 FILLER_18_221 +*11735 FILLER_18_229 +*11736 FILLER_18_238 +*11737 FILLER_18_25 +*11738 FILLER_18_250 +*11739 FILLER_18_253 +*11740 FILLER_18_265 +*11741 FILLER_18_277 +*11742 FILLER_18_289 +*11743 FILLER_18_29 +*11744 FILLER_18_297 +*11745 FILLER_18_3 +*11746 FILLER_18_305 +*11747 FILLER_18_312 +*11748 FILLER_18_316 +*11749 FILLER_18_324 +*11750 FILLER_18_329 +*11751 FILLER_18_339 +*11752 FILLER_18_351 +*11753 FILLER_18_363 +*11754 FILLER_18_365 +*11755 FILLER_18_374 +*11756 FILLER_18_386 +*11757 FILLER_18_398 +*11758 FILLER_18_41 +*11759 FILLER_18_410 +*11760 FILLER_18_418 +*11761 FILLER_18_421 +*11762 FILLER_18_433 +*11763 FILLER_18_440 +*11764 FILLER_18_452 +*11765 FILLER_18_47 +*11766 FILLER_18_475 +*11767 FILLER_18_477 +*11768 FILLER_18_481 +*11769 FILLER_18_493 +*11770 FILLER_18_501 +*11771 FILLER_18_506 +*11772 FILLER_18_517 +*11773 FILLER_18_529 +*11774 FILLER_18_53 +*11775 FILLER_18_533 +*11776 FILLER_18_545 +*11777 FILLER_18_569 +*11778 FILLER_18_581 +*11779 FILLER_18_587 +*11780 FILLER_18_589 +*11781 FILLER_18_601 +*11782 FILLER_18_61 +*11783 FILLER_18_613 +*11784 FILLER_18_69 +*11785 FILLER_18_81 +*11786 FILLER_18_85 +*11787 FILLER_18_96 +*11788 FILLER_190_105 +*11789 FILLER_190_136 +*11790 FILLER_190_161 +*11791 FILLER_190_173 +*11792 FILLER_190_184 +*11793 FILLER_190_194 +*11794 FILLER_190_197 +*11795 FILLER_190_204 +*11796 FILLER_190_218 +*11797 FILLER_190_22 +*11798 FILLER_190_234 +*11799 FILLER_190_251 +*11800 FILLER_190_258 +*11801 FILLER_190_280 +*11802 FILLER_190_29 +*11803 FILLER_190_298 +*11804 FILLER_190_306 +*11805 FILLER_190_316 +*11806 FILLER_190_336 +*11807 FILLER_190_358 +*11808 FILLER_190_365 +*11809 FILLER_190_371 +*11810 FILLER_190_41 +*11811 FILLER_190_465 +*11812 FILLER_190_473 +*11813 FILLER_190_48 +*11814 FILLER_190_480 +*11815 FILLER_190_522 +*11816 FILLER_190_542 +*11817 FILLER_190_568 +*11818 FILLER_190_574 +*11819 FILLER_190_578 +*11820 FILLER_190_584 +*11821 FILLER_190_589 +*11822 FILLER_190_60 +*11823 FILLER_190_601 +*11824 FILLER_190_66 +*11825 FILLER_190_72 +*11826 FILLER_190_85 +*11827 FILLER_190_94 +*11828 FILLER_191_111 +*11829 FILLER_191_113 +*11830 FILLER_191_121 +*11831 FILLER_191_132 +*11832 FILLER_191_145 +*11833 FILLER_191_151 +*11834 FILLER_191_161 +*11835 FILLER_191_167 +*11836 FILLER_191_187 +*11837 FILLER_191_191 +*11838 FILLER_191_21 +*11839 FILLER_191_222 +*11840 FILLER_191_256 +*11841 FILLER_191_279 +*11842 FILLER_191_281 +*11843 FILLER_191_3 +*11844 FILLER_191_389 +*11845 FILLER_191_393 +*11846 FILLER_191_397 +*11847 FILLER_191_404 +*11848 FILLER_191_41 +*11849 FILLER_191_411 +*11850 FILLER_191_442 +*11851 FILLER_191_449 +*11852 FILLER_191_497 +*11853 FILLER_191_505 +*11854 FILLER_191_527 +*11855 FILLER_191_543 +*11856 FILLER_191_547 +*11857 FILLER_191_55 +*11858 FILLER_191_556 +*11859 FILLER_191_564 +*11860 FILLER_191_570 +*11861 FILLER_191_600 +*11862 FILLER_191_608 +*11863 FILLER_191_66 +*11864 FILLER_191_73 +*11865 FILLER_191_90 +*11866 FILLER_192_109 +*11867 FILLER_192_121 +*11868 FILLER_192_133 +*11869 FILLER_192_139 +*11870 FILLER_192_141 +*11871 FILLER_192_153 +*11872 FILLER_192_166 +*11873 FILLER_192_178 +*11874 FILLER_192_182 +*11875 FILLER_192_192 +*11876 FILLER_192_197 +*11877 FILLER_192_209 +*11878 FILLER_192_220 +*11879 FILLER_192_236 +*11880 FILLER_192_244 +*11881 FILLER_192_251 +*11882 FILLER_192_253 +*11883 FILLER_192_265 +*11884 FILLER_192_27 +*11885 FILLER_192_277 +*11886 FILLER_192_289 +*11887 FILLER_192_301 +*11888 FILLER_192_307 +*11889 FILLER_192_309 +*11890 FILLER_192_321 +*11891 FILLER_192_329 +*11892 FILLER_192_354 +*11893 FILLER_192_358 +*11894 FILLER_192_365 +*11895 FILLER_192_369 +*11896 FILLER_192_373 +*11897 FILLER_192_385 +*11898 FILLER_192_397 +*11899 FILLER_192_409 +*11900 FILLER_192_417 +*11901 FILLER_192_421 +*11902 FILLER_192_44 +*11903 FILLER_192_448 +*11904 FILLER_192_452 +*11905 FILLER_192_463 +*11906 FILLER_192_472 +*11907 FILLER_192_477 +*11908 FILLER_192_482 +*11909 FILLER_192_494 +*11910 FILLER_192_501 +*11911 FILLER_192_510 +*11912 FILLER_192_522 +*11913 FILLER_192_530 +*11914 FILLER_192_533 +*11915 FILLER_192_545 +*11916 FILLER_192_557 +*11917 FILLER_192_56 +*11918 FILLER_192_569 +*11919 FILLER_192_581 +*11920 FILLER_192_587 +*11921 FILLER_192_589 +*11922 FILLER_192_601 +*11923 FILLER_192_607 +*11924 FILLER_192_612 +*11925 FILLER_192_68 +*11926 FILLER_192_80 +*11927 FILLER_192_85 +*11928 FILLER_192_97 +*11929 FILLER_193_101 +*11930 FILLER_193_105 +*11931 FILLER_193_11 +*11932 FILLER_193_110 +*11933 FILLER_193_116 +*11934 FILLER_193_120 +*11935 FILLER_193_125 +*11936 FILLER_193_130 +*11937 FILLER_193_135 +*11938 FILLER_193_141 +*11939 FILLER_193_145 +*11940 FILLER_193_15 +*11941 FILLER_193_150 +*11942 FILLER_193_155 +*11943 FILLER_193_161 +*11944 FILLER_193_166 +*11945 FILLER_193_172 +*11946 FILLER_193_176 +*11947 FILLER_193_181 +*11948 FILLER_193_186 +*11949 FILLER_193_191 +*11950 FILLER_193_197 +*11951 FILLER_193_201 +*11952 FILLER_193_206 +*11953 FILLER_193_211 +*11954 FILLER_193_216 +*11955 FILLER_193_221 +*11956 FILLER_193_231 +*11957 FILLER_193_236 +*11958 FILLER_193_242 +*11959 FILLER_193_247 +*11960 FILLER_193_253 +*11961 FILLER_193_257 +*11962 FILLER_193_262 +*11963 FILLER_193_267 +*11964 FILLER_193_272 +*11965 FILLER_193_277 +*11966 FILLER_193_287 +*11967 FILLER_193_292 +*11968 FILLER_193_297 +*11969 FILLER_193_3 +*11970 FILLER_193_302 +*11971 FILLER_193_307 +*11972 FILLER_193_312 +*11973 FILLER_193_317 +*11974 FILLER_193_323 +*11975 FILLER_193_335 +*11976 FILLER_193_337 +*11977 FILLER_193_368 +*11978 FILLER_193_374 +*11979 FILLER_193_379 +*11980 FILLER_193_391 +*11981 FILLER_193_397 +*11982 FILLER_193_411 +*11983 FILLER_193_415 +*11984 FILLER_193_425 +*11985 FILLER_193_434 +*11986 FILLER_193_440 +*11987 FILLER_193_45 +*11988 FILLER_193_459 +*11989 FILLER_193_464 +*11990 FILLER_193_470 +*11991 FILLER_193_480 +*11992 FILLER_193_486 +*11993 FILLER_193_49 +*11994 FILLER_193_502 +*11995 FILLER_193_505 +*11996 FILLER_193_521 +*11997 FILLER_193_525 +*11998 FILLER_193_531 +*11999 FILLER_193_533 +*12000 FILLER_193_54 +*12001 FILLER_193_540 +*12002 FILLER_193_546 +*12003 FILLER_193_558 +*12004 FILLER_193_565 +*12005 FILLER_193_578 +*12006 FILLER_193_60 +*12007 FILLER_193_601 +*12008 FILLER_193_607 +*12009 FILLER_193_623 +*12010 FILLER_193_64 +*12011 FILLER_193_69 +*12012 FILLER_193_74 +*12013 FILLER_193_80 +*12014 FILLER_193_91 +*12015 FILLER_193_96 +*12016 FILLER_19_105 +*12017 FILLER_19_111 +*12018 FILLER_19_113 +*12019 FILLER_19_125 +*12020 FILLER_19_133 +*12021 FILLER_19_140 +*12022 FILLER_19_152 +*12023 FILLER_19_166 +*12024 FILLER_19_178 +*12025 FILLER_19_18 +*12026 FILLER_19_186 +*12027 FILLER_19_194 +*12028 FILLER_19_206 +*12029 FILLER_19_218 +*12030 FILLER_19_246 +*12031 FILLER_19_258 +*12032 FILLER_19_277 +*12033 FILLER_19_281 +*12034 FILLER_19_293 +*12035 FILLER_19_30 +*12036 FILLER_19_305 +*12037 FILLER_19_317 +*12038 FILLER_19_329 +*12039 FILLER_19_335 +*12040 FILLER_19_337 +*12041 FILLER_19_349 +*12042 FILLER_19_361 +*12043 FILLER_19_373 +*12044 FILLER_19_385 +*12045 FILLER_19_391 +*12046 FILLER_19_400 +*12047 FILLER_19_412 +*12048 FILLER_19_42 +*12049 FILLER_19_423 +*12050 FILLER_19_435 +*12051 FILLER_19_447 +*12052 FILLER_19_449 +*12053 FILLER_19_462 +*12054 FILLER_19_474 +*12055 FILLER_19_486 +*12056 FILLER_19_490 +*12057 FILLER_19_502 +*12058 FILLER_19_505 +*12059 FILLER_19_517 +*12060 FILLER_19_529 +*12061 FILLER_19_54 +*12062 FILLER_19_541 +*12063 FILLER_19_556 +*12064 FILLER_19_561 +*12065 FILLER_19_565 +*12066 FILLER_19_57 +*12067 FILLER_19_586 +*12068 FILLER_19_597 +*12069 FILLER_19_6 +*12070 FILLER_19_609 +*12071 FILLER_19_615 +*12072 FILLER_19_617 +*12073 FILLER_19_69 +*12074 FILLER_19_81 +*12075 FILLER_19_93 +*12076 FILLER_1_107 +*12077 FILLER_1_111 +*12078 FILLER_1_113 +*12079 FILLER_1_125 +*12080 FILLER_1_137 +*12081 FILLER_1_14 +*12082 FILLER_1_149 +*12083 FILLER_1_161 +*12084 FILLER_1_167 +*12085 FILLER_1_169 +*12086 FILLER_1_181 +*12087 FILLER_1_205 +*12088 FILLER_1_217 +*12089 FILLER_1_223 +*12090 FILLER_1_225 +*12091 FILLER_1_237 +*12092 FILLER_1_26 +*12093 FILLER_1_269 +*12094 FILLER_1_277 +*12095 FILLER_1_281 +*12096 FILLER_1_287 +*12097 FILLER_1_309 +*12098 FILLER_1_321 +*12099 FILLER_1_333 +*12100 FILLER_1_337 +*12101 FILLER_1_349 +*12102 FILLER_1_361 +*12103 FILLER_1_367 +*12104 FILLER_1_371 +*12105 FILLER_1_38 +*12106 FILLER_1_383 +*12107 FILLER_1_391 +*12108 FILLER_1_400 +*12109 FILLER_1_408 +*12110 FILLER_1_432 +*12111 FILLER_1_444 +*12112 FILLER_1_449 +*12113 FILLER_1_461 +*12114 FILLER_1_473 +*12115 FILLER_1_485 +*12116 FILLER_1_493 +*12117 FILLER_1_499 +*12118 FILLER_1_50 +*12119 FILLER_1_503 +*12120 FILLER_1_505 +*12121 FILLER_1_517 +*12122 FILLER_1_529 +*12123 FILLER_1_537 +*12124 FILLER_1_541 +*12125 FILLER_1_553 +*12126 FILLER_1_559 +*12127 FILLER_1_561 +*12128 FILLER_1_57 +*12129 FILLER_1_571 +*12130 FILLER_1_583 +*12131 FILLER_1_591 +*12132 FILLER_1_595 +*12133 FILLER_1_607 +*12134 FILLER_1_69 +*12135 FILLER_1_81 +*12136 FILLER_1_89 +*12137 FILLER_1_95 +*12138 FILLER_20_10 +*12139 FILLER_20_109 +*12140 FILLER_20_117 +*12141 FILLER_20_125 +*12142 FILLER_20_137 +*12143 FILLER_20_148 +*12144 FILLER_20_152 +*12145 FILLER_20_194 +*12146 FILLER_20_197 +*12147 FILLER_20_22 +*12148 FILLER_20_221 +*12149 FILLER_20_233 +*12150 FILLER_20_248 +*12151 FILLER_20_257 +*12152 FILLER_20_281 +*12153 FILLER_20_29 +*12154 FILLER_20_291 +*12155 FILLER_20_3 +*12156 FILLER_20_303 +*12157 FILLER_20_307 +*12158 FILLER_20_309 +*12159 FILLER_20_321 +*12160 FILLER_20_333 +*12161 FILLER_20_359 +*12162 FILLER_20_363 +*12163 FILLER_20_365 +*12164 FILLER_20_377 +*12165 FILLER_20_388 +*12166 FILLER_20_41 +*12167 FILLER_20_411 +*12168 FILLER_20_418 +*12169 FILLER_20_421 +*12170 FILLER_20_433 +*12171 FILLER_20_439 +*12172 FILLER_20_457 +*12173 FILLER_20_469 +*12174 FILLER_20_475 +*12175 FILLER_20_477 +*12176 FILLER_20_489 +*12177 FILLER_20_501 +*12178 FILLER_20_513 +*12179 FILLER_20_521 +*12180 FILLER_20_53 +*12181 FILLER_20_540 +*12182 FILLER_20_552 +*12183 FILLER_20_564 +*12184 FILLER_20_572 +*12185 FILLER_20_589 +*12186 FILLER_20_595 +*12187 FILLER_20_599 +*12188 FILLER_20_621 +*12189 FILLER_20_65 +*12190 FILLER_20_77 +*12191 FILLER_20_83 +*12192 FILLER_20_85 +*12193 FILLER_20_97 +*12194 FILLER_21_102 +*12195 FILLER_21_11 +*12196 FILLER_21_110 +*12197 FILLER_21_113 +*12198 FILLER_21_156 +*12199 FILLER_21_169 +*12200 FILLER_21_181 +*12201 FILLER_21_193 +*12202 FILLER_21_208 +*12203 FILLER_21_220 +*12204 FILLER_21_225 +*12205 FILLER_21_237 +*12206 FILLER_21_26 +*12207 FILLER_21_266 +*12208 FILLER_21_278 +*12209 FILLER_21_281 +*12210 FILLER_21_3 +*12211 FILLER_21_314 +*12212 FILLER_21_337 +*12213 FILLER_21_356 +*12214 FILLER_21_368 +*12215 FILLER_21_38 +*12216 FILLER_21_393 +*12217 FILLER_21_401 +*12218 FILLER_21_409 +*12219 FILLER_21_421 +*12220 FILLER_21_429 +*12221 FILLER_21_441 +*12222 FILLER_21_447 +*12223 FILLER_21_449 +*12224 FILLER_21_461 +*12225 FILLER_21_484 +*12226 FILLER_21_496 +*12227 FILLER_21_50 +*12228 FILLER_21_505 +*12229 FILLER_21_517 +*12230 FILLER_21_521 +*12231 FILLER_21_551 +*12232 FILLER_21_559 +*12233 FILLER_21_561 +*12234 FILLER_21_573 +*12235 FILLER_21_585 +*12236 FILLER_21_593 +*12237 FILLER_21_60 +*12238 FILLER_21_610 +*12239 FILLER_21_617 +*12240 FILLER_21_66 +*12241 FILLER_21_87 +*12242 FILLER_21_96 +*12243 FILLER_22_108 +*12244 FILLER_22_139 +*12245 FILLER_22_141 +*12246 FILLER_22_15 +*12247 FILLER_22_153 +*12248 FILLER_22_165 +*12249 FILLER_22_177 +*12250 FILLER_22_185 +*12251 FILLER_22_193 +*12252 FILLER_22_197 +*12253 FILLER_22_203 +*12254 FILLER_22_211 +*12255 FILLER_22_234 +*12256 FILLER_22_242 +*12257 FILLER_22_253 +*12258 FILLER_22_259 +*12259 FILLER_22_278 +*12260 FILLER_22_290 +*12261 FILLER_22_298 +*12262 FILLER_22_3 +*12263 FILLER_22_313 +*12264 FILLER_22_324 +*12265 FILLER_22_332 +*12266 FILLER_22_351 +*12267 FILLER_22_368 +*12268 FILLER_22_38 +*12269 FILLER_22_380 +*12270 FILLER_22_387 +*12271 FILLER_22_399 +*12272 FILLER_22_408 +*12273 FILLER_22_421 +*12274 FILLER_22_427 +*12275 FILLER_22_439 +*12276 FILLER_22_447 +*12277 FILLER_22_45 +*12278 FILLER_22_469 +*12279 FILLER_22_475 +*12280 FILLER_22_486 +*12281 FILLER_22_501 +*12282 FILLER_22_512 +*12283 FILLER_22_524 +*12284 FILLER_22_53 +*12285 FILLER_22_533 +*12286 FILLER_22_545 +*12287 FILLER_22_555 +*12288 FILLER_22_567 +*12289 FILLER_22_579 +*12290 FILLER_22_587 +*12291 FILLER_22_589 +*12292 FILLER_22_601 +*12293 FILLER_23_111 +*12294 FILLER_23_113 +*12295 FILLER_23_125 +*12296 FILLER_23_13 +*12297 FILLER_23_137 +*12298 FILLER_23_149 +*12299 FILLER_23_161 +*12300 FILLER_23_167 +*12301 FILLER_23_193 +*12302 FILLER_23_201 +*12303 FILLER_23_207 +*12304 FILLER_23_219 +*12305 FILLER_23_223 +*12306 FILLER_23_225 +*12307 FILLER_23_237 +*12308 FILLER_23_249 +*12309 FILLER_23_25 +*12310 FILLER_23_257 +*12311 FILLER_23_281 +*12312 FILLER_23_287 +*12313 FILLER_23_297 +*12314 FILLER_23_309 +*12315 FILLER_23_319 +*12316 FILLER_23_327 +*12317 FILLER_23_33 +*12318 FILLER_23_333 +*12319 FILLER_23_337 +*12320 FILLER_23_349 +*12321 FILLER_23_375 +*12322 FILLER_23_387 +*12323 FILLER_23_391 +*12324 FILLER_23_393 +*12325 FILLER_23_446 +*12326 FILLER_23_449 +*12327 FILLER_23_455 +*12328 FILLER_23_463 +*12329 FILLER_23_475 +*12330 FILLER_23_483 +*12331 FILLER_23_525 +*12332 FILLER_23_537 +*12333 FILLER_23_54 +*12334 FILLER_23_570 +*12335 FILLER_23_595 +*12336 FILLER_23_6 +*12337 FILLER_23_607 +*12338 FILLER_23_615 +*12339 FILLER_23_617 +*12340 FILLER_23_64 +*12341 FILLER_23_76 +*12342 FILLER_23_83 +*12343 FILLER_24_117 +*12344 FILLER_24_129 +*12345 FILLER_24_137 +*12346 FILLER_24_141 +*12347 FILLER_24_149 +*12348 FILLER_24_182 +*12349 FILLER_24_194 +*12350 FILLER_24_200 +*12351 FILLER_24_207 +*12352 FILLER_24_219 +*12353 FILLER_24_231 +*12354 FILLER_24_237 +*12355 FILLER_24_245 +*12356 FILLER_24_251 +*12357 FILLER_24_253 +*12358 FILLER_24_26 +*12359 FILLER_24_265 +*12360 FILLER_24_277 +*12361 FILLER_24_285 +*12362 FILLER_24_29 +*12363 FILLER_24_290 +*12364 FILLER_24_302 +*12365 FILLER_24_330 +*12366 FILLER_24_342 +*12367 FILLER_24_354 +*12368 FILLER_24_362 +*12369 FILLER_24_365 +*12370 FILLER_24_377 +*12371 FILLER_24_389 +*12372 FILLER_24_401 +*12373 FILLER_24_413 +*12374 FILLER_24_419 +*12375 FILLER_24_428 +*12376 FILLER_24_440 +*12377 FILLER_24_454 +*12378 FILLER_24_471 +*12379 FILLER_24_475 +*12380 FILLER_24_477 +*12381 FILLER_24_486 +*12382 FILLER_24_497 +*12383 FILLER_24_505 +*12384 FILLER_24_51 +*12385 FILLER_24_513 +*12386 FILLER_24_525 +*12387 FILLER_24_531 +*12388 FILLER_24_533 +*12389 FILLER_24_545 +*12390 FILLER_24_557 +*12391 FILLER_24_577 +*12392 FILLER_24_585 +*12393 FILLER_24_589 +*12394 FILLER_24_60 +*12395 FILLER_24_601 +*12396 FILLER_24_622 +*12397 FILLER_24_72 +*12398 FILLER_24_85 +*12399 FILLER_25_102 +*12400 FILLER_25_107 +*12401 FILLER_25_11 +*12402 FILLER_25_111 +*12403 FILLER_25_122 +*12404 FILLER_25_131 +*12405 FILLER_25_135 +*12406 FILLER_25_143 +*12407 FILLER_25_158 +*12408 FILLER_25_166 +*12409 FILLER_25_169 +*12410 FILLER_25_181 +*12411 FILLER_25_193 +*12412 FILLER_25_205 +*12413 FILLER_25_217 +*12414 FILLER_25_223 +*12415 FILLER_25_225 +*12416 FILLER_25_23 +*12417 FILLER_25_237 +*12418 FILLER_25_259 +*12419 FILLER_25_271 +*12420 FILLER_25_279 +*12421 FILLER_25_281 +*12422 FILLER_25_293 +*12423 FILLER_25_305 +*12424 FILLER_25_317 +*12425 FILLER_25_329 +*12426 FILLER_25_33 +*12427 FILLER_25_335 +*12428 FILLER_25_340 +*12429 FILLER_25_349 +*12430 FILLER_25_355 +*12431 FILLER_25_360 +*12432 FILLER_25_393 +*12433 FILLER_25_405 +*12434 FILLER_25_417 +*12435 FILLER_25_429 +*12436 FILLER_25_441 +*12437 FILLER_25_447 +*12438 FILLER_25_449 +*12439 FILLER_25_45 +*12440 FILLER_25_453 +*12441 FILLER_25_460 +*12442 FILLER_25_472 +*12443 FILLER_25_484 +*12444 FILLER_25_496 +*12445 FILLER_25_505 +*12446 FILLER_25_517 +*12447 FILLER_25_529 +*12448 FILLER_25_53 +*12449 FILLER_25_538 +*12450 FILLER_25_550 +*12451 FILLER_25_558 +*12452 FILLER_25_561 +*12453 FILLER_25_57 +*12454 FILLER_25_583 +*12455 FILLER_25_595 +*12456 FILLER_25_6 +*12457 FILLER_25_608 +*12458 FILLER_25_69 +*12459 FILLER_25_78 +*12460 FILLER_25_90 +*12461 FILLER_26_109 +*12462 FILLER_26_164 +*12463 FILLER_26_176 +*12464 FILLER_26_188 +*12465 FILLER_26_197 +*12466 FILLER_26_21 +*12467 FILLER_26_232 +*12468 FILLER_26_240 +*12469 FILLER_26_246 +*12470 FILLER_26_253 +*12471 FILLER_26_257 +*12472 FILLER_26_26 +*12473 FILLER_26_262 +*12474 FILLER_26_274 +*12475 FILLER_26_286 +*12476 FILLER_26_3 +*12477 FILLER_26_307 +*12478 FILLER_26_309 +*12479 FILLER_26_321 +*12480 FILLER_26_336 +*12481 FILLER_26_36 +*12482 FILLER_26_363 +*12483 FILLER_26_365 +*12484 FILLER_26_373 +*12485 FILLER_26_381 +*12486 FILLER_26_402 +*12487 FILLER_26_41 +*12488 FILLER_26_414 +*12489 FILLER_26_421 +*12490 FILLER_26_430 +*12491 FILLER_26_442 +*12492 FILLER_26_454 +*12493 FILLER_26_466 +*12494 FILLER_26_474 +*12495 FILLER_26_477 +*12496 FILLER_26_489 +*12497 FILLER_26_501 +*12498 FILLER_26_513 +*12499 FILLER_26_525 +*12500 FILLER_26_53 +*12501 FILLER_26_553 +*12502 FILLER_26_565 +*12503 FILLER_26_577 +*12504 FILLER_26_61 +*12505 FILLER_26_70 +*12506 FILLER_26_78 +*12507 FILLER_26_85 +*12508 FILLER_26_9 +*12509 FILLER_26_97 +*12510 FILLER_27_105 +*12511 FILLER_27_111 +*12512 FILLER_27_113 +*12513 FILLER_27_125 +*12514 FILLER_27_133 +*12515 FILLER_27_138 +*12516 FILLER_27_150 +*12517 FILLER_27_160 +*12518 FILLER_27_169 +*12519 FILLER_27_18 +*12520 FILLER_27_181 +*12521 FILLER_27_205 +*12522 FILLER_27_217 +*12523 FILLER_27_223 +*12524 FILLER_27_225 +*12525 FILLER_27_233 +*12526 FILLER_27_239 +*12527 FILLER_27_251 +*12528 FILLER_27_266 +*12529 FILLER_27_278 +*12530 FILLER_27_281 +*12531 FILLER_27_291 +*12532 FILLER_27_30 +*12533 FILLER_27_303 +*12534 FILLER_27_315 +*12535 FILLER_27_334 +*12536 FILLER_27_337 +*12537 FILLER_27_349 +*12538 FILLER_27_355 +*12539 FILLER_27_367 +*12540 FILLER_27_382 +*12541 FILLER_27_390 +*12542 FILLER_27_393 +*12543 FILLER_27_401 +*12544 FILLER_27_411 +*12545 FILLER_27_42 +*12546 FILLER_27_423 +*12547 FILLER_27_444 +*12548 FILLER_27_465 +*12549 FILLER_27_477 +*12550 FILLER_27_489 +*12551 FILLER_27_501 +*12552 FILLER_27_505 +*12553 FILLER_27_517 +*12554 FILLER_27_529 +*12555 FILLER_27_54 +*12556 FILLER_27_541 +*12557 FILLER_27_553 +*12558 FILLER_27_559 +*12559 FILLER_27_561 +*12560 FILLER_27_574 +*12561 FILLER_27_6 +*12562 FILLER_27_607 +*12563 FILLER_27_624 +*12564 FILLER_27_81 +*12565 FILLER_27_93 +*12566 FILLER_28_108 +*12567 FILLER_28_116 +*12568 FILLER_28_12 +*12569 FILLER_28_120 +*12570 FILLER_28_132 +*12571 FILLER_28_141 +*12572 FILLER_28_153 +*12573 FILLER_28_157 +*12574 FILLER_28_161 +*12575 FILLER_28_182 +*12576 FILLER_28_197 +*12577 FILLER_28_205 +*12578 FILLER_28_215 +*12579 FILLER_28_227 +*12580 FILLER_28_239 +*12581 FILLER_28_24 +*12582 FILLER_28_251 +*12583 FILLER_28_253 +*12584 FILLER_28_29 +*12585 FILLER_28_295 +*12586 FILLER_28_3 +*12587 FILLER_28_318 +*12588 FILLER_28_351 +*12589 FILLER_28_363 +*12590 FILLER_28_365 +*12591 FILLER_28_374 +*12592 FILLER_28_386 +*12593 FILLER_28_397 +*12594 FILLER_28_41 +*12595 FILLER_28_414 +*12596 FILLER_28_430 +*12597 FILLER_28_444 +*12598 FILLER_28_452 +*12599 FILLER_28_455 +*12600 FILLER_28_477 +*12601 FILLER_28_485 +*12602 FILLER_28_497 +*12603 FILLER_28_50 +*12604 FILLER_28_530 +*12605 FILLER_28_533 +*12606 FILLER_28_558 +*12607 FILLER_28_577 +*12608 FILLER_28_585 +*12609 FILLER_28_597 +*12610 FILLER_28_609 +*12611 FILLER_28_62 +*12612 FILLER_28_621 +*12613 FILLER_28_74 +*12614 FILLER_28_82 +*12615 FILLER_29_110 +*12616 FILLER_29_116 +*12617 FILLER_29_136 +*12618 FILLER_29_148 +*12619 FILLER_29_160 +*12620 FILLER_29_169 +*12621 FILLER_29_18 +*12622 FILLER_29_185 +*12623 FILLER_29_206 +*12624 FILLER_29_218 +*12625 FILLER_29_225 +*12626 FILLER_29_253 +*12627 FILLER_29_261 +*12628 FILLER_29_272 +*12629 FILLER_29_281 +*12630 FILLER_29_289 +*12631 FILLER_29_3 +*12632 FILLER_29_30 +*12633 FILLER_29_301 +*12634 FILLER_29_313 +*12635 FILLER_29_317 +*12636 FILLER_29_328 +*12637 FILLER_29_337 +*12638 FILLER_29_341 +*12639 FILLER_29_353 +*12640 FILLER_29_379 +*12641 FILLER_29_391 +*12642 FILLER_29_393 +*12643 FILLER_29_412 +*12644 FILLER_29_42 +*12645 FILLER_29_420 +*12646 FILLER_29_424 +*12647 FILLER_29_441 +*12648 FILLER_29_447 +*12649 FILLER_29_449 +*12650 FILLER_29_46 +*12651 FILLER_29_479 +*12652 FILLER_29_496 +*12653 FILLER_29_52 +*12654 FILLER_29_525 +*12655 FILLER_29_536 +*12656 FILLER_29_559 +*12657 FILLER_29_57 +*12658 FILLER_29_584 +*12659 FILLER_29_596 +*12660 FILLER_29_608 +*12661 FILLER_29_617 +*12662 FILLER_29_69 +*12663 FILLER_29_81 +*12664 FILLER_29_93 +*12665 FILLER_2_11 +*12666 FILLER_2_127 +*12667 FILLER_2_161 +*12668 FILLER_2_191 +*12669 FILLER_2_195 +*12670 FILLER_2_197 +*12671 FILLER_2_201 +*12672 FILLER_2_223 +*12673 FILLER_2_228 +*12674 FILLER_2_243 +*12675 FILLER_2_251 +*12676 FILLER_2_260 +*12677 FILLER_2_27 +*12678 FILLER_2_289 +*12679 FILLER_2_29 +*12680 FILLER_2_302 +*12681 FILLER_2_337 +*12682 FILLER_2_341 +*12683 FILLER_2_363 +*12684 FILLER_2_365 +*12685 FILLER_2_38 +*12686 FILLER_2_387 +*12687 FILLER_2_417 +*12688 FILLER_2_421 +*12689 FILLER_2_427 +*12690 FILLER_2_431 +*12691 FILLER_2_435 +*12692 FILLER_2_444 +*12693 FILLER_2_452 +*12694 FILLER_2_462 +*12695 FILLER_2_467 +*12696 FILLER_2_475 +*12697 FILLER_2_477 +*12698 FILLER_2_485 +*12699 FILLER_2_49 +*12700 FILLER_2_509 +*12701 FILLER_2_514 +*12702 FILLER_2_519 +*12703 FILLER_2_525 +*12704 FILLER_2_531 +*12705 FILLER_2_544 +*12706 FILLER_2_548 +*12707 FILLER_2_580 +*12708 FILLER_2_585 +*12709 FILLER_2_593 +*12710 FILLER_2_622 +*12711 FILLER_2_64 +*12712 FILLER_2_76 +*12713 FILLER_2_85 +*12714 FILLER_30_101 +*12715 FILLER_30_123 +*12716 FILLER_30_135 +*12717 FILLER_30_139 +*12718 FILLER_30_141 +*12719 FILLER_30_153 +*12720 FILLER_30_165 +*12721 FILLER_30_177 +*12722 FILLER_30_185 +*12723 FILLER_30_189 +*12724 FILLER_30_195 +*12725 FILLER_30_197 +*12726 FILLER_30_209 +*12727 FILLER_30_243 +*12728 FILLER_30_249 +*12729 FILLER_30_253 +*12730 FILLER_30_265 +*12731 FILLER_30_27 +*12732 FILLER_30_277 +*12733 FILLER_30_281 +*12734 FILLER_30_302 +*12735 FILLER_30_318 +*12736 FILLER_30_32 +*12737 FILLER_30_330 +*12738 FILLER_30_342 +*12739 FILLER_30_354 +*12740 FILLER_30_362 +*12741 FILLER_30_365 +*12742 FILLER_30_373 +*12743 FILLER_30_381 +*12744 FILLER_30_393 +*12745 FILLER_30_401 +*12746 FILLER_30_413 +*12747 FILLER_30_421 +*12748 FILLER_30_429 +*12749 FILLER_30_432 +*12750 FILLER_30_440 +*12751 FILLER_30_443 +*12752 FILLER_30_454 +*12753 FILLER_30_46 +*12754 FILLER_30_466 +*12755 FILLER_30_474 +*12756 FILLER_30_486 +*12757 FILLER_30_499 +*12758 FILLER_30_511 +*12759 FILLER_30_533 +*12760 FILLER_30_541 +*12761 FILLER_30_547 +*12762 FILLER_30_559 +*12763 FILLER_30_571 +*12764 FILLER_30_58 +*12765 FILLER_30_583 +*12766 FILLER_30_587 +*12767 FILLER_30_589 +*12768 FILLER_30_6 +*12769 FILLER_30_601 +*12770 FILLER_30_62 +*12771 FILLER_30_622 +*12772 FILLER_30_66 +*12773 FILLER_30_71 +*12774 FILLER_30_83 +*12775 FILLER_30_92 +*12776 FILLER_31_103 +*12777 FILLER_31_110 +*12778 FILLER_31_113 +*12779 FILLER_31_125 +*12780 FILLER_31_137 +*12781 FILLER_31_160 +*12782 FILLER_31_169 +*12783 FILLER_31_181 +*12784 FILLER_31_193 +*12785 FILLER_31_205 +*12786 FILLER_31_217 +*12787 FILLER_31_223 +*12788 FILLER_31_225 +*12789 FILLER_31_234 +*12790 FILLER_31_240 +*12791 FILLER_31_244 +*12792 FILLER_31_248 +*12793 FILLER_31_257 +*12794 FILLER_31_269 +*12795 FILLER_31_277 +*12796 FILLER_31_281 +*12797 FILLER_31_293 +*12798 FILLER_31_312 +*12799 FILLER_31_324 +*12800 FILLER_31_337 +*12801 FILLER_31_349 +*12802 FILLER_31_36 +*12803 FILLER_31_361 +*12804 FILLER_31_369 +*12805 FILLER_31_393 +*12806 FILLER_31_400 +*12807 FILLER_31_412 +*12808 FILLER_31_420 +*12809 FILLER_31_430 +*12810 FILLER_31_442 +*12811 FILLER_31_462 +*12812 FILLER_31_474 +*12813 FILLER_31_482 +*12814 FILLER_31_488 +*12815 FILLER_31_500 +*12816 FILLER_31_505 +*12817 FILLER_31_517 +*12818 FILLER_31_529 +*12819 FILLER_31_541 +*12820 FILLER_31_553 +*12821 FILLER_31_559 +*12822 FILLER_31_561 +*12823 FILLER_31_573 +*12824 FILLER_31_585 +*12825 FILLER_31_597 +*12826 FILLER_31_6 +*12827 FILLER_31_609 +*12828 FILLER_31_615 +*12829 FILLER_31_617 +*12830 FILLER_31_78 +*12831 FILLER_31_95 +*12832 FILLER_32_10 +*12833 FILLER_32_107 +*12834 FILLER_32_119 +*12835 FILLER_32_126 +*12836 FILLER_32_130 +*12837 FILLER_32_138 +*12838 FILLER_32_141 +*12839 FILLER_32_146 +*12840 FILLER_32_155 +*12841 FILLER_32_16 +*12842 FILLER_32_176 +*12843 FILLER_32_188 +*12844 FILLER_32_197 +*12845 FILLER_32_221 +*12846 FILLER_32_233 +*12847 FILLER_32_245 +*12848 FILLER_32_251 +*12849 FILLER_32_253 +*12850 FILLER_32_264 +*12851 FILLER_32_273 +*12852 FILLER_32_288 +*12853 FILLER_32_29 +*12854 FILLER_32_300 +*12855 FILLER_32_318 +*12856 FILLER_32_326 +*12857 FILLER_32_339 +*12858 FILLER_32_363 +*12859 FILLER_32_365 +*12860 FILLER_32_377 +*12861 FILLER_32_383 +*12862 FILLER_32_395 +*12863 FILLER_32_407 +*12864 FILLER_32_41 +*12865 FILLER_32_419 +*12866 FILLER_32_421 +*12867 FILLER_32_433 +*12868 FILLER_32_441 +*12869 FILLER_32_451 +*12870 FILLER_32_463 +*12871 FILLER_32_475 +*12872 FILLER_32_477 +*12873 FILLER_32_489 +*12874 FILLER_32_494 +*12875 FILLER_32_506 +*12876 FILLER_32_518 +*12877 FILLER_32_53 +*12878 FILLER_32_530 +*12879 FILLER_32_533 +*12880 FILLER_32_545 +*12881 FILLER_32_557 +*12882 FILLER_32_572 +*12883 FILLER_32_583 +*12884 FILLER_32_587 +*12885 FILLER_32_6 +*12886 FILLER_32_65 +*12887 FILLER_32_80 +*12888 FILLER_32_88 +*12889 FILLER_32_96 +*12890 FILLER_33_110 +*12891 FILLER_33_116 +*12892 FILLER_33_143 +*12893 FILLER_33_172 +*12894 FILLER_33_210 +*12895 FILLER_33_222 +*12896 FILLER_33_225 +*12897 FILLER_33_23 +*12898 FILLER_33_237 +*12899 FILLER_33_249 +*12900 FILLER_33_261 +*12901 FILLER_33_302 +*12902 FILLER_33_310 +*12903 FILLER_33_320 +*12904 FILLER_33_332 +*12905 FILLER_33_346 +*12906 FILLER_33_35 +*12907 FILLER_33_358 +*12908 FILLER_33_370 +*12909 FILLER_33_382 +*12910 FILLER_33_39 +*12911 FILLER_33_390 +*12912 FILLER_33_393 +*12913 FILLER_33_401 +*12914 FILLER_33_410 +*12915 FILLER_33_422 +*12916 FILLER_33_433 +*12917 FILLER_33_445 +*12918 FILLER_33_449 +*12919 FILLER_33_457 +*12920 FILLER_33_465 +*12921 FILLER_33_47 +*12922 FILLER_33_487 +*12923 FILLER_33_499 +*12924 FILLER_33_503 +*12925 FILLER_33_505 +*12926 FILLER_33_517 +*12927 FILLER_33_529 +*12928 FILLER_33_541 +*12929 FILLER_33_545 +*12930 FILLER_33_549 +*12931 FILLER_33_55 +*12932 FILLER_33_566 +*12933 FILLER_33_57 +*12934 FILLER_33_597 +*12935 FILLER_33_609 +*12936 FILLER_33_615 +*12937 FILLER_33_617 +*12938 FILLER_33_69 +*12939 FILLER_33_81 +*12940 FILLER_33_89 +*12941 FILLER_34_122 +*12942 FILLER_34_131 +*12943 FILLER_34_139 +*12944 FILLER_34_141 +*12945 FILLER_34_153 +*12946 FILLER_34_16 +*12947 FILLER_34_164 +*12948 FILLER_34_176 +*12949 FILLER_34_187 +*12950 FILLER_34_195 +*12951 FILLER_34_197 +*12952 FILLER_34_209 +*12953 FILLER_34_236 +*12954 FILLER_34_244 +*12955 FILLER_34_250 +*12956 FILLER_34_256 +*12957 FILLER_34_268 +*12958 FILLER_34_280 +*12959 FILLER_34_29 +*12960 FILLER_34_292 +*12961 FILLER_34_296 +*12962 FILLER_34_302 +*12963 FILLER_34_316 +*12964 FILLER_34_347 +*12965 FILLER_34_351 +*12966 FILLER_34_355 +*12967 FILLER_34_363 +*12968 FILLER_34_374 +*12969 FILLER_34_418 +*12970 FILLER_34_470 +*12971 FILLER_34_477 +*12972 FILLER_34_48 +*12973 FILLER_34_505 +*12974 FILLER_34_517 +*12975 FILLER_34_528 +*12976 FILLER_34_533 +*12977 FILLER_34_556 +*12978 FILLER_34_57 +*12979 FILLER_34_570 +*12980 FILLER_34_582 +*12981 FILLER_34_609 +*12982 FILLER_34_617 +*12983 FILLER_34_623 +*12984 FILLER_34_71 +*12985 FILLER_34_83 +*12986 FILLER_34_85 +*12987 FILLER_34_91 +*12988 FILLER_35_100 +*12989 FILLER_35_113 +*12990 FILLER_35_125 +*12991 FILLER_35_137 +*12992 FILLER_35_145 +*12993 FILLER_35_15 +*12994 FILLER_35_153 +*12995 FILLER_35_165 +*12996 FILLER_35_190 +*12997 FILLER_35_202 +*12998 FILLER_35_214 +*12999 FILLER_35_219 +*13000 FILLER_35_223 +*13001 FILLER_35_254 +*13002 FILLER_35_262 +*13003 FILLER_35_27 +*13004 FILLER_35_274 +*13005 FILLER_35_288 +*13006 FILLER_35_3 +*13007 FILLER_35_300 +*13008 FILLER_35_329 +*13009 FILLER_35_335 +*13010 FILLER_35_337 +*13011 FILLER_35_349 +*13012 FILLER_35_35 +*13013 FILLER_35_382 +*13014 FILLER_35_390 +*13015 FILLER_35_393 +*13016 FILLER_35_405 +*13017 FILLER_35_409 +*13018 FILLER_35_419 +*13019 FILLER_35_427 +*13020 FILLER_35_43 +*13021 FILLER_35_431 +*13022 FILLER_35_445 +*13023 FILLER_35_455 +*13024 FILLER_35_462 +*13025 FILLER_35_499 +*13026 FILLER_35_503 +*13027 FILLER_35_55 +*13028 FILLER_35_551 +*13029 FILLER_35_569 +*13030 FILLER_35_57 +*13031 FILLER_35_581 +*13032 FILLER_35_593 +*13033 FILLER_35_605 +*13034 FILLER_35_613 +*13035 FILLER_35_620 +*13036 FILLER_35_68 +*13037 FILLER_35_80 +*13038 FILLER_35_92 +*13039 FILLER_36_109 +*13040 FILLER_36_121 +*13041 FILLER_36_133 +*13042 FILLER_36_161 +*13043 FILLER_36_173 +*13044 FILLER_36_18 +*13045 FILLER_36_185 +*13046 FILLER_36_194 +*13047 FILLER_36_197 +*13048 FILLER_36_209 +*13049 FILLER_36_232 +*13050 FILLER_36_236 +*13051 FILLER_36_24 +*13052 FILLER_36_248 +*13053 FILLER_36_273 +*13054 FILLER_36_285 +*13055 FILLER_36_29 +*13056 FILLER_36_297 +*13057 FILLER_36_305 +*13058 FILLER_36_309 +*13059 FILLER_36_317 +*13060 FILLER_36_326 +*13061 FILLER_36_330 +*13062 FILLER_36_338 +*13063 FILLER_36_35 +*13064 FILLER_36_350 +*13065 FILLER_36_362 +*13066 FILLER_36_365 +*13067 FILLER_36_377 +*13068 FILLER_36_385 +*13069 FILLER_36_39 +*13070 FILLER_36_397 +*13071 FILLER_36_409 +*13072 FILLER_36_415 +*13073 FILLER_36_419 +*13074 FILLER_36_421 +*13075 FILLER_36_433 +*13076 FILLER_36_441 +*13077 FILLER_36_454 +*13078 FILLER_36_466 +*13079 FILLER_36_474 +*13080 FILLER_36_486 +*13081 FILLER_36_50 +*13082 FILLER_36_501 +*13083 FILLER_36_505 +*13084 FILLER_36_514 +*13085 FILLER_36_526 +*13086 FILLER_36_533 +*13087 FILLER_36_545 +*13088 FILLER_36_557 +*13089 FILLER_36_569 +*13090 FILLER_36_581 +*13091 FILLER_36_587 +*13092 FILLER_36_589 +*13093 FILLER_36_6 +*13094 FILLER_36_601 +*13095 FILLER_36_63 +*13096 FILLER_36_67 +*13097 FILLER_36_81 +*13098 FILLER_36_85 +*13099 FILLER_36_97 +*13100 FILLER_37_110 +*13101 FILLER_37_113 +*13102 FILLER_37_12 +*13103 FILLER_37_124 +*13104 FILLER_37_136 +*13105 FILLER_37_148 +*13106 FILLER_37_166 +*13107 FILLER_37_169 +*13108 FILLER_37_208 +*13109 FILLER_37_213 +*13110 FILLER_37_221 +*13111 FILLER_37_225 +*13112 FILLER_37_237 +*13113 FILLER_37_249 +*13114 FILLER_37_262 +*13115 FILLER_37_266 +*13116 FILLER_37_270 +*13117 FILLER_37_278 +*13118 FILLER_37_281 +*13119 FILLER_37_293 +*13120 FILLER_37_3 +*13121 FILLER_37_301 +*13122 FILLER_37_316 +*13123 FILLER_37_328 +*13124 FILLER_37_337 +*13125 FILLER_37_349 +*13126 FILLER_37_353 +*13127 FILLER_37_361 +*13128 FILLER_37_369 +*13129 FILLER_37_393 +*13130 FILLER_37_408 +*13131 FILLER_37_420 +*13132 FILLER_37_432 +*13133 FILLER_37_444 +*13134 FILLER_37_449 +*13135 FILLER_37_461 +*13136 FILLER_37_482 +*13137 FILLER_37_494 +*13138 FILLER_37_502 +*13139 FILLER_37_505 +*13140 FILLER_37_519 +*13141 FILLER_37_52 +*13142 FILLER_37_531 +*13143 FILLER_37_543 +*13144 FILLER_37_555 +*13145 FILLER_37_559 +*13146 FILLER_37_561 +*13147 FILLER_37_57 +*13148 FILLER_37_593 +*13149 FILLER_37_617 +*13150 FILLER_37_8 +*13151 FILLER_37_86 +*13152 FILLER_37_98 +*13153 FILLER_38_106 +*13154 FILLER_38_114 +*13155 FILLER_38_125 +*13156 FILLER_38_137 +*13157 FILLER_38_14 +*13158 FILLER_38_141 +*13159 FILLER_38_149 +*13160 FILLER_38_178 +*13161 FILLER_38_18 +*13162 FILLER_38_190 +*13163 FILLER_38_197 +*13164 FILLER_38_209 +*13165 FILLER_38_22 +*13166 FILLER_38_221 +*13167 FILLER_38_233 +*13168 FILLER_38_245 +*13169 FILLER_38_251 +*13170 FILLER_38_253 +*13171 FILLER_38_265 +*13172 FILLER_38_269 +*13173 FILLER_38_288 +*13174 FILLER_38_3 +*13175 FILLER_38_309 +*13176 FILLER_38_315 +*13177 FILLER_38_32 +*13178 FILLER_38_339 +*13179 FILLER_38_343 +*13180 FILLER_38_351 +*13181 FILLER_38_361 +*13182 FILLER_38_365 +*13183 FILLER_38_380 +*13184 FILLER_38_388 +*13185 FILLER_38_40 +*13186 FILLER_38_412 +*13187 FILLER_38_421 +*13188 FILLER_38_429 +*13189 FILLER_38_439 +*13190 FILLER_38_454 +*13191 FILLER_38_462 +*13192 FILLER_38_471 +*13193 FILLER_38_475 +*13194 FILLER_38_480 +*13195 FILLER_38_486 +*13196 FILLER_38_494 +*13197 FILLER_38_506 +*13198 FILLER_38_518 +*13199 FILLER_38_530 +*13200 FILLER_38_533 +*13201 FILLER_38_545 +*13202 FILLER_38_569 +*13203 FILLER_38_577 +*13204 FILLER_38_585 +*13205 FILLER_38_589 +*13206 FILLER_38_619 +*13207 FILLER_38_68 +*13208 FILLER_38_72 +*13209 FILLER_38_76 +*13210 FILLER_38_98 +*13211 FILLER_39_110 +*13212 FILLER_39_113 +*13213 FILLER_39_124 +*13214 FILLER_39_140 +*13215 FILLER_39_169 +*13216 FILLER_39_177 +*13217 FILLER_39_18 +*13218 FILLER_39_189 +*13219 FILLER_39_201 +*13220 FILLER_39_213 +*13221 FILLER_39_221 +*13222 FILLER_39_225 +*13223 FILLER_39_237 +*13224 FILLER_39_249 +*13225 FILLER_39_261 +*13226 FILLER_39_281 +*13227 FILLER_39_287 +*13228 FILLER_39_30 +*13229 FILLER_39_322 +*13230 FILLER_39_334 +*13231 FILLER_39_357 +*13232 FILLER_39_365 +*13233 FILLER_39_393 +*13234 FILLER_39_398 +*13235 FILLER_39_410 +*13236 FILLER_39_418 +*13237 FILLER_39_42 +*13238 FILLER_39_432 +*13239 FILLER_39_442 +*13240 FILLER_39_449 +*13241 FILLER_39_461 +*13242 FILLER_39_473 +*13243 FILLER_39_481 +*13244 FILLER_39_5 +*13245 FILLER_39_501 +*13246 FILLER_39_505 +*13247 FILLER_39_517 +*13248 FILLER_39_54 +*13249 FILLER_39_540 +*13250 FILLER_39_552 +*13251 FILLER_39_561 +*13252 FILLER_39_57 +*13253 FILLER_39_573 +*13254 FILLER_39_585 +*13255 FILLER_39_589 +*13256 FILLER_39_601 +*13257 FILLER_39_614 +*13258 FILLER_39_617 +*13259 FILLER_39_65 +*13260 FILLER_39_77 +*13261 FILLER_3_108 +*13262 FILLER_3_12 +*13263 FILLER_3_120 +*13264 FILLER_3_162 +*13265 FILLER_3_169 +*13266 FILLER_3_181 +*13267 FILLER_3_196 +*13268 FILLER_3_202 +*13269 FILLER_3_210 +*13270 FILLER_3_222 +*13271 FILLER_3_267 +*13272 FILLER_3_275 +*13273 FILLER_3_279 +*13274 FILLER_3_281 +*13275 FILLER_3_289 +*13276 FILLER_3_295 +*13277 FILLER_3_3 +*13278 FILLER_3_30 +*13279 FILLER_3_307 +*13280 FILLER_3_315 +*13281 FILLER_3_333 +*13282 FILLER_3_344 +*13283 FILLER_3_355 +*13284 FILLER_3_363 +*13285 FILLER_3_375 +*13286 FILLER_3_384 +*13287 FILLER_3_400 +*13288 FILLER_3_415 +*13289 FILLER_3_42 +*13290 FILLER_3_423 +*13291 FILLER_3_432 +*13292 FILLER_3_442 +*13293 FILLER_3_456 +*13294 FILLER_3_464 +*13295 FILLER_3_492 +*13296 FILLER_3_50 +*13297 FILLER_3_505 +*13298 FILLER_3_517 +*13299 FILLER_3_55 +*13300 FILLER_3_558 +*13301 FILLER_3_568 +*13302 FILLER_3_57 +*13303 FILLER_3_593 +*13304 FILLER_3_597 +*13305 FILLER_3_603 +*13306 FILLER_3_606 +*13307 FILLER_3_624 +*13308 FILLER_3_69 +*13309 FILLER_3_81 +*13310 FILLER_3_96 +*13311 FILLER_40_101 +*13312 FILLER_40_109 +*13313 FILLER_40_122 +*13314 FILLER_40_153 +*13315 FILLER_40_159 +*13316 FILLER_40_18 +*13317 FILLER_40_181 +*13318 FILLER_40_188 +*13319 FILLER_40_204 +*13320 FILLER_40_222 +*13321 FILLER_40_234 +*13322 FILLER_40_249 +*13323 FILLER_40_253 +*13324 FILLER_40_26 +*13325 FILLER_40_264 +*13326 FILLER_40_284 +*13327 FILLER_40_29 +*13328 FILLER_40_304 +*13329 FILLER_40_309 +*13330 FILLER_40_328 +*13331 FILLER_40_340 +*13332 FILLER_40_346 +*13333 FILLER_40_350 +*13334 FILLER_40_362 +*13335 FILLER_40_365 +*13336 FILLER_40_371 +*13337 FILLER_40_380 +*13338 FILLER_40_392 +*13339 FILLER_40_404 +*13340 FILLER_40_41 +*13341 FILLER_40_413 +*13342 FILLER_40_419 +*13343 FILLER_40_421 +*13344 FILLER_40_429 +*13345 FILLER_40_440 +*13346 FILLER_40_452 +*13347 FILLER_40_464 +*13348 FILLER_40_477 +*13349 FILLER_40_495 +*13350 FILLER_40_515 +*13351 FILLER_40_528 +*13352 FILLER_40_53 +*13353 FILLER_40_533 +*13354 FILLER_40_545 +*13355 FILLER_40_554 +*13356 FILLER_40_566 +*13357 FILLER_40_575 +*13358 FILLER_40_587 +*13359 FILLER_40_589 +*13360 FILLER_40_601 +*13361 FILLER_40_65 +*13362 FILLER_40_77 +*13363 FILLER_40_83 +*13364 FILLER_40_85 +*13365 FILLER_40_97 +*13366 FILLER_41_101 +*13367 FILLER_41_109 +*13368 FILLER_41_113 +*13369 FILLER_41_13 +*13370 FILLER_41_133 +*13371 FILLER_41_145 +*13372 FILLER_41_157 +*13373 FILLER_41_165 +*13374 FILLER_41_169 +*13375 FILLER_41_173 +*13376 FILLER_41_177 +*13377 FILLER_41_181 +*13378 FILLER_41_19 +*13379 FILLER_41_192 +*13380 FILLER_41_200 +*13381 FILLER_41_225 +*13382 FILLER_41_246 +*13383 FILLER_41_252 +*13384 FILLER_41_262 +*13385 FILLER_41_266 +*13386 FILLER_41_281 +*13387 FILLER_41_296 +*13388 FILLER_41_3 +*13389 FILLER_41_304 +*13390 FILLER_41_31 +*13391 FILLER_41_314 +*13392 FILLER_41_331 +*13393 FILLER_41_337 +*13394 FILLER_41_349 +*13395 FILLER_41_35 +*13396 FILLER_41_376 +*13397 FILLER_41_388 +*13398 FILLER_41_393 +*13399 FILLER_41_399 +*13400 FILLER_41_427 +*13401 FILLER_41_439 +*13402 FILLER_41_44 +*13403 FILLER_41_470 +*13404 FILLER_41_478 +*13405 FILLER_41_493 +*13406 FILLER_41_501 +*13407 FILLER_41_529 +*13408 FILLER_41_535 +*13409 FILLER_41_559 +*13410 FILLER_41_584 +*13411 FILLER_41_596 +*13412 FILLER_41_608 +*13413 FILLER_41_617 +*13414 FILLER_41_65 +*13415 FILLER_41_77 +*13416 FILLER_41_89 +*13417 FILLER_42_109 +*13418 FILLER_42_121 +*13419 FILLER_42_141 +*13420 FILLER_42_152 +*13421 FILLER_42_164 +*13422 FILLER_42_176 +*13423 FILLER_42_18 +*13424 FILLER_42_188 +*13425 FILLER_42_206 +*13426 FILLER_42_218 +*13427 FILLER_42_233 +*13428 FILLER_42_245 +*13429 FILLER_42_250 +*13430 FILLER_42_26 +*13431 FILLER_42_261 +*13432 FILLER_42_273 +*13433 FILLER_42_283 +*13434 FILLER_42_295 +*13435 FILLER_42_323 +*13436 FILLER_42_331 +*13437 FILLER_42_344 +*13438 FILLER_42_362 +*13439 FILLER_42_365 +*13440 FILLER_42_377 +*13441 FILLER_42_389 +*13442 FILLER_42_399 +*13443 FILLER_42_407 +*13444 FILLER_42_413 +*13445 FILLER_42_419 +*13446 FILLER_42_441 +*13447 FILLER_42_450 +*13448 FILLER_42_477 +*13449 FILLER_42_489 +*13450 FILLER_42_49 +*13451 FILLER_42_500 +*13452 FILLER_42_517 +*13453 FILLER_42_529 +*13454 FILLER_42_533 +*13455 FILLER_42_544 +*13456 FILLER_42_556 +*13457 FILLER_42_568 +*13458 FILLER_42_580 +*13459 FILLER_42_589 +*13460 FILLER_42_597 +*13461 FILLER_42_6 +*13462 FILLER_42_609 +*13463 FILLER_42_621 +*13464 FILLER_42_73 +*13465 FILLER_42_79 +*13466 FILLER_42_83 +*13467 FILLER_42_85 +*13468 FILLER_42_97 +*13469 FILLER_43_100 +*13470 FILLER_43_113 +*13471 FILLER_43_125 +*13472 FILLER_43_137 +*13473 FILLER_43_14 +*13474 FILLER_43_145 +*13475 FILLER_43_160 +*13476 FILLER_43_169 +*13477 FILLER_43_181 +*13478 FILLER_43_193 +*13479 FILLER_43_201 +*13480 FILLER_43_21 +*13481 FILLER_43_228 +*13482 FILLER_43_236 +*13483 FILLER_43_254 +*13484 FILLER_43_266 +*13485 FILLER_43_278 +*13486 FILLER_43_281 +*13487 FILLER_43_293 +*13488 FILLER_43_305 +*13489 FILLER_43_313 +*13490 FILLER_43_325 +*13491 FILLER_43_33 +*13492 FILLER_43_364 +*13493 FILLER_43_376 +*13494 FILLER_43_388 +*13495 FILLER_43_393 +*13496 FILLER_43_40 +*13497 FILLER_43_405 +*13498 FILLER_43_417 +*13499 FILLER_43_425 +*13500 FILLER_43_449 +*13501 FILLER_43_457 +*13502 FILLER_43_473 +*13503 FILLER_43_485 +*13504 FILLER_43_503 +*13505 FILLER_43_505 +*13506 FILLER_43_517 +*13507 FILLER_43_52 +*13508 FILLER_43_529 +*13509 FILLER_43_541 +*13510 FILLER_43_553 +*13511 FILLER_43_559 +*13512 FILLER_43_561 +*13513 FILLER_43_57 +*13514 FILLER_43_573 +*13515 FILLER_43_6 +*13516 FILLER_43_61 +*13517 FILLER_43_614 +*13518 FILLER_43_617 +*13519 FILLER_43_88 +*13520 FILLER_44_107 +*13521 FILLER_44_119 +*13522 FILLER_44_131 +*13523 FILLER_44_139 +*13524 FILLER_44_141 +*13525 FILLER_44_153 +*13526 FILLER_44_165 +*13527 FILLER_44_194 +*13528 FILLER_44_197 +*13529 FILLER_44_209 +*13530 FILLER_44_217 +*13531 FILLER_44_227 +*13532 FILLER_44_236 +*13533 FILLER_44_244 +*13534 FILLER_44_253 +*13535 FILLER_44_268 +*13536 FILLER_44_27 +*13537 FILLER_44_277 +*13538 FILLER_44_289 +*13539 FILLER_44_29 +*13540 FILLER_44_316 +*13541 FILLER_44_328 +*13542 FILLER_44_340 +*13543 FILLER_44_352 +*13544 FILLER_44_365 +*13545 FILLER_44_37 +*13546 FILLER_44_370 +*13547 FILLER_44_382 +*13548 FILLER_44_406 +*13549 FILLER_44_418 +*13550 FILLER_44_421 +*13551 FILLER_44_429 +*13552 FILLER_44_434 +*13553 FILLER_44_446 +*13554 FILLER_44_45 +*13555 FILLER_44_458 +*13556 FILLER_44_470 +*13557 FILLER_44_477 +*13558 FILLER_44_489 +*13559 FILLER_44_501 +*13560 FILLER_44_513 +*13561 FILLER_44_525 +*13562 FILLER_44_531 +*13563 FILLER_44_533 +*13564 FILLER_44_545 +*13565 FILLER_44_557 +*13566 FILLER_44_569 +*13567 FILLER_44_57 +*13568 FILLER_44_577 +*13569 FILLER_44_585 +*13570 FILLER_44_589 +*13571 FILLER_44_597 +*13572 FILLER_44_69 +*13573 FILLER_44_75 +*13574 FILLER_44_85 +*13575 FILLER_44_89 +*13576 FILLER_44_96 +*13577 FILLER_45_107 +*13578 FILLER_45_111 +*13579 FILLER_45_122 +*13580 FILLER_45_128 +*13581 FILLER_45_138 +*13582 FILLER_45_15 +*13583 FILLER_45_150 +*13584 FILLER_45_161 +*13585 FILLER_45_167 +*13586 FILLER_45_189 +*13587 FILLER_45_197 +*13588 FILLER_45_201 +*13589 FILLER_45_211 +*13590 FILLER_45_216 +*13591 FILLER_45_225 +*13592 FILLER_45_237 +*13593 FILLER_45_249 +*13594 FILLER_45_257 +*13595 FILLER_45_27 +*13596 FILLER_45_278 +*13597 FILLER_45_288 +*13598 FILLER_45_292 +*13599 FILLER_45_3 +*13600 FILLER_45_300 +*13601 FILLER_45_312 +*13602 FILLER_45_327 +*13603 FILLER_45_335 +*13604 FILLER_45_337 +*13605 FILLER_45_349 +*13606 FILLER_45_361 +*13607 FILLER_45_367 +*13608 FILLER_45_388 +*13609 FILLER_45_39 +*13610 FILLER_45_400 +*13611 FILLER_45_412 +*13612 FILLER_45_424 +*13613 FILLER_45_436 +*13614 FILLER_45_456 +*13615 FILLER_45_468 +*13616 FILLER_45_476 +*13617 FILLER_45_502 +*13618 FILLER_45_505 +*13619 FILLER_45_51 +*13620 FILLER_45_517 +*13621 FILLER_45_524 +*13622 FILLER_45_536 +*13623 FILLER_45_548 +*13624 FILLER_45_55 +*13625 FILLER_45_561 +*13626 FILLER_45_57 +*13627 FILLER_45_573 +*13628 FILLER_45_583 +*13629 FILLER_45_595 +*13630 FILLER_45_612 +*13631 FILLER_45_623 +*13632 FILLER_45_69 +*13633 FILLER_45_81 +*13634 FILLER_45_85 +*13635 FILLER_46_108 +*13636 FILLER_46_12 +*13637 FILLER_46_175 +*13638 FILLER_46_194 +*13639 FILLER_46_225 +*13640 FILLER_46_23 +*13641 FILLER_46_237 +*13642 FILLER_46_249 +*13643 FILLER_46_253 +*13644 FILLER_46_27 +*13645 FILLER_46_280 +*13646 FILLER_46_3 +*13647 FILLER_46_303 +*13648 FILLER_46_307 +*13649 FILLER_46_309 +*13650 FILLER_46_313 +*13651 FILLER_46_32 +*13652 FILLER_46_321 +*13653 FILLER_46_334 +*13654 FILLER_46_338 +*13655 FILLER_46_355 +*13656 FILLER_46_363 +*13657 FILLER_46_365 +*13658 FILLER_46_391 +*13659 FILLER_46_395 +*13660 FILLER_46_412 +*13661 FILLER_46_432 +*13662 FILLER_46_438 +*13663 FILLER_46_459 +*13664 FILLER_46_465 +*13665 FILLER_46_473 +*13666 FILLER_46_477 +*13667 FILLER_46_485 +*13668 FILLER_46_495 +*13669 FILLER_46_507 +*13670 FILLER_46_533 +*13671 FILLER_46_557 +*13672 FILLER_46_565 +*13673 FILLER_46_573 +*13674 FILLER_46_585 +*13675 FILLER_46_589 +*13676 FILLER_46_601 +*13677 FILLER_46_61 +*13678 FILLER_46_73 +*13679 FILLER_46_81 +*13680 FILLER_46_85 +*13681 FILLER_46_96 +*13682 FILLER_47_105 +*13683 FILLER_47_111 +*13684 FILLER_47_12 +*13685 FILLER_47_133 +*13686 FILLER_47_145 +*13687 FILLER_47_157 +*13688 FILLER_47_163 +*13689 FILLER_47_169 +*13690 FILLER_47_177 +*13691 FILLER_47_202 +*13692 FILLER_47_216 +*13693 FILLER_47_234 +*13694 FILLER_47_24 +*13695 FILLER_47_240 +*13696 FILLER_47_269 +*13697 FILLER_47_277 +*13698 FILLER_47_281 +*13699 FILLER_47_289 +*13700 FILLER_47_3 +*13701 FILLER_47_301 +*13702 FILLER_47_309 +*13703 FILLER_47_320 +*13704 FILLER_47_332 +*13705 FILLER_47_344 +*13706 FILLER_47_352 +*13707 FILLER_47_36 +*13708 FILLER_47_366 +*13709 FILLER_47_374 +*13710 FILLER_47_378 +*13711 FILLER_47_390 +*13712 FILLER_47_393 +*13713 FILLER_47_399 +*13714 FILLER_47_441 +*13715 FILLER_47_447 +*13716 FILLER_47_449 +*13717 FILLER_47_460 +*13718 FILLER_47_464 +*13719 FILLER_47_48 +*13720 FILLER_47_485 +*13721 FILLER_47_497 +*13722 FILLER_47_503 +*13723 FILLER_47_558 +*13724 FILLER_47_57 +*13725 FILLER_47_581 +*13726 FILLER_47_593 +*13727 FILLER_47_605 +*13728 FILLER_47_613 +*13729 FILLER_47_617 +*13730 FILLER_47_69 +*13731 FILLER_47_81 +*13732 FILLER_47_93 +*13733 FILLER_48_101 +*13734 FILLER_48_111 +*13735 FILLER_48_130 +*13736 FILLER_48_138 +*13737 FILLER_48_141 +*13738 FILLER_48_153 +*13739 FILLER_48_165 +*13740 FILLER_48_177 +*13741 FILLER_48_197 +*13742 FILLER_48_21 +*13743 FILLER_48_238 +*13744 FILLER_48_244 +*13745 FILLER_48_248 +*13746 FILLER_48_253 +*13747 FILLER_48_265 +*13748 FILLER_48_269 +*13749 FILLER_48_27 +*13750 FILLER_48_281 +*13751 FILLER_48_293 +*13752 FILLER_48_297 +*13753 FILLER_48_3 +*13754 FILLER_48_305 +*13755 FILLER_48_309 +*13756 FILLER_48_317 +*13757 FILLER_48_332 +*13758 FILLER_48_336 +*13759 FILLER_48_360 +*13760 FILLER_48_372 +*13761 FILLER_48_38 +*13762 FILLER_48_384 +*13763 FILLER_48_396 +*13764 FILLER_48_414 +*13765 FILLER_48_421 +*13766 FILLER_48_449 +*13767 FILLER_48_472 +*13768 FILLER_48_477 +*13769 FILLER_48_481 +*13770 FILLER_48_493 +*13771 FILLER_48_50 +*13772 FILLER_48_501 +*13773 FILLER_48_510 +*13774 FILLER_48_54 +*13775 FILLER_48_541 +*13776 FILLER_48_553 +*13777 FILLER_48_570 +*13778 FILLER_48_58 +*13779 FILLER_48_582 +*13780 FILLER_48_589 +*13781 FILLER_48_600 +*13782 FILLER_48_612 +*13783 FILLER_48_624 +*13784 FILLER_48_67 +*13785 FILLER_48_73 +*13786 FILLER_48_83 +*13787 FILLER_48_88 +*13788 FILLER_48_9 +*13789 FILLER_48_93 +*13790 FILLER_49_101 +*13791 FILLER_49_109 +*13792 FILLER_49_113 +*13793 FILLER_49_124 +*13794 FILLER_49_136 +*13795 FILLER_49_148 +*13796 FILLER_49_157 +*13797 FILLER_49_165 +*13798 FILLER_49_176 +*13799 FILLER_49_188 +*13800 FILLER_49_200 +*13801 FILLER_49_210 +*13802 FILLER_49_222 +*13803 FILLER_49_252 +*13804 FILLER_49_264 +*13805 FILLER_49_27 +*13806 FILLER_49_276 +*13807 FILLER_49_281 +*13808 FILLER_49_292 +*13809 FILLER_49_3 +*13810 FILLER_49_304 +*13811 FILLER_49_310 +*13812 FILLER_49_318 +*13813 FILLER_49_326 +*13814 FILLER_49_337 +*13815 FILLER_49_345 +*13816 FILLER_49_348 +*13817 FILLER_49_360 +*13818 FILLER_49_373 +*13819 FILLER_49_377 +*13820 FILLER_49_387 +*13821 FILLER_49_391 +*13822 FILLER_49_393 +*13823 FILLER_49_405 +*13824 FILLER_49_417 +*13825 FILLER_49_433 +*13826 FILLER_49_445 +*13827 FILLER_49_449 +*13828 FILLER_49_461 +*13829 FILLER_49_473 +*13830 FILLER_49_485 +*13831 FILLER_49_497 +*13832 FILLER_49_503 +*13833 FILLER_49_505 +*13834 FILLER_49_517 +*13835 FILLER_49_52 +*13836 FILLER_49_523 +*13837 FILLER_49_533 +*13838 FILLER_49_545 +*13839 FILLER_49_557 +*13840 FILLER_49_561 +*13841 FILLER_49_573 +*13842 FILLER_49_585 +*13843 FILLER_49_593 +*13844 FILLER_49_614 +*13845 FILLER_49_617 +*13846 FILLER_49_91 +*13847 FILLER_4_107 +*13848 FILLER_4_113 +*13849 FILLER_4_119 +*13850 FILLER_4_13 +*13851 FILLER_4_130 +*13852 FILLER_4_138 +*13853 FILLER_4_148 +*13854 FILLER_4_16 +*13855 FILLER_4_160 +*13856 FILLER_4_164 +*13857 FILLER_4_172 +*13858 FILLER_4_185 +*13859 FILLER_4_191 +*13860 FILLER_4_195 +*13861 FILLER_4_197 +*13862 FILLER_4_203 +*13863 FILLER_4_214 +*13864 FILLER_4_226 +*13865 FILLER_4_239 +*13866 FILLER_4_251 +*13867 FILLER_4_256 +*13868 FILLER_4_268 +*13869 FILLER_4_29 +*13870 FILLER_4_293 +*13871 FILLER_4_299 +*13872 FILLER_4_306 +*13873 FILLER_4_309 +*13874 FILLER_4_313 +*13875 FILLER_4_321 +*13876 FILLER_4_347 +*13877 FILLER_4_355 +*13878 FILLER_4_362 +*13879 FILLER_4_37 +*13880 FILLER_4_374 +*13881 FILLER_4_389 +*13882 FILLER_4_401 +*13883 FILLER_4_406 +*13884 FILLER_4_41 +*13885 FILLER_4_418 +*13886 FILLER_4_421 +*13887 FILLER_4_437 +*13888 FILLER_4_449 +*13889 FILLER_4_45 +*13890 FILLER_4_455 +*13891 FILLER_4_484 +*13892 FILLER_4_5 +*13893 FILLER_4_53 +*13894 FILLER_4_531 +*13895 FILLER_4_533 +*13896 FILLER_4_545 +*13897 FILLER_4_549 +*13898 FILLER_4_562 +*13899 FILLER_4_568 +*13900 FILLER_4_576 +*13901 FILLER_4_589 +*13902 FILLER_4_597 +*13903 FILLER_4_603 +*13904 FILLER_4_623 +*13905 FILLER_4_65 +*13906 FILLER_4_77 +*13907 FILLER_4_83 +*13908 FILLER_4_85 +*13909 FILLER_4_97 +*13910 FILLER_50_108 +*13911 FILLER_50_120 +*13912 FILLER_50_132 +*13913 FILLER_50_14 +*13914 FILLER_50_161 +*13915 FILLER_50_165 +*13916 FILLER_50_187 +*13917 FILLER_50_195 +*13918 FILLER_50_197 +*13919 FILLER_50_223 +*13920 FILLER_50_23 +*13921 FILLER_50_235 +*13922 FILLER_50_243 +*13923 FILLER_50_251 +*13924 FILLER_50_253 +*13925 FILLER_50_265 +*13926 FILLER_50_27 +*13927 FILLER_50_309 +*13928 FILLER_50_321 +*13929 FILLER_50_333 +*13930 FILLER_50_345 +*13931 FILLER_50_357 +*13932 FILLER_50_363 +*13933 FILLER_50_379 +*13934 FILLER_50_407 +*13935 FILLER_50_419 +*13936 FILLER_50_421 +*13937 FILLER_50_433 +*13938 FILLER_50_437 +*13939 FILLER_50_441 +*13940 FILLER_50_456 +*13941 FILLER_50_468 +*13942 FILLER_50_477 +*13943 FILLER_50_485 +*13944 FILLER_50_49 +*13945 FILLER_50_499 +*13946 FILLER_50_511 +*13947 FILLER_50_523 +*13948 FILLER_50_531 +*13949 FILLER_50_533 +*13950 FILLER_50_545 +*13951 FILLER_50_557 +*13952 FILLER_50_569 +*13953 FILLER_50_577 +*13954 FILLER_50_587 +*13955 FILLER_50_589 +*13956 FILLER_50_6 +*13957 FILLER_50_601 +*13958 FILLER_50_61 +*13959 FILLER_50_612 +*13960 FILLER_50_624 +*13961 FILLER_50_82 +*13962 FILLER_50_96 +*13963 FILLER_51_100 +*13964 FILLER_51_113 +*13965 FILLER_51_125 +*13966 FILLER_51_137 +*13967 FILLER_51_145 +*13968 FILLER_51_169 +*13969 FILLER_51_173 +*13970 FILLER_51_178 +*13971 FILLER_51_18 +*13972 FILLER_51_186 +*13973 FILLER_51_193 +*13974 FILLER_51_205 +*13975 FILLER_51_217 +*13976 FILLER_51_223 +*13977 FILLER_51_232 +*13978 FILLER_51_244 +*13979 FILLER_51_256 +*13980 FILLER_51_268 +*13981 FILLER_51_281 +*13982 FILLER_51_287 +*13983 FILLER_51_297 +*13984 FILLER_51_30 +*13985 FILLER_51_306 +*13986 FILLER_51_312 +*13987 FILLER_51_332 +*13988 FILLER_51_337 +*13989 FILLER_51_349 +*13990 FILLER_51_357 +*13991 FILLER_51_365 +*13992 FILLER_51_377 +*13993 FILLER_51_38 +*13994 FILLER_51_385 +*13995 FILLER_51_391 +*13996 FILLER_51_393 +*13997 FILLER_51_405 +*13998 FILLER_51_417 +*13999 FILLER_51_429 +*14000 FILLER_51_441 +*14001 FILLER_51_447 +*14002 FILLER_51_449 +*14003 FILLER_51_461 +*14004 FILLER_51_465 +*14005 FILLER_51_472 +*14006 FILLER_51_50 +*14007 FILLER_51_505 +*14008 FILLER_51_517 +*14009 FILLER_51_529 +*14010 FILLER_51_541 +*14011 FILLER_51_553 +*14012 FILLER_51_559 +*14013 FILLER_51_57 +*14014 FILLER_51_577 +*14015 FILLER_51_598 +*14016 FILLER_51_6 +*14017 FILLER_51_606 +*14018 FILLER_51_614 +*14019 FILLER_51_617 +*14020 FILLER_51_69 +*14021 FILLER_51_81 +*14022 FILLER_51_93 +*14023 FILLER_52_105 +*14024 FILLER_52_126 +*14025 FILLER_52_138 +*14026 FILLER_52_141 +*14027 FILLER_52_153 +*14028 FILLER_52_166 +*14029 FILLER_52_172 +*14030 FILLER_52_177 +*14031 FILLER_52_186 +*14032 FILLER_52_194 +*14033 FILLER_52_197 +*14034 FILLER_52_209 +*14035 FILLER_52_21 +*14036 FILLER_52_233 +*14037 FILLER_52_245 +*14038 FILLER_52_251 +*14039 FILLER_52_262 +*14040 FILLER_52_27 +*14041 FILLER_52_274 +*14042 FILLER_52_286 +*14043 FILLER_52_29 +*14044 FILLER_52_294 +*14045 FILLER_52_3 +*14046 FILLER_52_302 +*14047 FILLER_52_309 +*14048 FILLER_52_319 +*14049 FILLER_52_327 +*14050 FILLER_52_337 +*14051 FILLER_52_341 +*14052 FILLER_52_349 +*14053 FILLER_52_361 +*14054 FILLER_52_365 +*14055 FILLER_52_379 +*14056 FILLER_52_385 +*14057 FILLER_52_41 +*14058 FILLER_52_413 +*14059 FILLER_52_419 +*14060 FILLER_52_421 +*14061 FILLER_52_430 +*14062 FILLER_52_434 +*14063 FILLER_52_439 +*14064 FILLER_52_443 +*14065 FILLER_52_451 +*14066 FILLER_52_463 +*14067 FILLER_52_491 +*14068 FILLER_52_500 +*14069 FILLER_52_515 +*14070 FILLER_52_53 +*14071 FILLER_52_530 +*14072 FILLER_52_533 +*14073 FILLER_52_539 +*14074 FILLER_52_560 +*14075 FILLER_52_589 +*14076 FILLER_52_60 +*14077 FILLER_52_601 +*14078 FILLER_52_64 +*14079 FILLER_52_68 +*14080 FILLER_52_76 +*14081 FILLER_52_85 +*14082 FILLER_52_89 +*14083 FILLER_52_9 +*14084 FILLER_52_97 +*14085 FILLER_53_10 +*14086 FILLER_53_111 +*14087 FILLER_53_116 +*14088 FILLER_53_120 +*14089 FILLER_53_14 +*14090 FILLER_53_141 +*14091 FILLER_53_153 +*14092 FILLER_53_165 +*14093 FILLER_53_169 +*14094 FILLER_53_177 +*14095 FILLER_53_207 +*14096 FILLER_53_219 +*14097 FILLER_53_223 +*14098 FILLER_53_225 +*14099 FILLER_53_248 +*14100 FILLER_53_254 +*14101 FILLER_53_26 +*14102 FILLER_53_271 +*14103 FILLER_53_279 +*14104 FILLER_53_281 +*14105 FILLER_53_293 +*14106 FILLER_53_305 +*14107 FILLER_53_318 +*14108 FILLER_53_327 +*14109 FILLER_53_335 +*14110 FILLER_53_337 +*14111 FILLER_53_352 +*14112 FILLER_53_363 +*14113 FILLER_53_375 +*14114 FILLER_53_38 +*14115 FILLER_53_386 +*14116 FILLER_53_393 +*14117 FILLER_53_405 +*14118 FILLER_53_421 +*14119 FILLER_53_425 +*14120 FILLER_53_442 +*14121 FILLER_53_483 +*14122 FILLER_53_49 +*14123 FILLER_53_491 +*14124 FILLER_53_503 +*14125 FILLER_53_508 +*14126 FILLER_53_532 +*14127 FILLER_53_538 +*14128 FILLER_53_55 +*14129 FILLER_53_550 +*14130 FILLER_53_597 +*14131 FILLER_53_6 +*14132 FILLER_53_609 +*14133 FILLER_53_615 +*14134 FILLER_53_617 +*14135 FILLER_53_77 +*14136 FILLER_53_85 +*14137 FILLER_54_109 +*14138 FILLER_54_121 +*14139 FILLER_54_129 +*14140 FILLER_54_13 +*14141 FILLER_54_148 +*14142 FILLER_54_160 +*14143 FILLER_54_172 +*14144 FILLER_54_184 +*14145 FILLER_54_197 +*14146 FILLER_54_209 +*14147 FILLER_54_21 +*14148 FILLER_54_215 +*14149 FILLER_54_227 +*14150 FILLER_54_235 +*14151 FILLER_54_242 +*14152 FILLER_54_248 +*14153 FILLER_54_269 +*14154 FILLER_54_27 +*14155 FILLER_54_282 +*14156 FILLER_54_29 +*14157 FILLER_54_292 +*14158 FILLER_54_3 +*14159 FILLER_54_307 +*14160 FILLER_54_309 +*14161 FILLER_54_317 +*14162 FILLER_54_320 +*14163 FILLER_54_339 +*14164 FILLER_54_351 +*14165 FILLER_54_362 +*14166 FILLER_54_393 +*14167 FILLER_54_408 +*14168 FILLER_54_421 +*14169 FILLER_54_426 +*14170 FILLER_54_432 +*14171 FILLER_54_442 +*14172 FILLER_54_446 +*14173 FILLER_54_466 +*14174 FILLER_54_474 +*14175 FILLER_54_477 +*14176 FILLER_54_489 +*14177 FILLER_54_501 +*14178 FILLER_54_513 +*14179 FILLER_54_530 +*14180 FILLER_54_533 +*14181 FILLER_54_541 +*14182 FILLER_54_545 +*14183 FILLER_54_557 +*14184 FILLER_54_58 +*14185 FILLER_54_586 +*14186 FILLER_54_589 +*14187 FILLER_54_598 +*14188 FILLER_54_604 +*14189 FILLER_54_70 +*14190 FILLER_54_82 +*14191 FILLER_54_85 +*14192 FILLER_54_97 +*14193 FILLER_55_105 +*14194 FILLER_55_111 +*14195 FILLER_55_113 +*14196 FILLER_55_125 +*14197 FILLER_55_137 +*14198 FILLER_55_149 +*14199 FILLER_55_161 +*14200 FILLER_55_167 +*14201 FILLER_55_169 +*14202 FILLER_55_181 +*14203 FILLER_55_200 +*14204 FILLER_55_221 +*14205 FILLER_55_225 +*14206 FILLER_55_237 +*14207 FILLER_55_249 +*14208 FILLER_55_259 +*14209 FILLER_55_279 +*14210 FILLER_55_281 +*14211 FILLER_55_285 +*14212 FILLER_55_3 +*14213 FILLER_55_302 +*14214 FILLER_55_324 +*14215 FILLER_55_33 +*14216 FILLER_55_344 +*14217 FILLER_55_353 +*14218 FILLER_55_365 +*14219 FILLER_55_377 +*14220 FILLER_55_390 +*14221 FILLER_55_397 +*14222 FILLER_55_409 +*14223 FILLER_55_417 +*14224 FILLER_55_429 +*14225 FILLER_55_43 +*14226 FILLER_55_441 +*14227 FILLER_55_447 +*14228 FILLER_55_449 +*14229 FILLER_55_460 +*14230 FILLER_55_472 +*14231 FILLER_55_480 +*14232 FILLER_55_492 +*14233 FILLER_55_498 +*14234 FILLER_55_510 +*14235 FILLER_55_522 +*14236 FILLER_55_529 +*14237 FILLER_55_535 +*14238 FILLER_55_543 +*14239 FILLER_55_55 +*14240 FILLER_55_555 +*14241 FILLER_55_559 +*14242 FILLER_55_561 +*14243 FILLER_55_57 +*14244 FILLER_55_573 +*14245 FILLER_55_585 +*14246 FILLER_55_589 +*14247 FILLER_55_610 +*14248 FILLER_55_620 +*14249 FILLER_55_624 +*14250 FILLER_55_69 +*14251 FILLER_55_81 +*14252 FILLER_55_93 +*14253 FILLER_56_12 +*14254 FILLER_56_123 +*14255 FILLER_56_135 +*14256 FILLER_56_139 +*14257 FILLER_56_16 +*14258 FILLER_56_161 +*14259 FILLER_56_168 +*14260 FILLER_56_172 +*14261 FILLER_56_178 +*14262 FILLER_56_190 +*14263 FILLER_56_197 +*14264 FILLER_56_207 +*14265 FILLER_56_220 +*14266 FILLER_56_237 +*14267 FILLER_56_245 +*14268 FILLER_56_251 +*14269 FILLER_56_253 +*14270 FILLER_56_265 +*14271 FILLER_56_277 +*14272 FILLER_56_285 +*14273 FILLER_56_29 +*14274 FILLER_56_293 +*14275 FILLER_56_303 +*14276 FILLER_56_307 +*14277 FILLER_56_309 +*14278 FILLER_56_321 +*14279 FILLER_56_333 +*14280 FILLER_56_345 +*14281 FILLER_56_357 +*14282 FILLER_56_363 +*14283 FILLER_56_365 +*14284 FILLER_56_377 +*14285 FILLER_56_389 +*14286 FILLER_56_39 +*14287 FILLER_56_401 +*14288 FILLER_56_411 +*14289 FILLER_56_419 +*14290 FILLER_56_421 +*14291 FILLER_56_433 +*14292 FILLER_56_441 +*14293 FILLER_56_462 +*14294 FILLER_56_477 +*14295 FILLER_56_493 +*14296 FILLER_56_51 +*14297 FILLER_56_515 +*14298 FILLER_56_527 +*14299 FILLER_56_531 +*14300 FILLER_56_553 +*14301 FILLER_56_565 +*14302 FILLER_56_577 +*14303 FILLER_56_585 +*14304 FILLER_56_589 +*14305 FILLER_56_6 +*14306 FILLER_56_601 +*14307 FILLER_56_63 +*14308 FILLER_56_75 +*14309 FILLER_56_83 +*14310 FILLER_56_85 +*14311 FILLER_56_97 +*14312 FILLER_57_107 +*14313 FILLER_57_111 +*14314 FILLER_57_113 +*14315 FILLER_57_12 +*14316 FILLER_57_128 +*14317 FILLER_57_136 +*14318 FILLER_57_142 +*14319 FILLER_57_157 +*14320 FILLER_57_193 +*14321 FILLER_57_205 +*14322 FILLER_57_217 +*14323 FILLER_57_223 +*14324 FILLER_57_225 +*14325 FILLER_57_24 +*14326 FILLER_57_240 +*14327 FILLER_57_252 +*14328 FILLER_57_264 +*14329 FILLER_57_272 +*14330 FILLER_57_281 +*14331 FILLER_57_293 +*14332 FILLER_57_3 +*14333 FILLER_57_305 +*14334 FILLER_57_317 +*14335 FILLER_57_332 +*14336 FILLER_57_346 +*14337 FILLER_57_358 +*14338 FILLER_57_36 +*14339 FILLER_57_370 +*14340 FILLER_57_378 +*14341 FILLER_57_384 +*14342 FILLER_57_393 +*14343 FILLER_57_399 +*14344 FILLER_57_407 +*14345 FILLER_57_424 +*14346 FILLER_57_435 +*14347 FILLER_57_447 +*14348 FILLER_57_461 +*14349 FILLER_57_476 +*14350 FILLER_57_48 +*14351 FILLER_57_489 +*14352 FILLER_57_495 +*14353 FILLER_57_503 +*14354 FILLER_57_510 +*14355 FILLER_57_517 +*14356 FILLER_57_521 +*14357 FILLER_57_533 +*14358 FILLER_57_539 +*14359 FILLER_57_551 +*14360 FILLER_57_559 +*14361 FILLER_57_561 +*14362 FILLER_57_57 +*14363 FILLER_57_573 +*14364 FILLER_57_577 +*14365 FILLER_57_598 +*14366 FILLER_57_610 +*14367 FILLER_57_617 +*14368 FILLER_57_69 +*14369 FILLER_57_77 +*14370 FILLER_57_83 +*14371 FILLER_57_95 +*14372 FILLER_58_11 +*14373 FILLER_58_110 +*14374 FILLER_58_122 +*14375 FILLER_58_130 +*14376 FILLER_58_135 +*14377 FILLER_58_139 +*14378 FILLER_58_141 +*14379 FILLER_58_153 +*14380 FILLER_58_207 +*14381 FILLER_58_219 +*14382 FILLER_58_226 +*14383 FILLER_58_23 +*14384 FILLER_58_243 +*14385 FILLER_58_251 +*14386 FILLER_58_260 +*14387 FILLER_58_27 +*14388 FILLER_58_272 +*14389 FILLER_58_284 +*14390 FILLER_58_29 +*14391 FILLER_58_296 +*14392 FILLER_58_3 +*14393 FILLER_58_309 +*14394 FILLER_58_318 +*14395 FILLER_58_33 +*14396 FILLER_58_331 +*14397 FILLER_58_337 +*14398 FILLER_58_345 +*14399 FILLER_58_362 +*14400 FILLER_58_379 +*14401 FILLER_58_391 +*14402 FILLER_58_403 +*14403 FILLER_58_415 +*14404 FILLER_58_419 +*14405 FILLER_58_421 +*14406 FILLER_58_444 +*14407 FILLER_58_448 +*14408 FILLER_58_45 +*14409 FILLER_58_454 +*14410 FILLER_58_474 +*14411 FILLER_58_491 +*14412 FILLER_58_503 +*14413 FILLER_58_53 +*14414 FILLER_58_533 +*14415 FILLER_58_545 +*14416 FILLER_58_573 +*14417 FILLER_58_580 +*14418 FILLER_58_596 +*14419 FILLER_58_602 +*14420 FILLER_58_62 +*14421 FILLER_58_623 +*14422 FILLER_58_71 +*14423 FILLER_58_83 +*14424 FILLER_58_85 +*14425 FILLER_58_92 +*14426 FILLER_58_98 +*14427 FILLER_59_104 +*14428 FILLER_59_113 +*14429 FILLER_59_12 +*14430 FILLER_59_125 +*14431 FILLER_59_164 +*14432 FILLER_59_169 +*14433 FILLER_59_174 +*14434 FILLER_59_188 +*14435 FILLER_59_200 +*14436 FILLER_59_204 +*14437 FILLER_59_208 +*14438 FILLER_59_219 +*14439 FILLER_59_223 +*14440 FILLER_59_245 +*14441 FILLER_59_251 +*14442 FILLER_59_263 +*14443 FILLER_59_275 +*14444 FILLER_59_279 +*14445 FILLER_59_288 +*14446 FILLER_59_3 +*14447 FILLER_59_306 +*14448 FILLER_59_317 +*14449 FILLER_59_330 +*14450 FILLER_59_346 +*14451 FILLER_59_35 +*14452 FILLER_59_357 +*14453 FILLER_59_368 +*14454 FILLER_59_379 +*14455 FILLER_59_387 +*14456 FILLER_59_391 +*14457 FILLER_59_393 +*14458 FILLER_59_397 +*14459 FILLER_59_419 +*14460 FILLER_59_431 +*14461 FILLER_59_443 +*14462 FILLER_59_447 +*14463 FILLER_59_449 +*14464 FILLER_59_464 +*14465 FILLER_59_474 +*14466 FILLER_59_48 +*14467 FILLER_59_491 +*14468 FILLER_59_500 +*14469 FILLER_59_505 +*14470 FILLER_59_517 +*14471 FILLER_59_529 +*14472 FILLER_59_541 +*14473 FILLER_59_553 +*14474 FILLER_59_559 +*14475 FILLER_59_561 +*14476 FILLER_59_57 +*14477 FILLER_59_578 +*14478 FILLER_59_590 +*14479 FILLER_59_602 +*14480 FILLER_59_612 +*14481 FILLER_59_617 +*14482 FILLER_59_82 +*14483 FILLER_59_90 +*14484 FILLER_59_98 +*14485 FILLER_5_107 +*14486 FILLER_5_111 +*14487 FILLER_5_120 +*14488 FILLER_5_132 +*14489 FILLER_5_14 +*14490 FILLER_5_144 +*14491 FILLER_5_153 +*14492 FILLER_5_165 +*14493 FILLER_5_190 +*14494 FILLER_5_202 +*14495 FILLER_5_225 +*14496 FILLER_5_237 +*14497 FILLER_5_249 +*14498 FILLER_5_258 +*14499 FILLER_5_26 +*14500 FILLER_5_277 +*14501 FILLER_5_281 +*14502 FILLER_5_293 +*14503 FILLER_5_3 +*14504 FILLER_5_305 +*14505 FILLER_5_317 +*14506 FILLER_5_326 +*14507 FILLER_5_333 +*14508 FILLER_5_337 +*14509 FILLER_5_349 +*14510 FILLER_5_355 +*14511 FILLER_5_377 +*14512 FILLER_5_38 +*14513 FILLER_5_389 +*14514 FILLER_5_393 +*14515 FILLER_5_405 +*14516 FILLER_5_417 +*14517 FILLER_5_429 +*14518 FILLER_5_441 +*14519 FILLER_5_447 +*14520 FILLER_5_469 +*14521 FILLER_5_481 +*14522 FILLER_5_485 +*14523 FILLER_5_495 +*14524 FILLER_5_50 +*14525 FILLER_5_503 +*14526 FILLER_5_505 +*14527 FILLER_5_524 +*14528 FILLER_5_536 +*14529 FILLER_5_548 +*14530 FILLER_5_561 +*14531 FILLER_5_569 +*14532 FILLER_5_581 +*14533 FILLER_5_593 +*14534 FILLER_5_60 +*14535 FILLER_5_601 +*14536 FILLER_5_609 +*14537 FILLER_5_615 +*14538 FILLER_5_617 +*14539 FILLER_5_72 +*14540 FILLER_5_77 +*14541 FILLER_5_89 +*14542 FILLER_5_93 +*14543 FILLER_5_97 +*14544 FILLER_60_107 +*14545 FILLER_60_12 +*14546 FILLER_60_136 +*14547 FILLER_60_141 +*14548 FILLER_60_163 +*14549 FILLER_60_175 +*14550 FILLER_60_187 +*14551 FILLER_60_195 +*14552 FILLER_60_197 +*14553 FILLER_60_216 +*14554 FILLER_60_22 +*14555 FILLER_60_228 +*14556 FILLER_60_234 +*14557 FILLER_60_246 +*14558 FILLER_60_260 +*14559 FILLER_60_264 +*14560 FILLER_60_274 +*14561 FILLER_60_291 +*14562 FILLER_60_299 +*14563 FILLER_60_326 +*14564 FILLER_60_338 +*14565 FILLER_60_365 +*14566 FILLER_60_378 +*14567 FILLER_60_382 +*14568 FILLER_60_40 +*14569 FILLER_60_424 +*14570 FILLER_60_436 +*14571 FILLER_60_448 +*14572 FILLER_60_474 +*14573 FILLER_60_515 +*14574 FILLER_60_527 +*14575 FILLER_60_531 +*14576 FILLER_60_533 +*14577 FILLER_60_54 +*14578 FILLER_60_542 +*14579 FILLER_60_554 +*14580 FILLER_60_566 +*14581 FILLER_60_578 +*14582 FILLER_60_586 +*14583 FILLER_60_589 +*14584 FILLER_60_6 +*14585 FILLER_60_601 +*14586 FILLER_60_61 +*14587 FILLER_60_611 +*14588 FILLER_60_69 +*14589 FILLER_60_93 +*14590 FILLER_60_99 +*14591 FILLER_61_100 +*14592 FILLER_61_109 +*14593 FILLER_61_113 +*14594 FILLER_61_125 +*14595 FILLER_61_137 +*14596 FILLER_61_149 +*14597 FILLER_61_155 +*14598 FILLER_61_159 +*14599 FILLER_61_167 +*14600 FILLER_61_176 +*14601 FILLER_61_188 +*14602 FILLER_61_200 +*14603 FILLER_61_212 +*14604 FILLER_61_225 +*14605 FILLER_61_237 +*14606 FILLER_61_24 +*14607 FILLER_61_249 +*14608 FILLER_61_259 +*14609 FILLER_61_263 +*14610 FILLER_61_273 +*14611 FILLER_61_279 +*14612 FILLER_61_295 +*14613 FILLER_61_3 +*14614 FILLER_61_307 +*14615 FILLER_61_322 +*14616 FILLER_61_334 +*14617 FILLER_61_337 +*14618 FILLER_61_349 +*14619 FILLER_61_36 +*14620 FILLER_61_361 +*14621 FILLER_61_376 +*14622 FILLER_61_388 +*14623 FILLER_61_409 +*14624 FILLER_61_440 +*14625 FILLER_61_449 +*14626 FILLER_61_469 +*14627 FILLER_61_48 +*14628 FILLER_61_480 +*14629 FILLER_61_492 +*14630 FILLER_61_499 +*14631 FILLER_61_503 +*14632 FILLER_61_505 +*14633 FILLER_61_555 +*14634 FILLER_61_559 +*14635 FILLER_61_561 +*14636 FILLER_61_57 +*14637 FILLER_61_573 +*14638 FILLER_61_585 +*14639 FILLER_61_617 +*14640 FILLER_61_69 +*14641 FILLER_61_73 +*14642 FILLER_61_82 +*14643 FILLER_61_94 +*14644 FILLER_62_109 +*14645 FILLER_62_121 +*14646 FILLER_62_136 +*14647 FILLER_62_141 +*14648 FILLER_62_156 +*14649 FILLER_62_18 +*14650 FILLER_62_185 +*14651 FILLER_62_193 +*14652 FILLER_62_204 +*14653 FILLER_62_216 +*14654 FILLER_62_220 +*14655 FILLER_62_241 +*14656 FILLER_62_249 +*14657 FILLER_62_253 +*14658 FILLER_62_26 +*14659 FILLER_62_265 +*14660 FILLER_62_277 +*14661 FILLER_62_29 +*14662 FILLER_62_294 +*14663 FILLER_62_306 +*14664 FILLER_62_309 +*14665 FILLER_62_321 +*14666 FILLER_62_329 +*14667 FILLER_62_338 +*14668 FILLER_62_350 +*14669 FILLER_62_362 +*14670 FILLER_62_365 +*14671 FILLER_62_377 +*14672 FILLER_62_389 +*14673 FILLER_62_401 +*14674 FILLER_62_41 +*14675 FILLER_62_413 +*14676 FILLER_62_419 +*14677 FILLER_62_421 +*14678 FILLER_62_429 +*14679 FILLER_62_438 +*14680 FILLER_62_446 +*14681 FILLER_62_464 +*14682 FILLER_62_477 +*14683 FILLER_62_489 +*14684 FILLER_62_528 +*14685 FILLER_62_53 +*14686 FILLER_62_536 +*14687 FILLER_62_548 +*14688 FILLER_62_560 +*14689 FILLER_62_589 +*14690 FILLER_62_6 +*14691 FILLER_62_601 +*14692 FILLER_62_65 +*14693 FILLER_62_77 +*14694 FILLER_62_83 +*14695 FILLER_62_85 +*14696 FILLER_62_97 +*14697 FILLER_63_111 +*14698 FILLER_63_113 +*14699 FILLER_63_137 +*14700 FILLER_63_15 +*14701 FILLER_63_159 +*14702 FILLER_63_167 +*14703 FILLER_63_169 +*14704 FILLER_63_177 +*14705 FILLER_63_206 +*14706 FILLER_63_218 +*14707 FILLER_63_246 +*14708 FILLER_63_258 +*14709 FILLER_63_27 +*14710 FILLER_63_270 +*14711 FILLER_63_278 +*14712 FILLER_63_281 +*14713 FILLER_63_296 +*14714 FILLER_63_3 +*14715 FILLER_63_308 +*14716 FILLER_63_326 +*14717 FILLER_63_334 +*14718 FILLER_63_337 +*14719 FILLER_63_349 +*14720 FILLER_63_361 +*14721 FILLER_63_373 +*14722 FILLER_63_385 +*14723 FILLER_63_39 +*14724 FILLER_63_391 +*14725 FILLER_63_396 +*14726 FILLER_63_411 +*14727 FILLER_63_424 +*14728 FILLER_63_436 +*14729 FILLER_63_449 +*14730 FILLER_63_461 +*14731 FILLER_63_47 +*14732 FILLER_63_473 +*14733 FILLER_63_489 +*14734 FILLER_63_533 +*14735 FILLER_63_54 +*14736 FILLER_63_545 +*14737 FILLER_63_558 +*14738 FILLER_63_57 +*14739 FILLER_63_584 +*14740 FILLER_63_606 +*14741 FILLER_63_614 +*14742 FILLER_63_617 +*14743 FILLER_63_63 +*14744 FILLER_63_75 +*14745 FILLER_63_87 +*14746 FILLER_63_99 +*14747 FILLER_64_103 +*14748 FILLER_64_11 +*14749 FILLER_64_115 +*14750 FILLER_64_127 +*14751 FILLER_64_139 +*14752 FILLER_64_141 +*14753 FILLER_64_153 +*14754 FILLER_64_169 +*14755 FILLER_64_181 +*14756 FILLER_64_192 +*14757 FILLER_64_217 +*14758 FILLER_64_23 +*14759 FILLER_64_234 +*14760 FILLER_64_248 +*14761 FILLER_64_260 +*14762 FILLER_64_268 +*14763 FILLER_64_27 +*14764 FILLER_64_280 +*14765 FILLER_64_297 +*14766 FILLER_64_3 +*14767 FILLER_64_307 +*14768 FILLER_64_309 +*14769 FILLER_64_318 +*14770 FILLER_64_340 +*14771 FILLER_64_348 +*14772 FILLER_64_358 +*14773 FILLER_64_372 +*14774 FILLER_64_38 +*14775 FILLER_64_380 +*14776 FILLER_64_409 +*14777 FILLER_64_417 +*14778 FILLER_64_421 +*14779 FILLER_64_433 +*14780 FILLER_64_445 +*14781 FILLER_64_463 +*14782 FILLER_64_470 +*14783 FILLER_64_530 +*14784 FILLER_64_540 +*14785 FILLER_64_548 +*14786 FILLER_64_553 +*14787 FILLER_64_561 +*14788 FILLER_64_577 +*14789 FILLER_64_585 +*14790 FILLER_64_596 +*14791 FILLER_64_63 +*14792 FILLER_64_74 +*14793 FILLER_64_82 +*14794 FILLER_64_90 +*14795 FILLER_64_95 +*14796 FILLER_64_99 +*14797 FILLER_65_102 +*14798 FILLER_65_108 +*14799 FILLER_65_113 +*14800 FILLER_65_125 +*14801 FILLER_65_137 +*14802 FILLER_65_14 +*14803 FILLER_65_149 +*14804 FILLER_65_161 +*14805 FILLER_65_167 +*14806 FILLER_65_169 +*14807 FILLER_65_18 +*14808 FILLER_65_181 +*14809 FILLER_65_193 +*14810 FILLER_65_201 +*14811 FILLER_65_207 +*14812 FILLER_65_215 +*14813 FILLER_65_220 +*14814 FILLER_65_225 +*14815 FILLER_65_237 +*14816 FILLER_65_245 +*14817 FILLER_65_279 +*14818 FILLER_65_29 +*14819 FILLER_65_297 +*14820 FILLER_65_3 +*14821 FILLER_65_320 +*14822 FILLER_65_331 +*14823 FILLER_65_335 +*14824 FILLER_65_337 +*14825 FILLER_65_356 +*14826 FILLER_65_375 +*14827 FILLER_65_387 +*14828 FILLER_65_391 +*14829 FILLER_65_393 +*14830 FILLER_65_405 +*14831 FILLER_65_417 +*14832 FILLER_65_429 +*14833 FILLER_65_441 +*14834 FILLER_65_447 +*14835 FILLER_65_449 +*14836 FILLER_65_468 +*14837 FILLER_65_501 +*14838 FILLER_65_511 +*14839 FILLER_65_52 +*14840 FILLER_65_550 +*14841 FILLER_65_558 +*14842 FILLER_65_568 +*14843 FILLER_65_57 +*14844 FILLER_65_580 +*14845 FILLER_65_586 +*14846 FILLER_65_597 +*14847 FILLER_65_609 +*14848 FILLER_65_615 +*14849 FILLER_65_617 +*14850 FILLER_65_69 +*14851 FILLER_65_73 +*14852 FILLER_65_9 +*14853 FILLER_65_92 +*14854 FILLER_66_106 +*14855 FILLER_66_120 +*14856 FILLER_66_132 +*14857 FILLER_66_141 +*14858 FILLER_66_153 +*14859 FILLER_66_165 +*14860 FILLER_66_177 +*14861 FILLER_66_189 +*14862 FILLER_66_195 +*14863 FILLER_66_197 +*14864 FILLER_66_209 +*14865 FILLER_66_221 +*14866 FILLER_66_233 +*14867 FILLER_66_245 +*14868 FILLER_66_251 +*14869 FILLER_66_253 +*14870 FILLER_66_265 +*14871 FILLER_66_276 +*14872 FILLER_66_288 +*14873 FILLER_66_29 +*14874 FILLER_66_299 +*14875 FILLER_66_307 +*14876 FILLER_66_309 +*14877 FILLER_66_321 +*14878 FILLER_66_329 +*14879 FILLER_66_338 +*14880 FILLER_66_358 +*14881 FILLER_66_374 +*14882 FILLER_66_378 +*14883 FILLER_66_390 +*14884 FILLER_66_40 +*14885 FILLER_66_402 +*14886 FILLER_66_410 +*14887 FILLER_66_418 +*14888 FILLER_66_421 +*14889 FILLER_66_433 +*14890 FILLER_66_472 +*14891 FILLER_66_491 +*14892 FILLER_66_52 +*14893 FILLER_66_531 +*14894 FILLER_66_542 +*14895 FILLER_66_56 +*14896 FILLER_66_570 +*14897 FILLER_66_582 +*14898 FILLER_66_589 +*14899 FILLER_66_601 +*14900 FILLER_66_613 +*14901 FILLER_66_64 +*14902 FILLER_66_69 +*14903 FILLER_66_92 +*14904 FILLER_67_105 +*14905 FILLER_67_111 +*14906 FILLER_67_113 +*14907 FILLER_67_142 +*14908 FILLER_67_169 +*14909 FILLER_67_177 +*14910 FILLER_67_189 +*14911 FILLER_67_201 +*14912 FILLER_67_21 +*14913 FILLER_67_213 +*14914 FILLER_67_221 +*14915 FILLER_67_225 +*14916 FILLER_67_233 +*14917 FILLER_67_241 +*14918 FILLER_67_253 +*14919 FILLER_67_265 +*14920 FILLER_67_277 +*14921 FILLER_67_281 +*14922 FILLER_67_293 +*14923 FILLER_67_305 +*14924 FILLER_67_317 +*14925 FILLER_67_329 +*14926 FILLER_67_33 +*14927 FILLER_67_335 +*14928 FILLER_67_337 +*14929 FILLER_67_349 +*14930 FILLER_67_361 +*14931 FILLER_67_373 +*14932 FILLER_67_385 +*14933 FILLER_67_391 +*14934 FILLER_67_393 +*14935 FILLER_67_399 +*14936 FILLER_67_407 +*14937 FILLER_67_411 +*14938 FILLER_67_439 +*14939 FILLER_67_449 +*14940 FILLER_67_45 +*14941 FILLER_67_455 +*14942 FILLER_67_459 +*14943 FILLER_67_476 +*14944 FILLER_67_482 +*14945 FILLER_67_493 +*14946 FILLER_67_503 +*14947 FILLER_67_521 +*14948 FILLER_67_53 +*14949 FILLER_67_533 +*14950 FILLER_67_545 +*14951 FILLER_67_557 +*14952 FILLER_67_561 +*14953 FILLER_67_57 +*14954 FILLER_67_573 +*14955 FILLER_67_581 +*14956 FILLER_67_603 +*14957 FILLER_67_615 +*14958 FILLER_67_624 +*14959 FILLER_67_69 +*14960 FILLER_67_81 +*14961 FILLER_67_89 +*14962 FILLER_67_9 +*14963 FILLER_67_99 +*14964 FILLER_68_106 +*14965 FILLER_68_118 +*14966 FILLER_68_130 +*14967 FILLER_68_161 +*14968 FILLER_68_18 +*14969 FILLER_68_189 +*14970 FILLER_68_195 +*14971 FILLER_68_200 +*14972 FILLER_68_210 +*14973 FILLER_68_214 +*14974 FILLER_68_225 +*14975 FILLER_68_233 +*14976 FILLER_68_239 +*14977 FILLER_68_249 +*14978 FILLER_68_253 +*14979 FILLER_68_26 +*14980 FILLER_68_261 +*14981 FILLER_68_271 +*14982 FILLER_68_279 +*14983 FILLER_68_288 +*14984 FILLER_68_29 +*14985 FILLER_68_300 +*14986 FILLER_68_309 +*14987 FILLER_68_321 +*14988 FILLER_68_332 +*14989 FILLER_68_344 +*14990 FILLER_68_356 +*14991 FILLER_68_365 +*14992 FILLER_68_369 +*14993 FILLER_68_377 +*14994 FILLER_68_389 +*14995 FILLER_68_41 +*14996 FILLER_68_418 +*14997 FILLER_68_421 +*14998 FILLER_68_429 +*14999 FILLER_68_438 +*15000 FILLER_68_453 +*15001 FILLER_68_467 +*15002 FILLER_68_475 +*15003 FILLER_68_501 +*15004 FILLER_68_514 +*15005 FILLER_68_526 +*15006 FILLER_68_53 +*15007 FILLER_68_533 +*15008 FILLER_68_545 +*15009 FILLER_68_554 +*15010 FILLER_68_566 +*15011 FILLER_68_578 +*15012 FILLER_68_586 +*15013 FILLER_68_589 +*15014 FILLER_68_6 +*15015 FILLER_68_60 +*15016 FILLER_68_624 +*15017 FILLER_68_72 +*15018 FILLER_68_92 +*15019 FILLER_69_106 +*15020 FILLER_69_113 +*15021 FILLER_69_12 +*15022 FILLER_69_125 +*15023 FILLER_69_133 +*15024 FILLER_69_138 +*15025 FILLER_69_150 +*15026 FILLER_69_158 +*15027 FILLER_69_163 +*15028 FILLER_69_225 +*15029 FILLER_69_236 +*15030 FILLER_69_24 +*15031 FILLER_69_246 +*15032 FILLER_69_254 +*15033 FILLER_69_279 +*15034 FILLER_69_281 +*15035 FILLER_69_3 +*15036 FILLER_69_303 +*15037 FILLER_69_315 +*15038 FILLER_69_325 +*15039 FILLER_69_341 +*15040 FILLER_69_349 +*15041 FILLER_69_36 +*15042 FILLER_69_360 +*15043 FILLER_69_364 +*15044 FILLER_69_383 +*15045 FILLER_69_391 +*15046 FILLER_69_393 +*15047 FILLER_69_399 +*15048 FILLER_69_407 +*15049 FILLER_69_419 +*15050 FILLER_69_431 +*15051 FILLER_69_443 +*15052 FILLER_69_447 +*15053 FILLER_69_454 +*15054 FILLER_69_462 +*15055 FILLER_69_469 +*15056 FILLER_69_500 +*15057 FILLER_69_505 +*15058 FILLER_69_511 +*15059 FILLER_69_53 +*15060 FILLER_69_532 +*15061 FILLER_69_544 +*15062 FILLER_69_556 +*15063 FILLER_69_561 +*15064 FILLER_69_576 +*15065 FILLER_69_591 +*15066 FILLER_69_60 +*15067 FILLER_69_603 +*15068 FILLER_69_615 +*15069 FILLER_69_617 +*15070 FILLER_69_72 +*15071 FILLER_69_76 +*15072 FILLER_69_80 +*15073 FILLER_69_88 +*15074 FILLER_69_94 +*15075 FILLER_6_124 +*15076 FILLER_6_136 +*15077 FILLER_6_141 +*15078 FILLER_6_149 +*15079 FILLER_6_173 +*15080 FILLER_6_185 +*15081 FILLER_6_19 +*15082 FILLER_6_194 +*15083 FILLER_6_200 +*15084 FILLER_6_212 +*15085 FILLER_6_225 +*15086 FILLER_6_233 +*15087 FILLER_6_238 +*15088 FILLER_6_250 +*15089 FILLER_6_253 +*15090 FILLER_6_265 +*15091 FILLER_6_27 +*15092 FILLER_6_277 +*15093 FILLER_6_289 +*15094 FILLER_6_29 +*15095 FILLER_6_295 +*15096 FILLER_6_303 +*15097 FILLER_6_307 +*15098 FILLER_6_309 +*15099 FILLER_6_336 +*15100 FILLER_6_349 +*15101 FILLER_6_357 +*15102 FILLER_6_363 +*15103 FILLER_6_365 +*15104 FILLER_6_37 +*15105 FILLER_6_377 +*15106 FILLER_6_389 +*15107 FILLER_6_401 +*15108 FILLER_6_418 +*15109 FILLER_6_421 +*15110 FILLER_6_427 +*15111 FILLER_6_439 +*15112 FILLER_6_445 +*15113 FILLER_6_453 +*15114 FILLER_6_457 +*15115 FILLER_6_465 +*15116 FILLER_6_473 +*15117 FILLER_6_477 +*15118 FILLER_6_489 +*15119 FILLER_6_501 +*15120 FILLER_6_513 +*15121 FILLER_6_525 +*15122 FILLER_6_531 +*15123 FILLER_6_533 +*15124 FILLER_6_545 +*15125 FILLER_6_557 +*15126 FILLER_6_569 +*15127 FILLER_6_581 +*15128 FILLER_6_587 +*15129 FILLER_6_589 +*15130 FILLER_6_601 +*15131 FILLER_6_613 +*15132 FILLER_6_7 +*15133 FILLER_6_85 +*15134 FILLER_70_103 +*15135 FILLER_70_115 +*15136 FILLER_70_127 +*15137 FILLER_70_137 +*15138 FILLER_70_14 +*15139 FILLER_70_141 +*15140 FILLER_70_153 +*15141 FILLER_70_165 +*15142 FILLER_70_177 +*15143 FILLER_70_185 +*15144 FILLER_70_192 +*15145 FILLER_70_202 +*15146 FILLER_70_206 +*15147 FILLER_70_22 +*15148 FILLER_70_221 +*15149 FILLER_70_229 +*15150 FILLER_70_246 +*15151 FILLER_70_253 +*15152 FILLER_70_265 +*15153 FILLER_70_273 +*15154 FILLER_70_286 +*15155 FILLER_70_298 +*15156 FILLER_70_323 +*15157 FILLER_70_331 +*15158 FILLER_70_358 +*15159 FILLER_70_365 +*15160 FILLER_70_371 +*15161 FILLER_70_374 +*15162 FILLER_70_380 +*15163 FILLER_70_391 +*15164 FILLER_70_403 +*15165 FILLER_70_415 +*15166 FILLER_70_419 +*15167 FILLER_70_421 +*15168 FILLER_70_433 +*15169 FILLER_70_445 +*15170 FILLER_70_475 +*15171 FILLER_70_482 +*15172 FILLER_70_498 +*15173 FILLER_70_50 +*15174 FILLER_70_510 +*15175 FILLER_70_518 +*15176 FILLER_70_526 +*15177 FILLER_70_531 +*15178 FILLER_70_533 +*15179 FILLER_70_565 +*15180 FILLER_70_586 +*15181 FILLER_70_593 +*15182 FILLER_70_6 +*15183 FILLER_70_605 +*15184 FILLER_70_617 +*15185 FILLER_70_63 +*15186 FILLER_70_75 +*15187 FILLER_70_81 +*15188 FILLER_70_91 +*15189 FILLER_71_110 +*15190 FILLER_71_118 +*15191 FILLER_71_12 +*15192 FILLER_71_122 +*15193 FILLER_71_143 +*15194 FILLER_71_152 +*15195 FILLER_71_164 +*15196 FILLER_71_169 +*15197 FILLER_71_181 +*15198 FILLER_71_193 +*15199 FILLER_71_205 +*15200 FILLER_71_217 +*15201 FILLER_71_223 +*15202 FILLER_71_225 +*15203 FILLER_71_237 +*15204 FILLER_71_268 +*15205 FILLER_71_281 +*15206 FILLER_71_289 +*15207 FILLER_71_3 +*15208 FILLER_71_309 +*15209 FILLER_71_313 +*15210 FILLER_71_33 +*15211 FILLER_71_330 +*15212 FILLER_71_337 +*15213 FILLER_71_345 +*15214 FILLER_71_372 +*15215 FILLER_71_38 +*15216 FILLER_71_384 +*15217 FILLER_71_393 +*15218 FILLER_71_405 +*15219 FILLER_71_414 +*15220 FILLER_71_433 +*15221 FILLER_71_445 +*15222 FILLER_71_461 +*15223 FILLER_71_47 +*15224 FILLER_71_480 +*15225 FILLER_71_492 +*15226 FILLER_71_505 +*15227 FILLER_71_515 +*15228 FILLER_71_55 +*15229 FILLER_71_551 +*15230 FILLER_71_559 +*15231 FILLER_71_561 +*15232 FILLER_71_57 +*15233 FILLER_71_571 +*15234 FILLER_71_583 +*15235 FILLER_71_595 +*15236 FILLER_71_607 +*15237 FILLER_71_615 +*15238 FILLER_71_623 +*15239 FILLER_71_73 +*15240 FILLER_71_8 +*15241 FILLER_71_85 +*15242 FILLER_71_97 +*15243 FILLER_72_104 +*15244 FILLER_72_118 +*15245 FILLER_72_130 +*15246 FILLER_72_138 +*15247 FILLER_72_14 +*15248 FILLER_72_141 +*15249 FILLER_72_153 +*15250 FILLER_72_165 +*15251 FILLER_72_177 +*15252 FILLER_72_189 +*15253 FILLER_72_195 +*15254 FILLER_72_197 +*15255 FILLER_72_20 +*15256 FILLER_72_209 +*15257 FILLER_72_221 +*15258 FILLER_72_233 +*15259 FILLER_72_245 +*15260 FILLER_72_251 +*15261 FILLER_72_262 +*15262 FILLER_72_274 +*15263 FILLER_72_286 +*15264 FILLER_72_298 +*15265 FILLER_72_306 +*15266 FILLER_72_309 +*15267 FILLER_72_319 +*15268 FILLER_72_331 +*15269 FILLER_72_343 +*15270 FILLER_72_35 +*15271 FILLER_72_362 +*15272 FILLER_72_365 +*15273 FILLER_72_377 +*15274 FILLER_72_385 +*15275 FILLER_72_395 +*15276 FILLER_72_416 +*15277 FILLER_72_421 +*15278 FILLER_72_438 +*15279 FILLER_72_450 +*15280 FILLER_72_454 +*15281 FILLER_72_47 +*15282 FILLER_72_472 +*15283 FILLER_72_487 +*15284 FILLER_72_491 +*15285 FILLER_72_529 +*15286 FILLER_72_536 +*15287 FILLER_72_540 +*15288 FILLER_72_548 +*15289 FILLER_72_556 +*15290 FILLER_72_565 +*15291 FILLER_72_573 +*15292 FILLER_72_582 +*15293 FILLER_72_589 +*15294 FILLER_72_593 +*15295 FILLER_72_6 +*15296 FILLER_72_71 +*15297 FILLER_72_83 +*15298 FILLER_72_85 +*15299 FILLER_72_97 +*15300 FILLER_73_104 +*15301 FILLER_73_110 +*15302 FILLER_73_122 +*15303 FILLER_73_134 +*15304 FILLER_73_157 +*15305 FILLER_73_169 +*15306 FILLER_73_180 +*15307 FILLER_73_193 +*15308 FILLER_73_21 +*15309 FILLER_73_221 +*15310 FILLER_73_225 +*15311 FILLER_73_237 +*15312 FILLER_73_249 +*15313 FILLER_73_261 +*15314 FILLER_73_273 +*15315 FILLER_73_279 +*15316 FILLER_73_281 +*15317 FILLER_73_293 +*15318 FILLER_73_3 +*15319 FILLER_73_305 +*15320 FILLER_73_317 +*15321 FILLER_73_325 +*15322 FILLER_73_33 +*15323 FILLER_73_335 +*15324 FILLER_73_354 +*15325 FILLER_73_366 +*15326 FILLER_73_378 +*15327 FILLER_73_390 +*15328 FILLER_73_402 +*15329 FILLER_73_414 +*15330 FILLER_73_422 +*15331 FILLER_73_432 +*15332 FILLER_73_444 +*15333 FILLER_73_456 +*15334 FILLER_73_477 +*15335 FILLER_73_495 +*15336 FILLER_73_50 +*15337 FILLER_73_503 +*15338 FILLER_73_515 +*15339 FILLER_73_527 +*15340 FILLER_73_539 +*15341 FILLER_73_551 +*15342 FILLER_73_559 +*15343 FILLER_73_561 +*15344 FILLER_73_57 +*15345 FILLER_73_573 +*15346 FILLER_73_617 +*15347 FILLER_73_63 +*15348 FILLER_73_70 +*15349 FILLER_73_85 +*15350 FILLER_73_9 +*15351 FILLER_73_91 +*15352 FILLER_74_104 +*15353 FILLER_74_112 +*15354 FILLER_74_128 +*15355 FILLER_74_148 +*15356 FILLER_74_18 +*15357 FILLER_74_191 +*15358 FILLER_74_195 +*15359 FILLER_74_206 +*15360 FILLER_74_234 +*15361 FILLER_74_249 +*15362 FILLER_74_253 +*15363 FILLER_74_26 +*15364 FILLER_74_268 +*15365 FILLER_74_276 +*15366 FILLER_74_29 +*15367 FILLER_74_300 +*15368 FILLER_74_309 +*15369 FILLER_74_321 +*15370 FILLER_74_333 +*15371 FILLER_74_341 +*15372 FILLER_74_354 +*15373 FILLER_74_362 +*15374 FILLER_74_365 +*15375 FILLER_74_376 +*15376 FILLER_74_388 +*15377 FILLER_74_403 +*15378 FILLER_74_409 +*15379 FILLER_74_41 +*15380 FILLER_74_419 +*15381 FILLER_74_421 +*15382 FILLER_74_433 +*15383 FILLER_74_441 +*15384 FILLER_74_452 +*15385 FILLER_74_501 +*15386 FILLER_74_513 +*15387 FILLER_74_52 +*15388 FILLER_74_525 +*15389 FILLER_74_531 +*15390 FILLER_74_533 +*15391 FILLER_74_545 +*15392 FILLER_74_557 +*15393 FILLER_74_569 +*15394 FILLER_74_581 +*15395 FILLER_74_587 +*15396 FILLER_74_589 +*15397 FILLER_74_6 +*15398 FILLER_74_60 +*15399 FILLER_74_603 +*15400 FILLER_74_65 +*15401 FILLER_74_77 +*15402 FILLER_74_92 +*15403 FILLER_75_104 +*15404 FILLER_75_116 +*15405 FILLER_75_127 +*15406 FILLER_75_139 +*15407 FILLER_75_151 +*15408 FILLER_75_162 +*15409 FILLER_75_169 +*15410 FILLER_75_179 +*15411 FILLER_75_18 +*15412 FILLER_75_191 +*15413 FILLER_75_199 +*15414 FILLER_75_211 +*15415 FILLER_75_220 +*15416 FILLER_75_232 +*15417 FILLER_75_24 +*15418 FILLER_75_249 +*15419 FILLER_75_261 +*15420 FILLER_75_278 +*15421 FILLER_75_281 +*15422 FILLER_75_289 +*15423 FILLER_75_299 +*15424 FILLER_75_3 +*15425 FILLER_75_316 +*15426 FILLER_75_337 +*15427 FILLER_75_350 +*15428 FILLER_75_36 +*15429 FILLER_75_362 +*15430 FILLER_75_377 +*15431 FILLER_75_390 +*15432 FILLER_75_400 +*15433 FILLER_75_429 +*15434 FILLER_75_441 +*15435 FILLER_75_447 +*15436 FILLER_75_449 +*15437 FILLER_75_461 +*15438 FILLER_75_465 +*15439 FILLER_75_471 +*15440 FILLER_75_475 +*15441 FILLER_75_481 +*15442 FILLER_75_495 +*15443 FILLER_75_503 +*15444 FILLER_75_505 +*15445 FILLER_75_517 +*15446 FILLER_75_529 +*15447 FILLER_75_537 +*15448 FILLER_75_54 +*15449 FILLER_75_561 +*15450 FILLER_75_573 +*15451 FILLER_75_577 +*15452 FILLER_75_589 +*15453 FILLER_75_596 +*15454 FILLER_75_60 +*15455 FILLER_75_608 +*15456 FILLER_75_617 +*15457 FILLER_75_72 +*15458 FILLER_75_76 +*15459 FILLER_75_80 +*15460 FILLER_75_92 +*15461 FILLER_76_102 +*15462 FILLER_76_106 +*15463 FILLER_76_111 +*15464 FILLER_76_123 +*15465 FILLER_76_135 +*15466 FILLER_76_139 +*15467 FILLER_76_141 +*15468 FILLER_76_153 +*15469 FILLER_76_175 +*15470 FILLER_76_187 +*15471 FILLER_76_195 +*15472 FILLER_76_197 +*15473 FILLER_76_205 +*15474 FILLER_76_210 +*15475 FILLER_76_222 +*15476 FILLER_76_234 +*15477 FILLER_76_24 +*15478 FILLER_76_242 +*15479 FILLER_76_250 +*15480 FILLER_76_260 +*15481 FILLER_76_272 +*15482 FILLER_76_284 +*15483 FILLER_76_288 +*15484 FILLER_76_29 +*15485 FILLER_76_298 +*15486 FILLER_76_3 +*15487 FILLER_76_306 +*15488 FILLER_76_309 +*15489 FILLER_76_324 +*15490 FILLER_76_332 +*15491 FILLER_76_345 +*15492 FILLER_76_363 +*15493 FILLER_76_375 +*15494 FILLER_76_387 +*15495 FILLER_76_397 +*15496 FILLER_76_405 +*15497 FILLER_76_41 +*15498 FILLER_76_416 +*15499 FILLER_76_421 +*15500 FILLER_76_427 +*15501 FILLER_76_437 +*15502 FILLER_76_445 +*15503 FILLER_76_454 +*15504 FILLER_76_462 +*15505 FILLER_76_482 +*15506 FILLER_76_49 +*15507 FILLER_76_502 +*15508 FILLER_76_506 +*15509 FILLER_76_514 +*15510 FILLER_76_520 +*15511 FILLER_76_524 +*15512 FILLER_76_53 +*15513 FILLER_76_578 +*15514 FILLER_76_586 +*15515 FILLER_76_589 +*15516 FILLER_76_601 +*15517 FILLER_76_613 +*15518 FILLER_76_65 +*15519 FILLER_76_69 +*15520 FILLER_76_81 +*15521 FILLER_76_85 +*15522 FILLER_76_94 +*15523 FILLER_77_105 +*15524 FILLER_77_111 +*15525 FILLER_77_113 +*15526 FILLER_77_125 +*15527 FILLER_77_137 +*15528 FILLER_77_149 +*15529 FILLER_77_15 +*15530 FILLER_77_154 +*15531 FILLER_77_166 +*15532 FILLER_77_169 +*15533 FILLER_77_180 +*15534 FILLER_77_204 +*15535 FILLER_77_216 +*15536 FILLER_77_225 +*15537 FILLER_77_237 +*15538 FILLER_77_249 +*15539 FILLER_77_261 +*15540 FILLER_77_27 +*15541 FILLER_77_273 +*15542 FILLER_77_279 +*15543 FILLER_77_281 +*15544 FILLER_77_293 +*15545 FILLER_77_305 +*15546 FILLER_77_317 +*15547 FILLER_77_329 +*15548 FILLER_77_335 +*15549 FILLER_77_34 +*15550 FILLER_77_344 +*15551 FILLER_77_356 +*15552 FILLER_77_368 +*15553 FILLER_77_380 +*15554 FILLER_77_393 +*15555 FILLER_77_405 +*15556 FILLER_77_424 +*15557 FILLER_77_445 +*15558 FILLER_77_46 +*15559 FILLER_77_472 +*15560 FILLER_77_486 +*15561 FILLER_77_502 +*15562 FILLER_77_532 +*15563 FILLER_77_54 +*15564 FILLER_77_544 +*15565 FILLER_77_553 +*15566 FILLER_77_559 +*15567 FILLER_77_568 +*15568 FILLER_77_57 +*15569 FILLER_77_574 +*15570 FILLER_77_582 +*15571 FILLER_77_585 +*15572 FILLER_77_597 +*15573 FILLER_77_609 +*15574 FILLER_77_615 +*15575 FILLER_77_617 +*15576 FILLER_77_69 +*15577 FILLER_77_81 +*15578 FILLER_77_9 +*15579 FILLER_77_93 +*15580 FILLER_78_107 +*15581 FILLER_78_122 +*15582 FILLER_78_130 +*15583 FILLER_78_139 +*15584 FILLER_78_148 +*15585 FILLER_78_15 +*15586 FILLER_78_154 +*15587 FILLER_78_176 +*15588 FILLER_78_184 +*15589 FILLER_78_192 +*15590 FILLER_78_197 +*15591 FILLER_78_209 +*15592 FILLER_78_221 +*15593 FILLER_78_233 +*15594 FILLER_78_253 +*15595 FILLER_78_263 +*15596 FILLER_78_275 +*15597 FILLER_78_293 +*15598 FILLER_78_297 +*15599 FILLER_78_3 +*15600 FILLER_78_307 +*15601 FILLER_78_309 +*15602 FILLER_78_321 +*15603 FILLER_78_333 +*15604 FILLER_78_341 +*15605 FILLER_78_351 +*15606 FILLER_78_363 +*15607 FILLER_78_365 +*15608 FILLER_78_371 +*15609 FILLER_78_386 +*15610 FILLER_78_39 +*15611 FILLER_78_408 +*15612 FILLER_78_421 +*15613 FILLER_78_427 +*15614 FILLER_78_437 +*15615 FILLER_78_441 +*15616 FILLER_78_477 +*15617 FILLER_78_50 +*15618 FILLER_78_500 +*15619 FILLER_78_504 +*15620 FILLER_78_509 +*15621 FILLER_78_521 +*15622 FILLER_78_529 +*15623 FILLER_78_533 +*15624 FILLER_78_545 +*15625 FILLER_78_557 +*15626 FILLER_78_583 +*15627 FILLER_78_587 +*15628 FILLER_78_593 +*15629 FILLER_78_601 +*15630 FILLER_78_624 +*15631 FILLER_78_69 +*15632 FILLER_78_81 +*15633 FILLER_78_85 +*15634 FILLER_78_94 +*15635 FILLER_79_106 +*15636 FILLER_79_113 +*15637 FILLER_79_14 +*15638 FILLER_79_160 +*15639 FILLER_79_178 +*15640 FILLER_79_190 +*15641 FILLER_79_202 +*15642 FILLER_79_206 +*15643 FILLER_79_210 +*15644 FILLER_79_222 +*15645 FILLER_79_225 +*15646 FILLER_79_237 +*15647 FILLER_79_248 +*15648 FILLER_79_26 +*15649 FILLER_79_263 +*15650 FILLER_79_271 +*15651 FILLER_79_281 +*15652 FILLER_79_297 +*15653 FILLER_79_30 +*15654 FILLER_79_306 +*15655 FILLER_79_325 +*15656 FILLER_79_333 +*15657 FILLER_79_344 +*15658 FILLER_79_35 +*15659 FILLER_79_361 +*15660 FILLER_79_373 +*15661 FILLER_79_385 +*15662 FILLER_79_391 +*15663 FILLER_79_402 +*15664 FILLER_79_419 +*15665 FILLER_79_431 +*15666 FILLER_79_443 +*15667 FILLER_79_447 +*15668 FILLER_79_449 +*15669 FILLER_79_461 +*15670 FILLER_79_469 +*15671 FILLER_79_47 +*15672 FILLER_79_477 +*15673 FILLER_79_489 +*15674 FILLER_79_499 +*15675 FILLER_79_503 +*15676 FILLER_79_505 +*15677 FILLER_79_513 +*15678 FILLER_79_544 +*15679 FILLER_79_55 +*15680 FILLER_79_556 +*15681 FILLER_79_561 +*15682 FILLER_79_57 +*15683 FILLER_79_573 +*15684 FILLER_79_608 +*15685 FILLER_79_612 +*15686 FILLER_79_624 +*15687 FILLER_79_69 +*15688 FILLER_79_73 +*15689 FILLER_79_80 +*15690 FILLER_79_86 +*15691 FILLER_79_91 +*15692 FILLER_79_99 +*15693 FILLER_7_110 +*15694 FILLER_7_113 +*15695 FILLER_7_125 +*15696 FILLER_7_15 +*15697 FILLER_7_152 +*15698 FILLER_7_164 +*15699 FILLER_7_169 +*15700 FILLER_7_181 +*15701 FILLER_7_188 +*15702 FILLER_7_200 +*15703 FILLER_7_212 +*15704 FILLER_7_225 +*15705 FILLER_7_237 +*15706 FILLER_7_246 +*15707 FILLER_7_258 +*15708 FILLER_7_27 +*15709 FILLER_7_270 +*15710 FILLER_7_278 +*15711 FILLER_7_281 +*15712 FILLER_7_285 +*15713 FILLER_7_3 +*15714 FILLER_7_307 +*15715 FILLER_7_315 +*15716 FILLER_7_337 +*15717 FILLER_7_349 +*15718 FILLER_7_353 +*15719 FILLER_7_357 +*15720 FILLER_7_369 +*15721 FILLER_7_381 +*15722 FILLER_7_389 +*15723 FILLER_7_39 +*15724 FILLER_7_393 +*15725 FILLER_7_44 +*15726 FILLER_7_440 +*15727 FILLER_7_445 +*15728 FILLER_7_449 +*15729 FILLER_7_461 +*15730 FILLER_7_473 +*15731 FILLER_7_485 +*15732 FILLER_7_497 +*15733 FILLER_7_503 +*15734 FILLER_7_505 +*15735 FILLER_7_517 +*15736 FILLER_7_529 +*15737 FILLER_7_540 +*15738 FILLER_7_552 +*15739 FILLER_7_561 +*15740 FILLER_7_576 +*15741 FILLER_7_60 +*15742 FILLER_7_612 +*15743 FILLER_7_617 +*15744 FILLER_7_68 +*15745 FILLER_7_74 +*15746 FILLER_7_86 +*15747 FILLER_80_105 +*15748 FILLER_80_111 +*15749 FILLER_80_115 +*15750 FILLER_80_122 +*15751 FILLER_80_131 +*15752 FILLER_80_139 +*15753 FILLER_80_141 +*15754 FILLER_80_15 +*15755 FILLER_80_153 +*15756 FILLER_80_157 +*15757 FILLER_80_162 +*15758 FILLER_80_168 +*15759 FILLER_80_172 +*15760 FILLER_80_184 +*15761 FILLER_80_197 +*15762 FILLER_80_223 +*15763 FILLER_80_245 +*15764 FILLER_80_251 +*15765 FILLER_80_253 +*15766 FILLER_80_27 +*15767 FILLER_80_286 +*15768 FILLER_80_29 +*15769 FILLER_80_298 +*15770 FILLER_80_3 +*15771 FILLER_80_306 +*15772 FILLER_80_309 +*15773 FILLER_80_315 +*15774 FILLER_80_330 +*15775 FILLER_80_342 +*15776 FILLER_80_361 +*15777 FILLER_80_365 +*15778 FILLER_80_373 +*15779 FILLER_80_384 +*15780 FILLER_80_388 +*15781 FILLER_80_396 +*15782 FILLER_80_40 +*15783 FILLER_80_408 +*15784 FILLER_80_419 +*15785 FILLER_80_421 +*15786 FILLER_80_433 +*15787 FILLER_80_445 +*15788 FILLER_80_457 +*15789 FILLER_80_463 +*15790 FILLER_80_477 +*15791 FILLER_80_489 +*15792 FILLER_80_500 +*15793 FILLER_80_512 +*15794 FILLER_80_52 +*15795 FILLER_80_524 +*15796 FILLER_80_533 +*15797 FILLER_80_545 +*15798 FILLER_80_569 +*15799 FILLER_80_579 +*15800 FILLER_80_587 +*15801 FILLER_80_589 +*15802 FILLER_80_595 +*15803 FILLER_80_599 +*15804 FILLER_80_610 +*15805 FILLER_80_618 +*15806 FILLER_80_62 +*15807 FILLER_80_82 +*15808 FILLER_80_94 +*15809 FILLER_81_100 +*15810 FILLER_81_110 +*15811 FILLER_81_113 +*15812 FILLER_81_121 +*15813 FILLER_81_133 +*15814 FILLER_81_145 +*15815 FILLER_81_157 +*15816 FILLER_81_165 +*15817 FILLER_81_169 +*15818 FILLER_81_177 +*15819 FILLER_81_182 +*15820 FILLER_81_186 +*15821 FILLER_81_190 +*15822 FILLER_81_21 +*15823 FILLER_81_218 +*15824 FILLER_81_225 +*15825 FILLER_81_237 +*15826 FILLER_81_245 +*15827 FILLER_81_263 +*15828 FILLER_81_278 +*15829 FILLER_81_281 +*15830 FILLER_81_293 +*15831 FILLER_81_306 +*15832 FILLER_81_321 +*15833 FILLER_81_33 +*15834 FILLER_81_333 +*15835 FILLER_81_355 +*15836 FILLER_81_361 +*15837 FILLER_81_369 +*15838 FILLER_81_382 +*15839 FILLER_81_390 +*15840 FILLER_81_393 +*15841 FILLER_81_401 +*15842 FILLER_81_416 +*15843 FILLER_81_428 +*15844 FILLER_81_440 +*15845 FILLER_81_449 +*15846 FILLER_81_45 +*15847 FILLER_81_461 +*15848 FILLER_81_472 +*15849 FILLER_81_492 +*15850 FILLER_81_498 +*15851 FILLER_81_505 +*15852 FILLER_81_52 +*15853 FILLER_81_522 +*15854 FILLER_81_535 +*15855 FILLER_81_547 +*15856 FILLER_81_555 +*15857 FILLER_81_559 +*15858 FILLER_81_561 +*15859 FILLER_81_57 +*15860 FILLER_81_592 +*15861 FILLER_81_604 +*15862 FILLER_81_620 +*15863 FILLER_81_68 +*15864 FILLER_81_80 +*15865 FILLER_81_88 +*15866 FILLER_81_9 +*15867 FILLER_82_105 +*15868 FILLER_82_117 +*15869 FILLER_82_129 +*15870 FILLER_82_137 +*15871 FILLER_82_14 +*15872 FILLER_82_141 +*15873 FILLER_82_167 +*15874 FILLER_82_193 +*15875 FILLER_82_197 +*15876 FILLER_82_231 +*15877 FILLER_82_237 +*15878 FILLER_82_249 +*15879 FILLER_82_25 +*15880 FILLER_82_253 +*15881 FILLER_82_265 +*15882 FILLER_82_277 +*15883 FILLER_82_289 +*15884 FILLER_82_29 +*15885 FILLER_82_3 +*15886 FILLER_82_307 +*15887 FILLER_82_309 +*15888 FILLER_82_321 +*15889 FILLER_82_332 +*15890 FILLER_82_338 +*15891 FILLER_82_344 +*15892 FILLER_82_348 +*15893 FILLER_82_358 +*15894 FILLER_82_374 +*15895 FILLER_82_386 +*15896 FILLER_82_403 +*15897 FILLER_82_41 +*15898 FILLER_82_416 +*15899 FILLER_82_421 +*15900 FILLER_82_433 +*15901 FILLER_82_439 +*15902 FILLER_82_447 +*15903 FILLER_82_45 +*15904 FILLER_82_459 +*15905 FILLER_82_471 +*15906 FILLER_82_475 +*15907 FILLER_82_477 +*15908 FILLER_82_500 +*15909 FILLER_82_527 +*15910 FILLER_82_531 +*15911 FILLER_82_533 +*15912 FILLER_82_541 +*15913 FILLER_82_562 +*15914 FILLER_82_573 +*15915 FILLER_82_585 +*15916 FILLER_82_589 +*15917 FILLER_82_601 +*15918 FILLER_82_63 +*15919 FILLER_82_7 +*15920 FILLER_82_70 +*15921 FILLER_82_82 +*15922 FILLER_82_88 +*15923 FILLER_83_101 +*15924 FILLER_83_109 +*15925 FILLER_83_113 +*15926 FILLER_83_125 +*15927 FILLER_83_137 +*15928 FILLER_83_145 +*15929 FILLER_83_209 +*15930 FILLER_83_221 +*15931 FILLER_83_225 +*15932 FILLER_83_229 +*15933 FILLER_83_23 +*15934 FILLER_83_235 +*15935 FILLER_83_247 +*15936 FILLER_83_259 +*15937 FILLER_83_271 +*15938 FILLER_83_279 +*15939 FILLER_83_281 +*15940 FILLER_83_296 +*15941 FILLER_83_308 +*15942 FILLER_83_321 +*15943 FILLER_83_332 +*15944 FILLER_83_337 +*15945 FILLER_83_346 +*15946 FILLER_83_36 +*15947 FILLER_83_363 +*15948 FILLER_83_367 +*15949 FILLER_83_377 +*15950 FILLER_83_389 +*15951 FILLER_83_393 +*15952 FILLER_83_397 +*15953 FILLER_83_405 +*15954 FILLER_83_417 +*15955 FILLER_83_435 +*15956 FILLER_83_44 +*15957 FILLER_83_446 +*15958 FILLER_83_449 +*15959 FILLER_83_468 +*15960 FILLER_83_478 +*15961 FILLER_83_490 +*15962 FILLER_83_50 +*15963 FILLER_83_500 +*15964 FILLER_83_505 +*15965 FILLER_83_517 +*15966 FILLER_83_529 +*15967 FILLER_83_541 +*15968 FILLER_83_554 +*15969 FILLER_83_561 +*15970 FILLER_83_57 +*15971 FILLER_83_573 +*15972 FILLER_83_585 +*15973 FILLER_83_593 +*15974 FILLER_83_617 +*15975 FILLER_83_623 +*15976 FILLER_83_69 +*15977 FILLER_83_81 +*15978 FILLER_83_89 +*15979 FILLER_84_103 +*15980 FILLER_84_11 +*15981 FILLER_84_120 +*15982 FILLER_84_126 +*15983 FILLER_84_138 +*15984 FILLER_84_161 +*15985 FILLER_84_167 +*15986 FILLER_84_172 +*15987 FILLER_84_18 +*15988 FILLER_84_184 +*15989 FILLER_84_197 +*15990 FILLER_84_209 +*15991 FILLER_84_221 +*15992 FILLER_84_232 +*15993 FILLER_84_238 +*15994 FILLER_84_250 +*15995 FILLER_84_26 +*15996 FILLER_84_260 +*15997 FILLER_84_272 +*15998 FILLER_84_284 +*15999 FILLER_84_296 +*16000 FILLER_84_3 +*16001 FILLER_84_309 +*16002 FILLER_84_32 +*16003 FILLER_84_321 +*16004 FILLER_84_333 +*16005 FILLER_84_337 +*16006 FILLER_84_345 +*16007 FILLER_84_351 +*16008 FILLER_84_359 +*16009 FILLER_84_363 +*16010 FILLER_84_365 +*16011 FILLER_84_377 +*16012 FILLER_84_389 +*16013 FILLER_84_411 +*16014 FILLER_84_421 +*16015 FILLER_84_433 +*16016 FILLER_84_44 +*16017 FILLER_84_446 +*16018 FILLER_84_461 +*16019 FILLER_84_48 +*16020 FILLER_84_486 +*16021 FILLER_84_498 +*16022 FILLER_84_510 +*16023 FILLER_84_521 +*16024 FILLER_84_557 +*16025 FILLER_84_569 +*16026 FILLER_84_581 +*16027 FILLER_84_587 +*16028 FILLER_84_589 +*16029 FILLER_84_60 +*16030 FILLER_84_611 +*16031 FILLER_84_623 +*16032 FILLER_84_65 +*16033 FILLER_84_77 +*16034 FILLER_84_83 +*16035 FILLER_84_91 +*16036 FILLER_85_109 +*16037 FILLER_85_113 +*16038 FILLER_85_120 +*16039 FILLER_85_125 +*16040 FILLER_85_131 +*16041 FILLER_85_139 +*16042 FILLER_85_164 +*16043 FILLER_85_169 +*16044 FILLER_85_181 +*16045 FILLER_85_19 +*16046 FILLER_85_193 +*16047 FILLER_85_199 +*16048 FILLER_85_204 +*16049 FILLER_85_213 +*16050 FILLER_85_221 +*16051 FILLER_85_225 +*16052 FILLER_85_234 +*16053 FILLER_85_241 +*16054 FILLER_85_279 +*16055 FILLER_85_281 +*16056 FILLER_85_293 +*16057 FILLER_85_30 +*16058 FILLER_85_304 +*16059 FILLER_85_308 +*16060 FILLER_85_316 +*16061 FILLER_85_328 +*16062 FILLER_85_344 +*16063 FILLER_85_352 +*16064 FILLER_85_365 +*16065 FILLER_85_377 +*16066 FILLER_85_389 +*16067 FILLER_85_393 +*16068 FILLER_85_405 +*16069 FILLER_85_417 +*16070 FILLER_85_42 +*16071 FILLER_85_429 +*16072 FILLER_85_435 +*16073 FILLER_85_443 +*16074 FILLER_85_447 +*16075 FILLER_85_456 +*16076 FILLER_85_468 +*16077 FILLER_85_480 +*16078 FILLER_85_495 +*16079 FILLER_85_503 +*16080 FILLER_85_505 +*16081 FILLER_85_517 +*16082 FILLER_85_529 +*16083 FILLER_85_558 +*16084 FILLER_85_561 +*16085 FILLER_85_569 +*16086 FILLER_85_597 +*16087 FILLER_85_609 +*16088 FILLER_85_615 +*16089 FILLER_85_617 +*16090 FILLER_85_7 +*16091 FILLER_85_74 +*16092 FILLER_85_82 +*16093 FILLER_85_86 +*16094 FILLER_85_98 +*16095 FILLER_86_108 +*16096 FILLER_86_117 +*16097 FILLER_86_141 +*16098 FILLER_86_15 +*16099 FILLER_86_156 +*16100 FILLER_86_168 +*16101 FILLER_86_180 +*16102 FILLER_86_191 +*16103 FILLER_86_195 +*16104 FILLER_86_197 +*16105 FILLER_86_205 +*16106 FILLER_86_226 +*16107 FILLER_86_238 +*16108 FILLER_86_250 +*16109 FILLER_86_253 +*16110 FILLER_86_265 +*16111 FILLER_86_27 +*16112 FILLER_86_284 +*16113 FILLER_86_29 +*16114 FILLER_86_296 +*16115 FILLER_86_3 +*16116 FILLER_86_309 +*16117 FILLER_86_315 +*16118 FILLER_86_318 +*16119 FILLER_86_334 +*16120 FILLER_86_344 +*16121 FILLER_86_356 +*16122 FILLER_86_365 +*16123 FILLER_86_375 +*16124 FILLER_86_39 +*16125 FILLER_86_403 +*16126 FILLER_86_416 +*16127 FILLER_86_421 +*16128 FILLER_86_433 +*16129 FILLER_86_445 +*16130 FILLER_86_457 +*16131 FILLER_86_469 +*16132 FILLER_86_475 +*16133 FILLER_86_477 +*16134 FILLER_86_485 +*16135 FILLER_86_504 +*16136 FILLER_86_51 +*16137 FILLER_86_515 +*16138 FILLER_86_527 +*16139 FILLER_86_531 +*16140 FILLER_86_533 +*16141 FILLER_86_545 +*16142 FILLER_86_567 +*16143 FILLER_86_577 +*16144 FILLER_86_585 +*16145 FILLER_86_593 +*16146 FILLER_86_63 +*16147 FILLER_86_80 +*16148 FILLER_86_85 +*16149 FILLER_86_97 +*16150 FILLER_87_104 +*16151 FILLER_87_108 +*16152 FILLER_87_113 +*16153 FILLER_87_122 +*16154 FILLER_87_128 +*16155 FILLER_87_13 +*16156 FILLER_87_136 +*16157 FILLER_87_148 +*16158 FILLER_87_160 +*16159 FILLER_87_165 +*16160 FILLER_87_174 +*16161 FILLER_87_180 +*16162 FILLER_87_201 +*16163 FILLER_87_205 +*16164 FILLER_87_217 +*16165 FILLER_87_223 +*16166 FILLER_87_225 +*16167 FILLER_87_249 +*16168 FILLER_87_25 +*16169 FILLER_87_255 +*16170 FILLER_87_265 +*16171 FILLER_87_281 +*16172 FILLER_87_3 +*16173 FILLER_87_306 +*16174 FILLER_87_314 +*16175 FILLER_87_324 +*16176 FILLER_87_337 +*16177 FILLER_87_352 +*16178 FILLER_87_362 +*16179 FILLER_87_37 +*16180 FILLER_87_389 +*16181 FILLER_87_393 +*16182 FILLER_87_401 +*16183 FILLER_87_409 +*16184 FILLER_87_419 +*16185 FILLER_87_439 +*16186 FILLER_87_447 +*16187 FILLER_87_449 +*16188 FILLER_87_458 +*16189 FILLER_87_466 +*16190 FILLER_87_474 +*16191 FILLER_87_482 +*16192 FILLER_87_49 +*16193 FILLER_87_491 +*16194 FILLER_87_502 +*16195 FILLER_87_505 +*16196 FILLER_87_527 +*16197 FILLER_87_539 +*16198 FILLER_87_55 +*16199 FILLER_87_551 +*16200 FILLER_87_559 +*16201 FILLER_87_561 +*16202 FILLER_87_565 +*16203 FILLER_87_57 +*16204 FILLER_87_570 +*16205 FILLER_87_582 +*16206 FILLER_87_594 +*16207 FILLER_87_602 +*16208 FILLER_87_608 +*16209 FILLER_87_617 +*16210 FILLER_87_69 +*16211 FILLER_87_88 +*16212 FILLER_87_96 +*16213 FILLER_88_110 +*16214 FILLER_88_122 +*16215 FILLER_88_134 +*16216 FILLER_88_141 +*16217 FILLER_88_153 +*16218 FILLER_88_163 +*16219 FILLER_88_179 +*16220 FILLER_88_188 +*16221 FILLER_88_197 +*16222 FILLER_88_205 +*16223 FILLER_88_21 +*16224 FILLER_88_213 +*16225 FILLER_88_225 +*16226 FILLER_88_233 +*16227 FILLER_88_244 +*16228 FILLER_88_27 +*16229 FILLER_88_279 +*16230 FILLER_88_285 +*16231 FILLER_88_293 +*16232 FILLER_88_3 +*16233 FILLER_88_304 +*16234 FILLER_88_309 +*16235 FILLER_88_321 +*16236 FILLER_88_333 +*16237 FILLER_88_34 +*16238 FILLER_88_345 +*16239 FILLER_88_351 +*16240 FILLER_88_361 +*16241 FILLER_88_365 +*16242 FILLER_88_377 +*16243 FILLER_88_389 +*16244 FILLER_88_395 +*16245 FILLER_88_412 +*16246 FILLER_88_421 +*16247 FILLER_88_429 +*16248 FILLER_88_439 +*16249 FILLER_88_447 +*16250 FILLER_88_459 +*16251 FILLER_88_46 +*16252 FILLER_88_465 +*16253 FILLER_88_473 +*16254 FILLER_88_486 +*16255 FILLER_88_492 +*16256 FILLER_88_498 +*16257 FILLER_88_506 +*16258 FILLER_88_510 +*16259 FILLER_88_522 +*16260 FILLER_88_553 +*16261 FILLER_88_565 +*16262 FILLER_88_575 +*16263 FILLER_88_58 +*16264 FILLER_88_587 +*16265 FILLER_88_596 +*16266 FILLER_88_608 +*16267 FILLER_88_614 +*16268 FILLER_88_70 +*16269 FILLER_88_82 +*16270 FILLER_88_89 +*16271 FILLER_88_98 +*16272 FILLER_89_109 +*16273 FILLER_89_11 +*16274 FILLER_89_113 +*16275 FILLER_89_125 +*16276 FILLER_89_137 +*16277 FILLER_89_145 +*16278 FILLER_89_169 +*16279 FILLER_89_181 +*16280 FILLER_89_189 +*16281 FILLER_89_199 +*16282 FILLER_89_203 +*16283 FILLER_89_225 +*16284 FILLER_89_23 +*16285 FILLER_89_231 +*16286 FILLER_89_243 +*16287 FILLER_89_249 +*16288 FILLER_89_259 +*16289 FILLER_89_271 +*16290 FILLER_89_279 +*16291 FILLER_89_281 +*16292 FILLER_89_289 +*16293 FILLER_89_29 +*16294 FILLER_89_299 +*16295 FILLER_89_310 +*16296 FILLER_89_331 +*16297 FILLER_89_335 +*16298 FILLER_89_337 +*16299 FILLER_89_349 +*16300 FILLER_89_36 +*16301 FILLER_89_361 +*16302 FILLER_89_373 +*16303 FILLER_89_385 +*16304 FILLER_89_391 +*16305 FILLER_89_393 +*16306 FILLER_89_405 +*16307 FILLER_89_417 +*16308 FILLER_89_429 +*16309 FILLER_89_437 +*16310 FILLER_89_447 +*16311 FILLER_89_449 +*16312 FILLER_89_458 +*16313 FILLER_89_470 +*16314 FILLER_89_474 +*16315 FILLER_89_484 +*16316 FILLER_89_49 +*16317 FILLER_89_496 +*16318 FILLER_89_505 +*16319 FILLER_89_517 +*16320 FILLER_89_525 +*16321 FILLER_89_530 +*16322 FILLER_89_542 +*16323 FILLER_89_546 +*16324 FILLER_89_55 +*16325 FILLER_89_554 +*16326 FILLER_89_57 +*16327 FILLER_89_608 +*16328 FILLER_89_617 +*16329 FILLER_89_623 +*16330 FILLER_89_69 +*16331 FILLER_89_7 +*16332 FILLER_89_77 +*16333 FILLER_89_85 +*16334 FILLER_89_97 +*16335 FILLER_8_109 +*16336 FILLER_8_136 +*16337 FILLER_8_141 +*16338 FILLER_8_149 +*16339 FILLER_8_157 +*16340 FILLER_8_169 +*16341 FILLER_8_181 +*16342 FILLER_8_19 +*16343 FILLER_8_193 +*16344 FILLER_8_197 +*16345 FILLER_8_209 +*16346 FILLER_8_221 +*16347 FILLER_8_229 +*16348 FILLER_8_243 +*16349 FILLER_8_251 +*16350 FILLER_8_253 +*16351 FILLER_8_265 +*16352 FILLER_8_27 +*16353 FILLER_8_289 +*16354 FILLER_8_29 +*16355 FILLER_8_301 +*16356 FILLER_8_307 +*16357 FILLER_8_309 +*16358 FILLER_8_321 +*16359 FILLER_8_33 +*16360 FILLER_8_333 +*16361 FILLER_8_349 +*16362 FILLER_8_361 +*16363 FILLER_8_365 +*16364 FILLER_8_373 +*16365 FILLER_8_382 +*16366 FILLER_8_390 +*16367 FILLER_8_398 +*16368 FILLER_8_410 +*16369 FILLER_8_418 +*16370 FILLER_8_421 +*16371 FILLER_8_433 +*16372 FILLER_8_445 +*16373 FILLER_8_457 +*16374 FILLER_8_469 +*16375 FILLER_8_475 +*16376 FILLER_8_477 +*16377 FILLER_8_489 +*16378 FILLER_8_501 +*16379 FILLER_8_513 +*16380 FILLER_8_525 +*16381 FILLER_8_531 +*16382 FILLER_8_553 +*16383 FILLER_8_564 +*16384 FILLER_8_57 +*16385 FILLER_8_616 +*16386 FILLER_8_624 +*16387 FILLER_8_69 +*16388 FILLER_8_7 +*16389 FILLER_8_81 +*16390 FILLER_8_85 +*16391 FILLER_8_97 +*16392 FILLER_90_109 +*16393 FILLER_90_122 +*16394 FILLER_90_134 +*16395 FILLER_90_15 +*16396 FILLER_90_161 +*16397 FILLER_90_182 +*16398 FILLER_90_192 +*16399 FILLER_90_197 +*16400 FILLER_90_209 +*16401 FILLER_90_221 +*16402 FILLER_90_240 +*16403 FILLER_90_253 +*16404 FILLER_90_26 +*16405 FILLER_90_265 +*16406 FILLER_90_277 +*16407 FILLER_90_289 +*16408 FILLER_90_3 +*16409 FILLER_90_301 +*16410 FILLER_90_307 +*16411 FILLER_90_309 +*16412 FILLER_90_321 +*16413 FILLER_90_329 +*16414 FILLER_90_35 +*16415 FILLER_90_356 +*16416 FILLER_90_365 +*16417 FILLER_90_374 +*16418 FILLER_90_387 +*16419 FILLER_90_395 +*16420 FILLER_90_403 +*16421 FILLER_90_415 +*16422 FILLER_90_419 +*16423 FILLER_90_428 +*16424 FILLER_90_434 +*16425 FILLER_90_442 +*16426 FILLER_90_454 +*16427 FILLER_90_466 +*16428 FILLER_90_474 +*16429 FILLER_90_477 +*16430 FILLER_90_489 +*16431 FILLER_90_501 +*16432 FILLER_90_513 +*16433 FILLER_90_525 +*16434 FILLER_90_531 +*16435 FILLER_90_533 +*16436 FILLER_90_545 +*16437 FILLER_90_567 +*16438 FILLER_90_579 +*16439 FILLER_90_587 +*16440 FILLER_90_589 +*16441 FILLER_90_601 +*16442 FILLER_90_613 +*16443 FILLER_90_619 +*16444 FILLER_90_63 +*16445 FILLER_90_75 +*16446 FILLER_90_83 +*16447 FILLER_90_85 +*16448 FILLER_90_97 +*16449 FILLER_91_103 +*16450 FILLER_91_107 +*16451 FILLER_91_111 +*16452 FILLER_91_113 +*16453 FILLER_91_126 +*16454 FILLER_91_137 +*16455 FILLER_91_14 +*16456 FILLER_91_143 +*16457 FILLER_91_151 +*16458 FILLER_91_159 +*16459 FILLER_91_176 +*16460 FILLER_91_203 +*16461 FILLER_91_215 +*16462 FILLER_91_22 +*16463 FILLER_91_223 +*16464 FILLER_91_225 +*16465 FILLER_91_237 +*16466 FILLER_91_249 +*16467 FILLER_91_261 +*16468 FILLER_91_273 +*16469 FILLER_91_279 +*16470 FILLER_91_28 +*16471 FILLER_91_281 +*16472 FILLER_91_294 +*16473 FILLER_91_302 +*16474 FILLER_91_335 +*16475 FILLER_91_34 +*16476 FILLER_91_342 +*16477 FILLER_91_356 +*16478 FILLER_91_364 +*16479 FILLER_91_376 +*16480 FILLER_91_38 +*16481 FILLER_91_388 +*16482 FILLER_91_393 +*16483 FILLER_91_405 +*16484 FILLER_91_417 +*16485 FILLER_91_429 +*16486 FILLER_91_435 +*16487 FILLER_91_445 +*16488 FILLER_91_449 +*16489 FILLER_91_461 +*16490 FILLER_91_473 +*16491 FILLER_91_485 +*16492 FILLER_91_497 +*16493 FILLER_91_50 +*16494 FILLER_91_503 +*16495 FILLER_91_505 +*16496 FILLER_91_527 +*16497 FILLER_91_539 +*16498 FILLER_91_551 +*16499 FILLER_91_559 +*16500 FILLER_91_561 +*16501 FILLER_91_573 +*16502 FILLER_91_585 +*16503 FILLER_91_593 +*16504 FILLER_91_624 +*16505 FILLER_91_66 +*16506 FILLER_91_73 +*16507 FILLER_91_85 +*16508 FILLER_91_97 +*16509 FILLER_92_115 +*16510 FILLER_92_127 +*16511 FILLER_92_139 +*16512 FILLER_92_141 +*16513 FILLER_92_15 +*16514 FILLER_92_153 +*16515 FILLER_92_165 +*16516 FILLER_92_177 +*16517 FILLER_92_189 +*16518 FILLER_92_195 +*16519 FILLER_92_197 +*16520 FILLER_92_212 +*16521 FILLER_92_218 +*16522 FILLER_92_228 +*16523 FILLER_92_236 +*16524 FILLER_92_250 +*16525 FILLER_92_253 +*16526 FILLER_92_27 +*16527 FILLER_92_270 +*16528 FILLER_92_29 +*16529 FILLER_92_292 +*16530 FILLER_92_305 +*16531 FILLER_92_309 +*16532 FILLER_92_321 +*16533 FILLER_92_334 +*16534 FILLER_92_344 +*16535 FILLER_92_355 +*16536 FILLER_92_363 +*16537 FILLER_92_372 +*16538 FILLER_92_382 +*16539 FILLER_92_390 +*16540 FILLER_92_406 +*16541 FILLER_92_41 +*16542 FILLER_92_418 +*16543 FILLER_92_421 +*16544 FILLER_92_433 +*16545 FILLER_92_445 +*16546 FILLER_92_462 +*16547 FILLER_92_474 +*16548 FILLER_92_477 +*16549 FILLER_92_49 +*16550 FILLER_92_503 +*16551 FILLER_92_515 +*16552 FILLER_92_527 +*16553 FILLER_92_53 +*16554 FILLER_92_531 +*16555 FILLER_92_533 +*16556 FILLER_92_541 +*16557 FILLER_92_553 +*16558 FILLER_92_565 +*16559 FILLER_92_573 +*16560 FILLER_92_577 +*16561 FILLER_92_585 +*16562 FILLER_92_616 +*16563 FILLER_92_624 +*16564 FILLER_92_64 +*16565 FILLER_92_71 +*16566 FILLER_92_80 +*16567 FILLER_92_85 +*16568 FILLER_93_100 +*16569 FILLER_93_105 +*16570 FILLER_93_111 +*16571 FILLER_93_116 +*16572 FILLER_93_125 +*16573 FILLER_93_137 +*16574 FILLER_93_149 +*16575 FILLER_93_161 +*16576 FILLER_93_167 +*16577 FILLER_93_169 +*16578 FILLER_93_181 +*16579 FILLER_93_19 +*16580 FILLER_93_193 +*16581 FILLER_93_199 +*16582 FILLER_93_211 +*16583 FILLER_93_225 +*16584 FILLER_93_234 +*16585 FILLER_93_240 +*16586 FILLER_93_248 +*16587 FILLER_93_25 +*16588 FILLER_93_257 +*16589 FILLER_93_269 +*16590 FILLER_93_277 +*16591 FILLER_93_288 +*16592 FILLER_93_294 +*16593 FILLER_93_304 +*16594 FILLER_93_312 +*16595 FILLER_93_323 +*16596 FILLER_93_335 +*16597 FILLER_93_337 +*16598 FILLER_93_349 +*16599 FILLER_93_368 +*16600 FILLER_93_37 +*16601 FILLER_93_380 +*16602 FILLER_93_393 +*16603 FILLER_93_401 +*16604 FILLER_93_411 +*16605 FILLER_93_424 +*16606 FILLER_93_434 +*16607 FILLER_93_446 +*16608 FILLER_93_45 +*16609 FILLER_93_467 +*16610 FILLER_93_482 +*16611 FILLER_93_494 +*16612 FILLER_93_502 +*16613 FILLER_93_51 +*16614 FILLER_93_517 +*16615 FILLER_93_55 +*16616 FILLER_93_555 +*16617 FILLER_93_559 +*16618 FILLER_93_561 +*16619 FILLER_93_569 +*16620 FILLER_93_57 +*16621 FILLER_93_577 +*16622 FILLER_93_586 +*16623 FILLER_93_594 +*16624 FILLER_93_599 +*16625 FILLER_93_610 +*16626 FILLER_93_617 +*16627 FILLER_93_75 +*16628 FILLER_93_79 +*16629 FILLER_93_92 +*16630 FILLER_94_108 +*16631 FILLER_94_12 +*16632 FILLER_94_120 +*16633 FILLER_94_128 +*16634 FILLER_94_132 +*16635 FILLER_94_141 +*16636 FILLER_94_153 +*16637 FILLER_94_165 +*16638 FILLER_94_175 +*16639 FILLER_94_187 +*16640 FILLER_94_195 +*16641 FILLER_94_218 +*16642 FILLER_94_24 +*16643 FILLER_94_249 +*16644 FILLER_94_253 +*16645 FILLER_94_268 +*16646 FILLER_94_280 +*16647 FILLER_94_291 +*16648 FILLER_94_295 +*16649 FILLER_94_303 +*16650 FILLER_94_306 +*16651 FILLER_94_309 +*16652 FILLER_94_332 +*16653 FILLER_94_344 +*16654 FILLER_94_356 +*16655 FILLER_94_372 +*16656 FILLER_94_38 +*16657 FILLER_94_384 +*16658 FILLER_94_390 +*16659 FILLER_94_407 +*16660 FILLER_94_419 +*16661 FILLER_94_421 +*16662 FILLER_94_444 +*16663 FILLER_94_456 +*16664 FILLER_94_475 +*16665 FILLER_94_477 +*16666 FILLER_94_497 +*16667 FILLER_94_509 +*16668 FILLER_94_533 +*16669 FILLER_94_538 +*16670 FILLER_94_550 +*16671 FILLER_94_57 +*16672 FILLER_94_575 +*16673 FILLER_94_587 +*16674 FILLER_94_589 +*16675 FILLER_94_601 +*16676 FILLER_94_70 +*16677 FILLER_94_76 +*16678 FILLER_94_83 +*16679 FILLER_94_85 +*16680 FILLER_94_96 +*16681 FILLER_95_104 +*16682 FILLER_95_113 +*16683 FILLER_95_126 +*16684 FILLER_95_133 +*16685 FILLER_95_15 +*16686 FILLER_95_164 +*16687 FILLER_95_189 +*16688 FILLER_95_194 +*16689 FILLER_95_198 +*16690 FILLER_95_203 +*16691 FILLER_95_211 +*16692 FILLER_95_223 +*16693 FILLER_95_225 +*16694 FILLER_95_237 +*16695 FILLER_95_243 +*16696 FILLER_95_251 +*16697 FILLER_95_262 +*16698 FILLER_95_27 +*16699 FILLER_95_274 +*16700 FILLER_95_288 +*16701 FILLER_95_3 +*16702 FILLER_95_300 +*16703 FILLER_95_308 +*16704 FILLER_95_312 +*16705 FILLER_95_320 +*16706 FILLER_95_332 +*16707 FILLER_95_337 +*16708 FILLER_95_345 +*16709 FILLER_95_368 +*16710 FILLER_95_380 +*16711 FILLER_95_39 +*16712 FILLER_95_393 +*16713 FILLER_95_405 +*16714 FILLER_95_417 +*16715 FILLER_95_425 +*16716 FILLER_95_435 +*16717 FILLER_95_447 +*16718 FILLER_95_456 +*16719 FILLER_95_468 +*16720 FILLER_95_476 +*16721 FILLER_95_498 +*16722 FILLER_95_505 +*16723 FILLER_95_509 +*16724 FILLER_95_51 +*16725 FILLER_95_513 +*16726 FILLER_95_522 +*16727 FILLER_95_534 +*16728 FILLER_95_546 +*16729 FILLER_95_55 +*16730 FILLER_95_552 +*16731 FILLER_95_565 +*16732 FILLER_95_57 +*16733 FILLER_95_584 +*16734 FILLER_95_596 +*16735 FILLER_95_608 +*16736 FILLER_95_617 +*16737 FILLER_95_62 +*16738 FILLER_95_71 +*16739 FILLER_95_83 +*16740 FILLER_95_95 +*16741 FILLER_96_102 +*16742 FILLER_96_114 +*16743 FILLER_96_130 +*16744 FILLER_96_138 +*16745 FILLER_96_141 +*16746 FILLER_96_154 +*16747 FILLER_96_166 +*16748 FILLER_96_178 +*16749 FILLER_96_182 +*16750 FILLER_96_192 +*16751 FILLER_96_197 +*16752 FILLER_96_20 +*16753 FILLER_96_209 +*16754 FILLER_96_221 +*16755 FILLER_96_229 +*16756 FILLER_96_237 +*16757 FILLER_96_249 +*16758 FILLER_96_253 +*16759 FILLER_96_265 +*16760 FILLER_96_277 +*16761 FILLER_96_289 +*16762 FILLER_96_29 +*16763 FILLER_96_301 +*16764 FILLER_96_307 +*16765 FILLER_96_320 +*16766 FILLER_96_340 +*16767 FILLER_96_348 +*16768 FILLER_96_357 +*16769 FILLER_96_363 +*16770 FILLER_96_365 +*16771 FILLER_96_387 +*16772 FILLER_96_404 +*16773 FILLER_96_416 +*16774 FILLER_96_421 +*16775 FILLER_96_433 +*16776 FILLER_96_44 +*16777 FILLER_96_441 +*16778 FILLER_96_453 +*16779 FILLER_96_465 +*16780 FILLER_96_473 +*16781 FILLER_96_477 +*16782 FILLER_96_489 +*16783 FILLER_96_501 +*16784 FILLER_96_513 +*16785 FILLER_96_525 +*16786 FILLER_96_531 +*16787 FILLER_96_538 +*16788 FILLER_96_547 +*16789 FILLER_96_55 +*16790 FILLER_96_559 +*16791 FILLER_96_571 +*16792 FILLER_96_579 +*16793 FILLER_96_587 +*16794 FILLER_96_589 +*16795 FILLER_96_601 +*16796 FILLER_96_622 +*16797 FILLER_96_67 +*16798 FILLER_96_79 +*16799 FILLER_96_83 +*16800 FILLER_97_105 +*16801 FILLER_97_111 +*16802 FILLER_97_116 +*16803 FILLER_97_125 +*16804 FILLER_97_133 +*16805 FILLER_97_139 +*16806 FILLER_97_161 +*16807 FILLER_97_166 +*16808 FILLER_97_169 +*16809 FILLER_97_18 +*16810 FILLER_97_181 +*16811 FILLER_97_193 +*16812 FILLER_97_205 +*16813 FILLER_97_217 +*16814 FILLER_97_223 +*16815 FILLER_97_225 +*16816 FILLER_97_240 +*16817 FILLER_97_248 +*16818 FILLER_97_257 +*16819 FILLER_97_26 +*16820 FILLER_97_269 +*16821 FILLER_97_277 +*16822 FILLER_97_281 +*16823 FILLER_97_294 +*16824 FILLER_97_307 +*16825 FILLER_97_331 +*16826 FILLER_97_335 +*16827 FILLER_97_346 +*16828 FILLER_97_372 +*16829 FILLER_97_384 +*16830 FILLER_97_400 +*16831 FILLER_97_428 +*16832 FILLER_97_439 +*16833 FILLER_97_466 +*16834 FILLER_97_476 +*16835 FILLER_97_490 +*16836 FILLER_97_502 +*16837 FILLER_97_512 +*16838 FILLER_97_52 +*16839 FILLER_97_524 +*16840 FILLER_97_536 +*16841 FILLER_97_548 +*16842 FILLER_97_561 +*16843 FILLER_97_57 +*16844 FILLER_97_573 +*16845 FILLER_97_577 +*16846 FILLER_97_608 +*16847 FILLER_97_623 +*16848 FILLER_97_69 +*16849 FILLER_97_7 +*16850 FILLER_97_81 +*16851 FILLER_97_93 +*16852 FILLER_98_105 +*16853 FILLER_98_117 +*16854 FILLER_98_129 +*16855 FILLER_98_137 +*16856 FILLER_98_141 +*16857 FILLER_98_153 +*16858 FILLER_98_165 +*16859 FILLER_98_171 +*16860 FILLER_98_179 +*16861 FILLER_98_191 +*16862 FILLER_98_195 +*16863 FILLER_98_201 +*16864 FILLER_98_211 +*16865 FILLER_98_222 +*16866 FILLER_98_23 +*16867 FILLER_98_238 +*16868 FILLER_98_250 +*16869 FILLER_98_253 +*16870 FILLER_98_257 +*16871 FILLER_98_265 +*16872 FILLER_98_27 +*16873 FILLER_98_278 +*16874 FILLER_98_286 +*16875 FILLER_98_29 +*16876 FILLER_98_306 +*16877 FILLER_98_309 +*16878 FILLER_98_338 +*16879 FILLER_98_350 +*16880 FILLER_98_362 +*16881 FILLER_98_365 +*16882 FILLER_98_373 +*16883 FILLER_98_390 +*16884 FILLER_98_402 +*16885 FILLER_98_41 +*16886 FILLER_98_414 +*16887 FILLER_98_421 +*16888 FILLER_98_433 +*16889 FILLER_98_445 +*16890 FILLER_98_457 +*16891 FILLER_98_475 +*16892 FILLER_98_477 +*16893 FILLER_98_500 +*16894 FILLER_98_515 +*16895 FILLER_98_523 +*16896 FILLER_98_53 +*16897 FILLER_98_531 +*16898 FILLER_98_533 +*16899 FILLER_98_545 +*16900 FILLER_98_554 +*16901 FILLER_98_566 +*16902 FILLER_98_578 +*16903 FILLER_98_586 +*16904 FILLER_98_589 +*16905 FILLER_98_601 +*16906 FILLER_98_613 +*16907 FILLER_98_65 +*16908 FILLER_98_77 +*16909 FILLER_98_83 +*16910 FILLER_98_85 +*16911 FILLER_98_93 +*16912 FILLER_99_101 +*16913 FILLER_99_107 +*16914 FILLER_99_111 +*16915 FILLER_99_113 +*16916 FILLER_99_125 +*16917 FILLER_99_13 +*16918 FILLER_99_137 +*16919 FILLER_99_149 +*16920 FILLER_99_161 +*16921 FILLER_99_167 +*16922 FILLER_99_172 +*16923 FILLER_99_193 +*16924 FILLER_99_201 +*16925 FILLER_99_225 +*16926 FILLER_99_246 +*16927 FILLER_99_265 +*16928 FILLER_99_277 +*16929 FILLER_99_281 +*16930 FILLER_99_289 +*16931 FILLER_99_299 +*16932 FILLER_99_3 +*16933 FILLER_99_311 +*16934 FILLER_99_321 +*16935 FILLER_99_330 +*16936 FILLER_99_337 +*16937 FILLER_99_349 +*16938 FILLER_99_357 +*16939 FILLER_99_365 +*16940 FILLER_99_371 +*16941 FILLER_99_379 +*16942 FILLER_99_39 +*16943 FILLER_99_391 +*16944 FILLER_99_393 +*16945 FILLER_99_420 +*16946 FILLER_99_431 +*16947 FILLER_99_443 +*16948 FILLER_99_447 +*16949 FILLER_99_449 +*16950 FILLER_99_457 +*16951 FILLER_99_467 +*16952 FILLER_99_479 +*16953 FILLER_99_485 +*16954 FILLER_99_493 +*16955 FILLER_99_501 +*16956 FILLER_99_505 +*16957 FILLER_99_51 +*16958 FILLER_99_548 +*16959 FILLER_99_55 +*16960 FILLER_99_552 +*16961 FILLER_99_581 +*16962 FILLER_99_593 +*16963 FILLER_99_605 +*16964 FILLER_99_613 +*16965 FILLER_99_617 +*16966 FILLER_99_67 +*16967 FILLER_99_71 +*16968 FILLER_99_80 +*16969 FILLER_9_105 +*16970 FILLER_9_111 +*16971 FILLER_9_117 +*16972 FILLER_9_15 +*16973 FILLER_9_159 +*16974 FILLER_9_166 +*16975 FILLER_9_169 +*16976 FILLER_9_178 +*16977 FILLER_9_190 +*16978 FILLER_9_196 +*16979 FILLER_9_204 +*16980 FILLER_9_212 +*16981 FILLER_9_246 +*16982 FILLER_9_261 +*16983 FILLER_9_267 +*16984 FILLER_9_27 +*16985 FILLER_9_275 +*16986 FILLER_9_279 +*16987 FILLER_9_281 +*16988 FILLER_9_293 +*16989 FILLER_9_3 +*16990 FILLER_9_301 +*16991 FILLER_9_320 +*16992 FILLER_9_332 +*16993 FILLER_9_337 +*16994 FILLER_9_364 +*16995 FILLER_9_39 +*16996 FILLER_9_417 +*16997 FILLER_9_429 +*16998 FILLER_9_44 +*16999 FILLER_9_441 +*17000 FILLER_9_447 +*17001 FILLER_9_456 +*17002 FILLER_9_478 +*17003 FILLER_9_490 +*17004 FILLER_9_502 +*17005 FILLER_9_505 +*17006 FILLER_9_522 +*17007 FILLER_9_541 +*17008 FILLER_9_549 +*17009 FILLER_9_57 +*17010 FILLER_9_581 +*17011 FILLER_9_592 +*17012 FILLER_9_617 +*17013 FILLER_9_69 +*17014 FILLER_9_81 +*17015 FILLER_9_93 +*17016 PHY_0 +*17017 PHY_1 +*17018 PHY_10 +*17019 PHY_100 +*17020 PHY_101 +*17021 PHY_102 +*17022 PHY_103 +*17023 PHY_104 +*17024 PHY_105 +*17025 PHY_106 +*17026 PHY_107 +*17027 PHY_108 +*17028 PHY_109 +*17029 PHY_11 +*17030 PHY_110 +*17031 PHY_111 +*17032 PHY_112 +*17033 PHY_113 +*17034 PHY_114 +*17035 PHY_115 +*17036 PHY_116 +*17037 PHY_117 +*17038 PHY_118 +*17039 PHY_119 +*17040 PHY_12 +*17041 PHY_120 +*17042 PHY_121 +*17043 PHY_122 +*17044 PHY_123 +*17045 PHY_124 +*17046 PHY_125 +*17047 PHY_126 +*17048 PHY_127 +*17049 PHY_128 +*17050 PHY_129 +*17051 PHY_13 +*17052 PHY_130 +*17053 PHY_131 +*17054 PHY_132 +*17055 PHY_133 +*17056 PHY_134 +*17057 PHY_135 +*17058 PHY_136 +*17059 PHY_137 +*17060 PHY_138 +*17061 PHY_139 +*17062 PHY_14 +*17063 PHY_140 +*17064 PHY_141 +*17065 PHY_142 +*17066 PHY_143 +*17067 PHY_144 +*17068 PHY_145 +*17069 PHY_146 +*17070 PHY_147 +*17071 PHY_148 +*17072 PHY_149 +*17073 PHY_15 +*17074 PHY_150 +*17075 PHY_151 +*17076 PHY_152 +*17077 PHY_153 +*17078 PHY_154 +*17079 PHY_155 +*17080 PHY_156 +*17081 PHY_157 +*17082 PHY_158 +*17083 PHY_159 +*17084 PHY_16 +*17085 PHY_160 +*17086 PHY_161 +*17087 PHY_162 +*17088 PHY_163 +*17089 PHY_164 +*17090 PHY_165 +*17091 PHY_166 +*17092 PHY_167 +*17093 PHY_168 +*17094 PHY_169 +*17095 PHY_17 +*17096 PHY_170 +*17097 PHY_171 +*17098 PHY_172 +*17099 PHY_173 +*17100 PHY_174 +*17101 PHY_175 +*17102 PHY_176 +*17103 PHY_177 +*17104 PHY_178 +*17105 PHY_179 +*17106 PHY_18 +*17107 PHY_180 +*17108 PHY_181 +*17109 PHY_182 +*17110 PHY_183 +*17111 PHY_184 +*17112 PHY_185 +*17113 PHY_186 +*17114 PHY_187 +*17115 PHY_188 +*17116 PHY_189 +*17117 PHY_19 +*17118 PHY_190 +*17119 PHY_191 +*17120 PHY_192 +*17121 PHY_193 +*17122 PHY_194 +*17123 PHY_195 +*17124 PHY_196 +*17125 PHY_197 +*17126 PHY_198 +*17127 PHY_199 +*17128 PHY_2 +*17129 PHY_20 +*17130 PHY_200 +*17131 PHY_201 +*17132 PHY_202 +*17133 PHY_203 +*17134 PHY_204 +*17135 PHY_205 +*17136 PHY_206 +*17137 PHY_207 +*17138 PHY_208 +*17139 PHY_209 +*17140 PHY_21 +*17141 PHY_210 +*17142 PHY_211 +*17143 PHY_212 +*17144 PHY_213 +*17145 PHY_214 +*17146 PHY_215 +*17147 PHY_216 +*17148 PHY_217 +*17149 PHY_218 +*17150 PHY_219 +*17151 PHY_22 +*17152 PHY_220 +*17153 PHY_221 +*17154 PHY_222 +*17155 PHY_223 +*17156 PHY_224 +*17157 PHY_225 +*17158 PHY_226 +*17159 PHY_227 +*17160 PHY_228 +*17161 PHY_229 +*17162 PHY_23 +*17163 PHY_230 +*17164 PHY_231 +*17165 PHY_232 +*17166 PHY_233 +*17167 PHY_234 +*17168 PHY_235 +*17169 PHY_236 +*17170 PHY_237 +*17171 PHY_238 +*17172 PHY_239 +*17173 PHY_24 +*17174 PHY_240 +*17175 PHY_241 +*17176 PHY_242 +*17177 PHY_243 +*17178 PHY_244 +*17179 PHY_245 +*17180 PHY_246 +*17181 PHY_247 +*17182 PHY_248 +*17183 PHY_249 +*17184 PHY_25 +*17185 PHY_250 +*17186 PHY_251 +*17187 PHY_252 +*17188 PHY_253 +*17189 PHY_254 +*17190 PHY_255 +*17191 PHY_256 +*17192 PHY_257 +*17193 PHY_258 +*17194 PHY_259 +*17195 PHY_26 +*17196 PHY_260 +*17197 PHY_261 +*17198 PHY_262 +*17199 PHY_263 +*17200 PHY_264 +*17201 PHY_265 +*17202 PHY_266 +*17203 PHY_267 +*17204 PHY_268 +*17205 PHY_269 +*17206 PHY_27 +*17207 PHY_270 +*17208 PHY_271 +*17209 PHY_272 +*17210 PHY_273 +*17211 PHY_274 +*17212 PHY_275 +*17213 PHY_276 +*17214 PHY_277 +*17215 PHY_278 +*17216 PHY_279 +*17217 PHY_28 +*17218 PHY_280 +*17219 PHY_281 +*17220 PHY_282 +*17221 PHY_283 +*17222 PHY_284 +*17223 PHY_285 +*17224 PHY_286 +*17225 PHY_287 +*17226 PHY_288 +*17227 PHY_289 +*17228 PHY_29 +*17229 PHY_290 +*17230 PHY_291 +*17231 PHY_292 +*17232 PHY_293 +*17233 PHY_294 +*17234 PHY_295 +*17235 PHY_296 +*17236 PHY_297 +*17237 PHY_298 +*17238 PHY_299 +*17239 PHY_3 +*17240 PHY_30 +*17241 PHY_300 +*17242 PHY_301 +*17243 PHY_302 +*17244 PHY_303 +*17245 PHY_304 +*17246 PHY_305 +*17247 PHY_306 +*17248 PHY_307 +*17249 PHY_308 +*17250 PHY_309 +*17251 PHY_31 +*17252 PHY_310 +*17253 PHY_311 +*17254 PHY_312 +*17255 PHY_313 +*17256 PHY_314 +*17257 PHY_315 +*17258 PHY_316 +*17259 PHY_317 +*17260 PHY_318 +*17261 PHY_319 +*17262 PHY_32 +*17263 PHY_320 +*17264 PHY_321 +*17265 PHY_322 +*17266 PHY_323 +*17267 PHY_324 +*17268 PHY_325 +*17269 PHY_326 +*17270 PHY_327 +*17271 PHY_328 +*17272 PHY_329 +*17273 PHY_33 +*17274 PHY_330 +*17275 PHY_331 +*17276 PHY_332 +*17277 PHY_333 +*17278 PHY_334 +*17279 PHY_335 +*17280 PHY_336 +*17281 PHY_337 +*17282 PHY_338 +*17283 PHY_339 +*17284 PHY_34 +*17285 PHY_340 +*17286 PHY_341 +*17287 PHY_342 +*17288 PHY_343 +*17289 PHY_344 +*17290 PHY_345 +*17291 PHY_346 +*17292 PHY_347 +*17293 PHY_348 +*17294 PHY_349 +*17295 PHY_35 +*17296 PHY_350 +*17297 PHY_351 +*17298 PHY_352 +*17299 PHY_353 +*17300 PHY_354 +*17301 PHY_355 +*17302 PHY_356 +*17303 PHY_357 +*17304 PHY_358 +*17305 PHY_359 +*17306 PHY_36 +*17307 PHY_360 +*17308 PHY_361 +*17309 PHY_362 +*17310 PHY_363 +*17311 PHY_364 +*17312 PHY_365 +*17313 PHY_366 +*17314 PHY_367 +*17315 PHY_368 +*17316 PHY_369 +*17317 PHY_37 +*17318 PHY_370 +*17319 PHY_371 +*17320 PHY_372 +*17321 PHY_373 +*17322 PHY_374 +*17323 PHY_375 +*17324 PHY_376 +*17325 PHY_377 +*17326 PHY_378 +*17327 PHY_379 +*17328 PHY_38 +*17329 PHY_380 +*17330 PHY_381 +*17331 PHY_382 +*17332 PHY_383 +*17333 PHY_384 +*17334 PHY_385 +*17335 PHY_386 +*17336 PHY_387 +*17337 PHY_39 +*17338 PHY_4 +*17339 PHY_40 +*17340 PHY_41 +*17341 PHY_42 +*17342 PHY_43 +*17343 PHY_44 +*17344 PHY_45 +*17345 PHY_46 +*17346 PHY_47 +*17347 PHY_48 +*17348 PHY_49 +*17349 PHY_5 +*17350 PHY_50 +*17351 PHY_51 +*17352 PHY_52 +*17353 PHY_53 +*17354 PHY_54 +*17355 PHY_55 +*17356 PHY_56 +*17357 PHY_57 +*17358 PHY_58 +*17359 PHY_59 +*17360 PHY_6 +*17361 PHY_60 +*17362 PHY_61 +*17363 PHY_62 +*17364 PHY_63 +*17365 PHY_64 +*17366 PHY_65 +*17367 PHY_66 +*17368 PHY_67 +*17369 PHY_68 +*17370 PHY_69 +*17371 PHY_7 +*17372 PHY_70 +*17373 PHY_71 +*17374 PHY_72 +*17375 PHY_73 +*17376 PHY_74 +*17377 PHY_75 +*17378 PHY_76 +*17379 PHY_77 +*17380 PHY_78 +*17381 PHY_79 +*17382 PHY_8 +*17383 PHY_80 +*17384 PHY_81 +*17385 PHY_82 +*17386 PHY_83 +*17387 PHY_84 +*17388 PHY_85 +*17389 PHY_86 +*17390 PHY_87 +*17391 PHY_88 +*17392 PHY_89 +*17393 PHY_9 +*17394 PHY_90 +*17395 PHY_91 +*17396 PHY_92 +*17397 PHY_93 +*17398 PHY_94 +*17399 PHY_95 +*17400 PHY_96 +*17401 PHY_97 +*17402 PHY_98 +*17403 PHY_99 +*17404 TAP_1000 +*17405 TAP_1001 +*17406 TAP_1002 +*17407 TAP_1003 +*17408 TAP_1004 +*17409 TAP_1005 +*17410 TAP_1006 +*17411 TAP_1007 +*17412 TAP_1008 +*17413 TAP_1009 +*17414 TAP_1010 +*17415 TAP_1011 +*17416 TAP_1012 +*17417 TAP_1013 +*17418 TAP_1014 +*17419 TAP_1015 +*17420 TAP_1016 +*17421 TAP_1017 +*17422 TAP_1018 +*17423 TAP_1019 +*17424 TAP_1020 +*17425 TAP_1021 +*17426 TAP_1022 +*17427 TAP_1023 +*17428 TAP_1024 +*17429 TAP_1025 +*17430 TAP_1026 +*17431 TAP_1027 +*17432 TAP_1028 +*17433 TAP_1029 +*17434 TAP_1030 +*17435 TAP_1031 +*17436 TAP_1032 +*17437 TAP_1033 +*17438 TAP_1034 +*17439 TAP_1035 +*17440 TAP_1036 +*17441 TAP_1037 +*17442 TAP_1038 +*17443 TAP_1039 +*17444 TAP_1040 +*17445 TAP_1041 +*17446 TAP_1042 +*17447 TAP_1043 +*17448 TAP_1044 +*17449 TAP_1045 +*17450 TAP_1046 +*17451 TAP_1047 +*17452 TAP_1048 +*17453 TAP_1049 +*17454 TAP_1050 +*17455 TAP_1051 +*17456 TAP_1052 +*17457 TAP_1053 +*17458 TAP_1054 +*17459 TAP_1055 +*17460 TAP_1056 +*17461 TAP_1057 +*17462 TAP_1058 +*17463 TAP_1059 +*17464 TAP_1060 +*17465 TAP_1061 +*17466 TAP_1062 +*17467 TAP_1063 +*17468 TAP_1064 +*17469 TAP_1065 +*17470 TAP_1066 +*17471 TAP_1067 +*17472 TAP_1068 +*17473 TAP_1069 +*17474 TAP_1070 +*17475 TAP_1071 +*17476 TAP_1072 +*17477 TAP_1073 +*17478 TAP_1074 +*17479 TAP_1075 +*17480 TAP_1076 +*17481 TAP_1077 +*17482 TAP_1078 +*17483 TAP_1079 +*17484 TAP_1080 +*17485 TAP_1081 +*17486 TAP_1082 +*17487 TAP_1083 +*17488 TAP_1084 +*17489 TAP_1085 +*17490 TAP_1086 +*17491 TAP_1087 +*17492 TAP_1088 +*17493 TAP_1089 +*17494 TAP_1090 +*17495 TAP_1091 +*17496 TAP_1092 +*17497 TAP_1093 +*17498 TAP_1094 +*17499 TAP_1095 +*17500 TAP_1096 +*17501 TAP_1097 +*17502 TAP_1098 +*17503 TAP_1099 +*17504 TAP_1100 +*17505 TAP_1101 +*17506 TAP_1102 +*17507 TAP_1103 +*17508 TAP_1104 +*17509 TAP_1105 +*17510 TAP_1106 +*17511 TAP_1107 +*17512 TAP_1108 +*17513 TAP_1109 +*17514 TAP_1110 +*17515 TAP_1111 +*17516 TAP_1112 +*17517 TAP_1113 +*17518 TAP_1114 +*17519 TAP_1115 +*17520 TAP_1116 +*17521 TAP_1117 +*17522 TAP_1118 +*17523 TAP_1119 +*17524 TAP_1120 +*17525 TAP_1121 +*17526 TAP_1122 +*17527 TAP_1123 +*17528 TAP_1124 +*17529 TAP_1125 +*17530 TAP_1126 +*17531 TAP_1127 +*17532 TAP_1128 +*17533 TAP_1129 +*17534 TAP_1130 +*17535 TAP_1131 +*17536 TAP_1132 +*17537 TAP_1133 +*17538 TAP_1134 +*17539 TAP_1135 +*17540 TAP_1136 +*17541 TAP_1137 +*17542 TAP_1138 +*17543 TAP_1139 +*17544 TAP_1140 +*17545 TAP_1141 +*17546 TAP_1142 +*17547 TAP_1143 +*17548 TAP_1144 +*17549 TAP_1145 +*17550 TAP_1146 +*17551 TAP_1147 +*17552 TAP_1148 +*17553 TAP_1149 +*17554 TAP_1150 +*17555 TAP_1151 +*17556 TAP_1152 +*17557 TAP_1153 +*17558 TAP_1154 +*17559 TAP_1155 +*17560 TAP_1156 +*17561 TAP_1157 +*17562 TAP_1158 +*17563 TAP_1159 +*17564 TAP_1160 +*17565 TAP_1161 +*17566 TAP_1162 +*17567 TAP_1163 +*17568 TAP_1164 +*17569 TAP_1165 +*17570 TAP_1166 +*17571 TAP_1167 +*17572 TAP_1168 +*17573 TAP_1169 +*17574 TAP_1170 +*17575 TAP_1171 +*17576 TAP_1172 +*17577 TAP_1173 +*17578 TAP_1174 +*17579 TAP_1175 +*17580 TAP_1176 +*17581 TAP_1177 +*17582 TAP_1178 +*17583 TAP_1179 +*17584 TAP_1180 +*17585 TAP_1181 +*17586 TAP_1182 +*17587 TAP_1183 +*17588 TAP_1184 +*17589 TAP_1185 +*17590 TAP_1186 +*17591 TAP_1187 +*17592 TAP_1188 +*17593 TAP_1189 +*17594 TAP_1190 +*17595 TAP_1191 +*17596 TAP_1192 +*17597 TAP_1193 +*17598 TAP_1194 +*17599 TAP_1195 +*17600 TAP_1196 +*17601 TAP_1197 +*17602 TAP_1198 +*17603 TAP_1199 +*17604 TAP_1200 +*17605 TAP_1201 +*17606 TAP_1202 +*17607 TAP_1203 +*17608 TAP_1204 +*17609 TAP_1205 +*17610 TAP_1206 +*17611 TAP_1207 +*17612 TAP_1208 +*17613 TAP_1209 +*17614 TAP_1210 +*17615 TAP_1211 +*17616 TAP_1212 +*17617 TAP_1213 +*17618 TAP_1214 +*17619 TAP_1215 +*17620 TAP_1216 +*17621 TAP_1217 +*17622 TAP_1218 +*17623 TAP_1219 +*17624 TAP_1220 +*17625 TAP_1221 +*17626 TAP_1222 +*17627 TAP_1223 +*17628 TAP_1224 +*17629 TAP_1225 +*17630 TAP_1226 +*17631 TAP_1227 +*17632 TAP_1228 +*17633 TAP_1229 +*17634 TAP_1230 +*17635 TAP_1231 +*17636 TAP_1232 +*17637 TAP_1233 +*17638 TAP_1234 +*17639 TAP_1235 +*17640 TAP_1236 +*17641 TAP_1237 +*17642 TAP_1238 +*17643 TAP_1239 +*17644 TAP_1240 +*17645 TAP_1241 +*17646 TAP_1242 +*17647 TAP_1243 +*17648 TAP_1244 +*17649 TAP_1245 +*17650 TAP_1246 +*17651 TAP_1247 +*17652 TAP_1248 +*17653 TAP_1249 +*17654 TAP_1250 +*17655 TAP_1251 +*17656 TAP_1252 +*17657 TAP_1253 +*17658 TAP_1254 +*17659 TAP_1255 +*17660 TAP_1256 +*17661 TAP_1257 +*17662 TAP_1258 +*17663 TAP_1259 +*17664 TAP_1260 +*17665 TAP_1261 +*17666 TAP_1262 +*17667 TAP_1263 +*17668 TAP_1264 +*17669 TAP_1265 +*17670 TAP_1266 +*17671 TAP_1267 +*17672 TAP_1268 +*17673 TAP_1269 +*17674 TAP_1270 +*17675 TAP_1271 +*17676 TAP_1272 +*17677 TAP_1273 +*17678 TAP_1274 +*17679 TAP_1275 +*17680 TAP_1276 +*17681 TAP_1277 +*17682 TAP_1278 +*17683 TAP_1279 +*17684 TAP_1280 +*17685 TAP_1281 +*17686 TAP_1282 +*17687 TAP_1283 +*17688 TAP_1284 +*17689 TAP_1285 +*17690 TAP_1286 +*17691 TAP_1287 +*17692 TAP_1288 +*17693 TAP_1289 +*17694 TAP_1290 +*17695 TAP_1291 +*17696 TAP_1292 +*17697 TAP_1293 +*17698 TAP_1294 +*17699 TAP_1295 +*17700 TAP_1296 +*17701 TAP_1297 +*17702 TAP_1298 +*17703 TAP_1299 +*17704 TAP_1300 +*17705 TAP_1301 +*17706 TAP_1302 +*17707 TAP_1303 +*17708 TAP_1304 +*17709 TAP_1305 +*17710 TAP_1306 +*17711 TAP_1307 +*17712 TAP_1308 +*17713 TAP_1309 +*17714 TAP_1310 +*17715 TAP_1311 +*17716 TAP_1312 +*17717 TAP_1313 +*17718 TAP_1314 +*17719 TAP_1315 +*17720 TAP_1316 +*17721 TAP_1317 +*17722 TAP_1318 +*17723 TAP_1319 +*17724 TAP_1320 +*17725 TAP_1321 +*17726 TAP_1322 +*17727 TAP_1323 +*17728 TAP_1324 +*17729 TAP_1325 +*17730 TAP_1326 +*17731 TAP_1327 +*17732 TAP_1328 +*17733 TAP_1329 +*17734 TAP_1330 +*17735 TAP_1331 +*17736 TAP_1332 +*17737 TAP_1333 +*17738 TAP_1334 +*17739 TAP_1335 +*17740 TAP_1336 +*17741 TAP_1337 +*17742 TAP_1338 +*17743 TAP_1339 +*17744 TAP_1340 +*17745 TAP_1341 +*17746 TAP_1342 +*17747 TAP_1343 +*17748 TAP_1344 +*17749 TAP_1345 +*17750 TAP_1346 +*17751 TAP_1347 +*17752 TAP_1348 +*17753 TAP_1349 +*17754 TAP_1350 +*17755 TAP_1351 +*17756 TAP_1352 +*17757 TAP_1353 +*17758 TAP_1354 +*17759 TAP_1355 +*17760 TAP_1356 +*17761 TAP_1357 +*17762 TAP_1358 +*17763 TAP_1359 +*17764 TAP_1360 +*17765 TAP_1361 +*17766 TAP_1362 +*17767 TAP_1363 +*17768 TAP_1364 +*17769 TAP_1365 +*17770 TAP_1366 +*17771 TAP_1367 +*17772 TAP_1368 +*17773 TAP_1369 +*17774 TAP_1370 +*17775 TAP_1371 +*17776 TAP_1372 +*17777 TAP_1373 +*17778 TAP_1374 +*17779 TAP_1375 +*17780 TAP_1376 +*17781 TAP_1377 +*17782 TAP_1378 +*17783 TAP_1379 +*17784 TAP_1380 +*17785 TAP_1381 +*17786 TAP_1382 +*17787 TAP_1383 +*17788 TAP_1384 +*17789 TAP_1385 +*17790 TAP_1386 +*17791 TAP_1387 +*17792 TAP_1388 +*17793 TAP_1389 +*17794 TAP_1390 +*17795 TAP_1391 +*17796 TAP_1392 +*17797 TAP_1393 +*17798 TAP_1394 +*17799 TAP_1395 +*17800 TAP_1396 +*17801 TAP_1397 +*17802 TAP_1398 +*17803 TAP_1399 +*17804 TAP_1400 +*17805 TAP_1401 +*17806 TAP_1402 +*17807 TAP_1403 +*17808 TAP_1404 +*17809 TAP_1405 +*17810 TAP_1406 +*17811 TAP_1407 +*17812 TAP_1408 +*17813 TAP_1409 +*17814 TAP_1410 +*17815 TAP_1411 +*17816 TAP_1412 +*17817 TAP_1413 +*17818 TAP_1414 +*17819 TAP_1415 +*17820 TAP_1416 +*17821 TAP_1417 +*17822 TAP_1418 +*17823 TAP_1419 +*17824 TAP_1420 +*17825 TAP_1421 +*17826 TAP_1422 +*17827 TAP_1423 +*17828 TAP_1424 +*17829 TAP_1425 +*17830 TAP_1426 +*17831 TAP_1427 +*17832 TAP_1428 +*17833 TAP_1429 +*17834 TAP_1430 +*17835 TAP_1431 +*17836 TAP_1432 +*17837 TAP_1433 +*17838 TAP_1434 +*17839 TAP_1435 +*17840 TAP_1436 +*17841 TAP_1437 +*17842 TAP_1438 +*17843 TAP_1439 +*17844 TAP_1440 +*17845 TAP_1441 +*17846 TAP_1442 +*17847 TAP_1443 +*17848 TAP_1444 +*17849 TAP_1445 +*17850 TAP_1446 +*17851 TAP_1447 +*17852 TAP_1448 +*17853 TAP_1449 +*17854 TAP_1450 +*17855 TAP_1451 +*17856 TAP_1452 +*17857 TAP_1453 +*17858 TAP_1454 +*17859 TAP_1455 +*17860 TAP_1456 +*17861 TAP_1457 +*17862 TAP_1458 +*17863 TAP_1459 +*17864 TAP_1460 +*17865 TAP_1461 +*17866 TAP_1462 +*17867 TAP_1463 +*17868 TAP_1464 +*17869 TAP_1465 +*17870 TAP_1466 +*17871 TAP_1467 +*17872 TAP_1468 +*17873 TAP_1469 +*17874 TAP_1470 +*17875 TAP_1471 +*17876 TAP_1472 +*17877 TAP_1473 +*17878 TAP_1474 +*17879 TAP_1475 +*17880 TAP_1476 +*17881 TAP_1477 +*17882 TAP_1478 +*17883 TAP_1479 +*17884 TAP_1480 +*17885 TAP_1481 +*17886 TAP_1482 +*17887 TAP_1483 +*17888 TAP_1484 +*17889 TAP_1485 +*17890 TAP_1486 +*17891 TAP_1487 +*17892 TAP_1488 +*17893 TAP_1489 +*17894 TAP_1490 +*17895 TAP_1491 +*17896 TAP_1492 +*17897 TAP_1493 +*17898 TAP_1494 +*17899 TAP_1495 +*17900 TAP_1496 +*17901 TAP_1497 +*17902 TAP_1498 +*17903 TAP_1499 +*17904 TAP_1500 +*17905 TAP_1501 +*17906 TAP_1502 +*17907 TAP_1503 +*17908 TAP_1504 +*17909 TAP_1505 +*17910 TAP_1506 +*17911 TAP_1507 +*17912 TAP_1508 +*17913 TAP_1509 +*17914 TAP_1510 +*17915 TAP_1511 +*17916 TAP_1512 +*17917 TAP_1513 +*17918 TAP_1514 +*17919 TAP_1515 +*17920 TAP_1516 +*17921 TAP_1517 +*17922 TAP_1518 +*17923 TAP_1519 +*17924 TAP_1520 +*17925 TAP_1521 +*17926 TAP_1522 +*17927 TAP_1523 +*17928 TAP_1524 +*17929 TAP_1525 +*17930 TAP_1526 +*17931 TAP_1527 +*17932 TAP_1528 +*17933 TAP_1529 +*17934 TAP_1530 +*17935 TAP_1531 +*17936 TAP_1532 +*17937 TAP_1533 +*17938 TAP_1534 +*17939 TAP_1535 +*17940 TAP_1536 +*17941 TAP_1537 +*17942 TAP_1538 +*17943 TAP_1539 +*17944 TAP_1540 +*17945 TAP_1541 +*17946 TAP_1542 +*17947 TAP_1543 +*17948 TAP_1544 +*17949 TAP_1545 +*17950 TAP_1546 +*17951 TAP_1547 +*17952 TAP_1548 +*17953 TAP_1549 +*17954 TAP_1550 +*17955 TAP_1551 +*17956 TAP_1552 +*17957 TAP_1553 +*17958 TAP_1554 +*17959 TAP_1555 +*17960 TAP_1556 +*17961 TAP_1557 +*17962 TAP_1558 +*17963 TAP_1559 +*17964 TAP_1560 +*17965 TAP_1561 +*17966 TAP_1562 +*17967 TAP_1563 +*17968 TAP_1564 +*17969 TAP_1565 +*17970 TAP_1566 +*17971 TAP_1567 +*17972 TAP_1568 +*17973 TAP_1569 +*17974 TAP_1570 +*17975 TAP_1571 +*17976 TAP_1572 +*17977 TAP_1573 +*17978 TAP_1574 +*17979 TAP_1575 +*17980 TAP_1576 +*17981 TAP_1577 +*17982 TAP_1578 +*17983 TAP_1579 +*17984 TAP_1580 +*17985 TAP_1581 +*17986 TAP_1582 +*17987 TAP_1583 +*17988 TAP_1584 +*17989 TAP_1585 +*17990 TAP_1586 +*17991 TAP_1587 +*17992 TAP_1588 +*17993 TAP_1589 +*17994 TAP_1590 +*17995 TAP_1591 +*17996 TAP_1592 +*17997 TAP_1593 +*17998 TAP_1594 +*17999 TAP_1595 +*18000 TAP_1596 +*18001 TAP_1597 +*18002 TAP_1598 +*18003 TAP_1599 +*18004 TAP_1600 +*18005 TAP_1601 +*18006 TAP_1602 +*18007 TAP_1603 +*18008 TAP_1604 +*18009 TAP_1605 +*18010 TAP_1606 +*18011 TAP_1607 +*18012 TAP_1608 +*18013 TAP_1609 +*18014 TAP_1610 +*18015 TAP_1611 +*18016 TAP_1612 +*18017 TAP_1613 +*18018 TAP_1614 +*18019 TAP_1615 +*18020 TAP_1616 +*18021 TAP_1617 +*18022 TAP_1618 +*18023 TAP_1619 +*18024 TAP_1620 +*18025 TAP_1621 +*18026 TAP_1622 +*18027 TAP_1623 +*18028 TAP_1624 +*18029 TAP_1625 +*18030 TAP_1626 +*18031 TAP_1627 +*18032 TAP_1628 +*18033 TAP_1629 +*18034 TAP_1630 +*18035 TAP_1631 +*18036 TAP_1632 +*18037 TAP_1633 +*18038 TAP_1634 +*18039 TAP_1635 +*18040 TAP_1636 +*18041 TAP_1637 +*18042 TAP_1638 +*18043 TAP_1639 +*18044 TAP_1640 +*18045 TAP_1641 +*18046 TAP_1642 +*18047 TAP_1643 +*18048 TAP_1644 +*18049 TAP_1645 +*18050 TAP_1646 +*18051 TAP_1647 +*18052 TAP_1648 +*18053 TAP_1649 +*18054 TAP_1650 +*18055 TAP_1651 +*18056 TAP_1652 +*18057 TAP_1653 +*18058 TAP_1654 +*18059 TAP_1655 +*18060 TAP_1656 +*18061 TAP_1657 +*18062 TAP_1658 +*18063 TAP_1659 +*18064 TAP_1660 +*18065 TAP_1661 +*18066 TAP_1662 +*18067 TAP_1663 +*18068 TAP_1664 +*18069 TAP_1665 +*18070 TAP_1666 +*18071 TAP_1667 +*18072 TAP_1668 +*18073 TAP_1669 +*18074 TAP_1670 +*18075 TAP_1671 +*18076 TAP_1672 +*18077 TAP_1673 +*18078 TAP_1674 +*18079 TAP_1675 +*18080 TAP_1676 +*18081 TAP_1677 +*18082 TAP_1678 +*18083 TAP_1679 +*18084 TAP_1680 +*18085 TAP_1681 +*18086 TAP_1682 +*18087 TAP_1683 +*18088 TAP_1684 +*18089 TAP_1685 +*18090 TAP_1686 +*18091 TAP_1687 +*18092 TAP_1688 +*18093 TAP_1689 +*18094 TAP_1690 +*18095 TAP_1691 +*18096 TAP_1692 +*18097 TAP_1693 +*18098 TAP_1694 +*18099 TAP_1695 +*18100 TAP_1696 +*18101 TAP_1697 +*18102 TAP_1698 +*18103 TAP_1699 +*18104 TAP_1700 +*18105 TAP_1701 +*18106 TAP_1702 +*18107 TAP_1703 +*18108 TAP_1704 +*18109 TAP_1705 +*18110 TAP_1706 +*18111 TAP_1707 +*18112 TAP_1708 +*18113 TAP_1709 +*18114 TAP_1710 +*18115 TAP_1711 +*18116 TAP_1712 +*18117 TAP_1713 +*18118 TAP_1714 +*18119 TAP_1715 +*18120 TAP_1716 +*18121 TAP_1717 +*18122 TAP_1718 +*18123 TAP_1719 +*18124 TAP_1720 +*18125 TAP_1721 +*18126 TAP_1722 +*18127 TAP_1723 +*18128 TAP_1724 +*18129 TAP_1725 +*18130 TAP_1726 +*18131 TAP_1727 +*18132 TAP_1728 +*18133 TAP_1729 +*18134 TAP_1730 +*18135 TAP_1731 +*18136 TAP_1732 +*18137 TAP_1733 +*18138 TAP_1734 +*18139 TAP_1735 +*18140 TAP_1736 +*18141 TAP_1737 +*18142 TAP_1738 +*18143 TAP_1739 +*18144 TAP_1740 +*18145 TAP_1741 +*18146 TAP_1742 +*18147 TAP_1743 +*18148 TAP_1744 +*18149 TAP_1745 +*18150 TAP_1746 +*18151 TAP_1747 +*18152 TAP_1748 +*18153 TAP_1749 +*18154 TAP_1750 +*18155 TAP_1751 +*18156 TAP_1752 +*18157 TAP_1753 +*18158 TAP_1754 +*18159 TAP_1755 +*18160 TAP_1756 +*18161 TAP_1757 +*18162 TAP_1758 +*18163 TAP_1759 +*18164 TAP_1760 +*18165 TAP_1761 +*18166 TAP_1762 +*18167 TAP_1763 +*18168 TAP_1764 +*18169 TAP_1765 +*18170 TAP_1766 +*18171 TAP_1767 +*18172 TAP_1768 +*18173 TAP_1769 +*18174 TAP_1770 +*18175 TAP_1771 +*18176 TAP_1772 +*18177 TAP_1773 +*18178 TAP_1774 +*18179 TAP_1775 +*18180 TAP_1776 +*18181 TAP_1777 +*18182 TAP_1778 +*18183 TAP_1779 +*18184 TAP_1780 +*18185 TAP_1781 +*18186 TAP_1782 +*18187 TAP_1783 +*18188 TAP_1784 +*18189 TAP_1785 +*18190 TAP_1786 +*18191 TAP_1787 +*18192 TAP_1788 +*18193 TAP_1789 +*18194 TAP_1790 +*18195 TAP_1791 +*18196 TAP_1792 +*18197 TAP_1793 +*18198 TAP_1794 +*18199 TAP_1795 +*18200 TAP_1796 +*18201 TAP_1797 +*18202 TAP_1798 +*18203 TAP_1799 +*18204 TAP_1800 +*18205 TAP_1801 +*18206 TAP_1802 +*18207 TAP_1803 +*18208 TAP_1804 +*18209 TAP_1805 +*18210 TAP_1806 +*18211 TAP_1807 +*18212 TAP_1808 +*18213 TAP_1809 +*18214 TAP_1810 +*18215 TAP_1811 +*18216 TAP_1812 +*18217 TAP_1813 +*18218 TAP_1814 +*18219 TAP_1815 +*18220 TAP_1816 +*18221 TAP_1817 +*18222 TAP_1818 +*18223 TAP_1819 +*18224 TAP_1820 +*18225 TAP_1821 +*18226 TAP_1822 +*18227 TAP_1823 +*18228 TAP_1824 +*18229 TAP_1825 +*18230 TAP_1826 +*18231 TAP_1827 +*18232 TAP_1828 +*18233 TAP_1829 +*18234 TAP_1830 +*18235 TAP_1831 +*18236 TAP_1832 +*18237 TAP_1833 +*18238 TAP_1834 +*18239 TAP_1835 +*18240 TAP_1836 +*18241 TAP_1837 +*18242 TAP_1838 +*18243 TAP_1839 +*18244 TAP_1840 +*18245 TAP_1841 +*18246 TAP_1842 +*18247 TAP_1843 +*18248 TAP_1844 +*18249 TAP_1845 +*18250 TAP_1846 +*18251 TAP_1847 +*18252 TAP_1848 +*18253 TAP_1849 +*18254 TAP_1850 +*18255 TAP_1851 +*18256 TAP_1852 +*18257 TAP_1853 +*18258 TAP_1854 +*18259 TAP_1855 +*18260 TAP_1856 +*18261 TAP_1857 +*18262 TAP_1858 +*18263 TAP_1859 +*18264 TAP_1860 +*18265 TAP_1861 +*18266 TAP_1862 +*18267 TAP_1863 +*18268 TAP_1864 +*18269 TAP_1865 +*18270 TAP_1866 +*18271 TAP_1867 +*18272 TAP_1868 +*18273 TAP_1869 +*18274 TAP_1870 +*18275 TAP_1871 +*18276 TAP_1872 +*18277 TAP_1873 +*18278 TAP_1874 +*18279 TAP_1875 +*18280 TAP_1876 +*18281 TAP_1877 +*18282 TAP_1878 +*18283 TAP_1879 +*18284 TAP_1880 +*18285 TAP_1881 +*18286 TAP_1882 +*18287 TAP_1883 +*18288 TAP_1884 +*18289 TAP_1885 +*18290 TAP_1886 +*18291 TAP_1887 +*18292 TAP_1888 +*18293 TAP_1889 +*18294 TAP_1890 +*18295 TAP_1891 +*18296 TAP_1892 +*18297 TAP_1893 +*18298 TAP_1894 +*18299 TAP_1895 +*18300 TAP_1896 +*18301 TAP_1897 +*18302 TAP_1898 +*18303 TAP_1899 +*18304 TAP_1900 +*18305 TAP_1901 +*18306 TAP_1902 +*18307 TAP_1903 +*18308 TAP_1904 +*18309 TAP_1905 +*18310 TAP_1906 +*18311 TAP_1907 +*18312 TAP_1908 +*18313 TAP_1909 +*18314 TAP_1910 +*18315 TAP_1911 +*18316 TAP_1912 +*18317 TAP_1913 +*18318 TAP_1914 +*18319 TAP_1915 +*18320 TAP_1916 +*18321 TAP_1917 +*18322 TAP_1918 +*18323 TAP_1919 +*18324 TAP_1920 +*18325 TAP_1921 +*18326 TAP_1922 +*18327 TAP_1923 +*18328 TAP_1924 +*18329 TAP_1925 +*18330 TAP_1926 +*18331 TAP_1927 +*18332 TAP_1928 +*18333 TAP_1929 +*18334 TAP_1930 +*18335 TAP_1931 +*18336 TAP_1932 +*18337 TAP_1933 +*18338 TAP_1934 +*18339 TAP_1935 +*18340 TAP_1936 +*18341 TAP_1937 +*18342 TAP_1938 +*18343 TAP_1939 +*18344 TAP_1940 +*18345 TAP_1941 +*18346 TAP_1942 +*18347 TAP_1943 +*18348 TAP_1944 +*18349 TAP_1945 +*18350 TAP_1946 +*18351 TAP_1947 +*18352 TAP_1948 +*18353 TAP_1949 +*18354 TAP_1950 +*18355 TAP_1951 +*18356 TAP_1952 +*18357 TAP_1953 +*18358 TAP_1954 +*18359 TAP_1955 +*18360 TAP_1956 +*18361 TAP_1957 +*18362 TAP_1958 +*18363 TAP_1959 +*18364 TAP_1960 +*18365 TAP_1961 +*18366 TAP_1962 +*18367 TAP_1963 +*18368 TAP_1964 +*18369 TAP_1965 +*18370 TAP_1966 +*18371 TAP_1967 +*18372 TAP_1968 +*18373 TAP_1969 +*18374 TAP_1970 +*18375 TAP_1971 +*18376 TAP_1972 +*18377 TAP_1973 +*18378 TAP_1974 +*18379 TAP_1975 +*18380 TAP_1976 +*18381 TAP_1977 +*18382 TAP_1978 +*18383 TAP_1979 +*18384 TAP_1980 +*18385 TAP_1981 +*18386 TAP_1982 +*18387 TAP_1983 +*18388 TAP_1984 +*18389 TAP_1985 +*18390 TAP_1986 +*18391 TAP_1987 +*18392 TAP_1988 +*18393 TAP_1989 +*18394 TAP_1990 +*18395 TAP_1991 +*18396 TAP_1992 +*18397 TAP_1993 +*18398 TAP_1994 +*18399 TAP_1995 +*18400 TAP_1996 +*18401 TAP_1997 +*18402 TAP_1998 +*18403 TAP_1999 +*18404 TAP_2000 +*18405 TAP_2001 +*18406 TAP_2002 +*18407 TAP_2003 +*18408 TAP_2004 +*18409 TAP_2005 +*18410 TAP_2006 +*18411 TAP_2007 +*18412 TAP_2008 +*18413 TAP_2009 +*18414 TAP_2010 +*18415 TAP_2011 +*18416 TAP_2012 +*18417 TAP_2013 +*18418 TAP_2014 +*18419 TAP_2015 +*18420 TAP_2016 +*18421 TAP_2017 +*18422 TAP_2018 +*18423 TAP_2019 +*18424 TAP_2020 +*18425 TAP_2021 +*18426 TAP_2022 +*18427 TAP_2023 +*18428 TAP_2024 +*18429 TAP_2025 +*18430 TAP_2026 +*18431 TAP_2027 +*18432 TAP_2028 +*18433 TAP_2029 +*18434 TAP_2030 +*18435 TAP_2031 +*18436 TAP_2032 +*18437 TAP_2033 +*18438 TAP_2034 +*18439 TAP_2035 +*18440 TAP_2036 +*18441 TAP_2037 +*18442 TAP_2038 +*18443 TAP_2039 +*18444 TAP_2040 +*18445 TAP_2041 +*18446 TAP_2042 +*18447 TAP_2043 +*18448 TAP_2044 +*18449 TAP_2045 +*18450 TAP_2046 +*18451 TAP_2047 +*18452 TAP_2048 +*18453 TAP_2049 +*18454 TAP_2050 +*18455 TAP_2051 +*18456 TAP_2052 +*18457 TAP_2053 +*18458 TAP_2054 +*18459 TAP_2055 +*18460 TAP_2056 +*18461 TAP_2057 +*18462 TAP_2058 +*18463 TAP_2059 +*18464 TAP_2060 +*18465 TAP_2061 +*18466 TAP_2062 +*18467 TAP_2063 +*18468 TAP_2064 +*18469 TAP_2065 +*18470 TAP_2066 +*18471 TAP_2067 +*18472 TAP_2068 +*18473 TAP_2069 +*18474 TAP_2070 +*18475 TAP_2071 +*18476 TAP_2072 +*18477 TAP_2073 +*18478 TAP_2074 +*18479 TAP_2075 +*18480 TAP_2076 +*18481 TAP_2077 +*18482 TAP_2078 +*18483 TAP_2079 +*18484 TAP_2080 +*18485 TAP_2081 +*18486 TAP_2082 +*18487 TAP_2083 +*18488 TAP_2084 +*18489 TAP_2085 +*18490 TAP_2086 +*18491 TAP_2087 +*18492 TAP_2088 +*18493 TAP_2089 +*18494 TAP_2090 +*18495 TAP_2091 +*18496 TAP_2092 +*18497 TAP_2093 +*18498 TAP_2094 +*18499 TAP_2095 +*18500 TAP_2096 +*18501 TAP_2097 +*18502 TAP_2098 +*18503 TAP_2099 +*18504 TAP_2100 +*18505 TAP_2101 +*18506 TAP_2102 +*18507 TAP_2103 +*18508 TAP_2104 +*18509 TAP_2105 +*18510 TAP_2106 +*18511 TAP_2107 +*18512 TAP_2108 +*18513 TAP_2109 +*18514 TAP_2110 +*18515 TAP_2111 +*18516 TAP_2112 +*18517 TAP_2113 +*18518 TAP_2114 +*18519 TAP_2115 +*18520 TAP_2116 +*18521 TAP_2117 +*18522 TAP_2118 +*18523 TAP_2119 +*18524 TAP_2120 +*18525 TAP_2121 +*18526 TAP_2122 +*18527 TAP_2123 +*18528 TAP_2124 +*18529 TAP_2125 +*18530 TAP_2126 +*18531 TAP_2127 +*18532 TAP_2128 +*18533 TAP_2129 +*18534 TAP_2130 +*18535 TAP_2131 +*18536 TAP_2132 +*18537 TAP_2133 +*18538 TAP_2134 +*18539 TAP_2135 +*18540 TAP_2136 +*18541 TAP_2137 +*18542 TAP_2138 +*18543 TAP_2139 +*18544 TAP_2140 +*18545 TAP_2141 +*18546 TAP_2142 +*18547 TAP_2143 +*18548 TAP_2144 +*18549 TAP_2145 +*18550 TAP_2146 +*18551 TAP_2147 +*18552 TAP_2148 +*18553 TAP_2149 +*18554 TAP_2150 +*18555 TAP_2151 +*18556 TAP_2152 +*18557 TAP_2153 +*18558 TAP_2154 +*18559 TAP_2155 +*18560 TAP_2156 +*18561 TAP_2157 +*18562 TAP_2158 +*18563 TAP_2159 +*18564 TAP_2160 +*18565 TAP_2161 +*18566 TAP_2162 +*18567 TAP_2163 +*18568 TAP_2164 +*18569 TAP_2165 +*18570 TAP_2166 +*18571 TAP_2167 +*18572 TAP_2168 +*18573 TAP_2169 +*18574 TAP_2170 +*18575 TAP_2171 +*18576 TAP_2172 +*18577 TAP_2173 +*18578 TAP_2174 +*18579 TAP_2175 +*18580 TAP_2176 +*18581 TAP_2177 +*18582 TAP_2178 +*18583 TAP_2179 +*18584 TAP_2180 +*18585 TAP_2181 +*18586 TAP_2182 +*18587 TAP_2183 +*18588 TAP_2184 +*18589 TAP_2185 +*18590 TAP_2186 +*18591 TAP_2187 +*18592 TAP_2188 +*18593 TAP_2189 +*18594 TAP_2190 +*18595 TAP_2191 +*18596 TAP_2192 +*18597 TAP_2193 +*18598 TAP_2194 +*18599 TAP_2195 +*18600 TAP_2196 +*18601 TAP_2197 +*18602 TAP_2198 +*18603 TAP_2199 +*18604 TAP_2200 +*18605 TAP_2201 +*18606 TAP_2202 +*18607 TAP_2203 +*18608 TAP_2204 +*18609 TAP_2205 +*18610 TAP_2206 +*18611 TAP_2207 +*18612 TAP_2208 +*18613 TAP_2209 +*18614 TAP_2210 +*18615 TAP_2211 +*18616 TAP_2212 +*18617 TAP_2213 +*18618 TAP_2214 +*18619 TAP_2215 +*18620 TAP_2216 +*18621 TAP_2217 +*18622 TAP_2218 +*18623 TAP_2219 +*18624 TAP_2220 +*18625 TAP_2221 +*18626 TAP_2222 +*18627 TAP_2223 +*18628 TAP_2224 +*18629 TAP_2225 +*18630 TAP_2226 +*18631 TAP_2227 +*18632 TAP_2228 +*18633 TAP_2229 +*18634 TAP_2230 +*18635 TAP_2231 +*18636 TAP_2232 +*18637 TAP_2233 +*18638 TAP_2234 +*18639 TAP_2235 +*18640 TAP_2236 +*18641 TAP_2237 +*18642 TAP_2238 +*18643 TAP_2239 +*18644 TAP_2240 +*18645 TAP_2241 +*18646 TAP_2242 +*18647 TAP_2243 +*18648 TAP_2244 +*18649 TAP_2245 +*18650 TAP_2246 +*18651 TAP_2247 +*18652 TAP_2248 +*18653 TAP_2249 +*18654 TAP_2250 +*18655 TAP_2251 +*18656 TAP_2252 +*18657 TAP_2253 +*18658 TAP_2254 +*18659 TAP_2255 +*18660 TAP_2256 +*18661 TAP_2257 +*18662 TAP_2258 +*18663 TAP_2259 +*18664 TAP_2260 +*18665 TAP_2261 +*18666 TAP_2262 +*18667 TAP_2263 +*18668 TAP_2264 +*18669 TAP_2265 +*18670 TAP_2266 +*18671 TAP_2267 +*18672 TAP_2268 +*18673 TAP_2269 +*18674 TAP_2270 +*18675 TAP_2271 +*18676 TAP_2272 +*18677 TAP_2273 +*18678 TAP_2274 +*18679 TAP_2275 +*18680 TAP_2276 +*18681 TAP_2277 +*18682 TAP_2278 +*18683 TAP_2279 +*18684 TAP_2280 +*18685 TAP_2281 +*18686 TAP_2282 +*18687 TAP_2283 +*18688 TAP_2284 +*18689 TAP_2285 +*18690 TAP_2286 +*18691 TAP_2287 +*18692 TAP_2288 +*18693 TAP_2289 +*18694 TAP_2290 +*18695 TAP_2291 +*18696 TAP_2292 +*18697 TAP_2293 +*18698 TAP_2294 +*18699 TAP_2295 +*18700 TAP_2296 +*18701 TAP_2297 +*18702 TAP_2298 +*18703 TAP_2299 +*18704 TAP_2300 +*18705 TAP_2301 +*18706 TAP_2302 +*18707 TAP_2303 +*18708 TAP_2304 +*18709 TAP_2305 +*18710 TAP_2306 +*18711 TAP_2307 +*18712 TAP_2308 +*18713 TAP_2309 +*18714 TAP_2310 +*18715 TAP_2311 +*18716 TAP_2312 +*18717 TAP_2313 +*18718 TAP_2314 +*18719 TAP_2315 +*18720 TAP_2316 +*18721 TAP_2317 +*18722 TAP_2318 +*18723 TAP_2319 +*18724 TAP_2320 +*18725 TAP_2321 +*18726 TAP_2322 +*18727 TAP_2323 +*18728 TAP_2324 +*18729 TAP_2325 +*18730 TAP_2326 +*18731 TAP_2327 +*18732 TAP_2328 +*18733 TAP_2329 +*18734 TAP_2330 +*18735 TAP_2331 +*18736 TAP_2332 +*18737 TAP_2333 +*18738 TAP_2334 +*18739 TAP_2335 +*18740 TAP_2336 +*18741 TAP_2337 +*18742 TAP_2338 +*18743 TAP_2339 +*18744 TAP_2340 +*18745 TAP_2341 +*18746 TAP_2342 +*18747 TAP_2343 +*18748 TAP_2344 +*18749 TAP_2345 +*18750 TAP_2346 +*18751 TAP_2347 +*18752 TAP_2348 +*18753 TAP_2349 +*18754 TAP_2350 +*18755 TAP_2351 +*18756 TAP_2352 +*18757 TAP_2353 +*18758 TAP_2354 +*18759 TAP_2355 +*18760 TAP_2356 +*18761 TAP_2357 +*18762 TAP_2358 +*18763 TAP_2359 +*18764 TAP_2360 +*18765 TAP_2361 +*18766 TAP_2362 +*18767 TAP_2363 +*18768 TAP_2364 +*18769 TAP_2365 +*18770 TAP_2366 +*18771 TAP_2367 +*18772 TAP_2368 +*18773 TAP_2369 +*18774 TAP_2370 +*18775 TAP_2371 +*18776 TAP_2372 +*18777 TAP_2373 +*18778 TAP_2374 +*18779 TAP_2375 +*18780 TAP_2376 +*18781 TAP_2377 +*18782 TAP_2378 +*18783 TAP_2379 +*18784 TAP_2380 +*18785 TAP_2381 +*18786 TAP_2382 +*18787 TAP_2383 +*18788 TAP_2384 +*18789 TAP_2385 +*18790 TAP_2386 +*18791 TAP_2387 +*18792 TAP_2388 +*18793 TAP_2389 +*18794 TAP_2390 +*18795 TAP_2391 +*18796 TAP_2392 +*18797 TAP_2393 +*18798 TAP_2394 +*18799 TAP_2395 +*18800 TAP_2396 +*18801 TAP_2397 +*18802 TAP_2398 +*18803 TAP_2399 +*18804 TAP_2400 +*18805 TAP_2401 +*18806 TAP_2402 +*18807 TAP_2403 +*18808 TAP_2404 +*18809 TAP_2405 +*18810 TAP_2406 +*18811 TAP_2407 +*18812 TAP_2408 +*18813 TAP_2409 +*18814 TAP_2410 +*18815 TAP_2411 +*18816 TAP_2412 +*18817 TAP_2413 +*18818 TAP_2414 +*18819 TAP_2415 +*18820 TAP_2416 +*18821 TAP_2417 +*18822 TAP_2418 +*18823 TAP_2419 +*18824 TAP_2420 +*18825 TAP_2421 +*18826 TAP_2422 +*18827 TAP_2423 +*18828 TAP_2424 +*18829 TAP_2425 +*18830 TAP_2426 +*18831 TAP_2427 +*18832 TAP_2428 +*18833 TAP_2429 +*18834 TAP_2430 +*18835 TAP_2431 +*18836 TAP_2432 +*18837 TAP_2433 +*18838 TAP_2434 +*18839 TAP_2435 +*18840 TAP_2436 +*18841 TAP_2437 +*18842 TAP_2438 +*18843 TAP_2439 +*18844 TAP_2440 +*18845 TAP_2441 +*18846 TAP_2442 +*18847 TAP_2443 +*18848 TAP_2444 +*18849 TAP_2445 +*18850 TAP_2446 +*18851 TAP_2447 +*18852 TAP_2448 +*18853 TAP_2449 +*18854 TAP_2450 +*18855 TAP_2451 +*18856 TAP_2452 +*18857 TAP_2453 +*18858 TAP_2454 +*18859 TAP_2455 +*18860 TAP_2456 +*18861 TAP_2457 +*18862 TAP_2458 +*18863 TAP_2459 +*18864 TAP_2460 +*18865 TAP_2461 +*18866 TAP_2462 +*18867 TAP_2463 +*18868 TAP_2464 +*18869 TAP_2465 +*18870 TAP_2466 +*18871 TAP_2467 +*18872 TAP_2468 +*18873 TAP_2469 +*18874 TAP_2470 +*18875 TAP_2471 +*18876 TAP_2472 +*18877 TAP_2473 +*18878 TAP_2474 +*18879 TAP_2475 +*18880 TAP_2476 +*18881 TAP_2477 +*18882 TAP_2478 +*18883 TAP_2479 +*18884 TAP_2480 +*18885 TAP_2481 +*18886 TAP_2482 +*18887 TAP_2483 +*18888 TAP_2484 +*18889 TAP_2485 +*18890 TAP_2486 +*18891 TAP_2487 +*18892 TAP_2488 +*18893 TAP_2489 +*18894 TAP_2490 +*18895 TAP_2491 +*18896 TAP_2492 +*18897 TAP_2493 +*18898 TAP_2494 +*18899 TAP_2495 +*18900 TAP_2496 +*18901 TAP_2497 +*18902 TAP_2498 +*18903 TAP_2499 +*18904 TAP_2500 +*18905 TAP_2501 +*18906 TAP_2502 +*18907 TAP_2503 +*18908 TAP_2504 +*18909 TAP_2505 +*18910 TAP_2506 +*18911 TAP_2507 +*18912 TAP_2508 +*18913 TAP_2509 +*18914 TAP_2510 +*18915 TAP_2511 +*18916 TAP_2512 +*18917 TAP_2513 +*18918 TAP_2514 +*18919 TAP_2515 +*18920 TAP_2516 +*18921 TAP_2517 +*18922 TAP_2518 +*18923 TAP_2519 +*18924 TAP_2520 +*18925 TAP_2521 +*18926 TAP_2522 +*18927 TAP_2523 +*18928 TAP_2524 +*18929 TAP_2525 +*18930 TAP_2526 +*18931 TAP_2527 +*18932 TAP_2528 +*18933 TAP_2529 +*18934 TAP_2530 +*18935 TAP_2531 +*18936 TAP_2532 +*18937 TAP_2533 +*18938 TAP_2534 +*18939 TAP_2535 +*18940 TAP_2536 +*18941 TAP_2537 +*18942 TAP_2538 +*18943 TAP_2539 +*18944 TAP_2540 +*18945 TAP_2541 +*18946 TAP_2542 +*18947 TAP_2543 +*18948 TAP_388 +*18949 TAP_389 +*18950 TAP_390 +*18951 TAP_391 +*18952 TAP_392 +*18953 TAP_393 +*18954 TAP_394 +*18955 TAP_395 +*18956 TAP_396 +*18957 TAP_397 +*18958 TAP_398 +*18959 TAP_399 +*18960 TAP_400 +*18961 TAP_401 +*18962 TAP_402 +*18963 TAP_403 +*18964 TAP_404 +*18965 TAP_405 +*18966 TAP_406 +*18967 TAP_407 +*18968 TAP_408 +*18969 TAP_409 +*18970 TAP_410 +*18971 TAP_411 +*18972 TAP_412 +*18973 TAP_413 +*18974 TAP_414 +*18975 TAP_415 +*18976 TAP_416 +*18977 TAP_417 +*18978 TAP_418 +*18979 TAP_419 +*18980 TAP_420 +*18981 TAP_421 +*18982 TAP_422 +*18983 TAP_423 +*18984 TAP_424 +*18985 TAP_425 +*18986 TAP_426 +*18987 TAP_427 +*18988 TAP_428 +*18989 TAP_429 +*18990 TAP_430 +*18991 TAP_431 +*18992 TAP_432 +*18993 TAP_433 +*18994 TAP_434 +*18995 TAP_435 +*18996 TAP_436 +*18997 TAP_437 +*18998 TAP_438 +*18999 TAP_439 +*19000 TAP_440 +*19001 TAP_441 +*19002 TAP_442 +*19003 TAP_443 +*19004 TAP_444 +*19005 TAP_445 +*19006 TAP_446 +*19007 TAP_447 +*19008 TAP_448 +*19009 TAP_449 +*19010 TAP_450 +*19011 TAP_451 +*19012 TAP_452 +*19013 TAP_453 +*19014 TAP_454 +*19015 TAP_455 +*19016 TAP_456 +*19017 TAP_457 +*19018 TAP_458 +*19019 TAP_459 +*19020 TAP_460 +*19021 TAP_461 +*19022 TAP_462 +*19023 TAP_463 +*19024 TAP_464 +*19025 TAP_465 +*19026 TAP_466 +*19027 TAP_467 +*19028 TAP_468 +*19029 TAP_469 +*19030 TAP_470 +*19031 TAP_471 +*19032 TAP_472 +*19033 TAP_473 +*19034 TAP_474 +*19035 TAP_475 +*19036 TAP_476 +*19037 TAP_477 +*19038 TAP_478 +*19039 TAP_479 +*19040 TAP_480 +*19041 TAP_481 +*19042 TAP_482 +*19043 TAP_483 +*19044 TAP_484 +*19045 TAP_485 +*19046 TAP_486 +*19047 TAP_487 +*19048 TAP_488 +*19049 TAP_489 +*19050 TAP_490 +*19051 TAP_491 +*19052 TAP_492 +*19053 TAP_493 +*19054 TAP_494 +*19055 TAP_495 +*19056 TAP_496 +*19057 TAP_497 +*19058 TAP_498 +*19059 TAP_499 +*19060 TAP_500 +*19061 TAP_501 +*19062 TAP_502 +*19063 TAP_503 +*19064 TAP_504 +*19065 TAP_505 +*19066 TAP_506 +*19067 TAP_507 +*19068 TAP_508 +*19069 TAP_509 +*19070 TAP_510 +*19071 TAP_511 +*19072 TAP_512 +*19073 TAP_513 +*19074 TAP_514 +*19075 TAP_515 +*19076 TAP_516 +*19077 TAP_517 +*19078 TAP_518 +*19079 TAP_519 +*19080 TAP_520 +*19081 TAP_521 +*19082 TAP_522 +*19083 TAP_523 +*19084 TAP_524 +*19085 TAP_525 +*19086 TAP_526 +*19087 TAP_527 +*19088 TAP_528 +*19089 TAP_529 +*19090 TAP_530 +*19091 TAP_531 +*19092 TAP_532 +*19093 TAP_533 +*19094 TAP_534 +*19095 TAP_535 +*19096 TAP_536 +*19097 TAP_537 +*19098 TAP_538 +*19099 TAP_539 +*19100 TAP_540 +*19101 TAP_541 +*19102 TAP_542 +*19103 TAP_543 +*19104 TAP_544 +*19105 TAP_545 +*19106 TAP_546 +*19107 TAP_547 +*19108 TAP_548 +*19109 TAP_549 +*19110 TAP_550 +*19111 TAP_551 +*19112 TAP_552 +*19113 TAP_553 +*19114 TAP_554 +*19115 TAP_555 +*19116 TAP_556 +*19117 TAP_557 +*19118 TAP_558 +*19119 TAP_559 +*19120 TAP_560 +*19121 TAP_561 +*19122 TAP_562 +*19123 TAP_563 +*19124 TAP_564 +*19125 TAP_565 +*19126 TAP_566 +*19127 TAP_567 +*19128 TAP_568 +*19129 TAP_569 +*19130 TAP_570 +*19131 TAP_571 +*19132 TAP_572 +*19133 TAP_573 +*19134 TAP_574 +*19135 TAP_575 +*19136 TAP_576 +*19137 TAP_577 +*19138 TAP_578 +*19139 TAP_579 +*19140 TAP_580 +*19141 TAP_581 +*19142 TAP_582 +*19143 TAP_583 +*19144 TAP_584 +*19145 TAP_585 +*19146 TAP_586 +*19147 TAP_587 +*19148 TAP_588 +*19149 TAP_589 +*19150 TAP_590 +*19151 TAP_591 +*19152 TAP_592 +*19153 TAP_593 +*19154 TAP_594 +*19155 TAP_595 +*19156 TAP_596 +*19157 TAP_597 +*19158 TAP_598 +*19159 TAP_599 +*19160 TAP_600 +*19161 TAP_601 +*19162 TAP_602 +*19163 TAP_603 +*19164 TAP_604 +*19165 TAP_605 +*19166 TAP_606 +*19167 TAP_607 +*19168 TAP_608 +*19169 TAP_609 +*19170 TAP_610 +*19171 TAP_611 +*19172 TAP_612 +*19173 TAP_613 +*19174 TAP_614 +*19175 TAP_615 +*19176 TAP_616 +*19177 TAP_617 +*19178 TAP_618 +*19179 TAP_619 +*19180 TAP_620 +*19181 TAP_621 +*19182 TAP_622 +*19183 TAP_623 +*19184 TAP_624 +*19185 TAP_625 +*19186 TAP_626 +*19187 TAP_627 +*19188 TAP_628 +*19189 TAP_629 +*19190 TAP_630 +*19191 TAP_631 +*19192 TAP_632 +*19193 TAP_633 +*19194 TAP_634 +*19195 TAP_635 +*19196 TAP_636 +*19197 TAP_637 +*19198 TAP_638 +*19199 TAP_639 +*19200 TAP_640 +*19201 TAP_641 +*19202 TAP_642 +*19203 TAP_643 +*19204 TAP_644 +*19205 TAP_645 +*19206 TAP_646 +*19207 TAP_647 +*19208 TAP_648 +*19209 TAP_649 +*19210 TAP_650 +*19211 TAP_651 +*19212 TAP_652 +*19213 TAP_653 +*19214 TAP_654 +*19215 TAP_655 +*19216 TAP_656 +*19217 TAP_657 +*19218 TAP_658 +*19219 TAP_659 +*19220 TAP_660 +*19221 TAP_661 +*19222 TAP_662 +*19223 TAP_663 +*19224 TAP_664 +*19225 TAP_665 +*19226 TAP_666 +*19227 TAP_667 +*19228 TAP_668 +*19229 TAP_669 +*19230 TAP_670 +*19231 TAP_671 +*19232 TAP_672 +*19233 TAP_673 +*19234 TAP_674 +*19235 TAP_675 +*19236 TAP_676 +*19237 TAP_677 +*19238 TAP_678 +*19239 TAP_679 +*19240 TAP_680 +*19241 TAP_681 +*19242 TAP_682 +*19243 TAP_683 +*19244 TAP_684 +*19245 TAP_685 +*19246 TAP_686 +*19247 TAP_687 +*19248 TAP_688 +*19249 TAP_689 +*19250 TAP_690 +*19251 TAP_691 +*19252 TAP_692 +*19253 TAP_693 +*19254 TAP_694 +*19255 TAP_695 +*19256 TAP_696 +*19257 TAP_697 +*19258 TAP_698 +*19259 TAP_699 +*19260 TAP_700 +*19261 TAP_701 +*19262 TAP_702 +*19263 TAP_703 +*19264 TAP_704 +*19265 TAP_705 +*19266 TAP_706 +*19267 TAP_707 +*19268 TAP_708 +*19269 TAP_709 +*19270 TAP_710 +*19271 TAP_711 +*19272 TAP_712 +*19273 TAP_713 +*19274 TAP_714 +*19275 TAP_715 +*19276 TAP_716 +*19277 TAP_717 +*19278 TAP_718 +*19279 TAP_719 +*19280 TAP_720 +*19281 TAP_721 +*19282 TAP_722 +*19283 TAP_723 +*19284 TAP_724 +*19285 TAP_725 +*19286 TAP_726 +*19287 TAP_727 +*19288 TAP_728 +*19289 TAP_729 +*19290 TAP_730 +*19291 TAP_731 +*19292 TAP_732 +*19293 TAP_733 +*19294 TAP_734 +*19295 TAP_735 +*19296 TAP_736 +*19297 TAP_737 +*19298 TAP_738 +*19299 TAP_739 +*19300 TAP_740 +*19301 TAP_741 +*19302 TAP_742 +*19303 TAP_743 +*19304 TAP_744 +*19305 TAP_745 +*19306 TAP_746 +*19307 TAP_747 +*19308 TAP_748 +*19309 TAP_749 +*19310 TAP_750 +*19311 TAP_751 +*19312 TAP_752 +*19313 TAP_753 +*19314 TAP_754 +*19315 TAP_755 +*19316 TAP_756 +*19317 TAP_757 +*19318 TAP_758 +*19319 TAP_759 +*19320 TAP_760 +*19321 TAP_761 +*19322 TAP_762 +*19323 TAP_763 +*19324 TAP_764 +*19325 TAP_765 +*19326 TAP_766 +*19327 TAP_767 +*19328 TAP_768 +*19329 TAP_769 +*19330 TAP_770 +*19331 TAP_771 +*19332 TAP_772 +*19333 TAP_773 +*19334 TAP_774 +*19335 TAP_775 +*19336 TAP_776 +*19337 TAP_777 +*19338 TAP_778 +*19339 TAP_779 +*19340 TAP_780 +*19341 TAP_781 +*19342 TAP_782 +*19343 TAP_783 +*19344 TAP_784 +*19345 TAP_785 +*19346 TAP_786 +*19347 TAP_787 +*19348 TAP_788 +*19349 TAP_789 +*19350 TAP_790 +*19351 TAP_791 +*19352 TAP_792 +*19353 TAP_793 +*19354 TAP_794 +*19355 TAP_795 +*19356 TAP_796 +*19357 TAP_797 +*19358 TAP_798 +*19359 TAP_799 +*19360 TAP_800 +*19361 TAP_801 +*19362 TAP_802 +*19363 TAP_803 +*19364 TAP_804 +*19365 TAP_805 +*19366 TAP_806 +*19367 TAP_807 +*19368 TAP_808 +*19369 TAP_809 +*19370 TAP_810 +*19371 TAP_811 +*19372 TAP_812 +*19373 TAP_813 +*19374 TAP_814 +*19375 TAP_815 +*19376 TAP_816 +*19377 TAP_817 +*19378 TAP_818 +*19379 TAP_819 +*19380 TAP_820 +*19381 TAP_821 +*19382 TAP_822 +*19383 TAP_823 +*19384 TAP_824 +*19385 TAP_825 +*19386 TAP_826 +*19387 TAP_827 +*19388 TAP_828 +*19389 TAP_829 +*19390 TAP_830 +*19391 TAP_831 +*19392 TAP_832 +*19393 TAP_833 +*19394 TAP_834 +*19395 TAP_835 +*19396 TAP_836 +*19397 TAP_837 +*19398 TAP_838 +*19399 TAP_839 +*19400 TAP_840 +*19401 TAP_841 +*19402 TAP_842 +*19403 TAP_843 +*19404 TAP_844 +*19405 TAP_845 +*19406 TAP_846 +*19407 TAP_847 +*19408 TAP_848 +*19409 TAP_849 +*19410 TAP_850 +*19411 TAP_851 +*19412 TAP_852 +*19413 TAP_853 +*19414 TAP_854 +*19415 TAP_855 +*19416 TAP_856 +*19417 TAP_857 +*19418 TAP_858 +*19419 TAP_859 +*19420 TAP_860 +*19421 TAP_861 +*19422 TAP_862 +*19423 TAP_863 +*19424 TAP_864 +*19425 TAP_865 +*19426 TAP_866 +*19427 TAP_867 +*19428 TAP_868 +*19429 TAP_869 +*19430 TAP_870 +*19431 TAP_871 +*19432 TAP_872 +*19433 TAP_873 +*19434 TAP_874 +*19435 TAP_875 +*19436 TAP_876 +*19437 TAP_877 +*19438 TAP_878 +*19439 TAP_879 +*19440 TAP_880 +*19441 TAP_881 +*19442 TAP_882 +*19443 TAP_883 +*19444 TAP_884 +*19445 TAP_885 +*19446 TAP_886 +*19447 TAP_887 +*19448 TAP_888 +*19449 TAP_889 +*19450 TAP_890 +*19451 TAP_891 +*19452 TAP_892 +*19453 TAP_893 +*19454 TAP_894 +*19455 TAP_895 +*19456 TAP_896 +*19457 TAP_897 +*19458 TAP_898 +*19459 TAP_899 +*19460 TAP_900 +*19461 TAP_901 +*19462 TAP_902 +*19463 TAP_903 +*19464 TAP_904 +*19465 TAP_905 +*19466 TAP_906 +*19467 TAP_907 +*19468 TAP_908 +*19469 TAP_909 +*19470 TAP_910 +*19471 TAP_911 +*19472 TAP_912 +*19473 TAP_913 +*19474 TAP_914 +*19475 TAP_915 +*19476 TAP_916 +*19477 TAP_917 +*19478 TAP_918 +*19479 TAP_919 +*19480 TAP_920 +*19481 TAP_921 +*19482 TAP_922 +*19483 TAP_923 +*19484 TAP_924 +*19485 TAP_925 +*19486 TAP_926 +*19487 TAP_927 +*19488 TAP_928 +*19489 TAP_929 +*19490 TAP_930 +*19491 TAP_931 +*19492 TAP_932 +*19493 TAP_933 +*19494 TAP_934 +*19495 TAP_935 +*19496 TAP_936 +*19497 TAP_937 +*19498 TAP_938 +*19499 TAP_939 +*19500 TAP_940 +*19501 TAP_941 +*19502 TAP_942 +*19503 TAP_943 +*19504 TAP_944 +*19505 TAP_945 +*19506 TAP_946 +*19507 TAP_947 +*19508 TAP_948 +*19509 TAP_949 +*19510 TAP_950 +*19511 TAP_951 +*19512 TAP_952 +*19513 TAP_953 +*19514 TAP_954 +*19515 TAP_955 +*19516 TAP_956 +*19517 TAP_957 +*19518 TAP_958 +*19519 TAP_959 +*19520 TAP_960 +*19521 TAP_961 +*19522 TAP_962 +*19523 TAP_963 +*19524 TAP_964 +*19525 TAP_965 +*19526 TAP_966 +*19527 TAP_967 +*19528 TAP_968 +*19529 TAP_969 +*19530 TAP_970 +*19531 TAP_971 +*19532 TAP_972 +*19533 TAP_973 +*19534 TAP_974 +*19535 TAP_975 +*19536 TAP_976 +*19537 TAP_977 +*19538 TAP_978 +*19539 TAP_979 +*19540 TAP_980 +*19541 TAP_981 +*19542 TAP_982 +*19543 TAP_983 +*19544 TAP_984 +*19545 TAP_985 +*19546 TAP_986 +*19547 TAP_987 +*19548 TAP_988 +*19549 TAP_989 +*19550 TAP_990 +*19551 TAP_991 +*19552 TAP_992 +*19553 TAP_993 +*19554 TAP_994 +*19555 TAP_995 +*19556 TAP_996 +*19557 TAP_997 +*19558 TAP_998 +*19559 TAP_999 +*19560 _4443_ +*19561 _4444_ +*19562 _4445_ +*19563 _4446_ +*19564 _4447_ +*19565 _4448_ +*19566 _4449_ +*19567 _4450_ +*19568 _4451_ +*19569 _4452_ +*19570 _4453_ +*19571 _4454_ +*19572 _4455_ +*19573 _4456_ +*19574 _4457_ +*19575 _4458_ +*19576 _4459_ +*19577 _4460_ +*19578 _4461_ +*19579 _4462_ +*19580 _4463_ +*19581 _4464_ +*19582 _4465_ +*19583 _4466_ +*19584 _4467_ +*19585 _4468_ +*19586 _4469_ +*19587 _4470_ +*19588 _4471_ +*19589 _4472_ +*19590 _4473_ +*19591 _4474_ +*19592 _4475_ +*19593 _4476_ +*19594 _4477_ +*19595 _4478_ +*19596 _4479_ +*19597 _4480_ +*19598 _4481_ +*19599 _4482_ +*19600 _4483_ +*19601 _4484_ +*19602 _4485_ +*19603 _4486_ +*19604 _4487_ +*19605 _4488_ +*19606 _4489_ +*19607 _4490_ +*19608 _4491_ +*19609 _4492_ +*19610 _4493_ +*19611 _4494_ +*19612 _4495_ +*19613 _4496_ +*19614 _4497_ +*19615 _4498_ +*19616 _4499_ +*19617 _4500_ +*19618 _4501_ +*19619 _4502_ +*19620 _4503_ +*19621 _4504_ +*19622 _4505_ +*19623 _4506_ +*19624 _4507_ +*19625 _4508_ +*19626 _4509_ +*19627 _4510_ +*19628 _4511_ +*19629 _4512_ +*19630 _4513_ +*19631 _4514_ +*19632 _4515_ +*19633 _4516_ +*19634 _4517_ +*19635 _4518_ +*19636 _4519_ +*19637 _4520_ +*19638 _4521_ +*19639 _4522_ +*19640 _4523_ +*19641 _4524_ +*19642 _4525_ +*19643 _4526_ +*19644 _4527_ +*19645 _4528_ +*19646 _4529_ +*19647 _4530_ +*19648 _4531_ +*19649 _4532_ +*19650 _4533_ +*19651 _4534_ +*19652 _4535_ +*19653 _4536_ +*19654 _4537_ +*19655 _4538_ +*19656 _4539_ +*19657 _4540_ +*19658 _4541_ +*19659 _4542_ +*19660 _4543_ +*19661 _4544_ +*19662 _4545_ +*19663 _4546_ +*19664 _4547_ +*19665 _4548_ +*19666 _4549_ +*19667 _4550_ +*19668 _4551_ +*19669 _4552_ +*19670 _4553_ +*19671 _4554_ +*19672 _4555_ +*19673 _4556_ +*19674 _4557_ +*19675 _4558_ +*19676 _4559_ +*19677 _4560_ +*19678 _4561_ +*19679 _4562_ +*19680 _4563_ +*19681 _4564_ +*19682 _4565_ +*19683 _4566_ +*19684 _4567_ +*19685 _4568_ +*19686 _4569_ +*19687 _4570_ +*19688 _4571_ +*19689 _4572_ +*19690 _4573_ +*19691 _4574_ +*19692 _4575_ +*19693 _4576_ +*19694 _4577_ +*19695 _4578_ +*19696 _4579_ +*19697 _4580_ +*19698 _4581_ +*19699 _4582_ +*19700 _4583_ +*19701 _4584_ +*19702 _4585_ +*19703 _4586_ +*19704 _4587_ +*19705 _4588_ +*19706 _4589_ +*19707 _4590_ +*19708 _4591_ +*19709 _4592_ +*19710 _4593_ +*19711 _4594_ +*19712 _4595_ +*19713 _4596_ +*19714 _4597_ +*19715 _4598_ +*19716 _4599_ +*19717 _4600_ +*19718 _4601_ +*19719 _4602_ +*19720 _4603_ +*19721 _4604_ +*19722 _4605_ +*19723 _4606_ +*19724 _4607_ +*19725 _4608_ +*19726 _4609_ +*19727 _4610_ +*19728 _4611_ +*19729 _4612_ +*19730 _4613_ +*19731 _4614_ +*19732 _4615_ +*19733 _4616_ +*19734 _4617_ +*19735 _4618_ +*19736 _4619_ +*19737 _4620_ +*19738 _4621_ +*19739 _4622_ +*19740 _4623_ +*19741 _4624_ +*19742 _4625_ +*19743 _4626_ +*19744 _4627_ +*19745 _4628_ +*19746 _4629_ +*19747 _4630_ +*19748 _4631_ +*19749 _4632_ +*19750 _4633_ +*19751 _4634_ +*19752 _4635_ +*19753 _4636_ +*19754 _4637_ +*19755 _4638_ +*19756 _4639_ +*19757 _4640_ +*19758 _4641_ +*19759 _4642_ +*19760 _4643_ +*19761 _4644_ +*19762 _4645_ +*19763 _4646_ +*19764 _4647_ +*19765 _4648_ +*19766 _4649_ +*19767 _4650_ +*19768 _4651_ +*19769 _4652_ +*19770 _4653_ +*19771 _4654_ +*19772 _4655_ +*19773 _4656_ +*19774 _4657_ +*19775 _4658_ +*19776 _4659_ +*19777 _4660_ +*19778 _4661_ +*19779 _4662_ +*19780 _4663_ +*19781 _4664_ +*19782 _4665_ +*19783 _4666_ +*19784 _4667_ +*19785 _4668_ +*19786 _4669_ +*19787 _4670_ +*19788 _4671_ +*19789 _4672_ +*19790 _4673_ +*19791 _4674_ +*19792 _4675_ +*19793 _4676_ +*19794 _4677_ +*19795 _4678_ +*19796 _4679_ +*19797 _4680_ +*19798 _4681_ +*19799 _4682_ +*19800 _4683_ +*19801 _4684_ +*19802 _4685_ +*19803 _4686_ +*19804 _4687_ +*19805 _4688_ +*19806 _4689_ +*19807 _4690_ +*19808 _4691_ +*19809 _4692_ +*19810 _4693_ +*19811 _4694_ +*19812 _4695_ +*19813 _4696_ +*19814 _4697_ +*19815 _4698_ +*19816 _4699_ +*19817 _4700_ +*19818 _4701_ +*19819 _4702_ +*19820 _4703_ +*19821 _4704_ +*19822 _4705_ +*19823 _4706_ +*19824 _4707_ +*19825 _4708_ +*19826 _4709_ +*19827 _4710_ +*19828 _4711_ +*19829 _4712_ +*19830 _4713_ +*19831 _4714_ +*19832 _4715_ +*19833 _4716_ +*19834 _4717_ +*19835 _4718_ +*19836 _4719_ +*19837 _4720_ +*19838 _4721_ +*19839 _4722_ +*19840 _4723_ +*19841 _4724_ +*19842 _4725_ +*19843 _4726_ +*19844 _4727_ +*19845 _4728_ +*19846 _4729_ +*19847 _4730_ +*19848 _4731_ +*19849 _4732_ +*19850 _4733_ +*19851 _4734_ +*19852 _4735_ +*19853 _4736_ +*19854 _4737_ +*19855 _4738_ +*19856 _4739_ +*19857 _4740_ +*19858 _4741_ +*19859 _4742_ +*19860 _4743_ +*19861 _4744_ +*19862 _4745_ +*19863 _4746_ +*19864 _4747_ +*19865 _4748_ +*19866 _4749_ +*19867 _4750_ +*19868 _4751_ +*19869 _4752_ +*19870 _4753_ +*19871 _4754_ +*19872 _4755_ +*19873 _4756_ +*19874 _4757_ +*19875 _4758_ +*19876 _4759_ +*19877 _4760_ +*19878 _4761_ +*19879 _4762_ +*19880 _4763_ +*19881 _4764_ +*19882 _4765_ +*19883 _4766_ +*19884 _4767_ +*19885 _4768_ +*19886 _4769_ +*19887 _4770_ +*19888 _4771_ +*19889 _4772_ +*19890 _4773_ +*19891 _4774_ +*19892 _4775_ +*19893 _4776_ +*19894 _4777_ +*19895 _4778_ +*19896 _4779_ +*19897 _4780_ +*19898 _4781_ +*19899 _4782_ +*19900 _4783_ +*19901 _4784_ +*19902 _4785_ +*19903 _4786_ +*19904 _4787_ +*19905 _4788_ +*19906 _4789_ +*19907 _4790_ +*19908 _4791_ +*19909 _4792_ +*19910 _4793_ +*19911 _4794_ +*19912 _4795_ +*19913 _4796_ +*19914 _4797_ +*19915 _4798_ +*19916 _4799_ +*19917 _4800_ +*19918 _4801_ +*19919 _4802_ +*19920 _4803_ +*19921 _4804_ +*19922 _4805_ +*19923 _4806_ +*19924 _4807_ +*19925 _4808_ +*19926 _4809_ +*19927 _4810_ +*19928 _4811_ +*19929 _4812_ +*19930 _4813_ +*19931 _4814_ +*19932 _4815_ +*19933 _4816_ +*19934 _4817_ +*19935 _4818_ +*19936 _4819_ +*19937 _4820_ +*19938 _4821_ +*19939 _4822_ +*19940 _4823_ +*19941 _4824_ +*19942 _4825_ +*19943 _4826_ +*19944 _4827_ +*19945 _4828_ +*19946 _4829_ +*19947 _4830_ +*19948 _4831_ +*19949 _4832_ +*19950 _4833_ +*19951 _4834_ +*19952 _4835_ +*19953 _4836_ +*19954 _4837_ +*19955 _4838_ +*19956 _4839_ +*19957 _4840_ +*19958 _4841_ +*19959 _4842_ +*19960 _4843_ +*19961 _4844_ +*19962 _4845_ +*19963 _4846_ +*19964 _4847_ +*19965 _4848_ +*19966 _4849_ +*19967 _4850_ +*19968 _4851_ +*19969 _4852_ +*19970 _4853_ +*19971 _4854_ +*19972 _4855_ +*19973 _4856_ +*19974 _4857_ +*19975 _4858_ +*19976 _4859_ +*19977 _4860_ +*19978 _4861_ +*19979 _4862_ +*19980 _4863_ +*19981 _4864_ +*19982 _4865_ +*19983 _4866_ +*19984 _4867_ +*19985 _4868_ +*19986 _4869_ +*19987 _4870_ +*19988 _4871_ +*19989 _4872_ +*19990 _4873_ +*19991 _4874_ +*19992 _4875_ +*19993 _4876_ +*19994 _4877_ +*19995 _4878_ +*19996 _4879_ +*19997 _4880_ +*19998 _4881_ +*19999 _4882_ +*20000 _4883_ +*20001 _4884_ +*20002 _4885_ +*20003 _4886_ +*20004 _4887_ +*20005 _4888_ +*20006 _4889_ +*20007 _4890_ +*20008 _4891_ +*20009 _4892_ +*20010 _4893_ +*20011 _4894_ +*20012 _4895_ +*20013 _4896_ +*20014 _4897_ +*20015 _4898_ +*20016 _4899_ +*20017 _4900_ +*20018 _4901_ +*20019 _4902_ +*20020 _4903_ +*20021 _4904_ +*20022 _4905_ +*20023 _4906_ +*20024 _4907_ +*20025 _4908_ +*20026 _4909_ +*20027 _4910_ +*20028 _4911_ +*20029 _4912_ +*20030 _4913_ +*20031 _4914_ +*20032 _4915_ +*20033 _4916_ +*20034 _4917_ +*20035 _4918_ +*20036 _4919_ +*20037 _4920_ +*20038 _4921_ +*20039 _4922_ +*20040 _4923_ +*20041 _4924_ +*20042 _4925_ +*20043 _4926_ +*20044 _4927_ +*20045 _4928_ +*20046 _4929_ +*20047 _4930_ +*20048 _4931_ +*20049 _4932_ +*20050 _4933_ +*20051 _4934_ +*20052 _4935_ +*20053 _4936_ +*20054 _4937_ +*20055 _4938_ +*20056 _4939_ +*20057 _4940_ +*20058 _4941_ +*20059 _4942_ +*20060 _4943_ +*20061 _4944_ +*20062 _4945_ +*20063 _4946_ +*20064 _4947_ +*20065 _4948_ +*20066 _4949_ +*20067 _4950_ +*20068 _4951_ +*20069 _4952_ +*20070 _4953_ +*20071 _4954_ +*20072 _4955_ +*20073 _4956_ +*20074 _4957_ +*20075 _4958_ +*20076 _4959_ +*20077 _4960_ +*20078 _4961_ +*20079 _4962_ +*20080 _4963_ +*20081 _4964_ +*20082 _4965_ +*20083 _4966_ +*20084 _4967_ +*20085 _4968_ +*20086 _4969_ +*20087 _4970_ +*20088 _4971_ +*20089 _4972_ +*20090 _4973_ +*20091 _4974_ +*20092 _4975_ +*20093 _4976_ +*20094 _4977_ +*20095 _4978_ +*20096 _4979_ +*20097 _4980_ +*20098 _4981_ +*20099 _4982_ +*20100 _4983_ +*20101 _4984_ +*20102 _4985_ +*20103 _4986_ +*20104 _4987_ +*20105 _4988_ +*20106 _4989_ +*20107 _4990_ +*20108 _4991_ +*20109 _4992_ +*20110 _4993_ +*20111 _4994_ +*20112 _4995_ +*20113 _4996_ +*20114 _4997_ +*20115 _4998_ +*20116 _4999_ +*20117 _5000_ +*20118 _5001_ +*20119 _5002_ +*20120 _5003_ +*20121 _5004_ +*20122 _5005_ +*20123 _5006_ +*20124 _5007_ +*20125 _5008_ +*20126 _5009_ +*20127 _5010_ +*20128 _5011_ +*20129 _5012_ +*20130 _5013_ +*20131 _5014_ +*20132 _5015_ +*20133 _5016_ +*20134 _5017_ +*20135 _5018_ +*20136 _5019_ +*20137 _5020_ +*20138 _5021_ +*20139 _5022_ +*20140 _5023_ +*20141 _5024_ +*20142 _5025_ +*20143 _5026_ +*20144 _5027_ +*20145 _5028_ +*20146 _5029_ +*20147 _5030_ +*20148 _5031_ +*20149 _5032_ +*20150 _5033_ +*20151 _5034_ +*20152 _5035_ +*20153 _5036_ +*20154 _5037_ +*20155 _5038_ +*20156 _5039_ +*20157 _5040_ +*20158 _5041_ +*20159 _5042_ +*20160 _5043_ +*20161 _5044_ +*20162 _5045_ +*20163 _5046_ +*20164 _5047_ +*20165 _5048_ +*20166 _5049_ +*20167 _5050_ +*20168 _5051_ +*20169 _5052_ +*20170 _5053_ +*20171 _5054_ +*20172 _5055_ +*20173 _5056_ +*20174 _5057_ +*20175 _5058_ +*20176 _5059_ +*20177 _5060_ +*20178 _5061_ +*20179 _5062_ +*20180 _5063_ +*20181 _5064_ +*20182 _5065_ +*20183 _5066_ +*20184 _5067_ +*20185 _5068_ +*20186 _5069_ +*20187 _5070_ +*20188 _5071_ +*20189 _5072_ +*20190 _5073_ +*20191 _5074_ +*20192 _5075_ +*20193 _5076_ +*20194 _5077_ +*20195 _5078_ +*20196 _5079_ +*20197 _5080_ +*20198 _5081_ +*20199 _5082_ +*20200 _5083_ +*20201 _5084_ +*20202 _5085_ +*20203 _5086_ +*20204 _5087_ +*20205 _5088_ +*20206 _5089_ +*20207 _5090_ +*20208 _5091_ +*20209 _5092_ +*20210 _5093_ +*20211 _5094_ +*20212 _5095_ +*20213 _5096_ +*20214 _5097_ +*20215 _5098_ +*20216 _5099_ +*20217 _5100_ +*20218 _5101_ +*20219 _5102_ +*20220 _5103_ +*20221 _5104_ +*20222 _5105_ +*20223 _5106_ +*20224 _5107_ +*20225 _5108_ +*20226 _5109_ +*20227 _5110_ +*20228 _5111_ +*20229 _5112_ +*20230 _5113_ +*20231 _5114_ +*20232 _5115_ +*20233 _5116_ +*20234 _5117_ +*20235 _5118_ +*20236 _5119_ +*20237 _5120_ +*20238 _5121_ +*20239 _5122_ +*20240 _5123_ +*20241 _5124_ +*20242 _5125_ +*20243 _5126_ +*20244 _5127_ +*20245 _5128_ +*20246 _5129_ +*20247 _5130_ +*20248 _5131_ +*20249 _5132_ +*20250 _5133_ +*20251 _5134_ +*20252 _5135_ +*20253 _5136_ +*20254 _5137_ +*20255 _5138_ +*20256 _5139_ +*20257 _5140_ +*20258 _5141_ +*20259 _5142_ +*20260 _5143_ +*20261 _5144_ +*20262 _5145_ +*20263 _5146_ +*20264 _5147_ +*20265 _5148_ +*20266 _5149_ +*20267 _5150_ +*20268 _5151_ +*20269 _5152_ +*20270 _5153_ +*20271 _5154_ +*20272 _5155_ +*20273 _5156_ +*20274 _5157_ +*20275 _5158_ +*20276 _5159_ +*20277 _5160_ +*20278 _5161_ +*20279 _5162_ +*20280 _5163_ +*20281 _5164_ +*20282 _5165_ +*20283 _5166_ +*20284 _5167_ +*20285 _5168_ +*20286 _5169_ +*20287 _5170_ +*20288 _5171_ +*20289 _5172_ +*20290 _5173_ +*20291 _5174_ +*20292 _5175_ +*20293 _5176_ +*20294 _5177_ +*20295 _5178_ +*20296 _5179_ +*20297 _5180_ +*20298 _5181_ +*20299 _5182_ +*20300 _5183_ +*20301 _5184_ +*20302 _5185_ +*20303 _5186_ +*20304 _5187_ +*20305 _5188_ +*20306 _5189_ +*20307 _5190_ +*20308 _5191_ +*20309 _5192_ +*20310 _5193_ +*20311 _5194_ +*20312 _5195_ +*20313 _5196_ +*20314 _5197_ +*20315 _5198_ +*20316 _5199_ +*20317 _5200_ +*20318 _5201_ +*20319 _5202_ +*20320 _5203_ +*20321 _5204_ +*20322 _5205_ +*20323 _5206_ +*20324 _5207_ +*20325 _5208_ +*20326 _5209_ +*20327 _5210_ +*20328 _5211_ +*20329 _5212_ +*20330 _5213_ +*20331 _5214_ +*20332 _5215_ +*20333 _5216_ +*20334 _5217_ +*20335 _5218_ +*20336 _5219_ +*20337 _5220_ +*20338 _5221_ +*20339 _5222_ +*20340 _5223_ +*20341 _5224_ +*20342 _5225_ +*20343 _5226_ +*20344 _5227_ +*20345 _5228_ +*20346 _5229_ +*20347 _5230_ +*20348 _5231_ +*20349 _5232_ +*20350 _5233_ +*20351 _5234_ +*20352 _5235_ +*20353 _5236_ +*20354 _5237_ +*20355 _5238_ +*20356 _5239_ +*20357 _5240_ +*20358 _5241_ +*20359 _5242_ +*20360 _5243_ +*20361 _5244_ +*20362 _5245_ +*20363 _5246_ +*20364 _5247_ +*20365 _5248_ +*20366 _5249_ +*20367 _5250_ +*20368 _5251_ +*20369 _5252_ +*20370 _5253_ +*20371 _5254_ +*20372 _5255_ +*20373 _5256_ +*20374 _5257_ +*20375 _5258_ +*20376 _5259_ +*20377 _5260_ +*20378 _5261_ +*20379 _5262_ +*20380 _5263_ +*20381 _5264_ +*20382 _5265_ +*20383 _5266_ +*20384 _5267_ +*20385 _5268_ +*20386 _5269_ +*20387 _5270_ +*20388 _5271_ +*20389 _5272_ +*20390 _5273_ +*20391 _5274_ +*20392 _5275_ +*20393 _5276_ +*20394 _5277_ +*20395 _5278_ +*20396 _5279_ +*20397 _5280_ +*20398 _5281_ +*20399 _5282_ +*20400 _5283_ +*20401 _5284_ +*20402 _5285_ +*20403 _5286_ +*20404 _5287_ +*20405 _5288_ +*20406 _5289_ +*20407 _5290_ +*20408 _5291_ +*20409 _5292_ +*20410 _5293_ +*20411 _5294_ +*20412 _5295_ +*20413 _5296_ +*20414 _5297_ +*20415 _5298_ +*20416 _5299_ +*20417 _5300_ +*20418 _5301_ +*20419 _5302_ +*20420 _5303_ +*20421 _5304_ +*20422 _5305_ +*20423 _5306_ +*20424 _5307_ +*20425 _5308_ +*20426 _5309_ +*20427 _5310_ +*20428 _5311_ +*20429 _5312_ +*20430 _5313_ +*20431 _5314_ +*20432 _5315_ +*20433 _5316_ +*20434 _5317_ +*20435 _5318_ +*20436 _5319_ +*20437 _5320_ +*20438 _5321_ +*20439 _5322_ +*20440 _5323_ +*20441 _5324_ +*20442 _5325_ +*20443 _5326_ +*20444 _5327_ +*20445 _5328_ +*20446 _5329_ +*20447 _5330_ +*20448 _5331_ +*20449 _5332_ +*20450 _5333_ +*20451 _5334_ +*20452 _5335_ +*20453 _5336_ +*20454 _5337_ +*20455 _5338_ +*20456 _5339_ +*20457 _5340_ +*20458 _5341_ +*20459 _5342_ +*20460 _5343_ +*20461 _5344_ +*20462 _5345_ +*20463 _5346_ +*20464 _5347_ +*20465 _5348_ +*20466 _5349_ +*20467 _5350_ +*20468 _5351_ +*20469 _5352_ +*20470 _5353_ +*20471 _5354_ +*20472 _5355_ +*20473 _5356_ +*20474 _5357_ +*20475 _5358_ +*20476 _5359_ +*20477 _5360_ +*20478 _5361_ +*20479 _5362_ +*20480 _5363_ +*20481 _5364_ +*20482 _5365_ +*20483 _5366_ +*20484 _5367_ +*20485 _5368_ +*20486 _5369_ +*20487 _5370_ +*20488 _5371_ +*20489 _5372_ +*20490 _5373_ +*20491 _5374_ +*20492 _5375_ +*20493 _5376_ +*20494 _5377_ +*20495 _5378_ +*20496 _5379_ +*20497 _5380_ +*20498 _5381_ +*20499 _5382_ +*20500 _5383_ +*20501 _5384_ +*20502 _5385_ +*20503 _5386_ +*20504 _5387_ +*20505 _5388_ +*20506 _5389_ +*20507 _5390_ +*20508 _5391_ +*20509 _5392_ +*20510 _5393_ +*20511 _5394_ +*20512 _5395_ +*20513 _5396_ +*20514 _5397_ +*20515 _5398_ +*20516 _5399_ +*20517 _5400_ +*20518 _5401_ +*20519 _5402_ +*20520 _5403_ +*20521 _5404_ +*20522 _5405_ +*20523 _5406_ +*20524 _5407_ +*20525 _5408_ +*20526 _5409_ +*20527 _5410_ +*20528 _5411_ +*20529 _5412_ +*20530 _5413_ +*20531 _5414_ +*20532 _5415_ +*20533 _5416_ +*20534 _5417_ +*20535 _5418_ +*20536 _5419_ +*20537 _5420_ +*20538 _5421_ +*20539 _5422_ +*20540 _5423_ +*20541 _5424_ +*20542 _5425_ +*20543 _5426_ +*20544 _5427_ +*20545 _5428_ +*20546 _5429_ +*20547 _5430_ +*20548 _5431_ +*20549 _5432_ +*20550 _5433_ +*20551 _5434_ +*20552 _5435_ +*20553 _5436_ +*20554 _5437_ +*20555 _5438_ +*20556 _5439_ +*20557 _5440_ +*20558 _5441_ +*20559 _5442_ +*20560 _5443_ +*20561 _5444_ +*20562 _5445_ +*20563 _5446_ +*20564 _5447_ +*20565 _5448_ +*20566 _5449_ +*20567 _5450_ +*20568 _5451_ +*20569 _5452_ +*20570 _5453_ +*20571 _5454_ +*20572 _5455_ +*20573 _5456_ +*20574 _5457_ +*20575 _5458_ +*20576 _5459_ +*20577 _5460_ +*20578 _5461_ +*20579 _5462_ +*20580 _5463_ +*20581 _5464_ +*20582 _5465_ +*20583 _5466_ +*20584 _5467_ +*20585 _5468_ +*20586 _5469_ +*20587 _5470_ +*20588 _5471_ +*20589 _5472_ +*20590 _5473_ +*20591 _5474_ +*20592 _5475_ +*20593 _5476_ +*20594 _5477_ +*20595 _5478_ +*20596 _5479_ +*20597 _5480_ +*20598 _5481_ +*20599 _5482_ +*20600 _5483_ +*20601 _5484_ +*20602 _5485_ +*20603 _5486_ +*20604 _5487_ +*20605 _5488_ +*20606 _5489_ +*20607 _5490_ +*20608 _5491_ +*20609 _5492_ +*20610 _5493_ +*20611 _5494_ +*20612 _5495_ +*20613 _5496_ +*20614 _5497_ +*20615 _5498_ +*20616 _5499_ +*20617 _5500_ +*20618 _5501_ +*20619 _5502_ +*20620 _5503_ +*20621 _5504_ +*20622 _5505_ +*20623 _5506_ +*20624 _5507_ +*20625 _5508_ +*20626 _5509_ +*20627 _5510_ +*20628 _5511_ +*20629 _5512_ +*20630 _5513_ +*20631 _5514_ +*20632 _5515_ +*20633 _5516_ +*20634 _5517_ +*20635 _5518_ +*20636 _5519_ +*20637 _5520_ +*20638 _5521_ +*20639 _5522_ +*20640 _5523_ +*20641 _5524_ +*20642 _5525_ +*20643 _5526_ +*20644 _5527_ +*20645 _5528_ +*20646 _5529_ +*20647 _5530_ +*20648 _5531_ +*20649 _5532_ +*20650 _5533_ +*20651 _5534_ +*20652 _5535_ +*20653 _5536_ +*20654 _5537_ +*20655 _5538_ +*20656 _5539_ +*20657 _5540_ +*20658 _5541_ +*20659 _5542_ +*20660 _5543_ +*20661 _5544_ +*20662 _5545_ +*20663 _5546_ +*20664 _5547_ +*20665 _5548_ +*20666 _5549_ +*20667 _5550_ +*20668 _5551_ +*20669 _5552_ +*20670 _5553_ +*20671 _5554_ +*20672 _5555_ +*20673 _5556_ +*20674 _5557_ +*20675 _5558_ +*20676 _5559_ +*20677 _5560_ +*20678 _5561_ +*20679 _5562_ +*20680 _5563_ +*20681 _5564_ +*20682 _5565_ +*20683 _5566_ +*20684 _5567_ +*20685 _5568_ +*20686 _5569_ +*20687 _5570_ +*20688 _5571_ +*20689 _5572_ +*20690 _5573_ +*20691 _5574_ +*20692 _5575_ +*20693 _5576_ +*20694 _5577_ +*20695 _5578_ +*20696 _5579_ +*20697 _5580_ +*20698 _5581_ +*20699 _5582_ +*20700 _5583_ +*20701 _5584_ +*20702 _5585_ +*20703 _5586_ +*20704 _5587_ +*20705 _5588_ +*20706 _5589_ +*20707 _5590_ +*20708 _5591_ +*20709 _5592_ +*20710 _5593_ +*20711 _5594_ +*20712 _5595_ +*20713 _5596_ +*20714 _5597_ +*20715 _5598_ +*20716 _5599_ +*20717 _5600_ +*20718 _5601_ +*20719 _5602_ +*20720 _5603_ +*20721 _5604_ +*20722 _5605_ +*20723 _5606_ +*20724 _5607_ +*20725 _5608_ +*20726 _5609_ +*20727 _5610_ +*20728 _5611_ +*20729 _5612_ +*20730 _5613_ +*20731 _5614_ +*20732 _5615_ +*20733 _5616_ +*20734 _5617_ +*20735 _5618_ +*20736 _5619_ +*20737 _5620_ +*20738 _5621_ +*20739 _5622_ +*20740 _5623_ +*20741 _5624_ +*20742 _5625_ +*20743 _5626_ +*20744 _5627_ +*20745 _5628_ +*20746 _5629_ +*20747 _5630_ +*20748 _5631_ +*20749 _5632_ +*20750 _5633_ +*20751 _5634_ +*20752 _5635_ +*20753 _5636_ +*20754 _5637_ +*20755 _5638_ +*20756 _5639_ +*20757 _5640_ +*20758 _5641_ +*20759 _5642_ +*20760 _5643_ +*20761 _5644_ +*20762 _5645_ +*20763 _5646_ +*20764 _5647_ +*20765 _5648_ +*20766 _5649_ +*20767 _5650_ +*20768 _5651_ +*20769 _5652_ +*20770 _5653_ +*20771 _5654_ +*20772 _5655_ +*20773 _5656_ +*20774 _5657_ +*20775 _5658_ +*20776 _5659_ +*20777 _5660_ +*20778 _5661_ +*20779 _5662_ +*20780 _5663_ +*20781 _5664_ +*20782 _5665_ +*20783 _5666_ +*20784 _5667_ +*20785 _5668_ +*20786 _5669_ +*20787 _5670_ +*20788 _5671_ +*20789 _5672_ +*20790 _5673_ +*20791 _5674_ +*20792 _5675_ +*20793 _5676_ +*20794 _5677_ +*20795 _5678_ +*20796 _5679_ +*20797 _5680_ +*20798 _5681_ +*20799 _5682_ +*20800 _5683_ +*20801 _5684_ +*20802 _5685_ +*20803 _5686_ +*20804 _5687_ +*20805 _5688_ +*20806 _5689_ +*20807 _5690_ +*20808 _5691_ +*20809 _5692_ +*20810 _5693_ +*20811 _5694_ +*20812 _5695_ +*20813 _5696_ +*20814 _5697_ +*20815 _5698_ +*20816 _5699_ +*20817 _5700_ +*20818 _5701_ +*20819 _5702_ +*20820 _5703_ +*20821 _5704_ +*20822 _5705_ +*20823 _5706_ +*20824 _5707_ +*20825 _5708_ +*20826 _5709_ +*20827 _5710_ +*20828 _5711_ +*20829 _5712_ +*20830 _5713_ +*20831 _5714_ +*20832 _5715_ +*20833 _5716_ +*20834 _5717_ +*20835 _5718_ +*20836 _5719_ +*20837 _5720_ +*20838 _5721_ +*20839 _5722_ +*20840 _5723_ +*20841 _5724_ +*20842 _5725_ +*20843 _5726_ +*20844 _5727_ +*20845 _5728_ +*20846 _5729_ +*20847 _5730_ +*20848 _5731_ +*20849 _5732_ +*20850 _5733_ +*20851 _5734_ +*20852 _5735_ +*20853 _5736_ +*20854 _5737_ +*20855 _5738_ +*20856 _5739_ +*20857 _5740_ +*20858 _5741_ +*20859 _5742_ +*20860 _5743_ +*20861 _5744_ +*20862 _5745_ +*20863 _5746_ +*20864 _5747_ +*20865 _5748_ +*20866 _5749_ +*20867 _5750_ +*20868 _5751_ +*20869 _5752_ +*20870 _5753_ +*20871 _5754_ +*20872 _5755_ +*20873 _5756_ +*20874 _5757_ +*20875 _5758_ +*20876 _5759_ +*20877 _5760_ +*20878 _5761_ +*20879 _5762_ +*20880 _5763_ +*20881 _5764_ +*20882 _5765_ +*20883 _5766_ +*20884 _5767_ +*20885 _5768_ +*20886 _5769_ +*20887 _5770_ +*20888 _5771_ +*20889 _5772_ +*20890 _5773_ +*20891 _5774_ +*20892 _5775_ +*20893 _5776_ +*20894 _5777_ +*20895 _5778_ +*20896 _5779_ +*20897 _5780_ +*20898 _5781_ +*20899 _5782_ +*20900 _5783_ +*20901 _5784_ +*20902 _5785_ +*20903 _5786_ +*20904 _5787_ +*20905 _5788_ +*20906 _5789_ +*20907 _5790_ +*20908 _5791_ +*20909 _5792_ +*20910 _5793_ +*20911 _5794_ +*20912 _5795_ +*20913 _5796_ +*20914 _5797_ +*20915 _5798_ +*20916 _5799_ +*20917 _5800_ +*20918 _5801_ +*20919 _5802_ +*20920 _5803_ +*20921 _5804_ +*20922 _5805_ +*20923 _5806_ +*20924 _5807_ +*20925 _5808_ +*20926 _5809_ +*20927 _5810_ +*20928 _5811_ +*20929 _5812_ +*20930 _5813_ +*20931 _5814_ +*20932 _5815_ +*20933 _5816_ +*20934 _5817_ +*20935 _5818_ +*20936 _5819_ +*20937 _5820_ +*20938 _5821_ +*20939 _5822_ +*20940 _5823_ +*20941 _5824_ +*20942 _5825_ +*20943 _5826_ +*20944 _5827_ +*20945 _5828_ +*20946 _5829_ +*20947 _5830_ +*20948 _5831_ +*20949 _5832_ +*20950 _5833_ +*20951 _5834_ +*20952 _5835_ +*20953 _5836_ +*20954 _5837_ +*20955 _5838_ +*20956 _5839_ +*20957 _5840_ +*20958 _5841_ +*20959 _5842_ +*20960 _5843_ +*20961 _5844_ +*20962 _5845_ +*20963 _5846_ +*20964 _5847_ +*20965 _5848_ +*20966 _5849_ +*20967 _5850_ +*20968 _5851_ +*20969 _5852_ +*20970 _5853_ +*20971 _5854_ +*20972 _5855_ +*20973 _5856_ +*20974 _5857_ +*20975 _5858_ +*20976 _5859_ +*20977 _5860_ +*20978 _5861_ +*20979 _5862_ +*20980 _5863_ +*20981 _5864_ +*20982 _5865_ +*20983 _5866_ +*20984 _5867_ +*20985 _5868_ +*20986 _5869_ +*20987 _5870_ +*20988 _5871_ +*20989 _5872_ +*20990 _5873_ +*20991 _5874_ +*20992 _5875_ +*20993 _5876_ +*20994 _5877_ +*20995 _5878_ +*20996 _5879_ +*20997 _5880_ +*20998 _5881_ +*20999 _5882_ +*21000 _5883_ +*21001 _5884_ +*21002 _5885_ +*21003 _5886_ +*21004 _5887_ +*21005 _5888_ +*21006 _5889_ +*21007 _5890_ +*21008 _5891_ +*21009 _5892_ +*21010 _5893_ +*21011 _5894_ +*21012 _5895_ +*21013 _5896_ +*21014 _5897_ +*21015 _5898_ +*21016 _5899_ +*21017 _5900_ +*21018 _5901_ +*21019 _5902_ +*21020 _5903_ +*21021 _5904_ +*21022 _5905_ +*21023 _5906_ +*21024 _5907_ +*21025 _5908_ +*21026 _5909_ +*21027 _5910_ +*21028 _5911_ +*21029 _5912_ +*21030 _5913_ +*21031 _5914_ +*21032 _5915_ +*21033 _5916_ +*21034 _5917_ +*21035 _5918_ +*21036 _5919_ +*21037 _5920_ +*21038 _5921_ +*21039 _5922_ +*21040 _5923_ +*21041 _5924_ +*21042 _5925_ +*21043 _5926_ +*21044 _5927_ +*21045 _5928_ +*21046 _5929_ +*21047 _5930_ +*21048 _5931_ +*21049 _5932_ +*21050 _5933_ +*21051 _5934_ +*21052 _5935_ +*21053 _5936_ +*21054 _5937_ +*21055 _5938_ +*21056 _5939_ +*21057 _5940_ +*21058 _5941_ +*21059 _5942_ +*21060 _5943_ +*21061 _5944_ +*21062 _5945_ +*21063 _5946_ +*21064 _5947_ +*21065 _5948_ +*21066 _5949_ +*21067 _5950_ +*21068 _5951_ +*21069 _5952_ +*21070 _5953_ +*21071 _5954_ +*21072 _5955_ +*21073 _5956_ +*21074 _5957_ +*21075 _5958_ +*21076 _5959_ +*21077 _5960_ +*21078 _5961_ +*21079 _5962_ +*21080 _5963_ +*21081 _5964_ +*21082 _5965_ +*21083 _5966_ +*21084 _5967_ +*21085 _5968_ +*21086 _5969_ +*21087 _5970_ +*21088 _5971_ +*21089 _5972_ +*21090 _5973_ +*21091 _5974_ +*21092 _5975_ +*21093 _5976_ +*21094 _5977_ +*21095 _5978_ +*21096 _5979_ +*21097 _5980_ +*21098 _5981_ +*21099 _5982_ +*21100 _5983_ +*21101 _5984_ +*21102 _5985_ +*21103 _5986_ +*21104 _5987_ +*21105 _5988_ +*21106 _5989_ +*21107 _5990_ +*21108 _5991_ +*21109 _5992_ +*21110 _5993_ +*21111 _5994_ +*21112 _5995_ +*21113 _5996_ +*21114 _5997_ +*21115 _5998_ +*21116 _5999_ +*21117 _6000_ +*21118 _6001_ +*21119 _6002_ +*21120 _6003_ +*21121 _6004_ +*21122 _6005_ +*21123 _6006_ +*21124 _6007_ +*21125 _6008_ +*21126 _6009_ +*21127 _6010_ +*21128 _6011_ +*21129 _6012_ +*21130 _6013_ +*21131 _6014_ +*21132 _6015_ +*21133 _6016_ +*21134 _6017_ +*21135 _6018_ +*21136 _6019_ +*21137 _6020_ +*21138 _6021_ +*21139 _6022_ +*21140 _6023_ +*21141 _6024_ +*21142 _6025_ +*21143 _6026_ +*21144 _6027_ +*21145 _6028_ +*21146 _6029_ +*21147 _6030_ +*21148 _6031_ +*21149 _6032_ +*21150 _6033_ +*21151 _6034_ +*21152 _6035_ +*21153 _6036_ +*21154 _6037_ +*21155 _6038_ +*21156 _6039__1 +*21157 _6040_ +*21158 _6041_ +*21159 _6042_ +*21160 _6043_ +*21161 _6044_ +*21162 _6045_ +*21163 _6046_ +*21164 _6047_ +*21165 _6048_ +*21166 _6049_ +*21167 _6050_ +*21168 _6051_ +*21169 _6052_ +*21170 _6053_ +*21171 _6054_ +*21172 _6055_ +*21173 _6056_ +*21174 _6057_ +*21175 _6058_ +*21176 _6059_ +*21177 _6060_ +*21178 _6061_ +*21179 _6062_ +*21180 _6063_ +*21181 _6064_ +*21182 _6065_ +*21183 _6066_ +*21184 _6067_ +*21185 _6068_ +*21186 _6069_ +*21187 _6070_ +*21188 _6071_ +*21189 _6072_ +*21190 _6073_ +*21191 _6074_ +*21192 _6075_ +*21193 _6076_ +*21194 _6077_ +*21195 _6078_ +*21196 _6079_ +*21197 _6080_ +*21198 _6081_ +*21199 _6082_ +*21200 _6083_ +*21201 _6084_ +*21202 _6085_ +*21203 _6086_ +*21204 _6087_ +*21205 _6088_ +*21206 _6089_ +*21207 _6090_ +*21208 _6091_ +*21209 _6092_ +*21210 _6093_ +*21211 _6094_ +*21212 _6095_ +*21213 _6096_ +*21214 _6097_ +*21215 _6098_ +*21216 _6099_ +*21217 _6100_ +*21218 _6101_ +*21219 _6102_ +*21220 _6103_ +*21221 _6104_ +*21222 _6105_ +*21223 _6106_ +*21224 _6107_ +*21225 _6108_ +*21226 _6109_ +*21227 _6110_ +*21228 _6111_ +*21229 _6112_ +*21230 _6113_ +*21231 _6114_ +*21232 _6115_ +*21233 _6116_ +*21234 _6117_ +*21235 _6118_ +*21236 _6119_ +*21237 _6120_ +*21238 _6121_ +*21239 _6122_ +*21240 _6123_ +*21241 _6124_ +*21242 _6125_ +*21243 _6126_ +*21244 _6127_ +*21245 _6128_ +*21246 _6129_ +*21247 _6130_ +*21248 _6131_ +*21249 _6132_ +*21250 _6133_ +*21251 _6134_ +*21252 _6135_ +*21253 _6136_ +*21254 _6137_ +*21255 _6138_ +*21256 _6139_ +*21257 _6140_ +*21258 _6141_ +*21259 _6142_ +*21260 _6143_ +*21261 _6144_ +*21262 _6145_ +*21263 _6146_ +*21264 _6147_ +*21265 _6148_ +*21266 _6149_ +*21267 _6150_ +*21268 _6151_ +*21269 _6152_ +*21270 _6153_ +*21271 _6154_ +*21272 _6155_ +*21273 _6156_ +*21274 _6157_ +*21275 _6158_ +*21276 _6159_ +*21277 _6160_ +*21278 _6161_ +*21279 _6162_ +*21280 _6163_ +*21281 _6164_ +*21282 _6165_ +*21283 _6166_ +*21284 _6167_ +*21285 _6168_ +*21286 _6169_ +*21287 _6170_ +*21288 _6171_ +*21289 _6172_ +*21290 _6173_ +*21291 _6174_ +*21292 _6175_ +*21293 _6176_ +*21294 _6177_ +*21295 _6178_ +*21296 _6179_ +*21297 _6180_ +*21298 _6181_ +*21299 _6182_ +*21300 _6183_ +*21301 _6184_ +*21302 _6185_ +*21303 _6186_ +*21304 _6187_ +*21305 _6188_ +*21306 _6189_ +*21307 _6190_ +*21308 _6191_ +*21309 _6192_ +*21310 _6193_ +*21311 _6194_ +*21312 _6195_ +*21313 _6196_ +*21314 _6197_ +*21315 _6198_ +*21316 _6199_ +*21317 _6200_ +*21318 _6201_ +*21319 _6202_ +*21320 _6203_ +*21321 _6204_ +*21322 _6205_ +*21323 _6206_ +*21324 _6207_ +*21325 _6208_ +*21326 _6209_ +*21327 _6210_ +*21328 _6211_ +*21329 _6212_ +*21330 _6213_ +*21331 _6214_ +*21332 _6215_ +*21333 _6216_ +*21334 _6217_ +*21335 _6218_ +*21336 _6219_ +*21337 _6220_ +*21338 _6221_ +*21339 _6222_ +*21340 _6223_ +*21341 _6224_ +*21342 _6225_ +*21343 _6226_ +*21344 _6227_ +*21345 _6228_ +*21346 _6229_ +*21347 _6230_ +*21348 _6231_ +*21349 _6232_ +*21350 _6233_ +*21351 _6234_ +*21352 _6235_ +*21353 _6236_ +*21354 _6237_ +*21355 _6238_ +*21356 _6239_ +*21357 _6240_ +*21358 _6241_ +*21359 _6242_ +*21360 _6243_ +*21361 _6244_ +*21362 _6245_ +*21363 _6246_ +*21364 _6247_ +*21365 _6248_ +*21366 _6249_ +*21367 _6250_ +*21368 _6251_ +*21369 _6252_ +*21370 _6253_ +*21371 _6254_ +*21372 _6255_ +*21373 _6256_ +*21374 _6257_ +*21375 _6258_ +*21376 _6259_ +*21377 _6260_ +*21378 _6261_ +*21379 _6262_ +*21380 _6263_ +*21381 _6264_ +*21382 _6265_ +*21383 _6266_ +*21384 _6267_ +*21385 _6268_ +*21386 _6269_ +*21387 _6270_ +*21388 _6271_ +*21389 _6272_ +*21390 _6273_ +*21391 _6274_ +*21392 _6275_ +*21393 _6276_ +*21394 _6277_ +*21395 _6278_ +*21396 _6279_ +*21397 _6280_ +*21398 _6281_ +*21399 _6282_ +*21400 _6283_ +*21401 _6284_ +*21402 _6285_ +*21403 _6286_ +*21404 _6287_ +*21405 _6288_ +*21406 _6289_ +*21407 _6290_ +*21408 _6291_ +*21409 _6292_ +*21410 _6293_ +*21411 _6294_ +*21412 _6295_ +*21413 _6296_ +*21414 _6297_ +*21415 _6298_ +*21416 _6299_ +*21417 _6300_ +*21418 _6301_ +*21419 _6302_ +*21420 _6303_ +*21421 _6304_ +*21422 _6305_ +*21423 _6306_ +*21424 _6307_ +*21425 _6308_ +*21426 _6309_ +*21427 _6310_ +*21428 _6311_ +*21429 _6312_ +*21430 _6313_ +*21431 _6314_ +*21432 _6315_ +*21433 _6316_ +*21434 _6317_ +*21435 _6318_ +*21436 _6319_ +*21437 _6320_ +*21438 _6321_ +*21439 _6322_ +*21440 _6323_ +*21441 _6324_ +*21442 _6325_ +*21443 _6326_ +*21444 _6327_ +*21445 _6328_ +*21446 _6329_ +*21447 _6330_ +*21448 _6331_ +*21449 _6332_ +*21450 _6333_ +*21451 _6334_ +*21452 _6335_ +*21453 _6336_ +*21454 _6337_ +*21455 _6338_ +*21456 _6339_ +*21457 _6340_ +*21458 _6341_ +*21459 _6342_ +*21460 _6343_ +*21461 _6344_ +*21462 _6345_ +*21463 _6346_ +*21464 _6347_ +*21465 _6348_ +*21466 _6349_ +*21467 _6350_ +*21468 _6351_ +*21469 _6352_ +*21470 _6353_ +*21471 _6354_ +*21472 _6355_ +*21473 _6356_ +*21474 _6357_ +*21475 _6358_ +*21476 _6359_ +*21477 _6360_ +*21478 _6361_ +*21479 _6362_ +*21480 _6363_ +*21481 _6364_ +*21482 _6365_ +*21483 _6366_ +*21484 _6367_ +*21485 _6368_ +*21486 _6369_ +*21487 _6370_ +*21488 _6371_ +*21489 _6372_ +*21490 _6373_ +*21491 _6374_ +*21492 _6375_ +*21493 _6376_ +*21494 _6377_ +*21495 _6378_ +*21496 _6379_ +*21497 _6380_ +*21498 _6381_ +*21499 _6382_ +*21500 _6383_ +*21501 _6384_ +*21502 _6385_ +*21503 _6386_ +*21504 _6387_ +*21505 _6388_ +*21506 _6389_ +*21507 _6390_ +*21508 _6391_ +*21509 _6392_ +*21510 _6393_ +*21511 _6394_ +*21512 _6395_ +*21513 _6396_ +*21514 _6397_ +*21515 _6398_ +*21516 _6399_ +*21517 _6400_ +*21518 _6401_ +*21519 _6402_ +*21520 _6403_ +*21521 _6404_ +*21522 _6405_ +*21523 _6406_ +*21524 _6407_ +*21525 _6408_ +*21526 _6409_ +*21527 _6410_ +*21528 _6411_ +*21529 _6412_ +*21530 _6413_ +*21531 _6414_ +*21532 _6415_ +*21533 _6416_ +*21534 _6417_ +*21535 _6418_ +*21536 _6419_ +*21537 _6420_ +*21538 _6421_ +*21539 _6422_ +*21540 _6423_ +*21541 _6424_ +*21542 _6425_ +*21543 _6426_ +*21544 _6427_ +*21545 _6428_ +*21546 _6429_ +*21547 _6430_ +*21548 _6431_ +*21549 _6432_ +*21550 _6433_ +*21551 _6434_ +*21552 _6435_ +*21553 _6436_ +*21554 _6437_ +*21555 _6438_ +*21556 _6439_ +*21557 _6440_ +*21558 _6441_ +*21559 _6442_ +*21560 _6443_ +*21561 _6444_ +*21562 _6445_ +*21563 _6446_ +*21564 _6447_ +*21565 _6448_ +*21566 _6449_ +*21567 _6450_ +*21568 _6451_ +*21569 _6452_ +*21570 _6453_ +*21571 _6454_ +*21572 _6455_ +*21573 _6456_ +*21574 _6457_ +*21575 _6458_ +*21576 _6459_ +*21577 _6460_ +*21578 _6461_ +*21579 _6462_ +*21580 _6463_ +*21581 _6464_ +*21582 _6465_ +*21583 _6466_ +*21584 _6467_ +*21585 _6468_ +*21586 _6469_ +*21587 _6470_ +*21588 _6471_ +*21589 _6472_ +*21590 _6473_ +*21591 _6474_ +*21592 _6475_ +*21593 _6476_ +*21594 _6477_ +*21595 _6478_ +*21596 _6479_ +*21597 _6480_ +*21598 _6481_ +*21599 _6482_ +*21600 _6483_ +*21601 _6484_ +*21602 _6485_ +*21603 _6486_ +*21604 _6487_ +*21605 _6488_ +*21606 _6489_ +*21607 _6490_ +*21608 _6491_ +*21609 _6492_ +*21610 _6493_ +*21611 _6494_ +*21612 _6495_ +*21613 _6496_ +*21614 _6497_ +*21615 _6498_ +*21616 _6499_ +*21617 _6500_ +*21618 _6501_ +*21619 _6502_ +*21620 _6503_ +*21621 _6504_ +*21622 _6505_ +*21623 _6506_ +*21624 _6507_ +*21625 _6508_ +*21626 _6509_ +*21627 _6510_ +*21628 _6511_ +*21629 _6512_ +*21630 _6513_ +*21631 _6514_ +*21632 _6515_ +*21633 _6516_ +*21634 _6517_ +*21635 _6518_ +*21636 _6519_ +*21637 _6520_ +*21638 _6521_ +*21639 _6522_ +*21640 _6523_ +*21641 _6524_ +*21642 _6525_ +*21643 _6526_ +*21644 _6527_ +*21645 _6528_ +*21646 _6529_ +*21647 _6530_ +*21648 _6531_ +*21649 _6532_ +*21650 _6533_ +*21651 _6534_ +*21652 _6535_ +*21653 _6536_ +*21654 _6537_ +*21655 _6538_ +*21656 _6539_ +*21657 _6540_ +*21658 _6541_ +*21659 _6542_ +*21660 _6543_ +*21661 _6544_ +*21662 _6545_ +*21663 _6546_ +*21664 _6547_ +*21665 _6548_ +*21666 _6549_ +*21667 _6550_ +*21668 _6551_ +*21669 _6552_ +*21670 _6553_ +*21671 _6554_ +*21672 _6555_ +*21673 _6556_ +*21674 _6557_ +*21675 _6558_ +*21676 _6559_ +*21677 _6560_ +*21678 _6561_ +*21679 _6562_ +*21680 _6563_ +*21681 _6564_ +*21682 _6565_ +*21683 _6566_ +*21684 _6567_ +*21685 _6568_ +*21686 _6569_ +*21687 _6570_ +*21688 _6571_ +*21689 _6572_ +*21690 _6573_ +*21691 _6574_ +*21692 _6575_ +*21693 _6576_ +*21694 _6577_ +*21695 _6578_ +*21696 _6579_ +*21697 _6580_ +*21698 _6581_ +*21699 _6582_ +*21700 _6583_ +*21701 _6584_ +*21702 _6585_ +*21703 _6586_ +*21704 _6587_ +*21705 _6588_ +*21706 _6589_ +*21707 _6590_ +*21708 _6591_ +*21709 _6592_ +*21710 _6593_ +*21711 _6594_ +*21712 _6595_ +*21713 _6596_ +*21714 _6597_ +*21715 _6598_ +*21716 _6599_ +*21717 _6600_ +*21718 _6601_ +*21719 _6602_ +*21720 _6603_ +*21721 _6604_ +*21722 _6605_ +*21723 _6606_ +*21724 _6607_ +*21725 _6608_ +*21726 _6609_ +*21727 _6610_ +*21728 _6611_ +*21729 _6612_ +*21730 _6613_ +*21731 _6614_ +*21732 _6615_ +*21733 _6616_ +*21734 _6617_ +*21735 _6618_ +*21736 _6619_ +*21737 _6620_ +*21738 _6621_ +*21739 _6622_ +*21740 _6623_ +*21741 _6624_ +*21742 _6625_ +*21743 _6626_ +*21744 _6627_ +*21745 _6628_ +*21746 _6629_ +*21747 _6630_ +*21748 _6631_ +*21749 _6632_ +*21750 _6633_ +*21751 _6634_ +*21752 _6635_ +*21753 _6636_ +*21754 _6637_ +*21755 _6638_ +*21756 _6639_ +*21757 _6640_ +*21758 _6641_ +*21759 _6642_ +*21760 _6643_ +*21761 _6644_ +*21762 _6645_ +*21763 _6646_ +*21764 _6647_ +*21765 _6648_ +*21766 _6649_ +*21767 _6650_ +*21768 _6651_ +*21769 _6652_ +*21770 _6653_ +*21771 _6654_ +*21772 _6655_ +*21773 _6656_ +*21774 _6657_ +*21775 _6658_ +*21776 _6659_ +*21777 _6660_ +*21778 _6661_ +*21779 _6662_ +*21780 _6663_ +*21781 _6664_ +*21782 _6665_ +*21783 _6666_ +*21784 _6667_ +*21785 _6668_ +*21786 _6669_ +*21787 _6670_ +*21788 _6671_ +*21789 _6672_ +*21790 _6673_ +*21791 _6674_ +*21792 _6675_ +*21793 _6676_ +*21794 _6677_ +*21795 _6678_ +*21796 _6679_ +*21797 _6680_ +*21798 _6681_ +*21799 _6682_ +*21800 _6683_ +*21801 _6684_ +*21802 _6685_ +*21803 _6686_ +*21804 _6687_ +*21805 _6688_ +*21806 _6689_ +*21807 _6690_ +*21808 _6691_ +*21809 _6692_ +*21810 _6693_ +*21811 _6694_ +*21812 _6695_ +*21813 _6696_ +*21814 _6697_ +*21815 _6698_ +*21816 _6699_ +*21817 _6700_ +*21818 _6701_ +*21819 _6702_ +*21820 _6703_ +*21821 _6704_ +*21822 _6705_ +*21823 _6706_ +*21824 _6707_ +*21825 _6708_ +*21826 _6709_ +*21827 _6710_ +*21828 _6711_ +*21829 _6712_ +*21830 _6713_ +*21831 _6714_ +*21832 _6715_ +*21833 _6716_ +*21834 _6717_ +*21835 _6718_ +*21836 _6719_ +*21837 _6720_ +*21838 _6721_ +*21839 _6722_ +*21840 _6723_ +*21841 _6724_ +*21842 _6725_ +*21843 _6726_ +*21844 _6727_ +*21845 _6728_ +*21846 _6729_ +*21847 _6730_ +*21848 _6731_ +*21849 _6732_ +*21850 _6733_ +*21851 _6734_ +*21852 _6735_ +*21853 _6736_ +*21854 _6737_ +*21855 _6738_ +*21856 _6739_ +*21857 _6740_ +*21858 _6741_ +*21859 _6742_ +*21860 _6743_ +*21861 _6744_ +*21862 _6745_ +*21863 _6746_ +*21864 _6747_ +*21865 _6748_ +*21866 _6749_ +*21867 _6750_ +*21868 _6751_ +*21869 _6752_ +*21870 _6753_ +*21871 _6754_ +*21872 _6755_ +*21873 _6756_ +*21874 _6757_ +*21875 _6758_ +*21876 _6759_ +*21877 _6760_ +*21878 _6761_ +*21879 _6762_ +*21880 _6763_ +*21881 _6764_ +*21882 _6765_ +*21883 _6766_ +*21884 _6767_ +*21885 _6768_ +*21886 _6769_ +*21887 _6770_ +*21888 _6771_ +*21889 _6772_ +*21890 _6773_ +*21891 _6774_ +*21892 _6775_ +*21893 _6776_ +*21894 _6777_ +*21895 _6778_ +*21896 _6779_ +*21897 _6780_ +*21898 _6781_ +*21899 _6782_ +*21900 _6783_ +*21901 _6784_ +*21902 _6785_ +*21903 _6786_ +*21904 _6787_ +*21905 _6788_ +*21906 _6789_ +*21907 _6790_ +*21908 _6791_ +*21909 _6792_ +*21910 _6793_ +*21911 _6794_ +*21912 _6795_ +*21913 _6796_ +*21914 _6797_ +*21915 _6798_ +*21916 _6799_ +*21917 _6800_ +*21918 _6801_ +*21919 _6802_ +*21920 _6803_ +*21921 _6804_ +*21922 _6805_ +*21923 _6806_ +*21924 _6807_ +*21925 _6808_ +*21926 _6809_ +*21927 _6810_ +*21928 _6811_ +*21929 _6812_ +*21930 _6813_ +*21931 _6814_ +*21932 _6815_ +*21933 _6816_ +*21934 _6817_ +*21935 _6818_ +*21936 _6819_ +*21937 _6820_ +*21938 _6821_ +*21939 _6822_ +*21940 _6823_ +*21941 _6824_ +*21942 _6825_ +*21943 _6826_ +*21944 _6827_ +*21945 _6828_ +*21946 _6829_ +*21947 _6830_ +*21948 _6831_ +*21949 _6832_ +*21950 _6833_ +*21951 _6834_ +*21952 _6835_ +*21953 _6836_ +*21954 _6837_ +*21955 _6838_ +*21956 _6839_ +*21957 _6840_ +*21958 _6841_ +*21959 _6842_ +*21960 _6843_ +*21961 _6844_ +*21962 _6845_ +*21963 _6846_ +*21964 _6847_ +*21965 _6848_ +*21966 _6849_ +*21967 _6850_ +*21968 _6851_ +*21969 _6852_ +*21970 _6853_ +*21971 _6854_ +*21972 _6855_ +*21973 _6856_ +*21974 _6857_ +*21975 _6858_ +*21976 _6859_ +*21977 _6860_ +*21978 _6861_ +*21979 _6862_ +*21980 _6863_ +*21981 _6864_ +*21982 _6865_ +*21983 _6866_ +*21984 _6867_ +*21985 _6868_ +*21986 _6869_ +*21987 _6870_ +*21988 _6871_ +*21989 _6872_ +*21990 _6873_ +*21991 _6874_ +*21992 _6875_ +*21993 _6876_ +*21994 _6877_ +*21995 _6878_ +*21996 _6879_ +*21997 _6880_ +*21998 _6881_ +*21999 _6882_ +*22000 _6883_ +*22001 _6884_ +*22002 _6885_ +*22003 _6886_ +*22004 _6887_ +*22005 _6888_ +*22006 _6889_ +*22007 _6890_ +*22008 _6891_ +*22009 _6892_ +*22010 _6893_ +*22011 _6894_ +*22012 _6895_ +*22013 _6896_ +*22014 _6897_ +*22015 _6898_ +*22016 _6899_ +*22017 _6900_ +*22018 _6901_ +*22019 _6902_ +*22020 _6903_ +*22021 _6904_ +*22022 _6905_ +*22023 _6906_ +*22024 _6907_ +*22025 _6908_ +*22026 _6909_ +*22027 _6910_ +*22028 _6911_ +*22029 _6912_ +*22030 _6913_ +*22031 _6914_ +*22032 _6915_ +*22033 _6916_ +*22034 _6917_ +*22035 _6918_ +*22036 _6919_ +*22037 _6920_ +*22038 _6921_ +*22039 _6922_ +*22040 _6923_ +*22041 _6924_ +*22042 _6925_ +*22043 _6926_ +*22044 _6927_ +*22045 _6928_ +*22046 _6929_ +*22047 _6930_ +*22048 _6931_ +*22049 _6932_ +*22050 _6933_ +*22051 _6934_ +*22052 _6935_ +*22053 _6936_ +*22054 _6937_ +*22055 _6938_ +*22056 _6939_ +*22057 _6940_ +*22058 _6941_ +*22059 _6942_ +*22060 _6943_ +*22061 _6944_ +*22062 _6945_ +*22063 _6946_ +*22064 _6947_ +*22065 _6948_ +*22066 _6949_ +*22067 _6950_ +*22068 _6951_ +*22069 _6952_ +*22070 _6953_ +*22071 _6954_ +*22072 _6955_ +*22073 _6956_ +*22074 _6957_ +*22075 _6958_ +*22076 _6959_ +*22077 _6960_ +*22078 _6961_ +*22079 _6962_ +*22080 _6963_ +*22081 _6964_ +*22082 _6965_ +*22083 _6966_ +*22084 _6967_ +*22085 _6968_ +*22086 _6969_ +*22087 _6970_ +*22088 _6971_ +*22089 _6972_ +*22090 _6973_ +*22091 _6974_ +*22092 _6975_ +*22093 _6976_ +*22094 _6977_ +*22095 _6978_ +*22096 _6979_ +*22097 _6980_ +*22098 _6981_ +*22099 _6982_ +*22100 _6983_ +*22101 _6984_ +*22102 _6985_ +*22103 _6986_ +*22104 _6987_ +*22105 _6988_ +*22106 _6989_ +*22107 _6990_ +*22108 _6991_ +*22109 _6992_ +*22110 _6993_ +*22111 _6994_ +*22112 _6995_ +*22113 _6996_ +*22114 _6997_ +*22115 _6998_ +*22116 _6999_ +*22117 _7000_ +*22118 _7001_ +*22119 _7002_ +*22120 _7003_ +*22121 _7004_ +*22122 _7005_ +*22123 _7006_ +*22124 _7007_ +*22125 _7008_ +*22126 _7009_ +*22127 _7010_ +*22128 _7011_ +*22129 _7012_ +*22130 _7013_ +*22131 _7014_ +*22132 _7015_ +*22133 _7016_ +*22134 _7017_ +*22135 _7018_ +*22136 _7019_ +*22137 _7020_ +*22138 _7021_ +*22139 _7022_ +*22140 _7023_ +*22141 _7024_ +*22142 _7025_ +*22143 _7026_ +*22144 _7027_ +*22145 _7028_ +*22146 _7029_ +*22147 _7030_ +*22148 _7031_ +*22149 _7032_ +*22150 _7033_ +*22151 _7034_ +*22152 _7035_ +*22153 _7036_ +*22154 _7037_ +*22155 _7038_ +*22156 _7039_ +*22157 _7040_ +*22158 _7041_ +*22159 _7042_ +*22160 _7043_ +*22161 _7044_ +*22162 _7045_ +*22163 _7046_ +*22164 _7047_ +*22165 _7048_ +*22166 _7049_ +*22167 _7050_ +*22168 _7051_ +*22169 _7052_ +*22170 _7053_ +*22171 _7054_ +*22172 _7055_ +*22173 _7056_ +*22174 _7057_ +*22175 _7058_ +*22176 _7059_ +*22177 _7060_ +*22178 _7061_ +*22179 _7062_ +*22180 _7063_ +*22181 _7064_ +*22182 _7065_ +*22183 _7066_ +*22184 _7067_ +*22185 _7068_ +*22186 _7069_ +*22187 _7070_ +*22188 _7071_ +*22189 _7072_ +*22190 _7073_ +*22191 _7074_ +*22192 _7075_ +*22193 _7076_ +*22194 _7077_ +*22195 _7078_ +*22196 _7079_ +*22197 _7080_ +*22198 _7081_ +*22199 _7082_ +*22200 _7083_ +*22201 _7084_ +*22202 _7085_ +*22203 _7086_ +*22204 _7087_ +*22205 _7088_ +*22206 _7089_ +*22207 _7090_ +*22208 _7091_ +*22209 _7092_ +*22210 _7093_ +*22211 _7094_ +*22212 _7095_ +*22213 _7096_ +*22214 _7097_ +*22215 _7098_ +*22216 _7099_ +*22217 _7100_ +*22218 _7101_ +*22219 _7102_ +*22220 _7103_ +*22221 _7104_ +*22222 _7105_ +*22223 _7106_ +*22224 _7107_ +*22225 _7108_ +*22226 _7109_ +*22227 _7110_ +*22228 _7111_ +*22229 _7112_ +*22230 _7113_ +*22231 _7114_ +*22232 _7115_ +*22233 _7116_ +*22234 _7117_ +*22235 _7118_ +*22236 _7119_ +*22237 _7120_ +*22238 _7121_ +*22239 _7122_ +*22240 _7123_ +*22241 _7124_ +*22242 _7125_ +*22243 _7126_ +*22244 _7127_ +*22245 _7128_ +*22246 _7129_ +*22247 _7130_ +*22248 _7131_ +*22249 _7132_ +*22250 _7133_ +*22251 _7134_ +*22252 _7135_ +*22253 _7136_ +*22254 _7137_ +*22255 _7138_ +*22256 _7139_ +*22257 _7140_ +*22258 _7141_ +*22259 _7142_ +*22260 _7143_ +*22261 _7144_ +*22262 _7145_ +*22263 _7146_ +*22264 _7147_ +*22265 _7148_ +*22266 _7149_ +*22267 _7150_ +*22268 _7151_ +*22269 _7152_ +*22270 _7153_ +*22271 _7154_ +*22272 _7155_ +*22273 _7156_ +*22274 _7157_ +*22275 _7158_ +*22276 _7159_ +*22277 _7160_ +*22278 _7161_ +*22279 _7162_ +*22280 _7163_ +*22281 _7164_ +*22282 _7165_ +*22283 _7166_ +*22284 _7167_ +*22285 _7168_ +*22286 _7169_ +*22287 _7170_ +*22288 _7171_ +*22289 _7172_ +*22290 _7173_ +*22291 _7174_ +*22292 _7175_ +*22293 _7176_ +*22294 _7177_ +*22295 _7178_ +*22296 _7179_ +*22297 _7180_ +*22298 _7181_ +*22299 _7182_ +*22300 _7183_ +*22301 _7184_ +*22302 _7185_ +*22303 _7186_ +*22304 _7187_ +*22305 _7188_ +*22306 _7189_ +*22307 _7190_ +*22308 _7191_ +*22309 _7192_ +*22310 _7193_ +*22311 _7194_ +*22312 _7195_ +*22313 _7196_ +*22314 _7197_ +*22315 _7198_ +*22316 _7199_ +*22317 _7200_ +*22318 _7201_ +*22319 _7202_ +*22320 _7203_ +*22321 _7204_ +*22322 _7205_ +*22323 _7206_ +*22324 _7207_ +*22325 _7208_ +*22326 _7209_ +*22327 _7210_ +*22328 _7211_ +*22329 _7212_ +*22330 _7213_ +*22331 _7214_ +*22332 _7215_ +*22333 _7216_ +*22334 _7217_ +*22335 _7218_ +*22336 _7219_ +*22337 _7220_ +*22338 _7221_ +*22339 _7222_ +*22340 _7223_ +*22341 _7224_ +*22342 _7225_ +*22343 _7226_ +*22344 _7227_ +*22345 _7228_ +*22346 _7229_ +*22347 _7230_ +*22348 _7231_ +*22349 _7232_ +*22350 _7233_ +*22351 _7234_ +*22352 _7235_ +*22353 _7236_ +*22354 _7237_ +*22355 _7238_ +*22356 _7239_ +*22357 _7240_ +*22358 _7241_ +*22359 _7242_ +*22360 _7243_ +*22361 _7244_ +*22362 _7245_ +*22363 _7246_ +*22364 _7247_ +*22365 _7248_ +*22366 _7249_ +*22367 _7250_ +*22368 _7251_ +*22369 _7252_ +*22370 _7253_ +*22371 _7254_ +*22372 _7255_ +*22373 _7256_ +*22374 _7257_ +*22375 _7258_ +*22376 _7259_ +*22377 _7260_ +*22378 _7261_ +*22379 _7262_ +*22380 _7263_ +*22381 _7264_ +*22382 _7265_ +*22383 _7266_ +*22384 _7267_ +*22385 _7268_ +*22386 _7269_ +*22387 _7270_ +*22388 _7271_ +*22389 _7272_ +*22390 _7273_ +*22391 _7274_ +*22392 _7275_ +*22393 _7276_ +*22394 _7277_ +*22395 _7278_ +*22396 _7279_ +*22397 _7280_ +*22398 _7281_ +*22399 _7282_ +*22400 _7283_ +*22401 _7284_ +*22402 _7285_ +*22403 _7286_ +*22404 _7287_ +*22405 _7288_ +*22406 _7289_ +*22407 _7290_ +*22408 _7291_ +*22409 _7292_ +*22410 _7293_ +*22411 _7294_ +*22412 _7295_ +*22413 _7296_ +*22414 _7297_ +*22415 _7298_ +*22416 _7299_ +*22417 _7300_ +*22418 _7301_ +*22419 _7302_ +*22420 _7303_ +*22421 _7304_ +*22422 _7305_ +*22423 _7306_ +*22424 _7307_ +*22425 _7308_ +*22426 _7309_ +*22427 _7310_ +*22428 _7311_ +*22429 _7312_ +*22430 _7313_ +*22431 _7314_ +*22432 _7315_ +*22433 _7316_ +*22434 _7317_ +*22435 _7318_ +*22436 _7319_ +*22437 _7320_ +*22438 _7321_ +*22439 _7322_ +*22440 _7323_ +*22441 _7324_ +*22442 _7325_ +*22443 _7326_ +*22444 _7327_ +*22445 _7328_ +*22446 _7329_ +*22447 _7330_ +*22448 _7331_ +*22449 _7332_ +*22450 _7333_ +*22451 _7334_ +*22452 _7335_ +*22453 _7336_ +*22454 _7337_ +*22455 _7338_ +*22456 _7339_ +*22457 _7340_ +*22458 _7341_ +*22459 _7342_ +*22460 _7343_ +*22461 _7344_ +*22462 _7345_ +*22463 _7346_ +*22464 _7347_ +*22465 _7348_ +*22466 _7349_ +*22467 _7350_ +*22468 _7351_ +*22469 _7352_ +*22470 _7353_ +*22471 _7354_ +*22472 _7355_ +*22473 _7356_ +*22474 _7357_ +*22475 _7358_ +*22476 _7359_ +*22477 _7360_ +*22478 _7361_ +*22479 _7362_ +*22480 _7363_ +*22481 _7364_ +*22482 _7365_ +*22483 _7366_ +*22484 _7367_ +*22485 _7368_ +*22486 _7369_ +*22487 _7370_ +*22488 _7371_ +*22489 _7372_ +*22490 _7373_ +*22491 _7374_ +*22492 _7375_ +*22493 _7376_ +*22494 _7377_ +*22495 _7378_ +*22496 _7379_ +*22497 _7380_ +*22498 _7381_ +*22499 _7382_ +*22500 _7383_ +*22501 _7384_ +*22502 _7385_ +*22503 _7386_ +*22504 _7387_ +*22505 _7388_ +*22506 _7389_ +*22507 _7390_ +*22508 _7391_ +*22509 _7392_ +*22510 _7393_ +*22511 _7394_ +*22512 _7395_ +*22513 _7396_ +*22514 _7397_ +*22515 _7398_ +*22516 _7399_ +*22517 _7400_ +*22518 _7401_ +*22519 _7402_ +*22520 _7403_ +*22521 _7404_ +*22522 _7405_ +*22523 _7406_ +*22524 _7407_ +*22525 _7408_ +*22526 _7409_ +*22527 _7410_ +*22528 _7411_ +*22529 _7412_ +*22530 _7413_ +*22531 _7414_ +*22532 _7415_ +*22533 _7416_ +*22534 _7417_ +*22535 _7418_ +*22536 _7419_ +*22537 _7420_ +*22538 _7421_ +*22539 _7422_ +*22540 _7423_ +*22541 _7424_ +*22542 _7425_ +*22543 _7426_ +*22544 _7427_ +*22545 _7428_ +*22546 _7429_ +*22547 _7430_ +*22548 _7431_ +*22549 _7432_ +*22550 _7433_ +*22551 _7434_ +*22552 _7435_ +*22553 _7436_ +*22554 _7437_ +*22555 _7438_ +*22556 _7439_ +*22557 _7440_ +*22558 _7441_ +*22559 _7442_ +*22560 _7443_ +*22561 _7444_ +*22562 _7445_ +*22563 _7446_ +*22564 _7447_ +*22565 _7448_ +*22566 _7449_ +*22567 _7450_ +*22568 _7451_ +*22569 _7452_ +*22570 _7453_ +*22571 _7454_ +*22572 _7455_ +*22573 _7456_ +*22574 _7457_ +*22575 _7458_ +*22576 _7459_ +*22577 _7460_ +*22578 _7461_ +*22579 _7462_ +*22580 _7463_ +*22581 _7464_ +*22582 _7465_ +*22583 _7466_ +*22584 _7467_ +*22585 _7468_ +*22586 _7469_ +*22587 _7470_ +*22588 _7471_ +*22589 _7472_ +*22590 _7473_ +*22591 _7474_ +*22592 _7475_ +*22593 _7476_ +*22594 _7477_ +*22595 _7478_ +*22596 _7479_ +*22597 _7480_ +*22598 _7481_ +*22599 _7482_ +*22600 _7483_ +*22601 _7484_ +*22602 _7485_ +*22603 _7486_ +*22604 _7487_ +*22605 _7488_ +*22606 _7489_ +*22607 _7490_ +*22608 _7491_ +*22609 _7492_ +*22610 _7493_ +*22611 _7494_ +*22612 _7495_ +*22613 _7496_ +*22614 _7497_ +*22615 _7498_ +*22616 _7499_ +*22617 _7500_ +*22618 _7501_ +*22619 _7502_ +*22620 _7503_ +*22621 _7504_ +*22622 _7505_ +*22623 _7506_ +*22624 _7507_ +*22625 _7508_ +*22626 _7509_ +*22627 _7510_ +*22628 _7511_ +*22629 _7512_ +*22630 _7513_ +*22631 _7514_ +*22632 _7515_ +*22633 _7516_ +*22634 _7517_ +*22635 _7518_ +*22636 _7519_ +*22637 _7520_ +*22638 _7521_ +*22639 _7522_ +*22640 _7523_ +*22641 _7524_ +*22642 _7525_ +*22643 _7526_ +*22644 _7527_ +*22645 _7528_ +*22646 _7529_ +*22647 _7530_ +*22648 _7531_ +*22649 _7532_ +*22650 _7533_ +*22651 _7534_ +*22652 _7535_ +*22653 _7536_ +*22654 _7537_ +*22655 _7538_ +*22656 _7539_ +*22657 _7540_ +*22658 _7541_ +*22659 _7542_ +*22660 _7543_ +*22661 _7544_ +*22662 _7545_ +*22663 _7546_ +*22664 _7547_ +*22665 _7548_ +*22666 _7549_ +*22667 _7550_ +*22668 _7551_ +*22669 _7552_ +*22670 _7553_ +*22671 _7554_ +*22672 _7555_ +*22673 _7556_ +*22674 _7557_ +*22675 _7558_ +*22676 _7559_ +*22677 _7560_ +*22678 _7561_ +*22679 _7562_ +*22680 _7563_ +*22681 _7564_ +*22682 _7565_ +*22683 _7566_ +*22684 _7567_ +*22685 _7568_ +*22686 _7569_ +*22687 _7570_ +*22688 _7571_ +*22689 _7572_ +*22690 _7573_ +*22691 _7574_ +*22692 _7575_ +*22693 _7576_ +*22694 _7577_ +*22695 _7578_ +*22696 _7579_ +*22697 _7580_ +*22698 _7581_ +*22699 _7582_ +*22700 _7583_ +*22701 _7584_ +*22702 _7585_ +*22703 _7586_ +*22704 _7587_ +*22705 _7588_ +*22706 _7589_ +*22707 _7590_ +*22708 _7591_ +*22709 _7592_ +*22710 _7593_ +*22711 _7594_ +*22712 _7595_ +*22713 _7596_ +*22714 _7597_ +*22715 _7598_ +*22716 _7599_ +*22717 _7600_ +*22718 _7601_ +*22719 _7602_ +*22720 _7603_ +*22721 _7604_ +*22722 _7605_ +*22723 _7606_ +*22724 _7607_ +*22725 _7608_ +*22726 _7609_ +*22727 _7610_ +*22728 _7611_ +*22729 _7612_ +*22730 _7613_ +*22731 _7614_ +*22732 _7615_ +*22733 _7616_ +*22734 _7617_ +*22735 _7618_ +*22736 _7619_ +*22737 _7620_ +*22738 _7621_ +*22739 _7622_ +*22740 _7623_ +*22741 _7624_ +*22742 _7625_ +*22743 _7626_ +*22744 _7627_ +*22745 _7628_ +*22746 _7629_ +*22747 _7630_ +*22748 _7631_ +*22749 _7632_ +*22750 _7633_ +*22751 _7634_ +*22752 _7635_ +*22753 _7636_ +*22754 _7637_ +*22755 _7638_ +*22756 _7639_ +*22757 _7640_ +*22758 _7641_ +*22759 _7642_ +*22760 _7643_ +*22761 _7644_ +*22762 _7645_ +*22763 _7646_ +*22764 _7647_ +*22765 _7648_ +*22766 _7649_ +*22767 _7650_ +*22768 _7651_ +*22769 _7652_ +*22770 _7653_ +*22771 _7654_ +*22772 _7655_ +*22773 _7656_ +*22774 _7657_ +*22775 _7658_ +*22776 _7659_ +*22777 _7660_ +*22778 _7661_ +*22779 _7662_ +*22780 _7663_ +*22781 _7664_ +*22782 _7665_ +*22783 _7666_ +*22784 _7667_ +*22785 _7668_ +*22786 _7669_ +*22787 _7670_ +*22788 _7671_ +*22789 _7672_ +*22790 _7673_ +*22791 _7674_ +*22792 _7675_ +*22793 _7676_ +*22794 _7677_ +*22795 _7678_ +*22796 _7679_ +*22797 _7680_ +*22798 _7681_ +*22799 _7682_ +*22800 _7683_ +*22801 _7684_ +*22802 _7685_ +*22803 _7686_ +*22804 _7687_ +*22805 _7688_ +*22806 _7689_ +*22807 _7690_ +*22808 _7691_ +*22809 _7692_ +*22810 _7693_ +*22811 _7694_ +*22812 _7695_ +*22813 _7696_ +*22814 _7697_ +*22815 _7698_ +*22816 _7699_ +*22817 _7700_ +*22818 _7701_ +*22819 _7702_ +*22820 _7703_ +*22821 _7704_ +*22822 _7705_ +*22823 _7706_ +*22824 _7707_ +*22825 _7708_ +*22826 _7709_ +*22827 _7710_ +*22828 _7711_ +*22829 _7712_ +*22830 _7713_ +*22831 _7714_ +*22832 _7715_ +*22833 _7716_ +*22834 _7717_ +*22835 _7718_ +*22836 _7719_ +*22837 _7720_ +*22838 _7721_ +*22839 _7722_ +*22840 _7723_ +*22841 _7724_ +*22842 _7725_ +*22843 _7726_ +*22844 _7727_ +*22845 _7728_ +*22846 _7729_ +*22847 _7730_ +*22848 _7731_ +*22849 _7732_ +*22850 _7733_ +*22851 _7734_ +*22852 _7735_ +*22853 _7736_ +*22854 _7737_ +*22855 _7738_ +*22856 _7739_ +*22857 _7740_ +*22858 _7741_ +*22859 _7742_ +*22860 _7743_ +*22861 _7744_ +*22862 _7745_ +*22863 _7746_ +*22864 _7747_ +*22865 _7748_ +*22866 _7749_ +*22867 _7750_ +*22868 _7751_ +*22869 _7752_ +*22870 _7753_ +*22871 _7754_ +*22872 _7755_ +*22873 _7756_ +*22874 _7757_ +*22875 _7758_ +*22876 _7759_ +*22877 _7760_ +*22878 _7761_ +*22879 _7762_ +*22880 _7763_ +*22881 _7764_ +*22882 _7765_ +*22883 _7766_ +*22884 _7767_ +*22885 _7768_ +*22886 _7769_ +*22887 _7770_ +*22888 _7771_ +*22889 _7772_ +*22890 _7773_ +*22891 _7774_ +*22892 _7775_ +*22893 _7776_ +*22894 _7777_ +*22895 _7778_ +*22896 _7779_ +*22897 _7780_ +*22898 _7781_ +*22899 _7782_ +*22900 _7783_ +*22901 _7784_ +*22902 _7785_ +*22903 _7786_ +*22904 _7787_ +*22905 _7788_ +*22906 _7789_ +*22907 _7790_ +*22908 _7791_ +*22909 _7792_ +*22910 _7793_ +*22911 _7794_ +*22912 _7795_ +*22913 _7796_ +*22914 _7797_ +*22915 _7798_ +*22916 _7799_ +*22917 _7800_ +*22918 _7801_ +*22919 _7802_ +*22920 _7803_ +*22921 _7804_ +*22922 _7805_ +*22923 _7806_ +*22924 _7807_ +*22925 _7808_ +*22926 _7809_ +*22927 _7810_ +*22928 _7811_ +*22929 _7812_ +*22930 _7813_ +*22931 _7814_ +*22932 _7815_ +*22933 _7816_ +*22934 _7817_ +*22935 _7818_ +*22936 _7819_ +*22937 _7820_ +*22938 _7821_ +*22939 _7822_ +*22940 _7823_ +*22941 _7824_ +*22942 _7825_ +*22943 _7826_ +*22944 _7827_ +*22945 _7828_ +*22946 _7829_ +*22947 _7830_ +*22948 _7831_ +*22949 _7832_ +*22950 _7833_ +*22951 _7834_ +*22952 _7835_ +*22953 _7836_ +*22954 _7837_ +*22955 _7838_ +*22956 _7839_ +*22957 _7840_ +*22958 _7841_ +*22959 _7842_ +*22960 _7843_ +*22961 _7844_ +*22962 _7845_ +*22963 _7846_ +*22964 _7847_ +*22965 _7848_ +*22966 _7849_ +*22967 _7850_ +*22968 _7851_ +*22969 _7852_ +*22970 _7853_ +*22971 _7854_ +*22972 _7855_ +*22973 _7856_ +*22974 _7857_ +*22975 _7858_ +*22976 _7859_ +*22977 _7860_ +*22978 _7861_ +*22979 _7862_ +*22980 _7863_ +*22981 _7864_ +*22982 _7865_ +*22983 _7866_ +*22984 _7867_ +*22985 _7868_ +*22986 _7869_ +*22987 _7870_ +*22988 _7871_ +*22989 _7872_ +*22990 _7873_ +*22991 _7874_ +*22992 _7875_ +*22993 _7876_ +*22994 _7877_ +*22995 _7878_ +*22996 _7879_ +*22997 _7880_ +*22998 _7881_ +*22999 _7882_ +*23000 _7883_ +*23001 _7884_ +*23002 _7885_ +*23003 _7886_ +*23004 _7887_ +*23005 _7888_ +*23006 _7889_ +*23007 _7890_ +*23008 _7891_ +*23009 _7892_ +*23010 _7893_ +*23011 _7894_ +*23012 _7895_ +*23013 _7896_ +*23014 _7897_ +*23015 _7898_ +*23016 _7899_ +*23017 _7900_ +*23018 _7901_ +*23019 _7902_ +*23020 _7903_ +*23021 _7904_ +*23022 _7905_ +*23023 _7906_ +*23024 _7907_ +*23025 _7908_ +*23026 _7909_ +*23027 _7910_ +*23028 _7911_ +*23029 _7912_ +*23030 _7913_ +*23031 _7914_ +*23032 _7915_ +*23033 _7916_ +*23034 _7917_ +*23035 _7918_ +*23036 _7919_ +*23037 _7920_ +*23038 _7921_ +*23039 _7922_ +*23040 _7923_ +*23041 _7924_ +*23042 _7925_ +*23043 _7926_ +*23044 _7927_ +*23045 _7928_ +*23046 _7929_ +*23047 _7930_ +*23048 _7931_ +*23049 _7932_ +*23050 _7933_ +*23051 _7934_ +*23052 _7935_ +*23053 _7936_ +*23054 _7937_ +*23055 _7938_ +*23056 _7939_ +*23057 _7940_ +*23058 _7941_ +*23059 _7942_ +*23060 _7943_ +*23061 _7944_ +*23062 _7945_ +*23063 _7946_ +*23064 _7947_ +*23065 _7948_ +*23066 _7949_ +*23067 _7950_ +*23068 _7951_ +*23069 _7952_ +*23070 _7953_ +*23071 _7954_ +*23072 _7955_ +*23073 _7956_ +*23074 _7957_ +*23075 _7958_ +*23076 _7959_ +*23077 _7960_ +*23078 _7961_ +*23079 _7962_ +*23080 _7963_ +*23081 _7964_ +*23082 _7965_ +*23083 _7966_ +*23084 _7967_ +*23085 _7968_ +*23086 _7969_ +*23087 _7970_ +*23088 _7971_ +*23089 _7972_ +*23090 _7973_ +*23091 _7974_ +*23092 _7975_ +*23093 _7976_ +*23094 _7977_ +*23095 _7978_ +*23096 _7979_ +*23097 _7980_ +*23098 _7981_ +*23099 _7982_ +*23100 _7983_ +*23101 _7984_ +*23102 _7985_ +*23103 _7986_ +*23104 _7987_ +*23105 _7988_ +*23106 _7989_ +*23107 _7990_ +*23108 _7991_ +*23109 _7992_ +*23110 _7993_ +*23111 _7994_ +*23112 _7995_ +*23113 _7996_ +*23114 _7997_ +*23115 _7998_ +*23116 _7999_ +*23117 _8000_ +*23118 _8001_ +*23119 _8002_ +*23120 _8003_ +*23121 _8004_ +*23122 _8005_ +*23123 _8006_ +*23124 _8007_ +*23125 _8008_ +*23126 _8009_ +*23127 _8010_ +*23128 _8011_ +*23129 _8012_ +*23130 _8013_ +*23131 _8014_ +*23132 _8015_ +*23133 _8016_ +*23134 _8017_ +*23135 _8018_ +*23136 _8019_ +*23137 _8020_ +*23138 _8021_ +*23139 _8022_ +*23140 _8023_ +*23141 _8024_ +*23142 _8025_ +*23143 _8026_ +*23144 _8027_ +*23145 _8028_ +*23146 _8029_ +*23147 _8030_ +*23148 _8031_ +*23149 _8032_ +*23150 _8033_ +*23151 _8034_ +*23152 _8035_ +*23153 _8036_ +*23154 _8037_ +*23155 _8038_ +*23156 _8039_ +*23157 _8040_ +*23158 _8041_ +*23159 _8042_ +*23160 _8043_ +*23161 _8044_ +*23162 _8045_ +*23163 _8046_ +*23164 _8047_ +*23165 _8048_ +*23166 _8049_ +*23167 _8050_ +*23168 _8051_ +*23169 _8052_ +*23170 _8053_ +*23171 _8054_ +*23172 _8055_ +*23173 _8056_ +*23174 _8057_ +*23175 _8058_ +*23176 _8059_ +*23177 _8060_ +*23178 _8061_ +*23179 _8062_ +*23180 _8063_ +*23181 _8064_ +*23182 _8065_ +*23183 _8066_ +*23184 _8067_ +*23185 _8068_ +*23186 _8069_ +*23187 _8070_ +*23188 _8071_ +*23189 _8072_ +*23190 _8073_ +*23191 _8074_ +*23192 _8075_ +*23193 _8076_ +*23194 _8077_ +*23195 _8078_ +*23196 _8079_ +*23197 _8080_ +*23198 _8081_ +*23199 _8082_ +*23200 _8083_ +*23201 _8084_ +*23202 _8085_ +*23203 _8086_ +*23204 _8087_ +*23205 _8088_ +*23206 _8089_ +*23207 _8090_ +*23208 _8091_ +*23209 _8092_ +*23210 _8093_ +*23211 _8094_ +*23212 _8095_ +*23213 _8096_ +*23214 _8097_ +*23215 _8098_ +*23216 _8099_ +*23217 _8100_ +*23218 _8101_ +*23219 _8102_ +*23220 _8103_ +*23221 _8104_ +*23222 _8105_ +*23223 _8106_ +*23224 _8107_ +*23225 _8108_ +*23226 _8109_ +*23227 _8110_ +*23228 _8111_ +*23229 _8112_ +*23230 _8113_ +*23231 _8114_ +*23232 _8115_ +*23233 _8116_ +*23234 _8117_ +*23235 _8118_ +*23236 _8119_ +*23237 _8120_ +*23238 _8121_ +*23239 _8122_ +*23240 _8123_ +*23241 _8124_ +*23242 _8125_ +*23243 _8126_ +*23244 _8127_ +*23245 _8128_ +*23246 _8129_ +*23247 _8130_ +*23248 _8131_ +*23249 _8132_ +*23250 _8133_ +*23251 _8134_ +*23252 _8135_ +*23253 _8136_ +*23254 _8137_ +*23255 _8138_ +*23256 _8139_ +*23257 _8140_ +*23258 _8141_ +*23259 _8142_ +*23260 _8143_ +*23261 _8144_ +*23262 _8145_ +*23263 _8146_ +*23264 _8147_ +*23265 _8148_ +*23266 _8149_ +*23267 _8150_ +*23268 _8151_ +*23269 _8152_ +*23270 _8153_ +*23271 _8154_ +*23272 _8155_ +*23273 _8156_ +*23274 _8157_ +*23275 _8158_ +*23276 _8159_ +*23277 _8160_ +*23278 _8161_ +*23279 _8162_ +*23280 _8163_ +*23281 _8164_ +*23282 _8165_ +*23283 _8166_ +*23284 _8167_ +*23285 _8168_ +*23286 _8169_ +*23287 _8170_ +*23288 _8171_ +*23289 _8172_ +*23290 _8173_ +*23291 _8174_ +*23292 _8175_ +*23293 _8176_ +*23294 _8177_ +*23295 _8178_ +*23296 _8179_ +*23297 _8180_ +*23298 _8181_ +*23299 _8182_ +*23300 _8183_ +*23301 _8184_ +*23302 _8185_ +*23303 _8186_ +*23304 _8187_ +*23305 _8188_ +*23306 _8189_ +*23307 _8190_ +*23308 _8191_ +*23309 _8192_ +*23310 _8193_ +*23311 _8194_ +*23312 _8195_ +*23313 _8196_ +*23314 _8197_ +*23315 _8198_ +*23316 _8199_ +*23317 _8200_ +*23318 _8201_ +*23319 _8202_ +*23320 _8203_ +*23321 _8204_ +*23322 _8205_ +*23323 _8206_ +*23324 _8207_ +*23325 _8208_ +*23326 _8209_ +*23327 _8210_ +*23328 _8211_ +*23329 _8212_ +*23330 _8213_ +*23331 _8214_ +*23332 _8215_ +*23333 _8216_ +*23334 _8217_ +*23335 _8218_ +*23336 _8219_ +*23337 _8220_ +*23338 _8221_ +*23339 _8222_ +*23340 _8223_ +*23341 _8224_ +*23342 _8225_ +*23343 _8226_ +*23344 _8227_ +*23345 _8228_ +*23346 _8229_ +*23347 _8230_ +*23348 _8231_ +*23349 _8232_ +*23350 _8233_ +*23351 _8234_ +*23352 _8235_ +*23353 _8236_ +*23354 _8237_ +*23355 _8238_ +*23356 _8239_ +*23357 _8240_ +*23358 _8241_ +*23359 _8242_ +*23360 _8243_ +*23361 _8244_ +*23362 _8245_ +*23363 _8246_ +*23364 _8247_ +*23365 _8248_ +*23366 _8249_ +*23367 _8250_ +*23368 _8251_ +*23369 _8252_ +*23370 _8253_ +*23371 _8254_ +*23372 _8255_ +*23373 _8256_ +*23374 _8257_ +*23375 _8258_ +*23376 _8259_ +*23377 _8260_ +*23378 _8261_ +*23379 _8262_ +*23380 _8263_ +*23381 _8264_ +*23382 _8265_ +*23383 _8266_ +*23384 _8267_ +*23385 _8268_ +*23386 _8269_ +*23387 _8270_ +*23388 _8271_ +*23389 _8272_ +*23390 _8273_ +*23391 _8274_ +*23392 _8275_ +*23393 _8276_ +*23394 _8277_ +*23395 _8278_ +*23396 _8279_ +*23397 _8280_ +*23398 _8281_ +*23399 _8282_ +*23400 _8283_ +*23401 _8284_ +*23402 _8285_ +*23403 _8286_ +*23404 _8287_ +*23405 _8288_ +*23406 _8289_ +*23407 _8290_ +*23408 _8291_ +*23409 _8292_ +*23410 _8293_ +*23411 _8294_ +*23412 _8295_ +*23413 _8296_ +*23414 _8297_ +*23415 _8298_ +*23416 _8299_ +*23417 _8300_ +*23418 _8301_ +*23419 _8302_ +*23420 _8303_ +*23421 _8304_ +*23422 _8305_ +*23423 _8306_ +*23424 _8307_ +*23425 _8308_ +*23426 _8309_ +*23427 _8310_ +*23428 _8311_ +*23429 _8312_ +*23430 _8313_ +*23431 _8314_ +*23432 _8315_ +*23433 _8316_ +*23434 _8317_ +*23435 _8318_ +*23436 _8319_ +*23437 _8320_ +*23438 _8321_ +*23439 _8322_ +*23440 _8323_ +*23441 _8324_ +*23442 _8325_ +*23443 _8326_ +*23444 _8327_ +*23445 _8328_ +*23446 _8329_ +*23447 _8330_ +*23448 _8331_ +*23449 _8332_ +*23450 _8333_ +*23451 _8334_ +*23452 _8335_ +*23453 _8336_ +*23454 _8337_ +*23455 _8338_ +*23456 _8339_ +*23457 _8340_ +*23458 _8341_ +*23459 _8342_ +*23460 _8343_ +*23461 _8344_ +*23462 _8345_ +*23463 _8346_ +*23464 _8347_ +*23465 _8348_ +*23466 _8349_ +*23467 _8350_ +*23468 _8351_ +*23469 _8352_ +*23470 _8353_ +*23471 _8354_ +*23472 _8355_ +*23473 _8356_ +*23474 _8357_ +*23475 _8358_ +*23476 _8359_ +*23477 _8360_ +*23478 _8361_ +*23479 _8362_ +*23480 _8363_ +*23481 _8364_ +*23482 _8365_ +*23483 _8366_ +*23484 _8367_ +*23485 _8368_ +*23486 _8369_ +*23487 _8370_ +*23488 _8371_ +*23489 _8372_ +*23490 _8373_ +*23491 _8374_ +*23492 _8375_ +*23493 _8376_ +*23494 _8377_ +*23495 _8378_ +*23496 _8379_ +*23497 _8380_ +*23498 _8381_ +*23499 _8382_ +*23500 _8383_ +*23501 _8384_ +*23502 _8385_ +*23503 _8386_ +*23504 _8387_ +*23505 _8388_ +*23506 _8389_ +*23507 _8390_ +*23508 _8391_ +*23509 _8392_ +*23510 _8393_ +*23511 _8394_ +*23512 _8395_ +*23513 _8396_ +*23514 _8397_ +*23515 _8398_ +*23516 _8399_ +*23517 _8400_ +*23518 _8401_ +*23519 _8402_ +*23520 _8403_ +*23521 _8404_ +*23522 _8405_ +*23523 _8406_ +*23524 _8407_ +*23525 _8408_ +*23526 _8409_ +*23527 _8410_ +*23528 _8411_ +*23529 _8412_ +*23530 _8413_ +*23531 _8414_ +*23532 _8415_ +*23533 _8416_ +*23534 _8417_ +*23535 _8418_ +*23536 _8419_ +*23537 _8420_ +*23538 _8421_ +*23539 _8422_ +*23540 _8423_ +*23541 _8424_ +*23542 _8425_ +*23543 _8426_ +*23544 _8427_ +*23545 _8428_ +*23546 _8429_ +*23547 _8430_ +*23548 _8431_ +*23549 _8432_ +*23550 _8433_ +*23551 _8434_ +*23552 _8435_ +*23553 _8436_ +*23554 _8437_ +*23555 _8438_ +*23556 _8439_ +*23557 _8440_ +*23558 _8441_ +*23559 _8442_ +*23560 _8443_ +*23561 _8444_ +*23562 _8445_ +*23563 _8446_ +*23564 _8447_ +*23565 _8448_ +*23566 _8449_ +*23567 _8450_ +*23568 _8451_ +*23569 _8452_ +*23570 _8453_ +*23571 _8454_ +*23572 _8455_ +*23573 _8456_ +*23574 _8457_ +*23575 _8458_ +*23576 _8459_ +*23577 _8460_ +*23578 _8461_ +*23579 _8462_ +*23580 _8463_ +*23581 _8464_ +*23582 _8465_ +*23583 _8466_ +*23584 _8467_ +*23585 _8468_ +*23586 _8469_ +*23587 _8470_ +*23588 _8471_ +*23589 _8472_ +*23590 _8473_ +*23591 _8474_ +*23592 _8475_ +*23593 _8476_ +*23594 _8477_ +*23595 _8478_ +*23596 _8479_ +*23597 _8480_ +*23598 _8481_ +*23599 _8482_ +*23600 _8483_ +*23601 _8484_ +*23602 _8485_ +*23603 _8486_ +*23604 _8487_ +*23605 _8488_ +*23606 _8489_ +*23607 _8490_ +*23608 _8491_ +*23609 _8492_ +*23610 _8493_ +*23611 _8494_ +*23612 _8495_ +*23613 _8496_ +*23614 _8497_ +*23615 _8498_ +*23616 _8499_ +*23617 _8500_ +*23618 _8501_ +*23619 _8502_ +*23620 _8503_ +*23621 _8504_ +*23622 _8505_ +*23623 _8506_ +*23624 _8507_ +*23625 _8508_ +*23626 _8509_ +*23627 _8510_ +*23628 _8511_ +*23629 _8512_ +*23630 _8513_ +*23631 _8514_ +*23632 _8515_ +*23633 _8516_ +*23634 _8517_ +*23635 _8518_ +*23636 _8519_ +*23637 _8520_ +*23638 _8521_ +*23639 _8522_ +*23640 _8523_ +*23641 _8524_ +*23642 _8525_ +*23643 _8526_ +*23644 _8527_ +*23645 _8528_ +*23646 _8529_ +*23647 _8530_ +*23648 _8531_ +*23649 _8532_ +*23650 _8533_ +*23651 _8534_ +*23652 _8535_ +*23653 _8536_ +*23654 _8537_ +*23655 _8538_ +*23656 _8539_ +*23657 _8540_ +*23658 _8541_ +*23659 _8542_ +*23660 _8543_ +*23661 _8544_ +*23662 _8545_ +*23663 _8546_ +*23664 _8547_ +*23665 _8548_ +*23666 _8549_ +*23667 _8550_ +*23668 _8551_ +*23669 _8552_ +*23670 _8553_ +*23671 _8554_ +*23672 _8555_ +*23673 _8556_ +*23674 _8557_ +*23675 _8558_ +*23676 _8559_ +*23677 _8560_ +*23678 _8561_ +*23679 _8562_ +*23680 _8563_ +*23681 _8564_ +*23682 _8565_ +*23683 _8566_ +*23684 _8567_ +*23685 _8568_ +*23686 _8569_ +*23687 _8570_ +*23688 _8571_ +*23689 _8572_ +*23690 _8573_ +*23691 _8574_ +*23692 _8575_ +*23693 _8576_ +*23694 _8577_ +*23695 _8578_ +*23696 _8579_ +*23697 _8580_ +*23698 _8581_ +*23699 _8582_ +*23700 _8583_ +*23701 _8584_ +*23702 _8585_ +*23703 _8586_ +*23704 _8587_ +*23705 _8588_ +*23706 _8589_ +*23707 _8590_ +*23708 _8591_ +*23709 _8592_ +*23710 _8593_ +*23711 _8594_ +*23712 _8595_ +*23713 _8596_ +*23714 _8597_ +*23715 _8598_ +*23716 _8599_ +*23717 _8600_ +*23718 _8601_ +*23719 _8602_ +*23720 _8603_ +*23721 _8604_ +*23722 _8605_ +*23723 _8606_ +*23724 _8607_ +*23725 _8608_ +*23726 _8609_ +*23727 _8610_ +*23728 _8611_ +*23729 _8612_ +*23730 _8613_ +*23731 _8614_ +*23732 _8615_ +*23733 _8616_ +*23734 _8617_ +*23735 _8618_ +*23736 _8619_ +*23737 _8620_ +*23738 _8621_ +*23739 _8622_ +*23740 _8623_ +*23741 _8624_ +*23742 _8625_ +*23743 _8626_ +*23744 _8627_ +*23745 _8628_ +*23746 _8629_ +*23747 _8630_ +*23748 _8631_ +*23749 _8632_ +*23750 _8633_ +*23751 _8634_ +*23752 _8635_ +*23753 _8636_ +*23754 _8637_ +*23755 _8638_ +*23756 _8639_ +*23757 _8640_ +*23758 _8641_ +*23759 _8642_ +*23760 _8643_ +*23761 _8644_ +*23762 _8645_ +*23763 _8646_ +*23764 _8647_ +*23765 _8648_ +*23766 _8649_ +*23767 _8650_ +*23768 _8651_ +*23769 _8652_ +*23770 _8653_ +*23771 _8654_ +*23772 _8655_ +*23773 _8656_ +*23774 _8657_ +*23775 _8658_ +*23776 _8659_ +*23777 _8660_ +*23778 _8661_ +*23779 _8662_ +*23780 _8663_ +*23781 _8664_ +*23782 _8665_ +*23783 _8666_ +*23784 _8667_ +*23785 _8668_ +*23786 _8669_ +*23787 _8670_ +*23788 _8671_ +*23789 _8672_ +*23790 _8673_ +*23791 _8674_ +*23792 _8675_ +*23793 _8676_ +*23794 _8677_ +*23795 _8678_ +*23796 _8679_ +*23797 _8680_ +*23798 _8681_ +*23799 _8682_ +*23800 _8683_ +*23801 _8684_ +*23802 _8685_ +*23803 _8686_ +*23804 _8687_ +*23805 _8688_ +*23806 _8689_ +*23807 _8690_ +*23808 _8691_ +*23809 _8692_ +*23810 _8693_ +*23811 _8694_ +*23812 _8695_ +*23813 _8696_ +*23814 _8697_ +*23815 _8698_ +*23816 _8699_ +*23817 _8700_ +*23818 _8701_ +*23819 _8702_ +*23820 _8703_ +*23821 _8704_ +*23822 _8705_ +*23823 _8706_ +*23824 _8707_ +*23825 _8708_ +*23826 _8709_ +*23827 _8710_ +*23828 _8711_ +*23829 _8712_ +*23830 _8713_ +*23831 _8714_ +*23832 _8715_ +*23833 _8716_ +*23834 _8717_ +*23835 _8718_ +*23836 _8719_ +*23837 _8720_ +*23838 _8721_ +*23839 _8722_ +*23840 _8723_ +*23841 _8724_ +*23842 _8725_ +*23843 _8726_ +*23844 _8727_ +*23845 _8728_ +*23846 _8729_ +*23847 _8730_ +*23848 _8731_ +*23849 _8732_ +*23850 _8733_ +*23851 _8734_ +*23852 _8735_ +*23853 _8736_ +*23854 _8737_ +*23855 _8738_ +*23856 _8739_ +*23857 _8740_ +*23858 _8741_ +*23859 _8742_ +*23860 _8743_ +*23861 _8744_ +*23862 _8745_ +*23863 _8746_ +*23864 _8747_ +*23865 _8748_ +*23866 _8749_ +*23867 _8750_ +*23868 _8751_ +*23869 _8752_ +*23870 _8753_ +*23871 _8754_ +*23872 _8755_ +*23873 _8756_ +*23874 _8757_ +*23875 _8758_ +*23876 _8759_ +*23877 _8760_ +*23878 _8761_ +*23879 _8762_ +*23880 _8763_ +*23881 _8764_ +*23882 _8765_ +*23883 _8766_ +*23884 _8767_ +*23885 _8768_ +*23886 _8769_ +*23887 _8770_ +*23888 _8771_ +*23889 _8772_ +*23890 _8773_ +*23891 _8774_ +*23892 _8775_ +*23893 _8776_ +*23894 _8777_ +*23895 _8778_ +*23896 _8779_ +*23897 _8780_ +*23898 _8781_ +*23899 _8782_ +*23900 _8783_ +*23901 _8784_ +*23902 _8785_ +*23903 _8786_ +*23904 _8787_ +*23905 _8788_ +*23906 _8789_ +*23907 _8790_ +*23908 _8791_ +*23909 _8792_ +*23910 _8793_ +*23911 _8794_ +*23912 _8795_ +*23913 _8796_ +*23914 _8797_ +*23915 _8798_ +*23916 _8799_ +*23917 _8800_ +*23918 _8801_ +*23919 _8802_ +*23920 _8803_ +*23921 _8804_ +*23922 _8805_ +*23923 _8806_ +*23924 _8807_ +*23925 _8808_ +*23926 _8809_ +*23927 _8810_ +*23928 _8811_ +*23929 _8812_ +*23930 _8813_ +*23931 _8814_ +*23932 _8815__378 +*23933 _8816_ +*23934 _8817_ +*23935 _8818_ +*23936 _8819_ +*23937 _8820_ +*23938 _8821_ +*23939 _8822_ +*23940 _8823_ +*23941 _8824_ +*23942 _8825_ +*23943 _8826_ +*23944 _8827_ +*23945 _8828_ +*23946 _8829_ +*23947 _8830_ +*23948 _8831_ +*23949 _8832_ +*23950 _8833_ +*23951 _8834_ +*23952 _8835_ +*23953 _8836_ +*23954 _8837_ +*23955 _8838_ +*23956 _8839_ +*23957 _8840_ +*23958 _8841_ +*23959 _8842_ +*23960 _8843_ +*23961 _8844_ +*23962 _8845_ +*23963 _8846_ +*23964 _8847_ +*23965 _8848_ +*23966 _8849_ +*23967 _8850_ +*23968 _8851_ +*23969 _8852_ +*23970 _8853_ +*23971 _8854_ +*23972 _8855_ +*23973 _8856_ +*23974 _8857_ +*23975 _8858_ +*23976 _8859_ +*23977 _8860_ +*23978 _8861_ +*23979 _8862_ +*23980 _8863_ +*23981 _8864_ +*23982 _8865_ +*23983 _8866_ +*23984 _8867_ +*23985 _8868_ +*23986 _8869_ +*23987 _8870_ +*23988 _8871_ +*23989 _8872_ +*23990 _8873_ +*23991 _8874_ +*23992 _8875_ +*23993 _8876_ +*23994 _8877_ +*23995 _8878_ +*23996 _8879_ +*23997 _8880_ +*23998 _8881_ +*23999 _8882_ +*24000 _8883_ +*24001 _8884_ +*24002 _8885_ +*24003 _8886_ +*24004 _8887_ +*24005 _8888_ +*24006 _8889_ +*24007 _8890_ +*24008 _8891_ +*24009 _8892_ +*24010 _8893_ +*24011 _8894_ +*24012 _8895_ +*24013 _8896_ +*24014 _8897_ +*24015 _8898_ +*24016 _8899_ +*24017 _8900_ +*24018 _8901_ +*24019 _8902_ +*24020 _8903_ +*24021 _8904_ +*24022 _8905_ +*24023 _8906_ +*24024 _8907_ +*24025 _8908_ +*24026 _8909_ +*24027 _8910_ +*24028 _8911_ +*24029 _8912_ +*24030 _8913_ +*24031 _8914_ +*24032 _8915_ +*24033 _8916_ +*24034 _8917_ +*24035 _8918_ +*24036 _8919_ +*24037 _8920_ +*24038 _8921_ +*24039 _8922_ +*24040 _8923_ +*24041 _8924_ +*24042 _8925_ +*24043 _8926_ +*24044 _8927_ +*24045 _8928_ +*24046 _8929_ +*24047 _8930_ +*24048 _8931_ +*24049 _8932_ +*24050 _8933_ +*24051 _8934_ +*24052 _8935_ +*24053 _8936_ +*24054 _8937_ +*24055 _8938_ +*24056 _8939_ +*24057 _8940_ +*24058 _8941_ +*24059 _8942_ +*24060 _8943_ +*24061 _8944_ +*24062 _8945_ +*24063 _8946_ +*24064 _8947_ +*24065 _8948_ +*24066 _8949_ +*24067 _8950_ +*24068 _8951_ +*24069 _8952_ +*24070 _8953_ +*24071 _8954_ +*24072 _8955_ +*24073 _8956_ +*24074 _8957_ +*24075 _8958_ +*24076 _8959_ +*24077 _8960_ +*24078 _8961_ +*24079 _8962_ +*24080 _8963_ +*24081 _8964_ +*24082 _8965_ +*24083 _8966_ +*24084 _8967_ +*24085 _8968_ +*24086 _8969_ +*24087 _8970_ +*24088 _8971_ +*24089 _8972_ +*24090 _8973_ +*24091 _8974_ +*24092 _8975_ +*24093 _8976_ +*24094 _8977_ +*24095 _8978_ +*24096 _8979_ +*24097 _8980_ +*24098 _8981_ +*24099 _8982_ +*24100 _8983_ +*24101 _8984_ +*24102 _8985_ +*24103 _8986_ +*24104 _8987_ +*24105 _8988_ +*24106 _8989_ +*24107 _8990_ +*24108 _8991_ +*24109 _8992_ +*24110 _8993_ +*24111 _8994_ +*24112 _8995_ +*24113 _8996_ +*24114 _8997_ +*24115 _8998_ +*24116 _8999_ +*24117 _9000_ +*24118 _9001_ +*24119 _9002_ +*24120 _9003_ +*24121 _9004_ +*24122 _9005_ +*24123 _9006_ +*24124 _9007_ +*24125 _9008_ +*24126 _9009_ +*24127 _9010_ +*24128 _9011_ +*24129 _9012_ +*24130 _9013_ +*24131 _9014_ +*24132 _9015_ +*24133 _9016_ +*24134 _9017_ +*24135 _9018_ +*24136 _9019_ +*24137 _9020_ +*24138 _9021_ +*24139 _9022_ +*24140 _9023_ +*24141 _9024_ +*24142 _9025_ +*24143 _9026_ +*24144 _9027_ +*24145 _9028_ +*24146 _9029_ +*24147 _9030_ +*24148 _9031_ +*24149 _9032_ +*24150 _9033_ +*24151 _9034_ +*24152 _9035_ +*24153 _9036_ +*24154 _9037_ +*24155 _9038_ +*24156 _9039_ +*24157 _9040_ +*24158 _9041_ +*24159 _9042_ +*24160 _9043_ +*24161 _9044_ +*24162 _9045_ +*24163 _9046_ +*24164 _9047_ +*24165 _9048_ +*24166 _9049_ +*24167 _9050_ +*24168 _9051_ +*24169 _9052_ +*24170 _9053_ +*24171 _9054_ +*24172 _9055_ +*24173 _9056_ +*24174 _9057_ +*24175 _9058_ +*24176 _9059_ +*24177 _9060_ +*24178 _9061_ +*24179 _9062_ +*24180 _9063_ +*24181 _9064_ +*24182 _9065_ +*24183 _9066_ +*24184 _9067_ +*24185 _9068_ +*24186 _9069_ +*24187 _9070_ +*24188 _9071_ +*24189 _9072_ +*24190 _9073_ +*24191 _9074_ +*24192 _9075_ +*24193 _9076_ +*24194 _9077_ +*24195 _9078_ +*24196 _9079_ +*24197 _9080_ +*24198 _9081_ +*24199 _9082_ +*24200 _9083_ +*24201 _9084_ +*24202 _9085_ +*24203 _9086_ +*24204 _9087_ +*24205 _9088_ +*24206 _9089_ +*24207 _9090_ +*24208 _9091_ +*24209 _9092_ +*24210 _9093_ +*24211 _9094_ +*24212 _9095_ +*24213 _9096_ +*24214 _9097_ +*24215 _9098_ +*24216 _9099_ +*24217 _9100_ +*24218 _9101_ +*24219 _9102_ +*24220 _9103_ +*24221 _9104_ +*24222 _9105_ +*24223 _9106_ +*24224 _9107_ +*24225 _9108_ +*24226 _9109_ +*24227 _9110_ +*24228 _9111_ +*24229 _9112_ +*24230 _9113_ +*24231 _9114_ +*24232 _9115_ +*24233 _9116_ +*24234 _9117_ +*24235 _9118_ +*24236 _9119_ +*24237 _9120_ +*24238 _9121_ +*24239 _9122_ +*24240 _9123_ +*24241 _9124_ +*24242 _9125_ +*24243 _9126_ +*24244 _9127_ +*24245 _9128_ +*24246 _9129_ +*24247 _9130_ +*24248 _9131_ +*24249 _9132_ +*24250 _9133_ +*24251 _9134_ +*24252 _9135_ +*24253 _9136_ +*24254 _9137_ +*24255 _9138_ +*24256 _9139_ +*24257 _9140_ +*24258 _9141_ +*24259 _9142_ +*24260 _9143_ +*24261 _9144_ +*24262 _9145_ +*24263 _9146_ +*24264 _9147_ +*24265 _9148_ +*24266 _9149_ +*24267 _9150_ +*24268 _9151_ +*24269 _9152_ +*24270 _9153_ +*24271 _9154_ +*24272 _9155_ +*24273 _9156_ +*24274 _9157_ +*24275 _9158_ +*24276 _9159_ +*24277 _9160_ +*24278 _9161_ +*24279 _9162_ +*24280 _9163_ +*24281 _9164_ +*24282 _9165_ +*24283 _9166_ +*24284 _9167_ +*24285 _9168_ +*24286 _9169_ +*24287 _9170_ +*24288 _9171_ +*24289 _9172_ +*24290 _9173_ +*24291 _9174_ +*24292 _9175_ +*24293 _9176_ +*24294 _9177_ +*24295 _9178_ +*24296 _9179_ +*24297 _9180_ +*24298 _9181_ +*24299 _9182_ +*24300 _9183_ +*24301 _9184_ +*24302 _9185_ +*24303 _9186_ +*24304 _9187_ +*24305 _9188_ +*24306 _9189_ +*24307 _9190_ +*24308 _9191_ +*24309 _9192_ +*24310 _9193_ +*24311 _9194_ +*24312 _9195_ +*24313 _9196_ +*24314 _9197_ +*24315 _9198_ +*24316 _9199_ +*24317 _9200_ +*24318 _9201_ +*24319 _9202_ +*24320 _9203_ +*24321 _9204_ +*24322 _9205_ +*24323 _9206_ +*24324 _9207_ +*24325 _9208_ +*24326 _9209_ +*24327 _9210_ +*24328 _9211_ +*24329 _9212_ +*24330 _9213_ +*24331 _9214_ +*24332 _9215_ +*24333 _9216_ +*24334 _9217_ +*24335 _9218_ +*24336 _9219_ +*24337 _9220_ +*24338 _9221_ +*24339 _9222_ +*24340 _9223_ +*24341 _9224_ +*24342 _9225_ +*24343 _9226_ +*24344 _9227_ +*24345 _9228_ +*24346 _9229_ +*24347 _9230_ +*24348 _9231_ +*24349 _9232_ +*24350 _9233_ +*24351 _9234_ +*24352 _9235_ +*24353 _9236_ +*24354 _9237_ +*24355 _9238_ +*24356 _9239_ +*24357 _9240_ +*24358 _9241_ +*24359 _9242_ +*24360 _9243_ +*24361 _9244_ +*24362 _9245_ +*24363 _9246_ +*24364 _9247_ +*24365 _9248_ +*24366 _9249_ +*24367 _9250_ +*24368 _9251_ +*24369 _9252_ +*24370 _9253_ +*24371 _9254_ +*24372 _9255_ +*24373 _9256_ +*24374 _9257_ +*24375 _9258_ +*24376 _9259_ +*24377 _9260_ +*24378 _9261_ +*24379 _9262_ +*24380 _9263_ +*24381 _9264_ +*24382 _9265_ +*24383 _9266_ +*24384 _9267_ +*24385 _9268_ +*24386 _9269_ +*24387 _9270_ +*24388 _9271_ +*24389 _9272_ +*24390 _9273_ +*24391 _9274_ +*24392 _9275_ +*24393 _9276_ +*24394 _9277_ +*24395 _9278_ +*24396 _9279_ +*24397 _9280_ +*24398 _9281_ +*24399 _9282_ +*24400 _9283_ +*24401 _9284_ +*24402 _9285_ +*24403 _9286_ +*24404 _9287_ +*24405 _9288_ +*24406 _9289_ +*24407 _9290_ +*24408 _9291_ +*24409 _9292_ +*24410 _9293_ +*24411 _9294_ +*24412 _9295_ +*24413 _9296_ +*24414 _9297_ +*24415 _9298_ +*24416 _9299_ +*24417 _9300_ +*24418 _9301_ +*24419 _9302_ +*24420 _9303_ +*24421 _9304_ +*24422 _9305_ +*24423 _9306_ +*24424 _9307_ +*24425 _9308_ +*24426 _9309_ +*24427 _9310_ +*24428 _9311_ +*24429 _9312_ +*24430 _9313_ +*24431 _9314_ +*24432 _9315_ +*24433 _9316_ +*24434 _9317_ +*24435 _9318_ +*24436 _9319_ +*24437 _9320_ +*24438 _9321_ +*24439 _9322_ +*24440 _9323_ +*24441 _9324_ +*24442 _9325_ +*24443 _9326_ +*24444 _9327_ +*24445 _9328_ +*24446 _9329_ +*24447 _9330_ +*24448 _9331_ +*24449 _9332_ +*24450 _9333_ +*24451 _9334_ +*24452 _9335_ +*24453 _9336_ +*24454 _9337_ +*24455 _9338_ +*24456 _9339_ +*24457 _9340_ +*24458 _9341_ +*24459 _9342_ +*24460 _9343_ +*24461 _9344_ +*24462 _9345_ +*24463 _9346_ +*24464 _9347_ +*24465 _9348_ +*24466 _9349_ +*24467 _9350_ +*24468 _9351_ +*24469 _9352_ +*24470 _9353_ +*24471 _9354_ +*24472 _9355_ +*24473 _9356_ +*24474 _9357_ +*24475 _9358_ +*24476 _9359_ +*24477 _9360_ +*24478 _9361_ +*24479 _9362_ +*24480 _9363_ +*24481 _9364_ +*24482 _9365_ +*24483 _9366_ +*24484 _9367_ +*24485 _9368_ +*24486 _9369_ +*24487 _9370_ +*24488 _9371_ +*24489 _9372_ +*24490 _9373_ +*24491 _9374_ +*24492 _9375_ +*24493 _9376_ +*24494 _9377_ +*24495 _9378_ +*24496 _9379_ +*24497 _9380_ +*24498 _9381_ +*24499 _9382_ +*24500 _9383_ +*24501 _9384_ +*24502 _9385_ +*24503 _9386_ +*24504 _9387_ +*24505 _9388_ +*24506 _9389_ +*24507 _9390_ +*24508 _9391_ +*24509 _9392_ +*24510 _9393_ +*24511 _9394_ +*24512 _9395_ +*24513 _9396_ +*24514 _9397_ +*24515 _9398_ +*24516 _9399_ +*24517 _9400_ +*24518 _9401_ +*24519 _9402_ +*24520 _9403_ +*24521 _9404_ +*24522 _9405_ +*24523 _9406_ +*24524 _9407_ +*24525 _9408_ +*24526 _9409_ +*24527 _9410_ +*24528 _9411_ +*24529 _9412_ +*24530 _9413_ +*24531 _9414_ +*24532 _9415_ +*24533 _9416_ +*24534 _9417_ +*24535 _9418_ +*24536 _9419_ +*24537 _9420_ +*24538 _9421_ +*24539 _9422_ +*24540 _9423_ +*24541 _9424_ +*24542 _9425_ +*24543 _9426_ +*24544 _9427_ +*24545 _9428_ +*24546 _9429_ +*24547 _9430_ +*24548 _9431_ +*24549 _9432_ +*24550 _9433_ +*24551 _9434_ +*24552 _9435_ +*24553 _9436_ +*24554 _9437_ +*24555 _9438_ +*24556 _9439_ +*24557 _9440_ +*24558 _9441_ +*24559 _9442_ +*24560 _9443_ +*24561 _9444_ +*24562 _9445_ +*24563 _9446_ +*24564 _9447_ +*24565 _9448_ +*24566 _9449_ +*24567 _9450_ +*24568 _9451_ +*24569 _9452_ +*24570 _9453_ +*24571 _9454_ +*24572 _9455_ +*24573 _9456_ +*24574 _9457_ +*24575 _9458_ +*24576 _9459_ +*24577 _9460_ +*24578 _9461_ +*24579 _9462_ +*24580 _9463_ +*24581 _9464_ +*24582 _9465_ +*24583 _9466_ +*24584 _9467_ +*24585 _9468_ +*24586 _9469_ +*24587 _9470_ +*24588 _9471_ +*24589 _9472_ +*24590 _9473_ +*24591 _9474_ +*24592 _9475_ +*24593 _9476_ +*24594 _9477_ +*24595 _9478_ +*24596 _9479_ +*24597 _9480_ +*24598 _9481_ +*24599 _9482_ +*24600 _9483_ +*24601 _9484_ +*24602 _9485_ +*24603 _9486_ +*24604 _9487_ +*24605 _9488_ +*24606 _9489_ +*24607 _9490_ +*24608 _9491_ +*24609 _9492_ +*24610 _9493_ +*24611 _9494_ +*24612 _9495_ +*24613 _9496_ +*24614 _9497_ +*24615 _9498_ +*24616 _9499_ +*24617 _9500_ +*24618 _9501_ +*24619 _9502_ +*24620 _9503_ +*24621 _9504_ +*24622 _9505_ +*24623 _9506_ +*24624 _9507_ +*24625 _9508_ +*24626 _9509_ +*24627 _9510_ +*24628 _9511_ +*24629 _9512_ +*24630 _9513_ +*24631 _9514_ +*24632 _9515_ +*24633 _9516_ +*24634 _9517_ +*24635 _9518_ +*24636 _9519_ +*24637 _9520_ +*24638 _9521_ +*24639 _9522_ +*24640 _9523_ +*24641 _9524_ +*24642 _9525_ +*24643 _9526_ +*24644 _9527_ +*24645 _9528_ +*24646 _9529_ +*24647 _9530_ +*24648 _9531_ +*24649 _9532_ +*24650 _9533_ +*24651 _9534_ +*24652 _9535_ +*24653 _9536_ +*24654 _9537_ +*24655 _9538_ +*24656 _9539_ +*24657 _9540_ +*24658 _9541_ +*24659 _9542_ +*24660 _9543_ +*24661 _9544_ +*24662 _9545_ +*24663 _9546_ +*24664 _9547_ +*24665 _9548_ +*24666 _9549_ +*24667 _9550_ +*24668 _9551_ +*24669 _9552_ +*24670 _9553_ +*24671 _9554_ +*24672 _9555_ +*24673 _9556_ +*24674 _9557_ +*24675 _9558_ +*24676 _9559_ +*24677 _9560_ +*24678 _9561_ +*24679 _9562_ +*24680 _9563_ +*24681 _9564_ +*24682 _9565_ +*24683 _9566_ +*24684 _9567_ +*24685 _9568_ +*24686 _9569_ +*24687 _9570_ +*24688 _9571_ +*24689 _9572_ +*24690 _9573_ +*24691 _9574_ +*24692 _9575_ +*24693 _9576_ +*24694 _9577_ +*24695 _9578_ +*24696 _9579_ +*24697 _9580_ +*24698 _9581_ +*24699 _9582_ +*24700 _9583_ +*24701 _9584_ +*24702 _9585_ +*24703 _9586_ +*24704 _9587_ +*24705 _9588_ +*24706 _9589_ +*24707 _9590_ +*24708 _9591_ +*24709 _9592_ +*24710 _9593_ +*24711 _9594_ +*24712 _9595_ +*24713 _9596_ +*24714 _9597_ +*24715 _9598_ +*24716 _9599_ +*24717 _9600_ +*24718 _9601_ +*24719 _9602_ +*24720 _9603_ +*24721 _9604_ +*24722 _9605_ +*24723 _9606_ +*24724 _9607_ +*24725 _9608_ +*24726 _9609_ +*24727 _9610_ +*24728 _9611_ +*24729 _9612_ +*24730 _9613_ +*24731 _9614_ +*24732 _9615_ +*24733 _9616_ +*24734 _9617_ +*24735 _9618_ +*24736 _9619_ +*24737 _9620_ +*24738 _9621_ +*24739 _9622_ +*24740 _9623_ +*24741 _9624_ +*24742 _9625_ +*24743 _9626_ +*24744 _9627_ +*24745 _9628_ +*24746 _9629_ +*24747 _9630_ +*24748 _9631_ +*24749 _9632_ +*24750 _9633_ +*24751 _9634_ +*24752 _9635_ +*24753 _9636_ +*24754 _9637_ +*24755 _9638_ +*24756 _9639_ +*24757 _9640_ +*24758 _9641_ +*24759 _9642_ +*24760 _9643_ +*24761 _9644_ +*24762 _9645_ +*24763 _9646_ +*24764 _9647_ +*24765 _9648_ +*24766 _9649_ +*24767 _9650_ +*24768 _9651_ +*24769 _9652_ +*24770 _9653_ +*24771 _9654_ +*24772 _9655_ +*24773 _9656_ +*24774 _9657_ +*24775 _9658_ +*24776 _9659_ +*24777 _9660_ +*24778 _9661_ +*24779 _9662_ +*24780 _9663_ +*24781 _9664_ +*24782 _9665_ +*24783 _9666_ +*24784 _9667_ +*24785 _9668_ +*24786 _9669_ +*24787 _9670_ +*24788 _9671_ +*24789 _9672_ +*24790 _9673_ +*24791 _9674_ +*24792 _9675_ +*24793 _9676_ +*24794 _9677_ +*24795 _9678_ +*24796 _9679_ +*24797 _9680_ +*24798 _9681_ +*24799 _9682_ +*24800 _9683_ +*24801 _9684_ +*24802 _9685_ +*24803 _9686_ +*24804 _9687_ +*24805 _9688_ +*24806 _9689_ +*24807 _9690_ +*24808 _9691_ +*24809 _9692_ +*24810 _9693_ +*24811 _9694_ +*24812 _9695_ +*24813 _9696_ +*24814 _9697_ +*24815 _9698_ +*24816 _9699_ +*24817 _9700_ +*24818 _9701_ +*24819 _9702_ +*24820 _9703_ +*24821 _9704_ +*24822 _9705_ +*24823 _9706_ +*24824 _9707_ +*24825 _9708_ +*24826 _9709_ +*24827 _9710_ +*24828 _9711_ +*24829 _9712_ +*24830 _9713_ +*24831 _9714_ +*24832 _9715_ +*24833 _9716_ +*24834 _9717_ +*24835 _9718_ +*24836 _9719_ +*24837 _9720_ +*24838 _9721_ +*24839 _9722_ +*24840 _9723_ +*24841 _9724_ +*24842 _9725_ +*24843 _9726_ +*24844 _9727_ +*24845 _9728_ +*24846 _9729_ +*24847 _9730_ +*24848 _9731_ +*24849 _9732_ +*24850 _9733_ +*24851 _9734_ +*24852 _9735_ +*24853 _9736_ +*24854 _9737_ +*24855 _9738_ +*24856 _9739_ +*24857 _9740_ +*24858 _9741_ +*24859 _9742_ +*24860 _9743_ +*24861 _9744_ +*24862 _9745_ +*24863 _9746_ +*24864 _9747_ +*24865 _9748_ +*24866 _9749_ +*24867 _9750_ +*24868 _9751_ +*24869 _9752_ +*24870 _9753_ +*24871 _9754_ +*24872 _9755_ +*24873 _9756_ +*24874 _9757_ +*24875 _9758_ +*24876 _9759_ +*24877 _9760_ +*24878 _9761_ +*24879 _9762_ +*24880 _9763_ +*24881 _9764_ +*24882 _9765_ +*24883 _9766_ +*24884 _9767_ +*24885 _9768_ +*24886 _9769_ +*24887 _9770_ +*24888 _9771_ +*24889 _9772_ +*24890 _9773_ +*24891 _9774_ +*24892 _9775_ +*24893 _9776_ +*24894 _9777_ +*24895 _9778_ +*24896 _9779_ +*24897 _9780_ +*24898 _9781_ +*24899 _9782_ +*24900 _9783_ +*24901 _9784_ +*24902 _9785_ +*24903 _9786_ +*24904 _9787_ +*24905 _9788_ +*24906 _9789_ +*24907 _9790_ +*24908 _9791_ +*24909 clkbuf_0_csclk +*24910 clkbuf_0_mgmt_gpio_in\[4\] +*24911 clkbuf_0_wb_clk_i +*24912 clkbuf_1_0_0_csclk +*24913 clkbuf_1_0_0_mgmt_gpio_in\[4\] +*24914 clkbuf_1_0_0_wb_clk_i +*24915 clkbuf_1_0_1_csclk +*24916 clkbuf_1_0_1_mgmt_gpio_in\[4\] +*24917 clkbuf_1_0_1_wb_clk_i +*24918 clkbuf_1_1_0_csclk +*24919 clkbuf_1_1_0_mgmt_gpio_in\[4\] +*24920 clkbuf_1_1_0_wb_clk_i +*24921 clkbuf_1_1_1_csclk +*24922 clkbuf_1_1_1_mgmt_gpio_in\[4\] +*24923 clkbuf_1_1_1_wb_clk_i +*24924 clkbuf_2_0_0_csclk +*24925 clkbuf_2_0_0_mgmt_gpio_in\[4\] +*24926 clkbuf_2_0_0_wb_clk_i +*24927 clkbuf_2_1_0_csclk +*24928 clkbuf_2_1_0_mgmt_gpio_in\[4\] +*24929 clkbuf_2_1_0_wb_clk_i +*24930 clkbuf_2_2_0_csclk +*24931 clkbuf_2_2_0_mgmt_gpio_in\[4\] +*24932 clkbuf_2_2_0_wb_clk_i +*24933 clkbuf_2_3_0_csclk +*24934 clkbuf_2_3_0_mgmt_gpio_in\[4\] +*24935 clkbuf_2_3_0_wb_clk_i +*24936 clkbuf_3_0_0_wb_clk_i +*24937 clkbuf_3_1_0_wb_clk_i +*24938 clkbuf_3_2_0_wb_clk_i +*24939 clkbuf_3_3_0_wb_clk_i +*24940 clkbuf_3_4_0_wb_clk_i +*24941 clkbuf_3_5_0_wb_clk_i +*24942 clkbuf_3_6_0_wb_clk_i +*24943 clkbuf_3_7_0_wb_clk_i +*24944 clkbuf_leaf_0_csclk +*24945 clkbuf_leaf_10_csclk +*24946 clkbuf_leaf_11_csclk +*24947 clkbuf_leaf_12_csclk +*24948 clkbuf_leaf_13_csclk +*24949 clkbuf_leaf_14_csclk +*24950 clkbuf_leaf_15_csclk +*24951 clkbuf_leaf_16_csclk +*24952 clkbuf_leaf_17_csclk +*24953 clkbuf_leaf_18_csclk +*24954 clkbuf_leaf_19_csclk +*24955 clkbuf_leaf_1_csclk +*24956 clkbuf_leaf_20_csclk +*24957 clkbuf_leaf_21_csclk +*24958 clkbuf_leaf_22_csclk +*24959 clkbuf_leaf_23_csclk +*24960 clkbuf_leaf_24_csclk +*24961 clkbuf_leaf_25_csclk +*24962 clkbuf_leaf_26_csclk +*24963 clkbuf_leaf_27_csclk +*24964 clkbuf_leaf_28_csclk +*24965 clkbuf_leaf_29_csclk +*24966 clkbuf_leaf_2_csclk +*24967 clkbuf_leaf_30_csclk +*24968 clkbuf_leaf_31_csclk +*24969 clkbuf_leaf_32_csclk +*24970 clkbuf_leaf_33_csclk +*24971 clkbuf_leaf_34_csclk +*24972 clkbuf_leaf_35_csclk +*24973 clkbuf_leaf_36_csclk +*24974 clkbuf_leaf_37_csclk +*24975 clkbuf_leaf_38_csclk +*24976 clkbuf_leaf_39_csclk +*24977 clkbuf_leaf_3_csclk +*24978 clkbuf_leaf_40_csclk +*24979 clkbuf_leaf_41_csclk +*24980 clkbuf_leaf_42_csclk +*24981 clkbuf_leaf_43_csclk +*24982 clkbuf_leaf_44_csclk +*24983 clkbuf_leaf_45_csclk +*24984 clkbuf_leaf_46_csclk +*24985 clkbuf_leaf_47_csclk +*24986 clkbuf_leaf_48_csclk +*24987 clkbuf_leaf_49_csclk +*24988 clkbuf_leaf_4_csclk +*24989 clkbuf_leaf_50_csclk +*24990 clkbuf_leaf_51_csclk +*24991 clkbuf_leaf_52_csclk +*24992 clkbuf_leaf_5_csclk +*24993 clkbuf_leaf_6_csclk +*24994 clkbuf_leaf_7_csclk +*24995 clkbuf_leaf_8_csclk +*24996 clkbuf_leaf_9_csclk +*24997 clkbuf_opt_1_0_csclk +*24998 clkbuf_opt_2_0_csclk +*24999 clkbuf_opt_3_0_csclk +*25000 clkbuf_opt_4_0_csclk +*25001 clkbuf_opt_5_0_csclk +*25002 clkbuf_opt_6_0_csclk +*25003 input1 +*25004 input10 +*25005 input100 +*25006 input101 +*25007 input102 +*25008 input103 +*25009 input104 +*25010 input105 +*25011 input106 +*25012 input107 +*25013 input108 +*25014 input109 +*25015 input11 +*25016 input110 +*25017 input111 +*25018 input112 +*25019 input113 +*25020 input114 +*25021 input115 +*25022 input116 +*25023 input117 +*25024 input118 +*25025 input119 +*25026 input12 +*25027 input120 +*25028 input121 +*25029 input122 +*25030 input123 +*25031 input124 +*25032 input125 +*25033 input126 +*25034 input127 +*25035 input128 +*25036 input129 +*25037 input13 +*25038 input130 +*25039 input131 +*25040 input132 +*25041 input133 +*25042 input134 +*25043 input135 +*25044 input136 +*25045 input137 +*25046 input138 +*25047 input139 +*25048 input14 +*25049 input140 +*25050 input141 +*25051 input142 +*25052 input143 +*25053 input144 +*25054 input145 +*25055 input146 +*25056 input147 +*25057 input148 +*25058 input149 +*25059 input15 +*25060 input150 +*25061 input151 +*25062 input152 +*25063 input153 +*25064 input154 +*25065 input155 +*25066 input156 +*25067 input157 +*25068 input158 +*25069 input159 +*25070 input16 +*25071 input160 +*25072 input161 +*25073 input162 +*25074 input163 +*25075 input164 +*25076 input165 +*25077 input166 +*25078 input167 +*25079 input168 +*25080 input169 +*25081 input17 +*25082 input170 +*25083 input171 +*25084 input172 +*25085 input173 +*25086 input174 +*25087 input175 +*25088 input176 +*25089 input177 +*25090 input178 +*25091 input179 +*25092 input18 +*25093 input180 +*25094 input181 +*25095 input182 +*25096 input183 +*25097 input184 +*25098 input185 +*25099 input186 +*25100 input187 +*25101 input188 +*25102 input189 +*25103 input19 +*25104 input190 +*25105 input191 +*25106 input192 +*25107 input193 +*25108 input194 +*25109 input195 +*25110 input196 +*25111 input197 +*25112 input198 +*25113 input199 +*25114 input2 +*25115 input20 +*25116 input200 +*25117 input201 +*25118 input202 +*25119 input21 +*25120 input22 +*25121 input23 +*25122 input24 +*25123 input25 +*25124 input26 +*25125 input27 +*25126 input28 +*25127 input29 +*25128 input3 +*25129 input30 +*25130 input31 +*25131 input32 +*25132 input33 +*25133 input34 +*25134 input35 +*25135 input36 +*25136 input37 +*25137 input38 +*25138 input39 +*25139 input4 +*25140 input40 +*25141 input41 +*25142 input42 +*25143 input43 +*25144 input44 +*25145 input45 +*25146 input46 +*25147 input47 +*25148 input48 +*25149 input49 +*25150 input5 +*25151 input50 +*25152 input51 +*25153 input52 +*25154 input53 +*25155 input54 +*25156 input55 +*25157 input56 +*25158 input57 +*25159 input58 +*25160 input59 +*25161 input6 +*25162 input60 +*25163 input61 +*25164 input62 +*25165 input63 +*25166 input64 +*25167 input65 +*25168 input66 +*25169 input67 +*25170 input68 +*25171 input69 +*25172 input7 +*25173 input70 +*25174 input71 +*25175 input72 +*25176 input73 +*25177 input74 +*25178 input75 +*25179 input76 +*25180 input77 +*25181 input78 +*25182 input79 +*25183 input8 +*25184 input80 +*25185 input81 +*25186 input82 +*25187 input83 +*25188 input84 +*25189 input85 +*25190 input86 +*25191 input87 +*25192 input88 +*25193 input89 +*25194 input9 +*25195 input90 +*25196 input91 +*25197 input92 +*25198 input93 +*25199 input94 +*25200 input95 +*25201 input96 +*25202 input97 +*25203 input98 +*25204 input99 +*25205 net299_2 +*25206 net299_3 +*25207 output203 +*25208 output204 +*25209 output205 +*25210 output206 +*25211 output207 +*25212 output208 +*25213 output209 +*25214 output210 +*25215 output211 +*25216 output212 +*25217 output213 +*25218 output214 +*25219 output215 +*25220 output216 +*25221 output217 +*25222 output218 +*25223 output219 +*25224 output220 +*25225 output221 +*25226 output222 +*25227 output223 +*25228 output224 +*25229 output225 +*25230 output226 +*25231 output227 +*25232 output228 +*25233 output229 +*25234 output230 +*25235 output231 +*25236 output232 +*25237 output233 +*25238 output234 +*25239 output235 +*25240 output236 +*25241 output237 +*25242 output238 +*25243 output239 +*25244 output240 +*25245 output241 +*25246 output242 +*25247 output243 +*25248 output244 +*25249 output245 +*25250 output246 +*25251 output247 +*25252 output248 +*25253 output249 +*25254 output250 +*25255 output251 +*25256 output252 +*25257 output253 +*25258 output254 +*25259 output255 +*25260 output256 +*25261 output257 +*25262 output258 +*25263 output259 +*25264 output260 +*25265 output261 +*25266 output262 +*25267 output263 +*25268 output264 +*25269 output265 +*25270 output266 +*25271 output267 +*25272 output268 +*25273 output269 +*25274 output270 +*25275 output271 +*25276 output272 +*25277 output273 +*25278 output274 +*25279 output275 +*25280 output276 +*25281 output277 +*25282 output278 +*25283 output279 +*25284 output280 +*25285 output281 +*25286 output282 +*25287 output283 +*25288 output284 +*25289 output285 +*25290 output286 +*25291 output287 +*25292 output288 +*25293 output289 +*25294 output290 +*25295 output291 +*25296 output292 +*25297 output293 +*25298 output294 +*25299 output295 +*25300 output296 +*25301 output297 +*25302 output298 +*25303 output299 +*25304 output300 +*25305 output301 +*25306 output302 +*25307 output303 +*25308 output304 +*25309 output305 +*25310 output306 +*25311 output307 +*25312 output308 +*25313 output309 +*25314 output310 +*25315 output311 +*25316 output312 +*25317 output313 +*25318 output314 +*25319 output315 +*25320 output316 +*25321 output317 +*25322 output318 +*25323 output319 +*25324 output320 +*25325 output321 +*25326 output322 +*25327 output323 +*25328 output324 +*25329 output325 +*25330 output326 +*25331 output327 +*25332 output328 +*25333 output329 +*25334 output330 +*25335 output331 +*25336 output332 +*25337 output333 +*25338 output334 +*25339 output335 +*25340 output336 +*25341 output337 +*25342 output338 +*25343 output339 +*25344 output340 +*25345 output341 +*25346 output342 +*25347 output343 +*25348 output344 +*25349 output345 +*25350 output346 +*25351 output347 +*25352 output348 +*25353 output349 +*25354 output350 +*25355 output351 +*25356 output352 +*25357 output353 +*25358 output354 +*25359 output355 +*25360 output356 +*25361 output357 +*25362 output358 +*25363 repeater359 +*25364 repeater360 +*25365 repeater361 +*25366 repeater362 +*25367 repeater363 +*25368 repeater364 +*25369 repeater365 +*25370 repeater366 +*25371 repeater367 +*25372 repeater368 +*25373 repeater369 +*25374 repeater370 +*25375 repeater371 +*25376 repeater372 +*25377 repeater373 +*25378 repeater374 +*25379 repeater375 +*25380 repeater376 +*25381 repeater377 + +*PORTS +debug_in O +debug_mode I +debug_oeb I +debug_out I +irq[0] O +irq[1] O +irq[2] O +mask_rev_in[0] I +mask_rev_in[10] I +mask_rev_in[11] I +mask_rev_in[12] I +mask_rev_in[13] I +mask_rev_in[14] I +mask_rev_in[15] I +mask_rev_in[16] I +mask_rev_in[17] I +mask_rev_in[18] I +mask_rev_in[19] I +mask_rev_in[1] I +mask_rev_in[20] I +mask_rev_in[21] I +mask_rev_in[22] I +mask_rev_in[23] I +mask_rev_in[24] I +mask_rev_in[25] I +mask_rev_in[26] I +mask_rev_in[27] I +mask_rev_in[28] I +mask_rev_in[29] I +mask_rev_in[2] I +mask_rev_in[30] I +mask_rev_in[31] I +mask_rev_in[3] I +mask_rev_in[4] I +mask_rev_in[5] I +mask_rev_in[6] I +mask_rev_in[7] I +mask_rev_in[8] I +mask_rev_in[9] I +mgmt_gpio_in[0] I +mgmt_gpio_in[10] I +mgmt_gpio_in[11] I +mgmt_gpio_in[12] I +mgmt_gpio_in[13] I +mgmt_gpio_in[14] I +mgmt_gpio_in[15] I +mgmt_gpio_in[16] I +mgmt_gpio_in[17] I +mgmt_gpio_in[18] I +mgmt_gpio_in[19] I +mgmt_gpio_in[1] I +mgmt_gpio_in[20] I +mgmt_gpio_in[21] I +mgmt_gpio_in[22] I +mgmt_gpio_in[23] I +mgmt_gpio_in[24] I +mgmt_gpio_in[25] I +mgmt_gpio_in[26] I +mgmt_gpio_in[27] I +mgmt_gpio_in[28] I +mgmt_gpio_in[29] I +mgmt_gpio_in[2] I +mgmt_gpio_in[30] I +mgmt_gpio_in[31] I +mgmt_gpio_in[32] I +mgmt_gpio_in[33] I +mgmt_gpio_in[34] I +mgmt_gpio_in[35] I +mgmt_gpio_in[36] I +mgmt_gpio_in[37] I +mgmt_gpio_in[3] I +mgmt_gpio_in[4] I +mgmt_gpio_in[5] I +mgmt_gpio_in[6] I +mgmt_gpio_in[7] I +mgmt_gpio_in[8] I +mgmt_gpio_in[9] I +mgmt_gpio_oeb[0] O +mgmt_gpio_oeb[10] O +mgmt_gpio_oeb[11] O +mgmt_gpio_oeb[12] O +mgmt_gpio_oeb[13] O +mgmt_gpio_oeb[14] O +mgmt_gpio_oeb[15] O +mgmt_gpio_oeb[16] O +mgmt_gpio_oeb[17] O +mgmt_gpio_oeb[18] O +mgmt_gpio_oeb[19] O +mgmt_gpio_oeb[1] O +mgmt_gpio_oeb[20] O +mgmt_gpio_oeb[21] O +mgmt_gpio_oeb[22] O +mgmt_gpio_oeb[23] O +mgmt_gpio_oeb[24] O +mgmt_gpio_oeb[25] O +mgmt_gpio_oeb[26] O +mgmt_gpio_oeb[27] O +mgmt_gpio_oeb[28] O +mgmt_gpio_oeb[29] O +mgmt_gpio_oeb[2] O +mgmt_gpio_oeb[30] O +mgmt_gpio_oeb[31] O +mgmt_gpio_oeb[32] O +mgmt_gpio_oeb[33] O +mgmt_gpio_oeb[34] O +mgmt_gpio_oeb[35] O +mgmt_gpio_oeb[36] O +mgmt_gpio_oeb[37] O +mgmt_gpio_oeb[3] O +mgmt_gpio_oeb[4] O +mgmt_gpio_oeb[5] O +mgmt_gpio_oeb[6] O +mgmt_gpio_oeb[7] O +mgmt_gpio_oeb[8] O +mgmt_gpio_oeb[9] O +mgmt_gpio_out[0] O +mgmt_gpio_out[10] O +mgmt_gpio_out[11] O +mgmt_gpio_out[12] O +mgmt_gpio_out[13] O +mgmt_gpio_out[14] O +mgmt_gpio_out[15] O +mgmt_gpio_out[16] O +mgmt_gpio_out[17] O +mgmt_gpio_out[18] O +mgmt_gpio_out[19] O +mgmt_gpio_out[1] O +mgmt_gpio_out[20] O +mgmt_gpio_out[21] O +mgmt_gpio_out[22] O +mgmt_gpio_out[23] O +mgmt_gpio_out[24] O +mgmt_gpio_out[25] O +mgmt_gpio_out[26] O +mgmt_gpio_out[27] O +mgmt_gpio_out[28] O +mgmt_gpio_out[29] O +mgmt_gpio_out[2] O +mgmt_gpio_out[30] O +mgmt_gpio_out[31] O +mgmt_gpio_out[32] O +mgmt_gpio_out[33] O +mgmt_gpio_out[34] O +mgmt_gpio_out[35] O +mgmt_gpio_out[36] O +mgmt_gpio_out[37] O +mgmt_gpio_out[3] O +mgmt_gpio_out[4] O +mgmt_gpio_out[5] O +mgmt_gpio_out[6] O +mgmt_gpio_out[7] O +mgmt_gpio_out[8] O +mgmt_gpio_out[9] O +pad_flash_clk O +pad_flash_clk_oeb O +pad_flash_csb O +pad_flash_csb_oeb O +pad_flash_io0_di I +pad_flash_io0_do O +pad_flash_io0_ieb O +pad_flash_io0_oeb O +pad_flash_io1_di I +pad_flash_io1_do O +pad_flash_io1_ieb O +pad_flash_io1_oeb O +pll90_sel[0] O +pll90_sel[1] O +pll90_sel[2] O +pll_bypass O +pll_dco_ena O +pll_div[0] O +pll_div[1] O +pll_div[2] O +pll_div[3] O +pll_div[4] O +pll_ena O +pll_sel[0] O +pll_sel[1] O +pll_sel[2] O +pll_trim[0] O +pll_trim[10] O +pll_trim[11] O +pll_trim[12] O +pll_trim[13] O +pll_trim[14] O +pll_trim[15] O +pll_trim[16] O +pll_trim[17] O +pll_trim[18] O +pll_trim[19] O +pll_trim[1] O +pll_trim[20] O +pll_trim[21] O +pll_trim[22] O +pll_trim[23] O +pll_trim[24] O +pll_trim[25] O +pll_trim[2] O +pll_trim[3] O +pll_trim[4] O +pll_trim[5] O +pll_trim[6] O +pll_trim[7] O +pll_trim[8] O +pll_trim[9] O +porb I +pwr_ctrl_out[0] O +pwr_ctrl_out[1] O +pwr_ctrl_out[2] O +pwr_ctrl_out[3] O +qspi_enabled I +reset O +ser_rx O +ser_tx I +serial_clock O +serial_data_1 O +serial_data_2 O +serial_load O +serial_resetn O +spi_csb I +spi_enabled I +spi_sck I +spi_sdi O +spi_sdo I +spi_sdoenb I +spimemio_flash_clk I +spimemio_flash_csb I +spimemio_flash_io0_di O +spimemio_flash_io0_do I +spimemio_flash_io0_oeb I +spimemio_flash_io1_di O +spimemio_flash_io1_do I +spimemio_flash_io1_oeb I +spimemio_flash_io2_di O +spimemio_flash_io2_do I +spimemio_flash_io2_oeb I +spimemio_flash_io3_di O +spimemio_flash_io3_do I +spimemio_flash_io3_oeb I +sram_ro_addr[0] O +sram_ro_addr[1] O +sram_ro_addr[2] O +sram_ro_addr[3] O +sram_ro_addr[4] O +sram_ro_addr[5] O +sram_ro_addr[6] O +sram_ro_addr[7] O +sram_ro_clk O +sram_ro_csb O +sram_ro_data[0] I +sram_ro_data[10] I +sram_ro_data[11] I +sram_ro_data[12] I +sram_ro_data[13] I +sram_ro_data[14] I +sram_ro_data[15] I +sram_ro_data[16] I +sram_ro_data[17] I +sram_ro_data[18] I +sram_ro_data[19] I +sram_ro_data[1] I +sram_ro_data[20] I +sram_ro_data[21] I +sram_ro_data[22] I +sram_ro_data[23] I +sram_ro_data[24] I +sram_ro_data[25] I +sram_ro_data[26] I +sram_ro_data[27] I +sram_ro_data[28] I +sram_ro_data[29] I +sram_ro_data[2] I +sram_ro_data[30] I +sram_ro_data[31] I +sram_ro_data[3] I +sram_ro_data[4] I +sram_ro_data[5] I +sram_ro_data[6] I +sram_ro_data[7] I +sram_ro_data[8] I +sram_ro_data[9] I +trap I +uart_enabled I +user_clock I +usr1_vcc_pwrgood I +usr1_vdd_pwrgood I +usr2_vcc_pwrgood I +usr2_vdd_pwrgood I +wb_ack_o O +wb_adr_i[0] I +wb_adr_i[10] I +wb_adr_i[11] I +wb_adr_i[12] I +wb_adr_i[13] I +wb_adr_i[14] I +wb_adr_i[15] I +wb_adr_i[16] I +wb_adr_i[17] I +wb_adr_i[18] I +wb_adr_i[19] I +wb_adr_i[1] I +wb_adr_i[20] I +wb_adr_i[21] I +wb_adr_i[22] I +wb_adr_i[23] I +wb_adr_i[24] I +wb_adr_i[25] I +wb_adr_i[26] I +wb_adr_i[27] I +wb_adr_i[28] I +wb_adr_i[29] I +wb_adr_i[2] I +wb_adr_i[30] I +wb_adr_i[31] I +wb_adr_i[3] I +wb_adr_i[4] I +wb_adr_i[5] I +wb_adr_i[6] I +wb_adr_i[7] I +wb_adr_i[8] I +wb_adr_i[9] I +wb_clk_i I +wb_cyc_i I +wb_dat_i[0] I +wb_dat_i[10] I +wb_dat_i[11] I +wb_dat_i[12] I +wb_dat_i[13] I +wb_dat_i[14] I +wb_dat_i[15] I +wb_dat_i[16] I +wb_dat_i[17] I +wb_dat_i[18] I +wb_dat_i[19] I +wb_dat_i[1] I +wb_dat_i[20] I +wb_dat_i[21] I +wb_dat_i[22] I +wb_dat_i[23] I +wb_dat_i[24] I +wb_dat_i[25] I +wb_dat_i[26] I +wb_dat_i[27] I +wb_dat_i[28] I +wb_dat_i[29] I +wb_dat_i[2] I +wb_dat_i[30] I +wb_dat_i[31] I +wb_dat_i[3] I +wb_dat_i[4] I +wb_dat_i[5] I +wb_dat_i[6] I +wb_dat_i[7] I +wb_dat_i[8] I +wb_dat_i[9] I +wb_dat_o[0] O +wb_dat_o[10] O +wb_dat_o[11] O +wb_dat_o[12] O +wb_dat_o[13] O +wb_dat_o[14] O +wb_dat_o[15] O +wb_dat_o[16] O +wb_dat_o[17] O +wb_dat_o[18] O +wb_dat_o[19] O +wb_dat_o[1] O +wb_dat_o[20] O +wb_dat_o[21] O +wb_dat_o[22] O +wb_dat_o[23] O +wb_dat_o[24] O +wb_dat_o[25] O +wb_dat_o[26] O +wb_dat_o[27] O +wb_dat_o[28] O +wb_dat_o[29] O +wb_dat_o[2] O +wb_dat_o[30] O +wb_dat_o[31] O +wb_dat_o[3] O +wb_dat_o[4] O +wb_dat_o[5] O +wb_dat_o[6] O +wb_dat_o[7] O +wb_dat_o[8] O +wb_dat_o[9] O +wb_rstn_i I +wb_sel_i[0] I +wb_sel_i[1] I +wb_sel_i[2] I +wb_sel_i[3] I +wb_stb_i I +wb_we_i I + +*D_NET *3 0.0017966 +*CONN +*P debug_in O +*I *25207:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 debug_in 0.000702311 +2 *25207:X 0.000702311 +3 debug_in *25003:A 0 +4 debug_in *25023:A 0 +5 debug_in *2281:13 0 +6 debug_in *3903:61 0.000343323 +7 debug_in *4263:11 1.22858e-05 +8 debug_in *4525:14 3.63738e-05 +*RES +1 *25207:X debug_in 19.3518 +*END + +*D_NET *4 0.00081411 +*CONN +*P debug_mode I +*I *25003:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 debug_mode 0.000354602 +2 *25003:A 0.000354602 +3 *25003:A *25114:A 0 +4 *25003:A *1455:82 1.82679e-05 +5 *25003:A *1690:72 4.51176e-05 +6 *25003:A *5454:28 4.15201e-05 +7 debug_in *25003:A 0 +*RES +1 debug_mode *25003:A 19.7119 +*END + +*D_NET *5 0.000832022 +*CONN +*P debug_oeb I +*I *25114:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 debug_oeb 0.000370894 +2 *25114:A 0.000370894 +3 *25114:A *25128:A 0 +4 *25114:A *3791:154 4.51176e-05 +5 *25114:A *5456:61 4.51176e-05 +6 *25003:A *25114:A 0 +*RES +1 debug_oeb *25114:A 18.6634 +*END + +*D_NET *6 0.000891499 +*CONN +*P debug_out I +*I *25128:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 debug_out 0.000375661 +2 *25128:A 0.000375661 +3 *25128:A *25032:A 0 +4 *25128:A *3791:154 9.61451e-05 +5 *25128:A *5454:26 4.40325e-05 +6 *25114:A *25128:A 0 +*RES +1 debug_out *25128:A 19.4174 +*END + +*D_NET *7 0.00104411 +*CONN +*P irq[0] O +*I *25208:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 irq[0] 0.00048437 +2 *25208:X 0.00048437 +3 irq[0] irq[1] 0 +4 irq[0] *25032:A 0 +5 irq[0] *4677:11 6.11074e-05 +6 irq[0] *5456:50 1.42599e-05 +*RES +1 *25208:X irq[0] 19.1551 +*END + +*D_NET *8 0.001371 +*CONN +*P irq[1] O +*I *25209:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 irq[1] 0.000624395 +2 *25209:X 0.000624395 +3 irq[1] irq[2] 0 +4 irq[1] *3860:131 6.11074e-05 +5 irq[1] *5592:9 6.11074e-05 +6 irq[0] irq[1] 0 +*RES +1 *25209:X irq[1] 19.6141 +*END + +*D_NET *9 0.00104877 +*CONN +*P irq[2] O +*I *25210:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 irq[2] 0.000446571 +2 *25210:X 0.000446571 +3 irq[2] *23344:A 1.23869e-05 +4 irq[2] *25186:A 0 +5 irq[2] *4677:11 0.000113077 +6 irq[2] *5456:50 3.01656e-05 +7 irq[1] irq[2] 0 +*RES +1 *25210:X irq[2] 19.9856 +*END + +*D_NET *10 0.00117109 +*CONN +*P mask_rev_in[0] I +*I *25139:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[0] 0.000502273 +2 *25139:A 0.000502273 +3 *25139:A *25059:A 0 +4 *25139:A *25111:A 0 +5 *25139:A *5830:13 0.000166542 +*RES +1 mask_rev_in[0] *25139:A 28.0593 +*END + +*D_NET *11 0.00108435 +*CONN +*P mask_rev_in[10] I +*I *25150:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[10] 0.00040204 +2 *25150:A 0.00040204 +3 *25150:A *21709:A 2.82583e-05 +4 *25150:A *21886:A 2.65831e-05 +5 *25150:A *25134:A 0 +6 *25150:A *25161:A 0 +7 *25150:A *5564:12 0.000171288 +8 *25150:A *5922:11 5.41377e-05 +*RES +1 mask_rev_in[10] *25150:A 25.8409 +*END + +*D_NET *12 0.001053 +*CONN +*P mask_rev_in[11] I +*I *25161:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[11] 0.000433657 +2 *25161:A 0.000433657 +3 *25161:A *21709:A 1.43983e-05 +4 *25161:A *25172:A 0 +5 *25161:A *5564:12 0.000171288 +6 *25150:A *25161:A 0 +*RES +1 mask_rev_in[11] *25161:A 25.8409 +*END + +*D_NET *13 0.00128731 +*CONN +*P mask_rev_in[12] I +*I *25172:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[12] 0.000469138 +2 *25172:A 0.000469138 +3 *25172:A *25183:A 0 +4 *25172:A *5564:12 0.00033061 +5 *25172:A *5933:13 1.84293e-05 +6 *25161:A *25172:A 0 +*RES +1 mask_rev_in[12] *25172:A 27.5047 +*END + +*D_NET *14 0.00105798 +*CONN +*P mask_rev_in[13] I +*I *25183:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[13] 0.00042202 +2 *25183:A 0.00042202 +3 *25183:A *25194:A 0 +4 *25183:A *5564:12 0.000171288 +5 *25183:A *5933:13 4.26566e-05 +6 *25172:A *25183:A 0 +*RES +1 mask_rev_in[13] *25183:A 25.8409 +*END + +*D_NET *15 0.00104615 +*CONN +*P mask_rev_in[14] I +*I *25194:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[14] 0.000430231 +2 *25194:A 0.000430231 +3 *25194:A *25004:A 0 +4 *25194:A *5564:12 0.000171288 +5 *25194:A *5933:13 1.43983e-05 +6 *25183:A *25194:A 0 +*RES +1 mask_rev_in[14] *25194:A 25.8409 +*END + +*D_NET *16 0.000994295 +*CONN +*P mask_rev_in[15] I +*I *25004:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[15] 0.000464611 +2 *25004:A 0.000464611 +3 *25004:A *25015:A 0 +4 *25004:A *5564:12 6.50727e-05 +5 *25194:A *25004:A 0 +*RES +1 mask_rev_in[15] *25004:A 25.8409 +*END + +*D_NET *17 0.00102354 +*CONN +*P mask_rev_in[16] I +*I *25015:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[16] 0.000502554 +2 *25015:A 0.000502554 +3 *25015:A *21911:A 1.84293e-05 +4 *25015:A *25026:A 0 +5 *25004:A *25015:A 0 +*RES +1 mask_rev_in[16] *25015:A 26.9501 +*END + +*D_NET *18 0.0009242 +*CONN +*P mask_rev_in[17] I +*I *25026:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[17] 0.000454901 +2 *25026:A 0.000454901 +3 *25026:A *21911:A 1.43983e-05 +4 *25026:A *25037:A 0 +5 *25015:A *25026:A 0 +*RES +1 mask_rev_in[17] *25026:A 25.8409 +*END + +*D_NET *19 0.000927452 +*CONN +*P mask_rev_in[18] I +*I *25037:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[18] 0.000447312 +2 *25037:A 0.000447312 +3 *25037:A *21687:A 1.84293e-05 +4 *25037:A *21883:A 1.43983e-05 +5 *25037:A *25048:A 0 +6 *25026:A *25037:A 0 +*RES +1 mask_rev_in[18] *25037:A 25.8409 +*END + +*D_NET *20 0.0009242 +*CONN +*P mask_rev_in[19] I +*I *25048:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[19] 0.000454901 +2 *25048:A 0.000454901 +3 *25048:A *21687:A 1.43983e-05 +4 *25048:A *25070:A 0 +5 *25037:A *25048:A 0 +*RES +1 mask_rev_in[19] *25048:A 25.8409 +*END + +*D_NET *21 0.000938214 +*CONN +*P mask_rev_in[1] I +*I *25059:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[1] 0.000441284 +2 *25059:A 0.000441284 +3 *25059:A *25124:A 0 +4 *25059:A *5830:13 5.56461e-05 +5 *25139:A *25059:A 0 +*RES +1 mask_rev_in[1] *25059:A 26.0191 +*END + +*D_NET *22 0.0010184 +*CONN +*P mask_rev_in[20] I +*I *25070:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[20] 0.000499987 +2 *25070:A 0.000499987 +3 *25070:A *21502:A 1.84293e-05 +4 *25070:A *25081:A 0 +5 *25048:A *25070:A 0 +*RES +1 mask_rev_in[20] *25070:A 26.9501 +*END + +*D_NET *23 0.000934933 +*CONN +*P mask_rev_in[21] I +*I *25081:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[21] 0.000465316 +2 *25081:A 0.000465316 +3 *25081:A *21325:A 4.30017e-06 +4 *25081:A *25092:A 0 +5 *25070:A *25081:A 0 +*RES +1 mask_rev_in[21] *25081:A 26.1901 +*END + +*D_NET *24 0.000928493 +*CONN +*P mask_rev_in[22] I +*I *25092:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[22] 0.000457047 +2 *25092:A 0.000457047 +3 *25092:A *21325:A 1.43983e-05 +4 *25092:A *25103:A 0 +5 *25081:A *25092:A 0 +*RES +1 mask_rev_in[22] *25092:A 25.8409 +*END + +*D_NET *25 0.0009242 +*CONN +*P mask_rev_in[23] I +*I *25103:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[23] 0.000454901 +2 *25103:A 0.000454901 +3 *25103:A *21210:A 1.43983e-05 +4 *25103:A *25115:A 0 +5 *25092:A *25103:A 0 +*RES +1 mask_rev_in[23] *25103:A 25.8409 +*END + +*D_NET *26 0.00101345 +*CONN +*P mask_rev_in[24] I +*I *25115:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[24] 0.000506727 +2 *25115:A 0.000506727 +3 *25115:A *25119:A 0 +4 *25103:A *25115:A 0 +*RES +1 mask_rev_in[24] *25115:A 26.9501 +*END + +*D_NET *27 0.000921805 +*CONN +*P mask_rev_in[25] I +*I *25119:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[25] 0.000460903 +2 *25119:A 0.000460903 +3 *25119:A *25120:A 0 +4 *25115:A *25119:A 0 +*RES +1 mask_rev_in[25] *25119:A 25.8409 +*END + +*D_NET *28 0.000921805 +*CONN +*P mask_rev_in[26] I +*I *25120:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[26] 0.000460903 +2 *25120:A 0.000460903 +3 *25120:A *25121:A 0 +4 *25119:A *25120:A 0 +*RES +1 mask_rev_in[26] *25120:A 25.8409 +*END + +*D_NET *29 0.0009242 +*CONN +*P mask_rev_in[27] I +*I *25121:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[27] 0.000454901 +2 *25121:A 0.000454901 +3 *25121:A *21741:A 1.43983e-05 +4 *25121:A *25122:A 0 +5 *25120:A *25121:A 0 +*RES +1 mask_rev_in[27] *25121:A 25.8409 +*END + +*D_NET *30 0.000973237 +*CONN +*P mask_rev_in[28] I +*I *25122:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[28] 0.000473729 +2 *25122:A 0.000473729 +3 *25122:A *21362:A 7.34948e-06 +4 *25122:A *21528:A 1.84293e-05 +5 *25122:A *25123:A 0 +6 *25121:A *25122:A 0 +*RES +1 mask_rev_in[28] *25122:A 26.3955 +*END + +*D_NET *31 0.000934704 +*CONN +*P mask_rev_in[29] I +*I *25123:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[29] 0.000439931 +2 *25123:A 0.000439931 +3 *25123:A *21324:A 2.82583e-05 +4 *25123:A *21362:A 2.65831e-05 +5 *25123:A *25125:A 0 +6 *25122:A *25123:A 0 +*RES +1 mask_rev_in[29] *25123:A 25.8409 +*END + +*D_NET *32 0.0009452 +*CONN +*P mask_rev_in[2] I +*I *25124:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[2] 0.00043516 +2 *25124:A 0.00043516 +3 *25124:A *25127:A 0 +4 *25124:A *5830:13 7.48797e-05 +5 *25059:A *25124:A 0 +*RES +1 mask_rev_in[2] *25124:A 25.8409 +*END + +*D_NET *33 0.0009242 +*CONN +*P mask_rev_in[30] I +*I *25125:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[30] 0.000454901 +2 *25125:A 0.000454901 +3 *25125:A *21324:A 1.43983e-05 +4 *25125:A *25126:A 0 +5 *25123:A *25125:A 0 +*RES +1 mask_rev_in[30] *25125:A 25.8409 +*END + +*D_NET *34 0.000921805 +*CONN +*P mask_rev_in[31] I +*I *25126:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[31] 0.000460903 +2 *25126:A 0.000460903 +3 *25126:A pwr_ctrl_out[0] 0 +4 *25125:A *25126:A 0 +*RES +1 mask_rev_in[31] *25126:A 25.8409 +*END + +*D_NET *35 0.00100684 +*CONN +*P mask_rev_in[3] I +*I *25127:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[3] 0.000432612 +2 *25127:A 0.000432612 +3 *25127:A *25129:A 0 +4 *25127:A *5830:9 0.000122378 +5 *25127:A *5830:13 1.92336e-05 +6 *25124:A *25127:A 0 +*RES +1 mask_rev_in[3] *25127:A 25.8409 +*END + +*D_NET *36 0.00103062 +*CONN +*P mask_rev_in[4] I +*I *25129:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[4] 0.000429666 +2 *25129:A 0.000429666 +3 *25129:A *25130:A 0 +4 *25129:A *5830:9 0.000171288 +5 *25127:A *25129:A 0 +*RES +1 mask_rev_in[4] *25129:A 25.8409 +*END + +*D_NET *37 0.00103062 +*CONN +*P mask_rev_in[5] I +*I *25130:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[5] 0.000429666 +2 *25130:A 0.000429666 +3 *25130:A *25131:A 0 +4 *25130:A *5830:9 0.000171288 +5 *25129:A *25130:A 0 +*RES +1 mask_rev_in[5] *25130:A 25.8409 +*END + +*D_NET *38 0.00103062 +*CONN +*P mask_rev_in[6] I +*I *25131:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[6] 0.000429666 +2 *25131:A 0.000429666 +3 *25131:A *25132:A 0 +4 *25131:A *5830:9 0.000171288 +5 *25130:A *25131:A 0 +*RES +1 mask_rev_in[6] *25131:A 25.8409 +*END + +*D_NET *39 0.00103062 +*CONN +*P mask_rev_in[7] I +*I *25132:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[7] 0.000429666 +2 *25132:A 0.000429666 +3 *25132:A *25133:A 0 +4 *25132:A *5830:9 0.000171288 +5 *25131:A *25132:A 0 +*RES +1 mask_rev_in[7] *25132:A 25.8409 +*END + +*D_NET *40 0.00104481 +*CONN +*P mask_rev_in[8] I +*I *25133:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mask_rev_in[8] 0.000460815 +2 *25133:A 0.000460815 +3 *25133:A *25134:A 0 +4 *25133:A *5830:9 0.000123176 +5 *25132:A *25133:A 0 +*RES +1 mask_rev_in[8] *25133:A 26.5349 +*END + +*D_NET *41 0.00093707 +*CONN +*P mask_rev_in[9] I +*I *25134:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mask_rev_in[9] 0.000457762 +2 *25134:A 0.000457762 +3 *25134:A *21886:A 7.34948e-06 +4 *25134:A *5564:12 0 +5 *25134:A *5830:9 1.41976e-05 +6 *25134:A *5922:11 0 +7 *25133:A *25134:A 0 +8 *25150:A *25134:A 0 +*RES +1 mask_rev_in[9] *25134:A 25.8409 +*END + +*D_NET *42 0.00109258 +*CONN +*P mgmt_gpio_in[0] I +*I *25135:A I *D sky130_fd_sc_hd__buf_8 +*CAP +1 mgmt_gpio_in[0] 0.000516136 +2 *25135:A 0.000516136 +3 *25135:A *5861:498 6.03073e-05 +*RES +1 mgmt_gpio_in[0] *25135:A 20.9363 +*END + +*D_NET *43 0.00168063 +*CONN +*P mgmt_gpio_in[10] I +*I *25136:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mgmt_gpio_in[10] 0.000452046 +2 *25136:A 0.000452046 +3 *25136:A *2257:69 0.000118501 +4 *25136:A *2257:73 1.27046e-05 +5 *25136:A *2448:146 9.12416e-06 +6 *25136:A *2448:147 1.74106e-05 +7 *25136:A *2692:53 9.59618e-06 +8 *25136:A *4823:85 4.72178e-05 +9 *25136:A *4833:130 0.00018297 +10 *25136:A *5863:8 7.50872e-05 +11 *25136:A *5874:17 0.000303929 +*RES +1 mgmt_gpio_in[10] *25136:A 21.2314 +*END + +*D_NET *44 0.00170886 +*CONN +*P mgmt_gpio_in[11] I +*I *25137:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mgmt_gpio_in[11] 0.000710082 +2 *25137:A 0.000710082 +3 *25137:A *2391:77 5.77448e-05 +4 *25137:A *5493:51 0.000113199 +5 *25137:A *5898:220 0.000117754 +*RES +1 mgmt_gpio_in[11] *25137:A 20.3681 +*END + +*D_NET *45 0.000997166 +*CONN +*P mgmt_gpio_in[12] I +*I *25138:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[12] 0.000356041 +2 *25138:A 0.000356041 +3 *25138:A *21572:A 0 +4 *25138:A *490:83 3.17436e-05 +5 *25138:A *2287:74 8.42336e-05 +6 *25138:A *2367:49 5.45129e-06 +7 *25138:A *2503:19 1.91391e-05 +8 *25138:A *2570:46 2.27135e-05 +9 *25138:A *2679:37 3.362e-05 +10 *25138:A *2989:47 7.67667e-05 +11 *25138:A *3212:19 1.14164e-05 +*RES +1 mgmt_gpio_in[12] *25138:A 21.1734 +*END + +*D_NET *46 0.00116448 +*CONN +*P mgmt_gpio_in[13] I +*I *25140:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[13] 0.000409246 +2 *25140:A 0.000409246 +3 *25140:A *2372:60 4.13683e-05 +4 *25140:A *2503:12 9.91418e-05 +5 *25140:A *2545:35 0.000114487 +6 *25140:A *5902:18 9.09898e-05 +*RES +1 mgmt_gpio_in[13] *25140:A 26.096 +*END + +*D_NET *47 0.00158638 +*CONN +*P mgmt_gpio_in[14] I +*I *25141:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mgmt_gpio_in[14] 0.000681231 +2 *25141:A 0.000681231 +3 *25141:A *1920:40 0.000112672 +4 *25141:A *2320:35 0 +5 *25141:A *4817:47 0.000108118 +6 *25141:A *5493:33 3.12919e-06 +*RES +1 mgmt_gpio_in[14] *25141:A 20.5211 +*END + +*D_NET *48 0.000670723 +*CONN +*P mgmt_gpio_in[15] I +*I *25142:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[15] 0.000281217 +2 *25142:A 0.000281217 +3 *25142:A *2357:50 3.91164e-05 +4 *25142:A *2938:26 4.97938e-05 +5 *25142:A *4821:151 1.93781e-05 +*RES +1 mgmt_gpio_in[15] *25142:A 18.5869 +*END + +*D_NET *49 0.000975663 +*CONN +*P mgmt_gpio_in[16] I +*I *25143:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[16] 0.00034637 +2 *25143:A 0.00034637 +3 *25143:A *2417:28 0.000139123 +4 *25143:A *2451:36 0 +5 *25143:A *4818:116 0.0001438 +*RES +1 mgmt_gpio_in[16] *25143:A 21.2366 +*END + +*D_NET *50 0.000966993 +*CONN +*P mgmt_gpio_in[17] I +*I *25144:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[17] 0.000384894 +2 *25144:A 0.000384894 +3 *25144:A *22020:A 6.09999e-05 +4 *25144:A *2372:32 0.000112251 +5 *25144:A *2467:28 2.39535e-05 +*RES +1 mgmt_gpio_in[17] *25144:A 20.0621 +*END + +*D_NET *51 0.000752527 +*CONN +*P mgmt_gpio_in[18] I +*I *25145:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_in[18] 0.000328808 +2 *25145:A 0.000328808 +3 *25145:A *2372:30 4.97938e-05 +4 *25145:A *5907:17 4.51176e-05 +*RES +1 mgmt_gpio_in[18] *25145:A 18.8164 +*END + +*D_NET *52 0.00158082 +*CONN +*P mgmt_gpio_in[19] I +*I *25146:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[19] 0.000766546 +2 *25146:A 0.000766546 +3 *25146:A *23887:A 4.77281e-05 +4 *25146:A *5714:38 0 +*RES +1 mgmt_gpio_in[19] *25146:A 21.9963 +*END + +*D_NET *53 0.000928872 +*CONN +*P mgmt_gpio_in[1] I +*I *25147:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 mgmt_gpio_in[1] 0.000423269 +2 *25147:A 0.000423269 +3 *25147:A *2295:13 0 +4 *25147:A *4828:129 3.87823e-05 +5 *25147:A *5886:8 0 +6 *25147:A *6022:39 4.35519e-05 +*RES +1 mgmt_gpio_in[1] *25147:A 20.5539 +*END + +*D_NET *54 0.00106617 +*CONN +*P mgmt_gpio_in[20] I +*I *25148:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mgmt_gpio_in[20] 0.000475788 +2 *25148:A 0.000475788 +3 *25148:A mgmt_gpio_oeb[20] 0 +4 *25148:A *25039:A 0 +5 *25148:A *5887:7 0.000114594 +*RES +1 mgmt_gpio_in[20] *25148:A 26.5321 +*END + +*D_NET *55 0.000987115 +*CONN +*P mgmt_gpio_in[21] I +*I *25149:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 mgmt_gpio_in[21] 0.000493557 +2 *25149:A 0.000493557 +3 *25149:A mgmt_gpio_oeb[21] 0 +4 *25149:A mgmt_gpio_out[20] 0 +5 *25149:A mgmt_gpio_out[21] 0 +*RES +1 mgmt_gpio_in[21] *25149:A 26.5321 +*END + +*D_NET *56 0.00100311 +*CONN +*P mgmt_gpio_in[22] I +*I *25151:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 mgmt_gpio_in[22] 0.000485277 +2 *25151:A 0.000485277 +3 *25151:A mgmt_gpio_oeb[22] 0 +4 *25151:A mgmt_gpio_out[21] 0 +5 *25151:A mgmt_gpio_out[22] 0 +6 *25151:A *5888:7 3.25584e-05 +*RES +1 mgmt_gpio_in[22] *25151:A 26.5321 +*END + +*D_NET *57 0.00107001 +*CONN +*P mgmt_gpio_in[23] I +*I *25152:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mgmt_gpio_in[23] 0.000473816 +2 *25152:A 0.000473816 +3 *25152:A mgmt_gpio_oeb[23] 0 +4 *25152:A mgmt_gpio_out[22] 0 +5 *25152:A mgmt_gpio_out[23] 0 +6 *25152:A *25226:A 0.000122378 +*RES +1 mgmt_gpio_in[23] *25152:A 26.5321 +*END + +*D_NET *58 0.00116877 +*CONN +*P mgmt_gpio_in[24] I +*I *25153:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[24] 0.00049664 +2 *25153:A 0.00049664 +3 *25153:A mgmt_gpio_oeb[24] 0 +4 *25153:A mgmt_gpio_out[23] 0 +5 *25153:A mgmt_gpio_out[24] 0 +6 *25153:A *5891:7 0.000175485 +*RES +1 mgmt_gpio_in[24] *25153:A 27.5019 +*END + +*D_NET *59 0.00132669 +*CONN +*P mgmt_gpio_in[25] I +*I *25154:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 mgmt_gpio_in[25] 0.000522495 +2 *25154:A 0.000522495 +3 *25154:A mgmt_gpio_oeb[25] 0 +4 *25154:A mgmt_gpio_out[24] 0 +5 *25154:A *23952:A1 0 +6 *25154:A *4841:322 0.0002817 +*RES +1 mgmt_gpio_in[25] *25154:A 28.1959 +*END + +*D_NET *60 0.00127904 +*CONN +*P mgmt_gpio_in[26] I +*I *25155:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[26] 0.000459304 +2 *25155:A 0.000459304 +3 *25155:A mgmt_gpio_oeb[26] 0 +4 *25155:A mgmt_gpio_out[25] 1.36556e-05 +5 *25155:A *4841:322 0.000171288 +6 *25155:A *5891:7 0.000175485 +*RES +1 mgmt_gpio_in[26] *25155:A 27.5019 +*END + +*D_NET *61 0.00126732 +*CONN +*P mgmt_gpio_in[27] I +*I *25156:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[27] 0.00046949 +2 *25156:A 0.00046949 +3 *25156:A mgmt_gpio_oeb[27] 0 +4 *25156:A mgmt_gpio_out[26] 0 +5 *25156:A *4841:322 0.000224395 +6 *25156:A *5893:7 0.000103943 +*RES +1 mgmt_gpio_in[27] *25156:A 28.0565 +*END + +*D_NET *62 0.000949454 +*CONN +*P mgmt_gpio_in[28] I +*I *25157:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mgmt_gpio_in[28] 0.000474727 +2 *25157:A 0.000474727 +3 *25157:A mgmt_gpio_oeb[28] 0 +4 *25157:A mgmt_gpio_out[27] 0 +*RES +1 mgmt_gpio_in[28] *25157:A 26.3927 +*END + +*D_NET *63 0.000957133 +*CONN +*P mgmt_gpio_in[29] I +*I *25158:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mgmt_gpio_in[29] 0.000476042 +2 *25158:A 0.000476042 +3 *25158:A mgmt_gpio_oeb[29] 0 +4 *25158:A mgmt_gpio_out[28] 0 +5 *25158:A mgmt_gpio_out[29] 0 +6 *25158:A *5897:9 5.04829e-06 +*RES +1 mgmt_gpio_in[29] *25158:A 26.3927 +*END + +*D_NET *64 0.00164541 +*CONN +*P mgmt_gpio_in[2] I +*I *25159:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 mgmt_gpio_in[2] 0.000731839 +2 *25159:A 0.000731839 +3 *25159:A *527:69 1.15626e-05 +4 *25159:A *2882:8 0.000108118 +5 *25159:A *3017:8 0 +6 *25159:A *6023:8 6.20492e-05 +*RES +1 mgmt_gpio_in[2] *25159:A 20.827 +*END + +*D_NET *65 0.00107351 +*CONN +*P mgmt_gpio_in[30] I +*I *25160:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[30] 0.000496859 +2 *25160:A 0.000496859 +3 *25160:A mgmt_gpio_oeb[30] 0 +4 *25160:A mgmt_gpio_out[29] 0 +5 *25160:A mgmt_gpio_out[30] 0 +6 *25160:A *25232:A 0 +7 *25160:A *5715:21 7.97944e-05 +*RES +1 mgmt_gpio_in[30] *25160:A 27.5019 +*END + +*D_NET *66 0.00109468 +*CONN +*P mgmt_gpio_in[31] I +*I *25162:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[31] 0.000521063 +2 *25162:A 0.000521063 +3 *25162:A mgmt_gpio_oeb[31] 1.98085e-05 +4 *25162:A mgmt_gpio_out[30] 0 +5 *25162:A *25235:A 2.81678e-06 +6 *25162:A *5715:21 2.99287e-05 +*RES +1 mgmt_gpio_in[31] *25162:A 27.8583 +*END + +*D_NET *67 0.000975768 +*CONN +*P mgmt_gpio_in[32] I +*I *25163:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 mgmt_gpio_in[32] 0.000471605 +2 *25163:A 0.000471605 +3 *25163:A mgmt_gpio_oeb[32] 0 +4 *25163:A mgmt_gpio_out[31] 0 +5 *25163:A *4834:12 0 +6 *25163:A *5715:21 3.25584e-05 +*RES +1 mgmt_gpio_in[32] *25163:A 26.5321 +*END + +*D_NET *68 0.000975788 +*CONN +*P mgmt_gpio_in[33] I +*I *25164:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 mgmt_gpio_in[33] 0.000471615 +2 *25164:A 0.000471615 +3 *25164:A mgmt_gpio_oeb[33] 0 +4 *25164:A mgmt_gpio_out[32] 0 +5 *25164:A *5715:21 3.25584e-05 +*RES +1 mgmt_gpio_in[33] *25164:A 26.5321 +*END + +*D_NET *69 0.00177223 +*CONN +*P mgmt_gpio_in[34] I +*I *25165:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mgmt_gpio_in[34] 0.000440184 +2 *25165:A 0.000440184 +3 *25165:A mgmt_gpio_oeb[34] 6.92705e-05 +4 *25165:A mgmt_gpio_out[34] 0 +5 *25165:A *144:8 0.000711449 +6 *25165:A *5715:21 0.000111145 +*RES +1 mgmt_gpio_in[34] *25165:A 30.4384 +*END + +*D_NET *70 0.00114144 +*CONN +*P mgmt_gpio_in[35] I +*I *25166:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[35] 0.000482978 +2 *25166:A 0.000482978 +3 *25166:A mgmt_gpio_oeb[35] 0 +4 *25166:A mgmt_gpio_out[34] 0 +5 *25166:A mgmt_gpio_out[35] 0 +6 *25166:A *5904:7 0.000175485 +*RES +1 mgmt_gpio_in[35] *25166:A 27.5019 +*END + +*D_NET *71 0.00123614 +*CONN +*P mgmt_gpio_in[36] I +*I *25167:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 mgmt_gpio_in[36] 0.000555097 +2 *25167:A 0.000555097 +3 *25167:A mgmt_gpio_oeb[19] 1.05601e-05 +4 *25167:A mgmt_gpio_oeb[36] 5.03285e-05 +5 *25167:A mgmt_gpio_out[35] 0 +6 *25167:A *25221:A 6.50586e-05 +*RES +1 mgmt_gpio_in[36] *25167:A 28.9381 +*END + +*D_NET *72 0.00181318 +*CONN +*P mgmt_gpio_in[37] I +*I *25168:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 mgmt_gpio_in[37] 0.000636525 +2 *25168:A 0.000636525 +3 *25168:A mgmt_gpio_oeb[37] 0 +4 *25168:A mgmt_gpio_out[19] 0.000275091 +5 *25168:A mgmt_gpio_out[36] 0 +6 *25168:A *5902:13 0.000180515 +7 *25168:A *5902:16 2.36813e-05 +8 *25168:A *5907:10 6.08467e-05 +*RES +1 mgmt_gpio_in[37] *25168:A 34.0122 +*END + +*D_NET *73 0.00193971 +*CONN +*P mgmt_gpio_in[3] I +*I *25169:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 mgmt_gpio_in[3] 0.000646291 +2 *25169:A 0.000646291 +3 *25169:A *527:69 0.000197421 +4 *25169:A *2882:19 0.000131471 +5 *25169:A *5861:492 0.000136036 +6 *25169:A *5908:9 0.000182201 +*RES +1 mgmt_gpio_in[3] *25169:A 21.2423 +*END + +*D_NET *74 0.130422 +*CONN +*P mgmt_gpio_in[4] I +*I *24910:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 mgmt_gpio_in[4] 0.00102269 +2 *24910:A 0.00251383 +3 *74:44 0.00451279 +4 *74:36 0.00364001 +5 *74:35 0.00462878 +6 *74:32 0.00878152 +7 *74:21 0.00911907 +8 *74:20 0.00332528 +9 *74:18 0.0101733 +10 *74:12 0.0113051 +11 *74:10 0.00215448 +12 *24910:A *21617:A1 0.000206344 +13 *24910:A *22481:C1 0.000108986 +14 *24910:A *22791:B2 0.000104754 +15 *24910:A *520:17 0.000724312 +16 *24910:A *1535:54 0.000257731 +17 *24910:A *1542:25 8.94611e-05 +18 *24910:A *1570:15 0.00287339 +19 *24910:A *1773:76 0.000155118 +20 *24910:A *3114:96 3.39456e-05 +21 *24910:A *3114:137 0.000307262 +22 *24910:A *3175:71 6.91717e-05 +23 *24910:A *3175:219 0.000314667 +24 *24910:A *3406:29 0.00120043 +25 *24910:A *3705:17 0.00121134 +26 *24910:A *5592:70 0.000202101 +27 *74:10 *476:90 0.00143795 +28 *74:10 *476:100 5.7286e-05 +29 *74:10 *2471:36 0.000116092 +30 *74:10 *5908:23 0.00154202 +31 *74:12 *20769:A1 7.74511e-05 +32 *74:12 *20868:D 0.00022211 +33 *74:12 *20869:B 3.03898e-05 +34 *74:12 *23860:A 0.000153225 +35 *74:12 *23861:A 0.000150947 +36 *74:12 *24096:A 3.11022e-05 +37 *74:12 *25233:A 9.92046e-06 +38 *74:12 *476:90 3.88655e-06 +39 *74:12 *535:42 0 +40 *74:12 *2389:39 0.000172062 +41 *74:12 *2448:161 0.00143374 +42 *74:12 *4912:29 0.000757486 +43 *74:12 *5874:64 0.000379487 +44 *74:12 *5908:6 2.02035e-05 +45 *74:12 *5908:23 0.000187473 +46 *74:12 *6024:8 0.000108393 +47 *74:18 *19671:A 0.000321092 +48 *74:18 *21844:B1 0.000876356 +49 *74:18 *24751:D 9.07336e-05 +50 *74:18 *499:18 0.000328706 +51 *74:18 *508:28 0 +52 *74:18 *526:16 0.00168483 +53 *74:18 *1636:33 0.00446342 +54 *74:18 *1731:32 0.000707178 +55 *74:18 *1740:65 0.00179299 +56 *74:18 *1749:47 0 +57 *74:18 *2398:32 1.27046e-05 +58 *74:18 *2448:171 0 +59 *74:18 *2448:184 0 +60 *74:18 *2505:16 0.00173324 +61 *74:18 *2963:102 0.000165572 +62 *74:18 *2964:14 8.58375e-05 +63 *74:18 *2966:14 0.00369436 +64 *74:18 *3547:26 5.54857e-05 +65 *74:18 *4845:474 0.00126374 +66 *74:18 *4912:23 0.000148867 +67 *74:18 *5830:41 8.89523e-05 +68 *74:18 *5851:400 0 +69 *74:18 *5874:75 0.00156328 +70 *74:18 *5874:79 0.00244497 +71 *74:18 *5917:336 4.61962e-05 +72 *74:18 *5917:350 0.000225677 +73 *74:21 *1731:59 1.65582e-05 +74 *74:21 *2398:32 0.000112468 +75 *74:21 *2405:5 0.00427877 +76 *74:32 *484:81 0.000111402 +77 *74:32 *1522:32 0.000207885 +78 *74:32 *1763:26 0.000221281 +79 *74:32 *1783:71 0.000151856 +80 *74:32 *1829:46 0.000208403 +81 *74:32 *2963:96 0.0067947 +82 *74:32 *4864:34 0.000320106 +83 *74:32 *4864:51 7.18816e-06 +84 *74:32 *5082:60 0.000254941 +85 *74:32 *5473:73 0.000559924 +86 *74:32 *5929:38 0.000275836 +87 *74:35 *1697:52 0.00882525 +88 *74:35 *2619:56 0.000798051 +89 *74:35 *2922:54 0.000941967 +90 *74:35 *3014:79 0.000936913 +91 *74:35 *4338:17 0.000246047 +92 *74:36 *21491:A 7.83875e-05 +93 *74:36 *21965:A 0.000177213 +94 *74:36 *24501:D 5.19868e-05 +95 *74:36 *24581:D 0.000107968 +96 *74:36 *1541:136 5.83078e-05 +97 *74:36 *1541:142 0 +98 *74:36 *1733:20 0.00243156 +99 *74:36 *1733:26 0.000240121 +100 *74:36 *1762:63 0.000534478 +101 *74:36 *2702:37 8.72537e-06 +102 *74:36 *2713:27 0.000311547 +103 *74:36 *2844:46 0.000170425 +104 *74:36 *3208:77 0.000941168 +105 *74:36 *4927:6 1.20673e-05 +106 *74:36 *4927:103 1.12029e-05 +107 *74:36 *5219:11 2.69685e-05 +108 *74:44 *20041:A 2.78272e-05 +109 *74:44 *20489:A2 1.77472e-05 +110 *74:44 *20490:A1 2.29263e-05 +111 *74:44 *24580:D 0.000145695 +112 *74:44 *24580:CLK 3.03403e-05 +113 *74:44 *1797:41 0.000825128 +114 *74:44 *2543:38 0.000574064 +115 *74:44 *3168:39 0.000275425 +116 *74:44 *3168:50 0.000162522 +117 *74:44 *3168:78 0.000126753 +118 *74:44 *5219:11 6.08467e-05 +*RES +1 mgmt_gpio_in[4] *74:10 34.5508 +2 *74:10 *74:12 50.8318 +3 *74:12 *74:18 46.0779 +4 *74:18 *74:20 4.5 +5 *74:20 *74:21 56.1838 +6 *74:21 *74:32 45.9104 +7 *74:32 *74:35 15.6953 +8 *74:35 *74:36 57.2682 +9 *74:36 *74:44 48.3912 +10 *74:44 *24910:A 31.3983 +*END + +*D_NET *75 0.00153536 +*CONN +*P mgmt_gpio_in[5] I +*I *25170:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 mgmt_gpio_in[5] 0.000511453 +2 *25170:A 0.000511453 +3 *25170:A *2295:39 0.000100043 +4 *25170:A *2301:18 4.31485e-06 +5 *25170:A *2423:69 0 +6 *25170:A *2729:111 0 +7 *25170:A *2729:121 0.000294899 +8 *25170:A *5874:50 0.000113199 +*RES +1 mgmt_gpio_in[5] *25170:A 20.2151 +*END + +*D_NET *76 0.00118171 +*CONN +*P mgmt_gpio_in[6] I +*I *25171:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_in[6] 0.000463964 +2 *25171:A 0.000463964 +3 *25171:A *476:100 0 +4 *25171:A *2291:32 9.61451e-05 +5 *25171:A *2391:49 3.77978e-06 +6 *25171:A *2446:89 0.000100851 +7 *25171:A *2989:91 6.26347e-06 +8 *25171:A *5910:26 4.67401e-05 +*RES +1 mgmt_gpio_in[6] *25171:A 19.6469 +*END + +*D_NET *77 0.00198284 +*CONN +*P mgmt_gpio_in[7] I +*I *25173:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[7] 0.000734309 +2 *25173:A 0.000734309 +3 *25173:A *537:29 8.03789e-05 +4 *25173:A *1917:34 0.000170628 +5 *25173:A *2274:95 0 +6 *25173:A *2391:34 4.39256e-06 +7 *25173:A *2471:54 0.000165952 +8 *25173:A *2635:67 9.28704e-05 +*RES +1 mgmt_gpio_in[7] *25173:A 21.7668 +*END + +*D_NET *78 0.00189209 +*CONN +*P mgmt_gpio_in[8] I +*I *25174:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mgmt_gpio_in[8] 0.000800498 +2 *25174:A 0.000800498 +3 *25174:A *520:27 2.05195e-05 +4 *25174:A *1917:29 4.83502e-05 +5 *25174:A *2266:55 1.91924e-05 +6 *25174:A *2423:69 3.39196e-05 +7 *25174:A *2471:56 6.34564e-05 +8 *25174:A *5475:110 2.14262e-05 +9 *25174:A *5855:261 6.81742e-05 +10 *25174:A *5913:17 1.60547e-05 +*RES +1 mgmt_gpio_in[8] *25174:A 19.9034 +*END + +*D_NET *79 0.0014892 +*CONN +*P mgmt_gpio_in[9] I +*I *25175:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mgmt_gpio_in[9] 0.000681875 +2 *25175:A 0.000681875 +3 *25175:A *22058:A 3.18128e-05 +4 *25175:A *2384:73 0 +5 *25175:A *2433:113 0 +6 *25175:A *2791:50 0 +7 *25175:A *3072:20 4.424e-06 +8 *25175:A *4829:127 4.87595e-05 +9 *25175:A *5898:194 4.04556e-05 +*RES +1 mgmt_gpio_in[9] *25175:A 20.6091 +*END + +*D_NET *80 0.000557443 +*CONN +*P mgmt_gpio_oeb[0] O +*I *25211:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[0] 0.000278721 +2 *25211:X 0.000278721 +3 mgmt_gpio_oeb[0] *5861:498 0 +*RES +1 *25211:X mgmt_gpio_oeb[0] 18.2481 +*END + +*D_NET *81 0.00161057 +*CONN +*P mgmt_gpio_oeb[10] O +*I *25212:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[10] 0.000577748 +2 *25212:X 0.000577748 +3 mgmt_gpio_oeb[10] *21998:A1 0 +4 mgmt_gpio_oeb[10] *23868:A 7.50319e-05 +5 mgmt_gpio_oeb[10] *25212:A 2.70134e-05 +6 mgmt_gpio_oeb[10] *2433:106 0.000121409 +7 mgmt_gpio_oeb[10] *2635:47 0.00012922 +8 mgmt_gpio_oeb[10] *2692:53 3.87699e-06 +9 mgmt_gpio_oeb[10] *5529:29 1.03403e-05 +10 mgmt_gpio_oeb[10] *5592:102 8.81803e-05 +*RES +1 *25212:X mgmt_gpio_oeb[10] 30.874 +*END + +*D_NET *82 0.000901059 +*CONN +*P mgmt_gpio_oeb[11] O +*I *25213:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[11] 0.000420692 +2 *25213:X 0.000420692 +3 mgmt_gpio_oeb[11] *1688:75 0 +4 mgmt_gpio_oeb[11] *1741:44 2.18821e-05 +5 mgmt_gpio_oeb[11] *2320:56 8.12259e-06 +6 mgmt_gpio_oeb[11] *2791:46 1.05316e-05 +7 mgmt_gpio_oeb[11] *4833:138 1.91391e-05 +*RES +1 *25213:X mgmt_gpio_oeb[11] 18.2481 +*END + +*D_NET *83 0.00113706 +*CONN +*P mgmt_gpio_oeb[12] O +*I *25214:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[12] 0.000423174 +2 *25214:X 0.000423174 +3 mgmt_gpio_oeb[12] *2266:36 3.5353e-05 +4 mgmt_gpio_oeb[12] *2570:46 1.27831e-06 +5 mgmt_gpio_oeb[12] *2687:30 9.99984e-05 +6 mgmt_gpio_oeb[12] *4828:61 0.000154082 +*RES +1 *25214:X mgmt_gpio_oeb[12] 19.9092 +*END + +*D_NET *84 0.00107765 +*CONN +*P mgmt_gpio_oeb[13] O +*I *25215:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[13] 0.000423407 +2 *25215:X 0.000423407 +3 mgmt_gpio_oeb[13] *2372:60 0 +4 mgmt_gpio_oeb[13] *2687:30 0.000117754 +5 mgmt_gpio_oeb[13] *4833:155 0.000113077 +6 mgmt_gpio_oeb[13] *5592:112 0 +*RES +1 *25215:X mgmt_gpio_oeb[13] 19.9092 +*END + +*D_NET *85 0.0007895 +*CONN +*P mgmt_gpio_oeb[14] O +*I *25216:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[14] 0.000358665 +2 *25216:X 0.000358665 +3 mgmt_gpio_oeb[14] *2320:35 0 +4 mgmt_gpio_oeb[14] *2360:43 3.11482e-05 +5 mgmt_gpio_oeb[14] *2687:30 2.18821e-05 +6 mgmt_gpio_oeb[14] *2938:26 1.91391e-05 +*RES +1 *25216:X mgmt_gpio_oeb[14] 18.2481 +*END + +*D_NET *86 0.000700384 +*CONN +*P mgmt_gpio_oeb[15] O +*I *25217:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[15] 0.000303127 +2 *25217:X 0.000303127 +3 mgmt_gpio_oeb[15] *2372:32 4.97938e-05 +4 mgmt_gpio_oeb[15] *2417:43 0 +5 mgmt_gpio_oeb[15] *4818:110 3.17436e-05 +6 mgmt_gpio_oeb[15] *4821:151 1.25923e-05 +*RES +1 *25217:X mgmt_gpio_oeb[15] 18.6634 +*END + +*D_NET *87 0.000812669 +*CONN +*P mgmt_gpio_oeb[16] O +*I *25218:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[16] 0.000326182 +2 *25218:X 0.000326182 +3 mgmt_gpio_oeb[16] *2372:32 0.000112672 +4 mgmt_gpio_oeb[16] *2417:28 4.76318e-05 +*RES +1 *25218:X mgmt_gpio_oeb[16] 19.9092 +*END + +*D_NET *88 0.00065667 +*CONN +*P mgmt_gpio_oeb[17] O +*I *25219:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[17] 0.000307824 +2 *25219:X 0.000307824 +3 mgmt_gpio_oeb[17] mgmt_gpio_out[17] 1.91391e-05 +4 mgmt_gpio_oeb[17] *25219:A 2.18821e-05 +*RES +1 *25219:X mgmt_gpio_oeb[17] 18.2481 +*END + +*D_NET *89 0.000688248 +*CONN +*P mgmt_gpio_oeb[18] O +*I *25220:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[18] 0.000296674 +2 *25220:X 0.000296674 +3 mgmt_gpio_oeb[18] mgmt_gpio_out[18] 4.51062e-05 +4 mgmt_gpio_oeb[18] *5907:17 4.97938e-05 +*RES +1 *25220:X mgmt_gpio_oeb[18] 18.6634 +*END + +*D_NET *90 0.00104584 +*CONN +*P mgmt_gpio_oeb[19] O +*I *25221:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[19] 0.000515542 +2 *25221:X 0.000515542 +3 mgmt_gpio_oeb[19] mgmt_gpio_oeb[36] 4.19401e-06 +4 *25167:A mgmt_gpio_oeb[19] 1.05601e-05 +*RES +1 *25221:X mgmt_gpio_oeb[19] 18.9366 +*END + +*D_NET *91 0.00144964 +*CONN +*P mgmt_gpio_oeb[1] O +*I *25222:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[1] 0.000419263 +2 *25222:X 0.000419263 +3 mgmt_gpio_oeb[1] *20868:A 2.01653e-05 +4 mgmt_gpio_oeb[1] *5874:75 9.47861e-05 +5 mgmt_gpio_oeb[1] *5886:8 0.000154914 +6 mgmt_gpio_oeb[1] *6025:8 0.000341252 +*RES +1 *25222:X mgmt_gpio_oeb[1] 24.0617 +*END + +*D_NET *92 0.00111159 +*CONN +*P mgmt_gpio_oeb[20] O +*I *25223:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[20] 0.000555797 +2 *25223:X 0.000555797 +3 mgmt_gpio_oeb[20] mgmt_gpio_out[20] 0 +4 *25148:A mgmt_gpio_oeb[20] 0 +*RES +1 *25223:X mgmt_gpio_oeb[20] 26.6714 +*END + +*D_NET *93 0.0013573 +*CONN +*P mgmt_gpio_oeb[21] O +*I *25224:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[21] 0.000634411 +2 *25224:X 0.000634411 +3 mgmt_gpio_oeb[21] mgmt_gpio_out[21] 0 +4 mgmt_gpio_oeb[21] *25224:A 4.81015e-05 +5 mgmt_gpio_oeb[21] *5888:7 4.03749e-05 +6 *25149:A mgmt_gpio_oeb[21] 0 +*RES +1 *25224:X mgmt_gpio_oeb[21] 28.3352 +*END + +*D_NET *94 0.00119327 +*CONN +*P mgmt_gpio_oeb[22] O +*I *25225:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[22] 0.000569267 +2 *25225:X 0.000569267 +3 mgmt_gpio_oeb[22] mgmt_gpio_out[22] 0 +4 mgmt_gpio_oeb[22] *25225:A 2.65667e-05 +5 mgmt_gpio_oeb[22] *5888:7 2.81717e-05 +6 *25151:A mgmt_gpio_oeb[22] 0 +*RES +1 *25225:X mgmt_gpio_oeb[22] 27.226 +*END + +*D_NET *95 0.00111292 +*CONN +*P mgmt_gpio_oeb[23] O +*I *25226:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[23] 0.000526583 +2 *25226:X 0.000526583 +3 mgmt_gpio_oeb[23] mgmt_gpio_out[23] 0 +4 mgmt_gpio_oeb[23] *25226:A 3.14978e-05 +5 mgmt_gpio_oeb[23] *5891:7 2.82583e-05 +6 *25152:A mgmt_gpio_oeb[23] 0 +*RES +1 *25226:X mgmt_gpio_oeb[23] 26.6714 +*END + +*D_NET *96 0.00111817 +*CONN +*P mgmt_gpio_oeb[24] O +*I *25227:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[24] 0.000524197 +2 *25227:X 0.000524197 +3 mgmt_gpio_oeb[24] mgmt_gpio_out[24] 0 +4 mgmt_gpio_oeb[24] *25227:A 5.56461e-05 +5 mgmt_gpio_oeb[24] *5891:7 1.41291e-05 +6 *25153:A mgmt_gpio_oeb[24] 0 +*RES +1 *25227:X mgmt_gpio_oeb[24] 26.6714 +*END + +*D_NET *97 0.001557 +*CONN +*P mgmt_gpio_oeb[25] O +*I *25228:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[25] 0.00066953 +2 *25228:X 0.00066953 +3 mgmt_gpio_oeb[25] mgmt_gpio_out[25] 0 +4 mgmt_gpio_oeb[25] *23952:A1 0 +5 mgmt_gpio_oeb[25] *4841:322 0.000217937 +6 *25154:A mgmt_gpio_oeb[25] 0 +*RES +1 *25228:X mgmt_gpio_oeb[25] 29.0825 +*END + +*D_NET *98 0.0011981 +*CONN +*P mgmt_gpio_oeb[26] O +*I *25229:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[26] 0.000511306 +2 *25229:X 0.000511306 +3 mgmt_gpio_oeb[26] mgmt_gpio_out[26] 0 +4 mgmt_gpio_oeb[26] *4841:322 0.000175485 +5 *25155:A mgmt_gpio_oeb[26] 0 +*RES +1 *25229:X mgmt_gpio_oeb[26] 26.6714 +*END + +*D_NET *99 0.00110604 +*CONN +*P mgmt_gpio_oeb[27] O +*I *25230:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[27] 0.000518383 +2 *25230:X 0.000518383 +3 mgmt_gpio_oeb[27] mgmt_gpio_out[27] 0 +4 mgmt_gpio_oeb[27] *4841:322 6.92705e-05 +5 *25156:A mgmt_gpio_oeb[27] 0 +*RES +1 *25230:X mgmt_gpio_oeb[27] 26.8568 +*END + +*D_NET *100 0.00154653 +*CONN +*P mgmt_gpio_oeb[28] O +*I *25231:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[28] 0.000623447 +2 *25231:X 0.000623447 +3 mgmt_gpio_oeb[28] mgmt_gpio_out[27] 0 +4 mgmt_gpio_oeb[28] mgmt_gpio_out[28] 0 +5 mgmt_gpio_oeb[28] *5506:22 0.000175485 +6 mgmt_gpio_oeb[28] *5896:9 0.000124145 +7 *25157:A mgmt_gpio_oeb[28] 0 +*RES +1 *25231:X mgmt_gpio_oeb[28] 29.9934 +*END + +*D_NET *101 0.00145908 +*CONN +*P mgmt_gpio_oeb[29] O +*I *25232:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[29] 0.00070382 +2 *25232:X 0.00070382 +3 mgmt_gpio_oeb[29] mgmt_gpio_out[29] 0 +4 mgmt_gpio_oeb[29] *5715:21 5.14419e-05 +5 *25158:A mgmt_gpio_oeb[29] 0 +*RES +1 *25232:X mgmt_gpio_oeb[29] 29.6298 +*END + +*D_NET *102 0.0008422 +*CONN +*P mgmt_gpio_oeb[2] O +*I *25233:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[2] 0.000357715 +2 *25233:X 0.000357715 +3 mgmt_gpio_oeb[2] *529:62 6.11074e-05 +4 mgmt_gpio_oeb[2] *5874:64 6.56617e-05 +*RES +1 *25233:X mgmt_gpio_oeb[2] 19.0786 +*END + +*D_NET *103 0.00105508 +*CONN +*P mgmt_gpio_oeb[30] O +*I *25234:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[30] 0.000522949 +2 *25234:X 0.000522949 +3 mgmt_gpio_oeb[30] mgmt_gpio_out[30] 0 +4 mgmt_gpio_oeb[30] *5715:21 9.18559e-06 +5 *25160:A mgmt_gpio_oeb[30] 0 +*RES +1 *25234:X mgmt_gpio_oeb[30] 26.8568 +*END + +*D_NET *104 0.00107534 +*CONN +*P mgmt_gpio_oeb[31] O +*I *25235:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[31] 0.000519218 +2 *25235:X 0.000519218 +3 mgmt_gpio_oeb[31] mgmt_gpio_out[31] 3.93117e-06 +4 mgmt_gpio_oeb[31] *5715:21 1.31657e-05 +5 *25162:A mgmt_gpio_oeb[31] 1.98085e-05 +*RES +1 *25235:X mgmt_gpio_oeb[31] 27.0278 +*END + +*D_NET *105 0.001361 +*CONN +*P mgmt_gpio_oeb[32] O +*I *25236:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[32] 0.000633554 +2 *25236:X 0.000633554 +3 mgmt_gpio_oeb[32] mgmt_gpio_out[32] 0 +4 mgmt_gpio_oeb[32] *25236:A 7.97944e-05 +5 mgmt_gpio_oeb[32] *5715:21 1.40978e-05 +6 *25163:A mgmt_gpio_oeb[32] 0 +*RES +1 *25236:X mgmt_gpio_oeb[32] 29.2462 +*END + +*D_NET *106 0.00113463 +*CONN +*P mgmt_gpio_oeb[33] O +*I *25237:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[33] 0.000553682 +2 *25237:X 0.000553682 +3 mgmt_gpio_oeb[33] mgmt_gpio_out[33] 0 +4 mgmt_gpio_oeb[33] *144:8 0 +5 mgmt_gpio_oeb[33] *5715:21 2.72636e-05 +6 *25164:A mgmt_gpio_oeb[33] 0 +*RES +1 *25237:X mgmt_gpio_oeb[33] 27.5752 +*END + +*D_NET *107 0.000959864 +*CONN +*P mgmt_gpio_oeb[34] O +*I *25238:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[34] 0.000445297 +2 *25238:X 0.000445297 +3 mgmt_gpio_oeb[34] mgmt_gpio_out[34] 0 +4 *25165:A mgmt_gpio_oeb[34] 6.92705e-05 +*RES +1 *25238:X mgmt_gpio_oeb[34] 25.5622 +*END + +*D_NET *108 0.00105753 +*CONN +*P mgmt_gpio_oeb[35] O +*I *25239:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[35] 0.000519117 +2 *25239:X 0.000519117 +3 mgmt_gpio_oeb[35] mgmt_gpio_out[35] 0 +4 mgmt_gpio_oeb[35] *5715:21 1.92926e-05 +5 *25166:A mgmt_gpio_oeb[35] 0 +*RES +1 *25239:X mgmt_gpio_oeb[35] 27.0206 +*END + +*D_NET *109 0.00160531 +*CONN +*P mgmt_gpio_oeb[36] O +*I *25240:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[36] 0.000554783 +2 *25240:X 0.000554783 +3 mgmt_gpio_oeb[36] mgmt_gpio_out[35] 0 +4 mgmt_gpio_oeb[36] mgmt_gpio_out[36] 0 +5 mgmt_gpio_oeb[36] *25221:A 2.65667e-05 +6 mgmt_gpio_oeb[36] *5904:13 0.000381471 +7 mgmt_gpio_oeb[36] *5906:10 3.31882e-05 +8 mgmt_gpio_oeb[19] mgmt_gpio_oeb[36] 4.19401e-06 +9 *25167:A mgmt_gpio_oeb[36] 5.03285e-05 +*RES +1 *25240:X mgmt_gpio_oeb[36] 30.5508 +*END + +*D_NET *110 0.00263283 +*CONN +*P mgmt_gpio_oeb[37] O +*I *25241:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[37] 0.000940065 +2 *25241:X 0.000940065 +3 mgmt_gpio_oeb[37] mgmt_gpio_out[37] 0 +4 mgmt_gpio_oeb[37] *5902:13 0.000623463 +5 mgmt_gpio_oeb[37] *5904:13 4.75576e-05 +6 mgmt_gpio_oeb[37] *5904:16 8.16827e-05 +7 *25168:A mgmt_gpio_oeb[37] 0 +*RES +1 *25241:X mgmt_gpio_oeb[37] 36.6487 +*END + +*D_NET *111 0.000781897 +*CONN +*P mgmt_gpio_oeb[3] O +*I *25242:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[3] 0.000315154 +2 *25242:X 0.000315154 +3 mgmt_gpio_oeb[3] *527:69 0 +4 mgmt_gpio_oeb[3] *2433:128 6.56617e-05 +5 mgmt_gpio_oeb[3] *2448:147 2.36582e-05 +6 mgmt_gpio_oeb[3] *2448:161 6.22684e-05 +*RES +1 *25242:X mgmt_gpio_oeb[3] 19.0786 +*END + +*D_NET *112 0.00167033 +*CONN +*P mgmt_gpio_oeb[4] O +*I *25243:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[4] 0.000611546 +2 *25243:X 0.000611546 +3 mgmt_gpio_oeb[4] *2389:49 4.47123e-05 +4 mgmt_gpio_oeb[4] *2391:49 2.44031e-06 +5 mgmt_gpio_oeb[4] *2423:57 0.000154145 +6 mgmt_gpio_oeb[4] *2882:36 4.35986e-05 +7 mgmt_gpio_oeb[4] *2938:56 0.000116391 +8 mgmt_gpio_oeb[4] *2978:82 4.94045e-05 +9 mgmt_gpio_oeb[4] *5874:54 3.6549e-05 +*RES +1 *25243:X mgmt_gpio_oeb[4] 20.9383 +*END + +*D_NET *113 0.00102152 +*CONN +*P mgmt_gpio_oeb[5] O +*I *25244:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[5] 0.000424255 +2 *25244:X 0.000424255 +3 mgmt_gpio_oeb[5] *2291:32 6.25163e-05 +4 mgmt_gpio_oeb[5] *2423:69 2.30724e-05 +5 mgmt_gpio_oeb[5] *2729:111 2.7642e-05 +6 mgmt_gpio_oeb[5] *2978:68 5.97738e-05 +*RES +1 *25244:X mgmt_gpio_oeb[5] 19.0786 +*END + +*D_NET *114 0.00131811 +*CONN +*P mgmt_gpio_oeb[6] O +*I *25245:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[6] 0.000341309 +2 *25245:X 0.000341309 +3 mgmt_gpio_oeb[6] *529:41 5.45522e-05 +4 mgmt_gpio_oeb[6] *2267:59 0.000374723 +5 mgmt_gpio_oeb[6] *2291:32 4.70612e-05 +6 mgmt_gpio_oeb[6] *2404:101 1.20395e-05 +7 mgmt_gpio_oeb[6] *2978:68 4.23622e-05 +8 mgmt_gpio_oeb[6] *5475:83 0.000104754 +*RES +1 *25245:X mgmt_gpio_oeb[6] 18.6634 +*END + +*D_NET *115 0.000975411 +*CONN +*P mgmt_gpio_oeb[7] O +*I *25246:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[7] 0.00035108 +2 *25246:X 0.00035108 +3 mgmt_gpio_oeb[7] *2282:78 0.000150101 +4 mgmt_gpio_oeb[7] *2291:32 4.97938e-05 +5 mgmt_gpio_oeb[7] *2989:91 2.82399e-05 +6 mgmt_gpio_oeb[7] *5475:90 4.51176e-05 +*RES +1 *25246:X mgmt_gpio_oeb[7] 18.6634 +*END + +*D_NET *116 0.00110268 +*CONN +*P mgmt_gpio_oeb[8] O +*I *25247:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[8] 0.000389845 +2 *25247:X 0.000389845 +3 mgmt_gpio_oeb[8] *1688:91 5.83673e-05 +4 mgmt_gpio_oeb[8] *2266:55 3.17436e-05 +5 mgmt_gpio_oeb[8] *2384:73 5.60804e-05 +6 mgmt_gpio_oeb[8] *2404:85 5.60804e-05 +7 mgmt_gpio_oeb[8] *2448:147 1.85244e-05 +8 mgmt_gpio_oeb[8] *2629:37 8.64829e-05 +9 mgmt_gpio_oeb[8] *2635:48 1.5714e-05 +*RES +1 *25247:X mgmt_gpio_oeb[8] 19.8513 +*END + +*D_NET *117 0.00110022 +*CONN +*P mgmt_gpio_oeb[9] O +*I *25248:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_oeb[9] 0.000385676 +2 *25248:X 0.000385676 +3 mgmt_gpio_oeb[9] *2257:73 0.000113066 +4 mgmt_gpio_oeb[9] *2384:73 3.25619e-05 +5 mgmt_gpio_oeb[9] *2391:27 3.01418e-05 +6 mgmt_gpio_oeb[9] *2404:73 0.000117754 +7 mgmt_gpio_oeb[9] *2433:113 0 +8 mgmt_gpio_oeb[9] *5874:36 3.17436e-05 +9 mgmt_gpio_oeb[9] *5910:26 3.60286e-06 +*RES +1 *25248:X mgmt_gpio_oeb[9] 19.9092 +*END + +*D_NET *118 0.00066547 +*CONN +*P mgmt_gpio_out[0] O +*I *25249:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_out[0] 0.000301199 +2 *25249:X 0.000301199 +3 mgmt_gpio_out[0] *2295:13 6.30725e-05 +4 mgmt_gpio_out[0] *5861:498 0 +*RES +1 *25249:X mgmt_gpio_out[0] 18.2481 +*END + +*D_NET *119 0.000938952 +*CONN +*P mgmt_gpio_out[10] O +*I *24104:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[10] 0.00038702 +2 *24104:Z 0.00038702 +3 mgmt_gpio_out[10] *2391:77 0 +4 mgmt_gpio_out[10] *2433:106 7.47905e-05 +5 mgmt_gpio_out[10] *2687:68 2.0048e-05 +6 mgmt_gpio_out[10] *4833:138 7.00732e-05 +*RES +1 *24104:Z mgmt_gpio_out[10] 19.0022 +*END + +*D_NET *120 0.00149173 +*CONN +*P mgmt_gpio_out[11] O +*I *24105:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[11] 0.000351304 +2 *24105:Z 0.000351304 +3 mgmt_gpio_out[11] *1688:75 0 +4 mgmt_gpio_out[11] *2266:36 0.000304758 +5 mgmt_gpio_out[11] *2320:56 0.000309324 +6 mgmt_gpio_out[11] *4825:95 3.52699e-05 +7 mgmt_gpio_out[11] *4833:155 0.000139765 +*RES +1 *24105:Z mgmt_gpio_out[11] 23.1547 +*END + +*D_NET *121 0.0103126 +*CONN +*P mgmt_gpio_out[12] O +*I *24106:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[12] 0.000956356 +2 *24106:Z 0.000666197 +3 *121:14 0.00162255 +4 mgmt_gpio_out[12] *24039:A0 0.00022778 +5 mgmt_gpio_out[12] *2570:46 0.000484895 +6 mgmt_gpio_out[12] *2679:18 3.42731e-05 +7 mgmt_gpio_out[12] *2687:30 0.00013298 +8 mgmt_gpio_out[12] *4821:151 0.00161287 +9 mgmt_gpio_out[12] *4823:63 0.000390484 +10 mgmt_gpio_out[12] *4833:155 0.000488793 +11 mgmt_gpio_out[12] *4833:172 5.1565e-05 +12 mgmt_gpio_out[12] *5532:6 0.000482245 +13 *121:14 mgmt_gpio_out[14] 4.40531e-05 +14 *121:14 *2320:35 1.66626e-05 +15 *121:14 *2360:43 4.04556e-05 +16 *121:14 *2687:29 6.08467e-05 +17 *121:14 *2687:30 4.84132e-05 +18 *121:14 *2938:26 0.00110365 +19 *121:14 *4821:151 0.0016271 +20 *121:14 *4833:172 0.000220386 +*RES +1 *24106:Z *121:14 48.4622 +2 *121:14 mgmt_gpio_out[12] 41.5111 +*END + +*D_NET *122 0.00199026 +*CONN +*P mgmt_gpio_out[13] O +*I *24107:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[13] 0.000899526 +2 *24107:Z 0.000899526 +3 mgmt_gpio_out[13] *24730:D 6.9709e-05 +4 mgmt_gpio_out[13] *2610:29 6.49917e-05 +5 mgmt_gpio_out[13] *5493:33 3.09106e-06 +6 mgmt_gpio_out[13] *5592:112 5.34179e-05 +*RES +1 *24107:Z mgmt_gpio_out[13] 20.1495 +*END + +*D_NET *123 0.000687362 +*CONN +*P mgmt_gpio_out[14] O +*I *24108:Z O *D sky130_fd_sc_hd__ebufn_2 +*CAP +1 mgmt_gpio_out[14] 0.000297275 +2 *24108:Z 0.000297275 +3 mgmt_gpio_out[14] *2357:50 0 +4 mgmt_gpio_out[14] *2360:43 0 +5 mgmt_gpio_out[14] *2938:26 4.87595e-05 +6 *121:14 mgmt_gpio_out[14] 4.40531e-05 +*RES +1 *24108:Z mgmt_gpio_out[14] 18.5869 +*END + +*D_NET *124 0.00109296 +*CONN +*P mgmt_gpio_out[15] O +*I *24109:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[15] 0.00030849 +2 *24109:Z 0.00030849 +3 mgmt_gpio_out[15] *2417:28 0.00021725 +4 mgmt_gpio_out[15] *2417:43 0 +5 mgmt_gpio_out[15] *2451:36 3.67893e-05 +6 mgmt_gpio_out[15] *4818:116 0.000221938 +*RES +1 *24109:Z mgmt_gpio_out[15] 21.4937 +*END + +*D_NET *125 0.000657927 +*CONN +*P mgmt_gpio_out[16] O +*I *24110:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[16] 0.000275954 +2 *24110:Z 0.000275954 +3 mgmt_gpio_out[16] *2372:32 3.59462e-05 +4 mgmt_gpio_out[16] *2417:28 7.00732e-05 +*RES +1 *24110:Z mgmt_gpio_out[16] 19.0022 +*END + +*D_NET *126 0.00165975 +*CONN +*P mgmt_gpio_out[17] O +*I *24111:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[17] 0.000548069 +2 *24111:Z 0.000548069 +3 mgmt_gpio_out[17] *25219:A 7.92977e-05 +4 mgmt_gpio_out[17] *2372:30 0.00015226 +5 mgmt_gpio_out[17] *5884:11 0.000312913 +6 mgmt_gpio_oeb[17] mgmt_gpio_out[17] 1.91391e-05 +*RES +1 *24111:Z mgmt_gpio_out[17] 29.7987 +*END + +*D_NET *127 0.00229773 +*CONN +*P mgmt_gpio_out[18] O +*I *24112:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[18] 0.000594505 +2 *24112:Z 0.000594505 +3 mgmt_gpio_out[18] *4821:155 0.000302615 +4 mgmt_gpio_out[18] *5907:10 0.000605294 +5 mgmt_gpio_out[18] *5907:17 0.000155707 +6 mgmt_gpio_oeb[18] mgmt_gpio_out[18] 4.51062e-05 +*RES +1 *24112:Z mgmt_gpio_out[18] 34.7817 +*END + +*D_NET *128 0.00249668 +*CONN +*P mgmt_gpio_out[19] O +*I *24113:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[19] 0.000735572 +2 *24113:Z 0.000735572 +3 mgmt_gpio_out[19] mgmt_gpio_out[36] 0.000415842 +4 mgmt_gpio_out[19] *5902:16 0 +5 mgmt_gpio_out[19] *5907:10 0.000334602 +6 *25168:A mgmt_gpio_out[19] 0.000275091 +*RES +1 *24113:Z mgmt_gpio_out[19] 38.9343 +*END + +*D_NET *129 0.000834524 +*CONN +*P mgmt_gpio_out[1] O +*I *25250:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_out[1] 0.00034341 +2 *25250:X 0.00034341 +3 mgmt_gpio_out[1] *5874:75 0.000113077 +4 mgmt_gpio_out[1] *6024:8 3.46262e-05 +*RES +1 *25250:X mgmt_gpio_out[1] 19.9092 +*END + +*D_NET *130 0.00238339 +*CONN +*P mgmt_gpio_out[20] O +*I *24114:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[20] 0.000883062 +2 *24114:Z 0.000883062 +3 mgmt_gpio_out[20] *19898:A 0.000211492 +4 mgmt_gpio_out[20] *20596:A1 5.66868e-06 +5 mgmt_gpio_out[20] *23888:A 0.000174175 +6 mgmt_gpio_out[20] *23889:A 0.000116971 +7 mgmt_gpio_out[20] *25223:A 0 +8 mgmt_gpio_out[20] *4822:27 3.64685e-05 +9 mgmt_gpio_out[20] *4840:325 7.97098e-06 +10 mgmt_gpio_out[20] *5715:10 9.2346e-06 +11 mgmt_gpio_out[20] *5918:32 4.34007e-05 +12 mgmt_gpio_out[20] *5918:51 1.18802e-05 +13 mgmt_gpio_oeb[20] mgmt_gpio_out[20] 0 +14 *25149:A mgmt_gpio_out[20] 0 +*RES +1 *24114:Z mgmt_gpio_out[20] 39.0898 +*END + +*D_NET *131 0.00608959 +*CONN +*P mgmt_gpio_out[21] O +*I *24115:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[21] 0.000809351 +2 *24115:Z 0.00141735 +3 *131:11 0.0022267 +4 mgmt_gpio_out[21] *20596:A2 1.93378e-05 +5 mgmt_gpio_out[21] *24507:D 0 +6 mgmt_gpio_out[21] *25224:A 0 +7 mgmt_gpio_out[21] *4838:274 0 +8 *131:11 mgmt_gpio_out[22] 0.000689459 +9 *131:11 *20596:B2 0.000110701 +10 *131:11 *23890:A 0.000169078 +11 *131:11 *23891:A 1.00937e-05 +12 *131:11 *23893:A 0.000199826 +13 *131:11 *24117:A 4.12119e-05 +14 *131:11 *4823:156 1.07248e-05 +15 *131:11 *4824:33 1.75637e-06 +16 *131:11 *4895:117 0.000382777 +17 *131:11 *5918:56 1.21461e-06 +18 mgmt_gpio_oeb[21] mgmt_gpio_out[21] 0 +19 *25149:A mgmt_gpio_out[21] 0 +20 *25151:A mgmt_gpio_out[21] 0 +*RES +1 *24115:Z *131:11 47.7309 +2 *131:11 mgmt_gpio_out[21] 24.31 +*END + +*D_NET *132 0.00321933 +*CONN +*P mgmt_gpio_out[22] O +*I *24116:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[22] 0.000788069 +2 *24116:Z 0.000788069 +3 mgmt_gpio_out[22] *23890:A 0.000357484 +4 mgmt_gpio_out[22] *23893:A 1.41976e-05 +5 mgmt_gpio_out[22] *24116:TE_B 6.50586e-05 +6 mgmt_gpio_out[22] *24117:TE_B 0 +7 mgmt_gpio_out[22] *25225:A 2.29959e-05 +8 mgmt_gpio_out[22] *25226:A 0.000136908 +9 mgmt_gpio_out[22] *4825:181 0 +10 mgmt_gpio_out[22] *5892:10 0.00035709 +11 mgmt_gpio_oeb[22] mgmt_gpio_out[22] 0 +12 *25151:A mgmt_gpio_out[22] 0 +13 *25152:A mgmt_gpio_out[22] 0 +14 *131:11 mgmt_gpio_out[22] 0.000689459 +*RES +1 *24116:Z mgmt_gpio_out[22] 41.1012 +*END + +*D_NET *133 0.00186978 +*CONN +*P mgmt_gpio_out[23] O +*I *24117:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[23] 0.000677768 +2 *24117:Z 0.000677768 +3 mgmt_gpio_out[23] *24116:A 0 +4 mgmt_gpio_out[23] *24117:TE_B 9.63981e-05 +5 mgmt_gpio_out[23] *5506:22 0.000379505 +6 mgmt_gpio_out[23] *5715:13 3.83429e-05 +7 mgmt_gpio_out[23] *5888:8 0 +8 mgmt_gpio_oeb[23] mgmt_gpio_out[23] 0 +9 *25152:A mgmt_gpio_out[23] 0 +10 *25153:A mgmt_gpio_out[23] 0 +*RES +1 *24117:Z mgmt_gpio_out[23] 33.0424 +*END + +*D_NET *134 0.00685152 +*CONN +*P mgmt_gpio_out[24] O +*I *24118:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[24] 0.00166973 +2 *24118:Z 0.000647856 +3 *134:8 0.00231759 +4 mgmt_gpio_out[24] *23897:A 8.01687e-05 +5 mgmt_gpio_out[24] *23900:A 7.97944e-05 +6 mgmt_gpio_out[24] *24120:A 1.80887e-05 +7 mgmt_gpio_out[24] *25227:A 0.000328514 +8 mgmt_gpio_out[24] *4828:21 1.92172e-05 +9 mgmt_gpio_out[24] *4841:322 0.000192038 +10 mgmt_gpio_out[24] *5888:8 0 +11 mgmt_gpio_out[24] *5918:56 0.000385374 +12 mgmt_gpio_out[24] *5918:78 9.03506e-05 +13 *134:8 *24120:A 0.000163982 +14 *134:8 *24714:CLK 0.000738773 +15 *134:8 *135:11 3.14055e-05 +16 *134:8 *2687:10 0 +17 *134:8 *5510:8 8.86331e-05 +18 mgmt_gpio_oeb[24] mgmt_gpio_out[24] 0 +19 *25153:A mgmt_gpio_out[24] 0 +20 *25154:A mgmt_gpio_out[24] 0 +*RES +1 *24118:Z *134:8 37.5217 +2 *134:8 mgmt_gpio_out[24] 41.1169 +*END + +*D_NET *135 0.00606446 +*CONN +*P mgmt_gpio_out[25] O +*I *24119:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[25] 0.000833874 +2 *24119:Z 0.000857753 +3 *135:11 0.00169163 +4 mgmt_gpio_out[25] *21797:A 0.000146645 +5 mgmt_gpio_out[25] *23901:A 3.08133e-05 +6 mgmt_gpio_out[25] *23952:A0 0.00032235 +7 mgmt_gpio_out[25] *23952:A1 7.96796e-05 +8 mgmt_gpio_out[25] *25228:A 9.85547e-05 +9 mgmt_gpio_out[25] *25229:A 0 +10 mgmt_gpio_out[25] *2813:8 0.000435806 +11 mgmt_gpio_out[25] *5891:14 0 +12 *135:11 *23896:A 2.23124e-05 +13 *135:11 *24119:A 3.20069e-06 +14 *135:11 *24714:CLK 0.000904322 +15 *135:11 *4835:7 1.87015e-05 +16 *135:11 *4840:341 3.99086e-06 +17 *135:11 *5510:8 8.62625e-06 +18 *135:11 *5891:14 0.000561143 +19 mgmt_gpio_oeb[25] mgmt_gpio_out[25] 0 +20 *25155:A mgmt_gpio_out[25] 1.36556e-05 +21 *134:8 *135:11 3.14055e-05 +*RES +1 *24119:Z *135:11 46.6302 +2 *135:11 mgmt_gpio_out[25] 25.8433 +*END + +*D_NET *136 0.00171396 +*CONN +*P mgmt_gpio_out[26] O +*I *24120:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[26] 0.000771894 +2 *24120:Z 0.000771894 +3 mgmt_gpio_out[26] *21648:A 0.000143032 +4 mgmt_gpio_out[26] *24120:A 2.71397e-05 +5 mgmt_gpio_out[26] *25229:A 0 +6 mgmt_gpio_out[26] *2687:10 0 +7 mgmt_gpio_out[26] *5891:14 0 +8 mgmt_gpio_oeb[26] mgmt_gpio_out[26] 0 +9 *25156:A mgmt_gpio_out[26] 0 +*RES +1 *24120:Z mgmt_gpio_out[26] 33.6324 +*END + +*D_NET *137 0.00211603 +*CONN +*P mgmt_gpio_out[27] O +*I *24121:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[27] 0.000752231 +2 *24121:Z 0.000752231 +3 mgmt_gpio_out[27] *20291:A2 7.87126e-05 +4 mgmt_gpio_out[27] *20291:B1 0.000387765 +5 mgmt_gpio_out[27] *23902:A 2.30271e-05 +6 mgmt_gpio_out[27] *24714:D 0.000122068 +7 mgmt_gpio_out[27] *5893:8 0 +8 mgmt_gpio_oeb[27] mgmt_gpio_out[27] 0 +9 mgmt_gpio_oeb[28] mgmt_gpio_out[27] 0 +10 *25157:A mgmt_gpio_out[27] 0 +*RES +1 *24121:Z mgmt_gpio_out[27] 35.6354 +*END + +*D_NET *138 0.00281579 +*CONN +*P mgmt_gpio_out[28] O +*I *24122:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[28] 0.00106202 +2 *24122:Z 0.00106202 +3 mgmt_gpio_out[28] *23904:A 0 +4 mgmt_gpio_out[28] *23907:A 0.000122083 +5 mgmt_gpio_out[28] *24716:RESET_B 0 +6 mgmt_gpio_out[28] *4831:77 0.000569664 +7 mgmt_gpio_out[28] *4843:906 0 +8 mgmt_gpio_out[28] *5896:9 0 +9 mgmt_gpio_out[28] *5897:12 0 +10 mgmt_gpio_oeb[28] mgmt_gpio_out[28] 0 +11 *25158:A mgmt_gpio_out[28] 0 +*RES +1 *24122:Z mgmt_gpio_out[28] 43.1832 +*END + +*D_NET *139 0.00162177 +*CONN +*P mgmt_gpio_out[29] O +*I *24123:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[29] 0.000740643 +2 *24123:Z 0.000740643 +3 mgmt_gpio_out[29] *21310:A 0 +4 mgmt_gpio_out[29] *23909:A 0 +5 mgmt_gpio_out[29] *24123:TE_B 0 +6 mgmt_gpio_out[29] *25232:A 0.000140487 +7 mgmt_gpio_out[29] *5715:18 0 +8 mgmt_gpio_out[29] *5897:12 0 +9 mgmt_gpio_oeb[29] mgmt_gpio_out[29] 0 +10 *25158:A mgmt_gpio_out[29] 0 +11 *25160:A mgmt_gpio_out[29] 0 +*RES +1 *24123:Z mgmt_gpio_out[29] 32.7286 +*END + +*D_NET *140 0.00129274 +*CONN +*P mgmt_gpio_out[2] O +*I *24096:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[2] 0.000360238 +2 *24096:Z 0.000360238 +3 mgmt_gpio_out[2] *529:62 0.000231363 +4 mgmt_gpio_out[2] *4828:115 3.46002e-05 +5 mgmt_gpio_out[2] *5898:158 0.000306296 +*RES +1 *24096:Z mgmt_gpio_out[2] 23.1547 +*END + +*D_NET *141 0.00354825 +*CONN +*P mgmt_gpio_out[30] O +*I *24124:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[30] 0.00103643 +2 *24124:Z 0.00103643 +3 mgmt_gpio_out[30] *21249:A 0.000264942 +4 mgmt_gpio_out[30] *23910:A 0.000569634 +5 mgmt_gpio_out[30] *23946:S 0 +6 mgmt_gpio_out[30] *24710:CLK 0.000360687 +7 mgmt_gpio_out[30] *25234:A 0 +8 mgmt_gpio_out[30] *25235:A 0 +9 mgmt_gpio_out[30] *4843:935 0.000194415 +10 mgmt_gpio_out[30] *5727:10 0 +11 mgmt_gpio_out[30] *5729:8 7.50722e-05 +12 mgmt_gpio_out[30] *5935:21 1.06441e-05 +13 mgmt_gpio_oeb[30] mgmt_gpio_out[30] 0 +14 *25160:A mgmt_gpio_out[30] 0 +15 *25162:A mgmt_gpio_out[30] 0 +*RES +1 *24124:Z mgmt_gpio_out[30] 46.9205 +*END + +*D_NET *142 0.00534563 +*CONN +*P mgmt_gpio_out[31] O +*I *24125:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[31] 0.00148414 +2 *24125:Z 0 +3 *142:5 0.00148414 +4 mgmt_gpio_out[31] *23947:A0 0.000101036 +5 mgmt_gpio_out[31] *25235:A 0 +6 mgmt_gpio_out[31] *1913:46 0 +7 mgmt_gpio_out[31] *1913:57 0 +8 mgmt_gpio_out[31] *4834:12 0.000501735 +9 mgmt_gpio_out[31] *4834:16 0.00169917 +10 mgmt_gpio_out[31] *4840:370 7.14746e-05 +11 mgmt_gpio_out[31] *5727:10 0 +12 mgmt_gpio_oeb[31] mgmt_gpio_out[31] 3.93117e-06 +13 *25163:A mgmt_gpio_out[31] 0 +*RES +1 *24125:Z *142:5 13.7491 +2 *142:5 mgmt_gpio_out[31] 48.4624 +*END + +*D_NET *143 0.00623887 +*CONN +*P mgmt_gpio_out[32] O +*I *24126:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[32] 0.00149889 +2 *24126:Z 2.68491e-05 +3 *143:7 0.00152573 +4 mgmt_gpio_out[32] *20301:A1 0 +5 mgmt_gpio_out[32] *20338:B1 0.000143047 +6 mgmt_gpio_out[32] *24128:A 0 +7 mgmt_gpio_out[32] *25236:A 0 +8 mgmt_gpio_out[32] *1924:96 4.52324e-05 +9 mgmt_gpio_out[32] *1925:40 0.000270243 +10 mgmt_gpio_out[32] *1925:68 0.000666173 +11 mgmt_gpio_out[32] *4828:43 0 +12 mgmt_gpio_out[32] *4835:18 0 +13 mgmt_gpio_out[32] *5506:8 0.000143032 +14 mgmt_gpio_out[32] *5506:21 0.00171504 +15 mgmt_gpio_out[32] *5902:10 0 +16 mgmt_gpio_out[32] *5903:6 0 +17 *143:7 *4833:188 0.000171273 +18 *143:7 *5906:20 3.33532e-05 +19 mgmt_gpio_oeb[32] mgmt_gpio_out[32] 0 +20 *25164:A mgmt_gpio_out[32] 0 +*RES +1 *24126:Z *143:7 15.5817 +2 *143:7 mgmt_gpio_out[32] 51.3691 +*END + +*D_NET *144 0.0116459 +*CONN +*P mgmt_gpio_out[33] O +*I *24127:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[33] 0.00011588 +2 *24127:Z 4.30223e-05 +3 *144:8 0.003167 +4 *144:7 0.00309415 +5 *144:7 *2813:25 0.000266832 +6 *144:7 *4815:19 0.000115632 +7 *144:8 *20278:A2 0.000160467 +8 *144:8 *20278:B2 1.32509e-05 +9 *144:8 *24042:A1 4.26859e-05 +10 *144:8 *24128:TE_B 0 +11 *144:8 *24681:CLK 0 +12 *144:8 *24683:RESET_B 0 +13 *144:8 *24707:RESET_B 0 +14 *144:8 *24719:D 0.00012568 +15 *144:8 *24721:D 5.21927e-05 +16 *144:8 *24724:D 7.14746e-05 +17 *144:8 *25237:A 0 +18 *144:8 *25238:A 0.000141496 +19 *144:8 *477:117 0 +20 *144:8 *1907:75 0.000964523 +21 *144:8 *1908:45 2.54248e-05 +22 *144:8 *1908:56 0 +23 *144:8 *2687:20 0.00082743 +24 *144:8 *4838:348 2.02035e-05 +25 *144:8 *4839:382 0.000221185 +26 *144:8 *4841:277 0.000786684 +27 *144:8 *4844:796 5.54015e-05 +28 *144:8 *4845:755 0.000139435 +29 *144:8 *4900:70 0 +30 *144:8 *4900:145 4.20662e-05 +31 *144:8 *4900:147 0.000238662 +32 *144:8 *4900:149 9.63895e-05 +33 *144:8 *4900:171 6.17543e-05 +34 *144:8 *5543:11 4.55535e-05 +35 *144:8 *5867:785 0 +36 mgmt_gpio_oeb[33] mgmt_gpio_out[33] 0 +37 mgmt_gpio_oeb[33] *144:8 0 +38 *25165:A *144:8 0.000711449 +*RES +1 *24127:Z *144:7 16.691 +2 *144:7 *144:8 101.7 +3 *144:8 mgmt_gpio_out[33] 4.50671 +*END + +*D_NET *145 0.00143637 +*CONN +*P mgmt_gpio_out[34] O +*I *24128:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[34] 0.000718185 +2 *24128:Z 0.000718185 +3 mgmt_gpio_out[34] *21655:A 0 +4 mgmt_gpio_out[34] *25238:A 0 +5 mgmt_gpio_oeb[34] mgmt_gpio_out[34] 0 +6 *25165:A mgmt_gpio_out[34] 0 +7 *25166:A mgmt_gpio_out[34] 0 +*RES +1 *24128:Z mgmt_gpio_out[34] 31.8981 +*END + +*D_NET *146 0.00149784 +*CONN +*P mgmt_gpio_out[35] O +*I *25251:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_out[35] 0.000661179 +2 *25251:X 0.000661179 +3 mgmt_gpio_out[35] *25221:A 0 +4 mgmt_gpio_out[35] *5714:38 0 +5 mgmt_gpio_out[35] *5902:13 0.000175485 +6 mgmt_gpio_oeb[35] mgmt_gpio_out[35] 0 +7 mgmt_gpio_oeb[36] mgmt_gpio_out[35] 0 +8 *25166:A mgmt_gpio_out[35] 0 +9 *25167:A mgmt_gpio_out[35] 0 +*RES +1 *25251:X mgmt_gpio_out[35] 29.9934 +*END + +*D_NET *147 0.00191758 +*CONN +*P mgmt_gpio_out[36] O +*I *25252:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_out[36] 0.00060536 +2 *25252:X 0.00060536 +3 mgmt_gpio_out[36] mgmt_gpio_out[37] 6.50586e-05 +4 mgmt_gpio_out[36] *21638:A 1.32509e-05 +5 mgmt_gpio_out[36] *2692:10 1.09738e-05 +6 mgmt_gpio_out[36] *5906:10 0 +7 mgmt_gpio_out[36] *5907:10 0.000201734 +8 mgmt_gpio_oeb[36] mgmt_gpio_out[36] 0 +9 mgmt_gpio_out[19] mgmt_gpio_out[36] 0.000415842 +10 *25168:A mgmt_gpio_out[36] 0 +*RES +1 *25252:X mgmt_gpio_out[36] 33.8673 +*END + +*D_NET *148 0.00268493 +*CONN +*P mgmt_gpio_out[37] O +*I *25253:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_out[37] 0.00125407 +2 *25253:X 0.00125407 +3 mgmt_gpio_out[37] *5728:13 0.000111722 +4 mgmt_gpio_out[37] *5904:16 0 +5 mgmt_gpio_oeb[37] mgmt_gpio_out[37] 0 +6 mgmt_gpio_out[36] mgmt_gpio_out[37] 6.50586e-05 +*RES +1 *25253:X mgmt_gpio_out[37] 43.7108 +*END + +*D_NET *149 0.00117404 +*CONN +*P mgmt_gpio_out[3] O +*I *24097:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[3] 0.000269059 +2 *24097:Z 0.000269059 +3 mgmt_gpio_out[3] *21926:A1 0.000311108 +4 mgmt_gpio_out[3] *476:100 0 +5 mgmt_gpio_out[3] *2433:128 0.000164749 +6 mgmt_gpio_out[3] *2448:147 0.000160061 +*RES +1 *24097:Z mgmt_gpio_out[3] 20.6632 +*END + +*D_NET *150 0.000738022 +*CONN +*P mgmt_gpio_out[4] O +*I *24098:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[4] 0.000347036 +2 *24098:Z 0.000347036 +3 mgmt_gpio_out[4] *2295:39 0 +4 mgmt_gpio_out[4] *2448:147 2.02035e-05 +5 mgmt_gpio_out[4] *2978:82 2.37478e-05 +*RES +1 *24098:Z mgmt_gpio_out[4] 18.1717 +*END + +*D_NET *151 0.00153786 +*CONN +*P mgmt_gpio_out[5] O +*I *24099:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[5] 0.000460349 +2 *24099:Z 0.000460349 +3 mgmt_gpio_out[5] *476:100 0 +4 mgmt_gpio_out[5] *2448:147 0.000306296 +5 mgmt_gpio_out[5] *2978:68 0.000310862 +*RES +1 *24099:Z mgmt_gpio_out[5] 23.1547 +*END + +*D_NET *152 0.00118753 +*CONN +*P mgmt_gpio_out[6] O +*I *24100:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[6] 0.000407553 +2 *24100:Z 0.000407553 +3 mgmt_gpio_out[6] *520:27 5.67842e-06 +4 mgmt_gpio_out[6] *2446:89 3.17436e-05 +5 mgmt_gpio_out[6] *2448:147 0.000165158 +6 mgmt_gpio_out[6] *5475:90 0.000169846 +7 mgmt_gpio_out[6] *5898:191 0 +*RES +1 *24100:Z mgmt_gpio_out[6] 20.6632 +*END + +*D_NET *153 0.00180414 +*CONN +*P mgmt_gpio_out[7] O +*I *24101:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[7] 0.000491929 +2 *24101:Z 0.000491929 +3 mgmt_gpio_out[7] *2448:147 0.000407798 +4 mgmt_gpio_out[7] *2989:91 0 +5 mgmt_gpio_out[7] *5475:110 0.000412486 +*RES +1 *24101:Z mgmt_gpio_out[7] 24.8157 +*END + +*D_NET *154 0.000908331 +*CONN +*P mgmt_gpio_out[8] O +*I *24102:Z O *D sky130_fd_sc_hd__ebufn_8 +*CAP +1 mgmt_gpio_out[8] 0.000333353 +2 *24102:Z 0.000333353 +3 mgmt_gpio_out[8] *2257:85 2.32676e-05 +4 mgmt_gpio_out[8] *2448:147 4.40531e-05 +5 mgmt_gpio_out[8] *2729:77 5.60804e-05 +6 mgmt_gpio_out[8] *2791:50 9.72508e-05 +7 mgmt_gpio_out[8] *4821:143 2.09734e-05 +*RES +1 *24102:Z mgmt_gpio_out[8] 18.5869 +*END + +*D_NET *155 0.00162577 +*CONN +*P mgmt_gpio_out[9] O +*I *24103:Z O *D sky130_fd_sc_hd__ebufn_2 +*CAP +1 mgmt_gpio_out[9] 0.00076916 +2 *24103:Z 0.00076916 +3 mgmt_gpio_out[9] *2391:27 0 +4 mgmt_gpio_out[9] *2471:56 1.91391e-05 +5 mgmt_gpio_out[9] *2687:68 0 +6 mgmt_gpio_out[9] *2692:53 0 +7 mgmt_gpio_out[9] *2882:74 1.57175e-05 +8 mgmt_gpio_out[9] *3257:61 2.27135e-05 +9 mgmt_gpio_out[9] *4828:80 1.33349e-05 +10 mgmt_gpio_out[9] *5902:32 1.65465e-05 +*RES +1 *24103:Z mgmt_gpio_out[9] 18.8601 +*END + +*D_NET *156 0.00219775 +*CONN +*P pad_flash_clk O +*I *25254:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 pad_flash_clk 0.000881588 +2 *25254:X 0.000881588 +3 pad_flash_clk pad_flash_clk_oeb 0 +4 pad_flash_clk *25320:A 0.000434578 +*RES +1 *25254:X pad_flash_clk 38.1759 +*END + +*D_NET *157 0.0012063 +*CONN +*P pad_flash_clk_oeb O +*I *25255:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_flash_clk_oeb 0.000584818 +2 *25255:X 0.000584818 +3 pad_flash_clk_oeb pad_flash_csb 0 +4 pad_flash_clk_oeb sram_ro_addr[0] 0 +5 pad_flash_clk_oeb *25255:A 1.00937e-05 +6 pad_flash_clk_oeb *25256:A 2.65667e-05 +7 pad_flash_clk pad_flash_clk_oeb 0 +*RES +1 *25255:X pad_flash_clk_oeb 27.2288 +*END + +*D_NET *158 0.00120779 +*CONN +*P pad_flash_csb O +*I *25256:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_flash_csb 0.000421595 +2 *25256:X 0.000421595 +3 pad_flash_csb pad_flash_csb_oeb 0 +4 pad_flash_csb sram_ro_addr[0] 0.000317833 +5 pad_flash_csb *25255:A 2.01874e-05 +6 pad_flash_csb *25256:A 2.65831e-05 +7 pad_flash_clk_oeb pad_flash_csb 0 +*RES +1 *25256:X pad_flash_csb 25.9142 +*END + +*D_NET *159 0.00101916 +*CONN +*P pad_flash_csb_oeb O +*I *25257:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_flash_csb_oeb 0.000455784 +2 *25257:X 0.000455784 +3 pad_flash_csb_oeb sram_ro_csb 0 +4 pad_flash_csb_oeb *25255:A 3.99086e-06 +5 pad_flash_csb_oeb *25257:A 2.85274e-05 +6 pad_flash_csb_oeb *5735:24 7.50722e-05 +7 pad_flash_csb pad_flash_csb_oeb 0 +*RES +1 *25257:X pad_flash_csb_oeb 25.0104 +*END + +*D_NET *160 0.00146637 +*CONN +*P pad_flash_io0_di I +*I *25176:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 pad_flash_io0_di 0.00031593 +2 *25176:A 0.00031593 +3 *25176:A pad_flash_io0_do 0 +4 *25176:A sram_ro_csb 0.00057251 +5 *25176:A *22135:B 3.25584e-05 +6 *25176:A *25255:A 5.04829e-06 +7 *25176:A *5735:24 0 +8 *25176:A *5814:27 0.000224395 +*RES +1 pad_flash_io0_di *25176:A 26.3955 +*END + +*D_NET *161 0.00131758 +*CONN +*P pad_flash_io0_do O +*I *25258:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_flash_io0_do 0.000515905 +2 *25258:X 0.000515905 +3 pad_flash_io0_do pad_flash_io0_ieb 0 +4 pad_flash_io0_do *25258:A 1.47102e-05 +5 pad_flash_io0_do *5814:27 0.000271058 +6 *25176:A pad_flash_io0_do 0 +*RES +1 *25258:X pad_flash_io0_do 26.1196 +*END + +*D_NET *162 0.00108479 +*CONN +*P pad_flash_io0_ieb O +*I *25259:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_flash_io0_ieb 0.00045963 +2 *25259:X 0.00045963 +3 pad_flash_io0_ieb pad_flash_io0_oeb 0 +4 pad_flash_io0_ieb *5814:27 0.00016553 +5 pad_flash_io0_do pad_flash_io0_ieb 0 +*RES +1 *25259:X pad_flash_io0_ieb 25.0104 +*END + +*D_NET *163 0.00107679 +*CONN +*P pad_flash_io0_oeb O +*I *25260:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_flash_io0_oeb 0.000452749 +2 *25260:X 0.000452749 +3 pad_flash_io0_oeb *25177:A 0 +4 pad_flash_io0_oeb *5814:27 0.000171288 +5 pad_flash_io0_ieb pad_flash_io0_oeb 0 +*RES +1 *25260:X pad_flash_io0_oeb 25.0104 +*END + +*D_NET *164 0.00088427 +*CONN +*P pad_flash_io1_di I +*I *25177:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 pad_flash_io1_di 0.000416769 +2 *25177:A 0.000416769 +3 *25177:A pad_flash_io1_do 0 +4 *25177:A *5814:27 5.07314e-05 +5 pad_flash_io0_oeb *25177:A 0 +*RES +1 pad_flash_io1_di *25177:A 24.8711 +*END + +*D_NET *165 0.00112802 +*CONN +*P pad_flash_io1_do O +*I *25261:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_flash_io1_do 0.000528554 +2 *25261:X 0.000528554 +3 pad_flash_io1_do pad_flash_io1_ieb 0 +4 pad_flash_io1_do *5814:27 7.09148e-05 +5 *25177:A pad_flash_io1_do 0 +*RES +1 *25261:X pad_flash_io1_do 26.1196 +*END + +*D_NET *166 0.00097224 +*CONN +*P pad_flash_io1_ieb O +*I *25262:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_flash_io1_ieb 0.000464792 +2 *25262:X 0.000464792 +3 pad_flash_io1_ieb pad_flash_io1_oeb 0 +4 pad_flash_io1_ieb *5814:27 4.26566e-05 +5 pad_flash_io1_do pad_flash_io1_ieb 0 +*RES +1 *25262:X pad_flash_io1_ieb 25.0104 +*END + +*D_NET *167 0.00097224 +*CONN +*P pad_flash_io1_oeb O +*I *25263:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pad_flash_io1_oeb 0.000464792 +2 *25263:X 0.000464792 +3 pad_flash_io1_oeb *25178:A 0 +4 pad_flash_io1_oeb *5814:27 4.26566e-05 +5 pad_flash_io1_ieb pad_flash_io1_oeb 0 +*RES +1 *25263:X pad_flash_io1_oeb 25.0104 +*END + +*D_NET *168 0.000981945 +*CONN +*P pll90_sel[0] O +*I *25264:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll90_sel[0] 0.00046315 +2 *25264:X 0.00046315 +3 pll90_sel[0] pll90_sel[1] 0 +4 pll90_sel[0] pll_sel[2] 0 +5 pll90_sel[0] *5742:13 5.56461e-05 +*RES +1 *25264:X pll90_sel[0] 25.0104 +*END + +*D_NET *169 0.00098332 +*CONN +*P pll90_sel[1] O +*I *25265:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll90_sel[1] 0.000461313 +2 *25265:X 0.000461313 +3 pll90_sel[1] pll90_sel[2] 0 +4 pll90_sel[1] *25265:A 5.56461e-05 +5 pll90_sel[1] *5742:13 5.04829e-06 +6 pll90_sel[0] pll90_sel[1] 0 +*RES +1 *25265:X pll90_sel[1] 25.0104 +*END + +*D_NET *170 0.000959746 +*CONN +*P pll90_sel[2] O +*I *25266:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll90_sel[2] 0.000479873 +2 *25266:X 0.000479873 +3 pll90_sel[2] pll_trim[0] 0 +4 pll90_sel[1] pll90_sel[2] 0 +*RES +1 *25266:X pll90_sel[2] 25.0104 +*END + +*D_NET *171 0.00107796 +*CONN +*P pll_bypass O +*I *25267:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_bypass 0.000456214 +2 *25267:X 0.000456214 +3 pll_bypass pll_trim[25] 0 +4 pll_bypass *324:10 0 +5 pll_bypass *5671:7 0.00016553 +*RES +1 *25267:X pll_bypass 25.0104 +*END + +*D_NET *172 0.00120488 +*CONN +*P pll_dco_ena O +*I *25268:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_dco_ena 0.000574618 +2 *25268:X 0.000574618 +3 pll_dco_ena pll_div[0] 0 +4 pll_dco_ena pll_ena 0 +5 pll_dco_ena *25268:A 5.56461e-05 +*RES +1 *25268:X pll_dco_ena 26.6742 +*END + +*D_NET *173 0.000965483 +*CONN +*P pll_div[0] O +*I *25269:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_div[0] 0.000468588 +2 *25269:X 0.000468588 +3 pll_div[0] pll_div[1] 0 +4 pll_div[0] *25268:A 5.04829e-06 +5 pll_div[0] *25269:A 2.32594e-05 +6 pll_dco_ena pll_div[0] 0 +*RES +1 *25269:X pll_div[0] 25.0104 +*END + +*D_NET *174 0.00106052 +*CONN +*P pll_div[1] O +*I *25270:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_div[1] 0.000494325 +2 *25270:X 0.000494325 +3 pll_div[1] pll_div[2] 0 +4 pll_div[1] *25269:A 1.62206e-05 +5 pll_div[1] *25270:A 5.56461e-05 +6 pll_div[0] pll_div[1] 0 +*RES +1 *25270:X pll_div[1] 25.565 +*END + +*D_NET *175 0.000973216 +*CONN +*P pll_div[2] O +*I *25271:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_div[2] 0.000463962 +2 *25271:X 0.000463962 +3 pll_div[2] pll_div[3] 0 +4 pll_div[2] *25270:A 4.52921e-05 +5 pll_div[1] pll_div[2] 0 +*RES +1 *25271:X pll_div[2] 25.0104 +*END + +*D_NET *176 0.00104433 +*CONN +*P pll_div[3] O +*I *25272:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_div[3] 0.000457913 +2 *25272:X 0.000457913 +3 pll_div[3] pll_div[4] 0 +4 pll_div[3] *25269:A 6.12686e-06 +5 pll_div[3] *25272:A 0.000122378 +6 pll_div[2] pll_div[3] 0 +*RES +1 *25272:X pll_div[3] 25.0104 +*END + +*D_NET *177 0.00107367 +*CONN +*P pll_div[4] O +*I *25273:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_div[4] 0.000451193 +2 *25273:X 0.000451193 +3 pll_div[4] pll_sel[0] 0 +4 pll_div[4] *25272:A 0.000171288 +5 pll_div[3] pll_div[4] 0 +*RES +1 *25273:X pll_div[4] 25.0104 +*END + +*D_NET *178 0.00123574 +*CONN +*P pll_ena O +*I *25274:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_ena 0.000600498 +2 *25274:X 0.000600498 +3 pll_ena reset 0 +4 pll_ena *25274:A 3.25584e-05 +5 pll_ena *5814:18 0 +6 pll_ena *5917:6 0 +7 pll_ena *5917:9 2.18523e-06 +8 pll_dco_ena pll_ena 0 +*RES +1 *25274:X pll_ena 28.3324 +*END + +*D_NET *179 0.00104496 +*CONN +*P pll_sel[0] O +*I *25275:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_sel[0] 0.000458765 +2 *25275:X 0.000458765 +3 pll_sel[0] pll_sel[1] 0 +4 pll_sel[0] *25273:A 5.04829e-06 +5 pll_sel[0] *25275:A 0.000122378 +6 pll_div[4] pll_sel[0] 0 +*RES +1 *25275:X pll_sel[0] 25.0104 +*END + +*D_NET *180 0.00107367 +*CONN +*P pll_sel[1] O +*I *25276:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_sel[1] 0.000451193 +2 *25276:X 0.000451193 +3 pll_sel[1] pll_sel[2] 0 +4 pll_sel[1] *25275:A 0.000171288 +5 pll_sel[0] pll_sel[1] 0 +*RES +1 *25276:X pll_sel[1] 25.0104 +*END + +*D_NET *181 0.00107367 +*CONN +*P pll_sel[2] O +*I *25277:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_sel[2] 0.000451193 +2 *25277:X 0.000451193 +3 pll_sel[2] *25275:A 0.000171288 +4 pll90_sel[0] pll_sel[2] 0 +5 pll_sel[1] pll_sel[2] 0 +*RES +1 *25277:X pll_sel[2] 25.0104 +*END + +*D_NET *182 0.000981945 +*CONN +*P pll_trim[0] O +*I *25278:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[0] 0.00046315 +2 *25278:X 0.00046315 +3 pll_trim[0] pll_trim[1] 0 +4 pll_trim[0] *25278:A 5.56461e-05 +5 pll90_sel[2] pll_trim[0] 0 +*RES +1 *25278:X pll_trim[0] 25.0104 +*END + +*D_NET *183 0.00107367 +*CONN +*P pll_trim[10] O +*I *25279:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[10] 0.000451193 +2 *25279:X 0.000451193 +3 pll_trim[10] pll_trim[11] 0 +4 pll_trim[10] pll_trim[9] 0 +5 pll_trim[10] *5671:7 0.000171288 +*RES +1 *25279:X pll_trim[10] 25.0104 +*END + +*D_NET *184 0.00107367 +*CONN +*P pll_trim[11] O +*I *25280:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[11] 0.000451193 +2 *25280:X 0.000451193 +3 pll_trim[11] pll_trim[12] 0 +4 pll_trim[11] *5671:7 0.000171288 +5 pll_trim[10] pll_trim[11] 0 +*RES +1 *25280:X pll_trim[11] 25.0104 +*END + +*D_NET *185 0.00107367 +*CONN +*P pll_trim[12] O +*I *25281:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[12] 0.000451193 +2 *25281:X 0.000451193 +3 pll_trim[12] pll_trim[13] 0 +4 pll_trim[12] *5671:7 0.000171288 +5 pll_trim[11] pll_trim[12] 0 +*RES +1 *25281:X pll_trim[12] 25.0104 +*END + +*D_NET *186 0.00107367 +*CONN +*P pll_trim[13] O +*I *25282:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[13] 0.000451193 +2 *25282:X 0.000451193 +3 pll_trim[13] pll_trim[14] 0 +4 pll_trim[13] *5671:7 0.000171288 +5 pll_trim[12] pll_trim[13] 0 +*RES +1 *25282:X pll_trim[13] 25.0104 +*END + +*D_NET *187 0.00107367 +*CONN +*P pll_trim[14] O +*I *25283:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[14] 0.000451193 +2 *25283:X 0.000451193 +3 pll_trim[14] pll_trim[15] 0 +4 pll_trim[14] *5671:7 0.000171288 +5 pll_trim[13] pll_trim[14] 0 +*RES +1 *25283:X pll_trim[14] 25.0104 +*END + +*D_NET *188 0.00107367 +*CONN +*P pll_trim[15] O +*I *25284:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[15] 0.000451193 +2 *25284:X 0.000451193 +3 pll_trim[15] pll_trim[16] 0 +4 pll_trim[15] *5671:7 0.000171288 +5 pll_trim[14] pll_trim[15] 0 +*RES +1 *25284:X pll_trim[15] 25.0104 +*END + +*D_NET *189 0.00107367 +*CONN +*P pll_trim[16] O +*I *25285:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[16] 0.000451193 +2 *25285:X 0.000451193 +3 pll_trim[16] pll_trim[17] 0 +4 pll_trim[16] *5671:7 0.000171288 +5 pll_trim[15] pll_trim[16] 0 +*RES +1 *25285:X pll_trim[16] 25.0104 +*END + +*D_NET *190 0.00107367 +*CONN +*P pll_trim[17] O +*I *25286:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[17] 0.000451193 +2 *25286:X 0.000451193 +3 pll_trim[17] pll_trim[18] 0 +4 pll_trim[17] *5671:7 0.000171288 +5 pll_trim[16] pll_trim[17] 0 +*RES +1 *25286:X pll_trim[17] 25.0104 +*END + +*D_NET *191 0.00107367 +*CONN +*P pll_trim[18] O +*I *25287:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[18] 0.000451193 +2 *25287:X 0.000451193 +3 pll_trim[18] pll_trim[19] 0 +4 pll_trim[18] *5671:7 0.000171288 +5 pll_trim[17] pll_trim[18] 0 +*RES +1 *25287:X pll_trim[18] 25.0104 +*END + +*D_NET *192 0.00107367 +*CONN +*P pll_trim[19] O +*I *25288:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[19] 0.000451193 +2 *25288:X 0.000451193 +3 pll_trim[19] pll_trim[20] 0 +4 pll_trim[19] *5671:7 0.000171288 +5 pll_trim[18] pll_trim[19] 0 +*RES +1 *25288:X pll_trim[19] 25.0104 +*END + +*D_NET *193 0.000959746 +*CONN +*P pll_trim[1] O +*I *25289:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[1] 0.000479873 +2 *25289:X 0.000479873 +3 pll_trim[1] pll_trim[2] 0 +4 pll_trim[0] pll_trim[1] 0 +*RES +1 *25289:X pll_trim[1] 25.0104 +*END + +*D_NET *194 0.00107367 +*CONN +*P pll_trim[20] O +*I *25290:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[20] 0.000451193 +2 *25290:X 0.000451193 +3 pll_trim[20] pll_trim[21] 0 +4 pll_trim[20] *5671:7 0.000171288 +5 pll_trim[19] pll_trim[20] 0 +*RES +1 *25290:X pll_trim[20] 25.0104 +*END + +*D_NET *195 0.00107367 +*CONN +*P pll_trim[21] O +*I *25291:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[21] 0.000451193 +2 *25291:X 0.000451193 +3 pll_trim[21] pll_trim[22] 0 +4 pll_trim[21] *5671:7 0.000171288 +5 pll_trim[20] pll_trim[21] 0 +*RES +1 *25291:X pll_trim[21] 25.0104 +*END + +*D_NET *196 0.00107367 +*CONN +*P pll_trim[22] O +*I *25292:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[22] 0.000451193 +2 *25292:X 0.000451193 +3 pll_trim[22] pll_trim[23] 0 +4 pll_trim[22] *5671:7 0.000171288 +5 pll_trim[21] pll_trim[22] 0 +*RES +1 *25292:X pll_trim[22] 25.0104 +*END + +*D_NET *197 0.00107367 +*CONN +*P pll_trim[23] O +*I *25293:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[23] 0.000451193 +2 *25293:X 0.000451193 +3 pll_trim[23] pll_trim[24] 0 +4 pll_trim[23] *5671:7 0.000171288 +5 pll_trim[22] pll_trim[23] 0 +*RES +1 *25293:X pll_trim[23] 25.0104 +*END + +*D_NET *198 0.00107367 +*CONN +*P pll_trim[24] O +*I *25294:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[24] 0.000451193 +2 *25294:X 0.000451193 +3 pll_trim[24] pll_trim[25] 0 +4 pll_trim[24] *5671:7 0.000171288 +5 pll_trim[23] pll_trim[24] 0 +*RES +1 *25294:X pll_trim[24] 25.0104 +*END + +*D_NET *199 0.000961366 +*CONN +*P pll_trim[25] O +*I *25295:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[25] 0.000421593 +2 *25295:X 0.000421593 +3 pll_trim[25] *5671:7 0.00011818 +4 pll_bypass pll_trim[25] 0 +5 pll_trim[24] pll_trim[25] 0 +*RES +1 *25295:X pll_trim[25] 24.4558 +*END + +*D_NET *200 0.000968986 +*CONN +*P pll_trim[2] O +*I *25296:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[2] 0.000471202 +2 *25296:X 0.000471202 +3 pll_trim[2] pll_trim[3] 0 +4 pll_trim[2] *5777:29 2.65831e-05 +5 pll_trim[1] pll_trim[2] 0 +*RES +1 *25296:X pll_trim[2] 25.0104 +*END + +*D_NET *201 0.000904598 +*CONN +*P pll_trim[3] O +*I *25297:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[3] 0.000452299 +2 *25297:X 0.000452299 +3 pll_trim[3] pll_trim[4] 0 +4 pll_trim[2] pll_trim[3] 0 +*RES +1 *25297:X pll_trim[3] 25.3668 +*END + +*D_NET *202 0.000985057 +*CONN +*P pll_trim[4] O +*I *25298:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[4] 0.000464706 +2 *25298:X 0.000464706 +3 pll_trim[4] pll_trim[5] 0 +4 pll_trim[4] *25298:A 5.56461e-05 +5 pll_trim[3] pll_trim[4] 0 +*RES +1 *25298:X pll_trim[4] 25.0104 +*END + +*D_NET *203 0.000981945 +*CONN +*P pll_trim[5] O +*I *25299:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[5] 0.00046315 +2 *25299:X 0.00046315 +3 pll_trim[5] pll_trim[6] 0 +4 pll_trim[5] *25299:A 5.56461e-05 +5 pll_trim[4] pll_trim[5] 0 +*RES +1 *25299:X pll_trim[5] 25.0104 +*END + +*D_NET *204 0.00107367 +*CONN +*P pll_trim[6] O +*I *25300:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[6] 0.000451193 +2 *25300:X 0.000451193 +3 pll_trim[6] pll_trim[7] 0 +4 pll_trim[6] *5671:7 0.000171288 +5 pll_trim[5] pll_trim[6] 0 +*RES +1 *25300:X pll_trim[6] 25.0104 +*END + +*D_NET *205 0.00107367 +*CONN +*P pll_trim[7] O +*I *25301:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[7] 0.000451193 +2 *25301:X 0.000451193 +3 pll_trim[7] pll_trim[8] 0 +4 pll_trim[7] *5671:7 0.000171288 +5 pll_trim[6] pll_trim[7] 0 +*RES +1 *25301:X pll_trim[7] 25.0104 +*END + +*D_NET *206 0.00107367 +*CONN +*P pll_trim[8] O +*I *25302:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[8] 0.000451193 +2 *25302:X 0.000451193 +3 pll_trim[8] pll_trim[9] 0 +4 pll_trim[8] *5671:7 0.000171288 +5 pll_trim[7] pll_trim[8] 0 +*RES +1 *25302:X pll_trim[8] 25.0104 +*END + +*D_NET *207 0.00107367 +*CONN +*P pll_trim[9] O +*I *25303:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pll_trim[9] 0.000451193 +2 *25303:X 0.000451193 +3 pll_trim[9] *5671:7 0.000171288 +4 pll_trim[10] pll_trim[9] 0 +5 pll_trim[8] pll_trim[9] 0 +*RES +1 *25303:X pll_trim[9] 25.0104 +*END + +*D_NET *208 0.00140415 +*CONN +*P porb I +*I *25178:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 porb 0.000540773 +2 *25178:A 0.000540773 +3 *25178:A reset 6.50586e-05 +4 *25178:A *5791:17 6.92705e-05 +5 *25178:A *5814:27 0.000188272 +6 pad_flash_io1_oeb *25178:A 0 +*RES +1 porb *25178:A 29.332 +*END + +*D_NET *209 0.00104536 +*CONN +*P pwr_ctrl_out[0] O +*I *25304:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pwr_ctrl_out[0] 0.000504932 +2 *25304:X 0.000504932 +3 pwr_ctrl_out[0] pwr_ctrl_out[1] 0 +4 pwr_ctrl_out[0] pwr_ctrl_out[3] 3.54999e-05 +5 pwr_ctrl_out[0] serial_clock 0 +6 *25126:A pwr_ctrl_out[0] 0 +*RES +1 *25304:X pwr_ctrl_out[0] 25.565 +*END + +*D_NET *210 0.000851364 +*CONN +*P pwr_ctrl_out[1] O +*I *25305:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pwr_ctrl_out[1] 0.00033623 +2 *25305:X 0.00033623 +3 pwr_ctrl_out[1] pwr_ctrl_out[2] 0 +4 pwr_ctrl_out[1] pwr_ctrl_out[3] 9.19886e-06 +5 pwr_ctrl_out[1] serial_clock 0.000169706 +6 pwr_ctrl_out[0] pwr_ctrl_out[1] 0 +*RES +1 *25305:X pwr_ctrl_out[1] 23.9012 +*END + +*D_NET *211 0.00142357 +*CONN +*P pwr_ctrl_out[2] O +*I *25306:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pwr_ctrl_out[2] 0.000705439 +2 *25306:X 0.000705439 +3 pwr_ctrl_out[2] pwr_ctrl_out[3] 1.26965e-05 +4 pwr_ctrl_out[2] serial_clock 0 +5 pwr_ctrl_out[2] *25305:A 0 +6 pwr_ctrl_out[1] pwr_ctrl_out[2] 0 +*RES +1 *25306:X pwr_ctrl_out[2] 29.9962 +*END + +*D_NET *212 0.00192421 +*CONN +*P pwr_ctrl_out[3] O +*I *25307:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 pwr_ctrl_out[3] 0.000933408 +2 *25307:X 0.000933408 +3 pwr_ctrl_out[0] pwr_ctrl_out[3] 3.54999e-05 +4 pwr_ctrl_out[1] pwr_ctrl_out[3] 9.19886e-06 +5 pwr_ctrl_out[2] pwr_ctrl_out[3] 1.26965e-05 +*RES +1 *25307:X pwr_ctrl_out[3] 37.2089 +*END + +*D_NET *213 0.00130578 +*CONN +*P qspi_enabled I +*I *25179:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 qspi_enabled 0.000529011 +2 *25179:A 0.000529011 +3 *25179:A *4524:27 2.13802e-05 +4 *25179:A *4879:16 0.000159008 +5 *25179:A *5593:20 0 +6 *25179:A *5828:24 6.73662e-05 +*RES +1 qspi_enabled *25179:A 20.7397 +*END + +*D_NET *214 0.000844224 +*CONN +*P reset O +*I *25308:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 reset 0.000389583 +2 *25308:X 0.000389583 +3 pll_ena reset 0 +4 *25178:A reset 6.50586e-05 +*RES +1 *25308:X reset 23.9012 +*END + +*D_NET *215 0.00158383 +*CONN +*P ser_rx O +*I *25309:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 ser_rx 0.000516032 +2 *25309:X 0.000516032 +3 ser_rx *24137:D 5.74949e-05 +4 ser_rx *25180:A 0 +5 ser_rx *4524:27 0.000160566 +6 ser_rx *5452:33 0 +7 ser_rx *5792:11 8.21715e-05 +8 ser_rx *5828:24 0.000251534 +*RES +1 *25309:X ser_rx 22.4772 +*END + +*D_NET *216 0.00163972 +*CONN +*P ser_tx I +*I *25180:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 ser_tx 0.000524595 +2 *25180:A 0.000524595 +3 *25180:A *24138:D 0.000281586 +4 *25180:A *24138:CLK 0.000129039 +5 *25180:A *3868:180 5.60804e-05 +6 *25180:A *3868:279 3.31642e-05 +7 *25180:A *5452:33 9.06627e-05 +8 ser_rx *25180:A 0 +*RES +1 ser_tx *25180:A 22.8924 +*END + +*D_NET *217 0.00161914 +*CONN +*P serial_clock O +*I *25310:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 serial_clock 0.000664768 +2 *25310:X 0.000664768 +3 serial_clock *25304:A 5.9949e-05 +4 serial_clock *25305:A 5.9949e-05 +5 pwr_ctrl_out[0] serial_clock 0 +6 pwr_ctrl_out[1] serial_clock 0.000169706 +7 pwr_ctrl_out[2] serial_clock 0 +*RES +1 *25310:X serial_clock 30.1812 +*END + +*D_NET *218 0.000602704 +*CONN +*P serial_data_1 O +*I *25311:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 serial_data_1 0.000269632 +2 *25311:X 0.000269632 +3 serial_data_1 *25306:A 6.34391e-05 +4 serial_data_1 *2422:8 0 +*RES +1 *25311:X serial_data_1 19.0786 +*END + +*D_NET *219 0.000508984 +*CONN +*P serial_data_2 O +*I *25312:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 serial_data_2 0.000243143 +2 *25312:X 0.000243143 +3 serial_data_2 *25306:A 2.26985e-05 +*RES +1 *25312:X serial_data_2 18.2481 +*END + +*D_NET *220 0.0017214 +*CONN +*P serial_load O +*I *25313:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 serial_load 0.000693251 +2 *25313:X 0.000693251 +3 serial_load *21175:A1 0 +4 serial_load *24161:D 0.000143047 +5 serial_load *25304:A 2.94426e-05 +6 serial_load *25307:A 0.000143306 +7 serial_load *5861:498 1.9101e-05 +*RES +1 *25313:X serial_load 24.7501 +*END + +*D_NET *221 0.00165351 +*CONN +*P serial_resetn O +*I *25314:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 serial_resetn 0.000763595 +2 *25314:X 0.000763595 +3 serial_resetn *21223:A 7.86847e-05 +4 serial_resetn *25307:A 4.76318e-05 +*RES +1 *25314:X serial_resetn 20.9035 +*END + +*D_NET *222 0.000971011 +*CONN +*P spi_csb I +*I *25181:A I *D sky130_fd_sc_hd__clkbuf_8 +*CAP +1 spi_csb 0.000433625 +2 *25181:A 0.000433625 +3 *25181:A *24138:CLK 4.04556e-05 +4 *25181:A *2838:45 5.04829e-06 +5 *25181:A *5454:56 2.07556e-06 +6 *25181:A *5456:41 1.2128e-05 +7 *25181:A *5593:20 4.40531e-05 +*RES +1 spi_csb *25181:A 19.2338 +*END + +*D_NET *223 0.000876431 +*CONN +*P spi_enabled I +*I *25182:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 spi_enabled 0.000360216 +2 *25182:A 0.000360216 +3 *25182:A *23241:C1 3.63138e-05 +4 *25182:A *3840:101 4.51176e-05 +5 *25182:A *4879:16 4.51176e-05 +6 *25182:A *5457:17 8.72328e-06 +7 *25182:A *5457:34 2.07258e-05 +*RES +1 spi_enabled *25182:A 18.6634 +*END + +*D_NET *224 0.00101119 +*CONN +*P spi_sck I +*I *25184:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 spi_sck 0.000386371 +2 *25184:A 0.000386371 +3 *25184:A *3892:23 0.000203595 +4 *25184:A *5454:56 1.91391e-05 +5 *25184:A *5593:20 1.5714e-05 +*RES +1 spi_sck *25184:A 20.3294 +*END + +*D_NET *225 0.0012919 +*CONN +*P spi_sdi O +*I *25315:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 spi_sdi 0.0004887 +2 *25315:X 0.0004887 +3 spi_sdi *24138:D 6.01329e-05 +4 spi_sdi *25315:A 6.01329e-05 +5 spi_sdi *3868:279 2.21216e-05 +6 spi_sdi *5454:56 0.000153427 +7 spi_sdi *5593:20 1.86819e-05 +*RES +1 *25315:X spi_sdi 19.1551 +*END + +*D_NET *226 0.000954572 +*CONN +*P spi_sdo I +*I *25185:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 spi_sdo 0.000378544 +2 *25185:A 0.000378544 +3 *25185:A *3860:123 2.02035e-05 +4 *25185:A *3868:187 6.42311e-06 +5 *25185:A *4677:27 2.02035e-05 +6 *25185:A *5454:15 8.77814e-05 +7 *25185:A *5593:46 6.28721e-05 +*RES +1 spi_sdo *25185:A 18.2481 +*END + +*D_NET *227 0.00113344 +*CONN +*P spi_sdoenb I +*I *25186:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 spi_sdoenb 0.000410542 +2 *25186:A 0.000410542 +3 *25186:A *3860:123 6.6824e-05 +4 *25186:A *3868:187 1.41473e-05 +5 *25186:A *4677:27 3.67708e-05 +6 *25186:A *5456:50 0.000189638 +7 *25186:A *5593:46 4.98095e-06 +8 irq[2] *25186:A 0 +*RES +1 spi_sdoenb *25186:A 21.0784 +*END + +*D_NET *228 0.00098815 +*CONN +*P spimemio_flash_clk I +*I *25187:A I *D sky130_fd_sc_hd__clkbuf_8 +*CAP +1 spimemio_flash_clk 0.000356633 +2 *25187:A 0.000356633 +3 *25187:A wb_dat_o[31] 0 +4 *25187:A *25188:A 0 +5 *25187:A *5455:56 0.000135651 +6 *25187:A *5457:123 0.000139234 +*RES +1 spimemio_flash_clk *25187:A 21.3729 +*END + +*D_NET *229 0.000922097 +*CONN +*P spimemio_flash_csb I +*I *25188:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 spimemio_flash_csb 0.000314356 +2 *25188:A 0.000314356 +3 *25188:A spimemio_flash_io0_di 0 +4 *25188:A *5455:56 9.02432e-05 +5 *25188:A *5457:123 9.37204e-05 +6 *25188:A *5927:7 0.000109421 +7 *25187:A *25188:A 0 +*RES +1 spimemio_flash_csb *25188:A 20.5424 +*END + +*D_NET *230 0.00109104 +*CONN +*P spimemio_flash_io0_di O +*I *25316:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 spimemio_flash_io0_di 0.000472599 +2 *25316:X 0.000472599 +3 spimemio_flash_io0_di *25189:A 0 +4 spimemio_flash_io0_di *25316:A 6.21908e-05 +5 spimemio_flash_io0_di *5455:56 7.64507e-05 +6 spimemio_flash_io0_di *5800:49 7.20173e-06 +7 spimemio_flash_io0_di *5931:16 0 +8 *25188:A spimemio_flash_io0_di 0 +*RES +1 *25316:X spimemio_flash_io0_di 22.4772 +*END + +*D_NET *231 0.00153995 +*CONN +*P spimemio_flash_io0_do I +*I *25189:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 spimemio_flash_io0_do 0.000451339 +2 *25189:A 0.000451339 +3 *25189:A *25190:A 0 +4 *25189:A *4882:83 0.000186134 +5 *25189:A *5928:18 0.000291917 +6 *25189:A *5931:14 0.000138718 +7 *25189:A *5931:16 2.04981e-05 +8 spimemio_flash_io0_di *25189:A 0 +*RES +1 spimemio_flash_io0_do *25189:A 21.6903 +*END + +*D_NET *232 0.000658609 +*CONN +*P spimemio_flash_io0_oeb I +*I *25190:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 spimemio_flash_io0_oeb 0.000315217 +2 *25190:A 0.000315217 +3 *25190:A spimemio_flash_io1_di 0 +4 *25190:A *4882:87 1.66771e-05 +5 *25190:A *5455:56 1.14979e-05 +6 *25190:A *5928:18 0 +7 *25190:A *5931:14 0 +8 *25189:A *25190:A 0 +*RES +1 spimemio_flash_io0_oeb *25190:A 18.6634 +*END + +*D_NET *233 0.00120246 +*CONN +*P spimemio_flash_io1_di O +*I *25317:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 spimemio_flash_io1_di 0.000466345 +2 *25317:X 0.000466345 +3 spimemio_flash_io1_di *25191:A 0 +4 spimemio_flash_io1_di *25317:A 0.000104731 +5 spimemio_flash_io1_di *4882:87 1.93781e-05 +6 spimemio_flash_io1_di *4882:96 0.000145665 +7 spimemio_flash_io1_di *5931:14 0 +8 *25190:A spimemio_flash_io1_di 0 +*RES +1 *25317:X spimemio_flash_io1_di 24.1382 +*END + +*D_NET *234 0.00106252 +*CONN +*P spimemio_flash_io1_do I +*I *25191:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 spimemio_flash_io1_do 0.000412762 +2 *25191:A 0.000412762 +3 *25191:A *25192:A 0 +4 *25191:A *25317:A 4.69495e-06 +5 *25191:A *4882:96 0.000232303 +6 *25191:A *5931:14 0 +7 *25191:A *5931:48 0 +8 spimemio_flash_io1_di *25191:A 0 +*RES +1 spimemio_flash_io1_do *25191:A 21.9854 +*END + +*D_NET *235 0.00130614 +*CONN +*P spimemio_flash_io1_oeb I +*I *25192:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 spimemio_flash_io1_oeb 0.000572785 +2 *25192:A 0.000572785 +3 *25192:A spimemio_flash_io2_di 0 +4 *25192:A *5838:16 0.000112225 +5 *25192:A *5931:48 4.83428e-05 +6 *25191:A *25192:A 0 +*RES +1 spimemio_flash_io1_oeb *25192:A 20.5976 +*END + +*D_NET *236 0.00100406 +*CONN +*P spimemio_flash_io2_di O +*I *25318:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 spimemio_flash_io2_di 0.000496363 +2 *25318:X 0.000496363 +3 spimemio_flash_io2_di *25193:A 0 +4 spimemio_flash_io2_di *5838:16 7.13655e-06 +5 spimemio_flash_io2_di *5906:57 4.20184e-06 +6 *25192:A spimemio_flash_io2_di 0 +*RES +1 *25318:X spimemio_flash_io2_di 18.8601 +*END + +*D_NET *237 0.000660386 +*CONN +*P spimemio_flash_io2_do I +*I *25193:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 spimemio_flash_io2_do 0.000280227 +2 *25193:A 0.000280227 +3 *25193:A spimemio_flash_io3_di 8.62048e-05 +4 *25193:A *24157:D 0 +5 *25193:A *25195:A 0 +6 *25193:A *5932:11 1.37274e-05 +7 spimemio_flash_io2_di *25193:A 0 +*RES +1 spimemio_flash_io2_do *25193:A 19.4939 +*END + +*D_NET *238 0.00262894 +*CONN +*P spimemio_flash_io2_oeb I +*I *25195:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 spimemio_flash_io2_oeb 0.000957267 +2 *25195:A 0.000957267 +3 *25195:A spimemio_flash_io3_di 0 +4 *25195:A *24157:CLK 0.000243663 +5 *25195:A *25196:A 0.000444746 +6 *25195:A *5600:17 4.36466e-06 +7 *25195:A *5934:7 2.16355e-05 +8 *25195:A *5935:20 0 +9 *25193:A *25195:A 0 +*RES +1 spimemio_flash_io2_oeb *25195:A 25.7959 +*END + +*D_NET *239 0.00178916 +*CONN +*P spimemio_flash_io3_di O +*I *25319:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 spimemio_flash_io3_di 0.0007495 +2 *25319:X 0.0007495 +3 spimemio_flash_io3_di *24157:D 0 +4 spimemio_flash_io3_di *25052:A 0 +5 spimemio_flash_io3_di *25064:A 6.2614e-05 +6 spimemio_flash_io3_di *25067:A 8.56989e-05 +7 spimemio_flash_io3_di *25196:A 0 +8 spimemio_flash_io3_di *25319:A 5.56461e-05 +9 spimemio_flash_io3_di *5935:6 0 +10 *25193:A spimemio_flash_io3_di 8.62048e-05 +11 *25195:A spimemio_flash_io3_di 0 +*RES +1 *25319:X spimemio_flash_io3_di 31.9072 +*END + +*D_NET *240 0.0037842 +*CONN +*P spimemio_flash_io3_do I +*I *25196:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 spimemio_flash_io3_do 0.00138541 +2 *25196:A 0.00138541 +3 *25196:A *24157:CLK 7.77309e-06 +4 *25196:A *25068:A 0 +5 *25196:A *25069:A 0 +6 *25196:A *25071:A 0 +7 *25196:A *25197:A 5.05212e-05 +8 *25196:A *25319:A 0.000481241 +9 *25196:A *5600:17 0 +10 *25196:A *5624:13 1.90218e-05 +11 *25196:A *5627:8 0 +12 *25196:A *5934:7 1.00846e-05 +13 *25196:A *5935:6 0 +14 *25196:A *5935:20 0 +15 spimemio_flash_io3_di *25196:A 0 +16 *25195:A *25196:A 0.000444746 +*RES +1 spimemio_flash_io3_do *25196:A 42.6274 +*END + +*D_NET *241 0.00315636 +*CONN +*P spimemio_flash_io3_oeb I +*I *25197:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 spimemio_flash_io3_oeb 0.00138205 +2 *25197:A 0.00138205 +3 *25197:A *25073:A 0.000221919 +4 *25197:A *25074:A 5.22654e-06 +5 *25197:A *5632:8 0.000114584 +6 *25196:A *25197:A 5.05212e-05 +*RES +1 spimemio_flash_io3_oeb *25197:A 35.187 +*END + +*D_NET *242 0.00142032 +*CONN +*P sram_ro_addr[0] O +*I *25320:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_addr[0] 0.000480994 +2 *25320:X 0.000480994 +3 sram_ro_addr[0] sram_ro_addr[1] 0 +4 sram_ro_addr[0] sram_ro_csb 0 +5 sram_ro_addr[0] *5733:22 0.000140502 +6 sram_ro_addr[0] *5735:24 0 +7 pad_flash_clk_oeb sram_ro_addr[0] 0 +8 pad_flash_csb sram_ro_addr[0] 0.000317833 +*RES +1 *25320:X sram_ro_addr[0] 26.6297 +*END + +*D_NET *243 0.00102193 +*CONN +*P sram_ro_addr[1] O +*I *25321:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_addr[1] 0.000510963 +2 *25321:X 0.000510963 +3 sram_ro_addr[1] sram_ro_addr[2] 0 +4 sram_ro_addr[1] *5735:24 0 +5 sram_ro_addr[0] sram_ro_addr[1] 0 +*RES +1 *25321:X sram_ro_addr[1] 20.2916 +*END + +*D_NET *244 0.00057159 +*CONN +*P sram_ro_addr[2] O +*I *25322:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_addr[2] 0.000263242 +2 *25322:X 0.000263242 +3 sram_ro_addr[2] sram_ro_addr[3] 0 +4 sram_ro_addr[2] *5731:11 0 +5 sram_ro_addr[2] *5733:22 4.51062e-05 +6 sram_ro_addr[1] sram_ro_addr[2] 0 +*RES +1 *25322:X sram_ro_addr[2] 18.7399 +*END + +*D_NET *245 0.00146959 +*CONN +*P sram_ro_addr[3] O +*I *25323:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_addr[3] 0.000550979 +2 *25323:X 0.000550979 +3 sram_ro_addr[3] sram_ro_addr[4] 0 +4 sram_ro_addr[3] *25322:A 6.50586e-05 +5 sram_ro_addr[3] *5733:22 0 +6 sram_ro_addr[3] *5807:18 0.000302577 +7 sram_ro_addr[2] sram_ro_addr[3] 0 +*RES +1 *25323:X sram_ro_addr[3] 24.2605 +*END + +*D_NET *246 0.000569934 +*CONN +*P sram_ro_addr[4] O +*I *25324:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_addr[4] 0.000275397 +2 *25324:X 0.000275397 +3 sram_ro_addr[4] sram_ro_addr[5] 0 +4 sram_ro_addr[4] *5733:22 1.91391e-05 +5 sram_ro_addr[3] sram_ro_addr[4] 0 +*RES +1 *25324:X sram_ro_addr[4] 18.3246 +*END + +*D_NET *247 0.000567175 +*CONN +*P sram_ro_addr[5] O +*I *25325:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_addr[5] 0.000274018 +2 *25325:X 0.000274018 +3 sram_ro_addr[5] sram_ro_addr[6] 0 +4 sram_ro_addr[5] *5733:22 1.91391e-05 +5 sram_ro_addr[4] sram_ro_addr[5] 0 +*RES +1 *25325:X sram_ro_addr[5] 18.3246 +*END + +*D_NET *248 0.000639312 +*CONN +*P sram_ro_addr[6] O +*I *25326:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_addr[6] 0.000289102 +2 *25326:X 0.000289102 +3 sram_ro_addr[6] sram_ro_addr[7] 0 +4 sram_ro_addr[6] *5733:22 6.11074e-05 +5 sram_ro_addr[6] *5807:18 0 +6 sram_ro_addr[5] sram_ro_addr[6] 0 +*RES +1 *25326:X sram_ro_addr[6] 19.1551 +*END + +*D_NET *249 0.00122428 +*CONN +*P sram_ro_addr[7] O +*I *25327:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_addr[7] 0.000551034 +2 *25327:X 0.000551034 +3 sram_ro_addr[7] sram_ro_clk 0 +4 sram_ro_addr[7] *5735:24 6.11074e-05 +5 sram_ro_addr[7] *5916:20 6.11074e-05 +6 sram_ro_addr[6] sram_ro_addr[7] 0 +*RES +1 *25327:X sram_ro_addr[7] 19.8435 +*END + +*D_NET *250 0.00073063 +*CONN +*P sram_ro_clk O +*I *25328:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_clk 0.000293693 +2 *25328:X 0.000293693 +3 sram_ro_clk *23954:A0 4.75721e-06 +4 sram_ro_clk *25198:A 0 +5 sram_ro_clk *5733:22 0.000113077 +6 sram_ro_clk *5926:60 2.54084e-05 +7 sram_ro_addr[7] sram_ro_clk 0 +*RES +1 *25328:X sram_ro_clk 19.9856 +*END + +*D_NET *251 0.00227857 +*CONN +*P sram_ro_csb O +*I *25329:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 sram_ro_csb 0.000822607 +2 *25329:X 0.000822607 +3 sram_ro_csb *5814:27 6.08467e-05 +4 pad_flash_csb_oeb sram_ro_csb 0 +5 sram_ro_addr[0] sram_ro_csb 0 +6 *25176:A sram_ro_csb 0.00057251 +*RES +1 *25329:X sram_ro_csb 31.9806 +*END + +*D_NET *252 0.00102733 +*CONN +*P sram_ro_data[0] I +*I *25198:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[0] 0.000454885 +2 *25198:A 0.000454885 +3 *25198:A *25009:A 0 +4 *25198:A *5735:24 4.8017e-05 +5 *25198:A *5926:60 6.95449e-05 +6 sram_ro_clk *25198:A 0 +*RES +1 sram_ro_data[0] *25198:A 21.1986 +*END + +*D_NET *253 0.000701653 +*CONN +*P sram_ro_data[10] I +*I *25199:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 sram_ro_data[10] 0.000314446 +2 *25199:A 0.000314446 +3 *25199:A *25200:A 0 +4 *25199:A *4867:43 1.66626e-05 +5 *25199:A *5785:30 2.02035e-05 +6 *25199:A *5852:74 3.58954e-05 +*RES +1 sram_ro_data[10] *25199:A 18.8186 +*END + +*D_NET *254 0.000938032 +*CONN +*P sram_ro_data[11] I +*I *25200:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[11] 0.000398917 +2 *25200:A 0.000398917 +3 *25200:A *25201:A 0 +4 *25200:A *5590:10 7.00991e-05 +5 *25200:A *5938:8 7.00991e-05 +6 *25199:A *25200:A 0 +*RES +1 sram_ro_data[11] *25200:A 19.1551 +*END + +*D_NET *255 0.00141094 +*CONN +*P sram_ro_data[12] I +*I *25201:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[12] 0.000638951 +2 *25201:A 0.000638951 +3 *25201:A *25202:A 0 +4 *25201:A *657:51 7.00991e-05 +5 *25201:A *2705:16 3.50716e-05 +6 *25201:A *3103:8 2.78666e-05 +7 *25200:A *25201:A 0 +*RES +1 sram_ro_data[12] *25201:A 19.7671 +*END + +*D_NET *256 0.00216756 +*CONN +*P sram_ro_data[13] I +*I *25202:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[13] 0.000903262 +2 *25202:A 0.000903262 +3 *25202:A *23953:S 6.08467e-05 +4 *25202:A *25203:A 0 +5 *25202:A *1765:9 2.16355e-05 +6 *25202:A *2400:12 0 +7 *25202:A *2405:20 0.000103557 +8 *25202:A *2705:16 0 +9 *25202:A *5735:12 0.000103557 +10 *25202:A *5908:9 7.14452e-05 +11 *25201:A *25202:A 0 +*RES +1 sram_ro_data[13] *25202:A 21.7034 +*END + +*D_NET *257 0.00108216 +*CONN +*P sram_ro_data[14] I +*I *25203:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[14] 0.000413115 +2 *25203:A 0.000413115 +3 *25203:A *21338:A 3.82228e-05 +4 *25203:A *25204:A 0 +5 *25203:A *2864:52 0.000113199 +6 *25203:A *5785:24 0.000104505 +7 *25202:A *25203:A 0 +*RES +1 sram_ro_data[14] *25203:A 21.1107 +*END + +*D_NET *258 0.000796586 +*CONN +*P sram_ro_data[15] I +*I *25204:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[15] 0.000378089 +2 *25204:A 0.000378089 +3 *25204:A *25005:A 0 +4 *25204:A *5785:24 2.02035e-05 +5 *25204:A *5938:8 2.02035e-05 +6 *25203:A *25204:A 0 +*RES +1 sram_ro_data[15] *25204:A 18.3246 +*END + +*D_NET *259 0.00161061 +*CONN +*P sram_ro_data[16] I +*I *25005:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[16] 0.000664675 +2 *25005:A 0.000664675 +3 *25005:A *23953:A0 2.19131e-05 +4 *25005:A *24828:D 0.000104368 +5 *25005:A *25006:A 0 +6 *25005:A *5926:54 0.000154976 +7 *25204:A *25005:A 0 +*RES +1 sram_ro_data[16] *25005:A 21.2751 +*END + +*D_NET *260 0.00150116 +*CONN +*P sram_ro_data[17] I +*I *25006:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[17] 0.000587464 +2 *25006:A 0.000587464 +3 *25006:A *25007:A 0 +4 *25006:A *1471:160 0.000251592 +5 *25006:A *2937:10 3.73224e-05 +6 *25006:A *5926:46 3.73224e-05 +7 *25005:A *25006:A 0 +*RES +1 sram_ro_data[17] *25006:A 19.1988 +*END + +*D_NET *261 0.00122588 +*CONN +*P sram_ro_data[18] I +*I *25007:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[18] 0.00044767 +2 *25007:A 0.00044767 +3 *25007:A *21861:A 0.000127164 +4 *25007:A *21895:A 3.80906e-05 +5 *25007:A *25008:A 0 +6 *25007:A *2877:10 0.000127179 +7 *25007:A *5785:24 3.81051e-05 +8 *25006:A *25007:A 0 +*RES +1 sram_ro_data[18] *25007:A 21.6467 +*END + +*D_NET *262 0.000942192 +*CONN +*P sram_ro_data[19] I +*I *25008:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[19] 0.000418517 +2 *25008:A 0.000418517 +3 *25008:A *21895:A 2.5386e-05 +4 *25008:A *25010:A 0 +5 *25008:A *2877:10 3.91944e-05 +6 *25008:A *5785:24 4.05774e-05 +7 *25007:A *25008:A 0 +*RES +1 sram_ro_data[19] *25008:A 19.5704 +*END + +*D_NET *263 0.00123711 +*CONN +*P sram_ro_data[1] I +*I *25009:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[1] 0.000472482 +2 *25009:A 0.000472482 +3 *25009:A *25021:A 0 +4 *25009:A *1734:13 0.000207068 +5 *25009:A *5785:39 0 +6 *25009:A *5926:60 8.50767e-05 +7 *25198:A *25009:A 0 +*RES +1 sram_ro_data[1] *25009:A 22.0291 +*END + +*D_NET *264 0.000980296 +*CONN +*P sram_ro_data[20] I +*I *25010:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[20] 0.000442076 +2 *25010:A 0.000442076 +3 *25010:A *25011:A 0 +4 *25010:A *1455:74 0 +5 *25010:A *5785:16 9.61451e-05 +6 *25008:A *25010:A 0 +*RES +1 sram_ro_data[20] *25010:A 19.5704 +*END + +*D_NET *265 0.00154025 +*CONN +*P sram_ro_data[21] I +*I *25011:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[21] 0.000466744 +2 *25011:A 0.000466744 +3 *25011:A *21369:A 0.000267142 +4 *25011:A *25012:A 0 +5 *25011:A *2632:33 1.43983e-05 +6 *25011:A *4870:60 0.000130551 +7 *25011:A *4870:69 0.00017419 +8 *25011:A *5785:16 2.04806e-05 +9 *25010:A *25011:A 0 +*RES +1 sram_ro_data[21] *25011:A 23.9546 +*END + +*D_NET *266 0.00190979 +*CONN +*P sram_ro_data[22] I +*I *25012:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[22] 0.000500479 +2 *25012:A 0.000500479 +3 *25012:A *2632:36 0.000122789 +4 *25012:A *5852:74 0.000627687 +5 *25012:A *5926:46 0.000122789 +6 *25012:A *5928:29 3.55651e-05 +7 *25011:A *25012:A 0 +*RES +1 sram_ro_data[22] *25012:A 20.8598 +*END + +*D_NET *267 0.00158546 +*CONN +*P sram_ro_data[23] I +*I *25013:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[23] 0.000481021 +2 *25013:A 0.000481021 +3 *25013:A *25014:A 0 +4 *25013:A *2632:36 0.000173503 +5 *25013:A *5457:34 0.000159241 +6 *25013:A *5926:46 0.000173503 +7 *25013:A *5928:29 0.000117167 +*RES +1 sram_ro_data[23] *25013:A 21.6903 +*END + +*D_NET *268 0.00086607 +*CONN +*P sram_ro_data[24] I +*I *25014:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[24] 0.00038574 +2 *25014:A 0.00038574 +3 *25014:A *24831:CLK_N 1.58706e-05 +4 *25014:A *25016:A 0 +5 *25014:A *5457:34 3.85867e-05 +6 *25014:A *5785:16 4.01315e-05 +7 *25013:A *25014:A 0 +*RES +1 sram_ro_data[24] *25014:A 18.7399 +*END + +*D_NET *269 0.000828672 +*CONN +*P sram_ro_data[25] I +*I *25016:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[25] 0.0003795 +2 *25016:A 0.0003795 +3 *25016:A *24831:CLK_N 7.13655e-06 +4 *25016:A *25017:A 0 +5 *25016:A *1587:51 5.01613e-05 +6 *25016:A *5785:16 1.23746e-05 +7 *25014:A *25016:A 0 +*RES +1 sram_ro_data[25] *25016:A 18.3246 +*END + +*D_NET *270 0.00121223 +*CONN +*P sram_ro_data[26] I +*I *25017:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[26] 0.000548307 +2 *25017:A 0.000548307 +3 *25017:A *24831:D 2.02035e-05 +4 *25017:A *25018:A 0 +5 *25017:A *1587:51 7.52054e-05 +6 *25017:A *5927:64 2.02035e-05 +7 *25016:A *25017:A 0 +*RES +1 sram_ro_data[26] *25017:A 18.7071 +*END + +*D_NET *271 0.00142088 +*CONN +*P sram_ro_data[27] I +*I *25018:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[27] 0.000467607 +2 *25018:A 0.000467607 +3 *25018:A *21678:A 0.000217828 +4 *25018:A *24831:CLK_N 7.00991e-05 +5 *25018:A *25019:A 0 +6 *25018:A *1755:57 6.50727e-05 +7 *25018:A *5454:28 9.94918e-05 +8 *25018:A *5785:6 3.31736e-05 +9 *25017:A *25018:A 0 +*RES +1 sram_ro_data[27] *25018:A 23.5393 +*END + +*D_NET *272 0.000882917 +*CONN +*P sram_ro_data[28] I +*I *25019:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[28] 0.000395922 +2 *25019:A 0.000395922 +3 *25019:A *25020:A 0 +4 *25019:A *5454:28 2.09735e-05 +5 *25019:A *5785:6 7.00991e-05 +6 *25018:A *25019:A 0 +*RES +1 sram_ro_data[28] *25019:A 19.1551 +*END + +*D_NET *273 0.000989479 +*CONN +*P sram_ro_data[29] I +*I *25020:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[29] 0.000424632 +2 *25020:A 0.000424632 +3 *25020:A *25022:A 0 +4 *25020:A *3883:18 0 +5 *25020:A *5454:28 3.21112e-05 +6 *25020:A *5785:6 0.000108103 +7 *25019:A *25020:A 0 +*RES +1 sram_ro_data[29] *25020:A 19.9856 +*END + +*D_NET *274 0.000846866 +*CONN +*P sram_ro_data[2] I +*I *25021:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[2] 0.000265477 +2 *25021:A 0.000265477 +3 *25021:A *25024:A 0 +4 *25021:A *5733:22 4.23622e-05 +5 *25021:A *5785:39 0.000263576 +6 *25021:A *5926:60 9.97479e-06 +7 *25009:A *25021:A 0 +*RES +1 sram_ro_data[2] *25021:A 18.7399 +*END + +*D_NET *275 0.00135608 +*CONN +*P sram_ro_data[30] I +*I *25022:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[30] 0.000409282 +2 *25022:A 0.000409282 +3 *25022:A *21344:A 3.82228e-05 +4 *25022:A *25023:A 0 +5 *25022:A *3791:154 0.000103557 +6 *25022:A *3883:18 0.000283487 +7 *25022:A *5454:28 0.000112251 +8 *25020:A *25022:A 0 +*RES +1 sram_ro_data[30] *25022:A 21.1107 +*END + +*D_NET *276 0.001316 +*CONN +*P sram_ro_data[31] I +*I *25023:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[31] 0.000422205 +2 *25023:A 0.000422205 +3 *25023:A *21214:A 3.82228e-05 +4 *25023:A *3791:154 0.000156475 +5 *25023:A *3868:200 0.000111722 +6 *25023:A *5454:28 0.000165169 +7 debug_in *25023:A 0 +8 *25022:A *25023:A 0 +*RES +1 sram_ro_data[31] *25023:A 21.9412 +*END + +*D_NET *277 0.00111163 +*CONN +*P sram_ro_data[3] I +*I *25024:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[3] 0.000447067 +2 *25024:A 0.000447067 +3 *25024:A *25025:A 0 +4 *25024:A *1734:13 9.61451e-05 +5 *25024:A *2812:11 2.5204e-05 +6 *25024:A *4867:115 0 +7 *25024:A *5785:39 0 +8 *25024:A *5926:56 9.61451e-05 +9 *25021:A *25024:A 0 +*RES +1 sram_ro_data[3] *25024:A 19.9528 +*END + +*D_NET *278 0.000804229 +*CONN +*P sram_ro_data[4] I +*I *25025:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[4] 0.000304429 +2 *25025:A 0.000304429 +3 *25025:A *25027:A 0 +4 *25025:A *2715:10 4.40325e-05 +5 *25025:A *4867:115 5.51934e-05 +6 *25025:A *5733:22 9.61451e-05 +7 *25024:A *25025:A 0 +*RES +1 sram_ro_data[4] *25025:A 19.5704 +*END + +*D_NET *279 0.000953313 +*CONN +*P sram_ro_data[5] I +*I *25027:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[5] 0.000362434 +2 *25027:A 0.000362434 +3 *25027:A *24816:D 6.11074e-05 +4 *25027:A *25028:A 0 +5 *25027:A *2715:10 3.31736e-05 +6 *25027:A *5733:22 0.000134164 +7 *25025:A *25027:A 0 +*RES +1 sram_ro_data[5] *25027:A 20.4009 +*END + +*D_NET *280 0.000904126 +*CONN +*P sram_ro_data[6] I +*I *25028:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[6] 0.000332911 +2 *25028:A 0.000332911 +3 *25028:A *25029:A 0 +4 *25028:A *2632:27 0.000131036 +5 *25028:A *4867:47 5.36345e-05 +6 *25028:A *5733:20 5.36345e-05 +7 *25027:A *25028:A 0 +*RES +1 sram_ro_data[6] *25028:A 20.4009 +*END + +*D_NET *281 0.00128357 +*CONN +*P sram_ro_data[7] I +*I *25029:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[7] 0.000429091 +2 *25029:A 0.000429091 +3 *25029:A *21192:A 0.000122083 +4 *25029:A *25030:A 0 +5 *25029:A *2632:27 3.17792e-05 +6 *25029:A *4867:47 0.000154911 +7 *25029:A *5733:12 2.37478e-05 +8 *25029:A *5733:20 9.28647e-05 +9 *25028:A *25029:A 0 +*RES +1 sram_ro_data[7] *25029:A 24.1382 +*END + +*D_NET *282 0.00106049 +*CONN +*P sram_ro_data[8] I +*I *25030:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[8] 0.000378194 +2 *25030:A 0.000378194 +3 *25030:A *25031:A 0 +4 *25030:A *4867:47 5.36512e-05 +5 *25030:A *5590:10 5.92342e-05 +6 *25030:A *5733:12 0.000191215 +7 *25029:A *25030:A 0 +*RES +1 sram_ro_data[8] *25030:A 21.2314 +*END + +*D_NET *283 0.000707215 +*CONN +*P sram_ro_data[9] I +*I *25031:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sram_ro_data[9] 0.000333404 +2 *25031:A 0.000333404 +3 *25031:A *5590:10 2.02035e-05 +4 *25031:A *5733:12 2.02035e-05 +5 *25031:A *5852:74 0 +6 *25030:A *25031:A 0 +*RES +1 sram_ro_data[9] *25031:A 18.3246 +*END + +*D_NET *284 0.000984082 +*CONN +*P trap I +*I *25032:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 trap 0.000395896 +2 *25032:A 0.000395896 +3 *25032:A *3791:142 9.61451e-05 +4 *25032:A *5456:61 9.61451e-05 +5 irq[0] *25032:A 0 +6 *25128:A *25032:A 0 +*RES +1 trap *25032:A 19.4939 +*END + +*D_NET *285 0.00118913 +*CONN +*P uart_enabled I +*I *25033:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 uart_enabled 0.000422495 +2 *25033:A 0.000422495 +3 *25033:A *23241:C1 4.24667e-05 +4 *25033:A *3868:180 0.0001311 +5 *25033:A *4879:16 0.000149901 +6 *25033:A *5456:107 1.84545e-05 +7 *25033:A *5593:20 2.22007e-06 +*RES +1 uart_enabled *25033:A 21.3101 +*END + +*D_NET *286 0.00151314 +*CONN +*P user_clock I +*I *25034:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 user_clock 0.000458069 +2 *25034:A 0.000458069 +3 *25034:A *25035:A 0.000396357 +4 *25034:A *25038:A 0 +5 *25034:A *25075:A 0 +6 *25034:A *25118:A 0.000122378 +7 *25034:A *5887:7 2.41483e-05 +8 *25034:A *5887:10 5.41227e-05 +*RES +1 user_clock *25034:A 31.7105 +*END + +*D_NET *287 0.00200672 +*CONN +*P usr1_vcc_pwrgood I +*I *25035:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 usr1_vcc_pwrgood 0.000432537 +2 *25035:A 0.000432537 +3 *25035:A *21710:A 4.23874e-05 +4 *25035:A *25038:A 0 +5 *25035:A *25118:A 0.000113197 +6 *25035:A *5887:7 0.000589703 +7 *25034:A *25035:A 0.000396357 +*RES +1 usr1_vcc_pwrgood *25035:A 32.1022 +*END + +*D_NET *288 0.00124551 +*CONN +*P usr1_vdd_pwrgood I +*I *25036:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 usr1_vdd_pwrgood 0.000452865 +2 *25036:A 0.000452865 +3 *25036:A *25038:A 0 +4 *25036:A *25039:A 0.000339779 +*RES +1 usr1_vdd_pwrgood *25036:A 30.4325 +*END + +*D_NET *289 0.00164891 +*CONN +*P usr2_vcc_pwrgood I +*I *25038:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 usr2_vcc_pwrgood 0.000662258 +2 *25038:A 0.000662258 +3 *25038:A *19984:A 0.000171288 +4 *25038:A *21793:A 7.40769e-05 +5 *25038:A *5594:8 4.90264e-05 +6 *25038:A *5678:8 3.00073e-05 +7 *25038:A *5887:10 0 +8 *25034:A *25038:A 0 +9 *25035:A *25038:A 0 +10 *25036:A *25038:A 0 +*RES +1 usr2_vcc_pwrgood *25038:A 31.4027 +*END + +*D_NET *290 0.00120588 +*CONN +*P usr2_vdd_pwrgood I +*I *25039:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 usr2_vdd_pwrgood 0.000396606 +2 *25039:A 0.000396606 +3 *25039:A *5887:7 7.28922e-05 +4 *25036:A *25039:A 0.000339779 +5 *25148:A *25039:A 0 +*RES +1 usr2_vdd_pwrgood *25039:A 27.5019 +*END + +*D_NET *291 0.00134403 +*CONN +*P wb_ack_o O +*I *25330:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_ack_o 0.000485145 +2 *25330:X 0.000485145 +3 wb_ack_o *25342:A 1.41516e-05 +4 wb_ack_o *3840:101 0.000160088 +5 wb_ack_o *5457:17 3.10239e-05 +6 wb_ack_o *5457:34 4.27811e-05 +7 wb_ack_o *5840:37 0.000125695 +*RES +1 *25330:X wb_ack_o 20.8161 +*END + +*D_NET *292 0.00275706 +*CONN +*P wb_adr_i[0] I +*I *25040:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 wb_adr_i[0] 0.00087133 +2 *25040:A 0.00087133 +3 *25040:A *25052:A 0.000436811 +4 *25040:A *25064:A 0.000348993 +5 *25040:A *5612:11 0.000228593 +*RES +1 wb_adr_i[0] *25040:A 41.8302 +*END + +*D_NET *293 0.00136971 +*CONN +*P wb_adr_i[10] I +*I *25041:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[10] 0.000370318 +2 *25041:A 0.000370318 +3 *25041:A *25042:A 0 +4 *25041:A *25074:A 0.00035108 +5 *25041:A *5603:8 5.04829e-06 +6 *25041:A *5632:8 0.000171273 +7 *25041:A *5936:10 0.00010167 +*RES +1 wb_adr_i[10] *25041:A 28.0565 +*END + +*D_NET *294 0.0010467 +*CONN +*P wb_adr_i[11] I +*I *25042:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[11] 0.000523351 +2 *25042:A 0.000523351 +3 *25042:A *25043:A 0 +4 *25041:A *25042:A 0 +*RES +1 wb_adr_i[11] *25042:A 27.5257 +*END + +*D_NET *295 0.00105623 +*CONN +*P wb_adr_i[12] I +*I *25043:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[12] 0.000498235 +2 *25043:A 0.000498235 +3 *25043:A *25044:A 0 +4 *25043:A *5603:8 3.14978e-05 +5 *25043:A *5604:13 2.82583e-05 +6 *25042:A *25043:A 0 +*RES +1 wb_adr_i[12] *25043:A 27.5019 +*END + +*D_NET *296 0.00109751 +*CONN +*P wb_adr_i[13] I +*I *25044:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[13] 0.000532478 +2 *25044:A 0.000532478 +3 *25044:A *25045:A 0 +4 *25044:A *5604:13 3.25584e-05 +5 *25043:A *25044:A 0 +*RES +1 wb_adr_i[13] *25044:A 28.0565 +*END + +*D_NET *297 0.00105539 +*CONN +*P wb_adr_i[14] I +*I *25045:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[14] 0.000527693 +2 *25045:A 0.000527693 +3 *25045:A *25046:A 0 +4 *25044:A *25045:A 0 +*RES +1 wb_adr_i[14] *25045:A 27.5257 +*END + +*D_NET *298 0.00106196 +*CONN +*P wb_adr_i[15] I +*I *25046:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[15] 0.000516584 +2 *25046:A 0.000516584 +3 *25046:A *25047:A 0 +4 *25046:A *5606:10 1.43983e-05 +5 *25046:A *5607:8 1.43983e-05 +6 *25045:A *25046:A 0 +*RES +1 wb_adr_i[15] *25046:A 27.5019 +*END + +*D_NET *299 0.00107152 +*CONN +*P wb_adr_i[16] I +*I *25047:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[16] 0.000495864 +2 *25047:A 0.000495864 +3 *25047:A *25049:A 0 +4 *25047:A *5609:8 7.97944e-05 +5 *25046:A *25047:A 0 +*RES +1 wb_adr_i[16] *25047:A 27.5019 +*END + +*D_NET *300 0.00106159 +*CONN +*P wb_adr_i[17] I +*I *25049:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[17] 0.000530793 +2 *25049:A 0.000530793 +3 *25049:A *25050:A 0 +4 *25047:A *25049:A 0 +*RES +1 wb_adr_i[17] *25049:A 27.6873 +*END + +*D_NET *301 0.00126893 +*CONN +*P wb_adr_i[18] I +*I *25050:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[18] 0.000538243 +2 *25050:A 0.000538243 +3 *25050:A *25051:A 6.92705e-05 +4 *25050:A *5617:11 0 +5 *25050:A *5618:10 0.000123176 +6 *25049:A *25050:A 0 +*RES +1 wb_adr_i[18] *25050:A 29.1657 +*END + +*D_NET *302 0.00125056 +*CONN +*P wb_adr_i[19] I +*I *25051:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[19] 0.000506225 +2 *25051:A 0.000506225 +3 *25051:A *25053:A 0 +4 *25051:A *5617:11 0.000132641 +5 *25051:A *5618:10 3.61993e-05 +6 *25050:A *25051:A 6.92705e-05 +*RES +1 wb_adr_i[19] *25051:A 28.22 +*END + +*D_NET *303 0.00188546 +*CONN +*P wb_adr_i[1] I +*I *25052:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 wb_adr_i[1] 0.000638687 +2 *25052:A 0.000638687 +3 *25052:A *25064:A 0.000171273 +4 spimemio_flash_io3_di *25052:A 0 +5 *25040:A *25052:A 0.000436811 +*RES +1 wb_adr_i[1] *25052:A 34.0122 +*END + +*D_NET *304 0.00100702 +*CONN +*P wb_adr_i[20] I +*I *25053:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_adr_i[20] 0.000467643 +2 *25053:A 0.000467643 +3 *25053:A *25054:A 0 +4 *25053:A *5617:11 4.02387e-05 +5 *25053:A *5618:10 3.14978e-05 +6 *25051:A *25053:A 0 +*RES +1 wb_adr_i[20] *25053:A 26.3927 +*END + +*D_NET *305 0.000983573 +*CONN +*P wb_adr_i[21] I +*I *25054:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_adr_i[21] 0.000462746 +2 *25054:A 0.000462746 +3 *25054:A *25055:A 0 +4 *25054:A *5617:11 2.65831e-05 +5 *25054:A *5618:10 3.14978e-05 +6 *25053:A *25054:A 0 +*RES +1 wb_adr_i[21] *25054:A 26.3927 +*END + +*D_NET *306 0.0011012 +*CONN +*P wb_adr_i[22] I +*I *25055:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[22] 0.000473262 +2 *25055:A 0.000473262 +3 *25055:A *25056:A 0 +4 *25055:A *5617:11 7.48797e-05 +5 *25055:A *5618:10 7.97944e-05 +6 *25054:A *25055:A 0 +*RES +1 wb_adr_i[22] *25055:A 27.5019 +*END + +*D_NET *307 0.00109745 +*CONN +*P wb_adr_i[23] I +*I *25056:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[23] 0.00051723 +2 *25056:A 0.00051723 +3 *25056:A *25057:A 0 +4 *25056:A *5617:11 3.14978e-05 +5 *25056:A *5618:10 3.14978e-05 +6 *25055:A *25056:A 0 +*RES +1 wb_adr_i[23] *25056:A 27.6873 +*END + +*D_NET *308 0.00114471 +*CONN +*P wb_adr_i[24] I +*I *25057:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[24] 0.00049502 +2 *25057:A 0.00049502 +3 *25057:A *25058:A 0 +4 *25057:A *5617:11 5.07314e-05 +5 *25057:A *5618:10 0.000103943 +6 *25056:A *25057:A 0 +*RES +1 wb_adr_i[24] *25057:A 28.0565 +*END + +*D_NET *309 0.00106287 +*CONN +*P wb_adr_i[25] I +*I *25058:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[25] 0.000515687 +2 *25058:A 0.000515687 +3 *25058:A *25060:A 0 +4 *25058:A *5618:10 3.14978e-05 +5 *25057:A *25058:A 0 +*RES +1 wb_adr_i[25] *25058:A 27.5019 +*END + +*D_NET *310 0.00107062 +*CONN +*P wb_adr_i[26] I +*I *25060:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[26] 0.000528118 +2 *25060:A 0.000528118 +3 *25060:A *21047:C_N 1.43848e-05 +4 *25060:A *25061:A 0 +5 *25058:A *25060:A 0 +*RES +1 wb_adr_i[26] *25060:A 27.6873 +*END + +*D_NET *311 0.00106672 +*CONN +*P wb_adr_i[27] I +*I *25061:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[27] 0.000493463 +2 *25061:A 0.000493463 +3 *25061:A *21047:D_N 7.97944e-05 +4 *25061:A *25062:A 0 +5 *25060:A *25061:A 0 +*RES +1 wb_adr_i[27] *25061:A 27.5019 +*END + +*D_NET *312 0.00107152 +*CONN +*P wb_adr_i[28] I +*I *25062:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[28] 0.000495864 +2 *25062:A 0.000495864 +3 *25062:A *21047:D_N 7.97944e-05 +4 *25062:A *25063:A 0 +5 *25061:A *25062:A 0 +*RES +1 wb_adr_i[28] *25062:A 27.5019 +*END + +*D_NET *313 0.00106159 +*CONN +*P wb_adr_i[29] I +*I *25063:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[29] 0.000530793 +2 *25063:A 0.000530793 +3 *25063:A *25065:A 0 +4 *25062:A *25063:A 0 +*RES +1 wb_adr_i[29] *25063:A 27.6873 +*END + +*D_NET *314 0.00262699 +*CONN +*P wb_adr_i[2] I +*I *25064:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 wb_adr_i[2] 0.000688559 +2 *25064:A 0.000688559 +3 *25064:A *25067:A 0.000553795 +4 *25064:A *5612:11 0.000113197 +5 spimemio_flash_io3_di *25064:A 6.2614e-05 +6 *25040:A *25064:A 0.000348993 +7 *25052:A *25064:A 0.000171273 +*RES +1 wb_adr_i[2] *25064:A 38.0579 +*END + +*D_NET *315 0.00105085 +*CONN +*P wb_adr_i[30] I +*I *25065:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[30] 0.000525425 +2 *25065:A 0.000525425 +3 *25065:A *25066:A 0 +4 *25063:A *25065:A 0 +*RES +1 wb_adr_i[30] *25065:A 27.5019 +*END + +*D_NET *316 0.00105565 +*CONN +*P wb_adr_i[31] I +*I *25066:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[31] 0.000527826 +2 *25066:A 0.000527826 +3 *25066:A *25076:A 0 +4 *25065:A *25066:A 0 +*RES +1 wb_adr_i[31] *25066:A 27.5019 +*END + +*D_NET *317 0.00275142 +*CONN +*P wb_adr_i[3] I +*I *25067:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 wb_adr_i[3] 0.000724647 +2 *25067:A 0.000724647 +3 *25067:A *25068:A 0.000451288 +4 *25067:A *5612:11 4.23073e-05 +5 *25067:A *5624:13 0.000169041 +6 *25067:A *5935:6 0 +7 spimemio_flash_io3_di *25067:A 8.56989e-05 +8 *25064:A *25067:A 0.000553795 +*RES +1 wb_adr_i[3] *25067:A 38.776 +*END + +*D_NET *318 0.00308783 +*CONN +*P wb_adr_i[4] I +*I *25068:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 wb_adr_i[4] 0.00122093 +2 *25068:A 0.00122093 +3 *25068:A *25069:A 0 +4 *25068:A *25071:A 2.90331e-05 +5 *25068:A *5612:11 1.75637e-06 +6 *25068:A *5624:13 1.62073e-05 +7 *25068:A *5627:7 3.86121e-05 +8 *25068:A *5935:6 9.75356e-05 +9 *25068:A *5935:20 1.1539e-05 +10 *25067:A *25068:A 0.000451288 +11 *25196:A *25068:A 0 +*RES +1 wb_adr_i[4] *25068:A 40.2657 +*END + +*D_NET *319 0.0010087 +*CONN +*P wb_adr_i[5] I +*I *25069:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 wb_adr_i[5] 0.000504352 +2 *25069:A 0.000504352 +3 *25069:A *25071:A 0 +4 *25068:A *25069:A 0 +5 *25196:A *25069:A 0 +*RES +1 wb_adr_i[5] *25069:A 26.9473 +*END + +*D_NET *320 0.00279192 +*CONN +*P wb_adr_i[6] I +*I *25071:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 wb_adr_i[6] 0.000825242 +2 *25071:A 0.000825242 +3 *25071:A *22890:A 0 +4 *25071:A *22891:C 5.04829e-06 +5 *25071:A *25072:A 0.000703686 +6 *25071:A *25073:A 0 +7 *25071:A *5612:11 0.000164815 +8 *25071:A *5624:13 3.20069e-06 +9 *25071:A *5627:7 0.000113968 +10 *25071:A *5627:8 9.24303e-06 +11 *25071:A *5629:10 0 +12 *25071:A *5629:22 0.000112444 +13 *25068:A *25071:A 2.90331e-05 +14 *25069:A *25071:A 0 +15 *25196:A *25071:A 0 +*RES +1 wb_adr_i[6] *25071:A 41.7802 +*END + +*D_NET *321 0.00167085 +*CONN +*P wb_adr_i[7] I +*I *25072:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 wb_adr_i[7] 0.000403223 +2 *25072:A 0.000403223 +3 *25072:A *25073:A 0.000122378 +4 *25072:A *5629:10 3.83429e-05 +5 *25071:A *25072:A 0.000703686 +*RES +1 wb_adr_i[7] *25072:A 28.7746 +*END + +*D_NET *322 0.00123442 +*CONN +*P wb_adr_i[8] I +*I *25073:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[8] 0.000417236 +2 *25073:A 0.000417236 +3 *25073:A *25074:A 0 +4 *25073:A *5629:10 5.56461e-05 +5 *25071:A *25073:A 0 +6 *25072:A *25073:A 0.000122378 +7 *25197:A *25073:A 0.000221919 +*RES +1 wb_adr_i[8] *25073:A 27.6801 +*END + +*D_NET *323 0.00188818 +*CONN +*P wb_adr_i[9] I +*I *25074:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_adr_i[9] 0.000649121 +2 *25074:A 0.000649121 +3 *25074:A *21045:A 4.31539e-05 +4 *25074:A *22891:C 7.48797e-05 +5 *25074:A *5601:6 0 +6 *25074:A *5629:10 0 +7 *25074:A *5629:22 0.000115599 +8 *25074:A *5632:8 0 +9 *25041:A *25074:A 0.00035108 +10 *25073:A *25074:A 0 +11 *25197:A *25074:A 5.22654e-06 +*RES +1 wb_adr_i[9] *25074:A 36.5423 +*END + +*D_NET *324 0.0899366 +*CONN +*P wb_clk_i I +*I *24911:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *6030:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 wb_clk_i 0.00757153 +2 *24911:A 0 +3 *6030:DIODE 9.94465e-06 +4 *324:27 0.00649252 +5 *324:19 0.00876577 +6 *324:17 0.00266351 +7 *324:11 0.00685143 +8 *324:10 0.0140426 +9 *6030:DIODE *21808:C 6.36477e-05 +10 *6030:DIODE *21902:B 2.99287e-05 +11 *324:10 *21377:A1 0.000148612 +12 *324:10 *21887:B1 6.99852e-05 +13 *324:10 *24744:CLK 0.000572243 +14 *324:10 *25111:A 0 +15 *324:10 *25267:A 0 +16 *324:10 *399:11 0.00013309 +17 *324:10 *2277:11 0.000135387 +18 *324:10 *2386:17 0.000140091 +19 *324:10 *2387:7 0.000129117 +20 *324:10 *4875:46 0.000202746 +21 *324:10 *5856:436 0.000198393 +22 *324:11 *20134:B2 5.15155e-05 +23 *324:11 *20251:B 0.00108108 +24 *324:11 *20317:B2 0.000131793 +25 *324:11 *20318:A1 0.000190042 +26 *324:11 *20925:A1 0.000169684 +27 *324:11 *21143:A1 9.60216e-05 +28 *324:11 *21155:A2 5.41377e-05 +29 *324:11 *21155:B1 8.55619e-05 +30 *324:11 *21815:A 0.000431645 +31 *324:11 *22110:C1 8.07643e-05 +32 *324:11 *23941:A0 0.000217395 +33 *324:11 *24171:D 2.56863e-05 +34 *324:11 *24186:CLK 5.33331e-05 +35 *324:11 *24195:D 9.24241e-05 +36 *324:11 *24196:RESET_B 0.000132662 +37 *324:11 *24356:RESET_B 5.29627e-05 +38 *324:11 *24904:D 9.60366e-05 +39 *324:11 *510:55 0.000377646 +40 *324:11 *510:59 0 +41 *324:11 *510:71 0 +42 *324:11 *531:42 2.21443e-05 +43 *324:11 *1431:6 0 +44 *324:11 *1573:90 0.000611968 +45 *324:11 *1709:65 0.000243557 +46 *324:11 *2103:62 0 +47 *324:11 *2129:14 0.000314386 +48 *324:11 *2398:80 0.000615101 +49 *324:11 *2422:14 0.000243633 +50 *324:11 *2423:42 0.000790246 +51 *324:11 *2759:8 0.000381956 +52 *324:11 *2809:24 0.000304069 +53 *324:11 *3094:11 0.000825823 +54 *324:11 *4804:118 0.000117906 +55 *324:11 *4845:318 1.1573e-05 +56 *324:11 *4845:326 0.000913708 +57 *324:11 *4845:328 0.000147814 +58 *324:11 *4845:335 0.00058066 +59 *324:11 *5725:10 0.000467915 +60 *324:11 *5785:65 0.000527136 +61 *324:11 *5793:43 0 +62 *324:11 *5855:341 0 +63 *324:11 *5855:343 0.000543501 +64 *324:11 *5855:350 0.00027224 +65 *324:11 *5871:588 2.0833e-05 +66 *324:11 *5871:590 0.000174567 +67 *324:11 *5871:592 4.07664e-05 +68 *324:11 *5871:598 0.000135533 +69 *324:11 *5956:32 0 +70 *324:11 *5959:12 0.000118485 +71 *324:11 *5960:19 7.14746e-05 +72 *324:11 *6027:34 0.000177568 +73 *324:11 *6027:36 6.25467e-05 +74 *324:11 *6027:41 7.34838e-05 +75 *324:11 *6028:10 0.000145176 +76 *324:11 *6029:90 0.000221276 +77 *324:17 *20133:A1 3.65295e-05 +78 *324:17 *21237:A 2.3625e-05 +79 *324:17 *24812:D 7.01776e-05 +80 *324:17 *510:55 0.000447097 +81 *324:17 *4845:318 0 +82 *324:17 *5382:10 1.9101e-05 +83 *324:19 *6041:DIODE 5.05252e-05 +84 *324:19 *19825:A 0.000223646 +85 *324:19 *20309:A1 2.00811e-05 +86 *324:19 *20903:A1 0.000125695 +87 *324:19 *24341:D 0.000115508 +88 *324:19 *510:51 0.000450998 +89 *324:19 *510:55 1.12884e-05 +90 *324:19 *2141:86 0.000352801 +91 *324:19 *2282:81 1.38742e-05 +92 *324:19 *2301:36 0.000592183 +93 *324:19 *2468:67 0.000213097 +94 *324:19 *2520:72 2.63597e-05 +95 *324:19 *3021:10 0.000145445 +96 *324:19 *4810:8 0.000156492 +97 *324:19 *4810:22 0.000239162 +98 *324:19 *4844:231 8.85219e-05 +99 *324:19 *4845:315 0.000256975 +100 *324:19 *4845:316 0.000165609 +101 *324:19 *4845:318 0.000240785 +102 *324:19 *5593:57 0.000478725 +103 *324:19 *5593:65 5.6292e-05 +104 *324:19 *5861:469 0.00141461 +105 *324:19 *5919:73 0.00156166 +106 *324:19 *5919:75 7.14746e-05 +107 *324:27 *19791:B1 1.82146e-05 +108 *324:27 *20309:A1 0.00401026 +109 *324:27 *21279:B1 1.13939e-05 +110 *324:27 *21549:A1 0.000572409 +111 *324:27 *21549:A2 6.26584e-07 +112 *324:27 *21808:D 0.00213206 +113 *324:27 *21858:D 1.66626e-05 +114 *324:27 *22666:B1 0.000466081 +115 *324:27 *529:35 1.45827e-05 +116 *324:27 *1450:188 0.000301048 +117 *324:27 *1717:102 0.000236521 +118 *324:27 *1730:127 5.30605e-06 +119 *324:27 *1800:95 0.000104754 +120 *324:27 *2295:78 0.000936445 +121 *324:27 *2472:27 0.000155038 +122 *324:27 *2556:19 0.000121263 +123 *324:27 *2679:41 2.75528e-05 +124 *324:27 *2697:32 1.1573e-05 +125 *324:27 *2805:22 0.00101198 +126 *324:27 *2811:26 1.2856e-05 +127 *324:27 *2815:48 0.000703401 +128 *324:27 *2825:57 0.00190192 +129 *324:27 *2880:45 0.000297849 +130 *324:27 *3071:67 5.4278e-05 +131 *324:27 *3072:20 0.00674776 +132 *324:27 *3111:125 0.000106389 +133 *324:27 *3496:163 0.00126329 +134 *324:27 *3511:68 1.29859e-06 +135 *324:27 *3511:147 1.0159e-05 +136 *324:27 *3533:38 1.1475e-05 +137 *324:27 *3632:25 0.000185784 +138 pll_bypass *324:10 0 +*RES +1 wb_clk_i *324:10 38.7046 +2 *324:10 *324:11 223.577 +3 *324:11 *324:17 10.992 +4 *324:17 *324:19 84.9557 +5 *324:19 *324:27 31.7065 +6 *324:27 *6030:DIODE 9.97254 +7 *324:27 *24911:A 9.24915 +*END + +*D_NET *325 0.00105722 +*CONN +*P wb_cyc_i I +*I *25075:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 wb_cyc_i 0.000461437 +2 *25075:A 0.000461437 +3 *25075:A *25117:A 0 +4 *25075:A *25118:A 6.50727e-05 +5 *25075:A *5635:12 6.92705e-05 +6 *25075:A *5887:10 0 +7 *25034:A *25075:A 0 +*RES +1 wb_cyc_i *25075:A 26.3927 +*END + +*D_NET *326 0.00109963 +*CONN +*P wb_dat_i[0] I +*I *25076:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[0] 0.000515178 +2 *25076:A 0.000515178 +3 *25076:A *25088:A 0 +4 *25076:A *5636:10 6.92705e-05 +5 *25066:A *25076:A 0 +*RES +1 wb_dat_i[0] *25076:A 27.6873 +*END + +*D_NET *327 0.00117026 +*CONN +*P wb_dat_i[10] I +*I *25077:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[10] 0.000415085 +2 *25077:A 0.000415085 +3 *25077:A *25078:A 0.000340093 +4 *25077:A *25110:A 0 +*RES +1 wb_dat_i[10] *25077:A 29.6997 +*END + +*D_NET *328 0.00130257 +*CONN +*P wb_dat_i[11] I +*I *25078:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[11] 0.000358161 +2 *25078:A 0.000358161 +3 *25078:A *22856:B2 7.48633e-05 +4 *25078:A *24084:A0 0.000171288 +5 *25078:A *25079:A 0 +6 *25077:A *25078:A 0.000340093 +*RES +1 wb_dat_i[11] *25078:A 27.5019 +*END + +*D_NET *329 0.00115572 +*CONN +*P wb_dat_i[12] I +*I *25079:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[12] 0.000488846 +2 *25079:A 0.000488846 +3 *25079:A *22856:A2 0 +4 *25079:A *22862:B2 5.56461e-05 +5 *25079:A *24084:A0 0.000122378 +6 *25079:A *25080:A 0 +7 *25078:A *25079:A 0 +*RES +1 wb_dat_i[12] *25079:A 27.6801 +*END + +*D_NET *330 0.00125947 +*CONN +*P wb_dat_i[13] I +*I *25080:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[13] 0.000461662 +2 *25080:A 0.000461662 +3 *25080:A *22855:B 6.50727e-05 +4 *25080:A *22856:A2 5.41227e-05 +5 *25080:A *22862:B2 4.56667e-05 +6 *25080:A *24084:A0 0.000171288 +7 *25080:A *25082:A 0 +8 *25079:A *25080:A 0 +*RES +1 wb_dat_i[13] *25080:A 27.5019 +*END + +*D_NET *331 0.00151866 +*CONN +*P wb_dat_i[14] I +*I *25082:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[14] 0.000486311 +2 *25082:A 0.000486311 +3 *25082:A *22855:B 0.000275256 +4 *25082:A *22860:A2 3.93117e-06 +5 *25082:A *24084:A0 0.000266846 +6 *25082:A *25083:A 0 +7 *25080:A *25082:A 0 +*RES +1 wb_dat_i[14] *25082:A 28.6111 +*END + +*D_NET *332 0.00122422 +*CONN +*P wb_dat_i[15] I +*I *25083:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[15] 0.000520752 +2 *25083:A 0.000520752 +3 *25083:A *22855:B 5.56461e-05 +4 *25083:A *22860:A2 4.69495e-06 +5 *25083:A *24084:A0 0.000122378 +6 *25083:A *25084:A 0 +7 *25082:A *25083:A 0 +*RES +1 wb_dat_i[15] *25083:A 27.6801 +*END + +*D_NET *333 0.00128766 +*CONN +*P wb_dat_i[16] I +*I *25084:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[16] 0.000487692 +2 *25084:A 0.000487692 +3 *25084:A *24084:A0 0.00011818 +4 *25084:A *25085:A 0 +5 *25084:A *573:16 3.60933e-06 +6 *25084:A *3774:16 0.000171273 +7 *25084:A *5655:7 1.92172e-05 +8 *25083:A *25084:A 0 +*RES +1 wb_dat_i[16] *25084:A 27.5019 +*END + +*D_NET *334 0.00120996 +*CONN +*P wb_dat_i[17] I +*I *25085:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[17] 0.000477058 +2 *25085:A 0.000477058 +3 *25085:A *25086:A 0 +4 *25085:A *573:16 5.48015e-06 +5 *25085:A *3774:16 0.000175485 +6 *25085:A *5655:7 7.48797e-05 +7 *25084:A *25085:A 0 +*RES +1 wb_dat_i[17] *25085:A 27.5019 +*END + +*D_NET *335 0.00120669 +*CONN +*P wb_dat_i[18] I +*I *25086:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[18] 0.000478161 +2 *25086:A 0.000478161 +3 *25086:A *25087:A 0 +4 *25086:A *3774:16 0.000175485 +5 *25086:A *5655:7 7.48797e-05 +6 *25085:A *25086:A 0 +*RES +1 wb_dat_i[18] *25086:A 27.5019 +*END + +*D_NET *336 0.00115099 +*CONN +*P wb_dat_i[19] I +*I *25087:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[19] 0.00049832 +2 *25087:A 0.00049832 +3 *25087:A *22859:B 1.43983e-05 +4 *25087:A *25089:A 0 +5 *25087:A *5655:7 7.48797e-05 +6 *25087:A *5676:7 6.50727e-05 +7 *25086:A *25087:A 0 +*RES +1 wb_dat_i[19] *25087:A 27.5019 +*END + +*D_NET *337 0.0011551 +*CONN +*P wb_dat_i[1] I +*I *25088:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[1] 0.000489809 +2 *25088:A 0.000489809 +3 *25088:A *25100:A 0 +4 *25088:A *5636:10 0.000175485 +5 *25076:A *25088:A 0 +*RES +1 wb_dat_i[1] *25088:A 27.5019 +*END + +*D_NET *338 0.00121131 +*CONN +*P wb_dat_i[20] I +*I *25089:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[20] 0.000470372 +2 *25089:A 0.000470372 +3 *25089:A *25090:A 0 +4 *25089:A *5655:7 7.48797e-05 +5 *25089:A *5657:12 2.02035e-05 +6 *25089:A *5676:7 0.000175485 +7 *25087:A *25089:A 0 +*RES +1 wb_dat_i[20] *25089:A 27.5019 +*END + +*D_NET *339 0.00124262 +*CONN +*P wb_dat_i[21] I +*I *25090:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[21] 0.000476645 +2 *25090:A 0.000476645 +3 *25090:A *25091:A 0 +4 *25090:A *5655:7 4.0752e-05 +5 *25090:A *5658:13 7.95338e-05 +6 *25090:A *5676:7 0.000169041 +7 *25089:A *25090:A 0 +*RES +1 wb_dat_i[21] *25090:A 27.5019 +*END + +*D_NET *340 0.00128753 +*CONN +*P wb_dat_i[22] I +*I *25091:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[22] 0.000467334 +2 *25091:A 0.000467334 +3 *25091:A *25093:A 0 +4 *25091:A *5658:13 0.000177374 +5 *25091:A *5676:7 0.000175485 +6 *25090:A *25091:A 0 +*RES +1 wb_dat_i[22] *25091:A 27.5019 +*END + +*D_NET *341 0.0012887 +*CONN +*P wb_dat_i[23] I +*I *25093:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[23] 0.000470966 +2 *25093:A 0.000470966 +3 *25093:A *25094:A 0 +4 *25093:A *5658:13 0.000171288 +5 *25093:A *5676:7 0.000175485 +6 *25091:A *25093:A 0 +*RES +1 wb_dat_i[23] *25093:A 27.5019 +*END + +*D_NET *342 0.0012887 +*CONN +*P wb_dat_i[24] I +*I *25094:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[24] 0.000470966 +2 *25094:A 0.000470966 +3 *25094:A *25095:A 0 +4 *25094:A *5658:13 0.000171288 +5 *25094:A *5676:7 0.000175485 +6 *25093:A *25094:A 0 +*RES +1 wb_dat_i[24] *25094:A 27.5019 +*END + +*D_NET *343 0.0015145 +*CONN +*P wb_dat_i[25] I +*I *25095:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[25] 0.000479755 +2 *25095:A 0.000479755 +3 *25095:A *25096:A 0 +4 *25095:A *5658:13 0.00027329 +5 *25095:A *5676:7 0.0002817 +6 *25094:A *25095:A 0 +*RES +1 wb_dat_i[25] *25095:A 28.6111 +*END + +*D_NET *344 0.00126156 +*CONN +*P wb_dat_i[26] I +*I *25096:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[26] 0.000508401 +2 *25096:A 0.000508401 +3 *25096:A *25097:A 0 +4 *25096:A *5658:13 0.000122378 +5 *25096:A *5676:7 0.000122378 +6 *25095:A *25096:A 0 +*RES +1 wb_dat_i[26] *25096:A 27.6801 +*END + +*D_NET *345 0.001275 +*CONN +*P wb_dat_i[27] I +*I *25097:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[27] 0.000464115 +2 *25097:A 0.000464115 +3 *25097:A *25098:A 0 +4 *25097:A *5658:13 0.000171288 +5 *25097:A *5676:7 0.000175485 +6 *25096:A *25097:A 0 +*RES +1 wb_dat_i[27] *25097:A 27.5019 +*END + +*D_NET *346 0.00121101 +*CONN +*P wb_dat_i[28] I +*I *25098:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[28] 0.000485227 +2 *25098:A 0.000485227 +3 *25098:A *25099:A 0 +4 *25098:A *5658:13 6.50727e-05 +5 *25098:A *5676:7 0.000175485 +6 *25097:A *25098:A 0 +*RES +1 wb_dat_i[28] *25098:A 27.5019 +*END + +*D_NET *347 0.00116674 +*CONN +*P wb_dat_i[29] I +*I *25099:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[29] 0.000495626 +2 *25099:A 0.000495626 +3 *25099:A *25101:A 0 +4 *25099:A *5676:7 0.000175485 +5 *25098:A *25099:A 0 +*RES +1 wb_dat_i[29] *25099:A 27.5019 +*END + +*D_NET *348 0.00115991 +*CONN +*P wb_dat_i[2] I +*I *25100:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[2] 0.00049221 +2 *25100:A 0.00049221 +3 *25100:A *25104:A 0 +4 *25100:A *5636:10 0.000175485 +5 *25088:A *25100:A 0 +*RES +1 wb_dat_i[2] *25100:A 27.5019 +*END + +*D_NET *349 0.00115991 +*CONN +*P wb_dat_i[30] I +*I *25101:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[30] 0.00049221 +2 *25101:A 0.00049221 +3 *25101:A *25102:A 0 +4 *25101:A *5676:7 0.000175485 +5 *25099:A *25101:A 0 +*RES +1 wb_dat_i[30] *25101:A 27.5019 +*END + +*D_NET *350 0.00115991 +*CONN +*P wb_dat_i[31] I +*I *25102:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[31] 0.00049221 +2 *25102:A 0.00049221 +3 *25102:A *25112:A 0 +4 *25102:A *4834:8 0 +5 *25102:A *5676:7 0.000175485 +6 *25101:A *25102:A 0 +*RES +1 wb_dat_i[31] *25102:A 27.5019 +*END + +*D_NET *351 0.00121388 +*CONN +*P wb_dat_i[3] I +*I *25104:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[3] 0.000519196 +2 *25104:A 0.000519196 +3 *25104:A *25105:A 0 +4 *25104:A *5636:10 0.000175485 +5 *25100:A *25104:A 0 +*RES +1 wb_dat_i[3] *25104:A 28.2347 +*END + +*D_NET *352 0.0011551 +*CONN +*P wb_dat_i[4] I +*I *25105:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[4] 0.000489809 +2 *25105:A 0.000489809 +3 *25105:A *25106:A 0 +4 *25105:A *5636:10 0.000175485 +5 *25104:A *25105:A 0 +*RES +1 wb_dat_i[4] *25105:A 27.5019 +*END + +*D_NET *353 0.00115991 +*CONN +*P wb_dat_i[5] I +*I *25106:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[5] 0.00049221 +2 *25106:A 0.00049221 +3 *25106:A *25107:A 0 +4 *25106:A *5636:10 0.000175485 +5 *25105:A *25106:A 0 +*RES +1 wb_dat_i[5] *25106:A 27.5019 +*END + +*D_NET *354 0.00113357 +*CONN +*P wb_dat_i[6] I +*I *25107:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[6] 0.000505594 +2 *25107:A 0.000505594 +3 *25107:A *25108:A 0 +4 *25107:A *5636:10 0.000122378 +5 *25106:A *25107:A 0 +*RES +1 wb_dat_i[6] *25107:A 27.6801 +*END + +*D_NET *355 0.0011551 +*CONN +*P wb_dat_i[7] I +*I *25108:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[7] 0.000489809 +2 *25108:A 0.000489809 +3 *25108:A *24084:A0 0 +4 *25108:A *25109:A 0 +5 *25108:A *5636:10 0.000175485 +6 *25107:A *25108:A 0 +*RES +1 wb_dat_i[7] *25108:A 27.5019 +*END + +*D_NET *356 0.00128572 +*CONN +*P wb_dat_i[8] I +*I *25109:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[8] 0.000452888 +2 *25109:A 0.000452888 +3 *25109:A *24084:A0 0.000204461 +4 *25109:A *25110:A 0 +5 *25109:A *5636:10 0.000175485 +6 *25108:A *25109:A 0 +*RES +1 wb_dat_i[8] *25109:A 27.5019 +*END + +*D_NET *357 0.00115859 +*CONN +*P wb_dat_i[9] I +*I *25110:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_dat_i[9] 0.000491381 +2 *25110:A 0.000491381 +3 *25110:A *22856:B2 5.48616e-05 +4 *25110:A *24084:A0 0.000120962 +5 *25077:A *25110:A 0 +6 *25109:A *25110:A 0 +*RES +1 wb_dat_i[9] *25110:A 27.6801 +*END + +*D_NET *358 0.00140682 +*CONN +*P wb_dat_o[0] O +*I *25331:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[0] 0.000521114 +2 *25331:X 0.000521114 +3 wb_dat_o[0] wb_dat_o[1] 0 +4 wb_dat_o[0] *22086:B1 0.00014464 +5 wb_dat_o[0] *24140:D 9.61451e-05 +6 wb_dat_o[0] *25342:A 4.87198e-05 +7 wb_dat_o[0] *25356:A 7.50872e-05 +*RES +1 *25331:X wb_dat_o[0] 21.6467 +*END + +*D_NET *359 0.00086664 +*CONN +*P wb_dat_o[10] O +*I *25332:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[10] 0.000395462 +2 *25332:X 0.000395462 +3 wb_dat_o[10] wb_dat_o[11] 0 +4 wb_dat_o[10] wb_dat_o[9] 0 +5 wb_dat_o[10] *3083:25 2.36701e-05 +6 wb_dat_o[10] *4879:59 2.36701e-05 +7 wb_dat_o[10] *5452:33 2.83752e-05 +*RES +1 *25332:X wb_dat_o[10] 19.1551 +*END + +*D_NET *360 0.00095437 +*CONN +*P wb_dat_o[11] O +*I *25333:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[11] 0.000386306 +2 *25333:X 0.000386306 +3 wb_dat_o[11] wb_dat_o[12] 0 +4 wb_dat_o[11] *24129:CLK 4.31485e-06 +5 wb_dat_o[11] *3083:19 9.85369e-05 +6 wb_dat_o[11] *3083:25 5.38612e-06 +7 wb_dat_o[11] *4373:20 6.56617e-05 +8 wb_dat_o[11] *4373:22 7.85871e-06 +9 wb_dat_o[10] wb_dat_o[11] 0 +*RES +1 *25333:X wb_dat_o[11] 19.9856 +*END + +*D_NET *361 0.000928561 +*CONN +*P wb_dat_o[12] O +*I *25334:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[12] 0.000371056 +2 *25334:X 0.000371056 +3 wb_dat_o[12] wb_dat_o[13] 0 +4 wb_dat_o[12] *3083:19 0.000113077 +5 wb_dat_o[12] *4373:20 4.51176e-05 +6 wb_dat_o[12] *5927:24 2.82537e-05 +7 wb_dat_o[11] wb_dat_o[12] 0 +*RES +1 *25334:X wb_dat_o[12] 19.9856 +*END + +*D_NET *362 0.000746801 +*CONN +*P wb_dat_o[13] O +*I *25335:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[13] 0.000359365 +2 *25335:X 0.000359365 +3 wb_dat_o[13] wb_dat_o[14] 0 +4 wb_dat_o[13] *3083:19 2.02035e-05 +5 wb_dat_o[13] *5927:24 7.86825e-06 +6 wb_dat_o[12] wb_dat_o[13] 0 +*RES +1 *25335:X wb_dat_o[13] 18.3246 +*END + +*D_NET *363 0.00101778 +*CONN +*P wb_dat_o[14] O +*I *25336:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[14] 0.000395822 +2 *25336:X 0.000395822 +3 wb_dat_o[14] wb_dat_o[15] 0 +4 wb_dat_o[14] *24132:D 0.000113066 +5 wb_dat_o[14] *3083:19 0.000113066 +6 wb_dat_o[13] wb_dat_o[14] 0 +*RES +1 *25336:X wb_dat_o[14] 19.9856 +*END + +*D_NET *364 0.000767314 +*CONN +*P wb_dat_o[15] O +*I *25337:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[15] 0.000370519 +2 *25337:X 0.000370519 +3 wb_dat_o[15] wb_dat_o[16] 0 +4 wb_dat_o[15] *3083:19 1.91391e-05 +5 wb_dat_o[15] *5927:24 7.13655e-06 +6 wb_dat_o[14] wb_dat_o[15] 0 +*RES +1 *25337:X wb_dat_o[15] 18.3246 +*END + +*D_NET *365 0.000837654 +*CONN +*P wb_dat_o[16] O +*I *25338:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[16] 0.000400043 +2 *25338:X 0.000400043 +3 wb_dat_o[16] wb_dat_o[17] 0 +4 wb_dat_o[16] *3083:19 1.91391e-05 +5 wb_dat_o[16] *5927:16 1.81253e-05 +6 wb_dat_o[16] *5927:24 3.029e-07 +7 wb_dat_o[15] wb_dat_o[16] 0 +*RES +1 *25338:X wb_dat_o[16] 18.3246 +*END + +*D_NET *366 0.000853991 +*CONN +*P wb_dat_o[17] O +*I *25339:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[17] 0.000407871 +2 *25339:X 0.000407871 +3 wb_dat_o[17] wb_dat_o[18] 0 +4 wb_dat_o[17] *3083:19 1.91246e-05 +5 wb_dat_o[17] *5927:16 1.91246e-05 +6 wb_dat_o[16] wb_dat_o[17] 0 +*RES +1 *25339:X wb_dat_o[17] 18.3246 +*END + +*D_NET *367 0.00094786 +*CONN +*P wb_dat_o[18] O +*I *25340:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[18] 0.000437819 +2 *25340:X 0.000437819 +3 wb_dat_o[18] wb_dat_o[19] 0 +4 wb_dat_o[18] *3883:11 0 +5 wb_dat_o[18] *5455:47 1.33972e-05 +6 wb_dat_o[18] *5927:16 5.88253e-05 +7 wb_dat_o[17] wb_dat_o[18] 0 +*RES +1 *25340:X wb_dat_o[18] 19.1551 +*END + +*D_NET *368 0.00124845 +*CONN +*P wb_dat_o[19] O +*I *25341:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[19] 0.000353499 +2 *25341:X 0.000353499 +3 wb_dat_o[19] wb_dat_o[20] 0 +4 wb_dat_o[19] *3883:11 0.00039821 +5 wb_dat_o[19] *5455:47 3.01656e-05 +6 wb_dat_o[19] *5927:16 0.000113077 +7 wb_dat_o[18] wb_dat_o[19] 0 +*RES +1 *25341:X wb_dat_o[19] 19.9856 +*END + +*D_NET *369 0.0011064 +*CONN +*P wb_dat_o[1] O +*I *25342:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[1] 0.000491442 +2 *25342:X 0.000491442 +3 wb_dat_o[1] wb_dat_o[2] 0 +4 wb_dat_o[1] *25342:A 3.63632e-05 +5 wb_dat_o[1] *25356:A 8.71534e-05 +6 wb_dat_o[0] wb_dat_o[1] 0 +*RES +1 *25342:X wb_dat_o[1] 19.5704 +*END + +*D_NET *370 0.00076152 +*CONN +*P wb_dat_o[20] O +*I *25343:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[20] 0.000375091 +2 *25343:X 0.000375091 +3 wb_dat_o[20] wb_dat_o[21] 0 +4 wb_dat_o[20] *4880:10 7.13655e-06 +5 wb_dat_o[20] *5824:20 4.20184e-06 +6 wb_dat_o[19] wb_dat_o[20] 0 +*RES +1 *25343:X wb_dat_o[20] 18.3246 +*END + +*D_NET *371 0.0010859 +*CONN +*P wb_dat_o[21] O +*I *25344:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[21] 0.000476859 +2 *25344:X 0.000476859 +3 wb_dat_o[21] wb_dat_o[22] 0 +4 wb_dat_o[21] *4880:10 1.58826e-05 +5 wb_dat_o[21] *4880:17 6.66393e-05 +6 wb_dat_o[21] *5824:20 4.96601e-05 +7 wb_dat_o[20] wb_dat_o[21] 0 +*RES +1 *25344:X wb_dat_o[21] 21.2314 +*END + +*D_NET *372 0.000800466 +*CONN +*P wb_dat_o[22] O +*I *25345:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[22] 0.000384906 +2 *25345:X 0.000384906 +3 wb_dat_o[22] wb_dat_o[23] 0 +4 wb_dat_o[22] *4880:17 1.86242e-05 +5 wb_dat_o[22] *5824:20 1.20291e-05 +6 wb_dat_o[21] wb_dat_o[22] 0 +*RES +1 *25345:X wb_dat_o[22] 18.7399 +*END + +*D_NET *373 0.000765989 +*CONN +*P wb_dat_o[23] O +*I *25346:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[23] 0.000375858 +2 *25346:X 0.000375858 +3 wb_dat_o[23] wb_dat_o[24] 0 +4 wb_dat_o[23] *4880:17 7.13655e-06 +5 wb_dat_o[23] *5832:10 7.13655e-06 +6 wb_dat_o[22] wb_dat_o[23] 0 +*RES +1 *25346:X wb_dat_o[23] 18.3246 +*END + +*D_NET *374 0.000912361 +*CONN +*P wb_dat_o[24] O +*I *25347:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[24] 0.000413803 +2 *25347:X 0.000413803 +3 wb_dat_o[24] wb_dat_o[25] 0 +4 wb_dat_o[24] *5832:10 2.36582e-05 +5 wb_dat_o[24] *5833:17 6.1096e-05 +6 wb_dat_o[23] wb_dat_o[24] 0 +*RES +1 *25347:X wb_dat_o[24] 19.1551 +*END + +*D_NET *375 0.000963683 +*CONN +*P wb_dat_o[25] O +*I *25348:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[25] 0.000420734 +2 *25348:X 0.000420734 +3 wb_dat_o[25] wb_dat_o[26] 0 +4 wb_dat_o[25] *24147:D 6.11074e-05 +5 wb_dat_o[25] *5833:17 6.11074e-05 +6 wb_dat_o[24] wb_dat_o[25] 0 +*RES +1 *25348:X wb_dat_o[25] 19.1551 +*END + +*D_NET *376 0.000920993 +*CONN +*P wb_dat_o[26] O +*I *25349:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[26] 0.000399389 +2 *25349:X 0.000399389 +3 wb_dat_o[26] wb_dat_o[27] 0 +4 wb_dat_o[26] *25348:A 6.11074e-05 +5 wb_dat_o[26] *5833:17 6.11074e-05 +6 wb_dat_o[25] wb_dat_o[26] 0 +*RES +1 *25349:X wb_dat_o[26] 19.1551 +*END + +*D_NET *377 0.000852356 +*CONN +*P wb_dat_o[27] O +*I *25350:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[27] 0.000382125 +2 *25350:X 0.000382125 +3 wb_dat_o[27] wb_dat_o[28] 0 +4 wb_dat_o[27] *5833:17 4.40531e-05 +5 wb_dat_o[27] *5837:31 4.40531e-05 +6 wb_dat_o[26] wb_dat_o[27] 0 +*RES +1 *25350:X wb_dat_o[27] 18.7399 +*END + +*D_NET *378 0.00104614 +*CONN +*P wb_dat_o[28] O +*I *25351:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[28] 0.000414967 +2 *25351:X 0.000414967 +3 wb_dat_o[28] wb_dat_o[29] 0 +4 wb_dat_o[28] *5833:17 0.000108103 +5 wb_dat_o[28] *5837:31 0.000108103 +6 wb_dat_o[27] wb_dat_o[28] 0 +*RES +1 *25351:X wb_dat_o[28] 19.9856 +*END + +*D_NET *379 0.000795452 +*CONN +*P wb_dat_o[29] O +*I *25352:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[29] 0.000377523 +2 *25352:X 0.000377523 +3 wb_dat_o[29] wb_dat_o[30] 0 +4 wb_dat_o[29] *5833:17 2.02035e-05 +5 wb_dat_o[29] *5837:31 2.02035e-05 +6 wb_dat_o[28] wb_dat_o[29] 0 +*RES +1 *25352:X wb_dat_o[29] 18.3246 +*END + +*D_NET *380 0.00105621 +*CONN +*P wb_dat_o[2] O +*I *25353:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[2] 0.000490424 +2 *25353:X 0.000490424 +3 wb_dat_o[2] wb_dat_o[3] 0 +4 wb_dat_o[2] *25356:A 6.11074e-05 +5 wb_dat_o[2] *5840:37 1.42599e-05 +6 wb_dat_o[1] wb_dat_o[2] 0 +*RES +1 *25353:X wb_dat_o[2] 19.1551 +*END + +*D_NET *381 0.00109351 +*CONN +*P wb_dat_o[30] O +*I *25354:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[30] 0.000438661 +2 *25354:X 0.000438661 +3 wb_dat_o[30] wb_dat_o[31] 0 +4 wb_dat_o[30] *5833:17 0.000108091 +5 wb_dat_o[30] *5837:31 0.000108091 +6 wb_dat_o[29] wb_dat_o[30] 0 +*RES +1 *25354:X wb_dat_o[30] 19.9856 +*END + +*D_NET *382 0.000930919 +*CONN +*P wb_dat_o[31] O +*I *25355:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[31] 0.000404364 +2 *25355:X 0.000404364 +3 wb_dat_o[31] *24151:D 6.1096e-05 +4 wb_dat_o[31] *5837:31 6.1096e-05 +5 wb_dat_o[30] wb_dat_o[31] 0 +6 *25187:A wb_dat_o[31] 0 +*RES +1 *25355:X wb_dat_o[31] 19.1551 +*END + +*D_NET *383 0.00112656 +*CONN +*P wb_dat_o[3] O +*I *25356:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[3] 0.000504294 +2 *25356:X 0.000504294 +3 wb_dat_o[3] wb_dat_o[4] 0 +4 wb_dat_o[3] *25356:A 0.00011015 +5 wb_dat_o[3] *25357:A 0 +6 wb_dat_o[3] *4373:22 3.44412e-06 +7 wb_dat_o[3] *5457:67 4.38072e-06 +8 wb_dat_o[2] wb_dat_o[3] 0 +*RES +1 *25356:X wb_dat_o[3] 19.9856 +*END + +*D_NET *384 0.00110458 +*CONN +*P wb_dat_o[4] O +*I *25357:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[4] 0.000470447 +2 *25357:X 0.000470447 +3 wb_dat_o[4] wb_dat_o[5] 0 +4 wb_dat_o[4] *4373:22 3.01656e-05 +5 wb_dat_o[4] *5457:67 0.000133521 +6 wb_dat_o[3] wb_dat_o[4] 0 +*RES +1 *25357:X wb_dat_o[4] 19.9856 +*END + +*D_NET *385 0.0011478 +*CONN +*P wb_dat_o[5] O +*I *25358:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[5] 0.000471129 +2 *25358:X 0.000471129 +3 wb_dat_o[5] wb_dat_o[6] 0 +4 wb_dat_o[5] *4373:22 4.53485e-05 +5 wb_dat_o[5] *5457:67 0.000160195 +6 wb_dat_o[4] wb_dat_o[5] 0 +*RES +1 *25358:X wb_dat_o[5] 20.8161 +*END + +*D_NET *386 0.000882039 +*CONN +*P wb_dat_o[6] O +*I *25359:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[6] 0.000412991 +2 *25359:X 0.000412991 +3 wb_dat_o[6] wb_dat_o[7] 0 +4 wb_dat_o[6] *4373:22 1.20291e-05 +5 wb_dat_o[6] *5457:67 4.40272e-05 +6 wb_dat_o[5] wb_dat_o[6] 0 +*RES +1 *25359:X wb_dat_o[6] 18.7399 +*END + +*D_NET *387 0.00117974 +*CONN +*P wb_dat_o[7] O +*I *25360:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[7] 0.00049453 +2 *25360:X 0.00049453 +3 wb_dat_o[7] wb_dat_o[8] 0 +4 wb_dat_o[7] *24143:D 4.40531e-05 +5 wb_dat_o[7] *4373:22 4.86511e-05 +6 wb_dat_o[7] *4879:50 4.40325e-05 +7 wb_dat_o[7] *4879:57 5.39463e-05 +8 wb_dat_o[6] wb_dat_o[7] 0 +*RES +1 *25360:X wb_dat_o[7] 21.6467 +*END + +*D_NET *388 0.000862452 +*CONN +*P wb_dat_o[8] O +*I *25361:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[8] 0.000425557 +2 *25361:X 0.000425557 +3 wb_dat_o[8] wb_dat_o[9] 0 +4 wb_dat_o[8] *4373:22 4.20184e-06 +5 wb_dat_o[8] *4879:59 7.13655e-06 +6 wb_dat_o[7] wb_dat_o[8] 0 +*RES +1 *25361:X wb_dat_o[8] 18.3246 +*END + +*D_NET *389 0.000927018 +*CONN +*P wb_dat_o[9] O +*I *25362:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 wb_dat_o[9] 0.000408851 +2 *25362:X 0.000408851 +3 wb_dat_o[9] *3083:25 2.36701e-05 +4 wb_dat_o[9] *4879:59 2.36701e-05 +5 wb_dat_o[9] *5452:33 6.19747e-05 +6 wb_dat_o[10] wb_dat_o[9] 0 +7 wb_dat_o[8] wb_dat_o[9] 0 +*RES +1 *25362:X wb_dat_o[9] 19.1551 +*END + +*D_NET *390 0.00113039 +*CONN +*P wb_rstn_i I +*I *25111:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 wb_rstn_i 0.000440059 +2 *25111:A 0.000440059 +3 *25111:A *5671:7 0.000175485 +4 *25111:A *5830:13 6.77202e-05 +5 *25111:A *5830:17 7.06457e-06 +6 *25139:A *25111:A 0 +7 *324:10 *25111:A 0 +*RES +1 wb_rstn_i *25111:A 26.5737 +*END + +*D_NET *391 0.00124415 +*CONN +*P wb_sel_i[0] I +*I *25112:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_sel_i[0] 0.000460275 +2 *25112:A 0.000460275 +3 *25112:A *25113:A 0 +4 *25112:A *4834:8 0.000148114 +5 *25112:A *5676:7 0.000175485 +6 *25102:A *25112:A 0 +*RES +1 wb_sel_i[0] *25112:A 27.5019 +*END + +*D_NET *392 0.00117357 +*CONN +*P wb_sel_i[1] I +*I *25113:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 wb_sel_i[1] 0.000499041 +2 *25113:A 0.000499041 +3 *25113:A *25116:A 0 +4 *25113:A *5676:7 0.000175485 +5 *25112:A *25113:A 0 +*RES +1 wb_sel_i[1] *25113:A 27.5019 +*END + +*D_NET *393 0.00101294 +*CONN +*P wb_sel_i[2] I +*I *25116:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 wb_sel_i[2] 0.000471836 +2 *25116:A 0.000471836 +3 *25116:A *25117:A 0 +4 *25116:A *5676:7 6.92705e-05 +5 *25113:A *25116:A 0 +*RES +1 wb_sel_i[2] *25116:A 26.3927 +*END + +*D_NET *394 0.00132787 +*CONN +*P wb_sel_i[3] I +*I *25117:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 wb_sel_i[3] 0.000631397 +2 *25117:A 0.000631397 +3 *25117:A *25118:A 0 +4 *25117:A *5673:9 0 +5 *25117:A *5677:7 6.50727e-05 +6 *25075:A *25117:A 0 +7 *25116:A *25117:A 0 +*RES +1 wb_sel_i[3] *25117:A 29.7147 +*END + +*D_NET *396 0.00260416 +*CONN +*P wb_we_i I +*I *25118:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 wb_we_i 0.000777448 +2 *25118:A 0.000777448 +3 *25118:A *21710:A 0.000519418 +4 *25118:A *5635:12 0.000193186 +5 *25118:A *5887:7 3.60121e-05 +6 *25034:A *25118:A 0.000122378 +7 *25035:A *25118:A 0.000113197 +8 *25075:A *25118:A 6.50727e-05 +9 *25117:A *25118:A 0 +*RES +1 wb_we_i *25118:A 38.8057 +*END + +*D_NET *397 0.00126238 +*CONN +*I *20969:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23985:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20969:A2 0.000402085 +2 *23985:X 0.000402085 +3 *20969:A2 *23987:A0 3.21102e-05 +4 *20969:A2 *548:21 0.00035344 +5 *20969:A2 *2333:18 7.26606e-05 +*RES +1 *23985:X *20969:A2 34.6299 +*END + +*D_NET *398 0.000801401 +*CONN +*I *20968:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23987:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20968:A2 0.0003243 +2 *23987:X 0.0003243 +3 *20968:A2 *23987:A0 5.26719e-05 +4 *20968:A2 *23987:A1 2.8322e-05 +5 *20968:A2 *6027:113 9.03508e-06 +6 *20968:A2 *6027:117 6.27718e-05 +*RES +1 *23987:X *20968:A2 32.9661 +*END + +*D_NET *399 0.00481638 +*CONN +*I *20967:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *23989:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20967:B1 3.72591e-05 +2 *23989:X 0.000892012 +3 *399:11 0.000929271 +4 *399:11 *20968:B2 5.03285e-05 +5 *399:11 *24291:CLK 5.92342e-05 +6 *399:11 *24292:RESET_B 7.87126e-05 +7 *399:11 *550:29 0.0001305 +8 *399:11 *2386:17 7.76105e-06 +9 *399:11 *2439:10 0.000175485 +10 *399:11 *2899:12 0 +11 *399:11 *2925:7 6.92705e-05 +12 *399:11 *2925:8 1.29348e-05 +13 *399:11 *4875:46 0.00185559 +14 *399:11 *4877:36 4.84944e-05 +15 *399:11 *4877:38 0.000193108 +16 *399:11 *5966:10 0.000143325 +17 *324:10 *399:11 0.00013309 +*RES +1 *23989:X *399:11 49.8043 +2 *399:11 *20967:B1 10.2378 +*END + +*D_NET *400 0.000363584 +*CONN +*I *20978:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23967:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20978:A2 7.62963e-05 +2 *23967:X 7.62963e-05 +3 *20978:A2 *23967:S 6.08467e-05 +4 *20978:A2 *1636:33 7.50722e-05 +5 *20978:A2 *3177:55 7.50722e-05 +*RES +1 *23967:X *20978:A2 29.6384 +*END + +*D_NET *401 0.00142788 +*CONN +*I *20977:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23969:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20977:A2 0.000454101 +2 *23969:X 0.000454101 +3 *20977:A2 *20977:B2 0.000271462 +4 *20977:A2 *24282:D 7.50722e-05 +5 *20977:A2 *1428:93 7.50722e-05 +6 *20977:A2 *5969:5 9.80747e-05 +*RES +1 *23969:X *20977:A2 35.7391 +*END + +*D_NET *402 0.00197956 +*CONN +*I *20976:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23971:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20976:A2 0.00068844 +2 *23971:X 0.00068844 +3 *20976:A2 *23971:A0 6.50586e-05 +4 *20976:A2 *1428:93 0 +5 *20976:A2 *1520:39 0.000169041 +6 *20976:A2 *2164:184 0.000114579 +7 *20976:A2 *2528:20 0.000145521 +8 *20976:A2 *2859:14 3.92275e-05 +9 *20976:A2 *2900:47 4.33819e-05 +10 *20976:A2 *4877:8 2.58696e-05 +11 *20976:A2 *6027:249 0 +*RES +1 *23971:X *20976:A2 42.5463 +*END + +*D_NET *403 0.000493015 +*CONN +*I *20975:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23973:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20975:A2 3.50289e-05 +2 *23973:X 3.50289e-05 +3 *20975:A2 *20974:A1 6.08467e-05 +4 *20975:A2 *23973:A0 0.000211478 +5 *20975:A2 *2164:169 0.000150632 +*RES +1 *23973:X *20975:A2 20.8855 +*END + +*D_NET *404 0.000490643 +*CONN +*I *20974:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23975:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20974:A2 0.00013747 +2 *23975:X 0.00013747 +3 *20974:A2 *1520:39 0.000215704 +*RES +1 *23975:X *20974:A2 20.8855 +*END + +*D_NET *405 0.00254807 +*CONN +*I *20973:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23977:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20973:A2 0.000760523 +2 *23977:X 0.000760523 +3 *20973:A2 *20973:B2 1.03403e-05 +4 *20973:A2 *24287:D 0.000112952 +5 *20973:A2 *439:194 0.000214775 +6 *20973:A2 *511:10 0.0003145 +7 *20973:A2 *550:25 3.72827e-05 +8 *20973:A2 *1439:194 8.89025e-05 +9 *20973:A2 *2720:12 0.000248273 +*RES +1 *23977:X *20973:A2 48.8161 +*END + +*D_NET *406 0.00157422 +*CONN +*I *20972:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23979:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20972:A2 0.000725922 +2 *23979:X 0.000725922 +3 *20972:A2 *20973:B2 0 +4 *20972:A2 *21004:B1 0.000122378 +5 *20972:A2 *21967:A1_N 0 +6 *20972:A2 *23981:A0 0 +7 *20972:A2 *439:185 0 +*RES +1 *23979:X *20972:A2 43.4811 +*END + +*D_NET *407 0.000352204 +*CONN +*I *20971:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23981:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20971:A2 1.97143e-05 +2 *23981:X 1.97143e-05 +3 *20971:A2 *20971:A1 0.000122378 +4 *20971:A2 *20971:B1 1.41976e-05 +5 *20971:A2 *520:63 0.000171288 +6 *20971:A2 *2831:29 4.91225e-06 +*RES +1 *23981:X *20971:A2 20.3309 +*END + +*D_NET *408 0.00399418 +*CONN +*I *20970:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23983:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20970:A2 0 +2 *23983:X 0.000689406 +3 *408:11 0.000689406 +4 *408:11 *20970:B1 7.97944e-05 +5 *408:11 *20970:B2 2.5386e-05 +6 *408:11 *21326:B1 3.31733e-05 +7 *408:11 *23985:A0 0.001079 +8 *408:11 *24289:RESET_B 5.0608e-05 +9 *408:11 *24291:RESET_B 9.96342e-05 +10 *408:11 *517:15 6.08467e-05 +11 *408:11 *1439:203 6.08467e-05 +12 *408:11 *2290:18 0 +13 *408:11 *2736:10 0 +14 *408:11 *2831:29 1.23544e-05 +15 *408:11 *4875:24 0.00017245 +16 *408:11 *5871:247 0.000165859 +17 *408:11 *5871:271 8.5976e-05 +18 *408:11 *5871:280 0.000689435 +19 *408:11 *5975:8 0 +*RES +1 *23983:X *408:11 47.6916 +2 *408:11 *20970:A2 9.24915 +*END + +*D_NET *409 0.00132418 +*CONN +*I *21002:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *24009:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21002:A2 0.000508248 +2 *24009:X 0.000508248 +3 *21002:A2 *2720:8 0.000307679 +4 *21002:A2 *2859:8 0 +*RES +1 *24009:X *21002:A2 40.9573 +*END + +*D_NET *410 0.0016239 +*CONN +*I *21001:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *24011:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21001:A2 0.000510425 +2 *24011:X 0.000510425 +3 *21001:A2 *21002:A1 1.80042e-05 +4 *21001:A2 *24013:S 0.000131924 +5 *21001:A2 *439:112 7.26606e-05 +6 *21001:A2 *2439:10 7.26606e-05 +7 *21001:A2 *6027:129 0.000307806 +*RES +1 *24011:X *21001:A2 36.8483 +*END + +*D_NET *411 0.00146696 +*CONN +*I *21000:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *24013:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21000:A2 0.000363129 +2 *24013:X 0.000363129 +3 *21000:A2 *24013:A0 0 +4 *21000:A2 *24013:A1 7.02462e-05 +5 *21000:A2 *24013:S 0.00032688 +6 *21000:A2 *24174:CLK 3.99086e-06 +7 *21000:A2 *2164:99 0.000339582 +8 *21000:A2 *2439:10 0 +*RES +1 *24013:X *21000:A2 38.5037 +*END + +*D_NET *412 0.00316309 +*CONN +*I *21011:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23991:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21011:A2 0.000656641 +2 *23991:X 0.000656641 +3 *21011:A2 *24256:D 0.000122083 +4 *21011:A2 *2392:8 0.000301969 +5 *21011:A2 *2392:12 0.000179895 +6 *21011:A2 *5785:52 0.000209232 +7 *21011:A2 *5794:37 0.00103663 +*RES +1 *23991:X *21011:A2 49.5733 +*END + +*D_NET *413 0.00233705 +*CONN +*I *21010:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23993:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21010:A2 0.000345193 +2 *23993:X 0.000345193 +3 *21010:A2 *21010:A1 1.84293e-05 +4 *21010:A2 *1556:20 0.000712171 +5 *21010:A2 *2291:15 0.000372847 +6 *21010:A2 *2426:78 0.000472016 +7 *21010:A2 *5853:392 7.12021e-05 +*RES +1 *23993:X *21010:A2 44.1779 +*END + +*D_NET *414 0.000296852 +*CONN +*I *21009:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23995:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21009:A2 0.00010572 +2 *23995:X 0.00010572 +3 *21009:A2 *23995:A0 1.03403e-05 +4 *21009:A2 *23999:A1 0 +5 *21009:A2 *2392:8 7.50722e-05 +*RES +1 *23995:X *21009:A2 29.6384 +*END + +*D_NET *415 0.00161571 +*CONN +*I *21008:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23997:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21008:A2 0.000428995 +2 *23997:X 0.000428995 +3 *21008:A2 *21008:A1 7.50722e-05 +4 *21008:A2 *2164:14 7.86847e-05 +5 *21008:A2 *6027:178 0.000603957 +*RES +1 *23997:X *21008:A2 35.7391 +*END + +*D_NET *416 0.000710224 +*CONN +*I *21007:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23999:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21007:A2 0.000179388 +2 *23999:X 0.000179388 +3 *21007:A2 *23999:A1 6.85778e-05 +4 *21007:A2 *2381:22 6.85778e-05 +5 *21007:A2 *2426:73 0.000214293 +*RES +1 *23999:X *21007:A2 31.3022 +*END + +*D_NET *417 0.00151348 +*CONN +*I *21006:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *24001:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21006:A2 0.000246768 +2 *24001:X 0.000246768 +3 *21006:A2 *21006:B2 2.99929e-05 +4 *21006:A2 *24003:A1 5.1892e-05 +5 *21006:A2 *505:22 0.000305644 +6 *21006:A2 *1419:8 0.000632412 +*RES +1 *24001:X *21006:A2 38.8811 +*END + +*D_NET *418 0.00155143 +*CONN +*I *21005:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *24003:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21005:A2 0.00029824 +2 *24003:X 0.00029824 +3 *21005:A2 *21005:A1 0.000137921 +4 *21005:A2 *539:55 0.000107496 +5 *21005:A2 *2859:8 0.000503747 +6 *21005:A2 *2962:27 8.7142e-05 +7 *21005:A2 *4877:22 8.2464e-05 +8 *21005:A2 *6027:66 3.6181e-05 +*RES +1 *24003:X *21005:A2 38.0828 +*END + +*D_NET *419 0.00151392 +*CONN +*I *21004:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *24005:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21004:A2 0.000352899 +2 *24005:X 0.000352899 +3 *21004:A2 *24005:A0 0.000167076 +4 *21004:A2 *550:29 0.000508818 +5 *21004:A2 *2899:12 0.000132227 +*RES +1 *24005:X *21004:A2 38.6374 +*END + +*D_NET *420 0.00120692 +*CONN +*I *21003:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *24007:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21003:A2 0.000277369 +2 *24007:X 0.000277369 +3 *21003:A2 *21003:B1 0.000169041 +4 *21003:A2 *24007:A0 0.000211478 +5 *21003:A2 *24007:A1 1.19856e-05 +6 *21003:A2 *24007:S 6.08467e-05 +7 *21003:A2 *2164:25 3.40028e-05 +8 *21003:A2 *2333:27 0.000164829 +*RES +1 *24007:X *21003:A2 26.4315 +*END + +*D_NET *421 0.000771427 +*CONN +*I *24177:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *23930:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *24177:D 0.00019711 +2 *23930:Y 0.00019711 +3 *24177:D *23300:B1 8.2739e-05 +4 *24177:D *1477:12 0 +5 *24177:D *1480:8 0.000143032 +6 *24177:D *4003:29 0.000151436 +*RES +1 *23930:Y *24177:D 34.2118 +*END + +*D_NET *422 0.000226051 +*CONN +*I *24178:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *23929:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *24178:D 3.75733e-05 +2 *23929:Y 3.75733e-05 +3 *24178:D *3089:58 0.000104731 +4 *24178:D *4138:143 4.61732e-05 +*RES +1 *23929:Y *24178:D 29.3303 +*END + +*D_NET *423 0.00107476 +*CONN +*I *24179:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *23928:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *24179:D 0.000198088 +2 *23928:Y 0.000198088 +3 *24179:D *19666:A 4.31539e-05 +4 *24179:D *4138:143 2.3939e-05 +5 *24179:D *4837:8 0.000331044 +6 *24179:D *4881:132 0.000280451 +*RES +1 *23928:Y *24179:D 34.7608 +*END + +*D_NET *424 0.000259258 +*CONN +*I *24180:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *23927:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *24180:D 8.01744e-05 +2 *23927:Y 8.01744e-05 +3 *24180:D *22067:B 3.14978e-05 +4 *24180:D *22106:A1 2.12377e-05 +5 *24180:D *1477:12 4.61732e-05 +6 *24180:D *1483:139 0 +*RES +1 *23927:Y *24180:D 30.0537 +*END + +*D_NET *425 0.000555491 +*CONN +*I *24165:D I *D sky130_fd_sc_hd__dfstp_4 +*I *22122:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *24165:D 0.000123916 +2 *22122:Y 0.000123916 +3 *24165:D *4867:28 0.000188093 +4 *24165:D *4867:38 0.000114518 +5 *24165:D *5482:7 5.04829e-06 +*RES +1 *22122:Y *24165:D 21.9947 +*END + +*D_NET *426 0.00199797 +*CONN +*I *24166:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *22107:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *24166:D 0.000520081 +2 *22107:X 0.000520081 +3 *24166:D *22107:A2 6.99486e-05 +4 *24166:D *5473:69 0.000770298 +5 *24166:D *5477:31 6.50727e-05 +6 *24166:D *5486:27 0 +7 *24166:D *5804:25 5.24855e-05 +8 *24166:D *5812:53 0 +*RES +1 *22107:X *24166:D 45.0084 +*END + +*D_NET *427 0.000395876 +*CONN +*I *24167:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *22126:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24167:D 7.10657e-05 +2 *22126:X 7.10657e-05 +3 *24167:D *22126:A1 1.03434e-05 +4 *24167:D *24167:CLK 2.15348e-05 +5 *24167:D *1816:26 6.08467e-05 +6 *24167:D *5484:7 4.23874e-05 +7 *24167:D *5485:37 0.000118632 +*RES +1 *22126:X *24167:D 22.0188 +*END + +*D_NET *428 0.0017151 +*CONN +*I *24168:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *22124:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24168:D 0.000524632 +2 *22124:X 0.000524632 +3 *24168:D *22124:A2 3.14978e-05 +4 *24168:D *22124:B1 6.08467e-05 +5 *24168:D *22124:B2 0.000379574 +6 *24168:D *22824:B 0 +7 *24168:D *552:8 3.55859e-05 +8 *24168:D *2230:18 5.1493e-06 +9 *24168:D *5474:60 6.08467e-05 +10 *24168:D *5474:63 1.5714e-05 +11 *24168:D *5477:26 3.74542e-05 +12 *24168:D *5785:39 0 +13 *24168:D *5791:8 3.91685e-05 +*RES +1 *22124:X *24168:D 38.3146 +*END + +*D_NET *429 0.00201918 +*CONN +*I *24169:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *22123:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *24169:D 0.000401913 +2 *22123:X 0.000401913 +3 *24169:D *20248:B2 3.67708e-05 +4 *24169:D *22123:B1 0 +5 *24169:D *5473:54 9.18559e-06 +6 *24169:D *5473:69 0 +7 *24169:D *5474:40 0.000264129 +8 *24169:D *5482:75 3.67708e-05 +9 *24169:D *5804:28 0.0008685 +*RES +1 *22123:X *24169:D 44.5875 +*END + +*D_NET *430 0.00124841 +*CONN +*I *24176:D I *D sky130_fd_sc_hd__dfstp_1 +*I *22119:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24176:D 0.000359292 +2 *22119:X 0.000359292 +3 *24176:D *21041:A 5.39463e-05 +4 *24176:D *22091:A 5.51483e-06 +5 *24176:D *22118:A 0.000164829 +6 *24176:D *3081:25 0.000183448 +7 *24176:D *4137:83 0.000122083 +*RES +1 *22119:X *24176:D 34.9058 +*END + +*D_NET *431 0.000261863 +*CONN +*I *24181:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *22103:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *24181:D 6.77639e-05 +2 *22103:Y 6.77639e-05 +3 *24181:D *566:110 0.000126335 +*RES +1 *22103:Y *24181:D 29.7455 +*END + +*D_NET *432 0.000373179 +*CONN +*I *24183:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *22104:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *24183:D 5.12663e-05 +2 *22104:Y 5.12663e-05 +3 *24183:D *22104:A2 6.08467e-05 +4 *24183:D *24183:CLK 1.03403e-05 +5 *24183:D *3089:86 0.000171288 +6 *24183:D *3860:15 2.81717e-05 +*RES +1 *22104:Y *24183:D 20.8855 +*END + +*D_NET *433 0.000979633 +*CONN +*I *24184:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *22105:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *24184:D 0.000421944 +2 *22105:Y 0.000421944 +3 *24184:D *1478:34 0 +4 *24184:D *3081:38 0.000122026 +5 *24184:D *3089:100 1.37189e-05 +6 *24184:D *5671:291 0 +7 *24184:D *6018:28 0 +*RES +1 *22105:Y *24184:D 36.1487 +*END + +*D_NET *434 0.000415363 +*CONN +*I *24185:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *22106:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *24185:D 7.47663e-05 +2 *22106:Y 7.47663e-05 +3 *24185:D *3081:38 0.000122098 +4 *24185:D *5815:15 2.16355e-05 +5 *24185:D *6012:12 0.000122098 +*RES +1 *22106:Y *24185:D 30.4689 +*END + +*D_NET *435 0.00177955 +*CONN +*I *24170:D I *D sky130_fd_sc_hd__dfstp_1 +*I *22109:X O *D sky130_fd_sc_hd__a2bb2o_1 +*CAP +1 *24170:D 0.000408693 +2 *22109:X 0.000408693 +3 *24170:D *1556:20 0.000516374 +4 *24170:D *2389:19 0.000147853 +5 *24170:D *2389:33 6.08467e-05 +6 *24170:D *2426:82 0.000218824 +7 *24170:D *4804:118 1.82679e-05 +*RES +1 *22109:X *24170:D 41.965 +*END + +*D_NET *436 0.000331009 +*CONN +*I *24171:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *22110:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *24171:D 7.3412e-05 +2 *22110:X 7.3412e-05 +3 *24171:D *2103:62 9.34404e-05 +4 *24171:D *5871:580 6.50586e-05 +5 *324:11 *24171:D 2.56863e-05 +*RES +1 *22110:X *24171:D 30.0537 +*END + +*D_NET *437 0.0026191 +*CONN +*I *24172:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *22115:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *24172:D 0.000598679 +2 *22115:Y 0.000598679 +3 *24172:D *22115:B2 0.000398412 +4 *24172:D *22117:B2 7.14746e-05 +5 *24172:D *24172:RESET_B 7.89747e-05 +6 *24172:D *2759:12 0.000570962 +7 *24172:D *2759:19 8.45896e-06 +8 *24172:D *5855:343 0.000155527 +9 *24172:D *5871:836 0.000137936 +*RES +1 *22115:Y *24172:D 45.4559 +*END + +*D_NET *438 0.000283794 +*CONN +*I *24173:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *22117:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24173:D 0.00012721 +2 *22117:X 0.00012721 +3 *24173:D *24173:CLK 2.9373e-05 +*RES +1 *22117:X *24173:D 21.4642 +*END + +*D_NET *439 0.0499435 +*CONN +*I *21011:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21007:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21009:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21008:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20965:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21003:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21000:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21002:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20968:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20969:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20972:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21004:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20976:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20977:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20974:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20975:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20973:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20971:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20970:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20967:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21001:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21006:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21005:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21010:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20980:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *21013:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *20978:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24035:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *21011:B1 9.30801e-05 +2 *21007:B1 1.7693e-05 +3 *21009:B1 0.000239671 +4 *21008:B1 0 +5 *20965:A 0.000521522 +6 *21003:B1 0.000114702 +7 *21000:B1 0.000125947 +8 *21002:B1 1.99394e-05 +9 *20968:B1 0 +10 *20969:B1 0 +11 *20972:B1 0 +12 *21004:B1 0.000428358 +13 *20976:B1 0.000109252 +14 *20977:B1 0.000620535 +15 *20974:B1 0 +16 *20975:B1 0.000423511 +17 *20973:B1 0 +18 *20971:B1 7.75765e-05 +19 *20970:B1 0.00017131 +20 *20967:A2 0.000460442 +21 *21001:B1 6.22158e-05 +22 *21006:B1 3.5247e-05 +23 *21005:B1 0.000573508 +24 *21010:B1 0.000198163 +25 *20980:A1 0 +26 *21013:A1 0.000460774 +27 *20978:B1 0.000162823 +28 *24035:X 0.00052326 +29 *439:240 0.000451607 +30 *439:224 0.000913102 +31 *439:212 0.000368689 +32 *439:194 0.00122273 +33 *439:185 0.00109987 +34 *439:182 0.000743208 +35 *439:166 0.000950072 +36 *439:154 0.000888477 +37 *439:150 0.000188191 +38 *439:143 0.00067813 +39 *439:132 0.000737616 +40 *439:112 0.000654185 +41 *439:108 0.000609071 +42 *439:106 0.000669226 +43 *439:104 0.000584392 +44 *439:98 0.000899388 +45 *439:96 0.000277231 +46 *439:73 0.000844868 +47 *439:71 0.000489142 +48 *439:66 0.000887542 +49 *439:61 0.000754633 +50 *439:49 0.000543825 +51 *439:47 0.000447189 +52 *439:18 0.00105472 +53 *439:11 0.000706234 +54 *439:7 0.000994725 +55 *20965:A *24259:RESET_B 0.00011875 +56 *20965:A *4877:120 7.53034e-05 +57 *20965:A *5871:659 0.000421531 +58 *20967:A2 *20967:A1 0.000104731 +59 *20967:A2 *20967:B2 0.000162687 +60 *20967:A2 *21001:A1 6.08467e-05 +61 *20967:A2 *21001:B2 0.000197615 +62 *20967:A2 *1695:11 0 +63 *20967:A2 *5871:677 0.000149628 +64 *20970:B1 *24291:D 3.77804e-05 +65 *20970:B1 *24291:RESET_B 0.000364356 +66 *20970:B1 *24291:CLK 1.31897e-05 +67 *20970:B1 *2290:18 0.000148129 +68 *20970:B1 *2333:18 0 +69 *20971:B1 *20971:A1 3.58602e-05 +70 *20971:B1 *520:63 7.03347e-05 +71 *20971:B1 *2831:29 3.024e-05 +72 *20975:B1 *23973:A0 2.15348e-05 +73 *20975:B1 *23977:A0 6.08467e-05 +74 *20975:B1 *23977:S 0.000424446 +75 *20975:B1 *534:8 1.75637e-06 +76 *20975:B1 *1573:63 0.000311263 +77 *20975:B1 *4829:45 3.31745e-05 +78 *20976:B1 *537:33 0.000439245 +79 *20976:B1 *1520:39 0.000174436 +80 *20977:B1 *23975:S 0 +81 *20977:B1 *23998:S 5.29763e-05 +82 *20977:B1 *500:89 8.62625e-06 +83 *20977:B1 *508:28 2.33103e-06 +84 *20977:B1 *2528:28 0.000705555 +85 *20977:B1 *2925:12 7.83227e-05 +86 *20977:B1 *4829:50 0 +87 *20978:B1 *20978:B2 3.47394e-05 +88 *20978:B1 *23969:A1 4.98564e-05 +89 *20978:B1 *2900:47 0.000259648 +90 *20978:B1 *2900:59 0.000152821 +91 *20978:B1 *5968:9 4.59656e-05 +92 *21000:B1 *2267:21 0.000424623 +93 *21001:B1 *21001:B2 4.24529e-05 +94 *21001:B1 *24265:RESET_B 7.34948e-06 +95 *21002:B1 *21002:B2 3.13805e-06 +96 *21003:B1 *24007:A0 0 +97 *21003:B1 *24264:RESET_B 6.08467e-05 +98 *21003:B1 *2164:15 0.000432613 +99 *21003:B1 *2164:25 4.81714e-05 +100 *21003:B1 *2333:27 0.000175662 +101 *21004:B1 *20972:B2 8.62625e-06 +102 *21004:B1 *21967:A1_N 8.62625e-06 +103 *21004:B1 *23981:A0 5.41377e-05 +104 *21004:B1 *24007:A0 5.0715e-05 +105 *21004:B1 *24288:RESET_B 3.31733e-05 +106 *21004:B1 *24288:CLK 4.58003e-05 +107 *21004:B1 *2164:41 0 +108 *21004:B1 *2899:12 7.48922e-05 +109 *21004:B1 *5852:5 0.000751257 +110 *21005:B1 *24005:A1 2.50842e-05 +111 *21005:B1 *518:62 2.652e-05 +112 *21005:B1 *2585:19 6.24083e-05 +113 *21005:B1 *5987:11 0.000231611 +114 *21005:B1 *6027:66 7.50872e-05 +115 *21005:B1 *6027:178 9.19886e-06 +116 *21006:B1 *21006:A1 0 +117 *21007:B1 *24001:A1 1.35274e-05 +118 *21009:B1 *21006:A1 0.000107496 +119 *21009:B1 *24258:D 2.16355e-05 +120 *21009:B1 *2585:19 0.000555267 +121 *21009:B1 *2962:27 3.14314e-05 +122 *21010:B1 *1439:180 0.000149643 +123 *21010:B1 *2291:15 0.000146193 +124 *21010:B1 *2381:22 0.000153225 +125 *21011:B1 *1573:63 0.00011971 +126 *21011:B1 *5785:52 0.00011971 +127 *21013:A1 *21013:A2 0.000113968 +128 *21013:A1 *21013:B1 2.69292e-05 +129 *21013:A1 *2900:59 6.50622e-05 +130 *439:7 *20980:B1 0.000133684 +131 *439:7 *2446:35 7.81161e-05 +132 *439:7 *2446:53 0 +133 *439:11 *20980:A2 2.05783e-05 +134 *439:11 *20980:B1 0.000143733 +135 *439:11 *20980:B2 6.64392e-05 +136 *439:18 *20980:A3 0.000115448 +137 *439:18 *20980:B2 0 +138 *439:18 *21012:A 0.000293682 +139 *439:18 *23967:A1 0 +140 *439:18 *24281:D 5.93547e-06 +141 *439:18 *507:21 7.77309e-06 +142 *439:47 *1439:180 0.00052613 +143 *439:47 *2381:22 0.000513876 +144 *439:49 *1439:180 0.000380124 +145 *439:49 *2381:22 0.000375095 +146 *439:61 *21007:A1 0.000260388 +147 *439:61 *24001:A1 2.99287e-05 +148 *439:61 *2426:73 3.49679e-05 +149 *439:66 *24001:A1 0.000235301 +150 *439:66 *1419:8 0 +151 *439:66 *2164:135 0.000450604 +152 *439:66 *2439:21 0.000116892 +153 *439:66 *3177:55 1.44467e-05 +154 *439:71 *21006:A1 0.000113968 +155 *439:71 *1419:8 0 +156 *439:71 *2164:135 5.05252e-05 +157 *439:73 *21006:A1 0.000167076 +158 *439:73 *2585:19 5.03285e-05 +159 *439:96 *1419:8 9.86592e-05 +160 *439:96 *2164:14 0.000108008 +161 *439:96 *2164:135 2.14422e-05 +162 *439:98 *24259:D 0.000137404 +163 *439:98 *1419:8 1.32509e-05 +164 *439:98 *2164:14 7.38072e-05 +165 *439:104 *24259:D 1.07248e-05 +166 *439:104 *1419:8 5.29763e-05 +167 *439:104 *1695:12 0 +168 *439:104 *2164:14 0.000166345 +169 *439:106 *24264:RESET_B 0.000181333 +170 *439:106 *1695:12 0 +171 *439:106 *2278:23 3.0079e-05 +172 *439:106 *2439:10 8.50881e-05 +173 *439:106 *2439:14 0 +174 *439:108 *24013:S 0.000318328 +175 *439:108 *2278:23 0.000118135 +176 *439:108 *2439:10 0.000314595 +177 *439:112 *24013:S 4.9e-05 +178 *439:112 *2439:10 6.07715e-05 +179 *439:112 *2559:8 0 +180 *439:132 *21002:A1 0.000698654 +181 *439:132 *21002:B2 0.000126169 +182 *439:132 *24011:A0 0.000455558 +183 *439:132 *1695:11 0.000404038 +184 *439:132 *6027:129 9.81288e-06 +185 *439:143 *23987:A1 0.000190707 +186 *439:143 *24011:A0 0.00064516 +187 *439:143 *2164:43 0 +188 *439:143 *6027:113 0.000122594 +189 *439:143 *6027:117 0.000114233 +190 *439:143 *6027:129 0.000102558 +191 *439:150 *20968:A1 0.000118389 +192 *439:150 *20968:B2 0 +193 *439:150 *20969:A1 0.000171273 +194 *439:150 *20969:B2 0.00026814 +195 *439:150 *20970:A1 0.000377259 +196 *439:154 *20970:A1 0.000115827 +197 *439:154 *24291:D 2.65831e-05 +198 *439:166 *2290:18 0.00108978 +199 *439:166 *2333:18 0 +200 *439:166 *5976:10 2.40924e-05 +201 *439:166 *6027:102 0 +202 *439:182 *1439:194 9.11135e-05 +203 *439:182 *2290:18 0.000374877 +204 *439:182 *2720:11 0.000217937 +205 *439:182 *2720:12 3.31733e-05 +206 *439:182 *5852:5 0.000260374 +207 *439:182 *6027:102 0 +208 *439:185 *23981:A0 7.2401e-05 +209 *439:185 *1439:194 0.000207736 +210 *439:194 *20973:B2 0.000185952 +211 *439:194 *23978:S 0.000611514 +212 *439:194 *23979:A0 0 +213 *439:194 *24286:D 0.000192543 +214 *439:194 *511:10 4.15016e-05 +215 *439:194 *1439:194 0 +216 *439:194 *2378:12 0 +217 *439:212 *23977:A0 0 +218 *439:212 *23978:S 0.000408714 +219 *439:212 *24286:D 0.000358189 +220 *439:212 *537:33 6.08467e-05 +221 *439:212 *1520:39 1.65872e-05 +222 *439:224 *20974:A1 6.08467e-05 +223 *439:224 *23975:A0 0.00027329 +224 *439:224 *537:33 0.000426329 +225 *439:224 *1520:39 0.000755496 +226 *439:240 *2720:11 0.000152878 +227 *439:240 *5852:5 0.000160617 +228 *20971:A2 *20971:B1 1.41976e-05 +229 *20972:A2 *21004:B1 0.000122378 +230 *20972:A2 *439:185 0 +231 *20973:A2 *439:194 0.000214775 +232 *21001:A2 *439:112 7.26606e-05 +233 *21003:A2 *21003:B1 0.000169041 +234 *408:11 *20970:B1 7.97944e-05 +*RES +1 *24035:X *439:7 20.5341 +2 *439:7 *439:11 6.70347 +3 *439:11 *439:18 15.2721 +4 *439:18 *20978:B1 19.4881 +5 *439:18 *21013:A1 21.3614 +6 *439:11 *20980:A1 9.24915 +7 *439:7 *439:47 14.2218 +8 *439:47 *439:49 7.23027 +9 *439:49 *21010:B1 20.4627 +10 *439:49 *439:61 9.66022 +11 *439:61 *439:66 21.0402 +12 *439:66 *439:71 6.77949 +13 *439:71 *439:73 4.05102 +14 *439:73 *21005:B1 28.202 +15 *439:73 *21006:B1 10.2378 +16 *439:71 *439:96 10.4845 +17 *439:96 *439:98 3.493 +18 *439:98 *439:104 7.71881 +19 *439:104 *439:106 6.39977 +20 *439:106 *439:108 13.0438 +21 *439:108 *439:112 8.40826 +22 *439:112 *21001:B1 11.1059 +23 *439:112 *20967:A2 32.5822 +24 *439:108 *439:132 14.6517 +25 *439:132 *439:143 25.7471 +26 *439:143 *439:150 7.59566 +27 *439:150 *439:154 7.44181 +28 *439:154 *20970:B1 21.0414 +29 *439:154 *439:166 20.1031 +30 *439:166 *20971:B1 16.7392 +31 *439:166 *439:182 14.2568 +32 *439:182 *439:185 17.9591 +33 *439:185 *20973:B1 13.7491 +34 *439:185 *439:194 20.0054 +35 *439:194 *20975:B1 24.1178 +36 *439:194 *439:212 12.8689 +37 *439:212 *20974:B1 9.24915 +38 *439:212 *439:224 11.4779 +39 *439:224 *20977:B1 35.4455 +40 *439:224 *20976:B1 14.4094 +41 *439:182 *439:240 1.8326 +42 *439:240 *21004:B1 41.3701 +43 *439:240 *20972:B1 9.24915 +44 *439:150 *20969:B1 9.24915 +45 *439:143 *20968:B1 9.24915 +46 *439:132 *21002:B1 9.82786 +47 *439:106 *21000:B1 18.3548 +48 *439:104 *21003:B1 21.1519 +49 *439:98 *20965:A 29.0851 +50 *439:96 *21008:B1 13.7491 +51 *439:66 *21009:B1 21.5799 +52 *439:61 *21007:B1 9.82786 +53 *439:47 *21011:B1 17.2456 +*END + +*D_NET *440 0.00109047 +*CONN +*I *20118:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24028:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20118:A0 0.000317792 +2 *24028:X 0.000317792 +3 *20118:A0 *2619:29 0.000421676 +4 *20118:A0 *2864:44 3.00073e-05 +5 *20118:A0 *5811:44 3.20069e-06 +*RES +1 *24028:X *20118:A0 33.5207 +*END + +*D_NET *441 0.00051434 +*CONN +*I *20113:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24029:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20113:A0 0.000237149 +2 *24029:X 0.000237149 +3 *20113:A0 *20113:S 2.85139e-05 +4 *20113:A0 *2838:29 1.15273e-05 +*RES +1 *24029:X *20113:A0 21.9947 +*END + +*D_NET *442 0.000604062 +*CONN +*I *20109:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24030:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20109:A0 0.000168615 +2 *24030:X 0.000168615 +3 *20109:A0 *24167:CLK 0.000266832 +*RES +1 *24030:X *20109:A0 21.4401 +*END + +*D_NET *443 0.00501303 +*CONN +*I *24175:D I *D sky130_fd_sc_hd__dfstp_1 +*I *24015:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24175:D 0 +2 *24015:X 0.0011701 +3 *443:10 0.0011701 +4 *443:10 *19930:A 4.35833e-05 +5 *443:10 *22829:B2 0.000113968 +6 *443:10 *24015:A0 5.97264e-05 +7 *443:10 *24015:A1 5.97411e-05 +8 *443:10 *24026:A0 0.000164829 +9 *443:10 *493:10 0.000151726 +10 *443:10 *1471:111 0.000663706 +11 *443:10 *1687:13 6.50727e-05 +12 *443:10 *1756:24 5.9708e-05 +13 *443:10 *2219:7 0.000118166 +14 *443:10 *2803:41 0.0011726 +*RES +1 *24015:X *443:10 47.2618 +2 *443:10 *24175:D 13.7491 +*END + +*D_NET *444 0.0009217 +*CONN +*I *21134:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24024:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21134:B1 0.000245733 +2 *24024:X 0.000245733 +3 *21134:B1 *21134:A2 1.1246e-05 +4 *21134:B1 *552:8 4.01301e-05 +5 *21134:B1 *1697:28 0.000260374 +6 *21134:B1 *2219:10 0.000118485 +*RES +1 *24024:X *21134:B1 33.1026 +*END + +*D_NET *445 0.00031286 +*CONN +*I *21131:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24025:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21131:B1 8.92522e-05 +2 *24025:X 8.92522e-05 +3 *21131:B1 *21131:A1 2.65831e-05 +4 *21131:B1 *21134:A1 6.50727e-05 +5 *21131:B1 *3177:111 0 +6 *21131:B1 *4863:128 4.27003e-05 +*RES +1 *24025:X *21131:B1 30.0537 +*END + +*D_NET *446 0.000733928 +*CONN +*I *21128:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24026:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21128:B1 0.000193897 +2 *24026:X 0.000193897 +3 *21128:B1 *2922:33 4.95482e-05 +4 *21128:B1 *4864:124 0.000160942 +5 *21128:B1 *5439:56 0.000135644 +*RES +1 *24026:X *21128:B1 31.9934 +*END + +*D_NET *447 0.0011343 +*CONN +*I *21125:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24027:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21125:B1 0.000291697 +2 *24027:X 0.000291697 +3 *21125:B1 *21124:A 2.01828e-05 +4 *21125:B1 *21125:A1 0.000108054 +5 *21125:B1 *22830:A 6.73351e-05 +6 *21125:B1 *22830:C 0.000120685 +7 *21125:B1 *24201:CLK 0.000120685 +8 *21125:B1 *2218:55 0.000113968 +*RES +1 *24027:X *21125:B1 36.5937 +*END + +*D_NET *448 0.00345396 +*CONN +*I *21122:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24021:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21122:B1 0 +2 *24021:X 0.000828115 +3 *448:15 0.000828115 +4 *448:15 *21122:A1 0.000166937 +5 *448:15 *21122:A2 3.41075e-05 +6 *448:15 *22836:B 0.000116971 +7 *448:15 *22842:B2 0 +8 *448:15 *24060:A0 6.20642e-05 +9 *448:15 *24060:A1 6.34998e-05 +10 *448:15 *1515:60 0.000584387 +11 *448:15 *1725:33 0.000474049 +12 *448:15 *2398:16 0.000257987 +13 *448:15 *4867:8 0 +14 *448:15 *5445:22 0 +15 *448:15 *5485:191 2.38329e-05 +16 *448:15 *5485:199 1.38965e-05 +*RES +1 *24021:X *448:15 43.4677 +2 *448:15 *21122:B1 9.24915 +*END + +*D_NET *449 0.00119563 +*CONN +*I *21119:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24022:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21119:B1 0.000310285 +2 *24022:X 0.000310285 +3 *21119:B1 *21118:A 5.92192e-05 +4 *21119:B1 *1438:81 2.0517e-05 +5 *21119:B1 *1522:26 0.000347214 +6 *21119:B1 *1818:21 0.000148114 +*RES +1 *24022:X *21119:B1 34.2118 +*END + +*D_NET *450 0.000881453 +*CONN +*I *21116:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24016:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21116:B1 0.000349197 +2 *24016:X 0.000349197 +3 *21116:B1 *24016:A1 1.83795e-06 +4 *21116:B1 *1818:29 0.00013257 +5 *21116:B1 *5791:23 4.86507e-05 +*RES +1 *24016:X *21116:B1 33.6572 +*END + +*D_NET *451 0.000892808 +*CONN +*I *21113:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24023:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21113:B1 0.000250333 +2 *24023:X 0.000250333 +3 *21113:B1 *1756:49 0.000276208 +4 *21113:B1 *1786:34 0 +5 *21113:B1 *2939:13 0.000115934 +*RES +1 *24023:X *21113:B1 33.9303 +*END + +*D_NET *452 0.00181777 +*CONN +*I *19772:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24069:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19772:B1 0.000524775 +2 *24069:X 0.000524775 +3 *19772:B1 *19772:A1 6.08467e-05 +4 *19772:B1 *19772:B2 2.85531e-06 +5 *19772:B1 *657:85 0.000181981 +6 *19772:B1 *2505:54 0.000263069 +7 *19772:B1 *5928:30 0.000259471 +*RES +1 *24069:X *19772:B1 39.254 +*END + +*D_NET *453 0.00145174 +*CONN +*I *19769:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24068:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19769:B1 0.000446929 +2 *24068:X 0.000446929 +3 *19769:B1 *24068:A1 1.15857e-05 +4 *19769:B1 *24830:D 3.4123e-05 +5 *19769:B1 *2405:26 0.000257987 +6 *19769:B1 *2426:8 0.000254181 +*RES +1 *24068:X *19769:B1 36.8427 +*END + +*D_NET *454 0.000635972 +*CONN +*I *19766:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24070:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19766:B1 0.000198496 +2 *24070:X 0.000198496 +3 *19766:B1 *24070:A1 6.73022e-05 +4 *19766:B1 *1705:16 0.000105551 +5 *19766:B1 *2632:36 5.17262e-05 +6 *19766:B1 *5926:42 1.43998e-05 +*RES +1 *24070:X *19766:B1 31.9934 +*END + +*D_NET *455 0.00221759 +*CONN +*I *19763:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *24067:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19763:B1 0.000656235 +2 *24067:X 0.000656235 +3 *19763:B1 *19766:A2 0.000134312 +4 *19763:B1 *24832:D 0 +5 *19763:B1 *2948:7 0.000770814 +6 *19763:B1 *5456:82 0 +*RES +1 *24067:X *19763:B1 39.2032 +*END + +*D_NET *456 0.00190922 +*CONN +*I *19760:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24071:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19760:B1 0.000635817 +2 *24071:X 0.000635817 +3 *19760:B1 *19760:A1 1.91391e-05 +4 *19760:B1 *19762:A 7.50872e-05 +5 *19760:B1 *459:85 0.000536096 +6 *19760:B1 *1525:51 0 +7 *19760:B1 *1525:64 0 +8 *19760:B1 *2283:13 0 +9 *19760:B1 *2612:22 7.26347e-06 +*RES +1 *24071:X *19760:B1 44.561 +*END + +*D_NET *457 0.0028733 +*CONN +*I *19757:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24072:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19757:B1 0.000466959 +2 *24072:X 0.000466959 +3 *19757:B1 *19757:A1 0.000116156 +4 *19757:B1 *459:72 0.00064532 +5 *19757:B1 *1427:47 0.000314044 +6 *19757:B1 *1697:45 0.000498629 +7 *19757:B1 *2429:13 0.000263107 +8 *19757:B1 *2502:26 1.69327e-05 +9 *19757:B1 *2877:11 1.37189e-05 +10 *19757:B1 *5464:8 7.14746e-05 +*RES +1 *24072:X *19757:B1 45.7346 +*END + +*D_NET *458 0.00144093 +*CONN +*I *19754:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24017:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19754:B1 0.000429691 +2 *24017:X 0.000429691 +3 *19754:B1 *24069:A0 0 +4 *19754:B1 *657:85 6.36477e-05 +5 *19754:B1 *1526:28 0 +6 *19754:B1 *1756:40 0.000517897 +*RES +1 *24017:X *19754:B1 39.4091 +*END + +*D_NET *459 0.0205947 +*CONN +*I *24067:S I *D sky130_fd_sc_hd__mux2_1 +*I *24070:S I *D sky130_fd_sc_hd__mux2_1 +*I *24071:S I *D sky130_fd_sc_hd__mux2_1 +*I *24072:S I *D sky130_fd_sc_hd__mux2_1 +*I *24068:S I *D sky130_fd_sc_hd__mux2_1 +*I *24017:S I *D sky130_fd_sc_hd__mux2_1 +*I *24069:S I *D sky130_fd_sc_hd__mux2_1 +*I *20054:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *20129:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *24074:S I *D sky130_fd_sc_hd__mux2_1 +*I *19776:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *24067:S 7.2434e-05 +2 *24070:S 4.93565e-05 +3 *24071:S 8.22105e-05 +4 *24072:S 0 +5 *24068:S 7.80433e-05 +6 *24017:S 2.59976e-05 +7 *24069:S 0.000178398 +8 *20054:A2 0.000844926 +9 *20129:A2 0 +10 *24074:S 9.2406e-05 +11 *19776:Y 0 +12 *459:97 0.000543407 +13 *459:85 0.000773319 +14 *459:74 7.80433e-05 +15 *459:72 0.00104193 +16 *459:51 0.000863864 +17 *459:42 0.00043663 +18 *459:31 0.00153562 +19 *459:21 0.00109529 +20 *459:5 0.000689799 +21 *20054:A2 *19754:A1 0.000256703 +22 *20054:A2 *19754:A2 2.62866e-05 +23 *20054:A2 *19754:B2 1.37189e-05 +24 *20054:A2 *20054:A1 1.71627e-05 +25 *20054:A2 *20054:B1 0.000577521 +26 *20054:A2 *1525:97 2.07503e-05 +27 *24017:S *24017:A1 5.04829e-06 +28 *24017:S *657:85 6.08467e-05 +29 *24067:S *19766:B2 0.000157911 +30 *24067:S *1427:48 0.000161336 +31 *24068:S *1755:33 0.000198652 +32 *24068:S *2429:13 0.000466181 +33 *24070:S *19766:B2 6.50727e-05 +34 *24070:S *24070:A0 1.92336e-05 +35 *24071:S *1471:185 0.000256056 +36 *24071:S *2911:17 6.11872e-05 +37 *24074:S *20124:S 0.000219881 +38 *24074:S *24074:A1 6.50727e-05 +39 *24074:S *484:10 0.000219881 +40 *459:21 *20124:S 0.000678601 +41 *459:21 *20128:A 5.83801e-05 +42 *459:21 *484:10 0.000118002 +43 *459:21 *484:73 1.01585e-05 +44 *459:21 *1524:10 0.000724986 +45 *459:21 *3098:16 0.00045153 +46 *459:21 *5898:131 0.000717573 +47 *459:31 *20129:A1 1.11737e-05 +48 *459:31 *20129:B1 1.86391e-05 +49 *459:31 *23955:A1 1.09551e-05 +50 *459:31 *24828:CLK_N 0.0003014 +51 *459:31 *1471:128 1.4091e-06 +52 *459:31 *1524:10 0.000211407 +53 *459:31 *1756:40 1.20314e-05 +54 *459:31 *1783:54 0.000156055 +55 *459:31 *1783:67 0.00029442 +56 *459:31 *2922:54 0.000119197 +57 *459:42 *24828:CLK_N 6.79599e-05 +58 *459:42 *1697:45 0.000150429 +59 *459:42 *1783:54 0.00043722 +60 *459:51 *1697:45 7.26877e-05 +61 *459:51 *1783:54 0.000170592 +62 *459:72 *19757:A1 0.000196638 +63 *459:72 *24017:A0 7.50722e-05 +64 *459:72 *1525:38 0 +65 *459:72 *1525:42 0 +66 *459:72 *1697:45 9.92437e-05 +67 *459:72 *1783:54 0.000332558 +68 *459:72 *2283:13 3.71926e-05 +69 *459:72 *2502:26 0.000151379 +70 *459:72 *2877:11 0.000207266 +71 *459:72 *4870:54 4.85729e-05 +72 *459:72 *5464:8 1.55462e-05 +73 *459:85 *19760:A1 5.19289e-05 +74 *459:85 *19762:A 2.19131e-05 +75 *459:85 *2502:26 0.000760673 +76 *459:85 *2922:54 0.000122623 +77 *459:85 *5464:8 1.12605e-05 +78 *459:97 *19762:A 7.81266e-05 +79 *459:97 *19763:A2 3.69465e-05 +80 *459:97 *19766:B2 0.000392691 +81 *459:97 *2502:26 0.000209854 +82 *459:97 *3868:233 0.000255977 +83 *459:97 *5456:82 0.000162873 +84 *19757:B1 *459:72 0.00064532 +85 *19760:B1 *459:85 0.000536096 +*RES +1 *19776:Y *459:5 13.7491 +2 *459:5 *24074:S 18.3808 +3 *459:5 *459:21 32.1509 +4 *459:21 *20129:A2 9.24915 +5 *459:21 *459:31 21.6161 +6 *459:31 *20054:A2 27.8071 +7 *459:31 *459:42 8.06078 +8 *459:42 *24069:S 17.2456 +9 *459:42 *459:51 3.07775 +10 *459:51 *24017:S 14.4725 +11 *459:51 *459:72 38.7727 +12 *459:72 *459:74 4.5 +13 *459:74 *24068:S 14.4094 +14 *459:74 *24072:S 9.24915 +15 *459:72 *459:85 13.4591 +16 *459:85 *24071:S 16.691 +17 *459:85 *459:97 19.1145 +18 *459:97 *24070:S 10.5271 +19 *459:97 *24067:S 21.3269 +*END + +*D_NET *460 0.0919662 +*CONN +*I *23931:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19569:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19635:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *24057:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *23931:C1 8.89241e-06 +2 *19569:A 0.000465529 +3 *19635:C1 0.000666629 +4 *24057:X 0 +5 *460:84 0.00314982 +6 *460:82 0.00352992 +7 *460:70 0.000896354 +8 *460:69 5.07295e-05 +9 *460:67 0.000374003 +10 *460:63 0.00945975 +11 *460:57 0.0101214 +12 *460:43 0.00205484 +13 *460:39 0.0023266 +14 *460:35 0.00108773 +15 *460:30 0.00151915 +16 *460:21 0.00282154 +17 *460:16 0.00325944 +18 *460:8 0.00324463 +19 *460:4 0.00246371 +20 *19569:A *482:20 0.000274383 +21 *19569:A *531:30 0.000748314 +22 *19635:C1 *19635:B2 3.31882e-05 +23 *19635:C1 *20639:A1 0.000197781 +24 *19635:C1 *21672:A 0.000117949 +25 *19635:C1 *2022:30 9.49244e-05 +26 *19635:C1 *2616:40 0.00117955 +27 *19635:C1 *5860:9 1.50025e-05 +28 *19635:C1 *5860:651 0.000116323 +29 *19635:C1 *5869:445 0.000138815 +30 *23931:C1 *23931:B1 2.30636e-05 +31 *23931:C1 *4878:139 6.26514e-05 +32 *460:8 *24057:S 0.00127881 +33 *460:8 *1485:84 0.00020462 +34 *460:8 *1485:105 4.13502e-05 +35 *460:8 *2516:31 0.000693161 +36 *460:8 *2561:26 0.000340769 +37 *460:8 *3758:18 8.62625e-06 +38 *460:8 *4876:83 1.14755e-05 +39 *460:8 *5082:43 0.000207815 +40 *460:8 *5442:39 0.000207394 +41 *460:8 *6001:109 0.000160617 +42 *460:16 *24204:CLK 0 +43 *460:16 *24835:RESET_B 6.08697e-06 +44 *460:16 *1786:34 0 +45 *460:16 *4864:30 0 +46 *460:16 *5437:23 0.00238477 +47 *460:21 *19758:A 9.35753e-06 +48 *460:21 *19759:A 0 +49 *460:21 *24204:CLK 0.000173246 +50 *460:21 *514:41 7.62854e-05 +51 *460:21 *1786:34 0 +52 *460:21 *2412:12 0 +53 *460:21 *2939:13 0.000247389 +54 *460:21 *2955:40 0.000539637 +55 *460:21 *4870:30 3.63743e-05 +56 *460:21 *4870:32 0.00030186 +57 *460:21 *5563:44 0.000167037 +58 *460:30 *23038:A1 4.31703e-05 +59 *460:30 *23038:B1 3.75233e-05 +60 *460:30 *23372:B 0.000280436 +61 *460:30 *24795:CLK 1.82679e-05 +62 *460:30 *1705:20 0.000202096 +63 *460:30 *1756:60 0.000327005 +64 *460:30 *2398:16 0 +65 *460:30 *2561:26 0.000244047 +66 *460:30 *2864:64 0.000733102 +67 *460:30 *3014:72 6.82805e-06 +68 *460:30 *4280:17 6.28484e-05 +69 *460:30 *4867:8 0 +70 *460:30 *4870:26 0 +71 *460:30 *6001:66 0 +72 *460:35 *23378:A 2.57986e-05 +73 *460:35 *1818:38 0.000403101 +74 *460:39 *23484:A 0.000171446 +75 *460:39 *24796:D 0.000116453 +76 *460:39 *1485:115 0.00164077 +77 *460:39 *2561:38 0.000160563 +78 *460:39 *2603:59 0.000117093 +79 *460:39 *4289:11 0.000395441 +80 *460:39 *5671:87 8.1127e-05 +81 *460:39 *5671:98 0.000130215 +82 *460:43 *1459:70 0.000300565 +83 *460:43 *1515:78 2.60704e-05 +84 *460:43 *1699:32 1.55025e-05 +85 *460:43 *2616:43 6.50727e-05 +86 *460:43 *2623:29 0.000318229 +87 *460:57 *19626:B1 0.000184727 +88 *460:57 *24655:SET_B 2.02035e-05 +89 *460:57 *24892:CLK 4.29736e-05 +90 *460:57 *2627:16 0.00145276 +91 *460:57 *5856:241 0.000108209 +92 *460:57 *5856:243 0.000337514 +93 *460:63 *19624:B2 0.000248636 +94 *460:63 *20582:B1 0.000693298 +95 *460:63 *20582:B2 0.000422874 +96 *460:63 *21038:B1 0.000130812 +97 *460:63 *24504:D 0.000759028 +98 *460:63 *497:143 0.000778622 +99 *460:63 *505:15 7.58904e-05 +100 *460:63 *528:26 0.000606635 +101 *460:63 *530:18 0.000807478 +102 *460:63 *1427:13 0.00150973 +103 *460:63 *1458:18 0.000415613 +104 *460:63 *1458:27 0.000153943 +105 *460:63 *1506:143 0.000794997 +106 *460:63 *1689:28 0 +107 *460:63 *1690:26 0.000492487 +108 *460:63 *1695:42 0.000207261 +109 *460:63 *1749:26 0.00102621 +110 *460:63 *2004:13 0.000921637 +111 *460:63 *2259:15 0.00039408 +112 *460:63 *2641:19 0.000349808 +113 *460:63 *2753:67 0.00262701 +114 *460:63 *4876:38 0.000437852 +115 *460:63 *5481:16 2.90979e-05 +116 *460:63 *5854:305 0.000639217 +117 *460:63 *5854:319 0.000368793 +118 *460:63 *5917:481 3.44597e-05 +119 *460:67 *23931:B1 0.000115307 +120 *460:67 *1428:86 0.000132802 +121 *460:67 *1428:93 0.000424475 +122 *460:67 *2063:17 0.000184841 +123 *460:67 *4878:139 0.000297057 +124 *460:82 *24170:CLK 7.16754e-05 +125 *460:82 *24320:D 4.78069e-06 +126 *460:82 *24320:RESET_B 0.000196623 +127 *460:82 *24908:D 0.000114271 +128 *460:82 *531:58 6.55265e-05 +129 *460:82 *2085:11 3.10924e-05 +130 *460:82 *2381:26 0 +131 *460:82 *2667:32 0.000142739 +132 *460:82 *4878:139 0.000711502 +133 *460:82 *5864:153 0.000392157 +134 *460:84 *20831:A2 0 +135 *460:84 *24368:D 0 +136 *460:84 *24790:D 6.89399e-05 +137 *460:84 *1450:122 0.000610422 +138 *460:84 *1450:126 4.67794e-05 +139 *460:84 *1741:90 0.00020614 +140 *460:84 *1864:12 0.000318321 +141 *460:84 *1864:42 0.000210184 +142 *460:84 *1864:46 0.000154073 +143 *460:84 *1865:50 4.83811e-05 +144 *460:84 *2381:26 0.000287666 +145 *460:84 *2667:42 0 +146 *460:84 *4829:70 0.00199122 +147 *460:84 *4833:55 0.00334595 +148 *460:84 *5853:366 0.00104248 +149 *460:84 *5853:379 0.000156823 +*RES +1 *24057:X *460:4 9.24915 +2 *460:4 *460:8 47.1178 +3 *460:8 *460:16 41.4079 +4 *460:16 *460:21 47.3657 +5 *460:21 *460:30 47.2478 +6 *460:30 *460:35 13.6056 +7 *460:35 *460:39 41.7919 +8 *460:39 *460:43 32.399 +9 *460:43 *19635:C1 36.759 +10 *460:4 *460:57 44.2953 +11 *460:57 *460:63 47.2476 +12 *460:63 *460:67 18.3411 +13 *460:67 *460:69 9.24915 +14 *460:69 *460:70 57.9449 +15 *460:70 *460:82 35.8952 +16 *460:82 *460:84 103.068 +17 *460:84 *19569:A 28.3376 +18 *460:67 *23931:C1 9.97254 +*END + +*D_NET *461 0.00163479 +*CONN +*I *24062:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *24061:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24062:A0 0.000640076 +2 *24061:X 0.000640076 +3 *24062:A0 *24061:S 2.65831e-05 +4 *24062:A0 *1744:110 0.000115772 +5 *24062:A0 *2603:16 0.000115772 +6 *24062:A0 *4863:114 9.65091e-05 +*RES +1 *24061:X *24062:A0 38.2334 +*END + +*D_NET *462 0.0212016 +*CONN +*I *19692:D I *D sky130_fd_sc_hd__or4_4 +*I *19728:D I *D sky130_fd_sc_hd__or4_4 +*I *19805:B I *D sky130_fd_sc_hd__or4_4 +*I *19652:B I *D sky130_fd_sc_hd__or4_4 +*I *19630:D I *D sky130_fd_sc_hd__or4_4 +*I *19606:D I *D sky130_fd_sc_hd__or4_4 +*I *19786:D I *D sky130_fd_sc_hd__or4_4 +*I *19738:D I *D sky130_fd_sc_hd__or4_4 +*I *19578:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24062:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *19692:D 0 +2 *19728:D 0.000109378 +3 *19805:B 0.000127458 +4 *19652:B 0 +5 *19630:D 0 +6 *19606:D 0.000101787 +7 *19786:D 0 +8 *19738:D 0 +9 *19578:A 0 +10 *24062:X 1.69518e-05 +11 *462:64 0.000306863 +12 *462:52 0.000342559 +13 *462:36 0.000389417 +14 *462:33 0.000312897 +15 *462:27 0.000160252 +16 *462:22 0.000440613 +17 *462:11 0.000456382 +18 *462:7 0.00409648 +19 *462:5 0.00401646 +20 *19606:D *468:80 6.87743e-05 +21 *19606:D *468:93 2.22119e-05 +22 *19606:D *1425:7 5.04829e-06 +23 *19728:D *19692:A 1.67988e-05 +24 *19728:D *19728:B 0.000216993 +25 *19728:D *21383:B1 0.000154145 +26 *19728:D *1544:43 0.000451182 +27 *19805:B *19652:A 2.16355e-05 +28 *19805:B *19805:A 0.000353686 +29 *19805:B *19805:C 0.000178466 +30 *19805:B *19805:D 5.51483e-06 +31 *19805:B *1449:12 0.000139947 +32 *462:5 *1459:39 2.19102e-05 +33 *462:7 *20377:A 0.000652984 +34 *462:7 *24656:D 2.99978e-05 +35 *462:7 *468:17 1.41689e-05 +36 *462:7 *1459:39 0.00071622 +37 *462:7 *1506:16 3.47031e-05 +38 *462:7 *1506:75 0.00281966 +39 *462:7 *1939:8 0.000149285 +40 *462:7 *2469:19 1.52886e-05 +41 *462:11 *19619:D 6.73186e-05 +42 *462:11 *1506:16 8.65522e-05 +43 *462:22 *19619:D 2.16355e-05 +44 *462:22 *19670:C 3.29586e-05 +45 *462:22 *19738:B 1.87875e-05 +46 *462:22 *464:26 2.69685e-05 +47 *462:22 *464:31 0.000112174 +48 *462:22 *466:25 2.61093e-05 +49 *462:22 *466:31 2.41274e-06 +50 *462:22 *466:44 2.09377e-05 +51 *462:22 *1426:15 0.000140275 +52 *462:22 *1426:29 4.97617e-05 +53 *462:22 *1506:16 0.000140275 +54 *462:22 *1515:130 0 +55 *462:22 *1739:22 3.31733e-05 +56 *462:22 *2947:20 1.75318e-05 +57 *462:27 *19738:A 0.000158371 +58 *462:27 *464:31 2.14629e-05 +59 *462:27 *464:37 2.88656e-05 +60 *462:27 *1436:19 9.97706e-05 +61 *462:27 *1459:21 0.000468325 +62 *462:33 *19692:A 0.000354638 +63 *462:33 *1436:19 0.000215704 +64 *462:33 *1459:21 0.000454422 +65 *462:33 *1544:43 3.07726e-05 +66 *462:36 *19630:B 0.000114955 +67 *462:36 *19728:B 0.000126934 +68 *462:36 *1641:10 0.000236793 +69 *462:52 *19630:A 0.000253916 +70 *462:52 *19630:B 8.98943e-05 +71 *462:52 *1641:10 8.48656e-05 +72 *462:64 *19630:A 0.000107496 +73 *462:64 *19652:A 4.30908e-05 +74 *462:64 *19652:C 3.29586e-05 +75 *462:64 *1449:12 0.000154145 +76 *462:64 *1711:15 0.000182386 +77 *462:64 *1802:175 0.000178804 +*RES +1 *24062:X *462:5 9.82786 +2 *462:5 *462:7 63.9482 +3 *462:7 *462:11 3.37585 +4 *462:11 *19578:A 9.24915 +5 *462:11 *462:22 19.7323 +6 *462:22 *19738:D 9.24915 +7 *462:22 *462:27 5.16022 +8 *462:27 *19786:D 9.24915 +9 *462:27 *462:33 6.26943 +10 *462:33 *462:36 9.23876 +11 *462:36 *19606:D 16.1846 +12 *462:36 *462:52 9.27381 +13 *462:52 *19630:D 9.24915 +14 *462:52 *462:64 15.6036 +15 *462:64 *19652:B 9.24915 +16 *462:64 *19805:B 14.4094 +17 *462:33 *19728:D 14.9881 +18 *462:33 *19692:D 9.24915 +*END + +*D_NET *463 0.00385102 +*CONN +*I *24064:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *24063:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24064:A0 0 +2 *24063:X 0.00133427 +3 *463:15 0.00133427 +4 *463:15 *24051:A1 9.36612e-05 +5 *463:15 *24052:A0 0.000241933 +6 *463:15 *24052:A1 6.79231e-05 +7 *463:15 *24053:A0 9.57557e-06 +8 *463:15 *24061:A0 6.51637e-05 +9 *463:15 *24063:A0 4.31703e-05 +10 *463:15 *24064:A1 2.9912e-05 +11 *463:15 *466:15 0.000120725 +12 *463:15 *1459:42 3.92275e-05 +13 *463:15 *4876:74 2.77625e-06 +14 *463:15 *4878:40 0.000418479 +15 *463:15 *5439:56 4.9933e-05 +*RES +1 *24063:X *463:15 43.8884 +2 *463:15 *24064:A0 9.24915 +*END + +*D_NET *464 0.0171734 +*CONN +*I *19705:C I *D sky130_fd_sc_hd__or4_4 +*I *19639:A I *D sky130_fd_sc_hd__or4_4 +*I *19670:C I *D sky130_fd_sc_hd__or4_4 +*I *19577:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19652:A I *D sky130_fd_sc_hd__or4_4 +*I *19606:C I *D sky130_fd_sc_hd__or4_4 +*I *19786:C I *D sky130_fd_sc_hd__or4_4 +*I *19738:C I *D sky130_fd_sc_hd__or4_4 +*I *19619:C I *D sky130_fd_sc_hd__or4_4 +*I *24064:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *19705:C 0.000125875 +2 *19639:A 0 +3 *19670:C 1.07763e-05 +4 *19577:A 2.97379e-05 +5 *19652:A 0.000263151 +6 *19606:C 0 +7 *19786:C 0 +8 *19738:C 0 +9 *19619:C 0 +10 *24064:X 0.000764381 +11 *464:49 0.00031752 +12 *464:46 0.000306731 +13 *464:37 0.000563899 +14 *464:31 0.000499644 +15 *464:26 0.000320409 +16 *464:20 0.00018894 +17 *464:19 0.000687242 +18 *464:11 0.0023556 +19 *464:10 0.00259629 +20 *19577:A *1437:37 5.41377e-05 +21 *19577:A *1485:230 1.59052e-05 +22 *19577:A *1691:17 4.60375e-07 +23 *19652:A *19652:C 3.29586e-05 +24 *19652:A *19805:D 0.000186597 +25 *19652:A *466:76 6.99737e-05 +26 *19652:A *468:80 0.000116116 +27 *19652:A *468:93 2.88885e-05 +28 *19652:A *1437:50 0.000200794 +29 *19652:A *1449:12 1.58551e-05 +30 *19670:C *466:25 1.17192e-05 +31 *19705:C *19705:B 5.04829e-06 +32 *19705:C *19705:D 9.23138e-05 +33 *19705:C *1454:35 2.66117e-05 +34 *19705:C *1466:8 1.5714e-05 +35 *19705:C *1759:13 3.5884e-05 +36 *19705:C *2947:20 1.37385e-05 +37 *464:10 *20376:A 6.08467e-05 +38 *464:10 *20376:B 0.000367044 +39 *464:10 *21670:B2 4.63873e-05 +40 *464:10 *466:15 0.000387384 +41 *464:10 *466:17 0.00144021 +42 *464:10 *2705:33 6.08467e-05 +43 *464:10 *4894:9 2.61955e-05 +44 *464:10 *4894:11 8.6191e-05 +45 *464:10 *4894:18 9.06665e-05 +46 *464:10 *5204:7 1.43041e-05 +47 *464:11 *19619:B 8.83832e-05 +48 *464:11 *466:17 0.00265812 +49 *464:19 *19619:A 3.82228e-05 +50 *464:19 *19619:B 6.99737e-05 +51 *464:19 *19639:C 0.000114488 +52 *464:19 *19639:D 1.08736e-05 +53 *464:19 *1426:31 6.08467e-05 +54 *464:19 *1454:11 5.51483e-06 +55 *464:20 *19705:D 2.43314e-05 +56 *464:20 *1426:29 9.12416e-06 +57 *464:20 *1454:35 9.17656e-06 +58 *464:20 *1466:8 7.09666e-06 +59 *464:20 *2947:20 5.07629e-06 +60 *464:26 *466:44 0 +61 *464:26 *1426:29 0.000140303 +62 *464:26 *2947:20 0.000107304 +63 *464:31 *1459:21 4.91225e-06 +64 *464:31 *1739:22 5.04829e-06 +65 *464:31 *2947:20 0.000119182 +66 *464:37 *19738:A 5.481e-05 +67 *464:37 *1436:19 7.18481e-05 +68 *464:46 *19786:B 0.000171288 +69 *464:46 *1436:19 2.6243e-05 +70 *464:46 *1437:37 8.61131e-05 +71 *464:46 *1691:17 1.66998e-05 +72 *464:49 *466:76 0.000224119 +73 *464:49 *468:80 0.000224119 +74 *19805:B *19652:A 2.16355e-05 +75 *462:22 *19670:C 3.29586e-05 +76 *462:22 *464:26 2.69685e-05 +77 *462:22 *464:31 0.000112174 +78 *462:27 *464:31 2.14629e-05 +79 *462:27 *464:37 2.88656e-05 +80 *462:64 *19652:A 4.30908e-05 +*RES +1 *24064:X *464:10 46.595 +2 *464:10 *464:11 30.9493 +3 *464:11 *19619:C 9.24915 +4 *464:11 *464:19 12.1559 +5 *464:19 *464:20 1.00149 +6 *464:20 *464:26 4.81204 +7 *464:26 *464:31 8.30255 +8 *464:31 *19738:C 9.24915 +9 *464:31 *464:37 5.16022 +10 *464:37 *19786:C 9.24915 +11 *464:37 *464:46 8.9965 +12 *464:46 *464:49 7.16451 +13 *464:49 *19606:C 9.24915 +14 *464:49 *19652:A 17.532 +15 *464:46 *19577:A 14.7506 +16 *464:26 *19670:C 14.141 +17 *464:20 *19639:A 13.7491 +18 *464:19 *19705:C 16.9428 +*END + +*D_NET *465 0.00143374 +*CONN +*I *24052:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *24051:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24052:A0 0.000284325 +2 *24051:X 0.000284325 +3 *24052:A0 *24053:A0 7.86847e-05 +4 *24052:A0 *24053:A1 0.000164843 +5 *24052:A0 *24053:S 6.08467e-05 +6 *24052:A0 *1459:42 1.69932e-05 +7 *24052:A0 *2561:26 0 +8 *24052:A0 *4876:74 0.000301785 +9 *463:15 *24052:A0 0.000241933 +*RES +1 *24051:X *24052:A0 38.788 +*END + +*D_NET *466 0.0221507 +*CONN +*I *19619:B I *D sky130_fd_sc_hd__or4_4 +*I *19606:B I *D sky130_fd_sc_hd__or4_4 +*I *19630:B I *D sky130_fd_sc_hd__or4_4 +*I *19728:B I *D sky130_fd_sc_hd__or4_4 +*I *19596:D I *D sky130_fd_sc_hd__or4_4 +*I *19579:D I *D sky130_fd_sc_hd__or4_4 +*I *19590:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19738:B I *D sky130_fd_sc_hd__or4_4 +*I *19670:B I *D sky130_fd_sc_hd__or4_4 +*I *24052:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *19619:B 3.30501e-05 +2 *19606:B 0 +3 *19630:B 0.000312798 +4 *19728:B 0.000263704 +5 *19596:D 0 +6 *19579:D 9.62181e-05 +7 *19590:A 2.40238e-05 +8 *19738:B 7.41076e-05 +9 *19670:B 0 +10 *24052:X 0.00105758 +11 *466:78 0.000576503 +12 *466:76 0.000588831 +13 *466:52 0.000322838 +14 *466:44 0.000373759 +15 *466:33 0.000245271 +16 *466:31 0.000694307 +17 *466:25 0.000848859 +18 *466:17 0.00193281 +19 *466:15 0.00221395 +20 *19579:D *19579:C 0.000300565 +21 *19579:D *20003:C1 0.000136314 +22 *19579:D *1437:33 5.51483e-06 +23 *19590:A *19705:A 6.08467e-05 +24 *19590:A *20003:C1 1.92172e-05 +25 *19590:A *1759:13 6.50727e-05 +26 *19619:B *20003:A2 9.55447e-05 +27 *19619:B *1454:11 1.92172e-05 +28 *19630:B *19630:A 2.8929e-05 +29 *19630:B *19630:C 0.000283432 +30 *19630:B *476:46 0.000266473 +31 *19630:B *1641:10 2.37599e-05 +32 *19728:B *19692:A 0.000160617 +33 *19728:B *19728:C 0.000165518 +34 *19728:B *21383:B1 5.17665e-05 +35 *19728:B *468:98 0.000158371 +36 *19728:B *476:46 0.000126934 +37 *19728:B *1425:17 0.000117237 +38 *19738:B *1515:130 8.86181e-05 +39 *466:15 *24052:A1 6.08467e-05 +40 *466:15 *24064:A1 2.16355e-05 +41 *466:15 *1515:47 7.88576e-05 +42 *466:15 *4876:74 0.000158682 +43 *466:15 *4894:18 3.33907e-05 +44 *466:17 *20003:A2 0.00102852 +45 *466:17 *20376:B 7.5301e-06 +46 *466:17 *21228:A 0.000314045 +47 *466:17 *21670:A2 0.000162739 +48 *466:17 *1448:19 0.000120195 +49 *466:17 *1455:13 2.42273e-05 +50 *466:17 *1698:62 0.000113968 +51 *466:17 *2295:7 3.99086e-06 +52 *466:17 *2971:32 0.000213725 +53 *466:25 *19619:D 9.34919e-05 +54 *466:25 *20003:A2 2.43314e-05 +55 *466:25 *1506:16 0.000493634 +56 *466:25 *1757:37 0 +57 *466:25 *1792:37 6.58073e-05 +58 *466:25 *2732:29 9.10748e-05 +59 *466:31 *19670:A 4.56667e-05 +60 *466:31 *1426:29 1.75637e-06 +61 *466:44 *19639:D 6.50727e-05 +62 *466:44 *1426:29 3.60268e-05 +63 *466:44 *1426:67 1.00846e-05 +64 *466:44 *1454:35 8.62625e-06 +65 *466:44 *1515:130 0.000174353 +66 *466:44 *2951:28 8.35615e-06 +67 *466:52 *19639:D 0.000122809 +68 *466:52 *19705:A 0.000207266 +69 *466:52 *19846:D 2.77564e-05 +70 *466:52 *1437:5 0.000160617 +71 *466:52 *1437:33 0.000107496 +72 *466:52 *1454:35 2.53624e-06 +73 *466:52 *2951:28 0.00016609 +74 *466:76 *19670:A 0.000200794 +75 *466:76 *468:60 6.01922e-05 +76 *466:76 *468:80 5.34175e-05 +77 *466:76 *1485:7 6.08467e-05 +78 *19652:A *466:76 6.99737e-05 +79 *19670:C *466:25 1.17192e-05 +80 *19728:D *19728:B 0.000216993 +81 *462:22 *19738:B 1.87875e-05 +82 *462:22 *466:25 2.61093e-05 +83 *462:22 *466:31 2.41274e-06 +84 *462:22 *466:44 2.09377e-05 +85 *462:36 *19630:B 0.000114955 +86 *462:36 *19728:B 0.000126934 +87 *462:52 *19630:B 8.98943e-05 +88 *463:15 *466:15 0.000120725 +89 *464:10 *466:15 0.000387384 +90 *464:10 *466:17 0.00144021 +91 *464:11 *19619:B 8.83832e-05 +92 *464:11 *466:17 0.00265812 +93 *464:19 *19619:B 6.99737e-05 +94 *464:26 *466:44 0 +95 *464:49 *466:76 0.000224119 +*RES +1 *24052:X *466:15 30.2035 +2 *466:15 *466:17 46.2009 +3 *466:17 *466:25 22.8201 +4 *466:25 *19670:B 9.24915 +5 *466:25 *466:31 1.8326 +6 *466:31 *466:33 4.5 +7 *466:33 *19738:B 15.5811 +8 *466:33 *466:44 9.68626 +9 *466:44 *466:52 16.4235 +10 *466:52 *19590:A 10.5271 +11 *466:52 *19579:D 13.3002 +12 *466:44 *19596:D 9.24915 +13 *466:31 *466:76 11.4779 +14 *466:76 *466:78 4.5 +15 *466:78 *19728:B 23.8385 +16 *466:78 *19630:B 22.9542 +17 *466:76 *19606:B 9.24915 +18 *466:17 *19619:B 11.0817 +*END + +*D_NET *467 0.00156031 +*CONN +*I *24054:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *24053:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24054:A0 0.000156308 +2 *24053:X 0.000156308 +3 *24054:A0 *1515:47 0.000117746 +4 *24054:A0 *1765:15 0.000568176 +5 *24054:A0 *2510:21 0.000539217 +6 *24054:A0 *2561:26 2.25574e-05 +*RES +1 *24053:X *24054:A0 36.015 +*END + +*D_NET *468 0.0240138 +*CONN +*I *19652:C I *D sky130_fd_sc_hd__or4_4 +*I *19805:C I *D sky130_fd_sc_hd__or4_4 +*I *19728:A I *D sky130_fd_sc_hd__or4_4 +*I *19606:A I *D sky130_fd_sc_hd__or4_4 +*I *19579:C I *D sky130_fd_sc_hd__or4_4 +*I *19589:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19846:C I *D sky130_fd_sc_hd__or4_4 +*I *19639:C I *D sky130_fd_sc_hd__or4_4 +*I *19619:A I *D sky130_fd_sc_hd__or4_4 +*I *24054:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *19652:C 7.99544e-06 +2 *19805:C 0.000221341 +3 *19728:A 0 +4 *19606:A 0 +5 *19579:C 0.000374663 +6 *19589:A 0 +7 *19846:C 0.000412652 +8 *19639:C 0.000114164 +9 *19619:A 3.51473e-05 +10 *24054:X 0.00059748 +11 *468:98 0.000375942 +12 *468:93 0.000502101 +13 *468:80 0.000566461 +14 *468:60 0.000712666 +15 *468:54 0.000534316 +16 *468:22 0.000149311 +17 *468:20 0.000495805 +18 *468:17 0.0021333 +19 *468:8 0.00222436 +20 *19579:C *20003:C1 1.12537e-05 +21 *19579:C *1437:33 8.79845e-05 +22 *19579:C *1691:17 0.0003167 +23 *19579:C *3003:12 0.000315317 +24 *19619:A *1454:11 0.000107496 +25 *19639:C *19639:D 8.87348e-05 +26 *19639:C *1454:11 9.32983e-05 +27 *19639:C *1454:35 4.47134e-05 +28 *19805:C *19805:A 2.57465e-06 +29 *19805:C *21383:B1 5.08751e-05 +30 *19805:C *1428:8 0.000111082 +31 *19805:C *1449:12 8.62625e-06 +32 *19805:C *1459:18 6.1061e-06 +33 *19805:C *1497:17 7.465e-05 +34 *19846:C *19846:B 0.000137293 +35 *19846:C *24924:A 0.000135811 +36 *19846:C *24982:A 7.25424e-05 +37 *19846:C *1764:7 0.000369745 +38 *19846:C *1764:13 6.08467e-05 +39 *19846:C *2004:33 0.000342274 +40 *19846:C *4863:7 7.75632e-05 +41 *19846:C *4863:11 0.000274981 +42 *468:8 *20381:A1 0.000116971 +43 *468:8 *21667:A 0.000353686 +44 *468:8 *21828:A 0.000184123 +45 *468:8 *1765:15 0.00231668 +46 *468:8 *2510:25 0.00143593 +47 *468:8 *2703:21 0.000205006 +48 *468:8 *2836:8 0.000324447 +49 *468:8 *2878:32 2.35364e-05 +50 *468:8 *3177:130 8.14875e-05 +51 *468:17 *20377:A 0.000417478 +52 *468:17 *1424:56 8.49739e-05 +53 *468:17 *1461:61 0.000745726 +54 *468:17 *1506:75 0.000801457 +55 *468:17 *1515:34 0.000211478 +56 *468:17 *1698:77 1.5714e-05 +57 *468:17 *1725:17 0.00065264 +58 *468:17 *2469:23 0.000429734 +59 *468:17 *5908:9 9.88439e-05 +60 *468:20 *2004:33 0.000166112 +61 *468:20 *4863:11 0.000166112 +62 *468:54 *19670:A 6.08467e-05 +63 *468:54 *1461:61 0.000118363 +64 *468:54 *1485:230 3.52699e-05 +65 *468:54 *1515:130 5.09278e-05 +66 *468:54 *1725:17 0.000132032 +67 *468:54 *2951:28 1.66771e-05 +68 *468:54 *5908:9 4.97504e-05 +69 *468:60 *19670:A 4.66492e-05 +70 *468:60 *1436:7 2.16355e-05 +71 *468:80 *1425:7 2.37827e-05 +72 *468:93 *19805:D 0.000107496 +73 *468:93 *1437:50 0.000200794 +74 *468:93 *1563:10 7.14746e-05 +75 *468:93 *1802:175 6.43474e-05 +76 *468:98 *21383:B1 0.000209283 +77 *468:98 *1497:17 5.04829e-06 +78 *468:98 *1563:10 0.000134103 +79 *468:98 *1802:175 0.000141126 +80 *19579:D *19579:C 0.000300565 +81 *19606:D *468:80 6.87743e-05 +82 *19606:D *468:93 2.22119e-05 +83 *19652:A *19652:C 3.29586e-05 +84 *19652:A *468:80 0.000116116 +85 *19652:A *468:93 2.88885e-05 +86 *19728:B *468:98 0.000158371 +87 *19805:B *19805:C 0.000178466 +88 *462:7 *468:17 1.41689e-05 +89 *462:64 *19652:C 3.29586e-05 +90 *464:19 *19619:A 3.82228e-05 +91 *464:19 *19639:C 0.000114488 +92 *464:49 *468:80 0.000224119 +93 *466:76 *468:60 6.01922e-05 +94 *466:76 *468:80 5.34175e-05 +*RES +1 *24054:X *468:8 46.3841 +2 *468:8 *468:17 31.1911 +3 *468:17 *468:20 6.65416 +4 *468:20 *468:22 4.5 +5 *468:22 *19619:A 10.5271 +6 *468:22 *19639:C 13.0771 +7 *468:20 *19846:C 29.5222 +8 *468:17 *468:54 10.0915 +9 *468:54 *19589:A 9.24915 +10 *468:54 *468:60 2.94181 +11 *468:60 *19579:C 30.1347 +12 *468:60 *468:80 6.84212 +13 *468:80 *19606:A 9.24915 +14 *468:80 *468:93 11.6436 +15 *468:93 *468:98 9.96496 +16 *468:98 *19728:A 9.24915 +17 *468:98 *19805:C 23.3297 +18 *468:93 *19652:C 14.141 +*END + +*D_NET *469 0.00216319 +*CONN +*I *24056:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24055:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24056:A0 0.00067718 +2 *24055:X 0.00067718 +3 *24056:A0 *24055:A0 6.08467e-05 +4 *24056:A0 *24055:A1 7.68538e-06 +5 *24056:A0 *24056:A1 0.000200794 +6 *24056:A0 *1422:13 0.000238046 +7 *24056:A0 *1422:31 0.000134525 +8 *24056:A0 *5442:19 0.000166937 +*RES +1 *24055:X *24056:A0 29.7592 +*END + +*D_NET *470 0.0109675 +*CONN +*I *19782:A I *D sky130_fd_sc_hd__or3_1 +*I *19918:A I *D sky130_fd_sc_hd__or3_1 +*I *19572:A I *D sky130_fd_sc_hd__inv_2 +*I *19587:A I *D sky130_fd_sc_hd__or3_1 +*I *19631:A I *D sky130_fd_sc_hd__or3_1 +*I *24056:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19782:A 0 +2 *19918:A 1.5059e-05 +3 *19572:A 0.000108318 +4 *19587:A 0 +5 *19631:A 8.43819e-05 +6 *24056:X 0.00167983 +7 *470:49 0.000450714 +8 *470:33 0.000943942 +9 *470:21 0.00108467 +10 *470:5 0.00223227 +11 *19572:A *19778:C 2.41568e-05 +12 *19572:A *472:19 6.97003e-05 +13 *19572:A *472:32 1.19856e-05 +14 *19572:A *1420:5 6.08467e-05 +15 *19631:A *2836:8 0.000239483 +16 *19631:A *2878:32 0.000232003 +17 *470:5 *1522:13 0.000172001 +18 *470:5 *1522:21 0.00202157 +19 *470:21 *1522:13 0.000208694 +20 *470:21 *5175:8 1.01044e-05 +21 *470:33 *19575:C 1.9101e-05 +22 *470:33 *19588:A 2.16355e-05 +23 *470:33 *19605:A 0.000161234 +24 *470:33 *19782:C 0.000235515 +25 *470:33 *21927:B1 8.93331e-05 +26 *470:33 *1761:74 0.000120892 +27 *470:33 *1762:40 2.81605e-05 +28 *470:33 *2844:16 1.14979e-05 +29 *470:33 *2964:35 3.3465e-05 +30 *470:33 *5851:196 0.000271286 +31 *470:49 *19587:C 0.000130732 +32 *470:49 *19605:A 2.34052e-05 +33 *470:49 *19779:A 1.61631e-05 +34 *470:49 *472:32 1.43848e-05 +35 *470:49 *1461:228 6.87762e-05 +36 *470:49 *5851:196 7.21868e-05 +*RES +1 *24056:X *470:5 37.1481 +2 *470:5 *19631:A 22.5727 +3 *470:5 *470:21 9.25947 +4 *470:21 *470:33 25.7097 +5 *470:33 *19587:A 9.24915 +6 *470:33 *470:49 16.2039 +7 *470:49 *19572:A 12.2151 +8 *470:49 *19918:A 9.82786 +9 *470:21 *19782:A 9.24915 +*END + +*D_NET *471 0.00141537 +*CONN +*I *24066:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *24065:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24066:A0 0.000215087 +2 *24065:X 0.000215087 +3 *24066:A0 *19574:A1 0.000313481 +4 *24066:A0 *19574:A2 6.08467e-05 +5 *24066:A0 *19574:B1 0.000113968 +6 *24066:A0 *19586:B1_N 3.5353e-05 +7 *24066:A0 *472:7 0.000171273 +8 *24066:A0 *1422:13 0.000160617 +9 *24066:A0 *5442:13 3.78098e-05 +10 *24066:A0 *5485:140 9.18426e-05 +*RES +1 *24065:X *24066:A0 36.1543 +*END + +*D_NET *472 0.00817953 +*CONN +*I *19575:B I *D sky130_fd_sc_hd__or3_1 +*I *19604:B I *D sky130_fd_sc_hd__or3_1 +*I *19631:B I *D sky130_fd_sc_hd__or3_1 +*I *19918:B I *D sky130_fd_sc_hd__or3_1 +*I *19585:A I *D sky130_fd_sc_hd__inv_2 +*I *24066:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *19575:B 0 +2 *19604:B 0 +3 *19631:B 0.000408991 +4 *19918:B 1.96749e-05 +5 *19585:A 0 +6 *24066:X 0.00137104 +7 *472:33 0.000545299 +8 *472:32 0.000363952 +9 *472:19 0.000386515 +10 *472:7 0.00151023 +11 *19631:B *19604:C 1.29799e-05 +12 *19631:B *1420:33 6.53132e-05 +13 *19631:B *1422:43 0.000355652 +14 *19631:B *1432:15 7.44295e-05 +15 *19631:B *1433:35 2.05513e-06 +16 *19631:B *1764:31 1.9101e-05 +17 *19631:B *2785:30 6.52046e-06 +18 *472:7 *19574:A1 1.65872e-05 +19 *472:7 *19778:C 0.000403146 +20 *472:7 *24066:S 0.000154145 +21 *472:7 *1506:98 0.000111722 +22 *472:7 *1801:38 0.000909817 +23 *472:7 *2810:30 5.481e-05 +24 *472:7 *5854:158 8.8496e-05 +25 *472:7 *6001:94 0.000247443 +26 *472:19 *19778:C 0.000105135 +27 *472:19 *1801:38 0.000133939 +28 *472:32 *19575:C 7.23432e-05 +29 *472:32 *19918:C 4.05126e-05 +30 *472:32 *2844:16 1.61962e-05 +31 *472:32 *5851:196 0.000255946 +32 *472:33 *19575:A 3.71168e-05 +33 *472:33 *19605:A 0.000107496 +34 *472:33 *1420:33 1.55831e-05 +35 *19572:A *472:19 6.97003e-05 +36 *19572:A *472:32 1.19856e-05 +37 *24066:A0 *472:7 0.000171273 +38 *470:49 *472:32 1.43848e-05 +*RES +1 *24066:X *472:7 45.3466 +2 *472:7 *19585:A 9.24915 +3 *472:7 *472:19 4.82264 +4 *472:19 *19918:B 9.82786 +5 *472:19 *472:32 15.432 +6 *472:32 *472:33 2.38721 +7 *472:33 *19631:B 25.5495 +8 *472:33 *19604:B 9.24915 +9 *472:32 *19575:B 9.24915 +*END + +*D_NET *473 0.00140674 +*CONN +*I *24059:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24058:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24059:A0 0.000494305 +2 *24058:X 0.000494305 +3 *24059:A0 *1801:49 0.000214456 +4 *24059:A0 *3014:69 8.06262e-05 +5 *24059:A0 *4867:8 8.93952e-06 +6 *24059:A0 *5476:34 0.000114107 +*RES +1 *24058:X *24059:A0 39.9213 +*END + +*D_NET *474 0.00153484 +*CONN +*I *19574:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *19586:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *24059:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19574:B1 1.77893e-05 +2 *19586:B1_N 0.000356377 +3 *24059:X 0.000119599 +4 *474:6 0.000493765 +5 *19574:B1 *5485:140 0.000113968 +6 *19586:B1_N *19586:A2 6.46887e-05 +7 *19586:B1_N *24065:A0 0.000116755 +8 *19586:B1_N *24065:A1 1.65872e-05 +9 *19586:B1_N *1459:42 3.3151e-05 +10 *19586:B1_N *2937:11 4.91225e-06 +11 *474:6 *1459:42 4.79218e-05 +12 *474:6 *5442:13 0 +13 *24066:A0 *19574:B1 0.000113968 +14 *24066:A0 *19586:B1_N 3.5353e-05 +*RES +1 *24059:X *474:6 16.4116 +2 *474:6 *19586:B1_N 20.0474 +3 *474:6 *19574:B1 15.0271 +*END + +*D_NET *475 0.00527719 +*CONN +*I *19574:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *19586:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *24060:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19574:A2 1.47608e-05 +2 *19586:A2 0.000244695 +3 *24060:X 0.000361948 +4 *475:12 0.000621405 +5 *19574:A2 *5485:140 6.08467e-05 +6 *19586:A2 *24065:S 0.000111708 +7 *19586:A2 *1421:5 6.50727e-05 +8 *19586:A2 *1422:13 8.86181e-05 +9 *19586:A2 *1459:42 1.10848e-05 +10 *19586:A2 *2937:11 0.000103234 +11 *19586:A2 *4878:47 0.000124195 +12 *475:12 *1459:42 8.42535e-06 +13 *475:12 *1714:24 0.00154679 +14 *475:12 *4878:47 3.39118e-05 +15 *475:12 *5444:36 0.000100172 +16 *475:12 *5452:11 0.0015544 +17 *475:12 *5485:172 1.66626e-05 +18 *475:12 *5485:191 8.37224e-05 +19 *19586:B1_N *19586:A2 6.46887e-05 +20 *24066:A0 *19574:A2 6.08467e-05 +*RES +1 *24060:X *475:12 25.4353 +2 *475:12 *19586:A2 21.596 +3 *475:12 *19574:A2 14.4725 +*END + +*D_NET *476 0.131196 +*CONN +*I *23949:S I *D sky130_fd_sc_hd__mux2_2 +*I *24093:S I *D sky130_fd_sc_hd__mux2_1 +*I *24094:S I *D sky130_fd_sc_hd__mux2_1 +*I *24073:S I *D sky130_fd_sc_hd__mux2_1 +*I *20250:B I *D sky130_fd_sc_hd__or2_4 +*I *22102:B1 I *D sky130_fd_sc_hd__o21ai_4 +*I *23942:S I *D sky130_fd_sc_hd__mux2_1 +*I *20249:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *23949:S 0 +2 *24093:S 0.000267299 +3 *24094:S 5.55124e-05 +4 *24073:S 0.00118409 +5 *20250:B 0.000633068 +6 *22102:B1 0 +7 *23942:S 0.000315404 +8 *20249:Y 0 +9 *476:174 0.000802629 +10 *476:172 0.00167347 +11 *476:167 0.00203801 +12 *476:161 0.00195748 +13 *476:143 0.00311337 +14 *476:142 0.00204784 +15 *476:123 0.00248758 +16 *476:122 0.0015151 +17 *476:108 0.00215047 +18 *476:100 0.00555792 +19 *476:90 0.0047354 +20 *476:82 0.0022533 +21 *476:81 0.00203955 +22 *476:73 0.0046941 +23 *476:72 0.00473035 +24 *476:63 0.00102797 +25 *476:61 0.002992 +26 *476:54 0.00390277 +27 *476:46 0.00283065 +28 *476:45 0.00256542 +29 *476:21 0.000546158 +30 *476:18 0.00163154 +31 *476:4 0.00229771 +32 *20250:B *20250:A 5.31844e-05 +33 *20250:B *20251:B 0.000205101 +34 *20250:B *1899:5 0.000154145 +35 *20250:B *2256:27 1.00846e-05 +36 *20250:B *3052:13 8.49652e-06 +37 *23942:S *1784:16 4.07033e-05 +38 *23942:S *5858:190 0.000179657 +39 *24073:S *19785:A 7.92757e-06 +40 *24073:S *20999:A2 2.16355e-05 +41 *24073:S *24268:D 0.000109503 +42 *24073:S *24268:CLK 2.37827e-05 +43 *24073:S *5398:7 7.24875e-06 +44 *24073:S *5861:397 0.000398075 +45 *24073:S *5864:607 2.20457e-05 +46 *24093:S *24093:A0 0.00017419 +47 *24093:S *24093:A1 7.89747e-05 +48 *24093:S *4839:148 2.97007e-05 +49 *24093:S *5903:6 0.000347397 +50 *24093:S *5993:20 2.95796e-05 +51 *24094:S *19952:A 4.0744e-05 +52 *476:18 *23942:A0 8.75001e-05 +53 *476:18 *1438:78 0.000920977 +54 *476:18 *1541:10 0.0001839 +55 *476:18 *1698:117 0.000788962 +56 *476:18 *1763:37 0.000438957 +57 *476:18 *1768:14 0.00152742 +58 *476:18 *2274:30 9.21233e-05 +59 *476:18 *2808:32 0.000138216 +60 *476:18 *2836:8 9.6577e-05 +61 *476:18 *2994:12 0.000238251 +62 *476:18 *3177:148 0.000117322 +63 *476:18 *5856:281 0.000426761 +64 *476:18 *5858:494 2.65667e-05 +65 *476:21 *24057:A0 0.00121893 +66 *476:21 *2704:35 0.00125281 +67 *476:45 *20584:B1 0.000156863 +68 *476:45 *20585:B2 0.00103389 +69 *476:45 *21926:A1 9.47718e-05 +70 *476:45 *21927:B1 0.000415058 +71 *476:45 *24446:CLK 1.72347e-05 +72 *476:45 *24516:CLK 6.50586e-05 +73 *476:45 *1689:47 0.00270813 +74 *476:45 *2517:13 1.89411e-05 +75 *476:45 *2719:22 0.000464852 +76 *476:45 *4921:31 1.66626e-05 +77 *476:45 *5858:494 5.04829e-06 +78 *476:46 *19579:A 0.000190237 +79 *476:46 *19579:B 2.00098e-05 +80 *476:46 *1437:50 0.00018775 +81 *476:46 *1438:6 0.000273832 +82 *476:46 *1438:78 0.000188297 +83 *476:46 *1471:75 0.000459308 +84 *476:46 *1541:23 0.000122098 +85 *476:46 *1640:8 0.000482982 +86 *476:46 *1640:12 8.24441e-06 +87 *476:46 *1641:10 0.000337676 +88 *476:46 *1641:26 8.18934e-05 +89 *476:46 *1689:47 0.00045371 +90 *476:46 *1698:38 5.51812e-05 +91 *476:46 *1711:65 1.30371e-05 +92 *476:54 *21207:A 0.000247443 +93 *476:54 *1461:159 0.00143818 +94 *476:54 *1746:8 2.692e-05 +95 *476:54 *2274:10 0.000220183 +96 *476:54 *4918:16 9.75356e-05 +97 *476:54 *5726:21 9.40378e-05 +98 *476:54 *5726:29 0.000845997 +99 *476:61 *1695:42 0.00164439 +100 *476:61 *2876:7 5.78474e-05 +101 *476:61 *5898:21 7.67129e-05 +102 *476:63 *541:57 0.000406794 +103 *476:63 *1695:42 6.6995e-05 +104 *476:72 *20234:B2 9.85547e-05 +105 *476:72 *23949:A1 0.000177879 +106 *476:72 *501:14 4.61962e-05 +107 *476:72 *506:36 0 +108 *476:72 *507:11 9.12416e-06 +109 *476:72 *528:26 1.09529e-05 +110 *476:72 *2427:11 3.55824e-05 +111 *476:72 *4844:356 5.66868e-06 +112 *476:72 *4845:382 0 +113 *476:72 *4950:39 9.70021e-05 +114 *476:72 *4950:57 0.000183169 +115 *476:73 *20978:A1 7.8874e-05 +116 *476:73 *503:17 0.00375852 +117 *476:73 *507:21 0.00040456 +118 *476:73 *509:11 4.3171e-05 +119 *476:73 *2061:13 0.00049572 +120 *476:81 *20767:B1 0.000166109 +121 *476:81 *20771:B1 3.14978e-05 +122 *476:81 *20871:B1 0.000304791 +123 *476:81 *22114:C 9.75356e-05 +124 *476:81 *2069:5 3.37194e-05 +125 *476:81 *2759:12 0.000101118 +126 *476:81 *6029:23 7.92757e-06 +127 *476:82 *20774:C1 4.10776e-05 +128 *476:82 *20871:B1 3.1218e-05 +129 *476:82 *24697:RESET_B 7.50722e-05 +130 *476:82 *1573:74 0.000727146 +131 *476:82 *1573:76 0.000638613 +132 *476:82 *2064:14 9.75356e-05 +133 *476:82 *2065:16 1.90335e-05 +134 *476:82 *2333:37 0 +135 *476:82 *2384:96 0.000892382 +136 *476:82 *2398:74 0.000396544 +137 *476:82 *4832:100 0.000298734 +138 *476:82 *5857:215 7.38052e-05 +139 *476:82 *6027:19 0 +140 *476:82 *6029:13 8.22534e-05 +141 *476:90 *20317:B2 6.86233e-05 +142 *476:90 *535:42 3.14055e-05 +143 *476:90 *2389:39 0.000922031 +144 *476:90 *2471:36 0.000466534 +145 *476:100 *21926:A1 0 +146 *476:100 *527:46 0.000108474 +147 *476:100 *527:52 0.000139306 +148 *476:100 *529:42 0.000200728 +149 *476:100 *529:50 0.000100364 +150 *476:100 *535:38 0.00138119 +151 *476:100 *539:48 0.000100364 +152 *476:100 *1741:64 0.000116464 +153 *476:100 *1741:70 0.000139306 +154 *476:100 *1917:36 0.000243352 +155 *476:100 *2295:14 0.000148104 +156 *476:100 *2471:36 0.000238645 +157 *476:100 *2882:36 0.00207488 +158 *476:100 *2882:38 0.00011544 +159 *476:100 *2938:42 0.000200728 +160 *476:100 *2938:56 0.000159964 +161 *476:100 *3212:66 0.000139306 +162 *476:100 *5886:14 0.000139306 +163 *476:100 *5886:20 0.000108474 +164 *476:100 *5898:164 0.00015494 +165 *476:100 *5898:168 0.000132356 +166 *476:100 *5910:26 0 +167 *476:108 *24808:D 2.86013e-06 +168 *476:108 *24808:CLK 4.58907e-05 +169 *476:108 *510:55 0.000566493 +170 *476:108 *1709:59 0.00120846 +171 *476:108 *2468:117 0.00056288 +172 *476:108 *5855:318 1.57468e-05 +173 *476:122 *1917:36 0.000558632 +174 *476:122 *2471:36 0.000567258 +175 *476:122 *4808:158 4.31539e-05 +176 *476:123 *1679:20 2.04012e-05 +177 *476:123 *1917:34 5.88924e-05 +178 *476:123 *1917:36 0.00075701 +179 *476:123 *2300:53 3.28525e-05 +180 *476:123 *2423:78 0.000239297 +181 *476:123 *2520:90 0.00221355 +182 *476:123 *2896:58 0.000374801 +183 *476:123 *4808:158 0.000390875 +184 *476:123 *5855:261 4.58071e-05 +185 *476:123 *5908:56 0.00210706 +186 *476:142 *23864:A 0.00014172 +187 *476:142 *24102:A 2.61955e-05 +188 *476:142 *527:44 0.000342509 +189 *476:142 *1439:81 0.000133709 +190 *476:142 *1917:29 0.00050636 +191 *476:142 *2471:56 6.39153e-06 +192 *476:142 *2584:62 0.000116292 +193 *476:142 *2629:37 0.000207143 +194 *476:142 *4810:82 7.98171e-06 +195 *476:142 *5855:244 0.000121828 +196 *476:142 *5855:261 0.000173986 +197 *476:142 *5908:56 9.36438e-06 +198 *476:143 *20994:A2 0.000144664 +199 *476:143 *24270:D 2.99929e-05 +200 *476:143 *500:48 0.00164789 +201 *476:143 *2170:71 6.95928e-05 +202 *476:143 *2170:78 0.000356607 +203 *476:143 *2423:78 0.000641099 +204 *476:143 *5403:10 8.21849e-06 +205 *476:161 *20698:A2 8.2643e-05 +206 *476:161 *24270:D 7.95143e-05 +207 *476:161 *24438:D 1.93606e-05 +208 *476:161 *500:47 0.000244082 +209 *476:161 *500:48 0.000622939 +210 *476:161 *2039:29 7.67842e-05 +211 *476:161 *2039:31 0.000329707 +212 *476:161 *2039:33 0.000688534 +213 *476:161 *2486:84 0.00125679 +214 *476:161 *3257:51 0.000199522 +215 *476:161 *4813:103 0.000320705 +216 *476:161 *4904:15 1.3887e-05 +217 *476:161 *5043:11 4.2372e-05 +218 *476:167 *21437:A 0.000294093 +219 *476:167 *1617:12 0.000889017 +220 *476:167 *2039:14 4.87198e-05 +221 *476:167 *2257:47 1.37531e-05 +222 *476:167 *2486:84 0.00103977 +223 *476:167 *2497:5 5.8334e-05 +224 *476:167 *3263:24 0.00115316 +225 *476:167 *4843:759 0.000935675 +226 *476:172 *1608:107 0.00167537 +227 *476:172 *2319:26 0 +228 *476:172 *2451:42 0.000891254 +229 *476:172 *3051:14 0.000100645 +230 *476:172 *4812:14 0 +231 *476:172 *5853:227 0.000622576 +232 *476:172 *5903:6 0.000258463 +233 *476:174 *20273:B 0 +234 *476:174 *20319:A1 0.000205596 +235 *476:174 *2294:30 0 +236 *476:174 *4812:14 0 +237 *476:174 *5903:6 0.000804658 +238 *476:174 *5993:20 3.60933e-06 +239 mgmt_gpio_out[3] *476:100 0 +240 mgmt_gpio_out[5] *476:100 0 +241 *19630:B *476:46 0.000266473 +242 *19728:B *476:46 0.000126934 +243 *25171:A *476:100 0 +244 *74:10 *476:90 0.00143795 +245 *74:10 *476:100 5.7286e-05 +246 *74:12 *476:90 3.88655e-06 +*RES +1 *20249:Y *476:4 9.24915 +2 *476:4 *476:18 37.5274 +3 *476:18 *476:21 14.6126 +4 *476:21 *23942:S 25.2386 +5 *476:21 *22102:B1 9.24915 +6 *476:4 *476:45 21.0661 +7 *476:45 *476:46 52.2851 +8 *476:46 *476:54 49.8862 +9 *476:54 *476:61 48.2143 +10 *476:61 *476:63 6.54673 +11 *476:63 *476:72 27.1001 +12 *476:72 *476:73 65.612 +13 *476:73 *476:81 31.0297 +14 *476:81 *476:82 49.586 +15 *476:82 *476:90 48.9234 +16 *476:90 *476:100 19.5238 +17 *476:100 *476:108 44.3262 +18 *476:108 *20250:B 18.8703 +19 *476:100 *476:122 20.415 +20 *476:122 *476:123 62.6664 +21 *476:123 *476:142 34.6453 +22 *476:142 *476:143 31.3149 +23 *476:143 *24073:S 31.4 +24 *476:143 *476:161 48.7932 +25 *476:161 *476:167 45.7678 +26 *476:167 *476:172 47.7595 +27 *476:172 *476:174 15.1201 +28 *476:174 *24094:S 15.0513 +29 *476:174 *24093:S 23.0907 +30 *476:63 *23949:S 9.24915 +*END + +*D_NET *477 0.0692238 +*CONN +*I *24093:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *21805:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21416:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21283:B2 I *D sky130_fd_sc_hd__a22oi_1 +*I *24033:S I *D sky130_fd_sc_hd__mux2_1 +*I *24049:S I *D sky130_fd_sc_hd__mux2_1 +*I *24042:S I *D sky130_fd_sc_hd__mux2_1 +*I *24031:S I *D sky130_fd_sc_hd__mux2_1 +*I *24032:S I *D sky130_fd_sc_hd__mux2_1 +*I *24045:S I *D sky130_fd_sc_hd__mux2_1 +*I *24038:S I *D sky130_fd_sc_hd__mux2_1 +*I *24050:S I *D sky130_fd_sc_hd__mux2_1 +*I *21253:B2 I *D sky130_fd_sc_hd__a22oi_1 +*I *21252:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *24093:A0 0.000370961 +2 *21805:A2_N 0.000119584 +3 *21416:A2_N 0.000198867 +4 *21283:B2 0.00163505 +5 *24033:S 2.46273e-05 +6 *24049:S 8.03656e-05 +7 *24042:S 0.000552208 +8 *24031:S 0 +9 *24032:S 0.000107383 +10 *24045:S 0.000302842 +11 *24038:S 0.000332263 +12 *24050:S 9.80159e-05 +13 *21253:B2 0.00074499 +14 *21252:Y 0 +15 *477:180 0.00259567 +16 *477:177 0.00319989 +17 *477:152 0.00356828 +18 *477:145 0.00176098 +19 *477:117 0.00088468 +20 *477:106 0.00101318 +21 *477:90 0.00130454 +22 *477:73 0.00117144 +23 *477:69 0.00118172 +24 *477:53 0.00183948 +25 *477:47 0.00145541 +26 *477:44 0.0022348 +27 *477:43 0.00213056 +28 *477:22 0.00336906 +29 *477:15 0.00381249 +30 *477:4 0.00132374 +31 *21253:B2 *21253:B1 0.000200221 +32 *21253:B2 *480:207 7.8756e-07 +33 *21253:B2 *2485:8 0.000226281 +34 *21253:B2 *2797:11 0.000486475 +35 *21253:B2 *4830:42 0 +36 *21253:B2 *4843:219 0.00049794 +37 *21253:B2 *4845:205 0.000108653 +38 *21253:B2 *4872:60 0.000320782 +39 *21253:B2 *5881:16 0.000259537 +40 *21253:B2 *5893:18 4.80339e-05 +41 *21253:B2 *5921:98 5.20546e-06 +42 *21283:B2 *21283:A1 0.0018352 +43 *21283:B2 *22683:B1 6.44342e-05 +44 *21283:B2 *22683:C1 0.000329103 +45 *21283:B2 *1610:115 0.000389103 +46 *21283:B2 *1679:68 2.37478e-05 +47 *21283:B2 *2342:20 2.02035e-05 +48 *21283:B2 *2375:60 5.76799e-05 +49 *21283:B2 *2416:53 0.000104754 +50 *21283:B2 *3011:55 0.00063922 +51 *21283:B2 *3537:215 5.04515e-05 +52 *21416:A2_N *21416:A1_N 6.46371e-05 +53 *21416:A2_N *1616:105 6.08467e-05 +54 *21416:A2_N *1616:123 3.07095e-05 +55 *21416:A2_N *2306:56 4.32474e-05 +56 *21416:A2_N *2475:23 1.41976e-05 +57 *21416:A2_N *3301:8 7.08288e-05 +58 *21416:A2_N *3316:14 7.31985e-05 +59 *21805:A2_N *5884:45 6.23875e-05 +60 *24032:S *20341:A2 5.04829e-06 +61 *24032:S *24032:A0 6.50586e-05 +62 *24032:S *24032:A1 4.0752e-05 +63 *24032:S *2451:13 2.20702e-05 +64 *24038:S *4841:276 9.14669e-05 +65 *24042:S *24031:A0 0.00025457 +66 *24042:S *24031:A1 0 +67 *24042:S *24042:A0 2.65667e-05 +68 *24042:S *24042:A1 0.000150252 +69 *24042:S *24724:D 0.000215704 +70 *24042:S *5543:11 0.000113968 +71 *24045:S *1555:79 0.000685261 +72 *24045:S *1555:83 1.65872e-05 +73 *24045:S *1924:72 1.36691e-05 +74 *24045:S *5714:38 2.22342e-05 +75 *24049:S *24049:A1 6.69545e-05 +76 *24049:S *5865:556 2.41274e-06 +77 *24050:S *20283:A1 2.15184e-05 +78 *24050:S *20343:B1 5.97576e-05 +79 *24050:S *24678:D 0.000118485 +80 *24050:S *2620:20 0.000122068 +81 *24050:S *5537:9 6.08467e-05 +82 *24093:A0 *24093:A1 0.000107496 +83 *24093:A0 *4839:139 0.000500092 +84 *24093:A0 *4839:148 0.000149628 +85 *24093:A0 *5993:20 7.77309e-06 +86 *477:15 *480:183 5.59402e-05 +87 *477:15 *1443:88 1.5714e-05 +88 *477:15 *2441:63 0.00142725 +89 *477:15 *2809:21 0.000267466 +90 *477:15 *4826:68 9.86432e-05 +91 *477:15 *4839:139 0.000140275 +92 *477:15 *5855:187 2.23666e-05 +93 *477:22 *1658:126 0.000353296 +94 *477:22 *1658:155 0.0023776 +95 *477:22 *2441:63 0.000110846 +96 *477:22 *2809:21 2.24979e-05 +97 *477:22 *4832:34 0.000153227 +98 *477:43 *4872:60 0.000229321 +99 *477:43 *5881:16 0.000214716 +100 *477:44 *24411:SET_B 4.55167e-05 +101 *477:44 *24417:D 0 +102 *477:44 *24706:D 0.000113248 +103 *477:44 *1658:188 0.000307558 +104 *477:44 *1913:14 0.000251352 +105 *477:44 *2051:48 0 +106 *477:44 *2051:79 0 +107 *477:44 *2051:89 4.61454e-05 +108 *477:44 *2433:19 2.86353e-06 +109 *477:44 *2486:24 0.000263045 +110 *477:44 *2486:32 0 +111 *477:44 *4898:6 0 +112 *477:44 *4898:15 0 +113 *477:44 *4898:84 0 +114 *477:44 *4898:99 0 +115 *477:44 *5855:619 7.09558e-05 +116 *477:44 *5924:46 0.000426451 +117 *477:44 *5924:50 3.73011e-05 +118 *477:47 *1924:13 6.47268e-05 +119 *477:47 *1924:44 3.43093e-05 +120 *477:47 *4827:121 0.0012774 +121 *477:47 *4836:46 0.000776327 +122 *477:53 *20343:A2 0.000272161 +123 *477:53 *1907:15 1.03403e-05 +124 *477:53 *1907:27 7.92757e-06 +125 *477:53 *1924:44 2.30039e-05 +126 *477:53 *1925:8 2.52287e-06 +127 *477:69 *20282:A1 6.61356e-05 +128 *477:69 *20343:B1 0 +129 *477:69 *24678:D 3.31882e-05 +130 *477:69 *24679:D 0.000153143 +131 *477:69 *1924:63 0 +132 *477:69 *2297:26 0 +133 *477:69 *2620:20 0.000295234 +134 *477:69 *4900:107 9.01124e-06 +135 *477:73 *24049:A1 0.000197436 +136 *477:73 *1907:56 0.000114035 +137 *477:73 *4900:107 0 +138 *477:90 *20281:B1 6.73747e-05 +139 *477:90 *24721:CLK 2.77792e-05 +140 *477:90 *1907:56 0.000109512 +141 *477:90 *1907:66 0.000317536 +142 *477:90 *4900:12 0.000123445 +143 *477:90 *4900:29 5.75075e-05 +144 *477:90 *4900:107 0 +145 *477:106 *1907:66 9.22013e-06 +146 *477:106 *1907:75 0 +147 *477:106 *2451:13 0.000126866 +148 *477:106 *4900:29 0.000237068 +149 *477:106 *4900:38 0.000194592 +150 *477:106 *4900:59 0.000161966 +151 *477:117 *20278:B2 7.73094e-05 +152 *477:117 *24032:A1 2.36813e-05 +153 *477:117 *1908:76 0.000414278 +154 *477:117 *5903:6 0 +155 *477:145 *21805:B2 6.81441e-05 +156 *477:145 *1592:189 3.04443e-05 +157 *477:145 *1658:126 0.000899652 +158 *477:145 *2149:32 0.000155012 +159 *477:145 *2465:10 2.41133e-05 +160 *477:145 *3010:8 2.03363e-06 +161 *477:145 *4843:298 0.000881061 +162 *477:152 *1591:7 0.000253916 +163 *477:152 *1592:189 0.000134202 +164 *477:152 *2465:10 0.000417042 +165 *477:152 *2486:70 0.000372055 +166 *477:152 *4843:298 0.000820249 +167 *477:152 *4906:52 0.000119538 +168 *477:152 *5859:151 0.000377684 +169 *477:152 *5862:463 5.71133e-05 +170 *477:152 *5862:612 4.60888e-05 +171 *477:177 *20945:A2 7.29095e-06 +172 *477:177 *20945:B2 3.3238e-05 +173 *477:177 *21292:A 5.04194e-06 +174 *477:177 *1608:98 0.000158526 +175 *477:177 *1652:65 0.000190251 +176 *477:177 *1663:21 3.77678e-05 +177 *477:177 *1688:75 7.25692e-05 +178 *477:177 *2155:13 1.5714e-05 +179 *477:177 *2305:49 0.000207905 +180 *477:177 *2452:18 0.000704068 +181 *477:177 *2454:11 0.000722467 +182 *477:177 *2462:8 0.000211518 +183 *477:177 *2900:111 0 +184 *477:177 *3192:92 4.97617e-05 +185 *477:177 *3306:32 1.9101e-05 +186 *477:177 *4872:78 0 +187 *477:177 *5859:167 0 +188 *477:177 *5910:41 0.000578294 +189 *477:180 *22683:C1 0.000536514 +190 *477:180 *2475:18 0.000655906 +191 *477:180 *2900:111 3.73639e-05 +192 *477:180 *3011:55 0.00136813 +193 *24093:S *24093:A0 0.00017419 +194 *144:8 *477:117 0 +*RES +1 *21252:Y *477:4 9.24915 +2 *477:4 *477:15 18.2105 +3 *477:15 *477:22 7.59795 +4 *477:22 *21253:B2 40.122 +5 *477:22 *477:43 4.29831 +6 *477:43 *477:44 48.1326 +7 *477:44 *477:47 21.8615 +8 *477:47 *477:53 20.2218 +9 *477:53 *24050:S 17.829 +10 *477:53 *477:69 23.4306 +11 *477:69 *477:73 16.4752 +12 *477:73 *24038:S 21.1278 +13 *477:73 *477:90 14.9979 +14 *477:90 *24045:S 31.6839 +15 *477:90 *477:106 21.3217 +16 *477:106 *24032:S 12.191 +17 *477:106 *477:117 19.5523 +18 *477:117 *24031:S 9.24915 +19 *477:117 *24042:S 24.9951 +20 *477:69 *24049:S 11.6364 +21 *477:47 *24033:S 9.82786 +22 *477:15 *477:145 24.0948 +23 *477:145 *477:152 43.2567 +24 *477:152 *477:177 48.5978 +25 *477:177 *477:180 5.71338 +26 *477:180 *21283:B2 21.7966 +27 *477:180 *21416:A2_N 22.8536 +28 *477:145 *21805:A2_N 15.5817 +29 *477:4 *24093:A0 28.8984 +*END + +*D_NET *478 0.0477543 +*CONN +*I *24093:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24094:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21998:B2 I *D sky130_fd_sc_hd__a22oi_1 +*I *24073:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21440:B2 I *D sky130_fd_sc_hd__a22oi_4 +*I *21563:A2 I *D sky130_fd_sc_hd__a22oi_4 +*I *21439:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *24093:A1 0.000134177 +2 *24094:A1 0 +3 *21998:B2 0.00143299 +4 *24073:A1 3.30472e-05 +5 *21440:B2 0 +6 *21563:A2 0.000157712 +7 *21439:Y 0 +8 *478:64 0.00148212 +9 *478:54 0.00544313 +10 *478:53 0.00272731 +11 *478:50 0.000296451 +12 *478:46 0.00169217 +13 *478:44 0.00201928 +14 *478:23 0.00184259 +15 *478:12 0.00279287 +16 *478:8 0.00136646 +17 *478:4 0.000849949 +18 *21563:A2 *21563:B2 6.50727e-05 +19 *21563:A2 *2499:8 0 +20 *21563:A2 *4830:28 2.32676e-05 +21 *21563:A2 *4831:77 0 +22 *21563:A2 *4839:339 0.000169093 +23 *21563:A2 *5897:12 0 +24 *21998:B2 *20940:A1 9.54357e-06 +25 *21998:B2 *20940:A2 0.000410225 +26 *21998:B2 *20940:B1 0.000110306 +27 *21998:B2 *20940:B2 3.82228e-05 +28 *21998:B2 *21998:A1 0.000190232 +29 *21998:B2 *21998:B1 3.82228e-05 +30 *21998:B2 *24312:D 2.77625e-06 +31 *21998:B2 *24967:A 3.16131e-05 +32 *21998:B2 *2297:71 0.000107496 +33 *21998:B2 *2319:42 0.000276821 +34 *21998:B2 *3192:91 2.77625e-06 +35 *21998:B2 *4906:5 2.90768e-05 +36 *21998:B2 *4906:10 1.79679e-05 +37 *24093:A1 *20334:C1 6.08467e-05 +38 *478:8 *1924:8 6.10164e-05 +39 *478:8 *2263:22 8.62625e-06 +40 *478:8 *4827:118 0.000588203 +41 *478:8 *4835:28 0.000148226 +42 *478:12 *20336:B1 0.000310064 +43 *478:12 *20339:B1 6.31665e-05 +44 *478:12 *20339:B2 0.000203826 +45 *478:12 *24682:CLK 9.40261e-05 +46 *478:12 *24705:RESET_B 0.000372943 +47 *478:12 *24961:A 0.000153225 +48 *478:12 *1924:8 0.000143277 +49 *478:12 *1924:16 7.58217e-06 +50 *478:12 *1925:40 0.000143867 +51 *478:12 *2367:16 0 +52 *478:12 *4827:118 8.97014e-05 +53 *478:12 *4835:18 0.00026758 +54 *478:12 *5867:823 0.00063262 +55 *478:23 *20285:A 6.50727e-05 +56 *478:23 *21563:B2 6.46887e-05 +57 *478:23 *1555:83 0.00176758 +58 *478:23 *5907:21 2.52287e-06 +59 *478:23 *5907:31 0.000486268 +60 *478:44 *24734:D 0.000130777 +61 *478:44 *1906:6 0.000121659 +62 *478:44 *2503:9 0.000139764 +63 *478:44 *4827:118 0 +64 *478:44 *5865:489 8.4092e-05 +65 *478:44 *5903:6 0.000273732 +66 *478:46 *20319:A2 4.9348e-05 +67 *478:46 *24692:CLK 0.000607716 +68 *478:46 *1658:132 2.02035e-05 +69 *478:46 *1906:6 0.000650057 +70 *478:46 *5884:32 0.000158839 +71 *478:46 *5903:6 0.00346141 +72 *478:50 *20319:A2 0.000375388 +73 *478:50 *5903:6 0.000371775 +74 *478:53 *19952:A 0.000393863 +75 *478:53 *480:59 0.000627109 +76 *478:53 *480:73 6.50727e-05 +77 *478:53 *1439:416 6.7671e-06 +78 *478:53 *2473:37 0.000200794 +79 *478:54 *24294:SET_B 0.000339897 +80 *478:54 *480:56 0.000332991 +81 *478:54 *480:183 3.31882e-05 +82 *478:54 *2161:88 0.000237933 +83 *478:54 *2161:99 0.00018911 +84 *478:54 *2245:23 0.000140457 +85 *478:54 *2433:20 0.00050218 +86 *478:54 *2545:48 4.15236e-05 +87 *478:54 *2610:42 0.000233203 +88 *478:54 *2610:46 0.00026522 +89 *478:54 *2610:48 0.00106243 +90 *478:54 *2729:50 0.000324041 +91 *478:54 *3257:36 0.000419289 +92 *478:54 *4826:68 4.89349e-05 +93 *478:54 *4845:250 1.34685e-05 +94 *478:54 *4845:252 0.0020724 +95 *478:54 *5419:9 9.85369e-05 +96 *478:54 *5855:166 1.9101e-05 +97 *478:54 *5855:187 0.000446448 +98 *478:54 *5857:89 0.000119029 +99 *478:54 *5857:93 6.5092e-05 +100 *478:54 *5857:97 5.67796e-06 +101 *478:54 *5862:500 0.000126981 +102 *478:64 *24463:D 2.99929e-05 +103 *478:64 *482:66 6.78289e-05 +104 *478:64 *2468:63 8.00143e-05 +105 *478:64 *2520:68 0.000723792 +106 *478:64 *2610:48 0.000260232 +107 *478:64 *4818:22 0.00083805 +108 *478:64 *4845:252 0.00026383 +109 *478:64 *5853:243 0.000465547 +110 *478:64 *5853:251 0.00043514 +111 *24093:A0 *24093:A1 0.000107496 +112 *24093:S *24093:A1 7.89747e-05 +*RES +1 *21439:Y *478:4 9.24915 +2 *478:4 *478:8 15.5775 +3 *478:8 *478:12 45.5733 +4 *478:12 *478:23 37.8577 +5 *478:23 *21563:A2 22.8808 +6 *478:23 *21440:B2 9.24915 +7 *478:4 *478:44 21.9318 +8 *478:44 *478:46 61.8848 +9 *478:46 *478:50 11.315 +10 *478:50 *478:53 12.4332 +11 *478:53 *478:54 89.8655 +12 *478:54 *478:64 48.7395 +13 *478:64 *24073:A1 14.4725 +14 *478:54 *21998:B2 44.5417 +15 *478:50 *24094:A1 9.24915 +16 *478:46 *24093:A1 17.2456 +*END + +*D_NET *479 0.000741075 +*CONN +*I *20334:C1 I *D sky130_fd_sc_hd__a211o_4 +*I *24093:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20334:C1 0.000308731 +2 *24093:X 0.000308731 +3 *20334:C1 *20334:A1 3.61993e-05 +4 *20334:C1 *20334:B1 2.65667e-05 +5 *24093:A1 *20334:C1 6.08467e-05 +*RES +1 *24093:X *20334:C1 23.538 +*END + +*D_NET *480 0.0726915 +*CONN +*I *21253:A2 I *D sky130_fd_sc_hd__a22oi_1 +*I *21308:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *24014:S I *D sky130_fd_sc_hd__mux2_1 +*I *24046:S I *D sky130_fd_sc_hd__mux2_1 +*I *24043:S I *D sky130_fd_sc_hd__mux2_1 +*I *24040:S I *D sky130_fd_sc_hd__mux2_1 +*I *23965:S I *D sky130_fd_sc_hd__mux2_1 +*I *24020:S I *D sky130_fd_sc_hd__mux2_1 +*I *24039:S I *D sky130_fd_sc_hd__mux2_1 +*I *24041:S I *D sky130_fd_sc_hd__mux2_1 +*I *24094:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6079:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21868:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21251:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *21253:A2 0 +2 *21308:A2_N 0.00134136 +3 *24014:S 9.46656e-05 +4 *24046:S 0.000592183 +5 *24043:S 2.06324e-05 +6 *24040:S 3.72092e-05 +7 *23965:S 0.000603366 +8 *24020:S 0 +9 *24039:S 0.000221298 +10 *24041:S 0.000458918 +11 *24094:A0 0 +12 *6079:DIODE 0.000488128 +13 *21868:A2_N 0 +14 *21251:Y 0.000183048 +15 *480:207 0.00109527 +16 *480:196 0.0017992 +17 *480:189 0.00169233 +18 *480:183 0.00156663 +19 *480:137 0.00101701 +20 *480:134 0.00104615 +21 *480:123 0.00087017 +22 *480:110 0.00125084 +23 *480:103 0.000421847 +24 *480:93 0.000702083 +25 *480:73 0.00158801 +26 *480:59 0.00120275 +27 *480:56 0.00210842 +28 *480:27 0.00346484 +29 *480:26 0.005249 +30 *480:20 0.00283152 +31 *480:14 0.00223762 +32 *480:12 0.00212866 +33 *480:5 0.000819929 +34 *6079:DIODE *21868:B2 9.85109e-05 +35 *6079:DIODE *22622:B1 2.1203e-06 +36 *6079:DIODE *1645:118 8.81235e-06 +37 *6079:DIODE *2093:153 0.000442653 +38 *6079:DIODE *2344:15 8.29595e-05 +39 *6079:DIODE *2508:23 4.0605e-06 +40 *6079:DIODE *2827:30 0.000118001 +41 *6079:DIODE *2869:51 0.000122265 +42 *6079:DIODE *3120:143 5.04829e-06 +43 *6079:DIODE *3243:41 9.66546e-05 +44 *6079:DIODE *3569:13 2.08842e-05 +45 *21308:A2_N *2770:13 0.00194915 +46 *23965:S *24689:CLK 3.82228e-05 +47 *24014:S *1629:12 8.99952e-05 +48 *24014:S *4817:10 0.000141016 +49 *24039:S *24039:A0 0.000110306 +50 *24039:S *24039:A1 3.73237e-05 +51 *24039:S *598:8 0.000410696 +52 *24039:S *1709:27 0.000153225 +53 *24039:S *2610:29 0.000217587 +54 *24039:S *4819:117 0 +55 *24040:S *4816:29 8.15516e-05 +56 *24041:S *20269:B1 6.61056e-05 +57 *24041:S *20325:B2 1.65872e-05 +58 *24041:S *24041:A0 0.000574384 +59 *24041:S *24041:A1 1.41976e-05 +60 *24041:S *2570:40 0.000971099 +61 *24041:S *4815:28 0 +62 *24041:S *4815:30 0 +63 *24041:S *5855:202 4.09467e-05 +64 *24046:S *19566:A0 5.39608e-05 +65 *24046:S *20321:A1 5.22654e-06 +66 *24046:S *20322:B1 0.000107496 +67 *24046:S *24043:A1 8.78837e-05 +68 *24046:S *2813:26 3.12316e-05 +69 *24046:S *4827:115 6.50586e-05 +70 *24046:S *5865:640 6.02078e-06 +71 *480:5 *1614:133 0.000391697 +72 *480:12 *1614:133 0.000160617 +73 *480:12 *2245:17 0.000659908 +74 *480:12 *4826:68 0.000703923 +75 *480:12 *5861:330 9.37736e-06 +76 *480:14 *24465:D 9.68902e-05 +77 *480:14 *2027:8 1.27831e-06 +78 *480:14 *2161:20 0.000275478 +79 *480:14 *2161:24 0.000705799 +80 *480:14 *2391:89 6.64671e-06 +81 *480:14 *2421:78 1.88183e-05 +82 *480:14 *4826:68 3.58315e-06 +83 *480:14 *4826:74 0.00298888 +84 *480:14 *4845:252 0 +85 *480:14 *5411:10 0.0001886 +86 *480:14 *5857:93 1.93757e-05 +87 *480:14 *5857:97 0.000441218 +88 *480:14 *5857:105 3.85679e-05 +89 *480:14 *5861:330 6.34651e-06 +90 *480:20 *20660:A1 0.000298311 +91 *480:20 *24464:D 2.39535e-05 +92 *480:20 *4826:74 0 +93 *480:20 *4826:84 3.57645e-05 +94 *480:20 *4845:252 0.000786082 +95 *480:20 *5861:363 1.70077e-05 +96 *480:26 *22396:A1 0.000302686 +97 *480:26 *1610:74 0.000111708 +98 *480:26 *2245:27 0.000680313 +99 *480:26 *2305:49 0.000345048 +100 *480:26 *2480:18 5.88009e-05 +101 *480:26 *2498:38 6.22259e-05 +102 *480:26 *5859:172 0.000446846 +103 *480:26 *5859:181 9.32983e-05 +104 *480:27 *2298:36 0.000154145 +105 *480:27 *2888:28 0.00167921 +106 *480:27 *5863:27 6.3657e-05 +107 *480:27 *5863:29 0.000760464 +108 *480:56 *4826:68 0.000128203 +109 *480:59 *2473:37 1.65872e-05 +110 *480:73 *6221:DIODE 0.000298318 +111 *480:73 *19568:S 0.000160557 +112 *480:73 *19952:A 7.60482e-05 +113 *480:73 *24107:A 0.00016303 +114 *480:73 *5555:7 2.62183e-05 +115 *480:73 *5592:112 0.000258314 +116 *480:93 *5592:112 0.00010757 +117 *480:103 *598:8 8.98943e-05 +118 *480:103 *2610:29 0.000131876 +119 *480:103 *5864:759 2.39535e-05 +120 *480:110 *24689:RESET_B 0.000107502 +121 *480:110 *24728:RESET_B 0.000124892 +122 *480:110 *1920:40 0.000153775 +123 *480:110 *2610:29 0.0010191 +124 *480:110 *5864:759 7.44124e-05 +125 *480:123 *24689:RESET_B 0.000173003 +126 *480:123 *1920:34 0.000223429 +127 *480:123 *2610:29 0.000459993 +128 *480:123 *4819:121 5.22555e-05 +129 *480:123 *5531:10 5.22654e-06 +130 *480:134 *24106:A 0.00056755 +131 *480:134 *1629:12 0.00125614 +132 *480:134 *1920:20 0.000113632 +133 *480:134 *1920:34 0.000148212 +134 *480:134 *2451:36 0.000219204 +135 *480:134 *4817:10 2.95757e-05 +136 *480:134 *4819:121 0.000179462 +137 *480:134 *5531:10 5.79399e-05 +138 *480:137 *24109:TE_B 0.000207266 +139 *480:137 *4817:23 6.08467e-05 +140 *480:183 *19598:B 0 +141 *480:183 *20923:A2 0.000163428 +142 *480:183 *20923:B2 6.04161e-05 +143 *480:183 *21238:A 3.96865e-05 +144 *480:183 *1439:421 0.000347214 +145 *480:183 *2149:23 4.82966e-05 +146 *480:183 *2149:27 0.000947841 +147 *480:183 *2321:26 4.51706e-05 +148 *480:183 *2473:37 0.000133651 +149 *480:183 *3010:7 6.3657e-05 +150 *480:183 *4826:68 1.05862e-05 +151 *480:183 *4839:123 8.20285e-05 +152 *480:183 *4839:139 0.000285594 +153 *480:183 *5855:187 2.37599e-05 +154 *480:183 *5857:88 0.00011935 +155 *480:189 *2081:6 0.000202502 +156 *480:189 *2263:43 0.000202502 +157 *480:189 *4845:220 9.55447e-05 +158 *480:196 *2258:22 5.15415e-05 +159 *480:196 *2487:20 6.6577e-05 +160 *480:196 *2770:13 0.000540283 +161 *480:196 *3022:12 0.00106411 +162 *480:196 *4831:8 4.79289e-05 +163 *480:196 *4844:151 3.07561e-05 +164 *480:196 *4845:220 0.00103688 +165 *480:196 *5880:31 0.000258142 +166 *480:207 *21253:B1 5.22654e-06 +167 *480:207 *21254:C1 1.67329e-05 +168 *480:207 *24327:D 0.000102525 +169 *480:207 *1595:16 0.0011172 +170 *480:207 *2081:6 0.000129296 +171 *480:207 *2263:43 0.00015324 +172 *480:207 *2363:20 7.0549e-05 +173 *480:207 *2485:8 0 +174 *480:207 *2498:12 0.000259595 +175 *480:207 *2530:34 0.000494479 +176 *480:207 *2797:30 0.000142332 +177 *480:207 *4836:21 0.000136834 +178 *480:207 *4839:119 0.000150556 +179 *480:207 *5356:9 5.54078e-05 +180 *480:207 *5881:16 2.02035e-05 +181 *21253:B2 *480:207 7.8756e-07 +182 *477:15 *480:183 5.59402e-05 +183 *478:53 *480:59 0.000627109 +184 *478:53 *480:73 6.50727e-05 +185 *478:54 *480:56 0.000332991 +186 *478:54 *480:183 3.31882e-05 +*RES +1 *21251:Y *480:5 13.8548 +2 *480:5 *480:12 20.9397 +3 *480:12 *480:14 58.5139 +4 *480:14 *480:20 21.2689 +5 *480:20 *480:26 45.5256 +6 *480:26 *480:27 46.1346 +7 *480:27 *21868:A2_N 9.24915 +8 *480:27 *6079:DIODE 40.8838 +9 *480:5 *480:56 10.8998 +10 *480:56 *480:59 12.4332 +11 *480:59 *24094:A0 9.24915 +12 *480:59 *480:73 18.1572 +13 *480:73 *24041:S 37.1628 +14 *480:73 *480:93 13.1808 +15 *480:93 *24039:S 23.3666 +16 *480:93 *480:103 2.6625 +17 *480:103 *24020:S 13.7491 +18 *480:103 *480:110 18.0268 +19 *480:110 *23965:S 23.3944 +20 *480:110 *480:123 12.2133 +21 *480:123 *24040:S 15.0513 +22 *480:123 *480:134 27.5776 +23 *480:134 *480:137 12.9878 +24 *480:137 *24043:S 9.82786 +25 *480:137 *24046:S 28.4537 +26 *480:134 *24014:S 17.135 +27 *480:56 *480:183 48.8058 +28 *480:183 *480:189 14.1863 +29 *480:189 *480:196 30.136 +30 *480:196 *21308:A2_N 31.6021 +31 *480:189 *480:207 49.2341 +32 *480:207 *21253:A2 9.24915 +*END + +*D_NET *481 0.000965493 +*CONN +*I *20319:C1 I *D sky130_fd_sc_hd__a211o_4 +*I *24094:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20319:C1 0.000187854 +2 *24094:X 0.000187854 +3 *20319:C1 *20319:B1 0.000107496 +4 *20319:C1 *1439:413 0.00019306 +5 *20319:C1 *1631:126 7.40363e-05 +6 *20319:C1 *1709:13 1.19856e-05 +7 *20319:C1 *1919:7 0.000200794 +8 *20319:C1 *2287:43 2.41274e-06 +*RES +1 *24094:X *20319:C1 33.7938 +*END + +*D_NET *482 0.0831727 +*CONN +*I *24037:S I *D sky130_fd_sc_hd__mux2_1 +*I *24048:S I *D sky130_fd_sc_hd__mux2_1 +*I *24034:S I *D sky130_fd_sc_hd__mux2_1 +*I *24018:S I *D sky130_fd_sc_hd__mux2_1 +*I *24044:S I *D sky130_fd_sc_hd__mux2_1 +*I *24036:S I *D sky130_fd_sc_hd__mux2_1 +*I *24047:S I *D sky130_fd_sc_hd__mux2_1 +*I *24019:S I *D sky130_fd_sc_hd__mux2_1 +*I *21998:A2 I *D sky130_fd_sc_hd__a22oi_1 +*I *21283:A2 I *D sky130_fd_sc_hd__a22oi_1 +*I *24073:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *21657:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21282:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *24037:S 0 +2 *24048:S 0.000259298 +3 *24034:S 0 +4 *24018:S 0 +5 *24044:S 0 +6 *24036:S 0.0010936 +7 *24047:S 5.64787e-05 +8 *24019:S 0.000393807 +9 *21998:A2 0.000156636 +10 *21283:A2 0 +11 *24073:A0 0 +12 *21657:A2_N 0 +13 *21282:Y 0.000422677 +14 *482:151 0.00165784 +15 *482:136 0.00132433 +16 *482:133 0.00106146 +17 *482:129 0.00058592 +18 *482:127 0.000770164 +19 *482:122 0.000786629 +20 *482:104 0.00173528 +21 *482:86 0.00102168 +22 *482:79 0.00155132 +23 *482:72 0.00215415 +24 *482:66 0.00307663 +25 *482:46 0.00262911 +26 *482:45 0.00115055 +27 *482:40 0.0025018 +28 *482:39 0.00237161 +29 *482:34 0.00213228 +30 *482:28 0.00264487 +31 *482:20 0.00327257 +32 *482:15 0.00351173 +33 *482:9 0.00201207 +34 *21998:A2 *21998:A1 0.000179156 +35 *21998:A2 *3192:91 1.16623e-05 +36 *21998:A2 *3359:14 0.000161461 +37 *24019:S *20311:B1 0.000368357 +38 *24019:S *24099:A 3.21568e-05 +39 *24019:S *24702:D 1.94615e-05 +40 *24019:S *4805:92 0.000308621 +41 *24019:S *4866:94 7.78924e-05 +42 *24019:S *4866:102 2.60631e-05 +43 *24019:S *5864:332 6.27718e-05 +44 *24036:S *20259:A1 6.50727e-05 +45 *24036:S *20316:B1 6.50586e-05 +46 *24036:S *24036:A0 0.000262934 +47 *24036:S *24044:A0 5.04734e-05 +48 *24036:S *2333:38 0.000122098 +49 *24036:S *2389:33 0.000823681 +50 *24047:S *24047:A0 2.16355e-05 +51 *24048:S *20312:A1 5.98355e-05 +52 *24048:S *20312:B1 0.000260235 +53 *24048:S *20312:B2 0.000178857 +54 *24048:S *2423:57 0.00110283 +55 *482:9 *20251:A 0.000150632 +56 *482:9 *1573:91 4.66492e-05 +57 *482:9 *1573:95 0.000353672 +58 *482:9 *2397:76 0.000953668 +59 *482:15 *24809:RESET_B 1.11058e-05 +60 *482:15 *2397:72 0.00134885 +61 *482:15 *5526:21 0.00134861 +62 *482:20 *24809:RESET_B 1.83795e-06 +63 *482:20 *1418:8 0.000291786 +64 *482:20 *3122:11 0.000445281 +65 *482:20 *4823:124 6.5389e-05 +66 *482:28 *22152:A 0.000200794 +67 *482:28 *22184:A 5.73392e-05 +68 *482:28 *22189:A 0.000444738 +69 *482:28 *1884:19 0.000108071 +70 *482:28 *2117:13 1.79941e-05 +71 *482:28 *3052:28 0.00140584 +72 *482:28 *3104:37 0.00018195 +73 *482:28 *3148:10 4.75654e-05 +74 *482:28 *3491:8 0.000233911 +75 *482:34 *21058:A 0.000203582 +76 *482:34 *1649:80 0.000154593 +77 *482:34 *2408:10 5.04925e-05 +78 *482:34 *2421:138 3.73224e-05 +79 *482:34 *3104:37 3.54295e-05 +80 *482:34 *3148:20 0.00215015 +81 *482:40 *6222:DIODE 0.000131716 +82 *482:40 *19818:A 0.000136376 +83 *482:40 *20136:B1 0.000294928 +84 *482:40 *20140:A1 5.61695e-05 +85 *482:40 *20899:B2 0.000195856 +86 *482:40 *24805:D 0.000122083 +87 *482:40 *24809:RESET_B 0.000131163 +88 *482:40 *2141:14 0.00017936 +89 *482:40 *2141:49 0.000652975 +90 *482:40 *2141:81 0.000172937 +91 *482:40 *2333:38 0 +92 *482:40 *2468:111 0.00027906 +93 *482:40 *2468:115 1.72221e-05 +94 *482:40 *2468:117 0.000208649 +95 *482:40 *4805:91 0.000266452 +96 *482:40 *4825:102 0.000180044 +97 *482:40 *4825:112 0.000438628 +98 *482:40 *4978:11 0.000116986 +99 *482:40 *5526:32 0.000208443 +100 *482:40 *5593:65 0.00111113 +101 *482:40 *5855:298 0.000911473 +102 *482:40 *5861:458 0.000207387 +103 *482:40 *5861:476 8.1496e-05 +104 *482:45 *21585:A 0.000300565 +105 *482:45 *1450:164 0.000538117 +106 *482:45 *2642:14 8.93134e-05 +107 *482:46 *20309:C1 0.000122083 +108 *482:46 *502:24 0.000698497 +109 *482:46 *1742:76 2.57186e-05 +110 *482:46 *1742:80 2.52335e-05 +111 *482:46 *2389:96 0.000620683 +112 *482:46 *2520:68 0 +113 *482:46 *2520:72 0.00139917 +114 *482:46 *4844:231 0.000450019 +115 *482:46 *4844:235 0.000336469 +116 *482:66 *20657:A1 0.000131376 +117 *482:66 *20657:A2 0.000159874 +118 *482:66 *20660:B2 0.000512006 +119 *482:66 *20661:A1 0.000195669 +120 *482:66 *21515:A 0.000123118 +121 *482:66 *24464:D 0.000200794 +122 *482:66 *24467:D 9.32891e-05 +123 *482:66 *1742:80 3.58581e-05 +124 *482:66 *2027:37 8.69881e-05 +125 *482:66 *2028:8 2.22198e-05 +126 *482:66 *2028:16 5.6619e-05 +127 *482:66 *2028:43 0.000230327 +128 *482:66 *2028:54 5.30145e-05 +129 *482:66 *2389:96 0.000191435 +130 *482:66 *2520:68 0 +131 *482:66 *5853:243 0.000220332 +132 *482:66 *5853:251 5.62194e-05 +133 *482:72 *20660:B2 1.65872e-05 +134 *482:72 *20940:B2 0.000438346 +135 *482:72 *2156:67 0.000158451 +136 *482:72 *2245:27 0.000296064 +137 *482:72 *5859:172 8.77154e-06 +138 *482:79 *22723:A2 0.000140487 +139 *482:79 *1679:64 0.000177298 +140 *482:79 *2117:77 8.03699e-06 +141 *482:79 *2245:27 0.00140632 +142 *482:79 *3120:109 0.000258838 +143 *482:79 *3620:14 0.000115588 +144 *482:86 *22676:A2 0.00110433 +145 *482:86 *1616:102 0.0018084 +146 *482:86 *2331:29 0.000353048 +147 *482:86 *2336:21 0.000138911 +148 *482:86 *2364:8 5.60076e-05 +149 *482:86 *3111:149 0.00023131 +150 *482:86 *3111:155 0.000964406 +151 *482:86 *3337:14 4.7918e-05 +152 *482:86 *3513:199 0.000135891 +153 *482:104 *24099:A 0.000169122 +154 *482:104 *2300:44 5.94583e-05 +155 *482:122 *20311:A2 6.89449e-05 +156 *482:122 *24037:A0 6.08467e-05 +157 *482:122 *24099:A 0.000188843 +158 *482:122 *1918:64 0.000399924 +159 *482:122 *5525:11 3.31745e-05 +160 *482:122 *5855:319 0.000465324 +161 *482:127 *20256:A1 0.000291934 +162 *482:127 *24037:A0 0.000304466 +163 *482:127 *1917:64 0.000683796 +164 *482:127 *1917:68 8.16827e-05 +165 *482:127 *4805:96 6.59852e-05 +166 *482:129 *24699:D 0.000101133 +167 *482:129 *1573:84 0 +168 *482:129 *1917:68 0.000111203 +169 *482:129 *4805:96 0.000539975 +170 *482:129 *5864:344 4.33979e-05 +171 *482:133 *20257:A1 0.000121434 +172 *482:133 *22822:A 0.000169078 +173 *482:133 *24739:RESET_B 0.000132557 +174 *482:133 *2301:17 2.04806e-05 +175 *482:133 *4805:96 0.000763156 +176 *482:133 *5855:329 0.000169078 +177 *482:133 *5855:334 1.07248e-05 +178 *482:133 *5864:344 4.3663e-05 +179 *482:133 *5864:352 0.000102729 +180 *482:136 *20315:B1 0.000154145 +181 *482:136 *24018:A0 4.31703e-05 +182 *482:136 *24047:A0 0.000134849 +183 *482:136 *3017:15 0.00010239 +184 *482:151 *24044:A0 2.04806e-05 +185 *482:151 *1902:25 0.000381392 +186 *482:151 *1902:36 0.000148144 +187 *482:151 *2333:38 0.000493783 +188 *482:151 *5503:9 0.000162529 +189 *482:151 *5956:32 0.000104929 +190 *19569:A *482:20 0.000274383 +191 *478:64 *482:66 6.78289e-05 +*RES +1 *21282:Y *482:9 23.1866 +2 *482:9 *482:15 37.1673 +3 *482:15 *482:20 47.3909 +4 *482:20 *482:28 34.4911 +5 *482:28 *482:34 44.8288 +6 *482:34 *21657:A2_N 9.24915 +7 *482:15 *482:39 4.5 +8 *482:39 *482:40 83.6367 +9 *482:40 *482:45 15.2694 +10 *482:45 *482:46 39.6199 +11 *482:46 *24073:A0 13.7491 +12 *482:46 *482:66 45.7051 +13 *482:66 *482:72 22.9558 +14 *482:72 *482:79 27.9148 +15 *482:79 *482:86 46.1601 +16 *482:86 *21283:A2 9.24915 +17 *482:72 *21998:A2 23.1535 +18 *482:9 *482:104 11.8155 +19 *482:104 *24019:S 31.1971 +20 *482:104 *482:122 23.499 +21 *482:122 *482:127 18.6919 +22 *482:127 *482:129 9.72179 +23 *482:129 *482:133 21.6963 +24 *482:133 *482:136 15.7218 +25 *482:136 *24047:S 10.5513 +26 *482:136 *482:151 25.0916 +27 *482:151 *24036:S 35.7933 +28 *482:151 *24044:S 13.7491 +29 *482:133 *24018:S 9.24915 +30 *482:129 *24034:S 13.7491 +31 *482:127 *24048:S 26.1192 +32 *482:122 *24037:S 9.24915 +*END + +*D_NET *483 0.000628935 +*CONN +*I *20309:C1 I *D sky130_fd_sc_hd__a211o_4 +*I *24073:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20309:C1 0.000192385 +2 *24073:X 0.000192385 +3 *20309:C1 *2389:96 0.000122083 +4 *482:46 *20309:C1 0.000122083 +*RES +1 *24073:X *20309:C1 31.5781 +*END + +*D_NET *484 0.0127622 +*CONN +*I *20128:A I *D sky130_fd_sc_hd__or2_1 +*I *21111:B1 I *D sky130_fd_sc_hd__o21ai_4 +*I *22121:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *20107:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *20122:D I *D sky130_fd_sc_hd__or4_1 +*I *21139:B I *D sky130_fd_sc_hd__or4_1 +*I *20077:A2 I *D sky130_fd_sc_hd__o31a_1 +*I *24074:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20076:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *20128:A 0.000219206 +2 *21111:B1 0.000477297 +3 *22121:B1 2.50285e-05 +4 *20107:B1 0.000183978 +5 *20122:D 0.000406908 +6 *21139:B 0.000177129 +7 *20077:A2 0 +8 *24074:A1 8.38231e-05 +9 *20076:Y 5.42586e-05 +10 *484:81 0.000839331 +11 *484:73 0.000566556 +12 *484:33 0.000595839 +13 *484:26 0.00167026 +14 *484:20 0.00116741 +15 *484:10 0.000365628 +16 *484:7 0.000207617 +17 *20107:B1 *20107:A3 6.9865e-05 +18 *20107:B1 *21109:B 3.19904e-05 +19 *20107:B1 *24030:S 3.82228e-05 +20 *20107:B1 *486:10 4.34733e-05 +21 *20107:B1 *1534:22 0.000115448 +22 *20107:B1 *1839:12 9.12416e-06 +23 *20122:D *20122:A 2.16355e-05 +24 *20122:D *20122:B 2.7585e-05 +25 *20122:D *22120:B_N 0.000158357 +26 *20122:D *22120:C 1.92336e-05 +27 *20128:A *20129:B2 7.34948e-06 +28 *20128:A *20332:B 1.62088e-05 +29 *20128:A *22121:A1 0.000205152 +30 *20128:A *1755:21 1.00846e-05 +31 *20128:A *3098:16 5.48238e-05 +32 *21111:B1 *21110:A 6.08467e-05 +33 *21111:B1 *21110:C 0.000125212 +34 *21111:B1 *21111:A2 8.57779e-05 +35 *21111:B1 *22121:B2 3.82228e-05 +36 *21111:B1 *1816:56 1.02497e-05 +37 *21111:B1 *4864:124 2.84892e-05 +38 *21111:B1 *5485:62 4.76794e-05 +39 *21111:B1 *5485:67 6.46815e-05 +40 *21139:B *20077:B1 0.000207266 +41 *21139:B *21139:C 1.92336e-05 +42 *21139:B *657:22 1.41976e-05 +43 *21139:B *1813:45 2.65667e-05 +44 *21139:B *2838:21 0.000307037 +45 *21139:B *5473:73 0.000116971 +46 *21139:B *5477:26 4.5539e-05 +47 *22121:B1 *21110:C 2.16355e-05 +48 *22121:B1 *22121:B2 2.16355e-05 +49 *24074:A1 *24074:A0 0.000110297 +50 *484:7 *20076:A 0.000107496 +51 *484:10 *20124:S 7.77309e-06 +52 *484:10 *22121:A1 1.22684e-05 +53 *484:10 *1534:22 0.000222253 +54 *484:10 *2216:13 2.3526e-05 +55 *484:20 *1534:22 0.000235037 +56 *484:20 *2216:6 8.01987e-05 +57 *484:20 *2216:13 0.000163465 +58 *484:26 *20078:A1 1.70161e-05 +59 *484:26 *20152:B 3.99312e-05 +60 *484:26 *1534:22 0.000343629 +61 *484:26 *1587:44 9.60366e-05 +62 *484:26 *1731:65 1.58236e-05 +63 *484:26 *1815:73 4.31485e-06 +64 *484:26 *1861:11 1.1034e-05 +65 *484:26 *1861:18 0.000755432 +66 *484:26 *1862:15 1.47102e-05 +67 *484:26 *2216:6 9.32891e-05 +68 *484:26 *2426:28 4.69495e-06 +69 *484:26 *3097:6 0 +70 *484:26 *5473:86 2.86215e-05 +71 *484:26 *5482:41 0 +72 *484:26 *5929:42 0 +73 *484:33 *20075:A 8.65522e-05 +74 *484:33 *20078:A1 3.24632e-05 +75 *484:33 *22120:D 0.000164843 +76 *484:33 *24028:A1 7.89747e-05 +77 *484:33 *24075:A0 6.92705e-05 +78 *484:33 *1813:35 2.77625e-06 +79 *484:33 *1813:45 1.10258e-05 +80 *484:33 *1861:18 9.32891e-05 +81 *484:33 *5447:98 1.28832e-05 +82 *484:33 *5448:64 1.92172e-05 +83 *484:73 *22121:A1 2.57465e-06 +84 *484:81 *514:58 7.51724e-05 +85 *484:81 *1471:128 1.66626e-05 +86 *484:81 *5482:24 1.66626e-05 +87 *24074:S *24074:A1 6.50727e-05 +88 *24074:S *484:10 0.000219881 +89 *74:32 *484:81 0.000111402 +90 *459:21 *20128:A 5.83801e-05 +91 *459:21 *484:10 0.000118002 +92 *459:21 *484:73 1.01585e-05 +*RES +1 *20076:Y *484:7 15.0271 +2 *484:7 *484:10 10.8998 +3 *484:10 *24074:A1 11.6364 +4 *484:10 *484:20 10.1015 +5 *484:20 *484:26 24.8907 +6 *484:26 *484:33 15.8085 +7 *484:33 *20077:A2 9.24915 +8 *484:33 *21139:B 25.102 +9 *484:26 *20122:D 20.0427 +10 *484:20 *20107:B1 27.5765 +11 *484:7 *484:73 0.378612 +12 *484:73 *484:81 12.2015 +13 *484:81 *22121:B1 9.97254 +14 *484:81 *21111:B1 21.2816 +15 *484:73 *20128:A 20.0393 +*END + +*D_NET *485 0.00498178 +*CONN +*I *20178:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *24077:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20177:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20178:B2 0.000224742 +2 *24077:A1 0.000299638 +3 *20177:X 0.000785536 +4 *485:12 0.00130992 +5 *20178:B2 *20178:A1 0.000114594 +6 *20178:B2 *20178:A2 0.000362878 +7 *24077:A1 *19661:A 0.000224381 +8 *24077:A1 *20173:B1 0 +9 *24077:A1 *24077:A0 0.000114523 +10 *24077:A1 *1478:7 0.00011818 +11 *24077:A1 *6018:28 2.95757e-05 +12 *24077:A1 *6019:38 5.75903e-05 +13 *485:12 *20173:A1 8.12737e-05 +14 *485:12 *20178:A2 0 +15 *485:12 *20179:B 0 +16 *485:12 *4839:15 7.02999e-05 +17 *485:12 *4843:18 0.000213725 +18 *485:12 *5898:273 2.58696e-05 +19 *485:12 *6019:38 0.000553134 +20 *485:12 *6019:52 0.000278952 +21 *485:12 *6020:70 0.000116971 +*RES +1 *20177:X *485:12 36.8745 +2 *485:12 *24077:A1 22.8176 +3 *485:12 *20178:B2 20.5732 +*END + +*D_NET *486 0.00557018 +*CONN +*I *20124:S I *D sky130_fd_sc_hd__mux2_1 +*I *24074:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20123:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20124:S 0.000367953 +2 *24074:A0 7.57154e-05 +3 *20123:X 0.000551892 +4 *486:10 0.000995561 +5 *20124:S *19749:A 0.00019516 +6 *20124:S *20128:B 0.000356877 +7 *20124:S *514:58 8.9408e-06 +8 *20124:S *514:65 0.000203583 +9 *20124:S *1534:22 4.3116e-06 +10 *20124:S *1756:31 0 +11 *486:10 *514:65 0.000906453 +12 *486:10 *561:14 0 +13 *486:10 *561:28 0 +14 *486:10 *1534:22 5.86585e-05 +15 *486:10 *1839:12 0.000785054 +16 *20107:B1 *486:10 4.34733e-05 +17 *24074:A1 *24074:A0 0.000110297 +18 *24074:S *20124:S 0.000219881 +19 *459:21 *20124:S 0.000678601 +20 *484:10 *20124:S 7.77309e-06 +*RES +1 *20123:X *486:10 35.1713 +2 *486:10 *24074:A0 15.5817 +3 *486:10 *20124:S 30.9455 +*END + +*D_NET *487 0.000453904 +*CONN +*I *20108:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *24074:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20108:B_N 0.000164105 +2 *24074:X 0.000164105 +3 *20108:B_N *514:65 0 +4 *20108:B_N *2505:50 0.000125695 +*RES +1 *24074:X *20108:B_N 31.0235 +*END + +*D_NET *488 0.000868429 +*CONN +*I *22120:D I *D sky130_fd_sc_hd__and4bb_1 +*I *24075:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *22120:D 0.000250502 +2 *24075:X 0.000250502 +3 *22120:D *20078:A1 7.50872e-05 +4 *22120:D *22120:A_N 7.50872e-05 +5 *22120:D *24075:A0 5.24081e-05 +6 *484:33 *22120:D 0.000164843 +*RES +1 *24075:X *22120:D 32.4114 +*END + +*D_NET *489 0.0506904 +*CONN +*I *23952:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *21701:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *22303:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21699:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23952:A0 0.000895557 +2 *21701:A1 0 +3 *22303:A1 0.000760407 +4 *21699:Y 0 +5 *489:93 0.00177065 +6 *489:78 0.00138888 +7 *489:54 0.00373805 +8 *489:50 0.00303838 +9 *489:35 0.00218641 +10 *489:29 0.00195589 +11 *489:22 0.00228002 +12 *489:13 0.00272642 +13 *489:8 0.00339468 +14 *489:7 0.0018399 +15 *489:4 0.000985793 +16 *22303:A1 *22347:A1 0.000313117 +17 *22303:A1 *22391:A1 2.81088e-05 +18 *22303:A1 *1551:190 4.53566e-05 +19 *22303:A1 *1608:117 0.000566286 +20 *22303:A1 *1608:148 1.58551e-05 +21 *22303:A1 *2134:8 0.000151176 +22 *22303:A1 *2251:12 1.273e-05 +23 *22303:A1 *2294:44 0.000295861 +24 *22303:A1 *2473:8 5.65265e-05 +25 *22303:A1 *3263:13 7.13972e-05 +26 *23952:A0 *23896:A 0.000735176 +27 *23952:A0 *23952:A1 6.50586e-05 +28 *23952:A0 *24510:RESET_B 4.00504e-05 +29 *23952:A0 *24713:CLK 0 +30 *23952:A0 *2813:8 0.000395807 +31 *23952:A0 *4828:21 0 +32 *23952:A0 *4840:341 4.94056e-05 +33 *23952:A0 *4841:241 0.000330532 +34 *23952:A0 *4843:898 0 +35 *23952:A0 *5918:56 0.000111708 +36 *23952:A0 *5918:78 6.08467e-05 +37 *489:7 *4841:252 0.000113968 +38 *489:7 *5339:9 1.19856e-05 +39 *489:8 *20739:A 0.0016628 +40 *489:8 *20741:A2 5.05252e-05 +41 *489:8 *24389:RESET_B 0.000249457 +42 *489:8 *24408:RESET_B 0 +43 *489:8 *2053:20 0.000290126 +44 *489:8 *2054:19 0.000317558 +45 *489:8 *2136:8 1.36691e-05 +46 *489:8 *2136:18 7.01237e-05 +47 *489:8 *4824:90 0 +48 *489:8 *4841:252 0.000208521 +49 *489:8 *4843:157 0.000284991 +50 *489:8 *4843:161 3.04973e-05 +51 *489:8 *5867:555 2.65227e-05 +52 *489:8 *5867:563 0 +53 *489:13 *20736:A2 3.33771e-05 +54 *489:13 *20875:A 8.39059e-05 +55 *489:13 *21254:A1 0.000646512 +56 *489:13 *2051:51 4.41591e-05 +57 *489:13 *2135:8 6.11359e-06 +58 *489:22 *20735:A2 9.94284e-06 +59 *489:22 *24413:D 4.28856e-07 +60 *489:22 *1634:16 3.07442e-05 +61 *489:22 *1634:21 6.61183e-05 +62 *489:22 *2051:19 9.24241e-05 +63 *489:22 *2486:32 0.000300148 +64 *489:22 *2486:39 6.04912e-06 +65 *489:22 *4838:321 0.000212075 +66 *489:22 *4901:82 3.46062e-05 +67 *489:22 *4901:84 0.000104128 +68 *489:22 *5857:61 0.00114044 +69 *489:29 *20921:B2 8.39222e-06 +70 *489:29 *21996:A 0.000177134 +71 *489:29 *24325:CLK 1.30635e-05 +72 *489:29 *1658:155 0.000114779 +73 *489:29 *2148:38 0.0001839 +74 *489:29 *2148:69 0.000671147 +75 *489:29 *2148:80 0.000178278 +76 *489:29 *2486:39 3.7746e-05 +77 *489:29 *2764:10 0.00116146 +78 *489:29 *4839:123 0.00045571 +79 *489:29 *4901:84 0.000154112 +80 *489:29 *4966:9 0.000546755 +81 *489:35 *20727:A 6.99486e-05 +82 *489:35 *20796:B 6.23875e-05 +83 *489:35 *1418:145 0.000131402 +84 *489:35 *1418:151 0.000926913 +85 *489:35 *1418:163 0.000154145 +86 *489:35 *1439:421 0.00124509 +87 *489:35 *2448:74 0.000194762 +88 *489:35 *2473:30 8.80496e-05 +89 *489:35 *5853:154 7.41122e-05 +90 *489:50 *20439:B1 0.000260374 +91 *489:50 *21090:B2 5.20546e-06 +92 *489:50 *1627:198 2.42156e-05 +93 *489:50 *2134:8 0.000107604 +94 *489:50 *2362:20 0.000111708 +95 *489:50 *2473:8 0.000113267 +96 *489:50 *4843:253 0.000780001 +97 *489:54 *24616:D 0.000164193 +98 *489:54 *24616:CLK 0.000244727 +99 *489:54 *1627:198 4.2273e-06 +100 *489:54 *5859:95 0.00168089 +101 *489:54 *5865:148 1.89968e-05 +102 *489:78 *21701:A2 6.08467e-05 +103 *489:78 *21701:B2 3.01683e-06 +104 *489:78 *21712:B1 2.40736e-05 +105 *489:78 *22023:A1 3.88655e-06 +106 *489:78 *22023:B2 9.02731e-05 +107 *489:78 *22024:B1 5.72235e-05 +108 *489:78 *1631:106 0 +109 *489:78 *1742:93 1.43499e-05 +110 *489:78 *1790:180 0 +111 *489:78 *2293:16 9.4385e-05 +112 *489:78 *2520:21 0 +113 *489:78 *2738:13 6.23101e-05 +114 *489:78 *2950:76 0.00038758 +115 *489:78 *2986:17 0.000405643 +116 *489:78 *3045:12 0.000526689 +117 *489:78 *3046:14 0.000530301 +118 *489:78 *3106:229 5.76501e-05 +119 *489:78 *3134:194 2.05082e-05 +120 *489:78 *4844:681 0.000268824 +121 *489:93 *20293:B2 1.90191e-05 +122 *489:93 *4841:252 1.09738e-05 +123 *489:93 *4843:157 0.000772518 +124 *489:93 *4843:898 4.00504e-05 +125 *489:93 *5339:9 1.43848e-05 +126 *489:93 *5891:14 0 +127 *489:93 *5891:22 0.000290926 +128 mgmt_gpio_out[25] *23952:A0 0.00032235 +*RES +1 *21699:Y *489:4 9.24915 +2 *489:4 *489:7 6.3326 +3 *489:7 *489:8 59.5521 +4 *489:8 *489:13 44.1088 +5 *489:13 *489:22 40.5626 +6 *489:22 *489:29 48.8027 +7 *489:29 *489:35 35.8158 +8 *489:35 *22303:A1 34.4419 +9 *489:35 *489:50 23.5783 +10 *489:50 *489:54 41.2726 +11 *489:54 *489:78 44.6574 +12 *489:78 *21701:A1 9.24915 +13 *489:4 *489:93 29.2958 +14 *489:93 *23952:A0 44.6128 +*END + +*D_NET *490 0.127465 +*CONN +*I *22314:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21604:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *23951:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *21602:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22314:B1 0.00106376 +2 *21604:A1 0.00241409 +3 *23951:A0 0 +4 *21602:Y 0.00204845 +5 *490:114 0.00542827 +6 *490:105 0.00655779 +7 *490:84 0.00558602 +8 *490:83 0.0024406 +9 *490:62 0.00290956 +10 *490:61 0.00309608 +11 *490:58 0.00248536 +12 *490:46 0.00136861 +13 *490:45 0.00360679 +14 *490:42 0.0024948 +15 *490:31 0.00268985 +16 *490:28 0.00432173 +17 *490:26 0.00196269 +18 *490:21 0.00255513 +19 *490:7 0.0036925 +20 *21604:A1 *6193:DIODE 8.26818e-05 +21 *21604:A1 *21618:A 0.000201932 +22 *21604:A1 *21636:B1 0.000685112 +23 *21604:A1 *21643:B 0.000464227 +24 *21604:A1 *21643:C 2.41274e-06 +25 *21604:A1 *21746:A 0.000204425 +26 *21604:A1 *21746:B 8.59785e-05 +27 *21604:A1 *21801:B1 4.74531e-05 +28 *21604:A1 *22665:A2 0.000553122 +29 *21604:A1 *1570:76 7.82184e-05 +30 *21604:A1 *2564:68 4.31485e-06 +31 *21604:A1 *2659:25 3.60456e-05 +32 *21604:A1 *2813:47 0.0032669 +33 *21604:A1 *3507:135 0.000454742 +34 *21604:A1 *3517:151 0.000163327 +35 *21604:A1 *5593:57 0.000402032 +36 *22314:B1 *21636:B1 7.30103e-05 +37 *22314:B1 *22314:B2 5.66491e-05 +38 *22314:B1 *22314:C1 2.63618e-05 +39 *22314:B1 *1645:69 0.000202717 +40 *22314:B1 *1688:91 0.000696814 +41 *22314:B1 *1802:136 0.000405196 +42 *22314:B1 *2093:51 0.000538682 +43 *22314:B1 *2772:38 0.000362882 +44 *22314:B1 *2813:47 0.000107019 +45 *22314:B1 *3021:13 2.18904e-05 +46 *22314:B1 *3509:42 0.000226438 +47 *22314:B1 *3529:39 1.6803e-05 +48 *22314:B1 *4810:66 0.000210633 +49 *22314:B1 *4815:116 0.000113478 +50 *490:7 *2584:17 0.00304644 +51 *490:21 *24487:RESET_B 3.67474e-06 +52 *490:21 *24487:CLK 8.62625e-06 +53 *490:21 *1627:202 5.36373e-05 +54 *490:21 *2018:25 0.00116415 +55 *490:21 *2258:12 4.04556e-05 +56 *490:21 *2498:11 0.000107496 +57 *490:21 *2530:35 0.00106699 +58 *490:21 *4891:8 8.62625e-06 +59 *490:21 *4891:41 0.000139517 +60 *490:21 *4891:51 0.00013676 +61 *490:21 *5303:9 9.18742e-05 +62 *490:21 *5865:190 0.000201617 +63 *490:21 *5865:194 7.57081e-06 +64 *490:26 *1912:10 0.000135136 +65 *490:26 *2554:8 0.000101148 +66 *490:26 *4832:34 9.90599e-06 +67 *490:28 *21997:A 0.000238537 +68 *490:28 *1909:12 0 +69 *490:28 *1912:10 0.000181325 +70 *490:28 *1912:20 0.00107239 +71 *490:28 *4832:34 0.00399386 +72 *490:28 *5867:593 0 +73 *490:31 *20743:B2 4.73598e-05 +74 *490:31 *2055:20 0.000183145 +75 *490:31 *2813:16 0.00138135 +76 *490:31 *5867:572 0.000314506 +77 *490:31 *5898:239 0.0011287 +78 *490:42 *21287:A 1.5714e-05 +79 *490:42 *24409:D 7.08723e-06 +80 *490:42 *2287:12 6.01588e-05 +81 *490:42 *4826:28 1.94916e-05 +82 *490:42 *5923:23 0.000142542 +83 *490:45 *20746:B1 1.52817e-05 +84 *490:45 *24409:CLK 0.000100493 +85 *490:45 *4825:28 0.00134987 +86 *490:45 *4893:13 0.000215172 +87 *490:45 *4893:17 0.000194473 +88 *490:45 *5857:19 2.8182e-06 +89 *490:46 *20508:A1 3.22339e-05 +90 *490:46 *20744:B1 0.000931223 +91 *490:46 *21970:A 7.12674e-05 +92 *490:46 *24568:D 0.000115534 +93 *490:46 *1980:33 0.000367073 +94 *490:46 *2869:11 0.000816881 +95 *490:46 *4830:16 0.000294226 +96 *490:46 *4840:325 9.98029e-06 +97 *490:46 *4842:148 0.00134023 +98 *490:46 *5918:30 0.00109444 +99 *490:46 *5918:32 0.000362468 +100 *490:58 *2530:35 0.000982566 +101 *490:58 *4838:331 0.000595394 +102 *490:58 *5924:46 0.000764613 +103 *490:61 *21135:A1 0.000588753 +104 *490:61 *21135:S 2.77625e-06 +105 *490:61 *24325:RESET_B 5.56794e-05 +106 *490:61 *4838:331 0.000194602 +107 *490:61 *5993:9 0.000285818 +108 *490:62 *19568:A0 0.000263247 +109 *490:62 *24692:RESET_B 0.000355996 +110 *490:62 *2287:53 0.00146718 +111 *490:62 *2530:44 4.18239e-05 +112 *490:62 *2530:52 0.000146851 +113 *490:62 *2813:30 0.0002743 +114 *490:62 *4827:106 0.00289604 +115 *490:62 *5417:22 0.000257307 +116 *490:83 *2360:43 0.000695068 +117 *490:83 *2360:45 0.000512902 +118 *490:83 *2460:40 6.03237e-05 +119 *490:83 *2467:49 0.000279212 +120 *490:83 *2679:37 6.44773e-05 +121 *490:83 *2687:30 4.25507e-05 +122 *490:83 *2692:31 0.000413144 +123 *490:83 *2729:54 3.77568e-05 +124 *490:83 *2989:47 0.000320024 +125 *490:83 *4818:13 0.000200794 +126 *490:83 *4818:110 0.000221151 +127 *490:83 *5493:43 0.000295417 +128 *490:84 *2266:36 2.79121e-05 +129 *490:84 *2266:38 0.00145136 +130 *490:84 *2503:19 0.00152521 +131 *490:84 *2570:46 0.0014782 +132 *490:84 *2729:62 1.72799e-05 +133 *490:84 *5874:17 0.00143982 +134 *490:105 *21395:B2 0.000127956 +135 *490:105 *2282:53 5.36521e-05 +136 *490:105 *2291:61 0.000534481 +137 *490:105 *2384:38 0 +138 *490:105 *2423:93 0.000647094 +139 *490:105 *2433:106 0.000156955 +140 *490:105 *2472:27 5.60804e-05 +141 *490:105 *2503:48 0.00289712 +142 *490:105 *2570:66 2.57e-05 +143 *490:105 *2584:45 0.000151605 +144 *490:105 *2584:62 0.00123873 +145 *490:105 *2687:68 0.00611414 +146 *490:105 *2692:53 0.000108986 +147 *490:105 *3196:163 0.00106128 +148 *490:105 *3257:61 9.54494e-05 +149 *490:105 *3547:17 4.16959e-05 +150 *490:105 *4814:64 0.000960107 +151 *490:105 *4817:64 2.57465e-06 +152 *490:105 *4817:85 0.000132201 +153 *490:105 *4828:80 4.17052e-05 +154 *490:105 *4833:130 1.39895e-05 +155 *490:105 *4873:32 3.17436e-05 +156 *490:105 *5898:205 1.15969e-05 +157 *490:105 *5904:38 7.5119e-05 +158 *490:105 *5904:44 1.03403e-05 +159 *490:114 *21424:B 5.41697e-05 +160 *490:114 *21658:B2 0.000264394 +161 *490:114 *22386:B2 3.29488e-05 +162 *490:114 *22392:A2 9.66954e-05 +163 *490:114 *22715:C1 2.27135e-05 +164 *490:114 *1450:176 0.00062834 +165 *490:114 *1539:18 0.000303798 +166 *490:114 *1542:101 0.000435065 +167 *490:114 *1649:143 0.00192171 +168 *490:114 *2280:6 0.000536624 +169 *490:114 *2368:49 0.000526983 +170 *490:114 *2477:34 0.000729179 +171 *490:114 *3342:12 9.07249e-05 +172 *490:114 *5592:76 6.69861e-06 +173 *25138:A *490:83 3.17436e-05 +*RES +1 *21602:Y *490:7 48.3034 +2 *490:7 *490:21 39.587 +3 *490:21 *490:26 7.68767 +4 *490:26 *490:28 72.6325 +5 *490:28 *490:31 46.2641 +6 *490:31 *490:42 17.8341 +7 *490:42 *490:45 40.1634 +8 *490:45 *490:46 62.0436 +9 *490:46 *23951:A0 13.7491 +10 *490:21 *490:58 35.3809 +11 *490:58 *490:61 29.0714 +12 *490:61 *490:62 64.5351 +13 *490:62 *490:83 41.8322 +14 *490:83 *490:84 53.9461 +15 *490:84 *490:105 48.3402 +16 *490:105 *490:114 43.2265 +17 *490:114 *21604:A1 38.6931 +18 *490:114 *22314:B1 27.3382 +*END + +*D_NET *491 0.0126051 +*CONN +*I *23950:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *21610:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22296:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21608:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23950:A0 0.000223874 +2 *21610:A1 3.70054e-05 +3 *22296:B1 0.000733104 +4 *21608:Y 1.54601e-05 +5 *491:8 0.00293526 +6 *491:7 0.00240449 +7 *21610:A1 *1627:131 0.000118166 +8 *22296:B1 *22296:A1 0.000294093 +9 *22296:B1 *22296:C1 4.80635e-06 +10 *22296:B1 *22384:A2 1.58551e-05 +11 *22296:B1 *22385:C1 0.000107063 +12 *22296:B1 *1610:181 0 +13 *22296:B1 *1610:195 0 +14 *22296:B1 *1627:128 0.000421348 +15 *22296:B1 *1655:220 1.37925e-05 +16 *22296:B1 *2293:38 0 +17 *22296:B1 *2317:20 0.000133025 +18 *22296:B1 *2545:55 7.98171e-06 +19 *22296:B1 *4812:18 2.87136e-06 +20 *22296:B1 *4812:38 1.81572e-05 +21 *23950:A0 *20665:B2 0.000107496 +22 *23950:A0 *21608:A 0.000181333 +23 *23950:A0 *2031:85 0.000111708 +24 *23950:A0 *2053:20 5.41467e-05 +25 *23950:A0 *5714:10 0.000251655 +26 *23950:A0 *5921:94 2.57365e-05 +27 *491:7 *2530:29 0.000171273 +28 *491:7 *4881:185 0.000171273 +29 *491:8 *20667:A2 0.000654032 +30 *491:8 *20667:B1 9.27628e-06 +31 *491:8 *21089:A1 8.98279e-05 +32 *491:8 *21404:A 5.51306e-05 +33 *491:8 *21611:A1 3.52278e-05 +34 *491:8 *22302:A2 0.000526298 +35 *491:8 *22303:B2 0.000190795 +36 *491:8 *22346:A1 3.26668e-05 +37 *491:8 *22346:B1 3.33102e-05 +38 *491:8 *22347:B2 0.000108217 +39 *491:8 *22390:A2 0.000112684 +40 *491:8 *22390:B2 1.27402e-05 +41 *491:8 *1610:195 0 +42 *491:8 *1627:128 2.43314e-05 +43 *491:8 *1627:140 1.77111e-05 +44 *491:8 *2053:16 0.000323054 +45 *491:8 *2053:20 5.84937e-05 +46 *491:8 *2258:22 0.00107619 +47 *491:8 *2441:47 0.000181197 +48 *491:8 *3106:248 2.02035e-05 +49 *491:8 *3146:245 0.000141303 +50 *491:8 *4831:8 0.000106369 +51 *491:8 *4844:143 7.86825e-06 +52 *491:8 *4872:17 0 +53 *491:8 *5853:137 1.5714e-05 +54 *491:8 *5865:178 0.000211501 +*RES +1 *21608:Y *491:7 15.5817 +2 *491:7 *491:8 62.8741 +3 *491:8 *22296:B1 29.3439 +4 *491:8 *21610:A1 15.0271 +5 *491:7 *23950:A0 21.0145 +*END + +*D_NET *492 0.00075197 +*CONN +*I *23943:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23942:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23943:A0 0.000154026 +2 *23942:X 0.000154026 +3 *23943:A0 *6034:DIODE 6.50727e-05 +4 *23943:A0 *23942:A1 0.000100493 +5 *23943:A0 *5488:25 2.02247e-05 +6 *23943:A0 *5874:97 0.000258128 +*RES +1 *23942:X *23943:A0 23.6826 +*END + +*D_NET *493 0.0067459 +*CONN +*I *23944:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *23943:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23944:A0 0 +2 *23943:X 7.93828e-05 +3 *493:10 0.000948415 +4 *493:7 0.0010278 +5 *493:7 *6034:DIODE 5.20546e-06 +6 *493:7 *5488:25 0.000207266 +7 *493:10 *21127:A 0.000114659 +8 *493:10 *24061:A1 0 +9 *493:10 *1485:84 0.00144475 +10 *493:10 *1756:24 6.84784e-06 +11 *493:10 *2753:19 0.0001184 +12 *493:10 *2803:33 0.000226626 +13 *493:10 *5671:32 0.00239595 +14 *493:10 *5898:51 1.88692e-05 +15 *493:10 *5898:63 0 +16 *443:10 *493:10 0.000151726 +*RES +1 *23943:X *493:7 16.1364 +2 *493:7 *493:10 48.2725 +3 *493:10 *23944:A0 9.24915 +*END + +*D_NET *494 0.0326497 +*CONN +*I *23948:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *21665:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *23949:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *21661:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23948:A0 0 +2 *21665:B1 0.000575147 +3 *23949:A0 6.69836e-05 +4 *21661:Y 0.000213142 +5 *494:58 0.00287649 +6 *494:44 0.00357681 +7 *494:36 0.00204121 +8 *494:26 0.00209617 +9 *494:6 0.00161055 +10 *21665:B1 *19884:A1 0.000216936 +11 *21665:B1 *19929:A1 6.3657e-05 +12 *21665:B1 *19973:B 1.9101e-05 +13 *21665:B1 *20034:B 1.78704e-05 +14 *21665:B1 *21665:A1 6.08467e-05 +15 *21665:B1 *21665:B2 0.00052617 +16 *21665:B1 *1541:90 0.000209326 +17 *21665:B1 *1639:85 1.77137e-05 +18 *21665:B1 *1675:15 0.000582549 +19 *21665:B1 *1686:7 0.00049794 +20 *21665:B1 *1802:8 5.45571e-05 +21 *21665:B1 *2701:17 3.17436e-05 +22 *21665:B1 *2999:38 1.5714e-05 +23 *21665:B1 *3204:36 2.40252e-05 +24 *21665:B1 *3206:37 5.11466e-05 +25 *23949:A0 *24936:A 0.000472818 +26 *23949:A0 *541:57 0.000139177 +27 *23949:A0 *1695:31 0.000629893 +28 *494:6 *21661:A 1.48503e-05 +29 *494:6 *528:26 1.91246e-05 +30 *494:6 *530:18 9.12416e-06 +31 *494:6 *547:38 3.7e-05 +32 *494:6 *4845:381 6.88675e-05 +33 *494:6 *5854:438 4.50512e-05 +34 *494:26 *20520:A1 8.8371e-05 +35 *494:26 *24560:SET_B 3.91685e-05 +36 *494:26 *24561:D 0.00011906 +37 *494:26 *24561:RESET_B 7.12677e-05 +38 *494:26 *547:38 0.00186742 +39 *494:26 *1982:25 1.9101e-05 +40 *494:26 *1983:11 0.000270874 +41 *494:26 *1983:52 0.000220332 +42 *494:26 *2423:16 0.000195856 +43 *494:26 *2576:40 4.58332e-05 +44 *494:26 *2576:42 0.000311158 +45 *494:26 *4845:381 0.000128153 +46 *494:26 *5853:404 0.000158371 +47 *494:36 *22537:C 7.09666e-06 +48 *494:36 *23948:A1 7.92757e-06 +49 *494:36 *23948:S 2.02035e-05 +50 *494:36 *2398:100 0.000154094 +51 *494:36 *2428:90 0.000940387 +52 *494:36 *2576:42 0.00109069 +53 *494:36 *3160:22 2.68015e-05 +54 *494:36 *5683:16 0.000253789 +55 *494:44 *6115:DIODE 4.84599e-05 +56 *494:44 *20202:B1 0.000294093 +57 *494:44 *21063:B1 0.000100396 +58 *494:44 *21333:A2 2.17381e-05 +59 *494:44 *21333:B1 0.000103123 +60 *494:44 *21334:C1 0.000113107 +61 *494:44 *21365:B1 1.47046e-05 +62 *494:44 *21365:B2 8.795e-05 +63 *494:44 *21370:A1_N 0.000342263 +64 *494:44 *21370:A2_N 6.08467e-05 +65 *494:44 *21370:B1 6.07598e-05 +66 *494:44 *21371:C1 0.000298304 +67 *494:44 *21652:B2 1.63131e-05 +68 *494:44 *23948:A1 4.58529e-05 +69 *494:44 *24929:A 0.000258222 +70 *494:44 *1715:127 0.000319056 +71 *494:44 *1715:151 1.5613e-05 +72 *494:44 *2392:24 0.00016235 +73 *494:44 *2393:22 0.000171806 +74 *494:44 *2425:7 2.95884e-05 +75 *494:44 *2429:25 0.000107496 +76 *494:44 *2576:57 6.14756e-06 +77 *494:44 *2894:19 5.481e-05 +78 *494:44 *3487:30 9.82896e-06 +79 *494:44 *3496:13 6.08467e-05 +80 *494:44 *5674:57 0.000445099 +81 *494:44 *5683:16 0.000207266 +82 *494:44 *5859:258 0.000139119 +83 *494:44 *5870:542 4.97617e-05 +84 *494:58 *1443:18 4.25398e-05 +85 *494:58 *1443:49 2.39437e-05 +86 *494:58 *1639:85 0.000925386 +87 *494:58 *1771:24 0.00042974 +88 *494:58 *1804:33 0.000127579 +89 *494:58 *2401:22 0.000181699 +90 *494:58 *2429:25 6.05836e-05 +91 *494:58 *2529:19 0.000501462 +92 *494:58 *2749:38 1.66771e-05 +93 *494:58 *3487:30 0.000120366 +94 *494:58 *4819:72 0.000304953 +95 *494:58 *5563:45 0.003174 +96 *494:58 *5956:58 0.000178159 +*RES +1 *21661:Y *494:6 18.4879 +2 *494:6 *23949:A0 20.5732 +3 *494:6 *494:26 49.0059 +4 *494:26 *494:36 36.5438 +5 *494:36 *494:44 48.7405 +6 *494:44 *494:58 44.4478 +7 *494:58 *21665:B1 39.3735 +8 *494:36 *23948:A0 9.24915 +*END + +*D_NET *495 0.0127844 +*CONN +*I *23964:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22139:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *23964:A0 3.79525e-05 +2 *22139:Y 2.4158e-05 +3 *495:8 0.00229859 +4 *495:7 0.00228479 +5 *23964:A0 *23964:A1 4.87301e-05 +6 *23964:A0 *23964:S 0.000117376 +7 *23964:A0 *4942:7 1.88014e-05 +8 *495:7 *22139:A 0.000110297 +9 *495:7 *1550:53 0.000107496 +10 *495:8 *20713:A 0.000116227 +11 *495:8 *20714:B1 3.27606e-06 +12 *495:8 *20714:B2 0.000482665 +13 *495:8 *20717:A2 1.09168e-05 +14 *495:8 *20984:B2 2.53024e-05 +15 *495:8 *24278:D 0.000130777 +16 *495:8 *24427:D 0.000142763 +17 *495:8 *24996:A 0.000243396 +18 *495:8 *1574:12 0.00163383 +19 *495:8 *2045:41 0.000625185 +20 *495:8 *2045:50 0.000195542 +21 *495:8 *2615:8 8.95272e-05 +22 *495:8 *3089:42 0.00235326 +23 *495:8 *3089:54 0.000828887 +24 *495:8 *4126:79 0 +25 *495:8 *4550:25 4.55396e-05 +26 *495:8 *4665:58 0.000112906 +27 *495:8 *4874:20 0 +28 *495:8 *4935:15 0 +29 *495:8 *5401:11 0.0003014 +30 *495:8 *5856:74 0.000394828 +*RES +1 *22139:Y *495:7 15.0271 +2 *495:7 *495:8 89.4502 +3 *495:8 *23964:A0 15.5817 +*END + +*D_NET *496 0.0146147 +*CONN +*I *19998:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *24076:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24095:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *19992:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19998:B1 3.48279e-05 +2 *24076:A1 4.51842e-05 +3 *24095:A1 4.01134e-05 +4 *19992:Y 0.000755849 +5 *496:31 0.00393184 +6 *496:30 0.00415605 +7 *496:19 0.000489212 +8 *496:8 0.000980954 +9 *19998:B1 *19998:C1 3.98241e-05 +10 *19998:B1 *1506:35 2.76088e-05 +11 *19998:B1 *1506:45 1.64789e-05 +12 *24076:A1 *499:18 6.08467e-05 +13 *24095:A1 *21959:B1 1.74531e-05 +14 *24095:A1 *526:16 1.03403e-05 +15 *24095:A1 *2398:55 6.08467e-05 +16 *496:8 *19992:A 6.50586e-05 +17 *496:8 *20357:A 0 +18 *496:8 *20365:B1 0.000784956 +19 *496:8 *24750:D 3.49272e-05 +20 *496:8 *516:26 0 +21 *496:8 *1933:8 0 +22 *496:8 *2398:55 0.000414497 +23 *496:8 *2426:71 6.89953e-05 +24 *496:8 *2675:42 2.67552e-05 +25 *496:8 *5857:368 0.000319873 +26 *496:19 *21959:B1 3.60268e-05 +27 *496:19 *516:26 0 +28 *496:19 *2398:55 2.09495e-05 +29 *496:19 *2907:6 3.10307e-05 +30 *496:19 *5857:368 0.000284564 +31 *496:30 *23990:S 0.000141283 +32 *496:30 *24076:S 2.26985e-05 +33 *496:30 *2907:6 5.22896e-05 +34 *496:30 *5481:38 0.000156355 +35 *496:30 *5857:338 1.32509e-05 +36 *496:30 *5857:368 0.000404666 +37 *496:31 *21038:A1 4.77168e-06 +38 *496:31 *21038:A2 6.37652e-06 +39 *496:31 *21038:B1 0.000514687 +40 *496:31 *21038:B2 1.03403e-05 +41 *496:31 *1467:76 0.00014069 +42 *496:31 *1506:35 1.5006e-05 +43 *496:31 *1506:45 0.000111708 +44 *496:31 *1754:7 6.50586e-05 +45 *496:31 *2180:27 4.00959e-05 +46 *496:31 *2181:19 9.48043e-05 +47 *496:31 *3212:87 1.97124e-05 +48 *496:31 *5857:368 4.58003e-05 +*RES +1 *19992:Y *496:8 37.6246 +2 *496:8 *24095:A1 15.0513 +3 *496:8 *496:19 5.56926 +4 *496:19 *24076:A1 14.4725 +5 *496:19 *496:30 13.3913 +6 *496:30 *496:31 55.6292 +7 *496:31 *19998:B1 10.8888 +*END + +*D_NET *497 0.0298522 +*CONN +*I *23994:S I *D sky130_fd_sc_hd__mux2_1 +*I *23998:S I *D sky130_fd_sc_hd__mux2_1 +*I *23992:S I *D sky130_fd_sc_hd__mux2_1 +*I *23990:S I *D sky130_fd_sc_hd__mux2_1 +*I *24076:S I *D sky130_fd_sc_hd__mux2_1 +*I *24000:S I *D sky130_fd_sc_hd__mux2_1 +*I *24002:S I *D sky130_fd_sc_hd__mux2_1 +*I *24008:S I *D sky130_fd_sc_hd__mux2_1 +*I *24006:S I *D sky130_fd_sc_hd__mux2_1 +*I *24010:S I *D sky130_fd_sc_hd__mux2_1 +*I *24012:S I *D sky130_fd_sc_hd__mux2_1 +*I *24004:S I *D sky130_fd_sc_hd__mux2_1 +*I *23996:S I *D sky130_fd_sc_hd__mux2_1 +*I *22197:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23994:S 0 +2 *23998:S 0.000253705 +3 *23992:S 5.81544e-05 +4 *23990:S 9.27505e-05 +5 *24076:S 6.83779e-06 +6 *24000:S 0 +7 *24002:S 0.000541004 +8 *24008:S 0 +9 *24006:S 0 +10 *24010:S 0.000304716 +11 *24012:S 0 +12 *24004:S 0.00016783 +13 *23996:S 0.000193829 +14 *22197:X 0.00012104 +15 *497:143 0.000740557 +16 *497:111 0.000606274 +17 *497:107 0.00149916 +18 *497:73 0.000623294 +19 *497:54 0.000224788 +20 *497:52 0.000433134 +21 *497:50 0.00158848 +22 *497:39 0.00258971 +23 *497:35 0.00130043 +24 *497:14 0.00112599 +25 *497:12 0.00140958 +26 *497:9 0.000933598 +27 *23990:S *23990:A1 0.000107496 +28 *23990:S *500:95 0.000107496 +29 *23990:S *2907:6 0.000146404 +30 *23992:S *2434:8 4.04447e-05 +31 *23992:S *2899:43 2.16355e-05 +32 *23992:S *2900:37 6.50727e-05 +33 *23992:S *4866:12 4.04447e-05 +34 *23996:S *20232:A1 1.46416e-05 +35 *23996:S *20232:A2 2.55179e-07 +36 *23996:S *20232:B1 3.58602e-05 +37 *23996:S *20232:B2 0.000111722 +38 *23996:S *503:17 0.000164829 +39 *23996:S *547:38 1.86464e-05 +40 *23998:S *500:89 6.3909e-05 +41 *23998:S *505:15 9.84424e-06 +42 *23998:S *535:57 8.61022e-05 +43 *23998:S *2448:176 6.50586e-05 +44 *23998:S *2900:47 6.50586e-05 +45 *23998:S *4829:50 5.73794e-05 +46 *24002:S *6130:DIODE 0.000220183 +47 *24002:S *24002:A0 6.08467e-05 +48 *24002:S *24002:A1 7.8874e-05 +49 *24002:S *2291:15 1.00846e-05 +50 *24002:S *4953:13 0.000505283 +51 *24002:S *4953:27 0.000129801 +52 *24002:S *6027:204 0.000113968 +53 *24004:S *21891:A 0.000207266 +54 *24004:S *24008:A1 8.91167e-05 +55 *24004:S *515:13 9.13498e-05 +56 *24004:S *523:10 6.08467e-05 +57 *24004:S *545:42 0.000165495 +58 *24004:S *5960:47 0.000411006 +59 *24010:S *6076:DIODE 0.00027103 +60 *24010:S *520:63 0.000113968 +61 *24010:S *523:10 0.000163997 +62 *24010:S *1780:61 3.31733e-05 +63 *24010:S *2420:32 4.37794e-05 +64 *24010:S *2420:34 0 +65 *24010:S *2831:34 0.000314395 +66 *24076:S *2907:6 1.91246e-05 +67 *497:9 *506:36 9.28861e-05 +68 *497:9 *530:18 0.000378314 +69 *497:9 *4845:382 9.73806e-05 +70 *497:9 *4876:38 0.000372893 +71 *497:12 *21661:A 3.59028e-05 +72 *497:12 *24754:CLK 6.45439e-05 +73 *497:12 *501:14 1.51658e-05 +74 *497:12 *2440:16 0 +75 *497:12 *4914:29 5.88901e-05 +76 *497:12 *5854:438 5.01835e-05 +77 *497:14 *24754:D 1.90218e-05 +78 *497:14 *24754:CLK 5.39635e-06 +79 *497:14 *547:38 0 +80 *497:14 *2440:16 0 +81 *497:35 *20232:A2 2.82981e-05 +82 *497:35 *24285:RESET_B 1.9101e-05 +83 *497:35 *499:18 1.35431e-05 +84 *497:35 *510:71 2.33103e-06 +85 *497:35 *547:38 0 +86 *497:35 *2437:24 0.000231853 +87 *497:35 *2440:16 0 +88 *497:35 *2446:35 0.000257072 +89 *497:35 *2720:12 4.83411e-05 +90 *497:35 *2899:31 0.000623435 +91 *497:35 *5871:423 0 +92 *497:39 *24286:RESET_B 0 +93 *497:39 *2720:12 0.000277251 +94 *497:39 *4875:24 0 +95 *497:39 *5871:399 0 +96 *497:50 *24008:A0 0.000107496 +97 *497:50 *24668:D 5.85117e-05 +98 *497:50 *24756:D 0.000141924 +99 *497:50 *519:7 0.000388678 +100 *497:50 *547:49 0 +101 *497:50 *2440:10 0.000522044 +102 *497:50 *4843:525 8.59133e-05 +103 *497:50 *4953:13 0.000629908 +104 *497:50 *5871:297 8.62625e-06 +105 *497:50 *5871:306 0.000109859 +106 *497:50 *5871:317 0.000223189 +107 *497:52 *24008:A0 0.000158357 +108 *497:52 *24008:A1 1.92336e-05 +109 *497:52 *519:7 4.97617e-05 +110 *497:52 *523:10 4.66492e-05 +111 *497:54 *24008:A1 0.000103123 +112 *497:54 *523:10 0.000246176 +113 *497:73 *24976:A 7.77309e-06 +114 *497:73 *523:10 0.000717824 +115 *497:73 *524:44 0.000372958 +116 *497:73 *524:48 0.000163997 +117 *497:73 *2420:34 0 +118 *497:107 *501:14 8.68361e-05 +119 *497:107 *1749:47 0.000172549 +120 *497:107 *3547:26 0.000191397 +121 *497:107 *4876:38 0 +122 *497:111 *1749:47 0.000357606 +123 *497:111 *2259:15 0 +124 *497:111 *4876:38 0 +125 *497:143 *500:89 1.21831e-05 +126 *497:143 *530:18 3.52699e-05 +127 *497:143 *4829:50 9.02963e-06 +128 *497:143 *4876:38 0.00199715 +129 *20977:B1 *23998:S 5.29763e-05 +130 *460:63 *497:143 0.000778622 +131 *496:30 *23990:S 0.000141283 +132 *496:30 *24076:S 2.26985e-05 +*RES +1 *22197:X *497:9 19.6664 +2 *497:9 *497:12 11.0143 +3 *497:12 *497:14 3.493 +4 *497:14 *23996:S 19.0688 +5 *497:14 *497:35 30.077 +6 *497:35 *497:39 17.1286 +7 *497:39 *497:50 48.9059 +8 *497:50 *497:52 2.38721 +9 *497:52 *497:54 2.94181 +10 *497:54 *24004:S 26.6559 +11 *497:54 *24012:S 9.24915 +12 *497:52 *497:73 17.9591 +13 *497:73 *24010:S 25.3147 +14 *497:73 *24006:S 13.7491 +15 *497:50 *24008:S 9.24915 +16 *497:39 *24002:S 24.8263 +17 *497:35 *24000:S 13.7491 +18 *497:12 *497:107 5.86636 +19 *497:107 *497:111 4.719 +20 *497:111 *24076:S 14.1278 +21 *497:111 *23990:S 17.8973 +22 *497:107 *23992:S 19.2139 +23 *497:9 *497:143 7.30494 +24 *497:143 *23998:S 21.2876 +25 *497:143 *23994:S 13.7491 +*END + +*D_NET *498 0.00213598 +*CONN +*I *24076:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22250:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24076:A0 0.000608072 +2 *22250:X 0.000608072 +3 *24076:A0 *516:26 0 +4 *24076:A0 *4876:49 0.000566144 +5 *24076:A0 *5857:368 0.000353695 +*RES +1 *22250:X *24076:A0 40.5827 +*END + +*D_NET *499 0.0164323 +*CONN +*I *21012:A I *D sky130_fd_sc_hd__inv_2 +*I *24076:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21012:A 0.000452824 +2 *24076:X 0.00119424 +3 *499:21 0.00109704 +4 *499:18 0.00183846 +5 *21012:A *20978:A1 3.97864e-05 +6 *21012:A *23967:A1 0 +7 *21012:A *500:73 0.00061912 +8 *21012:A *504:16 1.26094e-05 +9 *21012:A *507:21 0.000113374 +10 *21012:A *1636:33 0 +11 *21012:A *1636:36 8.62625e-06 +12 *21012:A *3212:73 0.00061912 +13 *21012:A *4875:140 0.000237038 +14 *21012:A *5968:9 0.000298702 +15 *499:18 *24751:D 0.00026359 +16 *499:18 *547:38 7.83498e-05 +17 *499:18 *1636:33 0.000161138 +18 *499:18 *2675:46 0.000208088 +19 *499:18 *2900:37 0.000670397 +20 *499:18 *3547:26 0.000816916 +21 *499:18 *5854:409 0.000212795 +22 *499:21 *20232:A2 0.000324166 +23 *499:21 *24281:D 1.19856e-05 +24 *499:21 *507:11 8.40003e-05 +25 *499:21 *507:21 0.00262741 +26 *499:21 *2398:59 0.000874083 +27 *499:21 *2398:63 0.00287171 +28 *24076:A1 *499:18 6.08467e-05 +29 *74:18 *499:18 0.000328706 +30 *439:18 *21012:A 0.000293682 +31 *497:35 *499:18 1.35431e-05 +*RES +1 *24076:X *499:18 41.7938 +2 *499:18 *499:21 45.7095 +3 *499:21 *21012:A 33.2017 +*END + +*D_NET *500 0.082035 +*CONN +*I *23990:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6031:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22272:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *23990:A0 3.5247e-05 +2 *6031:DIODE 0.000132369 +3 *22272:Y 0.00471675 +4 *500:95 0.0021725 +5 *500:89 0.00257087 +6 *500:78 0.000651 +7 *500:77 0.000799241 +8 *500:73 0.00172171 +9 *500:68 0.00217713 +10 *500:60 0.00402132 +11 *500:59 0.00303479 +12 *500:48 0.00233079 +13 *500:47 0.00235423 +14 *500:41 0.00124953 +15 *500:22 0.0021129 +16 *500:13 0.00578667 +17 *6031:DIODE *5481:38 5.85252e-05 +18 *500:13 *20699:B1 1.59814e-05 +19 *500:13 *22686:B1 0.00169492 +20 *500:13 *22702:A1 0.000360722 +21 *500:13 *22703:A2 0.000112 +22 *500:13 *510:41 0.00172175 +23 *500:13 *1596:134 0.000166645 +24 *500:13 *1652:87 0.000826591 +25 *500:13 *1800:128 5.87762e-05 +26 *500:13 *2258:62 0.000274483 +27 *500:13 *2343:25 5.60804e-05 +28 *500:13 *2363:45 0.000659013 +29 *500:13 *2374:48 2.62604e-05 +30 *500:13 *2417:53 0 +31 *500:13 *2433:58 5.83513e-05 +32 *500:13 *2464:51 0.000162712 +33 *500:13 *2554:21 0.000454899 +34 *500:13 *2820:62 0.000391697 +35 *500:13 *3529:200 0.000122888 +36 *500:13 *3531:196 9.68909e-05 +37 *500:13 *3531:211 4.28332e-05 +38 *500:13 *4818:13 0.0003553 +39 *500:13 *4873:17 0.000775354 +40 *500:13 *5855:219 3.49257e-05 +41 *500:22 *20693:A2 0.000129091 +42 *500:22 *20697:B2 8.52652e-05 +43 *500:22 *1608:98 0.000133323 +44 *500:22 *1899:34 0.000257452 +45 *500:22 *1899:36 0.000830736 +46 *500:22 *2040:8 1.77967e-05 +47 *500:22 *2040:12 0.00032049 +48 *500:22 *2534:10 0.000363161 +49 *500:22 *2813:30 3.10576e-06 +50 *500:22 *4813:125 1.5254e-05 +51 *500:22 *4816:80 5.12248e-05 +52 *500:22 *4816:89 0.000537317 +53 *500:22 *4819:12 0.000128719 +54 *500:22 *4819:14 0.000489084 +55 *500:41 *20692:A1 6.51527e-05 +56 *500:41 *24444:RESET_B 0.000198791 +57 *500:41 *2287:90 0.000243742 +58 *500:41 *2320:59 2.99733e-05 +59 *500:41 *2467:87 0.000129091 +60 *500:41 *2949:64 4.40272e-05 +61 *500:41 *4813:103 9.73471e-05 +62 *500:41 *4813:110 3.17436e-05 +63 *500:41 *4813:125 0.000445858 +64 *500:41 *4819:14 0.000198079 +65 *500:41 *4827:100 0.000460701 +66 *500:47 *3257:51 0.000251723 +67 *500:48 *20902:A2 0.000200525 +68 *500:48 *20994:B2 8.7451e-05 +69 *500:48 *21194:B1 0.000378385 +70 *500:48 *24102:A 0.000114086 +71 *500:48 *24268:SET_B 4.99006e-05 +72 *500:48 *1709:54 7.5909e-06 +73 *500:48 *2423:78 4.5043e-05 +74 *500:48 *2486:84 4.51176e-05 +75 *500:48 *2896:58 2.27118e-06 +76 *500:48 *2924:57 1.05982e-05 +77 *500:48 *4813:20 0.000555295 +78 *500:48 *4813:81 0.000478332 +79 *500:48 *4821:125 0.000307689 +80 *500:48 *4827:79 0.000481528 +81 *500:48 *4827:89 0.000799204 +82 *500:48 *4843:421 0.000781884 +83 *500:48 *5859:183 0.000262232 +84 *500:48 *5859:197 0.000202136 +85 *500:48 *5859:205 0.00120245 +86 *500:48 *5864:616 0.000213966 +87 *500:59 *20900:B2 0 +88 *500:59 *2900:69 3.82228e-05 +89 *500:59 *2924:57 6.1096e-05 +90 *500:59 *4843:421 1.69547e-05 +91 *500:59 *4843:432 1.9101e-05 +92 *500:60 *20135:A2 0.000144928 +93 *500:60 *20137:A1 0.000216103 +94 *500:60 *20138:A2 2.47808e-05 +95 *500:60 *20314:B1 1.2693e-05 +96 *500:60 *20315:B1 0.000148129 +97 *500:60 *24034:A1 0.000174732 +98 *500:60 *24807:D 0.000119538 +99 *500:60 *508:28 0.000117573 +100 *500:60 *1573:76 0.000158916 +101 *500:60 *1573:84 4.82328e-05 +102 *500:60 *1709:54 0.00221457 +103 *500:60 *1917:72 0.000967021 +104 *500:60 *1917:74 0.000339769 +105 *500:60 *1917:76 5.37882e-05 +106 *500:60 *2384:88 5.85392e-05 +107 *500:60 *2924:57 2.16706e-05 +108 *500:60 *2924:61 0.000734606 +109 *500:60 *4805:92 0 +110 *500:60 *4807:8 0 +111 *500:60 *4843:432 0.00113266 +112 *500:60 *4843:457 0.00111763 +113 *500:60 *4866:82 0.00039028 +114 *500:60 *4866:94 0.00137248 +115 *500:60 *4910:12 0.000228644 +116 *500:60 *4910:16 0.000266946 +117 *500:60 *5855:318 0 +118 *500:68 *508:28 0.00015478 +119 *500:68 *1573:74 0.000533394 +120 *500:68 *1573:76 0.000874373 +121 *500:68 *1917:76 0.000231541 +122 *500:68 *1917:80 0.000102003 +123 *500:68 *5857:209 0.00029937 +124 *500:68 *5857:213 0.00100684 +125 *500:68 *5857:215 0.000471174 +126 *500:73 *21013:A3 0.000107496 +127 *500:73 *1636:33 0.000111722 +128 *500:73 *2398:71 0.00181566 +129 *500:73 *3212:73 0.000505888 +130 *500:73 *5871:836 0.000644265 +131 *500:73 *5871:886 0.000787425 +132 *500:77 *24282:CLK 2.88923e-05 +133 *500:77 *3212:73 0.000153158 +134 *500:89 *6120:DIODE 0.0002817 +135 *500:89 *23974:A1 6.08467e-05 +136 *500:89 *23974:S 5.22909e-05 +137 *500:89 *24283:D 6.50586e-05 +138 *500:89 *501:22 0.000670098 +139 *500:89 *502:42 0.000455503 +140 *500:89 *529:63 0.000159891 +141 *500:89 *532:12 0.000212981 +142 *500:89 *535:57 0.000199527 +143 *500:89 *2528:28 6.19215e-05 +144 *500:89 *3212:73 0.000658797 +145 *500:95 *20234:A1 0.000107496 +146 *500:95 *20234:A2 2.25044e-05 +147 *500:95 *21661:A 6.08467e-05 +148 *500:95 *23968:A0 4.41404e-05 +149 *500:95 *23968:A1 0.000193069 +150 *500:95 *23968:S 2.15348e-05 +151 *500:95 *23990:A1 0.000238249 +152 *500:95 *24752:D 7.6719e-06 +153 *500:95 *501:14 6.0369e-05 +154 *500:95 *501:22 0.000136277 +155 *500:95 *4914:29 0.000164815 +156 *500:95 *4948:11 0.000188843 +157 *500:95 *4948:16 0.000317721 +158 *500:95 *4949:7 2.52287e-06 +159 *500:95 *5481:38 1.41291e-05 +160 *500:95 *5854:438 4.37807e-05 +161 *20977:B1 *500:89 8.62625e-06 +162 *21012:A *500:73 0.00061912 +163 *23990:S *500:95 0.000107496 +164 *23998:S *500:89 6.3909e-05 +165 *476:143 *500:48 0.00164789 +166 *476:161 *500:47 0.000244082 +167 *476:161 *500:48 0.000622939 +168 *497:143 *500:89 1.21831e-05 +*RES +1 *22272:Y *500:13 42.0512 +2 *500:13 *500:22 44.3498 +3 *500:22 *500:41 49.6109 +4 *500:41 *500:47 15.0168 +5 *500:47 *500:48 88.8273 +6 *500:48 *500:59 12.7986 +7 *500:59 *500:60 121.388 +8 *500:60 *500:68 48.499 +9 *500:68 *500:73 43.5152 +10 *500:73 *500:77 19.4008 +11 *500:77 *500:78 104.301 +12 *500:78 *500:89 42.8948 +13 *500:89 *500:95 40.4137 +14 *500:95 *6031:DIODE 12.191 +15 *500:95 *23990:A0 10.2378 +*END + +*D_NET *501 0.0157609 +*CONN +*I *23991:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23990:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23991:A0 0 +2 *23990:X 0.00207552 +3 *501:32 0.00117395 +4 *501:22 0.00167148 +5 *501:14 0.00257305 +6 *501:14 *23968:A0 0.000307037 +7 *501:14 *23968:S 1.41689e-05 +8 *501:14 *23990:A1 0.000186187 +9 *501:14 *23992:A0 6.50727e-05 +10 *501:14 *502:45 0.00060406 +11 *501:14 *2440:16 1.59078e-05 +12 *501:14 *3547:26 0 +13 *501:14 *4845:382 9.34618e-06 +14 *501:14 *4876:38 9.91723e-05 +15 *501:14 *5481:38 0.000457641 +16 *501:22 *23974:A1 6.74811e-05 +17 *501:22 *23974:S 0.000513023 +18 *501:22 *23975:A0 7.20535e-05 +19 *501:22 *509:8 9.69016e-05 +20 *501:22 *2378:12 0 +21 *501:22 *4875:6 3.35888e-05 +22 *501:22 *4949:7 0.000316696 +23 *501:22 *4949:34 2.15184e-05 +24 *501:32 *21013:B1 2.20585e-05 +25 *501:32 *23969:A0 0.00146604 +26 *501:32 *509:11 0.00274346 +27 *501:32 *1520:42 6.85778e-05 +28 *501:32 *2061:13 7.19676e-05 +29 *476:72 *501:14 4.61962e-05 +30 *497:12 *501:14 1.51658e-05 +31 *497:107 *501:14 8.68361e-05 +32 *500:89 *501:22 0.000670098 +33 *500:95 *501:14 6.0369e-05 +34 *500:95 *501:22 0.000136277 +*RES +1 *23990:X *501:14 49.832 +2 *501:14 *501:22 34.073 +3 *501:22 *501:32 46.8277 +4 *501:32 *23991:A0 9.24915 +*END + +*D_NET *502 0.0762563 +*CONN +*I *6141:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23992:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22294:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *6141:DIODE 0 +2 *23992:A0 0.000180928 +3 *22294:Y 0 +4 *502:45 0.000297058 +5 *502:42 0.00259686 +6 *502:38 0.00440654 +7 *502:30 0.00303545 +8 *502:26 0.00454232 +9 *502:24 0.00452421 +10 *502:18 0.00214707 +11 *502:14 0.00249532 +12 *502:11 0.00230411 +13 *502:7 0.00190093 +14 *502:4 0.00103661 +15 *23992:A0 *20235:A1 5.13937e-05 +16 *23992:A0 *23990:A1 6.50727e-05 +17 *23992:A0 *24751:CLK 0.000253974 +18 *23992:A0 *503:13 0.000111708 +19 *23992:A0 *2900:37 0.000174344 +20 *23992:A0 *4947:29 0 +21 *23992:A0 *4948:16 0.000151972 +22 *502:7 *21442:C 0.000648544 +23 *502:7 *1596:92 0.000915587 +24 *502:7 *1615:16 0.000660875 +25 *502:7 *1645:182 3.91159e-05 +26 *502:7 *2337:40 0.000536621 +27 *502:7 *2367:51 3.13128e-05 +28 *502:7 *2485:36 0.0010196 +29 *502:7 *2490:18 0.000111209 +30 *502:7 *2530:64 5.04829e-06 +31 *502:7 *3010:50 0.00123883 +32 *502:7 *3010:68 0.000262176 +33 *502:11 *21556:A 0.000209326 +34 *502:11 *2367:51 1.68716e-05 +35 *502:11 *2467:62 0.000460336 +36 *502:11 *2520:43 0.000497858 +37 *502:11 *2530:64 0.000147799 +38 *502:11 *2613:29 6.08467e-05 +39 *502:11 *3010:17 0.000735449 +40 *502:11 *3257:36 0.000347214 +41 *502:14 *20654:B1 5.13902e-05 +42 *502:14 *24309:RESET_B 9.32927e-05 +43 *502:14 *2245:24 0.00168908 +44 *502:14 *2320:68 6.88784e-05 +45 *502:14 *4824:122 1.97826e-05 +46 *502:14 *4826:74 0.0011928 +47 *502:14 *4826:84 0.000109136 +48 *502:14 *5057:10 7.86728e-05 +49 *502:14 *5862:365 0.000912781 +50 *502:14 *5863:23 0.000156477 +51 *502:18 *21069:B2 0.000160182 +52 *502:18 *2203:59 0.000364811 +53 *502:18 *2415:32 0.00104688 +54 *502:18 *2631:28 5.65354e-05 +55 *502:18 *4824:122 0.00029304 +56 *502:18 *4826:84 7.90479e-06 +57 *502:18 *4845:262 0.000110834 +58 *502:18 *4845:270 0.000915404 +59 *502:18 *5862:282 2.19131e-05 +60 *502:18 *5862:293 1.16596e-05 +61 *502:18 *5862:319 0.000104496 +62 *502:18 *5863:23 0.000140342 +63 *502:24 *1742:76 0.000720636 +64 *502:24 *2202:7 0.000491468 +65 *502:24 *2468:79 9.71215e-05 +66 *502:24 *4844:235 6.61215e-06 +67 *502:24 *4845:281 0.000251655 +68 *502:26 *20318:B1 0.000127179 +69 *502:26 *20896:B2 0.000296976 +70 *502:26 *20897:B2 5.41377e-05 +71 *502:26 *23941:S 0.000727527 +72 *502:26 *24695:D 0 +73 *502:26 *531:42 0.00359722 +74 *502:26 *1583:10 0.000710457 +75 *502:26 *1742:75 0 +76 *502:26 *1742:76 0.000335956 +77 *502:26 *1899:10 0.00191311 +78 *502:26 *2809:24 0.000302477 +79 *502:26 *4821:62 0.00604704 +80 *502:26 *4844:235 0.000626315 +81 *502:26 *4845:316 0.000348797 +82 *502:26 *4845:326 6.26119e-05 +83 *502:26 *5593:65 0.000102184 +84 *502:26 *5853:390 0 +85 *502:26 *5861:458 8.74104e-05 +86 *502:26 *5861:463 0.000269072 +87 *502:26 *5864:226 0 +88 *502:30 *22115:A2 0.000129202 +89 *502:30 *22117:B2 3.56648e-05 +90 *502:30 *531:42 0 +91 *502:30 *531:51 0.00197816 +92 *502:30 *2759:12 9.64761e-05 +93 *502:30 *2759:19 4.10997e-05 +94 *502:30 *5853:390 0.000135121 +95 *502:30 *5853:392 0.00242747 +96 *502:38 *529:74 7.14746e-05 +97 *502:38 *4877:8 2.652e-05 +98 *502:38 *5726:39 0.00289861 +99 *502:42 *23994:A1 2.19234e-05 +100 *502:42 *505:15 8.38894e-05 +101 *502:42 *524:11 7.10594e-05 +102 *502:42 *533:8 0.000198492 +103 *502:42 *2410:8 0.000194894 +104 *502:42 *3212:73 2.15184e-05 +105 *502:42 *3212:79 1.10258e-05 +106 *502:42 *3212:87 0.00253067 +107 *502:45 *23968:S 0.000211492 +108 *502:45 *23990:A1 0.00015511 +109 *502:45 *4948:11 3.31745e-05 +110 *502:45 *4948:16 5.73392e-05 +111 *482:46 *502:24 0.000698497 +112 *500:89 *502:42 0.000455503 +113 *501:14 *23992:A0 6.50727e-05 +114 *501:14 *502:45 0.00060406 +*RES +1 *22294:Y *502:4 9.24915 +2 *502:4 *502:7 45.1158 +3 *502:7 *502:11 31.8444 +4 *502:11 *502:14 49.7203 +5 *502:14 *502:18 42.6421 +6 *502:18 *502:24 30.7149 +7 *502:24 *502:26 155.475 +8 *502:26 *502:30 49.7203 +9 *502:30 *502:38 47.2525 +10 *502:38 *502:42 48.5085 +11 *502:42 *502:45 11.324 +12 *502:45 *23992:A0 26.1226 +13 *502:45 *6141:DIODE 9.24915 +*END + +*D_NET *503 0.0157244 +*CONN +*I *23993:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23992:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23993:A0 0.00187729 +2 *23992:X 0.00128757 +3 *503:17 0.00261307 +4 *503:13 0.00202335 +5 *23993:A0 *21011:B2 6.25632e-05 +6 *23993:A0 *24256:D 4.20506e-05 +7 *23993:A0 *24256:RESET_B 5.69771e-05 +8 *23993:A0 *1419:8 0.000615725 +9 *23993:A0 *3177:55 0.000243361 +10 *23993:A0 *4875:89 1.02481e-05 +11 *23993:A0 *5871:580 0.000408868 +12 *503:13 *20235:A1 6.22114e-05 +13 *503:13 *21955:A 0.000118166 +14 *503:13 *24751:D 1.19856e-05 +15 *503:13 *2900:37 1.92336e-05 +16 *503:13 *2962:33 1.68312e-05 +17 *503:13 *4947:29 1.75682e-05 +18 *503:13 *4948:10 9.41185e-05 +19 *503:17 *20232:A1 0.000563884 +20 *503:17 *20232:B1 7.97098e-06 +21 *503:17 *20232:B2 7.39264e-05 +22 *503:17 *20977:A1 0.000164815 +23 *503:17 *20978:A1 0.000944528 +24 *503:17 *23969:A0 2.81957e-05 +25 *503:17 *23996:A1 7.92757e-06 +26 *503:17 *509:11 0.000316891 +27 *23992:A0 *503:13 0.000111708 +28 *23996:S *503:17 0.000164829 +29 *476:73 *503:17 0.00375852 +*RES +1 *23992:X *503:13 37.8955 +2 *503:13 *503:17 46.2641 +3 *503:17 *23993:A0 47.9001 +*END + +*D_NET *504 0.0340326 +*CONN +*I *23994:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6152:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22316:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *23994:A0 0 +2 *6152:DIODE 0.000204871 +3 *22316:Y 0.00145602 +4 *504:24 0.00124713 +5 *504:16 0.00483885 +6 *504:14 0.00503508 +7 *504:11 0.00269451 +8 *6152:DIODE *3212:79 0.000227627 +9 *504:11 *22310:B2 2.27135e-05 +10 *504:11 *1610:37 0.00112577 +11 *504:11 *2313:33 5.50027e-05 +12 *504:11 *2397:10 0.000110297 +13 *504:11 *3111:94 9.82974e-06 +14 *504:11 *4820:14 0.000285521 +15 *504:14 *1418:29 0.000190505 +16 *504:14 *1418:50 0.000661795 +17 *504:14 *1545:54 0.000929466 +18 *504:14 *2177:51 2.55797e-05 +19 *504:14 *2950:92 1.87103e-05 +20 *504:14 *2950:96 0.00104745 +21 *504:14 *2990:19 0.000143419 +22 *504:14 *2990:36 0.000133075 +23 *504:14 *3041:42 7.46711e-05 +24 *504:14 *4810:29 0.000115772 +25 *504:14 *4908:22 9.5006e-05 +26 *504:14 *4908:24 4.3116e-06 +27 *504:14 *5862:118 0.000298856 +28 *504:16 *20846:A2 0.00027384 +29 *504:16 *20846:B1 0.000151481 +30 *504:16 *20846:B2 0.000333347 +31 *504:16 *20849:B1_N 1.26341e-05 +32 *504:16 *20856:B2 8.21849e-06 +33 *504:16 *22144:A 0.000663035 +34 *504:16 *23931:A1 0 +35 *504:16 *24363:SET_B 0.00016911 +36 *504:16 *24784:CLK 0.000609217 +37 *504:16 *24908:D 0.000122083 +38 *504:16 *1419:221 0.000497695 +39 *504:16 *1419:239 2.69597e-05 +40 *504:16 *1573:101 6.5864e-05 +41 *504:16 *1636:33 5.65463e-05 +42 *504:16 *1636:36 0.002202 +43 *504:16 *1636:52 0.00020406 +44 *504:16 *2103:16 0.000233066 +45 *504:16 *2105:9 0.000103246 +46 *504:16 *2107:20 0 +47 *504:16 *2117:297 0.00060262 +48 *504:16 *2177:51 0.000115149 +49 *504:16 *2906:74 0.00020324 +50 *504:16 *2924:80 0.000361019 +51 *504:16 *2950:96 0.00027254 +52 *504:16 *3041:10 5.54137e-05 +53 *504:16 *3076:42 5.67796e-06 +54 *504:16 *3076:46 0.00227907 +55 *504:16 *3177:50 0.000144179 +56 *504:16 *4845:344 7.50722e-05 +57 *504:16 *4908:26 3.48092e-05 +58 *504:16 *4908:39 0.000275158 +59 *504:16 *5859:230 0.000557019 +60 *504:16 *5864:153 0 +61 *504:16 *5864:171 0.000215405 +62 *504:16 *5864:177 1.21729e-05 +63 *504:16 *5864:196 3.92275e-05 +64 *504:16 *5949:22 1.2012e-05 +65 *504:16 *5953:32 1.95409e-05 +66 *504:16 *5968:9 1.91391e-05 +67 *504:16 *6028:78 0.000390188 +68 *504:24 *505:15 0.00151225 +69 *504:24 *508:28 0 +70 *504:24 *535:57 1.5714e-05 +71 *504:24 *2063:17 0 +72 *504:24 *3547:26 0 +73 *504:24 *4829:50 1.9101e-05 +74 *21012:A *504:16 1.26094e-05 +*RES +1 *22316:Y *504:11 46.6582 +2 *504:11 *504:14 44.3709 +3 *504:14 *504:16 139.622 +4 *504:16 *504:24 14.4197 +5 *504:24 *6152:DIODE 21.5379 +6 *504:24 *23994:A0 9.24915 +*END + +*D_NET *505 0.0116784 +*CONN +*I *23995:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23994:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23995:A0 0.00109904 +2 *23994:X 0.000607733 +3 *505:22 0.00222253 +4 *505:15 0.00173122 +5 *23995:A0 *5986:7 2.20228e-05 +6 *505:15 *23994:A1 0.000212099 +7 *505:15 *524:11 3.41459e-05 +8 *505:15 *4829:50 3.74433e-05 +9 *505:15 *4876:38 0.000598833 +10 *505:22 *24003:A1 7.50722e-05 +11 *505:22 *1419:8 0.000245327 +12 *505:22 *1695:12 0.00186894 +13 *505:22 *1695:26 8.44197e-05 +14 *505:22 *2439:24 0.000841709 +15 *21006:A2 *505:22 0.000305644 +16 *21009:A2 *23995:A0 1.03403e-05 +17 *23998:S *505:15 9.84424e-06 +18 *460:63 *505:15 7.58904e-05 +19 *502:42 *505:15 8.38894e-05 +20 *504:24 *505:15 0.00151225 +*RES +1 *23994:X *505:15 26.3616 +2 *505:15 *505:22 47.3076 +3 *505:22 *23995:A0 26.6589 +*END + +*D_NET *506 0.0414039 +*CONN +*I *6174:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6163:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23996:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22338:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *6174:DIODE 0 +2 *6163:DIODE 0.000112262 +3 *23996:A0 0.000162027 +4 *22338:Y 0.00144969 +5 *506:52 0.000131963 +6 *506:40 0.000241113 +7 *506:36 0.00170133 +8 *506:35 0.00175292 +9 *506:32 0.00128272 +10 *506:27 0.0027873 +11 *506:22 0.00329811 +12 *506:16 0.00313224 +13 *6163:DIODE *2448:178 0.000553213 +14 *6163:DIODE *2899:43 0.000458029 +15 *6163:DIODE *2900:37 1.51299e-05 +16 *23996:A0 *20232:B2 2.15348e-05 +17 *23996:A0 *23996:A1 6.08467e-05 +18 *23996:A0 *547:38 3.50059e-05 +19 *23996:A0 *2899:31 7.14746e-05 +20 *23996:A0 *4845:382 0.000158906 +21 *506:16 *21537:A2 0.000129091 +22 *506:16 *21987:A1 0.00120178 +23 *506:16 *22334:C1 2.25597e-05 +24 *506:16 *22502:A2 1.86819e-05 +25 *506:16 *24917:A 0.000350768 +26 *506:16 *1552:65 0.000604742 +27 *506:16 *1735:132 0.00028406 +28 *506:16 *1751:46 0.000159997 +29 *506:16 *1790:45 0.00140028 +30 *506:16 *1790:73 4.64851e-05 +31 *506:16 *2527:34 3.15955e-05 +32 *506:16 *2930:64 3.06917e-06 +33 *506:16 *3114:102 0.000210693 +34 *506:16 *3124:47 0.000118683 +35 *506:16 *3196:41 0.000204372 +36 *506:16 *3204:299 0.000302705 +37 *506:16 *3511:34 3.54024e-05 +38 *506:16 *4856:18 0.000423336 +39 *506:22 *22170:A 0.000119197 +40 *506:22 *22196:A 4.37781e-05 +41 *506:22 *22196:D 6.87762e-05 +42 *506:22 *22202:A 2.14402e-05 +43 *506:22 *22203:A 0.000197793 +44 *506:22 *2637:21 0.000280038 +45 *506:22 *2989:91 0.000314439 +46 *506:22 *2990:19 0.00237819 +47 *506:22 *4827:32 6.13339e-05 +48 *506:22 *5475:83 0.00341156 +49 *506:27 *22157:C 0.00018926 +50 *506:27 *22187:A 2.81088e-05 +51 *506:27 *22190:B 1.01656e-05 +52 *506:27 *22196:A 7.00554e-05 +53 *506:27 *22198:A 0.000173965 +54 *506:27 *2122:34 1.07161e-05 +55 *506:27 *2378:33 5.66606e-05 +56 *506:27 *3091:73 3.70433e-05 +57 *506:27 *3132:8 0.000407437 +58 *506:27 *3132:29 0.000687445 +59 *506:27 *3204:107 0.000457641 +60 *506:27 *3204:122 3.571e-05 +61 *506:27 *4827:29 0.000582308 +62 *506:27 *4827:32 1.81527e-05 +63 *506:27 *4876:7 0.000497846 +64 *506:32 *22546:D 1.71707e-05 +65 *506:32 *24566:D 0 +66 *506:32 *547:33 0.000426238 +67 *506:32 *1557:42 0.000105954 +68 *506:32 *1982:66 2.43314e-05 +69 *506:32 *1982:75 0.000183846 +70 *506:32 *1982:91 0.000106446 +71 *506:32 *3160:22 0.00188494 +72 *506:32 *3472:30 0 +73 *506:32 *3479:24 0.000105237 +74 *506:32 *4844:303 0.000237066 +75 *506:35 *20516:A2 0.000393863 +76 *506:35 *2471:21 0.000150271 +77 *506:36 *20520:A2 0.00034074 +78 *506:36 *547:36 2.57465e-06 +79 *506:36 *547:38 0.0027897 +80 *506:36 *2389:16 3.29488e-05 +81 *506:36 *4827:24 8.21849e-06 +82 *506:36 *4843:509 0.000754519 +83 *506:36 *4843:516 3.73754e-05 +84 *506:36 *4845:382 9.56898e-05 +85 *506:36 *4865:6 0 +86 *506:36 *4914:70 0.000130633 +87 *506:36 *5259:17 4.90621e-05 +88 *506:36 *5854:438 0.000192034 +89 *506:40 *547:38 9.82974e-06 +90 *506:40 *4845:382 3.03575e-05 +91 *506:52 *2448:178 6.08467e-05 +92 *506:52 *2900:37 7.92757e-06 +93 *476:72 *506:36 0 +94 *497:9 *506:36 9.28861e-05 +*RES +1 *22338:Y *506:16 46.6069 +2 *506:16 *506:22 20.0526 +3 *506:22 *506:27 46.3051 +4 *506:27 *506:32 46.9589 +5 *506:32 *506:35 9.10562 +6 *506:35 *506:36 55.1919 +7 *506:36 *506:40 1.52666 +8 *506:40 *23996:A0 18.1049 +9 *506:40 *506:52 5.2234 +10 *506:52 *6163:DIODE 15.5186 +11 *506:52 *6174:DIODE 9.24915 +*END + +*D_NET *507 0.0147155 +*CONN +*I *23997:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23996:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23997:A0 0 +2 *23996:X 0.000353582 +3 *507:25 0.00160717 +4 *507:21 0.00226108 +5 *507:11 0.00100749 +6 *507:11 *526:19 0.00141733 +7 *507:11 *2398:59 0.00131112 +8 *507:11 *4844:356 3.18136e-05 +9 *507:11 *4950:39 9.83242e-05 +10 *507:21 *20980:A3 1.19721e-05 +11 *507:21 *24281:D 5.04829e-06 +12 *507:21 *509:11 0.000163198 +13 *507:21 *526:19 0.000913981 +14 *507:21 *1428:93 0.000307037 +15 *507:21 *1636:33 2.66622e-05 +16 *507:25 *20980:B2 0.000120592 +17 *507:25 *23967:A1 0.000193348 +18 *507:25 *23999:A1 0.000331523 +19 *507:25 *24258:D 6.96979e-05 +20 *507:25 *2163:11 0.000152955 +21 *507:25 *2439:14 0 +22 *507:25 *2439:21 0 +23 *507:25 *2585:19 3.81597e-05 +24 *507:25 *2667:30 7.91304e-05 +25 *507:25 *2667:32 7.44434e-06 +26 *507:25 *4875:82 0.000243726 +27 *507:25 *4875:118 4.8056e-05 +28 *507:25 *4875:125 0.000517703 +29 *507:25 *4875:136 0.000121455 +30 *507:25 *4877:134 0 +31 *507:25 *5985:10 2.96543e-05 +32 *21012:A *507:21 0.000113374 +33 *439:18 *507:21 7.77309e-06 +34 *476:72 *507:11 9.12416e-06 +35 *476:73 *507:21 0.00040456 +36 *499:21 *507:11 8.40003e-05 +37 *499:21 *507:21 0.00262741 +*RES +1 *23996:X *507:11 38.2973 +2 *507:11 *507:21 49.7763 +3 *507:21 *507:25 46.9252 +4 *507:25 *23997:A0 9.24915 +*END + +*D_NET *508 0.076709 +*CONN +*I *23998:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6185:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22360:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *23998:A0 0 +2 *6185:DIODE 0.000106098 +3 *22360:Y 0.00328254 +4 *508:31 0.000185272 +5 *508:28 0.0137938 +6 *508:20 0.0169971 +7 *6185:DIODE *2900:47 0.000266846 +8 *508:20 *1612:24 0.00593531 +9 *508:20 *1652:87 5.8518e-05 +10 *508:20 *1688:75 0.00593637 +11 *508:20 *2384:32 0.000383561 +12 *508:20 *2421:42 6.30741e-05 +13 *508:20 *2635:47 0.00142467 +14 *508:20 *2900:111 0.000228037 +15 *508:20 *2978:29 0.00010558 +16 *508:20 *4813:103 8.0505e-07 +17 *508:20 *5908:87 0.00282761 +18 *508:28 *527:69 0 +19 *508:28 *529:41 8.63593e-05 +20 *508:28 *1439:104 0.00101152 +21 *508:28 *1573:76 0.000199218 +22 *508:28 *1636:33 0 +23 *508:28 *2063:17 0.0033106 +24 *508:28 *2291:61 0.0012699 +25 *508:28 *2380:84 0.00232539 +26 *508:28 *2384:32 0.000193668 +27 *508:28 *2421:125 0.00465575 +28 *508:28 *2441:66 0 +29 *508:28 *2448:161 0 +30 *508:28 *2448:171 0.00133281 +31 *508:28 *2520:87 1.14957e-05 +32 *508:28 *2689:18 0.000128631 +33 *508:28 *2689:22 0.000119162 +34 *508:28 *2900:84 6.12895e-05 +35 *508:28 *3017:8 0.000114211 +36 *508:28 *3547:26 3.70897e-05 +37 *508:28 *4813:103 7.34176e-05 +38 *508:28 *4828:100 0.00381786 +39 *508:28 *4829:50 3.93117e-06 +40 *508:28 *4833:130 0.00304598 +41 *508:28 *4866:94 0.000136187 +42 *508:28 *5874:36 0.00263486 +43 *508:28 *5908:29 0 +44 *508:28 *5908:87 5.60333e-05 +45 *508:31 *2900:47 0.000213725 +46 *20977:B1 *508:28 2.33103e-06 +47 *74:18 *508:28 0 +48 *500:60 *508:28 0.000117573 +49 *500:68 *508:28 0.00015478 +50 *504:24 *508:28 0 +*RES +1 *22360:Y *508:20 34.7587 +2 *508:20 *508:28 48.6015 +3 *508:28 *508:31 6.88721 +4 *508:31 *6185:DIODE 12.191 +5 *508:31 *23998:A0 9.24915 +*END + +*D_NET *509 0.00907418 +*CONN +*I *23999:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23998:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23999:A0 0.000397968 +2 *23998:X 5.65515e-05 +3 *509:11 0.000929269 +4 *509:8 0.000587852 +5 *23999:A0 *1439:180 0.00103725 +6 *23999:A0 *2392:8 0.00103725 +7 *509:8 *23975:A0 0.00011906 +8 *509:11 *20977:A1 0.000160617 +9 *509:11 *20978:A1 0.00115825 +10 *509:11 *23969:A0 1.92336e-05 +11 *509:11 *2061:13 0.000207266 +12 *476:73 *509:11 4.3171e-05 +13 *501:22 *509:8 9.69016e-05 +14 *501:32 *509:11 0.00274346 +15 *503:17 *509:11 0.000316891 +16 *507:21 *509:11 0.000163198 +*RES +1 *23998:X *509:8 20.4964 +2 *509:8 *509:11 36.8358 +3 *509:11 *23999:A0 33.0217 +*END + +*D_NET *510 0.0707408 +*CONN +*I *24000:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6207:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6196:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22382:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *24000:A0 0 +2 *6218:DIODE 0 +3 *6207:DIODE 0.000112306 +4 *6196:DIODE 0 +5 *22382:Y 0.000549849 +6 *510:72 0.000163809 +7 *510:71 0.00368691 +8 *510:59 0.0051078 +9 *510:57 0.00151065 +10 *510:55 0.0030812 +11 *510:53 0.00309009 +12 *510:51 0.00149721 +13 *510:49 0.00148355 +14 *510:47 0.00156133 +15 *510:46 0.00171072 +16 *510:41 0.00430279 +17 *510:16 0.00466976 +18 *6207:DIODE *24753:RESET_B 8.39223e-05 +19 *6207:DIODE *1573:59 0.000237871 +20 *6207:DIODE *5871:398 0.000346067 +21 *510:16 *22685:B2 0.000226214 +22 *510:16 *22720:A2 8.49432e-05 +23 *510:16 *1591:9 1.89618e-05 +24 *510:16 *2257:29 0.000126259 +25 *510:16 *2360:57 0.000158451 +26 *510:16 *2409:6 9.68902e-05 +27 *510:16 *3051:20 0.000407299 +28 *510:16 *3179:227 8.98169e-05 +29 *510:41 *22341:A1 0.000376634 +30 *510:41 *22389:B2 0.000290495 +31 *510:41 *22685:B2 9.4503e-05 +32 *510:41 *22686:B1 0.000394674 +33 *510:41 *1596:134 0.000168763 +34 *510:41 *1663:27 0.000100999 +35 *510:41 *2246:30 0.000539038 +36 *510:41 *2257:29 0.000153862 +37 *510:41 *2337:22 7.40684e-06 +38 *510:41 *2360:57 0.000471474 +39 *510:41 *2433:31 0.000317658 +40 *510:41 *2433:58 0.000216615 +41 *510:41 *2461:11 0.000256499 +42 *510:41 *2813:30 0.00149519 +43 *510:41 *3177:315 0 +44 *510:41 *3212:19 0.000178559 +45 *510:41 *5855:219 0.000925366 +46 *510:41 *5855:223 0.000661347 +47 *510:46 *1608:98 0.000473349 +48 *510:46 *2391:89 3.50469e-05 +49 *510:47 *2423:93 0.000386287 +50 *510:47 *2468:63 0.00167234 +51 *510:47 *2468:67 0.000246565 +52 *510:47 *3011:30 7.00554e-05 +53 *510:47 *4873:26 1.72799e-05 +54 *510:47 *5417:30 0.00013475 +55 *510:47 *5861:397 0.000581906 +56 *510:47 *5910:33 0.000531924 +57 *510:47 *5910:36 0.00253924 +58 *510:51 *19825:A 6.61114e-05 +59 *510:51 *21243:A 1.4789e-05 +60 *510:51 *21245:A 1.87469e-05 +61 *510:51 *24341:D 0.000115508 +62 *510:51 *24342:D 8.60109e-05 +63 *510:51 *2141:86 0.000349219 +64 *510:51 *2301:36 0.000583914 +65 *510:51 *2468:67 0.000254617 +66 *510:51 *2468:107 4.0313e-05 +67 *510:51 *2468:111 3.89332e-06 +68 *510:51 *4845:289 0.000465302 +69 *510:51 *4845:296 9.25219e-05 +70 *510:51 *4845:303 0.00104135 +71 *510:51 *4845:315 0.000256975 +72 *510:51 *5417:30 4.49608e-05 +73 *510:51 *5853:277 0.000280676 +74 *510:55 *19825:A 4.47496e-05 +75 *510:55 *20133:A2 2.01653e-05 +76 *510:55 *20251:B 5.94319e-06 +77 *510:55 *20252:A 0.000148144 +78 *510:55 *20903:A1 9.92046e-06 +79 *510:55 *21654:A 0.000130808 +80 *510:55 *24335:D 0.000115451 +81 *510:55 *24735:D 9.96342e-05 +82 *510:55 *1709:65 0.00161187 +83 *510:55 *1918:36 2.33193e-05 +84 *510:55 *2282:81 0.00135974 +85 *510:55 *2423:42 0.00185859 +86 *510:55 *2468:111 0.000117498 +87 *510:55 *2468:115 0.000681548 +88 *510:55 *2468:117 0.00106034 +89 *510:55 *2468:123 0.00171657 +90 *510:55 *2809:24 0.000161947 +91 *510:55 *5365:6 0.000162595 +92 *510:55 *5853:277 0.000446622 +93 *510:55 *5861:469 3.60268e-05 +94 *510:55 *5861:484 0 +95 *510:55 *5861:492 0 +96 *510:55 *5956:45 3.88655e-06 +97 *510:59 *24736:D 9.60216e-05 +98 *510:59 *2423:40 2.01503e-05 +99 *510:59 *2423:42 0.000405694 +100 *510:59 *3094:11 2.55661e-06 +101 *510:59 *5785:59 0.00191318 +102 *510:59 *5956:32 0.000377831 +103 *510:59 *5956:45 9.67553e-06 +104 *510:59 *6028:8 8.07908e-05 +105 *510:59 *6028:10 3.96553e-05 +106 *510:59 *6028:43 0.000204802 +107 *510:71 *20762:B2 7.50722e-05 +108 *510:71 *20976:A1 7.98526e-05 +109 *510:71 *22114:B 0 +110 *510:71 *2103:62 0.000104368 +111 *510:71 *4828:129 0 +112 *510:71 *4832:109 0.000700782 +113 *510:71 *5793:43 0.000221185 +114 *510:71 *5793:49 0.00030848 +115 *510:71 *5871:419 1.9101e-05 +116 *510:71 *5874:75 0 +117 *510:71 *5956:32 0.000778414 +118 *510:71 *6028:10 1.36313e-05 +119 *510:72 *1573:59 0.000222313 +120 *510:72 *4878:111 2.15348e-05 +121 *510:72 *5871:398 0.000195301 +122 *324:11 *510:55 0.000377646 +123 *324:11 *510:59 0 +124 *324:11 *510:71 0 +125 *324:17 *510:55 0.000447097 +126 *324:19 *510:51 0.000450998 +127 *324:19 *510:55 1.12884e-05 +128 *476:108 *510:55 0.000566493 +129 *497:35 *510:71 2.33103e-06 +130 *500:13 *510:41 0.00172175 +*RES +1 *22382:Y *510:16 36.7468 +2 *510:16 *6196:DIODE 13.7491 +3 *510:16 *510:41 48.4879 +4 *510:41 *510:46 7.39938 +5 *510:46 *510:47 64.1198 +6 *510:47 *510:49 0.732798 +7 *510:49 *510:51 52.4928 +8 *510:51 *510:53 0.732798 +9 *510:53 *510:55 128.899 +10 *510:55 *510:57 0.732798 +11 *510:57 *510:59 51.6623 +12 *510:59 *510:71 34.9494 +13 *510:71 *510:72 2.94181 +14 *510:72 *6207:DIODE 15.5186 +15 *510:72 *6218:DIODE 9.24915 +16 *510:71 *24000:A0 9.24915 +*END + +*D_NET *511 0.00743119 +*CONN +*I *24001:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24000:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24001:A0 0.000410037 +2 *24000:X 0.000637855 +3 *511:10 0.00104789 +4 *24001:A0 *2426:73 0.000739467 +5 *24001:A0 *3177:57 0.00247875 +6 *24001:A0 *6027:61 0.000706091 +7 *511:10 *23977:A0 6.74667e-05 +8 *511:10 *24286:D 0.000371845 +9 *511:10 *2720:12 5.2504e-06 +10 *511:10 *4878:111 0.000610533 +11 *20973:A2 *511:10 0.0003145 +12 *439:194 *511:10 4.15016e-05 +*RES +1 *24000:X *511:10 34.3797 +2 *511:10 *24001:A0 36.5935 +*END + +*D_NET *512 0.0629059 +*CONN +*I *6054:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6043:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *24002:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6130:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6032:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22404:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *6054:DIODE 0 +2 *6043:DIODE 0 +3 *24002:A0 1.30387e-05 +4 *6130:DIODE 2.38821e-05 +5 *6032:DIODE 0.0003577 +6 *22404:Y 0 +7 *512:64 0.000374724 +8 *512:57 0.000406139 +9 *512:56 0.0119027 +10 *512:47 0.013178 +11 *512:38 0.00690793 +12 *512:4 0.00592198 +13 *6032:DIODE *22404:A 6.50586e-05 +14 *6032:DIODE *22404:C 0.000174896 +15 *6032:DIODE *2357:51 1.55995e-05 +16 *6032:DIODE *2363:39 6.78596e-05 +17 *6130:DIODE *24002:A1 0.000220183 +18 *24002:A0 *24002:A1 4.66492e-05 +19 *512:38 *20727:B 0.000270116 +20 *512:38 *21436:B1 0.000476864 +21 *512:38 *22340:A1 0.000257013 +22 *512:38 *22404:C 2.16355e-05 +23 *512:38 *527:22 1.36768e-05 +24 *512:38 *1439:28 0.000794505 +25 *512:38 *1551:109 0.00073768 +26 *512:38 *1551:136 0.00308356 +27 *512:38 *1631:57 0.00177943 +28 *512:38 *1886:34 3.77568e-05 +29 *512:38 *2016:71 7.35713e-05 +30 *512:38 *2178:62 8.38182e-05 +31 *512:38 *2262:78 2.96444e-05 +32 *512:38 *2262:102 0.000109235 +33 *512:38 *2274:95 0.00123952 +34 *512:38 *2275:43 6.09935e-05 +35 *512:38 *2321:43 0.000309776 +36 *512:38 *2423:93 3.61584e-05 +37 *512:38 *2458:21 0.000195423 +38 *512:38 *2467:104 8.91705e-05 +39 *512:38 *2490:18 4.25398e-05 +40 *512:38 *3153:102 1.98867e-05 +41 *512:38 *3212:19 8.46427e-05 +42 *512:38 *3263:33 0.00013855 +43 *512:38 *3306:22 3.4544e-05 +44 *512:38 *4826:117 0.000556124 +45 *512:38 *5395:11 5.11466e-05 +46 *512:38 *5857:138 0.00131542 +47 *512:38 *5924:37 6.88492e-05 +48 *512:47 *20614:A 4.35662e-05 +49 *512:47 *24489:D 4.15201e-05 +50 *512:47 *1610:44 0.000812011 +51 *512:47 *2015:55 0 +52 *512:47 *2016:12 0.000396517 +53 *512:47 *2177:82 3.41463e-05 +54 *512:47 *2256:101 2.55576e-05 +55 *512:47 *2310:10 0.000156187 +56 *512:47 *2310:37 0.000309855 +57 *512:47 *2474:14 1.97706e-05 +58 *512:47 *4817:108 0.000480689 +59 *512:47 *4824:142 5.52569e-05 +60 *512:47 *4825:118 4.93462e-05 +61 *512:56 *518:57 0.000283157 +62 *512:56 *518:62 0.000191158 +63 *512:56 *1418:311 0.000723881 +64 *512:56 *1419:239 0 +65 *512:56 *2164:140 0 +66 *512:56 *2240:8 0.000111693 +67 *512:56 *2295:13 0.000676927 +68 *512:56 *2379:17 0 +69 *512:56 *2379:58 1.83721e-05 +70 *512:56 *2440:54 0 +71 *512:56 *2467:114 0.00110329 +72 *512:56 *2925:8 0.000353721 +73 *512:56 *3120:41 0 +74 *512:56 *3153:50 0.00104316 +75 *512:56 *3547:26 0 +76 *512:56 *4828:129 0.000663194 +77 *512:56 *4829:63 0.00239306 +78 *512:56 *4830:71 0 +79 *512:56 *4833:77 0.000343128 +80 *512:57 *1439:191 0.000106215 +81 *512:64 *23978:A1 0 +82 *512:64 *23979:S 0.000126934 +83 *512:64 *1439:191 0.000375013 +84 *512:64 *2291:10 0 +85 *512:64 *2667:20 0 +86 *24002:S *6130:DIODE 0.000220183 +87 *24002:S *24002:A0 6.08467e-05 +*RES +1 *22404:Y *512:4 9.24915 +2 *512:4 *6032:DIODE 17.1824 +3 *512:4 *512:38 48.6205 +4 *512:38 *512:47 40.3256 +5 *512:47 *512:56 44.3668 +6 *512:56 *512:57 1.278 +7 *512:57 *512:64 15.7135 +8 *512:64 *6130:DIODE 11.6364 +9 *512:64 *24002:A0 9.97254 +10 *512:57 *6043:DIODE 9.24915 +11 *512:56 *6054:DIODE 9.24915 +*END + +*D_NET *513 0.00257909 +*CONN +*I *24003:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24002:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24003:A0 0.000670327 +2 *24002:X 0.000670327 +3 *24003:A0 *539:55 6.50586e-05 +4 *24003:A0 *1439:191 2.16355e-05 +5 *24003:A0 *2291:15 0.000916275 +6 *24003:A0 *2859:8 3.32476e-05 +7 *24003:A0 *2859:14 6.53156e-05 +8 *24003:A0 *4877:22 0.000136905 +*RES +1 *24002:X *24003:A0 41.0358 +*END + +*D_NET *514 0.0741809 +*CONN +*I *24004:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22426:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *24004:A0 4.12967e-05 +2 *22426:Y 0.00133334 +3 *514:106 0.00170104 +4 *514:101 0.00271495 +5 *514:98 0.00144522 +6 *514:93 0.00278479 +7 *514:85 0.00333869 +8 *514:69 0.00233021 +9 *514:68 0.00219418 +10 *514:65 0.00196362 +11 *514:58 0.00242815 +12 *514:44 0.00178031 +13 *514:41 0.00152764 +14 *514:31 0.00376284 +15 *514:28 0.00500536 +16 *514:16 0.00359563 +17 *24004:A0 *515:13 6.50727e-05 +18 *514:16 *21351:B1 0.00180144 +19 *514:16 *22429:A2 0.000107906 +20 *514:16 *22467:A1 0.000109262 +21 *514:16 *1733:14 0.000571414 +22 *514:16 *1766:82 7.27444e-05 +23 *514:16 *2913:30 0.000390353 +24 *514:16 *2976:45 9.84424e-06 +25 *514:16 *3003:34 0.000181328 +26 *514:16 *3177:168 3.74542e-05 +27 *514:28 *20450:A2 0 +28 *514:28 *21351:B1 0.00289709 +29 *514:28 *21682:A1 0.000142922 +30 *514:28 *21683:C1 0.000224395 +31 *514:28 *24634:SET_B 3.63593e-05 +32 *514:28 *1678:35 0.000154145 +33 *514:28 *1689:57 0.000126688 +34 *514:28 *1730:83 0.000319905 +35 *514:28 *1735:175 9.28993e-05 +36 *514:28 *1761:74 3.29488e-05 +37 *514:28 *1762:40 0.000253916 +38 *514:28 *2789:12 2.98308e-05 +39 *514:28 *2974:13 0.00051283 +40 *514:28 *5869:201 0.000126392 +41 *514:31 *23368:A 8.02042e-05 +42 *514:31 *4864:7 0.00316991 +43 *514:41 *24206:RESET_B 4.77259e-05 +44 *514:41 *24834:RESET_B 0.000107496 +45 *514:41 *1756:43 0.000746282 +46 *514:41 *1786:34 0 +47 *514:41 *1786:43 0.00023905 +48 *514:41 *2939:13 5.05252e-05 +49 *514:41 *5563:44 0.000596532 +50 *514:44 *1763:26 0.000155006 +51 *514:44 *1763:37 0.000344087 +52 *514:44 *1783:54 0 +53 *514:44 *2502:23 0.000393577 +54 *514:58 *19751:A 0.00026787 +55 *514:58 *20128:B 0.000198204 +56 *514:58 *20129:B2 8.19483e-05 +57 *514:58 *20332:B 0.000107154 +58 *514:58 *22121:A1 1.98271e-05 +59 *514:58 *551:13 9.75356e-05 +60 *514:58 *1471:128 2.55255e-05 +61 *514:58 *1697:39 0.0018258 +62 *514:58 *1756:31 0 +63 *514:58 *1763:37 0 +64 *514:58 *1783:67 0.0012257 +65 *514:58 *2502:11 0 +66 *514:58 *2505:50 0 +67 *514:58 *2963:96 0.00012424 +68 *514:65 *20107:A1 3.8713e-05 +69 *514:65 *561:28 0 +70 *514:65 *1731:59 0.000160617 +71 *514:65 *1862:15 3.7368e-05 +72 *514:65 *2505:50 0 +73 *514:68 *24028:A1 0.000195753 +74 *514:68 *24825:D 0 +75 *514:68 *1815:34 3.04407e-05 +76 *514:68 *5482:63 0.000216105 +77 *514:68 *5482:69 0.000515282 +78 *514:68 *5929:42 0.000508224 +79 *514:69 *19727:A2 0.000264586 +80 *514:69 *19727:B2 4.41269e-05 +81 *514:69 *1508:50 9.32983e-05 +82 *514:69 *1815:34 0.000472818 +83 *514:69 *3060:19 0.000138827 +84 *514:69 *3060:21 0.004583 +85 *514:85 *19627:B1 3.82228e-05 +86 *514:85 *19719:A 0.000222928 +87 *514:85 *19720:A 6.50727e-05 +88 *514:85 *19723:A1 5.10507e-05 +89 *514:85 *19723:A2 0.000133592 +90 *514:85 *19723:B2 0.000224395 +91 *514:85 *20223:A1 0.000180515 +92 *514:85 *20223:B1 2.15348e-05 +93 *514:85 *24759:D 3.52569e-05 +94 *514:85 *1467:93 0 +95 *514:85 *1506:158 2.20702e-05 +96 *514:85 *1508:50 2.43314e-05 +97 *514:85 *3060:11 3.12913e-05 +98 *514:85 *3060:19 0.00167658 +99 *514:85 *4929:25 6.50465e-05 +100 *514:93 *21084:B2 2.16355e-05 +101 *514:93 *24219:D 0.00035787 +102 *514:93 *2206:43 7.13972e-05 +103 *514:93 *2579:16 0.000111708 +104 *514:93 *3060:11 0.000266666 +105 *514:93 *5243:7 8.41713e-05 +106 *514:98 *21080:A1 3.90143e-05 +107 *514:98 *24672:CLK 0 +108 *514:98 *1472:14 0.000781684 +109 *514:98 *4845:463 0 +110 *514:98 *4863:61 6.68634e-05 +111 *514:98 *5253:9 0.000148144 +112 *514:98 *5858:415 0.000179581 +113 *514:98 *5858:429 1.86178e-05 +114 *514:101 *21080:A2 0.000612765 +115 *514:101 *2864:11 0.00118181 +116 *514:101 *5851:311 0.000293585 +117 *514:101 *5854:351 0.00026127 +118 *514:106 *20363:A2 1.44925e-05 +119 *514:106 *20363:B1 2.16355e-05 +120 *514:106 *20367:B2 0.000147325 +121 *514:106 *20950:B2 0.000423908 +122 *514:106 *21954:A 0.000262003 +123 *514:106 *24004:A1 3.20069e-06 +124 *514:106 *24667:D 0.000459901 +125 *514:106 *516:26 0.000216088 +126 *514:106 *1934:49 5.02625e-05 +127 *514:106 *2158:30 6.49003e-05 +128 *514:106 *4915:88 0.000149643 +129 *514:106 *5854:351 0.000194358 +130 *514:106 *5854:365 0.000155165 +131 *514:106 *5854:372 1.11594e-05 +132 *20108:B_N *514:65 0 +133 *20124:S *514:58 8.9408e-06 +134 *20124:S *514:65 0.000203583 +135 *460:21 *514:41 7.62854e-05 +136 *484:81 *514:58 7.51724e-05 +137 *486:10 *514:65 0.000906453 +*RES +1 *22426:Y *514:16 44.0589 +2 *514:16 *514:28 48.6942 +3 *514:28 *514:31 49.5917 +4 *514:31 *514:41 40.9862 +5 *514:41 *514:44 18.2833 +6 *514:44 *514:58 47.7207 +7 *514:58 *514:65 38.9078 +8 *514:65 *514:68 30.8319 +9 *514:68 *514:69 53.9653 +10 *514:69 *514:85 39.9554 +11 *514:85 *514:93 45.3478 +12 *514:93 *514:98 19.5224 +13 *514:98 *514:101 34.301 +14 *514:101 *514:106 49.3404 +15 *514:106 *24004:A0 14.4725 +*END + +*D_NET *515 0.00794775 +*CONN +*I *24005:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24004:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24005:A0 0.000877236 +2 *24004:X 0.000942569 +3 *515:13 0.00181981 +4 *24005:A0 *1933:70 0.000164815 +5 *24005:A0 *2428:13 0.000812278 +6 *24005:A0 *4843:531 0.000192054 +7 *24005:A0 *5960:27 0.000491259 +8 *515:13 *20362:B2 5.08751e-05 +9 *515:13 *21891:A 0.000207266 +10 *515:13 *518:82 0.0008654 +11 *515:13 *1934:32 0.000716734 +12 *515:13 *4843:531 1.80257e-05 +13 *515:13 *5960:27 1.8867e-05 +14 *515:13 *5960:47 0.000447069 +15 *21004:A2 *24005:A0 0.000167076 +16 *24004:A0 *515:13 6.50727e-05 +17 *24004:S *515:13 9.13498e-05 +*RES +1 *24004:X *515:13 37.9439 +2 *515:13 *24005:A0 37.7027 +*END + +*D_NET *516 0.0325929 +*CONN +*I *24006:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6080:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22448:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *24006:A0 0.000193194 +2 *6080:DIODE 0 +3 *22448:Y 0.00568114 +4 *516:29 0.00058831 +5 *516:26 0.00319238 +6 *516:25 0.00362095 +7 *516:19 0.00650482 +8 *24006:A0 *23983:A0 0.000309968 +9 *516:19 *19795:A 1.57386e-05 +10 *516:19 *21455:A2 0 +11 *516:19 *1438:78 5.70235e-05 +12 *516:19 *1510:64 0 +13 *516:19 *1511:23 0.000116217 +14 *516:19 *1511:50 0 +15 *516:19 *1671:17 0.000667471 +16 *516:19 *1711:65 0.000366111 +17 *516:19 *1766:13 9.3418e-05 +18 *516:19 *1766:21 1.06238e-05 +19 *516:19 *1766:67 0 +20 *516:19 *1766:82 1.68091e-05 +21 *516:19 *2401:11 0.000487758 +22 *516:19 *2469:35 0.000243851 +23 *516:19 *2697:65 4.91312e-05 +24 *516:19 *2808:32 9.91972e-05 +25 *516:19 *2809:31 0.000136709 +26 *516:19 *2950:125 0.00198467 +27 *516:19 *2959:43 6.23875e-05 +28 *516:19 *2981:24 0.000608849 +29 *516:19 *2999:38 0.000121724 +30 *516:25 *19974:A 0.000143047 +31 *516:25 *21234:B2 0.000153754 +32 *516:25 *24895:D 0.000115451 +33 *516:25 *1450:79 0.000143758 +34 *516:25 *1453:7 0.000113968 +35 *516:25 *1669:130 0.000853556 +36 *516:25 *2270:133 0.000459929 +37 *516:25 *2299:8 0.000206793 +38 *516:25 *2981:16 0.000167053 +39 *516:25 *2981:24 0.000258789 +40 *516:25 *5859:352 0.000192174 +41 *516:26 *19614:B2 0.000213052 +42 *516:26 *20237:B1 1.5714e-05 +43 *516:26 *20357:A 0.00037504 +44 *516:26 *20358:A 0.000104731 +45 *516:26 *21471:A 3.3336e-05 +46 *516:26 *21878:A 0.000113584 +47 *516:26 *24004:A1 3.18679e-05 +48 *516:26 *24095:A0 3.75235e-05 +49 *516:26 *522:24 5.89758e-06 +50 *516:26 *522:28 0.00166399 +51 *516:26 *522:32 0.000274912 +52 *516:26 *541:70 0 +53 *516:26 *1453:15 1.09738e-05 +54 *516:26 *1669:113 0.000237287 +55 *516:26 *1690:26 0.000291002 +56 *516:26 *1933:8 0.000126113 +57 *516:26 *2299:8 0 +58 *516:26 *2398:55 0 +59 *516:26 *2667:8 0.000403908 +60 *516:26 *3547:26 8.11463e-06 +61 *516:26 *4915:88 7.98266e-05 +62 *516:26 *5854:372 0 +63 *516:26 *5854:466 2.1558e-06 +64 *516:26 *5857:338 1.31215e-05 +65 *516:26 *5857:368 0 +66 *516:29 *21506:B1 2.9373e-05 +67 *516:29 *23983:A0 0.000260374 +68 *516:29 *1779:16 8.2383e-06 +69 *24076:A0 *516:26 0 +70 *496:8 *516:26 0 +71 *496:19 *516:26 0 +72 *514:106 *516:26 0.000216088 +*RES +1 *22448:Y *516:19 40.9498 +2 *516:19 *516:25 40.6997 +3 *516:25 *516:26 82.8062 +4 *516:26 *516:29 10.7694 +5 *516:29 *6080:DIODE 9.24915 +6 *516:29 *24006:A0 12.7456 +*END + +*D_NET *517 0.00866368 +*CONN +*I *24007:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24006:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24007:A0 0.00086482 +2 *24006:X 0.000642137 +3 *517:15 0.00150696 +4 *24007:A0 *21004:A1 0.000164843 +5 *24007:A0 *21004:B2 7.60348e-05 +6 *24007:A0 *23983:S 4.33655e-05 +7 *24007:A0 *24007:A1 4.0752e-05 +8 *24007:A0 *24288:CLK 1.72544e-05 +9 *24007:A0 *5974:7 0.000434067 +10 *517:15 *23983:A0 0.000229606 +11 *517:15 *23983:S 0.000164843 +12 *517:15 *23986:S 0.000670084 +13 *517:15 *24006:A1 0.000110583 +14 *517:15 *547:49 0.000592896 +15 *517:15 *1439:203 0.00263923 +16 *517:15 *1779:16 0.000113968 +17 *517:15 *4829:10 2.41483e-05 +18 *517:15 *4955:27 5.04829e-06 +19 *21003:A2 *24007:A0 0.000211478 +20 *21003:B1 *24007:A0 0 +21 *21004:B1 *24007:A0 5.0715e-05 +22 *408:11 *517:15 6.08467e-05 +*RES +1 *24006:X *517:15 44.2133 +2 *517:15 *24007:A0 29.239 +*END + +*D_NET *518 0.0794474 +*CONN +*I *24008:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6065:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22470:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *24008:A0 0.000147997 +2 *6065:DIODE 0 +3 *22470:Y 0.00257718 +4 *518:82 0.000795482 +5 *518:75 0.00300849 +6 *518:62 0.00426423 +7 *518:60 0.00193945 +8 *518:58 0.00205882 +9 *518:57 0.00229043 +10 *518:46 0.00251312 +11 *518:44 0.00594326 +12 *518:31 0.00470493 +13 *518:15 0.00358413 +14 *24008:A0 *24008:A1 6.50586e-05 +15 *24008:A0 *1744:42 0.000182069 +16 *24008:A0 *1934:32 5.82465e-05 +17 *518:15 *22010:A2 0.00138334 +18 *518:15 *22269:B1 0.00314882 +19 *518:15 *22601:B1 0.00190732 +20 *518:15 *22625:B1 1.0627e-05 +21 *518:15 *1562:50 0.000109248 +22 *518:15 *1570:76 0.000102222 +23 *518:15 *1588:131 3.83847e-05 +24 *518:15 *1717:133 0.000347214 +25 *518:15 *1744:204 0.0018342 +26 *518:15 *1744:219 3.16381e-05 +27 *518:15 *1757:120 5.04829e-06 +28 *518:15 *1788:89 0.000228673 +29 *518:15 *1788:102 0.000258892 +30 *518:15 *2286:53 0.000133334 +31 *518:15 *2604:50 3.34307e-05 +32 *518:15 *2908:29 4.85871e-06 +33 *518:15 *3022:45 0.000250775 +34 *518:15 *3476:116 1.10848e-05 +35 *518:15 *3739:8 2.0385e-05 +36 *518:15 *5919:40 0.000109176 +37 *518:31 *22617:B 0.000111201 +38 *518:31 *22625:B1 4.60716e-05 +39 *518:31 *22677:B2 0.000154145 +40 *518:31 *2286:53 2.60401e-05 +41 *518:31 *2679:41 0.000191753 +42 *518:31 *2857:18 0.00140356 +43 *518:31 *2880:45 9.43616e-05 +44 *518:31 *3126:34 4.20596e-05 +45 *518:31 *3322:11 0.000271111 +46 *518:31 *3491:204 2.81262e-05 +47 *518:31 *3498:256 0.00145957 +48 *518:31 *3500:152 7.68538e-06 +49 *518:31 *3531:43 3.84608e-05 +50 *518:31 *3637:18 0.00012774 +51 *518:31 *5919:40 4.90151e-05 +52 *518:44 *20614:A 5.21758e-06 +53 *518:44 *21247:B1 6.03237e-05 +54 *518:44 *22697:A1 0.000104754 +55 *518:44 *24489:SET_B 5.51275e-05 +56 *518:44 *1551:109 0.000777008 +57 *518:44 *1688:91 1.71936e-05 +58 *518:44 *2015:18 0.000101888 +59 *518:44 *2015:55 0.000476897 +60 *518:44 *2015:78 0.00093179 +61 *518:44 *2016:29 0 +62 *518:44 *2256:88 0.000100908 +63 *518:44 *2397:13 0.00302297 +64 *518:44 *2467:104 0.000231479 +65 *518:44 *2467:114 0.00014525 +66 *518:44 *2676:26 0.000904236 +67 *518:44 *2679:41 0.000435571 +68 *518:44 *2687:68 0.00167657 +69 *518:44 *3531:43 0.000404451 +70 *518:44 *4833:130 0.000104754 +71 *518:44 *5857:138 0.0012313 +72 *518:46 *20205:A 0.000217019 +73 *518:46 *20616:A2 0.00025022 +74 *518:46 *20616:B2 0.00014228 +75 *518:46 *21341:A 5.79911e-05 +76 *518:46 *24248:D 3.91685e-05 +77 *518:46 *24363:D 0.000120548 +78 *518:46 *1417:8 0.00027362 +79 *518:46 *1418:29 0.000204247 +80 *518:46 *1573:113 0.000129841 +81 *518:46 *1884:19 9.2346e-06 +82 *518:46 *2015:18 0 +83 *518:46 *2016:29 0.000233768 +84 *518:46 *2016:31 0.000375168 +85 *518:46 *2111:17 0 +86 *518:46 *2115:26 9.62952e-05 +87 *518:46 *2115:198 0.000158299 +88 *518:46 *2115:210 0.000325945 +89 *518:46 *2120:12 0 +90 *518:46 *2397:76 4.43144e-05 +91 *518:46 *2467:114 0 +92 *518:46 *2467:123 1.33885e-05 +93 *518:46 *2511:8 1.49869e-05 +94 *518:46 *2610:70 0.000153161 +95 *518:46 *3092:23 5.27775e-05 +96 *518:46 *3153:26 9.11073e-05 +97 *518:46 *3153:50 0.00015186 +98 *518:46 *4823:123 4.42987e-06 +99 *518:46 *4823:124 0.003063 +100 *518:46 *5394:8 2.60426e-05 +101 *518:57 *21893:B1 1.36768e-05 +102 *518:57 *1679:37 3.689e-05 +103 *518:57 *4830:71 2.66468e-05 +104 *518:58 *20824:A 0.000206885 +105 *518:58 *20829:A2 0 +106 *518:58 *20829:B1 0.000334204 +107 *518:58 *20840:A 0.000554581 +108 *518:58 *20841:C 0.000225069 +109 *518:58 *20850:C 4.24063e-05 +110 *518:58 *20851:B2 0.000682076 +111 *518:58 *24370:D 0 +112 *518:58 *1701:20 4.5334e-05 +113 *518:58 *2095:9 1.91391e-05 +114 *518:58 *2108:8 1.69821e-05 +115 *518:58 *2112:22 0.00116967 +116 *518:58 *2113:21 6.85058e-05 +117 *518:58 *2240:8 0.000555357 +118 *518:58 *2384:103 0.000305954 +119 *518:58 *3177:37 5.12139e-05 +120 *518:58 *5946:22 5.21168e-05 +121 *518:58 *5946:36 0.000140606 +122 *518:58 *5952:23 0 +123 *518:58 *5956:48 0.000304812 +124 *518:58 *6028:93 0 +125 *518:62 *19581:B 3.12316e-05 +126 *518:62 *24282:D 0.000278921 +127 *518:62 *24284:RESET_B 0.000381586 +128 *518:62 *1428:93 0.000137659 +129 *518:62 *1428:94 2.17447e-05 +130 *518:62 *1701:20 0.00020547 +131 *518:62 *2240:8 0.00113423 +132 *518:62 *2428:53 0.00264606 +133 *518:62 *2428:63 1.3813e-05 +134 *518:62 *5871:481 0.000715177 +135 *518:62 *6027:66 0.000107344 +136 *518:62 *6027:68 5.90632e-05 +137 *518:62 *6027:70 1.47102e-05 +138 *518:62 *6027:249 0.000327244 +139 *518:75 *21967:A1_N 0.00107574 +140 *518:75 *21967:A2_N 1.73176e-05 +141 *518:75 *21967:B1 2.63805e-05 +142 *518:75 *2428:30 0.000164815 +143 *518:75 *4877:105 0.000145053 +144 *518:82 *1744:42 0.000130792 +145 *518:82 *1933:62 0 +146 *518:82 *1934:26 7.50722e-05 +147 *518:82 *1934:32 3.84001e-05 +148 *518:82 *4843:545 2.652e-05 +149 *21005:B1 *518:62 2.652e-05 +150 *497:50 *24008:A0 0.000107496 +151 *497:52 *24008:A0 0.000158357 +152 *512:56 *518:57 0.000283157 +153 *512:56 *518:62 0.000191158 +154 *515:13 *518:82 0.0008654 +*RES +1 *22470:Y *518:15 49.7993 +2 *518:15 *518:31 44.6828 +3 *518:31 *518:44 45.955 +4 *518:44 *518:46 77.4079 +5 *518:46 *518:57 8.36554 +6 *518:57 *518:58 67.2342 +7 *518:58 *518:60 0.732798 +8 *518:60 *518:62 74.5011 +9 *518:62 *518:75 48.9315 +10 *518:75 *518:82 22.261 +11 *518:82 *6065:DIODE 13.7491 +12 *518:82 *24008:A0 19.3535 +*END + +*D_NET *519 0.0098016 +*CONN +*I *24009:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24008:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24009:A0 0.000589586 +2 *24008:X 0.00162016 +3 *519:11 0.00176737 +4 *519:7 0.00279794 +5 *24009:A0 *24009:S 0.000561235 +6 *24009:A0 *2267:21 0.0002646 +7 *24009:A0 *2859:8 0.000277313 +8 *24009:A0 *6027:68 0.000208961 +9 *24009:A0 *6027:70 0.00036583 +10 *24009:A0 *6027:72 0.000135905 +11 *519:7 *24668:D 0.000108054 +12 *519:7 *523:10 0.000211464 +13 *519:11 *24005:A1 0.0002646 +14 *519:11 *24262:D 3.21493e-05 +15 *519:11 *5198:7 0.000111722 +16 *519:11 *5987:11 4.6284e-05 +17 *497:50 *519:7 0.000388678 +18 *497:52 *519:7 4.97617e-05 +*RES +1 *24008:X *519:7 34.3992 +2 *519:7 *519:11 30.7352 +3 *519:11 *24009:A0 35.9635 +*END + +*D_NET *520 0.0916398 +*CONN +*I *24010:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6076:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22492:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *24010:A0 0 +2 *6076:DIODE 7.99392e-05 +3 *22492:Y 0.00259205 +4 *520:63 0.00189175 +5 *520:45 0.00418322 +6 *520:33 0.00399292 +7 *520:28 0.00610753 +8 *520:27 0.012914 +9 *520:17 0.01102 +10 *6076:DIODE *23986:A1 2.16355e-05 +11 *520:17 *21500:B1 1.5714e-05 +12 *520:17 *22486:A1 0.00103184 +13 *520:17 *22539:A2 8.08437e-05 +14 *520:17 *1655:29 1.65548e-05 +15 *520:17 *1788:77 0.00162184 +16 *520:17 *2523:84 0.00141978 +17 *520:17 *2557:20 2.09205e-05 +18 *520:17 *2726:22 0.000421417 +19 *520:17 *3019:23 0.000111938 +20 *520:17 *3114:96 9.89376e-05 +21 *520:17 *3148:206 0 +22 *520:17 *3148:226 0.000294316 +23 *520:17 *3466:82 0.00014841 +24 *520:17 *3476:71 0.000144905 +25 *520:17 *3507:34 0.000381732 +26 *520:17 *4825:146 1.61821e-05 +27 *520:27 *21194:B1 0.000759499 +28 *520:27 *22312:A2 0.000276989 +29 *520:27 *22322:A2 0.00312531 +30 *520:27 *22539:B2 0.00107741 +31 *520:27 *22636:A2 0.000150417 +32 *520:27 *22644:A1 1.86819e-05 +33 *520:27 *529:41 1.41703e-05 +34 *520:27 *1616:42 0.000136287 +35 *520:27 *1788:77 7.45249e-05 +36 *520:27 *1917:29 4.81733e-06 +37 *520:27 *2117:55 0.000114642 +38 *520:27 *2274:95 0.0002227 +39 *520:27 *2300:70 0.000429142 +40 *520:27 *2423:69 0.00273279 +41 *520:27 *2446:89 0.000359432 +42 *520:27 *2448:147 0.000100364 +43 *520:27 *2570:138 7.90348e-05 +44 *520:27 *2635:67 2.42732e-05 +45 *520:27 *2676:45 1.42924e-05 +46 *520:27 *2814:24 0.000194475 +47 *520:27 *2896:72 3.47489e-06 +48 *520:27 *3114:96 1.11706e-06 +49 *520:27 *3139:156 9.13389e-05 +50 *520:27 *3144:103 3.62017e-05 +51 *520:27 *3148:206 0.000499228 +52 *520:27 *3177:287 0.000204796 +53 *520:27 *3179:167 2.1929e-05 +54 *520:27 *3198:123 3.58128e-05 +55 *520:27 *3485:133 6.03122e-05 +56 *520:27 *3487:151 0.000349115 +57 *520:27 *4821:76 0.00719921 +58 *520:27 *4821:125 0.000253696 +59 *520:27 *4828:169 9.18667e-05 +60 *520:27 *4829:125 0.000153427 +61 *520:27 *4830:111 7.61852e-05 +62 *520:27 *5853:277 1.43499e-05 +63 *520:27 *5874:36 0.000152087 +64 *520:27 *5874:46 0 +65 *520:27 *5874:133 1.63723e-05 +66 *520:27 *5898:191 0.000605848 +67 *520:27 *5919:72 0.000709027 +68 *520:28 *539:40 2.5059e-05 +69 *520:28 *539:48 0 +70 *520:28 *1741:81 0.000604842 +71 *520:28 *2267:40 2.17447e-05 +72 *520:28 *2291:26 0.00206553 +73 *520:28 *2384:74 0.0037929 +74 *520:28 *2422:8 0 +75 *520:28 *2423:69 0.000100364 +76 *520:28 *2433:118 0.00376231 +77 *520:28 *2448:147 2.43605e-05 +78 *520:28 *2882:36 0.00139547 +79 *520:28 *2978:82 0.000664321 +80 *520:28 *4828:116 0.00291475 +81 *520:28 *5683:33 0.00143016 +82 *520:28 *5898:158 0.000580718 +83 *520:28 *6022:8 0 +84 *520:33 *21173:B1 1.03403e-05 +85 *520:33 *21173:B2 3.94161e-06 +86 *520:33 *25306:A 2.18041e-06 +87 *520:33 *5789:11 0.000229834 +88 *520:45 *21815:A 6.73022e-05 +89 *520:45 *24264:D 1.71673e-05 +90 *520:45 *24264:CLK 0.000217951 +91 *520:45 *2278:23 0.00104749 +92 *520:45 *2392:7 6.92705e-05 +93 *520:45 *2831:7 0.000118285 +94 *520:45 *2831:11 2.6231e-05 +95 *520:45 *5989:7 0.000231442 +96 *520:63 *20971:A1 0.000427804 +97 *520:63 *23986:A1 1.65872e-05 +98 *520:63 *24263:D 0.000115599 +99 *520:63 *24263:CLK 4.27958e-05 +100 *520:63 *24289:D 0.00010051 +101 *520:63 *24666:D 8.4465e-05 +102 *520:63 *24666:RESET_B 4.58003e-05 +103 *520:63 *2831:11 2.71152e-05 +104 *520:63 *2831:29 0.000366008 +105 *520:63 *2831:34 0.000498916 +106 mgmt_gpio_out[6] *520:27 5.67842e-06 +107 *20971:A2 *520:63 0.000171288 +108 *20971:B1 *520:63 7.03347e-05 +109 *24010:S *6076:DIODE 0.00027103 +110 *24010:S *520:63 0.000113968 +111 *24910:A *520:17 0.000724312 +112 *25174:A *520:27 2.05195e-05 +*RES +1 *22492:Y *520:17 35.2056 +2 *520:17 *520:27 29.11 +3 *520:27 *520:28 210.496 +4 *520:28 *520:33 28.5409 +5 *520:33 *520:45 48.2746 +6 *520:45 *520:63 40.4378 +7 *520:63 *6076:DIODE 12.191 +8 *520:63 *24010:A0 9.24915 +*END + +*D_NET *521 0.00925629 +*CONN +*I *24011:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24010:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24011:A0 0.000720555 +2 *24010:X 0.000724228 +3 *521:18 0.00234949 +4 *521:11 0.00235316 +5 *521:11 *20364:A1 4.96417e-05 +6 *521:11 *20364:A2 6.08467e-05 +7 *521:11 *20364:B1 0.000289293 +8 *521:11 *20364:B2 0.000158371 +9 *521:11 *23986:A1 3.67528e-06 +10 *521:11 *24289:CLK 3.14978e-05 +11 *521:11 *1744:42 0 +12 *521:11 *2273:6 0 +13 *521:18 *20364:A1 1.75637e-06 +14 *521:18 *24289:D 1.00937e-05 +15 *521:18 *24289:CLK 6.11359e-06 +16 *521:18 *2267:21 6.11359e-06 +17 *521:18 *2899:12 0.000630293 +18 *521:18 *5871:286 0.000108038 +19 *521:18 *5871:505 0.000652403 +20 *439:132 *24011:A0 0.000455558 +21 *439:143 *24011:A0 0.00064516 +*RES +1 *24010:X *521:11 36.4888 +2 *521:11 *521:18 41.6265 +3 *521:18 *24011:A0 21.6192 +*END + +*D_NET *522 0.0454254 +*CONN +*I *24012:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6082:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6081:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22514:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *24012:A0 0.00020734 +2 *6082:DIODE 0 +3 *6081:DIODE 7.38319e-05 +4 *22514:Y 0.00386788 +5 *522:39 0.000474234 +6 *522:32 0.00101512 +7 *522:28 0.00165465 +8 *522:24 0.00178854 +9 *522:18 0.00369601 +10 *522:17 0.00675561 +11 *6081:DIODE *5854:365 0.000115934 +12 *24012:A0 *24008:A1 1.61631e-05 +13 *24012:A0 *24012:A1 5.51483e-06 +14 *24012:A0 *5854:407 0.000248409 +15 *522:17 *21531:C1 0.000313185 +16 *522:17 *22005:C1 6.03237e-05 +17 *522:17 *22431:A1 0.000416833 +18 *522:17 *22727:A1 5.86296e-05 +19 *522:17 *1435:125 0.000157817 +20 *522:17 *1577:26 6.03237e-05 +21 *522:17 *1652:12 0.000456591 +22 *522:17 *1663:15 0.000269627 +23 *522:17 *2338:41 0.00122559 +24 *522:17 *2338:72 0.00045416 +25 *522:17 *2667:93 0.000676152 +26 *522:17 *2932:61 0.000290421 +27 *522:17 *2950:125 0.00118961 +28 *522:17 *3054:18 6.77316e-05 +29 *522:17 *3126:206 0.00028979 +30 *522:17 *3139:75 1.97661e-05 +31 *522:17 *3196:41 0.00304806 +32 *522:17 *3198:93 0.00028979 +33 *522:17 *3206:88 0.00239038 +34 *522:17 *3466:47 0.00150972 +35 *522:17 *3519:93 3.2927e-05 +36 *522:17 *5455:12 1.10948e-05 +37 *522:18 *19901:A 0.000115772 +38 *522:18 *21063:B1 0.000140056 +39 *522:18 *21065:B1 0.000294118 +40 *522:18 *21340:A2 6.62101e-05 +41 *522:18 *21882:A1 5.8518e-05 +42 *522:18 *21882:A2 0.000146089 +43 *522:18 *24233:D 8.89446e-05 +44 *522:18 *24772:RESET_B 5.65074e-05 +45 *522:18 *24897:D 3.618e-05 +46 *522:18 *1453:31 2.60879e-06 +47 *522:18 *1492:121 2.33193e-05 +48 *522:18 *1497:167 0.000241301 +49 *522:18 *1564:206 0.000198157 +50 *522:18 *1669:130 6.8045e-05 +51 *522:18 *1669:146 0.000127388 +52 *522:18 *1744:13 0 +53 *522:18 *2299:8 5.09857e-05 +54 *522:18 *2528:48 0.000888526 +55 *522:18 *2576:76 0.0003194 +56 *522:18 *2894:19 6.47245e-05 +57 *522:18 *2905:17 6.16319e-05 +58 *522:18 *2909:17 0.000324492 +59 *522:18 *3500:18 8.01987e-05 +60 *522:18 *3502:18 6.07515e-05 +61 *522:18 *3502:105 0.000104641 +62 *522:18 *5857:286 0.000175876 +63 *522:18 *5857:298 0.00149785 +64 *522:18 *5864:11 0 +65 *522:18 *5864:20 0.000247845 +66 *522:18 *5870:542 0.000140055 +67 *522:24 *19614:B2 0.000541347 +68 *522:24 *19618:B2 1.91246e-05 +69 *522:24 *24895:SET_B 3.3258e-05 +70 *522:24 *549:24 0.000373781 +71 *522:24 *1453:15 0.000172484 +72 *522:24 *1453:31 0.000479991 +73 *522:24 *1780:134 3.29488e-05 +74 *522:24 *5864:20 2.44468e-05 +75 *522:28 *541:66 0.000622419 +76 *522:28 *541:70 6.84784e-06 +77 *522:28 *549:24 0 +78 *522:32 *21878:A 0.000403258 +79 *522:32 *541:70 0.00146953 +80 *522:39 *5854:365 0.000348179 +81 *522:39 *5854:407 6.50727e-05 +82 *516:26 *522:24 5.89758e-06 +83 *516:26 *522:28 0.00166399 +84 *516:26 *522:32 0.000274912 +*RES +1 *22514:Y *522:17 40.9096 +2 *522:17 *522:18 84.8824 +3 *522:18 *522:24 26.0143 +4 *522:24 *522:28 33.0248 +5 *522:28 *522:32 31.4548 +6 *522:32 *6081:DIODE 10.5271 +7 *522:32 *522:39 4.60562 +8 *522:39 *6082:DIODE 9.24915 +9 *522:39 *24012:A0 12.7456 +*END + +*D_NET *523 0.0140367 +*CONN +*I *24013:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24012:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24013:A0 8.39558e-05 +2 *24012:X 0.000814348 +3 *523:11 0.00395338 +4 *523:10 0.00468377 +5 *24013:A0 *24013:S 7.78069e-05 +6 *24013:A0 *24174:CLK 0.000193864 +7 *24013:A0 *2439:10 4.26859e-05 +8 *523:10 *23986:S 0.000216088 +9 *523:10 *24008:A1 7.6719e-06 +10 *523:10 *24012:A1 9.75243e-05 +11 *523:10 *24976:A 0.000304998 +12 *523:10 *1502:90 2.95757e-05 +13 *523:10 *1780:61 0.000193751 +14 *523:10 *2437:8 0.000321643 +15 *523:10 *4946:40 0.000154145 +16 *523:11 *1502:90 6.08467e-05 +17 *523:11 *2385:13 7.23548e-05 +18 *523:11 *2559:9 3.41978e-05 +19 *523:11 *4844:474 0.000641395 +20 *523:11 *4877:66 0.000605754 +21 *21000:A2 *24013:A0 0 +22 *24004:S *523:10 6.08467e-05 +23 *24010:S *523:10 0.000163997 +24 *497:52 *523:10 4.66492e-05 +25 *497:54 *523:10 0.000246176 +26 *497:73 *523:10 0.000717824 +27 *519:7 *523:10 0.000211464 +*RES +1 *24012:X *523:10 49.4681 +2 *523:10 *523:11 59.5114 +3 *523:11 *24013:A0 21.9137 +*END + +*D_NET *524 0.0370892 +*CONN +*I *23970:S I *D sky130_fd_sc_hd__mux2_1 +*I *23974:S I *D sky130_fd_sc_hd__mux2_1 +*I *23978:S I *D sky130_fd_sc_hd__mux2_1 +*I *23976:S I *D sky130_fd_sc_hd__mux2_1 +*I *23966:S I *D sky130_fd_sc_hd__mux2_1 +*I *23968:S I *D sky130_fd_sc_hd__mux2_1 +*I *24095:S I *D sky130_fd_sc_hd__mux2_1 +*I *23972:S I *D sky130_fd_sc_hd__mux2_1 +*I *23988:S I *D sky130_fd_sc_hd__mux2_1 +*I *23980:S I *D sky130_fd_sc_hd__mux2_1 +*I *23984:S I *D sky130_fd_sc_hd__mux2_1 +*I *23986:S I *D sky130_fd_sc_hd__mux2_1 +*I *23982:S I *D sky130_fd_sc_hd__mux2_1 +*I *22515:Y O *D sky130_fd_sc_hd__nor3_4 +*CAP +1 *23970:S 0 +2 *23974:S 0.000281951 +3 *23978:S 0.000788613 +4 *23976:S 0 +5 *23966:S 0.000216783 +6 *23968:S 9.1009e-05 +7 *24095:S 0.000666025 +8 *23972:S 3.28185e-05 +9 *23988:S 0.000166714 +10 *23980:S 0.000167703 +11 *23984:S 0 +12 *23986:S 0.00021646 +13 *23982:S 2.71084e-05 +14 *22515:Y 0.00112455 +15 *524:118 0.000694144 +16 *524:103 0.00132314 +17 *524:67 0.000167703 +18 *524:48 0.000341689 +19 *524:44 0.000361258 +20 *524:43 0.00133677 +21 *524:36 0.00109315 +22 *524:35 0.00128612 +23 *524:23 0.000988964 +24 *524:18 0.0015162 +25 *524:16 0.00106118 +26 *524:11 0.00023857 +27 *524:6 0.00118446 +28 *23966:S *21859:A 6.08467e-05 +29 *23966:S *23966:A0 3.31745e-05 +30 *23966:S *530:18 0.000314269 +31 *23966:S *4866:12 0.000312239 +32 *23966:S *5726:31 0.000154145 +33 *23968:S *23968:A0 0.000111722 +34 *23968:S *23968:A1 0.000207266 +35 *23968:S *4948:11 0.000107496 +36 *23972:S *6109:DIODE 6.08467e-05 +37 *23972:S *534:8 6.73186e-05 +38 *23972:S *1744:38 4.82966e-05 +39 *23974:S *23974:A1 6.08467e-05 +40 *23974:S *4949:34 0.000160617 +41 *23978:S *20974:B2 0.000333627 +42 *23978:S *23977:A0 0 +43 *23978:S *1439:191 0.000409409 +44 *23978:S *2378:12 0 +45 *23978:S *4953:10 0.000161722 +46 *23978:S *5972:8 0.000209493 +47 *23980:S *6144:DIODE 0.000171288 +48 *23980:S *23984:A0 9.97706e-05 +49 *23980:S *23984:A1 0.000324166 +50 *23980:S *542:11 0.00013881 +51 *23980:S *2428:30 0.000162959 +52 *23982:S *2560:21 0.000275256 +53 *23982:S *5852:9 0.000271044 +54 *23986:S *6145:DIODE 0.00027103 +55 *23986:S *23983:A0 0.000117292 +56 *23986:S *547:49 9.97706e-05 +57 *23986:S *2437:8 0.000212506 +58 *23986:S *2560:21 0.000142194 +59 *23986:S *5852:9 0.000154145 +60 *23988:S *550:13 0.000716762 +61 *23988:S *1744:38 9.75356e-05 +62 *23988:S *2420:34 2.32311e-05 +63 *23988:S *4915:88 5.3103e-05 +64 *23988:S *4915:98 0.000612793 +65 *24095:S *526:16 1.82679e-05 +66 *24095:S *2398:55 0.000107496 +67 *24095:S *2446:33 0.000854491 +68 *24095:S *2645:27 0.000136768 +69 *24095:S *2907:6 0.000140365 +70 *524:6 *20818:A1 0.000573512 +71 *524:6 *22530:B 0.000106246 +72 *524:6 *24371:D 0.000114234 +73 *524:6 *24908:CLK 4.43111e-05 +74 *524:6 *541:46 0.000958531 +75 *524:6 *2378:12 0 +76 *524:6 *2759:27 0.000122083 +77 *524:6 *4829:50 0 +78 *524:6 *4829:57 0.000314188 +79 *524:6 *4875:6 5.5068e-05 +80 *524:11 *23994:A1 0.000302258 +81 *524:11 *3212:87 0.000159891 +82 *524:16 *23994:A1 6.92705e-05 +83 *524:16 *532:12 3.00073e-05 +84 *524:16 *545:37 0.000235915 +85 *524:16 *2645:34 1.56657e-05 +86 *524:16 *2720:12 0 +87 *524:16 *3212:87 0.000211478 +88 *524:18 *23977:A0 3.83492e-06 +89 *524:18 *545:37 0.000774619 +90 *524:18 *2720:12 0 +91 *524:23 *23977:A0 2.33103e-06 +92 *524:23 *537:33 0.000225229 +93 *524:23 *1520:39 0.000559658 +94 *524:35 *6131:DIODE 6.08467e-05 +95 *524:35 *537:33 0.000107496 +96 *524:35 *1520:39 1.15389e-05 +97 *524:35 *2437:23 0.000406829 +98 *524:35 *2440:10 0 +99 *524:35 *4832:109 0.00066447 +100 *524:35 *4843:525 5.65463e-05 +101 *524:35 *4952:23 0.000107496 +102 *524:36 *533:8 0.000279768 +103 *524:36 *1573:59 8.12425e-05 +104 *524:36 *4866:12 1.56359e-05 +105 *524:43 *20233:A1 3.77804e-05 +106 *524:43 *24669:D 0.000280816 +107 *524:43 *24978:A 0.000160617 +108 *524:43 *533:8 2.95757e-05 +109 *524:43 *550:13 7.77309e-06 +110 *524:43 *1933:62 9.37736e-06 +111 *524:43 *1934:32 0.000209884 +112 *524:43 *2273:6 0 +113 *524:43 *4829:20 0.000139435 +114 *524:43 *4844:372 0.000703508 +115 *524:43 *4844:382 6.00842e-05 +116 *524:43 *4866:12 0.000219393 +117 *524:43 *4866:15 0.000197281 +118 *524:43 *4915:106 9.82896e-06 +119 *524:44 *24976:A 1.71577e-05 +120 *524:44 *1744:38 7.50722e-05 +121 *524:44 *2420:34 0.000130506 +122 *524:48 *2420:34 3.65842e-05 +123 *524:103 *533:8 0.000284644 +124 *524:103 *2446:33 0.000102003 +125 *524:103 *4866:12 6.14963e-05 +126 *524:118 *530:18 7.50722e-05 +127 *524:118 *533:8 6.23765e-05 +128 *524:118 *4866:12 0.000648585 +129 *524:118 *4948:10 0.000111592 +130 *439:194 *23978:S 0.000611514 +131 *439:212 *23978:S 0.000408714 +132 *497:73 *524:44 0.000372958 +133 *497:73 *524:48 0.000163997 +134 *500:89 *23974:S 5.22909e-05 +135 *500:95 *23968:S 2.15348e-05 +136 *501:14 *23968:S 1.41689e-05 +137 *501:22 *23974:S 0.000513023 +138 *502:42 *524:11 7.10594e-05 +139 *502:45 *23968:S 0.000211492 +140 *505:15 *524:11 3.41459e-05 +141 *517:15 *23986:S 0.000670084 +142 *523:10 *23986:S 0.000216088 +*RES +1 *22515:Y *524:6 49.2166 +2 *524:6 *524:11 8.57513 +3 *524:11 *524:16 11.2107 +4 *524:16 *524:18 15.7429 +5 *524:18 *524:23 11.148 +6 *524:23 *524:35 17.3556 +7 *524:35 *524:36 5.36164 +8 *524:36 *524:43 36.0637 +9 *524:43 *524:44 9.30653 +10 *524:44 *524:48 7.57775 +11 *524:48 *23982:S 12.191 +12 *524:48 *23986:S 31.3686 +13 *524:44 *524:67 4.5 +14 *524:67 *23984:S 9.24915 +15 *524:67 *23980:S 17.7852 +16 *524:43 *23988:S 24.0931 +17 *524:36 *23972:S 15.5817 +18 *524:35 *524:103 11.5549 +19 *524:103 *24095:S 31.7867 +20 *524:103 *524:118 17.1286 +21 *524:118 *23968:S 18.3789 +22 *524:118 *23966:S 21.9815 +23 *524:23 *23976:S 9.24915 +24 *524:18 *23978:S 40.6782 +25 *524:16 *23974:S 22.2611 +26 *524:11 *23970:S 9.24915 +*END + +*D_NET *525 0.000810834 +*CONN +*I *24095:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22599:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24095:A0 0.000199232 +2 *22599:X 0.000199232 +3 *24095:A0 *21953:A1 0.000167076 +4 *24095:A0 *21959:B1 0.000161094 +5 *24095:A0 *526:16 3.14978e-05 +6 *24095:A0 *2398:55 1.51778e-05 +7 *516:26 *24095:A0 3.75235e-05 +*RES +1 *22599:X *24095:A0 32.7168 +*END + +*D_NET *526 0.0107768 +*CONN +*I *20979:A I *D sky130_fd_sc_hd__inv_2 +*I *24095:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20979:A 0 +2 *24095:X 0.00107513 +3 *526:19 0.00165341 +4 *526:16 0.00272854 +5 *526:16 *20235:B2 1.5714e-05 +6 *526:16 *21959:B1 0.000128009 +7 *526:16 *1636:20 1.75155e-06 +8 *526:16 *1894:25 3.77568e-05 +9 *526:16 *2398:55 4.29445e-05 +10 *526:16 *2420:34 1.9101e-05 +11 *526:16 *4950:39 4.12977e-05 +12 *526:16 *5874:79 0.000645654 +13 *526:19 *1428:93 0.000311249 +14 *24095:A0 *526:16 3.14978e-05 +15 *24095:A1 *526:16 1.03403e-05 +16 *24095:S *526:16 1.82679e-05 +17 *74:18 *526:16 0.00168483 +18 *507:11 *526:19 0.00141733 +19 *507:21 *526:19 0.000913981 +*RES +1 *24095:X *526:16 37.5462 +2 *526:16 *526:19 44.0066 +3 *526:19 *20979:A 9.24915 +*END + +*D_NET *527 0.0803733 +*CONN +*I *23966:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6087:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22617:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *23966:A0 7.72605e-05 +2 *6087:DIODE 0.000166094 +3 *22617:Y 7.19411e-05 +4 *527:80 0.00216357 +5 *527:71 0.00294363 +6 *527:69 0.00452643 +7 *527:52 0.00405994 +8 *527:51 0.000608278 +9 *527:46 0.000817004 +10 *527:44 0.00398675 +11 *527:22 0.00562105 +12 *527:11 0.00360799 +13 *527:8 0.00127998 +14 *6087:DIODE *530:18 0.000107052 +15 *6087:DIODE *533:8 0.00015298 +16 *6087:DIODE *3212:87 0.000111722 +17 *6087:DIODE *4866:12 1.27398e-05 +18 *23966:A0 *21859:A 0.000217923 +19 *23966:A0 *528:26 0.000423922 +20 *23966:A0 *530:18 2.16355e-05 +21 *23966:A0 *5726:31 5.51483e-06 +22 *527:8 *22625:B1 3.63593e-05 +23 *527:8 *1635:47 7.94607e-05 +24 *527:8 *2857:18 1.53148e-05 +25 *527:11 *22677:A1 2.57986e-05 +26 *527:11 *22713:A2 4.94594e-05 +27 *527:11 *22714:B2 0.000296412 +28 *527:11 *22725:C 5.481e-05 +29 *527:11 *2311:33 8.82889e-05 +30 *527:11 *2480:38 1.10297e-05 +31 *527:11 *2857:11 4.46468e-05 +32 *527:11 *2857:18 0.00216362 +33 *527:11 *3476:195 0.000212189 +34 *527:11 *3498:211 0.00012391 +35 *527:11 *3498:231 0.000248257 +36 *527:11 *3500:108 0.00119551 +37 *527:11 *3500:152 0.000627753 +38 *527:11 *3666:13 0.000260343 +39 *527:22 *21248:A2 4.03324e-05 +40 *527:22 *21841:A 6.08467e-05 +41 *527:22 *22725:A 0.000108928 +42 *527:22 *24494:D 0.00010503 +43 *527:22 *539:14 0.000544376 +44 *527:22 *1545:66 1.14132e-05 +45 *527:22 *1551:86 0 +46 *527:22 *1610:54 0.000661639 +47 *527:22 *1631:57 1.72912e-05 +48 *527:22 *2015:91 7.26959e-06 +49 *527:22 *2256:101 2.60017e-05 +50 *527:22 *2311:17 0.000246024 +51 *527:22 *2311:33 0.000119104 +52 *527:22 *2791:50 0.00208494 +53 *527:22 *4824:130 0.000394406 +54 *527:22 *4843:348 8.19221e-05 +55 *527:22 *4843:352 3.81929e-05 +56 *527:22 *5861:434 0.00039142 +57 *527:44 *19564:S 0.000352722 +58 *527:44 *1709:48 0.000368968 +59 *527:44 *1741:56 0.000312147 +60 *527:44 *2274:95 0.000106142 +61 *527:44 *2389:72 0.000231956 +62 *527:44 *2520:87 0.000108986 +63 *527:44 *2629:37 0.000452648 +64 *527:44 *2791:50 0 +65 *527:44 *2813:47 0.00144751 +66 *527:44 *3212:38 0 +67 *527:44 *4821:143 4.27414e-05 +68 *527:44 *5593:57 0.000312812 +69 *527:44 *5855:244 0.00104452 +70 *527:44 *5864:539 2.84833e-05 +71 *527:44 *5874:36 0.000229165 +72 *527:44 *5886:36 6.40675e-05 +73 *527:44 *5886:47 0.000127932 +74 *527:44 *5898:191 6.03122e-05 +75 *527:44 *5913:17 0.000153427 +76 *527:46 *1741:64 0.00534777 +77 *527:46 *2389:72 9.37736e-06 +78 *527:46 *2391:34 0.000181012 +79 *527:46 *2882:36 3.40382e-05 +80 *527:46 *2882:38 6.74587e-05 +81 *527:46 *2989:91 0.000277634 +82 *527:46 *3212:49 0.00172618 +83 *527:46 *5886:20 0.00318833 +84 *527:46 *5886:36 0.000150351 +85 *527:51 *1741:69 0.0001395 +86 *527:51 *3212:64 0 +87 *527:51 *5886:19 0.000215189 +88 *527:52 *1741:70 0.00334103 +89 *527:52 *2446:54 1.72799e-05 +90 *527:52 *2882:36 0.000241808 +91 *527:52 *5725:14 0.000953129 +92 *527:52 *5886:14 0.0043427 +93 *527:69 *2448:161 0.00142853 +94 *527:69 *4877:182 0.000195085 +95 *527:69 *5874:75 1.5714e-05 +96 *527:69 *5898:16 8.36498e-05 +97 *527:69 *5898:158 2.93863e-05 +98 *527:69 *6029:75 2.76938e-06 +99 *527:69 *6029:79 6.61002e-05 +100 *527:71 *22117:B2 0.000154145 +101 *527:71 *2759:19 0.00381569 +102 *527:71 *3094:11 5.24081e-05 +103 *527:71 *5898:19 0.00401522 +104 *527:71 *6028:43 5.56954e-05 +105 *527:71 *6029:79 4.0752e-05 +106 *527:71 *6029:90 0.00040678 +107 *527:80 *24938:A 9.75356e-05 +108 *527:80 *533:8 0.000262839 +109 *527:80 *1695:26 0.000148261 +110 *527:80 *1983:11 0.000574554 +111 *527:80 *2759:27 0.000633354 +112 *527:80 *4830:90 0.000258142 +113 *527:80 *4866:12 5.75033e-05 +114 mgmt_gpio_oeb[3] *527:69 0 +115 *23966:S *23966:A0 3.31745e-05 +116 *25159:A *527:69 1.15626e-05 +117 *25169:A *527:69 0.000197421 +118 *476:100 *527:46 0.000108474 +119 *476:100 *527:52 0.000139306 +120 *476:142 *527:44 0.000342509 +121 *508:28 *527:69 0 +122 *512:38 *527:22 1.36768e-05 +*RES +1 *22617:Y *527:8 20.0811 +2 *527:8 *527:11 43.3796 +3 *527:11 *527:22 46.8531 +4 *527:22 *527:44 25.4259 +5 *527:44 *527:46 86.5434 +6 *527:46 *527:51 12.4482 +7 *527:51 *527:52 69.3105 +8 *527:52 *527:69 40.6758 +9 *527:69 *527:71 55.0746 +10 *527:71 *527:80 48.385 +11 *527:80 *6087:DIODE 18.1049 +12 *527:80 *23966:A0 18.3548 +*END + +*D_NET *528 0.0113877 +*CONN +*I *23967:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23966:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23967:A0 7.43675e-05 +2 *23966:X 0.00104278 +3 *528:30 0.000823468 +4 *528:26 0.00179188 +5 *23967:A0 *23967:S 0.000146404 +6 *23967:A0 *24283:RESET_B 0.000164829 +7 *23967:A0 *5871:805 0.000248423 +8 *23967:A0 *5969:5 6.50586e-05 +9 *528:26 *21859:A 8.41174e-05 +10 *528:26 *22197:A 7.25605e-05 +11 *528:26 *530:18 0.000254949 +12 *528:26 *2641:19 9.30265e-05 +13 *528:26 *4845:382 4.19401e-06 +14 *528:26 *5695:9 0.000318921 +15 *528:26 *5726:31 0.000131547 +16 *528:26 *5854:438 1.5714e-05 +17 *528:30 *22197:A 0.000111722 +18 *528:30 *24938:A 6.50586e-05 +19 *528:30 *529:74 0.00203741 +20 *528:30 *535:45 0.000699619 +21 *528:30 *1419:8 0.000351734 +22 *528:30 *2439:24 0.000355331 +23 *528:30 *5695:9 0.00122618 +24 *528:30 *5726:31 0.000136197 +25 *528:30 *5726:39 1.15389e-05 +26 *23966:A0 *528:26 0.000423922 +27 *460:63 *528:26 0.000606635 +28 *476:72 *528:26 1.09529e-05 +29 *494:6 *528:26 1.91246e-05 +*RES +1 *23966:X *528:26 45.8493 +2 *528:26 *528:30 45.8692 +3 *528:30 *23967:A0 18.3548 +*END + +*D_NET *529 0.0777414 +*CONN +*I *6098:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23968:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22635:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *6098:DIODE 0 +2 *23968:A0 0.000116737 +3 *22635:Y 0.00124172 +4 *529:75 0.000978392 +5 *529:74 0.00138145 +6 *529:63 0.004512 +7 *529:62 0.00472453 +8 *529:50 0.00130246 +9 *529:49 0.000649827 +10 *529:42 0.000738579 +11 *529:41 0.00426592 +12 *529:35 0.00662772 +13 *529:26 0.00404914 +14 *529:14 0.00227016 +15 *23968:A0 *1884:282 9.24241e-05 +16 *23968:A0 *2388:8 9.60366e-05 +17 *529:14 *21359:A1 0.00110652 +18 *529:14 *22696:A1 0.000200794 +19 *529:14 *22696:A2 0.000151044 +20 *529:14 *22696:B2 3.96379e-06 +21 *529:14 *537:14 2.00098e-05 +22 *529:14 *2258:64 8.714e-05 +23 *529:14 *2280:6 7.60356e-05 +24 *529:14 *2631:38 7.60356e-05 +25 *529:14 *2631:47 6.36477e-05 +26 *529:14 *3142:190 1.41689e-05 +27 *529:14 *3142:196 3.95516e-05 +28 *529:14 *3496:174 0.000250254 +29 *529:14 *3621:10 4.58897e-06 +30 *529:26 *21423:B1 6.51637e-05 +31 *529:26 *22392:A1 3.9391e-05 +32 *529:26 *22392:A2 6.08467e-05 +33 *529:26 *22392:B2 0.000298399 +34 *529:26 *22715:A1 7.76105e-06 +35 *529:26 *22725:C 0.000107496 +36 *529:26 *2479:52 5.04829e-06 +37 *529:26 *3474:218 6.85742e-05 +38 *529:26 *3491:178 3.79369e-05 +39 *529:26 *3491:188 0.00068571 +40 *529:35 *20309:A1 9.97958e-05 +41 *529:35 *20994:B2 0.00043194 +42 *529:35 *1742:76 1.5714e-05 +43 *529:35 *2171:30 0.000571004 +44 *529:35 *2267:80 0.000291917 +45 *529:35 *2295:78 0.000614254 +46 *529:35 *2479:8 0.00140643 +47 *529:35 *2570:80 0.0017643 +48 *529:35 *3050:13 1.9101e-05 +49 *529:35 *3072:20 0 +50 *529:35 *3120:82 0.000492103 +51 *529:41 *535:25 1.88847e-05 +52 *529:41 *537:29 6.22662e-05 +53 *529:41 *1439:104 0.00100734 +54 *529:41 *2267:59 0.000566886 +55 *529:41 *2380:84 6.59046e-05 +56 *529:41 *2404:101 8.12259e-06 +57 *529:41 *2421:125 0.00234466 +58 *529:41 *2441:66 0 +59 *529:41 *2446:89 0 +60 *529:41 *2900:84 7.62929e-05 +61 *529:41 *4808:135 1.88084e-05 +62 *529:41 *4823:94 0.000396137 +63 *529:41 *4828:80 0.00195311 +64 *529:41 *4828:100 0.000624295 +65 *529:41 *4833:130 0.0013607 +66 *529:41 *5475:83 3.03785e-05 +67 *529:41 *5898:191 2.6347e-05 +68 *529:41 *5908:55 0.000220725 +69 *529:42 *535:38 1.66771e-05 +70 *529:42 *537:30 0.00379048 +71 *529:42 *2729:95 0.000219665 +72 *529:42 *2938:40 1.3813e-05 +73 *529:42 *2938:42 0.0035126 +74 *529:42 *5898:168 1.3706e-05 +75 *529:49 *539:47 2.41274e-06 +76 *529:49 *2384:88 0 +77 *529:49 *5898:167 8.17436e-05 +78 *529:50 *539:48 0.00329185 +79 *529:50 *2295:14 5.53589e-05 +80 *529:50 *5683:34 0.00104814 +81 *529:50 *5898:164 0.00213078 +82 *529:62 *4828:115 0.000309516 +83 *529:62 *4828:116 6.56619e-05 +84 *529:62 *5874:64 0.000139342 +85 *529:62 *5874:75 0.000732645 +86 *529:62 *5886:8 0.000127179 +87 *529:62 *5898:158 0.000694253 +88 *529:63 *2240:19 0.00196057 +89 *529:63 *3212:73 0.00143306 +90 *529:74 *535:57 0.000107052 +91 *529:74 *1744:24 0 +92 *529:74 *4843:517 0.000210361 +93 *529:74 *4877:8 0.000921469 +94 *529:74 *5726:31 0.000922747 +95 *529:74 *5726:37 3.09841e-05 +96 *529:74 *5726:39 0.000118166 +97 *529:75 *2645:31 0.00129173 +98 mgmt_gpio_oeb[2] *529:62 6.11074e-05 +99 mgmt_gpio_oeb[6] *529:41 5.45522e-05 +100 mgmt_gpio_out[2] *529:62 0.000231363 +101 *23968:S *23968:A0 0.000111722 +102 *324:27 *529:35 1.45827e-05 +103 *476:100 *529:42 0.000200728 +104 *476:100 *529:50 0.000100364 +105 *500:89 *529:63 0.000159891 +106 *500:95 *23968:A0 4.41404e-05 +107 *501:14 *23968:A0 0.000307037 +108 *502:38 *529:74 7.14746e-05 +109 *508:28 *529:41 8.63593e-05 +110 *520:27 *529:41 1.41703e-05 +111 *528:30 *529:74 0.00203741 +*RES +1 *22635:Y *529:14 46.7164 +2 *529:14 *529:26 35.2442 +3 *529:26 *529:35 49.5963 +4 *529:35 *529:41 17.267 +5 *529:41 *529:42 62.6664 +6 *529:42 *529:49 11.122 +7 *529:49 *529:50 54.1538 +8 *529:50 *529:62 43.1285 +9 *529:62 *529:63 64.5028 +10 *529:63 *529:74 46.9237 +11 *529:74 *529:75 14.5885 +12 *529:75 *23968:A0 23.5776 +13 *529:75 *6098:DIODE 9.24915 +*END + +*D_NET *530 0.0126589 +*CONN +*I *23969:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23968:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23969:A0 0.00118449 +2 *23968:X 0.000662628 +3 *530:26 0.00229061 +4 *530:18 0.00176875 +5 *23969:A0 *1695:12 3.49122e-05 +6 *23969:A0 *2428:53 9.32927e-05 +7 *530:18 *21661:A 2.05342e-06 +8 *530:18 *23949:A1 5.01835e-05 +9 *530:18 *533:8 8.20268e-05 +10 *530:18 *4844:356 5.4678e-05 +11 *530:18 *4876:38 0.000175945 +12 *530:18 *4948:10 5.41227e-05 +13 *530:18 *5726:31 0.000746296 +14 *530:18 *5854:438 1.5714e-05 +15 *530:26 *531:68 9.93465e-05 +16 *530:26 *545:37 0 +17 *530:26 *2378:12 0.000595194 +18 *530:26 *4949:34 7.38072e-05 +19 *530:26 *5726:31 0.00115824 +20 *6087:DIODE *530:18 0.000107052 +21 *23966:A0 *530:18 2.16355e-05 +22 *23966:S *530:18 0.000314269 +23 *460:63 *530:18 0.000807478 +24 *494:6 *530:18 9.12416e-06 +25 *497:9 *530:18 0.000378314 +26 *497:143 *530:18 3.52699e-05 +27 *501:32 *23969:A0 0.00146604 +28 *503:17 *23969:A0 2.81957e-05 +29 *509:11 *23969:A0 1.92336e-05 +30 *524:118 *530:18 7.50722e-05 +31 *528:26 *530:18 0.000254949 +*RES +1 *23968:X *530:18 48.3286 +2 *530:18 *530:26 37.8075 +3 *530:26 *23969:A0 39.1065 +*END + +*D_NET *531 0.0391731 +*CONN +*I *23970:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22653:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *23970:A0 0 +2 *22653:Y 0.000449522 +3 *531:68 0.00152369 +4 *531:58 0.00213142 +5 *531:51 0.00194339 +6 *531:42 0.00259408 +7 *531:41 0.0025459 +8 *531:30 0.00265372 +9 *531:23 0.0019672 +10 *531:16 0.00105049 +11 *531:16 *22583:B 5.01835e-05 +12 *531:16 *22653:A 2.99287e-05 +13 *531:16 *2690:34 0.000318134 +14 *531:16 *2690:39 1.20031e-05 +15 *531:16 *3151:141 0.000180443 +16 *531:16 *3196:23 4.04447e-05 +17 *531:16 *3469:46 3.91685e-05 +18 *531:16 *4808:27 0.000163816 +19 *531:23 *22572:A 0.000140271 +20 *531:23 *2511:9 0.000162015 +21 *531:23 *2610:83 0.000207266 +22 *531:23 *2630:9 0.00184616 +23 *531:23 *3517:15 0.000438266 +24 *531:23 *3521:14 6.08467e-05 +25 *531:23 *3529:7 0.000113107 +26 *531:23 *4808:27 6.6146e-05 +27 *531:30 *21026:B2 2.37611e-05 +28 *531:30 *21028:A1 3.28899e-05 +29 *531:30 *21028:B1 6.9747e-05 +30 *531:30 *21454:A 7.40222e-05 +31 *531:30 *1417:8 9.19632e-06 +32 *531:30 *1884:19 2.37827e-05 +33 *531:30 *2177:78 0.000218396 +34 *531:30 *2313:19 0.000527725 +35 *531:30 *2467:114 3.06917e-06 +36 *531:30 *3041:31 0.000189516 +37 *531:30 *3076:32 0 +38 *531:30 *3120:11 5.04829e-06 +39 *531:30 *3177:229 0.000795111 +40 *531:30 *3192:12 0.000328254 +41 *531:30 *3198:18 1.72799e-05 +42 *531:41 *20134:B1 9.73327e-06 +43 *531:41 *20172:B1 0 +44 *531:41 *1450:136 8.26315e-05 +45 *531:41 *1663:15 0.000156878 +46 *531:41 *1854:5 0.000107496 +47 *531:41 *2256:14 4.74668e-05 +48 *531:41 *2425:21 6.50586e-05 +49 *531:41 *3052:13 3.31745e-05 +50 *531:41 *4806:81 0.000336601 +51 *531:41 *5476:69 0.00151198 +52 *531:42 *23941:A0 3.13215e-05 +53 *531:42 *24811:D 0.000299685 +54 *531:42 *2809:24 0.000270159 +55 *531:42 *4845:326 0.000164938 +56 *531:42 *4845:328 0.000570996 +57 *531:42 *4845:335 0.00173287 +58 *531:42 *5785:65 0.000575358 +59 *531:51 *19944:A 2.77625e-06 +60 *531:51 *20925:A1 0.000109427 +61 *531:51 *20925:B1 0.00025175 +62 *531:51 *22108:A 2.92975e-05 +63 *531:51 *22109:B2 0.000120052 +64 *531:51 *22115:A2 3.27437e-05 +65 *531:51 *1918:36 0 +66 *531:51 *5503:16 0.000346565 +67 *531:51 *5785:65 0 +68 *531:51 *5855:341 8.65787e-05 +69 *531:51 *5855:343 0 +70 *531:51 *5855:378 3.22108e-05 +71 *531:51 *6026:22 0.000248525 +72 *531:58 *19944:A 7.20253e-05 +73 *531:58 *24170:CLK 8.03393e-06 +74 *531:58 *24320:D 3.5023e-05 +75 *531:58 *2667:32 0.000409607 +76 *531:58 *4875:140 0 +77 *531:68 *24936:A 0.000411006 +78 *531:68 *24938:A 0.000116971 +79 *531:68 *541:57 0.000154145 +80 *531:68 *545:37 9.69107e-05 +81 *531:68 *2378:12 2.41423e-05 +82 *531:68 *5898:19 0.0010328 +83 *19569:A *531:30 0.000748314 +84 *324:11 *531:42 2.21443e-05 +85 *460:82 *531:58 6.55265e-05 +86 *502:26 *531:42 0.00359722 +87 *502:30 *531:42 0 +88 *502:30 *531:51 0.00197816 +89 *530:26 *531:68 9.93465e-05 +*RES +1 *22653:Y *531:16 31.0101 +2 *531:16 *531:23 29.6742 +3 *531:23 *531:30 49.3291 +4 *531:30 *531:41 28.7269 +5 *531:41 *531:42 65.5732 +6 *531:42 *531:51 49.1655 +7 *531:51 *531:58 24.5788 +8 *531:58 *531:68 48.6466 +9 *531:68 *23970:A0 9.24915 +*END + +*D_NET *532 0.00417547 +*CONN +*I *23971:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23970:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23971:A0 2.66854e-05 +2 *23970:X 0.00123316 +3 *532:12 0.00125984 +4 *23971:A0 *2900:47 0.00011818 +5 *532:12 *6120:DIODE 0.0002817 +6 *532:12 *23971:A1 7.0954e-05 +7 *532:12 *24283:D 0.00050861 +8 *532:12 *535:57 0.000211478 +9 *532:12 *1428:93 0 +10 *532:12 *2063:17 1.75625e-05 +11 *532:12 *2720:12 3.00073e-05 +12 *532:12 *4877:8 0.000109244 +13 *20976:A2 *23971:A0 6.50586e-05 +14 *500:89 *532:12 0.000212981 +15 *524:16 *532:12 3.00073e-05 +*RES +1 *23970:X *532:12 46.1268 +2 *532:12 *23971:A0 15.0271 +*END + +*D_NET *533 0.0253054 +*CONN +*I *23972:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6109:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22671:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *23972:A0 0 +2 *6109:DIODE 5.36681e-05 +3 *22671:Y 0.000245132 +4 *533:10 5.36681e-05 +5 *533:8 0.00567966 +6 *533:7 0.00592479 +7 *6109:DIODE *534:8 5.51483e-06 +8 *6109:DIODE *1744:38 0.000258142 +9 *6109:DIODE *4829:23 0.000160617 +10 *533:7 *2635:95 3.95516e-05 +11 *533:7 *3139:122 0.000258222 +12 *533:8 *21320:B2 0.000112148 +13 *533:8 *21321:A1 3.29488e-05 +14 *533:8 *21334:C1 0.000114237 +15 *533:8 *21351:B2 3.65454e-05 +16 *533:8 *21352:A2 6.93349e-06 +17 *533:8 *21384:B1 0.000171552 +18 *533:8 *21652:A2 5.01835e-05 +19 *533:8 *21657:A1_N 3.66916e-05 +20 *533:8 *21657:B1 1.30901e-05 +21 *533:8 *21689:A2 0.000392012 +22 *533:8 *22194:B 0.000122784 +23 *533:8 *24234:RESET_B 1.88878e-05 +24 *533:8 *24751:RESET_B 0.00067656 +25 *533:8 *1649:80 0.000464642 +26 *533:8 *1696:62 5.36085e-05 +27 *533:8 *1780:145 0.00205711 +28 *533:8 *2273:6 5.73598e-05 +29 *533:8 *2273:14 8.8789e-05 +30 *533:8 *2368:19 5.01835e-05 +31 *533:8 *2368:23 0.00197446 +32 *533:8 *2396:23 8.08437e-05 +33 *533:8 *2410:8 0.00107507 +34 *533:8 *2411:26 0 +35 *533:8 *2420:42 0.000439477 +36 *533:8 *2421:138 0.00011819 +37 *533:8 *2528:41 0.00104698 +38 *533:8 *2667:52 0.000244416 +39 *533:8 *2693:19 0.000231879 +40 *533:8 *2694:36 2.01927e-05 +41 *533:8 *3139:14 4.59797e-05 +42 *533:8 *3139:96 0.000330245 +43 *533:8 *3139:105 0.000438164 +44 *533:8 *3139:122 0.000192881 +45 *533:8 *3502:18 7.39764e-05 +46 *533:8 *4866:10 0 +47 *533:8 *4866:12 0 +48 *533:8 *4948:10 0.00034389 +49 *6087:DIODE *533:8 0.00015298 +50 *23972:S *6109:DIODE 6.08467e-05 +51 *502:42 *533:8 0.000198492 +52 *524:36 *533:8 0.000279768 +53 *524:43 *533:8 2.95757e-05 +54 *524:103 *533:8 0.000284644 +55 *524:118 *533:8 6.23765e-05 +56 *527:80 *533:8 0.000262839 +57 *530:18 *533:8 8.20268e-05 +*RES +1 *22671:Y *533:7 17.8002 +2 *533:7 *533:8 175.407 +3 *533:8 *533:10 4.5 +4 *533:10 *6109:DIODE 12.191 +5 *533:10 *23972:A0 9.24915 +*END + +*D_NET *534 0.00698945 +*CONN +*I *23973:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23972:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23973:A0 0.000235529 +2 *23972:X 0.00231072 +3 *534:8 0.00254625 +4 *23973:A0 *20974:A1 0.000383493 +5 *23973:A0 *23977:S 0.000311249 +6 *23973:A0 *1573:63 0.000126597 +7 *23973:A0 *2164:169 6.49003e-05 +8 *534:8 *20974:A1 0.000173163 +9 *534:8 *23977:S 2.20702e-05 +10 *534:8 *24755:D 2.65667e-05 +11 *534:8 *24755:RESET_B 3.38355e-06 +12 *534:8 *1573:49 6.08467e-05 +13 *534:8 *1573:62 5.05252e-05 +14 *534:8 *1744:31 2.49834e-05 +15 *534:8 *1744:38 0.000101454 +16 *534:8 *2378:12 0 +17 *534:8 *4829:23 1.92172e-05 +18 *534:8 *4829:45 0.00016006 +19 *534:8 *4952:8 6.08467e-05 +20 *6109:DIODE *534:8 5.51483e-06 +21 *20975:A2 *23973:A0 0.000211478 +22 *20975:B1 *23973:A0 2.15348e-05 +23 *20975:B1 *534:8 1.75637e-06 +24 *23972:S *534:8 6.73186e-05 +*RES +1 *23972:X *534:8 47.641 +2 *534:8 *23973:A0 24.4795 +*END + +*D_NET *535 0.0636043 +*CONN +*I *23974:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6120:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22689:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *23974:A0 0 +2 *6120:DIODE 1.61594e-05 +3 *22689:Y 0.0032053 +4 *535:57 0.000768623 +5 *535:45 0.00185269 +6 *535:44 0.00110023 +7 *535:42 0.00108114 +8 *535:41 0.0018794 +9 *535:38 0.0036583 +10 *535:25 0.00442736 +11 *535:19 0.00477263 +12 *535:19 *21074:B1 0.000148867 +13 *535:19 *21659:A2 0.000780298 +14 *535:19 *22645:B1 0.000289999 +15 *535:19 *1419:239 0.000205308 +16 *535:19 *1439:104 2.0152e-05 +17 *535:19 *1551:86 0.000323785 +18 *535:19 *1631:57 0.00107749 +19 *535:19 *2379:58 0.000917927 +20 *535:19 *2459:56 1.83795e-06 +21 *535:19 *2467:114 4.3871e-05 +22 *535:19 *2492:32 1.9101e-05 +23 *535:19 *2570:94 1.5714e-05 +24 *535:19 *2629:37 0.000537463 +25 *535:19 *4828:100 0.000119683 +26 *535:19 *4830:55 0.000642508 +27 *535:19 *5857:138 0.000919133 +28 *535:19 *5857:164 0.00216037 +29 *535:19 *5874:133 0.00338385 +30 *535:25 *20900:A1 9.68902e-05 +31 *535:25 *24338:D 0.00010407 +32 *535:25 *24810:D 0.000296101 +33 *535:25 *1709:54 3.98766e-05 +34 *535:25 *2267:59 0.000446129 +35 *535:25 *2301:30 1.81953e-05 +36 *535:25 *2380:84 2.57505e-05 +37 *535:25 *2404:101 0.00125886 +38 *535:25 *2900:84 0.000825988 +39 *535:25 *4809:52 0.000435128 +40 *535:25 *5560:10 0.000803499 +41 *535:25 *5855:265 8.23984e-05 +42 *535:25 *5855:272 1.5714e-05 +43 *535:25 *5864:459 8.4224e-05 +44 *535:25 *5864:461 0.000259992 +45 *535:25 *5864:490 0.00084672 +46 *535:25 *5864:494 5.18511e-05 +47 *535:25 *5864:503 0.000212838 +48 *535:25 *5874:46 0.000112789 +49 *535:38 *537:30 1.29445e-05 +50 *535:38 *539:40 0.000200728 +51 *535:38 *1741:70 0.00131738 +52 *535:38 *2295:14 0.00127831 +53 *535:38 *2295:39 1.14553e-05 +54 *535:38 *2391:49 0.000132127 +55 *535:38 *2423:69 0.00215514 +56 *535:38 *2433:118 0.000100364 +57 *535:38 *2882:36 0.000263001 +58 *535:38 *2938:56 0.000228543 +59 *535:38 *2978:82 2.98863e-05 +60 *535:38 *5898:168 0.000197765 +61 *535:38 *5910:26 0.000390916 +62 *535:41 *2446:62 0.000211673 +63 *535:42 *20258:A1 0.000179286 +64 *535:42 *20316:A1 0.000331044 +65 *535:42 *24018:A0 0.000466385 +66 *535:42 *24096:A 0.000243633 +67 *535:42 *2389:39 0.00151408 +68 *535:42 *2471:36 0.000214906 +69 *535:42 *2882:19 0.000288597 +70 *535:42 *4912:29 0.000435305 +71 *535:42 *5855:329 0.00029156 +72 *535:42 *5861:498 0.00114601 +73 *535:45 *1439:177 0.000317721 +74 *535:45 *4832:104 0.00167566 +75 *535:45 *5695:9 0.000504058 +76 *535:45 *5726:39 0.00519886 +77 *535:57 *24282:CLK 0.000158997 +78 *535:57 *2063:17 0.000206581 +79 *535:57 *3212:73 4.51879e-05 +80 *535:57 *3212:79 0.000111722 +81 *535:57 *4829:50 2.72058e-05 +82 *535:57 *4877:8 0 +83 *23998:S *535:57 8.61022e-05 +84 *74:12 *535:42 0 +85 *476:90 *535:42 3.14055e-05 +86 *476:100 *535:38 0.00138119 +87 *500:89 *6120:DIODE 0.0002817 +88 *500:89 *535:57 0.000199527 +89 *504:24 *535:57 1.5714e-05 +90 *528:30 *535:45 0.000699619 +91 *529:41 *535:25 1.88847e-05 +92 *529:42 *535:38 1.66771e-05 +93 *529:74 *535:57 0.000107052 +94 *532:12 *6120:DIODE 0.0002817 +95 *532:12 *535:57 0.000211478 +*RES +1 *22689:Y *535:19 33.9141 +2 *535:19 *535:25 49.7805 +3 *535:25 *535:38 40.6903 +4 *535:38 *535:41 16.8701 +5 *535:41 *535:42 54.569 +6 *535:42 *535:44 4.5 +7 *535:44 *535:45 58.4022 +8 *535:45 *535:57 34.476 +9 *535:57 *6120:DIODE 12.191 +10 *535:57 *23974:A0 9.24915 +*END + +*D_NET *536 0.00237087 +*CONN +*I *23975:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23974:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23975:A0 0.000650336 +2 *23974:X 0.000650336 +3 *23975:A0 *20974:A1 8.62625e-06 +4 *23975:A0 *537:33 0.000277488 +5 *23975:A0 *2378:12 0.000319678 +6 *23975:A0 *4875:6 0 +7 *439:224 *23975:A0 0.00027329 +8 *501:22 *23975:A0 7.20535e-05 +9 *509:8 *23975:A0 0.00011906 +*RES +1 *23974:X *23975:A0 46.3907 +*END + +*D_NET *537 0.0665928 +*CONN +*I *23976:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6131:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22707:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *23976:A0 0 +2 *6131:DIODE 3.52309e-05 +3 *22707:Y 0.000946995 +4 *537:33 0.00367327 +5 *537:32 0.00363804 +6 *537:30 0.00191811 +7 *537:29 0.00755994 +8 *537:14 0.00658882 +9 *6131:DIODE *2437:23 0.00027103 +10 *6131:DIODE *4952:23 9.39633e-05 +11 *537:14 *22689:D 6.3609e-05 +12 *537:14 *1564:84 0.00101308 +13 *537:14 *1564:86 2.60273e-06 +14 *537:14 *2267:80 3.82228e-05 +15 *537:14 *3491:178 6.70195e-05 +16 *537:14 *3621:10 6.22259e-05 +17 *537:29 *21066:B 0.000158451 +18 *537:29 *21247:A2 1.30473e-05 +19 *537:29 *21248:A2 0.000146674 +20 *537:29 *22672:A1 0.000353263 +21 *537:29 *1450:164 0.00045297 +22 *537:29 *1539:18 0.000179291 +23 *537:29 *1564:86 0.000829425 +24 *537:29 *1649:162 0.000221631 +25 *537:29 *2244:19 6.55917e-05 +26 *537:29 *2267:80 0.000966572 +27 *537:29 *2274:95 0 +28 *537:29 *2333:60 0.00292519 +29 *537:29 *2380:84 3.87699e-06 +30 *537:29 *2391:34 0.000161285 +31 *537:29 *2397:13 0.000116529 +32 *537:29 *2441:66 0.000286349 +33 *537:29 *2570:80 0.000179429 +34 *537:29 *2635:67 0.000314795 +35 *537:29 *2791:50 6.47369e-05 +36 *537:29 *4823:94 0.00311653 +37 *537:29 *4832:60 0.00222239 +38 *537:29 *4832:77 0.000511089 +39 *537:29 *5855:272 8.61793e-05 +40 *537:30 *539:36 0.00142888 +41 *537:30 *539:40 1.3706e-05 +42 *537:30 *539:48 0.00600685 +43 *537:30 *2267:40 0.00965606 +44 *537:30 *2404:86 0.00118086 +45 *537:30 *2729:95 4.23307e-05 +46 *537:33 *20974:A1 6.08467e-05 +47 *537:33 *22110:A1 4.88955e-05 +48 *537:33 *22110:A2 0.000148932 +49 *537:33 *22110:C1 6.92705e-05 +50 *537:33 *22114:C 7.75807e-06 +51 *537:33 *23975:A1 0.000213739 +52 *537:33 *24284:D 0.000107496 +53 *537:33 *1520:39 0.000513074 +54 *537:33 *2068:17 0.000276938 +55 *537:33 *2103:62 0.00049362 +56 *537:33 *2437:23 0.000392582 +57 *537:33 *2446:53 0 +58 *537:33 *2899:24 0.000207266 +59 *537:33 *4912:5 0.00011818 +60 *537:33 *4912:9 0.000393863 +61 *537:33 *5793:34 0.000235492 +62 *537:33 *6027:291 6.50727e-05 +63 *20976:B1 *537:33 0.000439245 +64 *23975:A0 *537:33 0.000277488 +65 *25173:A *537:29 8.03789e-05 +66 *439:212 *537:33 6.08467e-05 +67 *439:224 *537:33 0.000426329 +68 *524:23 *537:33 0.000225229 +69 *524:35 *6131:DIODE 6.08467e-05 +70 *524:35 *537:33 0.000107496 +71 *529:14 *537:14 2.00098e-05 +72 *529:41 *537:29 6.22662e-05 +73 *529:42 *537:30 0.00379048 +74 *535:38 *537:30 1.29445e-05 +*RES +1 *22707:Y *537:14 43.5619 +2 *537:14 *537:29 43.8263 +3 *537:29 *537:30 183.09 +4 *537:30 *537:32 4.5 +5 *537:32 *537:33 91.6784 +6 *537:33 *6131:DIODE 12.191 +7 *537:33 *23976:A0 9.24915 +*END + +*D_NET *538 0.00324199 +*CONN +*I *23977:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23976:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23977:A0 0.000828807 +2 *23976:X 0.000828807 +3 *23977:A0 *24286:D 4.15454e-05 +4 *23977:A0 *1520:39 0.000710275 +5 *23977:A0 *2720:12 0.000444159 +6 *23977:A0 *4829:45 0.000253916 +7 *20975:B1 *23977:A0 6.08467e-05 +8 *23978:S *23977:A0 0 +9 *439:212 *23977:A0 0 +10 *511:10 *23977:A0 6.74667e-05 +11 *524:18 *23977:A0 3.83492e-06 +12 *524:23 *23977:A0 2.33103e-06 +*RES +1 *23976:X *23977:A0 46.8494 +*END + +*D_NET *539 0.0632903 +*CONN +*I *23978:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6142:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22725:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *23978:A0 0 +2 *6142:DIODE 9.00177e-05 +3 *22725:Y 0.000843067 +4 *539:55 0.00119996 +5 *539:53 0.00408668 +6 *539:50 0.00297673 +7 *539:48 0.00131491 +8 *539:47 0.00143409 +9 *539:40 0.000785626 +10 *539:38 0.000692778 +11 *539:36 0.000694211 +12 *539:34 0.00221221 +13 *539:21 0.00262008 +14 *539:14 0.00191882 +15 *6142:DIODE *1439:191 0.000139177 +16 *6142:DIODE *2378:11 0.000122378 +17 *539:14 *20617:A1 3.33173e-06 +18 *539:14 *20617:B1 0.000539951 +19 *539:14 *21841:A 0.000510157 +20 *539:14 *24495:D 1.7773e-05 +21 *539:14 *2015:96 0.000729154 +22 *539:14 *2315:10 0.000156946 +23 *539:14 *3041:110 6.75685e-05 +24 *539:21 *20617:B1 0.000169257 +25 *539:21 *21076:A2 0.000278223 +26 *539:21 *21076:B1 3.09973e-05 +27 *539:21 *21076:B2 6.08467e-05 +28 *539:21 *2282:59 0.000422135 +29 *539:21 *2423:93 0.00123215 +30 *539:21 *4820:70 0.000210077 +31 *539:21 *4844:220 0.000784227 +32 *539:21 *4845:281 6.13007e-05 +33 *539:21 *5861:409 0.000852302 +34 *539:21 *5861:413 0.000251935 +35 *539:34 *2282:59 2.88574e-05 +36 *539:34 *2389:81 0.000438252 +37 *539:34 *2404:73 6.92263e-05 +38 *539:34 *2404:85 0.0011815 +39 *539:34 *2421:115 0.0014962 +40 *539:34 *2433:114 1.65762e-05 +41 *539:34 *2446:113 6.1827e-05 +42 *539:34 *2989:67 1.55025e-05 +43 *539:36 *2404:86 1.05982e-05 +44 *539:36 *2421:115 1.04511e-05 +45 *539:36 *2433:114 0.00191257 +46 *539:36 *2433:118 0.000152705 +47 *539:36 *2882:46 0.0021364 +48 *539:36 *5898:191 0.00137679 +49 *539:40 *2267:40 0.00363778 +50 *539:40 *2433:118 0.00361477 +51 *539:40 *2882:36 2.02035e-05 +52 *539:47 *2423:57 4.65615e-06 +53 *539:48 *2267:40 0.000397735 +54 *539:48 *2291:26 4.31194e-05 +55 *539:48 *2422:8 0.00339299 +56 *539:48 *5683:34 0.000220368 +57 *539:53 *2291:25 5.99181e-06 +58 *539:53 *4877:134 6.51458e-05 +59 *539:53 *4877:142 0.000632647 +60 *539:53 *5725:17 0 +61 *539:53 *5871:587 0.000566144 +62 *539:53 *5871:749 0.000519481 +63 *539:55 *24003:A1 0.000400335 +64 *539:55 *24003:S 2.65831e-05 +65 *539:55 *1439:191 0.000771339 +66 *539:55 *4877:134 0.000767725 +67 *21005:A2 *539:55 0.000107496 +68 *24003:A0 *539:55 6.50586e-05 +69 *476:100 *539:48 0.000100364 +70 *520:28 *539:40 2.5059e-05 +71 *520:28 *539:48 0 +72 *527:22 *539:14 0.000544376 +73 *529:49 *539:47 2.41274e-06 +74 *529:50 *539:48 0.00329185 +75 *535:38 *539:40 0.000200728 +76 *537:30 *539:36 0.00142888 +77 *537:30 *539:40 1.3706e-05 +78 *537:30 *539:48 0.00600685 +*RES +1 *22725:Y *539:14 42.2117 +2 *539:14 *539:21 40.1726 +3 *539:21 *539:34 46.9373 +4 *539:34 *539:36 59.9673 +5 *539:36 *539:38 0.732798 +6 *539:38 *539:40 61.0054 +7 *539:40 *539:47 11.4113 +8 *539:47 *539:48 116.857 +9 *539:48 *539:50 4.5 +10 *539:50 *539:53 48.564 +11 *539:53 *539:55 33.445 +12 *539:55 *6142:DIODE 12.191 +13 *539:55 *23978:A0 9.24915 +*END + +*D_NET *540 0.000958099 +*CONN +*I *23979:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23978:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23979:A0 0.000285092 +2 *23978:X 0.000285092 +3 *23979:A0 *5871:469 0.000387915 +4 *439:194 *23979:A0 0 +*RES +1 *23978:X *23979:A0 32.9661 +*END + +*D_NET *541 0.0553257 +*CONN +*I *23980:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6083:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22743:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *23980:A0 3.99414e-05 +2 *6083:DIODE 0 +3 *22743:Y 0.00433018 +4 *541:70 0.000890395 +5 *541:66 0.00183373 +6 *541:63 0.00126691 +7 *541:58 0.000334364 +8 *541:57 0.00114784 +9 *541:46 0.00252781 +10 *541:38 0.0024642 +11 *541:35 0.00231098 +12 *541:28 0.00560766 +13 *23980:A0 *2428:30 0.000324166 +14 *23980:A0 *4954:39 0.000144695 +15 *541:28 *22152:A 1.91246e-05 +16 *541:28 *22436:B1 9.12416e-06 +17 *541:28 *22729:A1 0.00111253 +18 *541:28 *1587:137 0.000569557 +19 *541:28 *1636:68 0.0029699 +20 *541:28 *1636:82 0.000112302 +21 *541:28 *1660:66 0.000597417 +22 *541:28 *1663:15 8.0938e-05 +23 *541:28 *1728:10 2.27135e-05 +24 *541:28 *1802:80 0.000571446 +25 *541:28 *2115:139 2.8973e-05 +26 *541:28 *2694:36 0.000164926 +27 *541:28 *2726:22 0.000159964 +28 *541:28 *2734:24 0.000159964 +29 *541:28 *2916:20 0.000101829 +30 *541:28 *2936:67 3.58315e-06 +31 *541:28 *2950:125 0.00419633 +32 *541:28 *3052:28 0.00112446 +33 *541:28 *3071:42 0.000178742 +34 *541:28 *3108:68 0.000109112 +35 *541:28 *3114:15 7.94712e-05 +36 *541:28 *3116:17 2.32792e-05 +37 *541:28 *3132:29 0.000153427 +38 *541:28 *3148:10 5.67796e-06 +39 *541:28 *3175:19 6.29002e-05 +40 *541:28 *3175:43 0.000562757 +41 *541:28 *3185:53 0.000107461 +42 *541:28 *4804:37 5.60804e-05 +43 *541:35 *22542:A 6.96038e-05 +44 *541:35 *2088:103 7.09666e-06 +45 *541:35 *2108:33 0.000110675 +46 *541:35 *2108:45 6.43474e-05 +47 *541:35 *2115:48 0.000424614 +48 *541:35 *2115:139 0 +49 *541:35 *2394:17 1.25149e-05 +50 *541:35 *3104:22 0.00128717 +51 *541:35 *3148:10 5.54585e-05 +52 *541:35 *3491:8 8.87105e-05 +53 *541:35 *4876:8 2.61534e-05 +54 *541:35 *5948:76 5.44728e-05 +55 *541:38 *20817:A2 0 +56 *541:38 *20817:B2 1.30489e-05 +57 *541:38 *24364:RESET_B 0.000676704 +58 *541:38 *24372:SET_B 0.000273855 +59 *541:38 *1884:260 9.39547e-05 +60 *541:38 *1884:262 0.00018918 +61 *541:38 *2108:29 3.74542e-05 +62 *541:38 *2467:126 0.00140948 +63 *541:38 *3479:10 0 +64 *541:38 *5870:743 8.12625e-05 +65 *541:46 *22520:A 0.000158885 +66 *541:46 *24371:D 4.3087e-05 +67 *541:46 *24908:CLK 0.000119253 +68 *541:46 *1884:262 0 +69 *541:46 *2378:12 0.000889371 +70 *541:46 *3472:17 0.000115874 +71 *541:46 *3479:10 0.000786573 +72 *541:46 *4829:57 0.000142509 +73 *541:57 *24936:A 0.000996835 +74 *541:57 *1695:31 1.67988e-05 +75 *541:57 *1695:42 0.00030281 +76 *541:57 *4845:381 0.000360145 +77 *541:63 *1695:42 0.00196096 +78 *541:63 *5898:21 0.00196096 +79 *541:66 *549:24 0.000614655 +80 *541:70 *21959:A1 4.52614e-05 +81 *541:70 *23988:A0 8.52802e-05 +82 *541:70 *549:24 0.00132204 +83 *541:70 *2299:8 0.000104293 +84 *23949:A0 *541:57 0.000139177 +85 *476:63 *541:57 0.000406794 +86 *516:26 *541:70 0 +87 *522:28 *541:66 0.000622419 +88 *522:28 *541:70 6.84784e-06 +89 *522:32 *541:70 0.00146953 +90 *524:6 *541:46 0.000958531 +91 *531:68 *541:57 0.000154145 +*RES +1 *22743:Y *541:28 40.2519 +2 *541:28 *541:35 42.4973 +3 *541:35 *541:38 35.0644 +4 *541:38 *541:46 49.9457 +5 *541:46 *541:57 35.8701 +6 *541:57 *541:58 57.9449 +7 *541:58 *541:63 35.5475 +8 *541:63 *541:66 29.3486 +9 *541:66 *541:70 39.3445 +10 *541:70 *6083:DIODE 9.24915 +11 *541:70 *23980:A0 12.7456 +*END + +*D_NET *542 0.0078129 +*CONN +*I *23981:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23980:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23981:A0 0.000841808 +2 *23980:X 0.00113806 +3 *542:11 0.00197986 +4 *23981:A0 *20972:B2 1.37925e-05 +5 *23981:A0 *21967:A1_N 0.000529344 +6 *23981:A0 *21967:B1 0.000111722 +7 *23981:A0 *21967:B2 6.08467e-05 +8 *23981:A0 *23981:A1 2.50218e-05 +9 *23981:A0 *23983:S 6.25467e-05 +10 *23981:A0 *24288:D 3.77659e-05 +11 *23981:A0 *1439:194 0 +12 *23981:A0 *6027:96 0.000304983 +13 *23981:A0 *6027:102 2.82225e-05 +14 *542:11 *21967:B1 8.80953e-05 +15 *542:11 *23984:A1 0.000324166 +16 *542:11 *546:15 0.00107558 +17 *542:11 *2428:30 0.000141959 +18 *542:11 *2981:7 0.000508516 +19 *542:11 *4954:39 0.000275256 +20 *20972:A2 *23981:A0 0 +21 *21004:B1 *23981:A0 5.41377e-05 +22 *23980:S *542:11 0.00013881 +23 *439:185 *23981:A0 7.2401e-05 +*RES +1 *23980:X *542:11 39.5836 +2 *542:11 *23981:A0 43.3899 +*END + +*D_NET *543 0.0366527 +*CONN +*I *6143:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23982:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22761:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *6143:DIODE 0.000306175 +2 *23982:A0 0 +3 *22761:Y 0.000698627 +4 *543:37 0.00124054 +5 *543:33 0.00257884 +6 *543:21 0.00276952 +7 *543:12 0.00481779 +8 *543:10 0.00439138 +9 *6143:DIODE *2560:21 0.000756938 +10 *543:10 *1502:193 0.000441147 +11 *543:10 *1637:46 0.00053883 +12 *543:10 *2590:25 1.64462e-05 +13 *543:10 *2935:8 6.69861e-06 +14 *543:10 *3736:31 0.000457278 +15 *543:12 *20906:A 0.000349802 +16 *543:12 *21472:B1 7.57672e-05 +17 *543:12 *22409:C1 7.36214e-06 +18 *543:12 *22474:B1 0.000166008 +19 *543:12 *22728:A1 0.00013128 +20 *543:12 *22728:B2 9.49244e-05 +21 *543:12 *22783:A2 0.000579376 +22 *543:12 *1588:36 0.000828227 +23 *543:12 *1637:46 0.000219213 +24 *543:12 *1649:57 8.3506e-05 +25 *543:12 *1651:16 0.000865945 +26 *543:12 *1659:24 0.000243891 +27 *543:12 *1660:85 9.02963e-06 +28 *543:12 *1731:20 0.000125556 +29 *543:12 *1731:136 0 +30 *543:12 *2581:18 8.45896e-06 +31 *543:12 *2590:25 3.12828e-05 +32 *543:12 *2650:22 0.000480946 +33 *543:12 *2667:72 0.000159546 +34 *543:12 *2667:86 1.67972e-05 +35 *543:12 *2675:63 2.02207e-05 +36 *543:12 *2928:31 0.00047462 +37 *543:12 *2928:35 0.00227044 +38 *543:12 *2935:8 1.49011e-05 +39 *543:12 *2935:13 1.55175e-05 +40 *543:12 *2973:23 0.000435356 +41 *543:12 *2983:11 0.000155181 +42 *543:12 *3151:57 0.000482722 +43 *543:12 *3151:59 0.000153309 +44 *543:12 *3151:64 0.00082427 +45 *543:12 *3427:28 5.35941e-05 +46 *543:12 *3481:37 0.000213859 +47 *543:12 *3483:30 4.0605e-06 +48 *543:12 *5452:10 7.02687e-05 +49 *543:12 *5860:491 0.000118485 +50 *543:21 *24875:SET_B 7.01586e-06 +51 *543:21 *1669:20 0.00159691 +52 *543:21 *1731:20 0.00117481 +53 *543:21 *2675:28 0.000377187 +54 *543:21 *2928:24 0.000324793 +55 *543:21 *2928:31 9.90004e-05 +56 *543:21 *4832:109 5.65213e-05 +57 *543:21 *4981:9 5.481e-05 +58 *543:21 *5870:359 8.10016e-06 +59 *543:21 *5870:368 5.47736e-05 +60 *543:33 *24302:CLK 8.68767e-05 +61 *543:33 *24750:CLK 0.000108228 +62 *543:33 *2645:12 4.23622e-05 +63 *543:33 *2675:42 0.000570466 +64 *543:33 *5435:10 0.000186143 +65 *543:33 *5745:33 3.88358e-05 +66 *543:37 *20237:B2 0.000150713 +67 *543:37 *21954:A 0.000166049 +68 *543:37 *24008:A1 1.12605e-05 +69 *543:37 *24749:D 0.00032387 +70 *543:37 *545:42 0 +71 *543:37 *2560:21 6.08467e-05 +72 *543:37 *2907:6 0.00151809 +73 *543:37 *4946:36 0.000568143 +74 *543:37 *4955:27 2.22198e-05 +75 *543:37 *5854:407 0.000164785 +76 *543:37 *5854:409 7.59515e-05 +*RES +1 *22761:Y *543:10 31.025 +2 *543:10 *543:12 119.764 +3 *543:12 *543:21 48.7243 +4 *543:21 *543:33 41.6645 +5 *543:33 *543:37 41.5213 +6 *543:37 *23982:A0 9.24915 +7 *543:37 *6143:DIODE 17.737 +*END + +*D_NET *544 0.00522841 +*CONN +*I *23983:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23982:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23983:A0 0.00114741 +2 *23982:X 4.86741e-05 +3 *544:8 0.00119608 +4 *23983:A0 *6145:DIODE 0.00027103 +5 *23983:A0 *23986:A1 0.000111722 +6 *23983:A0 *24006:A1 0.000271058 +7 *23983:A0 *547:49 0.000603264 +8 *23983:A0 *1779:16 6.12686e-06 +9 *23983:A0 *4829:10 0.00020502 +10 *23983:A0 *4955:27 0.000313495 +11 *544:8 *4955:27 9.60366e-05 +12 *544:8 *5854:372 4.12533e-05 +13 *23986:S *23983:A0 0.000117292 +14 *24006:A0 *23983:A0 0.000309968 +15 *516:29 *23983:A0 0.000260374 +16 *517:15 *23983:A0 0.000229606 +*RES +1 *23982:X *544:8 20.0811 +2 *544:8 *23983:A0 42.6942 +*END + +*D_NET *545 0.04724 +*CONN +*I *23984:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6144:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22779:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *23984:A0 1.75055e-05 +2 *6144:DIODE 1.81389e-05 +3 *22779:Y 0.00428568 +4 *545:42 0.00093704 +5 *545:37 0.0033582 +6 *545:32 0.00340782 +7 *545:29 0.00174155 +8 *545:23 0.00507621 +9 *6144:DIODE *2428:30 0.000167076 +10 *23984:A0 *2428:30 0.000111722 +11 *545:23 *21689:B1 0.000194195 +12 *545:23 *21690:A1 0.000769944 +13 *545:23 *21881:B1 0.00053211 +14 *545:23 *22779:A 0.000154145 +15 *545:23 *547:21 0.000642745 +16 *545:23 *549:23 0 +17 *545:23 *1439:145 0.0016598 +18 *545:23 *1449:84 1.66771e-05 +19 *545:23 *1651:52 1.79334e-05 +20 *545:23 *1660:27 0.00164791 +21 *545:23 *2586:61 2.01653e-05 +22 *545:23 *2905:17 8.14911e-05 +23 *545:23 *2915:19 0.000493528 +24 *545:23 *3164:15 1.58546e-05 +25 *545:23 *3427:28 0.0016486 +26 *545:23 *3485:19 8.11504e-05 +27 *545:23 *3491:75 0.000128865 +28 *545:23 *3498:24 0.00113231 +29 *545:23 *4824:180 0.00135481 +30 *545:23 *4920:13 0.000868307 +31 *545:23 *5674:45 0.00149783 +32 *545:23 *5859:251 0.000231479 +33 *545:23 *5859:258 0.000287335 +34 *545:29 *2089:37 0.000185876 +35 *545:29 *2098:10 0.000818895 +36 *545:29 *2098:19 0.00013521 +37 *545:29 *2098:34 0.000203111 +38 *545:29 *2398:93 0.000309838 +39 *545:29 *2720:24 0.000868425 +40 *545:29 *3467:8 1.65509e-05 +41 *545:32 *22520:B 0 +42 *545:32 *24938:A 0 +43 *545:32 *2089:12 1.2693e-05 +44 *545:32 *2095:14 0 +45 *545:32 *2423:33 0.000157329 +46 *545:32 *2645:34 7.8672e-05 +47 *545:32 *3469:10 0.0012042 +48 *545:32 *3472:20 0.0013048 +49 *545:32 *4833:51 9.37344e-06 +50 *545:32 *5263:9 3.5353e-05 +51 *545:37 *21733:A 4.47841e-05 +52 *545:37 *24938:A 0 +53 *545:37 *1695:26 0.000116986 +54 *545:37 *2378:12 0 +55 *545:37 *2645:34 0 +56 *545:37 *4832:109 0.00350171 +57 *545:37 *4833:21 2.5034e-05 +58 *545:37 *4833:51 8.45628e-06 +59 *545:37 *4866:49 4.04447e-05 +60 *545:37 *4949:34 7.38072e-05 +61 *545:37 *5261:8 7.18071e-05 +62 *545:37 *5874:79 0.000732286 +63 *545:42 *20237:B1 0.000472631 +64 *545:42 *24008:A1 1.18938e-05 +65 *545:42 *2420:34 0.00202505 +66 *545:42 *2675:42 0.000377528 +67 *545:42 *4944:30 3.22726e-05 +68 *545:42 *5854:407 0.000167363 +69 *545:42 *5854:409 5.5484e-05 +70 *23980:S *6144:DIODE 0.000171288 +71 *23980:S *23984:A0 9.97706e-05 +72 *24004:S *545:42 0.000165495 +73 *524:16 *545:37 0.000235915 +74 *524:18 *545:37 0.000774619 +75 *530:26 *545:37 0 +76 *531:68 *545:37 9.69107e-05 +77 *543:37 *545:42 0 +*RES +1 *22779:Y *545:23 41.6821 +2 *545:23 *545:29 35.7139 +3 *545:29 *545:32 34.4537 +4 *545:32 *545:37 47.1671 +5 *545:37 *545:42 45.2048 +6 *545:42 *6144:DIODE 11.0817 +7 *545:42 *23984:A0 10.5271 +*END + +*D_NET *546 0.00951602 +*CONN +*I *23985:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23984:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23985:A0 0.00092866 +2 *23984:X 0.00107995 +3 *546:15 0.00200861 +4 *23985:A0 *20364:A1 4.69494e-05 +5 *23985:A0 *20970:B2 0.000401104 +6 *23985:A0 *21715:A 6.17194e-05 +7 *23985:A0 *24289:D 9.75356e-05 +8 *23985:A0 *24670:RESET_B 0.000100397 +9 *23985:A0 *548:21 6.42145e-05 +10 *23985:A0 *4875:24 0.000110147 +11 *23985:A0 *4875:30 0 +12 *23985:A0 *5200:10 6.65118e-05 +13 *23985:A0 *5871:286 1.32509e-05 +14 *23985:A0 *5871:297 5.86449e-05 +15 *546:15 *2270:97 0.000843793 +16 *546:15 *2273:6 7.50722e-05 +17 *546:15 *2385:14 7.14746e-05 +18 *546:15 *5852:5 0.00132616 +19 *546:15 *5852:7 7.24917e-06 +20 *408:11 *23985:A0 0.001079 +21 *542:11 *546:15 0.00107558 +*RES +1 *23984:X *546:15 47.372 +2 *546:15 *23985:A0 49.3529 +*END + +*D_NET *547 0.0424857 +*CONN +*I *23986:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *6145:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22797:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *23986:A0 0 +2 *6145:DIODE 2.98231e-05 +3 *22797:Y 0.00223335 +4 *547:49 0.00113089 +5 *547:38 0.00375296 +6 *547:36 0.00356174 +7 *547:33 0.00165378 +8 *547:21 0.00297728 +9 *547:21 *22797:A 6.98337e-06 +10 *547:21 *22797:C 1.47978e-05 +11 *547:21 *1660:27 0.000533201 +12 *547:21 *1735:100 0.000278632 +13 *547:21 *2415:19 0.00203823 +14 *547:21 *2547:12 4.6012e-05 +15 *547:21 *2729:121 0.0054236 +16 *547:21 *2915:19 6.12987e-05 +17 *547:21 *3018:8 4.12833e-05 +18 *547:21 *3071:26 0.000165508 +19 *547:21 *3206:88 0.000159964 +20 *547:21 *3427:28 5.60804e-05 +21 *547:21 *3498:24 0.00114975 +22 *547:21 *3498:35 0.000343552 +23 *547:21 *4824:180 0.000151483 +24 *547:21 *4920:13 0.00101296 +25 *547:33 *22551:A 0.000206542 +26 *547:33 *1439:145 0.000391017 +27 *547:33 *1577:183 8.63196e-05 +28 *547:33 *1636:68 2.14184e-05 +29 *547:33 *2456:30 2.14184e-05 +30 *547:33 *2690:23 0.000417138 +31 *547:33 *3109:17 0.000101483 +32 *547:33 *3160:22 2.53267e-05 +33 *547:33 *3472:30 8.30171e-05 +34 *547:33 *3472:43 1.19737e-05 +35 *547:33 *3479:24 0.00032402 +36 *547:33 *3487:13 0.000240818 +37 *547:36 *20513:B2 0.000138436 +38 *547:36 *1983:52 5.11321e-05 +39 *547:36 *2398:100 0.00122941 +40 *547:36 *2576:42 1.49011e-05 +41 *547:36 *3208:8 0.000278347 +42 *547:36 *4827:29 0.000108799 +43 *547:36 *4843:509 0.000640807 +44 *547:38 *20230:A2 6.10852e-05 +45 *547:38 *20520:A1 8.8371e-05 +46 *547:38 *21661:A 0.000278945 +47 *547:38 *24754:CLK 7.48457e-05 +48 *547:38 *1893:41 4.28206e-05 +49 *547:38 *1893:43 0.000284114 +50 *547:38 *1983:52 3.392e-05 +51 *547:38 *2440:10 6.383e-05 +52 *547:38 *2440:16 0 +53 *547:38 *2899:31 0.000462275 +54 *547:38 *4845:382 8.21849e-06 +55 *547:38 *4845:396 2.73322e-05 +56 *547:38 *5871:334 0.000783662 +57 *547:49 *20230:A2 0 +58 *547:49 *21967:B2 7.14746e-05 +59 *547:49 *24668:D 0.000118485 +60 *547:49 *2440:10 0 +61 *547:49 *4829:10 0.000154145 +62 *547:49 *4845:396 0.000886004 +63 *547:49 *5871:297 0 +64 *547:49 *5871:334 8.51926e-05 +65 *23983:A0 *6145:DIODE 0.00027103 +66 *23983:A0 *547:49 0.000603264 +67 *23986:S *6145:DIODE 0.00027103 +68 *23986:S *547:49 9.97706e-05 +69 *23996:A0 *547:38 3.50059e-05 +70 *23996:S *547:38 1.86464e-05 +71 *494:6 *547:38 3.7e-05 +72 *494:26 *547:38 0.00186742 +73 *497:14 *547:38 0 +74 *497:35 *547:38 0 +75 *497:50 *547:49 0 +76 *499:18 *547:38 7.83498e-05 +77 *506:32 *547:33 0.000426238 +78 *506:36 *547:36 2.57465e-06 +79 *506:36 *547:38 0.0027897 +80 *506:40 *547:38 9.82974e-06 +81 *517:15 *547:49 0.000592896 +82 *545:23 *547:21 0.000642745 +*RES +1 *22797:Y *547:21 35.7236 +2 *547:21 *547:33 26.7329 +3 *547:33 *547:36 29.9714 +4 *547:36 *547:38 88.351 +5 *547:38 *547:49 41.4185 +6 *547:49 *6145:DIODE 12.191 +7 *547:49 *23986:A0 9.24915 +*END + +*D_NET *548 0.00798681 +*CONN +*I *23987:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23986:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23987:A0 0.000145559 +2 *23986:X 0.000405077 +3 *548:21 0.00119418 +4 *548:11 0.0014537 +5 *23987:A0 *23985:S 1.50924e-05 +6 *23987:A0 *6027:113 0.000537734 +7 *548:11 *23986:A1 3.67528e-06 +8 *548:11 *1744:42 0 +9 *548:11 *2273:6 0 +10 *548:11 *2560:21 0.000746268 +11 *548:21 *20970:B2 0.000448783 +12 *548:21 *23985:S 4.9169e-05 +13 *548:21 *24670:CLK 6.27782e-05 +14 *548:21 *2560:20 0.000516327 +15 *548:21 *2736:16 0.00014057 +16 *548:21 *2980:6 0.00124405 +17 *548:21 *5200:10 2.56848e-05 +18 *548:21 *5871:246 0.000495721 +19 *20968:A2 *23987:A0 5.26719e-05 +20 *20969:A2 *23987:A0 3.21102e-05 +21 *20969:A2 *548:21 0.00035344 +22 *23985:A0 *548:21 6.42145e-05 +*RES +1 *23986:X *548:11 33.069 +2 *548:11 *548:21 46.6075 +3 *548:21 *23987:A0 15.5186 +*END + +*D_NET *549 0.0423621 +*CONN +*I *6084:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23988:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22815:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *6084:DIODE 0 +2 *23988:A0 0.000100623 +3 *22815:Y 0.00956121 +4 *549:24 0.00221603 +5 *549:23 0.0116766 +6 *23988:A0 *21959:A1 8.88627e-05 +7 *23988:A0 *24978:A 0.000315461 +8 *23988:A0 *550:13 5.05976e-05 +9 *549:23 *6176:DIODE 0.000211665 +10 *549:23 *21690:A1 0 +11 *549:23 *21921:C1 6.08467e-05 +12 *549:23 *22497:A2 4.78554e-06 +13 *549:23 *22815:B 2.37478e-05 +14 *549:23 *1636:68 0.000131059 +15 *549:23 *1788:21 0.0006697 +16 *549:23 *2368:19 0 +17 *549:23 *2441:72 0.000605073 +18 *549:23 *2456:30 0 +19 *549:23 *2590:25 2.16355e-05 +20 *549:23 *2590:36 5.04829e-06 +21 *549:23 *2641:30 0.00433746 +22 *549:23 *2923:14 1.66626e-05 +23 *549:23 *2989:91 0.0041341 +24 *549:23 *3132:29 0.00048536 +25 *549:23 *3142:21 5.24444e-05 +26 *549:23 *3148:46 0.000465546 +27 *549:23 *3179:12 0.000122496 +28 *549:23 *3179:134 0.000263911 +29 *549:23 *4821:55 0.000197372 +30 *549:23 *4824:180 0.000441659 +31 *549:23 *5475:83 0.000948993 +32 *549:23 *5674:45 0 +33 *549:24 *19618:B2 2.26985e-05 +34 *549:24 *21959:A1 0.00169626 +35 *549:24 *24895:SET_B 0.000151983 +36 *549:24 *1780:134 3.63738e-05 +37 *549:24 *2299:8 0.000834354 +38 *549:24 *2443:17 1.5714e-05 +39 *522:24 *549:24 0.000373781 +40 *522:28 *549:24 0 +41 *541:66 *549:24 0.000614655 +42 *541:70 *23988:A0 8.52802e-05 +43 *541:70 *549:24 0.00132204 +44 *545:23 *549:23 0 +*RES +1 *22815:Y *549:23 48.5052 +2 *549:23 *549:24 74.2935 +3 *549:24 *23988:A0 18.6623 +4 *549:24 *6084:DIODE 13.7491 +*END + +*D_NET *550 0.0136675 +*CONN +*I *23989:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *23988:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23989:A0 0 +2 *23988:X 0.00161147 +3 *550:29 0.0013645 +4 *550:25 0.00275264 +5 *550:13 0.00299961 +6 *550:13 *24669:D 0.000102647 +7 *550:13 *24669:RESET_B 4.08578e-05 +8 *550:13 *24978:A 4.89898e-06 +9 *550:13 *1933:62 0.000128693 +10 *550:13 *4915:88 1.80257e-05 +11 *550:13 *4915:98 9.80747e-05 +12 *550:13 *4915:106 0.000207156 +13 *550:25 *20973:A1 0.000132408 +14 *550:25 *20973:B2 6.92705e-05 +15 *550:25 *21380:A 6.50586e-05 +16 *550:25 *24262:CLK 0.00024242 +17 *550:25 *24669:RESET_B 0.000882528 +18 *550:25 *2290:30 0.000116256 +19 *550:29 *20968:B2 6.92705e-05 +20 *550:29 *23989:S 0.000144435 +21 *550:29 *24005:A1 0.000167594 +22 *550:29 *24262:D 1.72464e-05 +23 *550:29 *24262:RESET_B 0.00010623 +24 *550:29 *2899:12 0 +25 *550:29 *4877:26 2.04806e-05 +26 *550:29 *4877:28 0.000180459 +27 *550:29 *4877:30 0.000119312 +28 *550:29 *4877:32 8.41124e-05 +29 *550:29 *4877:34 0.000171545 +30 *550:29 *4877:36 0.000298561 +31 *20973:A2 *550:25 3.72827e-05 +32 *21004:A2 *550:29 0.000508818 +33 *23988:A0 *550:13 5.05976e-05 +34 *23988:S *550:13 0.000716762 +35 *399:11 *550:29 0.0001305 +36 *524:43 *550:13 7.77309e-06 +*RES +1 *23988:X *550:13 45.2607 +2 *550:13 *550:25 38.5961 +3 *550:25 *550:29 47.6136 +4 *550:29 *23989:A0 9.24915 +*END + +*D_NET *551 0.00686467 +*CONN +*I *19751:A I *D sky130_fd_sc_hd__or2_1 +*I *20331:A I *D sky130_fd_sc_hd__nor2_1 +*I *24015:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *19749:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19751:A 7.56795e-05 +2 *20331:A 9.66972e-05 +3 *24015:A1 0.000261376 +4 *19749:Y 0.000298384 +5 *551:13 0.00110644 +6 *551:7 0.00112243 +7 *19751:A *20129:B2 0.000259244 +8 *20331:A *20331:B 1.09551e-05 +9 *20331:A *1818:21 0.000127194 +10 *20331:A *5898:120 0.000118568 +11 *24015:A1 *24015:A0 0.000162583 +12 *24015:A1 *1818:21 0.000594377 +13 *24015:A1 *5898:64 0.000257476 +14 *24015:A1 *5898:120 0.000345266 +15 *551:7 *19749:A 3.07159e-05 +16 *551:7 *1523:7 1.82679e-05 +17 *551:13 *20129:B2 7.14746e-05 +18 *551:13 *20332:A 4.56831e-05 +19 *551:13 *20332:B 0.00105483 +20 *551:13 *20333:B2 0.000268798 +21 *551:13 *22121:A1 1.1246e-05 +22 *551:13 *1697:33 4.00689e-05 +23 *551:13 *1755:21 6.1784e-05 +24 *443:10 *24015:A1 5.97411e-05 +25 *514:58 *19751:A 0.00026787 +26 *514:58 *551:13 9.75356e-05 +*RES +1 *19749:Y *551:7 17.8002 +2 *551:7 *551:13 30.4119 +3 *551:13 *24015:A1 26.5493 +4 *551:13 *20331:A 17.2985 +5 *551:7 *19751:A 18.4879 +*END + +*D_NET *552 0.00732322 +*CONN +*I *24015:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22824:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *24015:A0 0.00022401 +2 *22824:Y 0.000117089 +3 *552:8 0.00169544 +4 *552:7 0.00158852 +5 *24015:A0 *2803:41 5.04829e-06 +6 *552:7 *22107:B1 4.81015e-05 +7 *552:7 *5804:25 4.82838e-06 +8 *552:8 *24168:RESET_B 0 +9 *552:8 *24199:D 0 +10 *552:8 *24199:CLK 0.00110445 +11 *552:8 *1786:28 0.0017856 +12 *552:8 *2219:10 0 +13 *552:8 *4867:115 1.5714e-05 +14 *552:8 *4937:8 0 +15 *552:8 *5791:8 0.000432081 +16 *552:8 *5804:25 0 +17 *552:8 *5811:38 4.3116e-06 +18 *21134:B1 *552:8 4.01301e-05 +19 *24015:A1 *24015:A0 0.000162583 +20 *24168:D *552:8 3.55859e-05 +21 *443:10 *24015:A0 5.97264e-05 +*RES +1 *22824:Y *552:7 15.5817 +2 *552:7 *552:8 51.6623 +3 *552:8 *24015:A0 18.9094 +*END + +*D_NET *553 0.000676564 +*CONN +*I *24024:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22825:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *24024:A0 0.000270053 +2 *22825:Y 0.000270053 +3 *24024:A0 *22825:A 5.04829e-06 +4 *24024:A0 *24024:A1 6.92705e-05 +5 *24024:A0 *5485:27 5.20545e-05 +6 *24024:A0 *5485:31 1.00846e-05 +*RES +1 *22825:Y *24024:A0 22.5493 +*END + +*D_NET *554 0.000611151 +*CONN +*I *24025:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22827:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *24025:A0 5.08057e-05 +2 *22827:X 5.08057e-05 +3 *24025:A0 *22827:A2 0.00011818 +4 *24025:A0 *24025:S 6.08467e-05 +5 *24025:A0 *1690:59 0.000258128 +6 *24025:A0 *5485:21 6.08467e-05 +7 *24025:A0 *5916:35 1.15389e-05 +*RES +1 *22827:X *24025:A0 21.4401 +*END + +*D_NET *555 0.00109459 +*CONN +*I *24026:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22829:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *24026:A0 0.000224249 +2 *22829:X 0.000224249 +3 *24026:A0 *5439:44 0.000242432 +4 *24026:A0 *5440:13 0.000238835 +5 *443:10 *24026:A0 0.000164829 +*RES +1 *22829:X *24026:A0 34.0697 +*END + +*D_NET *556 0.000446387 +*CONN +*I *24027:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22832:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *24027:A0 0.000113677 +2 *22832:Y 0.000113677 +3 *24027:A0 *24053:A1 0.000113968 +4 *24027:A0 *1668:59 7.50722e-05 +5 *24027:A0 *2469:19 2.99929e-05 +*RES +1 *22832:Y *24027:A0 30.193 +*END + +*D_NET *557 0.000551565 +*CONN +*I *24021:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22834:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *24021:A0 7.52091e-05 +2 *22834:X 7.52091e-05 +3 *24021:A0 *24021:S 6.08467e-05 +4 *24021:A0 *2704:53 0.000137921 +5 *24021:A0 *5476:26 0.000141533 +6 *24021:A0 *5476:34 6.08467e-05 +*RES +1 *22834:X *24021:A0 30.8842 +*END + +*D_NET *558 0.00035604 +*CONN +*I *24022:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22837:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *24022:A0 8.23416e-05 +2 *22837:X 8.23416e-05 +3 *24022:A0 *1699:20 4.47123e-05 +4 *24022:A0 *5443:25 0.000146645 +*RES +1 *22837:X *24022:A0 30.1608 +*END + +*D_NET *559 0.00106913 +*CONN +*I *24016:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22840:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *24016:A0 0.000323009 +2 *22840:X 0.000323009 +3 *24016:A0 *24205:D 0.000128736 +4 *24016:A0 *1818:29 0.000145381 +5 *24016:A0 *4864:11 0.000148994 +*RES +1 *22840:X *24016:A0 34.2118 +*END + +*D_NET *560 0.000727552 +*CONN +*I *24023:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22842:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24023:A0 0.000127631 +2 *22842:X 0.000127631 +3 *24023:A0 *24023:A1 0.000164829 +4 *24023:A0 *24023:S 5.0715e-05 +5 *24023:A0 *2939:13 5.5951e-05 +6 *24023:A0 *5444:21 0.000200794 +*RES +1 *22842:X *24023:A0 23.1039 +*END + +*D_NET *561 0.00555279 +*CONN +*I *24028:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22846:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *22847:A2 I *D sky130_fd_sc_hd__a31oi_1 +*I *22845:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *22843:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *24028:A0 0.000113231 +2 *22846:A2 3.5247e-05 +3 *22847:A2 0.000176027 +4 *22845:A2 0 +5 *22843:Y 2.59154e-05 +6 *561:28 0.000908332 +7 *561:14 0.00117867 +8 *561:5 0.000620759 +9 *22846:A2 *20105:A 0 +10 *22846:A2 *22847:B1 0 +11 *22847:A2 *20105:A 2.78381e-05 +12 *22847:A2 *22847:A1 6.50727e-05 +13 *22847:A2 *22847:A3 1.00846e-05 +14 *22847:A2 *22847:B1 9.73548e-05 +15 *22847:A2 *24030:A0 0.000158371 +16 *22847:A2 *2405:20 3.84001e-05 +17 *22847:A2 *5451:12 2.99929e-05 +18 *22847:A2 *5451:27 7.92757e-06 +19 *24028:A0 *5449:27 0.000208218 +20 *561:5 *5449:27 6.50727e-05 +21 *561:14 *24029:A1 7.48633e-05 +22 *561:14 *24029:S 0.000114518 +23 *561:14 *2838:29 3.83564e-05 +24 *561:14 *2864:44 0.00058497 +25 *561:14 *5449:27 5.14448e-05 +26 *561:14 *5449:38 0.000106584 +27 *561:28 *20105:A 2.32849e-05 +28 *561:28 *20105:B 2.16355e-05 +29 *561:28 *20107:A1 0 +30 *561:28 *21109:A 0.000616745 +31 *561:28 *1838:5 6.50586e-05 +32 *561:28 *2505:50 3.74738e-05 +33 *561:28 *5449:38 5.13462e-05 +34 *486:10 *561:14 0 +35 *486:10 *561:28 0 +36 *514:65 *561:28 0 +*RES +1 *22843:Y *561:5 9.97254 +2 *561:5 *561:14 29.6566 +3 *561:14 *22845:A2 13.7491 +4 *561:14 *561:28 23.197 +5 *561:28 *22847:A2 23.7169 +6 *561:28 *22846:A2 10.2378 +7 *561:5 *24028:A0 12.7697 +*END + +*D_NET *562 0.000860769 +*CONN +*I *24029:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22845:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24029:A0 0.000299517 +2 *22845:X 0.000299517 +3 *24029:A0 *22845:A1 0.000161546 +4 *24029:A0 *2447:7 2.15034e-05 +5 *24029:A0 *2864:44 7.86847e-05 +6 *24029:A0 *5449:27 0 +7 *24029:A0 *5449:38 0 +*RES +1 *22845:X *24029:A0 32.9661 +*END + +*D_NET *563 0.000715954 +*CONN +*I *24030:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22847:Y O *D sky130_fd_sc_hd__a31oi_1 +*CAP +1 *24030:A0 0.000173129 +2 *22847:Y 0.000173129 +3 *24030:A0 *2426:16 0.000148144 +4 *24030:A0 *2864:44 6.31809e-05 +5 *22847:A2 *24030:A0 0.000158371 +*RES +1 *22847:Y *24030:A0 31.9934 +*END + +*D_NET *564 0.00075222 +*CONN +*I *24077:S I *D sky130_fd_sc_hd__mux2_1 +*I *22849:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24077:S 0.00024039 +2 *22849:X 0.00024039 +3 *24077:S *20173:B1 6.71361e-06 +4 *24077:S *24077:A0 3.0676e-05 +5 *24077:S *1478:11 0.000234051 +6 *24077:S *1479:36 0 +*RES +1 *22849:X *24077:S 32.548 +*END + +*D_NET *565 0.00256205 +*CONN +*I *24077:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22852:X O *D sky130_fd_sc_hd__a211o_1 +*CAP +1 *24077:A0 0.000880559 +2 *22852:X 0.000880559 +3 *24077:A0 *20173:B1 0.000253133 +4 *24077:A0 *20178:A2 2.65311e-05 +5 *24077:A0 *20179:A 0.000216103 +6 *24077:A0 *20179:C 0 +7 *24077:A0 *1479:36 0 +8 *24077:A0 *5898:273 0.000134849 +9 *24077:A0 *5898:276 8.03393e-06 +10 *24077:A0 *6020:36 1.70851e-05 +11 *24077:A1 *24077:A0 0.000114523 +12 *24077:S *24077:A0 3.0676e-05 +*RES +1 *22852:X *24077:A0 49.3325 +*END + +*D_NET *566 0.0507049 +*CONN +*I *22848:B I *D sky130_fd_sc_hd__and2_1 +*I *19666:B I *D sky130_fd_sc_hd__nand2_8 +*I *24086:S I *D sky130_fd_sc_hd__mux2_4 +*I *24087:S I *D sky130_fd_sc_hd__mux2_4 +*I *24088:S I *D sky130_fd_sc_hd__mux2_4 +*I *24089:S I *D sky130_fd_sc_hd__mux2_4 +*I *24090:S I *D sky130_fd_sc_hd__mux2_2 +*I *24091:S I *D sky130_fd_sc_hd__mux2_2 +*I *24092:S I *D sky130_fd_sc_hd__mux2_2 +*I *24078:S I *D sky130_fd_sc_hd__mux2_1 +*I *24085:S I *D sky130_fd_sc_hd__mux2_1 +*I *24084:S I *D sky130_fd_sc_hd__mux2_1 +*I *24082:S I *D sky130_fd_sc_hd__mux2_1 +*I *24083:S I *D sky130_fd_sc_hd__mux2_1 +*I *24080:S I *D sky130_fd_sc_hd__mux2_1 +*I *24081:S I *D sky130_fd_sc_hd__mux2_1 +*I *24079:S I *D sky130_fd_sc_hd__mux2_1 +*I *19665:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *22848:B 0.000143435 +2 *19666:B 0 +3 *24086:S 0 +4 *24087:S 3.23419e-05 +5 *24088:S 0 +6 *24089:S 4.98617e-05 +7 *24090:S 0.000172756 +8 *24091:S 3.37873e-05 +9 *24092:S 0.000149315 +10 *24078:S 0.00022278 +11 *24085:S 0 +12 *24084:S 0.000314034 +13 *24082:S 0 +14 *24083:S 1.76235e-05 +15 *24080:S 0.000249152 +16 *24081:S 9.34923e-06 +17 *24079:S 0.000291314 +18 *19665:X 0.00041342 +19 *566:155 0.000206543 +20 *566:144 0.000730243 +21 *566:136 0.00211291 +22 *566:135 0.00158268 +23 *566:131 0.000813852 +24 *566:127 0.00098759 +25 *566:124 0.00116064 +26 *566:120 0.00106738 +27 *566:116 0.00128971 +28 *566:114 0.00145221 +29 *566:110 0.00181704 +30 *566:109 0.00168889 +31 *566:106 0.000818524 +32 *566:84 0.000270769 +33 *566:62 0.000459762 +34 *566:59 0.000221626 +35 *566:51 6.24204e-05 +36 *566:33 0.000305787 +37 *566:20 0.000530589 +38 *566:15 0.00136589 +39 *566:7 0.00206249 +40 *22848:B *22848:A 0.000457669 +41 *22848:B *24184:CLK 4.82966e-05 +42 *22848:B *5671:294 0.000470585 +43 *24078:S *22854:A1 0 +44 *24078:S *22854:B2 1.43983e-05 +45 *24078:S *22854:C1 1.88011e-05 +46 *24078:S *22858:B2 0 +47 *24078:S *24083:A0 0.000153949 +48 *24078:S *571:19 6.50727e-05 +49 *24078:S *574:17 0 +50 *24078:S *5934:24 0.000370815 +51 *24079:S *24079:A0 0.000663281 +52 *24079:S *568:31 0.000111835 +53 *24079:S *4792:11 2.65831e-05 +54 *24080:S *24080:A0 0.000236357 +55 *24080:S *24081:A1 0 +56 *24080:S *24083:A1 0 +57 *24080:S *5635:23 0.000536581 +58 *24081:S *568:31 6.50727e-05 +59 *24081:S *570:15 6.50727e-05 +60 *24084:S *20183:A0 0 +61 *24084:S *22854:B2 2.1558e-05 +62 *24084:S *24082:A0 1.41291e-05 +63 *24084:S *24082:A1 1.77537e-06 +64 *24084:S *24084:A0 3.31882e-05 +65 *24084:S *24085:A1 4.73476e-05 +66 *24084:S *570:15 0.000285199 +67 *24087:S *24087:A1 1.64789e-05 +68 *24090:S *24090:A1 0.000100493 +69 *24090:S *586:13 0.000294093 +70 *24091:S *586:13 1.65872e-05 +71 *24092:S *23846:A 6.08467e-05 +72 *24092:S *4189:6 0.000195654 +73 *24092:S *4755:11 0.000190846 +74 *566:7 *20181:A1 0.000115599 +75 *566:7 *5907:54 0.000266374 +76 *566:15 *20182:A 3.58185e-05 +77 *566:15 *20183:A0 0.000727423 +78 *566:15 *20185:A0 0 +79 *566:15 *24083:A0 6.50586e-05 +80 *566:15 *24776:CLK 0 +81 *566:15 *24782:D 0 +82 *566:15 *1872:20 0.00041724 +83 *566:15 *4881:100 0 +84 *566:15 *5671:310 4.06642e-05 +85 *566:20 *20183:A0 0 +86 *566:20 *24083:A1 1.61631e-05 +87 *566:20 *4792:11 0 +88 *566:20 *5934:9 1.00981e-05 +89 *566:20 *5934:24 7.24449e-05 +90 *566:51 *24083:A0 0.000118166 +91 *566:51 *24083:A1 0.000122378 +92 *566:51 *5934:24 1.92172e-05 +93 *566:59 *24083:A0 0.000210197 +94 *566:59 *5934:24 9.40969e-05 +95 *566:62 *20183:A0 0 +96 *566:62 *20195:A0 0 +97 *566:62 *24082:A0 4.98788e-06 +98 *566:62 *24085:A1 4.31118e-05 +99 *566:84 *24083:A0 0.000318644 +100 *566:84 *24085:A1 0.000122378 +101 *566:84 *5934:24 8.4329e-05 +102 *566:106 *20182:A 0 +103 *566:106 *22848:A 1.50262e-05 +104 *566:106 *23963:A0 0 +105 *566:106 *24181:CLK 0 +106 *566:106 *4881:78 7.14746e-05 +107 *566:106 *4881:91 0 +108 *566:106 *5472:6 0 +109 *566:106 *5671:301 0.000312633 +110 *566:106 *5671:310 3.46062e-05 +111 *566:109 *22848:A 6.49003e-05 +112 *566:109 *4881:81 2.20702e-05 +113 *566:110 *20305:A2 0 +114 *566:110 *21057:B2 0.000118485 +115 *566:110 *21057:C1 3.42731e-05 +116 *566:110 *22103:B1 0.000557778 +117 *566:110 *22118:B 0.000317392 +118 *566:110 *22848:A 0.000440242 +119 *566:110 *24181:CLK 0 +120 *566:110 *24236:CLK 0 +121 *566:110 *1476:10 0.00180727 +122 *566:110 *2197:14 2.01653e-05 +123 *566:110 *3081:25 6.40861e-05 +124 *566:110 *3086:14 6.35608e-05 +125 *566:110 *4137:83 0 +126 *566:110 *4409:8 0 +127 *566:110 *5815:15 1.72464e-05 +128 *566:114 *20305:A2 0 +129 *566:114 *24704:D 0.000215306 +130 *566:114 *4409:8 0 +131 *566:116 *23413:A 5.8705e-05 +132 *566:116 *23604:A 0.000173862 +133 *566:116 *23605:A 0.000660913 +134 *566:116 *24704:D 0.000285161 +135 *566:116 *24876:D 0.000292727 +136 *566:116 *576:11 0 +137 *566:116 *4409:8 0.000399625 +138 *566:116 *5921:51 0.000475021 +139 *566:116 *5921:57 0.000184266 +140 *566:120 *23605:A 0.000226296 +141 *566:120 *24087:A0 1.47102e-05 +142 *566:120 *5671:142 0 +143 *566:120 *5921:51 0.0001549 +144 *566:124 *20793:B1 0.00015324 +145 *566:124 *20793:B2 2.04806e-05 +146 *566:124 *24087:A1 0.000251669 +147 *566:124 *1829:90 0.00157647 +148 *566:124 *2523:35 3.85733e-05 +149 *566:124 *3958:28 0.00231154 +150 *566:124 *4664:40 0.000502594 +151 *566:124 *5671:142 1.23028e-05 +152 *566:127 *3958:27 9.05137e-05 +153 *566:127 *5458:64 0.000357898 +154 *566:131 *23630:A 1.98996e-05 +155 *566:131 *24088:A1 0.000385275 +156 *566:131 *3958:27 2.11376e-05 +157 *566:135 *24089:A0 5.04829e-06 +158 *566:135 *3958:27 2.86013e-06 +159 *566:136 *23166:A1 0.000288146 +160 *566:136 *23504:A 0.000148144 +161 *566:136 *23678:A 0 +162 *566:136 *23736:A 0.000179041 +163 *566:136 *23749:B 2.35149e-05 +164 *566:136 *23804:A 1.86242e-05 +165 *566:136 *584:8 0.000485907 +166 *566:136 *3829:26 0 +167 *566:136 *3829:54 0 +168 *566:136 *4106:89 0.000187498 +169 *566:136 *4452:10 0.000115189 +170 *566:136 *4495:48 0 +171 *566:136 *4636:23 0.00129879 +172 *566:136 *4684:40 6.06269e-06 +173 *566:136 *4684:47 0.00202726 +174 *566:144 *23857:A1 0.000182869 +175 *566:144 *23857:A2 0.000102079 +176 *566:144 *23858:A2 1.91246e-05 +177 *566:144 *23858:B1 0.000110473 +178 *566:144 *584:8 0 +179 *566:144 *2963:93 3.74476e-05 +180 *566:144 *4189:6 0.00095808 +181 *566:144 *4684:40 0.000105475 +182 *566:144 *4755:11 0.000838972 +183 *24181:D *566:110 0.000126335 +*RES +1 *19665:X *566:7 25.5646 +2 *566:7 *566:15 39.6448 +3 *566:15 *566:20 9.54971 +4 *566:20 *24079:S 23.3944 +5 *566:20 *566:33 1.00149 +6 *566:33 *24081:S 14.4725 +7 *566:33 *24080:S 23.3722 +8 *566:15 *566:51 1.8326 +9 *566:51 *24083:S 9.82786 +10 *566:51 *566:59 2.38721 +11 *566:59 *566:62 7.993 +12 *566:62 *24082:S 13.7491 +13 *566:62 *24084:S 29.7702 +14 *566:59 *566:84 4.07513 +15 *566:84 *24085:S 9.24915 +16 *566:84 *24078:S 26.2648 +17 *566:7 *566:106 19.2048 +18 *566:106 *566:109 7.44181 +19 *566:109 *566:110 55.3995 +20 *566:110 *566:114 8.1707 +21 *566:114 *566:116 46.0564 +22 *566:116 *566:120 10.4845 +23 *566:120 *566:124 49.4167 +24 *566:124 *566:127 11.8786 +25 *566:127 *566:131 11.5502 +26 *566:131 *566:135 5.2234 +27 *566:135 *566:136 61.8359 +28 *566:136 *566:144 25.4159 +29 *566:144 *24092:S 18.3808 +30 *566:144 *566:155 4.5 +31 *566:155 *24091:S 9.97254 +32 *566:155 *24090:S 14.4335 +33 *566:131 *24089:S 10.6477 +34 *566:127 *24088:S 9.24915 +35 *566:120 *24087:S 10.2378 +36 *566:116 *24086:S 13.7491 +37 *566:110 *19666:B 13.7491 +38 *566:106 *22848:B 16.676 +*END + +*D_NET *567 0.00133657 +*CONN +*I *24078:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22854:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *24078:A0 0.000393913 +2 *22854:X 0.000393913 +3 *24078:A0 *22854:B1 0.000212109 +4 *24078:A0 *22854:B2 0.000331836 +5 *24078:A0 *571:19 4.796e-06 +*RES +1 *22854:X *24078:A0 25.3223 +*END + +*D_NET *568 0.00592725 +*CONN +*I *24079:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22856:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *24079:A0 7.95644e-05 +2 *22856:X 0.00150905 +3 *568:31 0.00158861 +4 *24079:A0 *20187:A0 0.000865882 +5 *568:31 *20187:A0 1.67988e-05 +6 *568:31 *20195:A0 4.69495e-06 +7 *568:31 *22853:A 9.78934e-05 +8 *568:31 *22854:A1 2.16355e-05 +9 *568:31 *22854:A2 2.98665e-05 +10 *568:31 *24082:A0 0.000286806 +11 *568:31 *24085:A1 7.08723e-06 +12 *568:31 *569:10 1.91391e-05 +13 *568:31 *570:15 0.000333181 +14 *568:31 *571:19 0.000148867 +15 *568:31 *574:17 0 +16 *568:31 *5935:20 0 +17 *568:31 *6019:59 1.5714e-05 +18 *568:31 *6020:82 1.65872e-05 +19 *568:31 *6020:101 4.56831e-05 +20 *24079:S *24079:A0 0.000663281 +21 *24079:S *568:31 0.000111835 +22 *24081:S *568:31 6.50727e-05 +*RES +1 *22856:X *568:31 43.2466 +2 *568:31 *24079:A0 18.2916 +*END + +*D_NET *569 0.00507339 +*CONN +*I *24080:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22858:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *24080:A0 0.000761151 +2 *22858:X 0.000408349 +3 *569:10 0.0011695 +4 *24080:A0 *20183:A0 0.000111722 +5 *24080:A0 *22860:B2 6.73022e-05 +6 *24080:A0 *24084:A0 0.000215704 +7 *24080:A0 *24084:A1 9.65932e-05 +8 *24080:A0 *570:15 0.00111793 +9 *24080:A0 *5635:23 0.000353197 +10 *569:10 *22853:B 2.41483e-05 +11 *569:10 *22856:B2 0.000131716 +12 *569:10 *22858:B2 0 +13 *569:10 *571:19 5.11321e-05 +14 *569:10 *5934:24 0.000264586 +15 *569:10 *6019:59 4.48637e-05 +16 *569:10 *6019:80 0 +17 *24080:S *24080:A0 0.000236357 +18 *568:31 *569:10 1.91391e-05 +*RES +1 *22858:X *569:10 26.8996 +2 *569:10 *24080:A0 37.1481 +*END + +*D_NET *570 0.00516373 +*CONN +*I *24081:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22860:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *24081:A0 0 +2 *22860:X 0.00123225 +3 *570:15 0.00123225 +4 *570:15 *20187:A0 0.000274061 +5 *570:15 *22860:B2 7.68538e-06 +6 *570:15 *22860:C1 0.00012413 +7 *570:15 *24082:A0 0.000119404 +8 *570:15 *24082:A1 6.92705e-05 +9 *570:15 *24085:A1 9.60366e-05 +10 *570:15 *6019:88 0.000207266 +11 *24080:A0 *570:15 0.00111793 +12 *24081:S *570:15 6.50727e-05 +13 *24084:S *570:15 0.000285199 +14 *568:31 *570:15 0.000333181 +*RES +1 *22860:X *570:15 46.678 +2 *570:15 *24081:A0 9.24915 +*END + +*D_NET *571 0.00499388 +*CONN +*I *24082:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22862:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *24082:A0 0.000247096 +2 *22862:X 0.00145855 +3 *571:19 0.00170565 +4 *24082:A0 *20183:A0 1.45551e-05 +5 *24082:A0 *22854:B2 2.65667e-05 +6 *571:19 *22853:A 0.000131243 +7 *571:19 *22854:A1 0.000114833 +8 *571:19 *22854:A2 0 +9 *571:19 *22854:B1 9.75148e-06 +10 *571:19 *22854:B2 4.89146e-05 +11 *571:19 *22855:A 0.00013346 +12 *571:19 *22858:B2 0 +13 *571:19 *22862:A2 6.50586e-05 +14 *571:19 *22864:A1 7.64512e-06 +15 *571:19 *22864:C1 2.28682e-06 +16 *571:19 *24078:A1 3.14978e-05 +17 *571:19 *5934:24 9.47861e-05 +18 *571:19 *6020:80 1.04568e-05 +19 *571:19 *6020:119 0.00019633 +20 *24078:A0 *571:19 4.796e-06 +21 *24078:S *571:19 6.50727e-05 +22 *24084:S *24082:A0 1.41291e-05 +23 *566:62 *24082:A0 4.98788e-06 +24 *568:31 *24082:A0 0.000286806 +25 *568:31 *571:19 0.000148867 +26 *569:10 *571:19 5.11321e-05 +27 *570:15 *24082:A0 0.000119404 +*RES +1 *22862:X *571:19 46.5092 +2 *571:19 *24082:A0 27.1593 +*END + +*D_NET *572 0.00594649 +*CONN +*I *24083:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22864:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *24083:A0 0.000397746 +2 *22864:X 0.00119233 +3 *572:15 0.00159008 +4 *24083:A0 *22853:B 9.87648e-05 +5 *24083:A0 *574:17 0.000271044 +6 *24083:A0 *5934:24 3.75343e-05 +7 *572:15 *22853:B 6.26264e-05 +8 *572:15 *22858:A2 0.000144293 +9 *572:15 *22858:B2 0.000275518 +10 *572:15 *22864:C1 0.000370801 +11 *572:15 *22866:A1 1.71784e-05 +12 *572:15 *22866:B1 0.000321905 +13 *572:15 *573:16 6.50586e-05 +14 *572:15 *3773:11 0 +15 *572:15 *5644:14 1.75637e-06 +16 *572:15 *5661:17 3.85049e-05 +17 *572:15 *5677:16 0.000170749 +18 *572:15 *5934:25 2.45789e-05 +19 *24078:S *24083:A0 0.000153949 +20 *566:15 *24083:A0 6.50586e-05 +21 *566:51 *24083:A0 0.000118166 +22 *566:59 *24083:A0 0.000210197 +23 *566:84 *24083:A0 0.000318644 +*RES +1 *22864:X *572:15 42.6483 +2 *572:15 *24083:A0 23.8376 +*END + +*D_NET *573 0.00643906 +*CONN +*I *24084:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22866:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *24084:A0 0.000827182 +2 *22866:X 0.000621344 +3 *573:16 0.00144853 +4 *24084:A0 *22854:B2 6.31954e-05 +5 *24084:A0 *22855:B 6.34576e-05 +6 *24084:A0 *22856:B2 9.24107e-05 +7 *24084:A0 *22862:B2 1.777e-05 +8 *24084:A0 *24082:A1 0 +9 *24084:A0 *24084:A1 6.50586e-05 +10 *24084:A0 *24085:A1 2.94562e-05 +11 *24084:A0 *3774:16 1.92336e-05 +12 *24084:A0 *5635:23 5.73392e-05 +13 *24084:A0 *5636:10 3.84508e-05 +14 *24084:A0 *5655:7 0.000935664 +15 *573:16 *22864:B2 0.000175606 +16 *573:16 *22864:C1 4.33605e-05 +17 *573:16 *5644:14 0.00030456 +18 *573:16 *5661:17 1.56236e-05 +19 *573:16 *5677:16 0 +20 *24080:A0 *24084:A0 0.000215704 +21 *24084:S *24084:A0 3.31882e-05 +22 *25078:A *24084:A0 0.000171288 +23 *25079:A *24084:A0 0.000122378 +24 *25080:A *24084:A0 0.000171288 +25 *25082:A *24084:A0 0.000266846 +26 *25083:A *24084:A0 0.000122378 +27 *25084:A *24084:A0 0.00011818 +28 *25084:A *573:16 3.60933e-06 +29 *25085:A *573:16 5.48015e-06 +30 *25108:A *24084:A0 0 +31 *25109:A *24084:A0 0.000204461 +32 *25110:A *24084:A0 0.000120962 +33 *572:15 *573:16 6.50586e-05 +*RES +1 *22866:X *573:16 32.4072 +2 *573:16 *24084:A0 48.9766 +*END + +*D_NET *574 0.00678076 +*CONN +*I *24085:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22868:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *24085:A0 0 +2 *22868:X 0.00136123 +3 *574:17 0.00136123 +4 *574:17 *20195:A0 7.32344e-05 +5 *574:17 *22853:A 0 +6 *574:17 *22854:A2 0.00173989 +7 *574:17 *22854:B2 0.000181067 +8 *574:17 *22854:C1 0 +9 *574:17 *22864:B2 1.66626e-05 +10 *574:17 *24085:A1 0 +11 *574:17 *5635:20 0.00141969 +12 *574:17 *5636:10 9.21393e-05 +13 *574:17 *5662:13 4.31703e-05 +14 *574:17 *5677:16 5.38612e-06 +15 *574:17 *5935:20 0.000216024 +16 *24078:S *574:17 0 +17 *24083:A0 *574:17 0.000271044 +18 *568:31 *574:17 0 +*RES +1 *22868:X *574:17 41.1925 +2 *574:17 *24085:A0 9.24915 +*END + +*D_NET *575 0.00080017 +*CONN +*I *24086:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *23061:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24086:A1 0.000271414 +2 *23061:X 0.000271414 +3 *24086:A1 *23061:A 1.31764e-05 +4 *24086:A1 *23605:A 0.000122083 +5 *24086:A1 *5671:152 0.000122083 +*RES +1 *23061:X *24086:A1 32.6874 +*END + +*D_NET *576 0.00531653 +*CONN +*I *24086:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *23413:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *24086:A0 0 +2 *23413:Y 4.51842e-05 +3 *576:11 0.000621755 +4 *576:7 0.000666939 +5 *576:7 *4538:15 6.08467e-05 +6 *576:11 *23604:A 0.000106958 +7 *576:11 *23604:B_N 0.000127164 +8 *576:11 *1482:8 0.00149669 +9 *576:11 *2523:35 0.000525503 +10 *576:11 *3860:47 2.16355e-05 +11 *576:11 *4409:8 0.000908578 +12 *576:11 *4664:40 1.79338e-05 +13 *576:11 *5671:152 0.000717344 +14 *566:116 *576:11 0 +*RES +1 *23413:Y *576:7 14.4725 +2 *576:7 *576:11 41.1061 +3 *576:11 *24086:A0 9.24915 +*END + +*D_NET *577 0.00105502 +*CONN +*I *24087:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *23455:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24087:A1 0.000339688 +2 *23455:X 0.000339688 +3 *24087:A1 *23455:A 0.000107496 +4 *24087:S *24087:A1 1.64789e-05 +5 *566:124 *24087:A1 0.000251669 +*RES +1 *23455:X *24087:A1 26.4798 +*END + +*D_NET *578 0.000691792 +*CONN +*I *24087:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *23605:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24087:A0 0.000253125 +2 *23605:X 0.000253125 +3 *24087:A0 *23605:A 3.82228e-05 +4 *24087:A0 *4374:55 1.19618e-05 +5 *24087:A0 *4862:19 3.67528e-06 +6 *24087:A0 *5671:142 0.000116971 +7 *24087:A0 *5921:51 0 +8 *566:120 *24087:A0 1.47102e-05 +*RES +1 *23605:X *24087:A0 32.1327 +*END + +*D_NET *579 0.000733183 +*CONN +*I *24088:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *23630:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24088:A1 0.000113812 +2 *23630:X 0.000113812 +3 *24088:A1 *23630:A 4.95311e-05 +4 *24088:A1 *24088:A0 5.97411e-05 +5 *24088:A1 *3958:27 1.10126e-05 +6 *566:131 *24088:A1 0.000385275 +*RES +1 *23630:X *24088:A1 23.538 +*END + +*D_NET *580 0.00513259 +*CONN +*I *24088:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *23707:Y O *D sky130_fd_sc_hd__o211ai_1 +*CAP +1 *24088:A0 0.000398167 +2 *23707:Y 0.000865096 +3 *580:10 0.00126326 +4 *24088:A0 *24089:A0 0.000262339 +5 *24088:A0 *1829:83 0.00011971 +6 *24088:A0 *3958:27 5.481e-05 +7 *24088:A0 *4582:21 3.69003e-05 +8 *24088:A0 *4723:8 0.000137982 +9 *580:10 *23707:A1 2.23124e-05 +10 *580:10 *23736:A 6.23541e-05 +11 *580:10 *23736:B 0.000132291 +12 *580:10 *4452:10 0.00026468 +13 *580:10 *4537:12 0.000402054 +14 *580:10 *4537:17 0.000213529 +15 *580:10 *4562:14 0.000687733 +16 *580:10 *4637:8 0.000149628 +17 *24088:A1 *24088:A0 5.97411e-05 +*RES +1 *23707:Y *580:10 47.5312 +2 *580:10 *24088:A0 29.5683 +*END + +*D_NET *581 0.00124654 +*CONN +*I *24089:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *23721:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24089:A1 0.000480018 +2 *23721:X 0.000480018 +3 *24089:A1 *23721:A 4.2372e-05 +4 *24089:A1 *23736:A 0.000122068 +5 *24089:A1 *24089:A0 0.000122068 +*RES +1 *23721:X *24089:A1 35.4604 +*END + +*D_NET *582 0.00120493 +*CONN +*I *24089:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *23772:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *24089:A0 0.000342012 +2 *23772:Y 0.000342012 +3 *24089:A0 *23736:A 9.2346e-06 +4 *24089:A0 *3958:27 2.44829e-05 +5 *24089:A0 *4106:89 6.08467e-05 +6 *24089:A0 *4452:10 3.68867e-05 +7 *24088:A0 *24089:A0 0.000262339 +8 *24089:A1 *24089:A0 0.000122068 +9 *566:135 *24089:A0 5.04829e-06 +*RES +1 *23772:Y *24089:A0 34.9352 +*END + +*D_NET *583 0.000703973 +*CONN +*I *24090:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *23781:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24090:A1 0.000231258 +2 *23781:X 0.000231258 +3 *24090:A1 *2963:93 6.87762e-05 +4 *24090:A1 *4755:11 7.21868e-05 +5 *24090:S *24090:A1 0.000100493 +*RES +1 *23781:X *24090:A1 31.8568 +*END + +*D_NET *584 0.0100093 +*CONN +*I *24090:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *23809:Y O *D sky130_fd_sc_hd__o221ai_4 +*CAP +1 *24090:A0 0.000514165 +2 *23809:Y 7.6077e-05 +3 *584:8 0.00169533 +4 *584:7 0.00125725 +5 *24090:A0 *23806:A 0 +6 *24090:A0 *23857:A1 2.5386e-05 +7 *24090:A0 *23857:B1 0.000124267 +8 *24090:A0 *23857:C1 2.0456e-06 +9 *24090:A0 *586:13 0.00104227 +10 *24090:A0 *2963:93 0.000148111 +11 *24090:A0 *3857:70 0.00101537 +12 *584:7 *4698:22 0.000111802 +13 *584:8 *23166:A1 0.000288146 +14 *584:8 *23806:C 0.000535588 +15 *584:8 *23806:D 8.36429e-06 +16 *584:8 *23857:A1 4.46284e-06 +17 *584:8 *3373:24 0 +18 *584:8 *3829:54 8.60348e-05 +19 *584:8 *4009:103 0.000792513 +20 *584:8 *4636:23 0.00129381 +21 *584:8 *4705:8 0.000489119 +22 *584:8 *4725:10 1.32509e-05 +23 *566:136 *584:8 0.000485907 +24 *566:144 *584:8 0 +*RES +1 *23809:Y *584:7 15.0271 +2 *584:7 *584:8 49.3784 +3 *584:8 *24090:A0 37.7727 +*END + +*D_NET *585 0.000214804 +*CONN +*I *24091:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *23814:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24091:A1 3.52151e-05 +2 *23814:X 3.52151e-05 +3 *24091:A1 *23859:A2 7.21868e-05 +4 *24091:A1 *4189:6 7.21868e-05 +*RES +1 *23814:X *24091:A1 28.915 +*END + +*D_NET *586 0.00392395 +*CONN +*I *24091:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *23842:Y O *D sky130_fd_sc_hd__o221ai_1 +*CAP +1 *24091:A0 0 +2 *23842:Y 0.000612256 +3 *586:13 0.000612256 +4 *586:13 *23857:A3 0.000111708 +5 *586:13 *23857:C1 1.22788e-05 +6 *586:13 *3857:59 0.000107496 +7 *586:13 *3857:70 1.31737e-05 +8 *586:13 *4074:15 5.04829e-06 +9 *586:13 *4801:17 3.82228e-05 +10 *586:13 *4934:22 0.00105856 +11 *24090:A0 *586:13 0.00104227 +12 *24090:S *586:13 0.000294093 +13 *24091:S *586:13 1.65872e-05 +*RES +1 *23842:Y *586:13 44.5534 +2 *586:13 *24091:A0 9.24915 +*END + +*D_NET *587 0.00113335 +*CONN +*I *24092:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *23845:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24092:A1 0.000327315 +2 *23845:X 0.000327315 +3 *24092:A1 *23845:A 0.000107496 +4 *24092:A1 *23859:A1 2.57986e-05 +5 *24092:A1 *23859:A2 6.51628e-05 +6 *24092:A1 *588:19 6.50586e-05 +7 *24092:A1 *4189:6 7.50872e-05 +8 *24092:A1 *4745:43 6.50246e-05 +9 *24092:A1 *4755:11 7.50872e-05 +*RES +1 *23845:X *24092:A1 35.4015 +*END + +*D_NET *588 0.00211111 +*CONN +*I *24092:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *23859:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *24092:A0 0.000122941 +2 *23859:X 0.000332823 +3 *588:20 0.000187664 +4 *588:19 0.000397546 +5 *24092:A0 *23846:A 0.000235492 +6 *24092:A0 *4601:35 6.31931e-05 +7 *24092:A0 *4732:5 0.0001163 +8 *24092:A0 *4803:10 2.05191e-05 +9 *588:19 *1808:78 0.000305492 +10 *588:19 *2963:93 9.74971e-05 +11 *588:19 *4297:9 2.16265e-05 +12 *588:19 *4601:35 6.83397e-05 +13 *588:19 *4650:6 5.74949e-05 +14 *588:19 *4803:10 1.91246e-05 +15 *24092:A1 *588:19 6.50586e-05 +*RES +1 *23859:X *588:19 38.8119 +2 *588:19 *588:20 81.1229 +3 *588:20 *24092:A0 22.5493 +*END + +*D_NET *589 0.000780991 +*CONN +*I *20318:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24047:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20318:B1 0.000318885 +2 *24047:X 0.000318885 +3 *20318:B1 *2468:127 1.60414e-05 +4 *20318:B1 *5853:390 0 +5 *502:26 *20318:B1 0.000127179 +*RES +1 *24047:X *20318:B1 33.242 +*END + +*D_NET *590 0.00087056 +*CONN +*I *20317:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24044:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20317:B1 0.000276143 +2 *24044:X 0.000276143 +3 *20317:B1 *5785:65 0.000122083 +4 *20317:B1 *5855:341 0.00012568 +5 *20317:B1 *5859:289 7.05107e-05 +*RES +1 *24044:X *20317:B1 33.242 +*END + +*D_NET *591 0.000545692 +*CONN +*I *20316:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24036:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20316:B1 9.54708e-05 +2 *24036:X 9.54708e-05 +3 *20316:B1 *20259:A1 0.000146645 +4 *20316:B1 *5861:492 0.000143047 +5 *24036:S *20316:B1 6.50586e-05 +*RES +1 *24036:X *20316:B1 30.8842 +*END + +*D_NET *592 0.000713897 +*CONN +*I *20315:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24018:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20315:B1 0.000172485 +2 *24018:X 0.000172485 +3 *20315:B1 *1573:76 6.66538e-05 +4 *482:136 *20315:B1 0.000154145 +5 *500:60 *20315:B1 0.000148129 +*RES +1 *24018:X *20315:B1 31.9934 +*END + +*D_NET *593 0.00106439 +*CONN +*I *20314:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24034:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20314:B1 0.000115382 +2 *24034:X 0.000115382 +3 *20314:B1 *20314:B2 0.000113968 +4 *20314:B1 *1573:84 0.000148129 +5 *20314:B1 *1917:72 0.000340904 +6 *20314:B1 *4828:107 0.000217937 +7 *500:60 *20314:B1 1.2693e-05 +*RES +1 *24034:X *20314:B1 33.6572 +*END + +*D_NET *594 0.00137294 +*CONN +*I *20313:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24037:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20313:B1 0.00037355 +2 *24037:X 0.00037355 +3 *20313:B1 *24037:A0 1.92172e-05 +4 *20313:B1 *24037:A1 0.000111722 +5 *20313:B1 *24700:D 0.000174175 +6 *20313:B1 *1917:64 5.79544e-05 +7 *20313:B1 *2300:44 0 +8 *20313:B1 *4805:92 0.000235077 +9 *20313:B1 *4805:96 2.7694e-05 +*RES +1 *24037:X *20313:B1 36.9792 +*END + +*D_NET *595 0.00126924 +*CONN +*I *20312:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24048:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20312:B1 0.000172738 +2 *24048:X 0.000172738 +3 *20312:B1 *20312:A1 0.000260235 +4 *20312:B1 *24048:A0 0.000107496 +5 *20312:B1 *24048:A1 4.23238e-05 +6 *20312:B1 *2423:57 0.000253477 +7 *24048:S *20312:B1 0.000260235 +*RES +1 *24048:X *20312:B1 26.094 +*END + +*D_NET *596 0.00211602 +*CONN +*I *20311:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24019:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20311:B1 0.000769561 +2 *24019:X 0.000769561 +3 *20311:B1 *24019:A1 0.00010072 +4 *20311:B1 *24099:A 3.17121e-05 +5 *20311:B1 *24702:D 7.04109e-05 +6 *20311:B1 *4866:102 5.70034e-06 +7 *24019:S *20311:B1 0.000368357 +*RES +1 *24019:X *20311:B1 40.8671 +*END + +*D_NET *597 0.000945802 +*CONN +*I *20328:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24041:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20328:B1 0.000165496 +2 *24041:X 0.000165496 +3 *20328:B1 *20327:B2 9.12416e-06 +4 *20328:B1 *24041:A1 1.03403e-05 +5 *20328:B1 *1919:16 0.00029311 +6 *20328:B1 *4816:80 0.000302234 +*RES +1 *24041:X *20328:B1 33.791 +*END + +*D_NET *598 0.00507342 +*CONN +*I *20327:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24020:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20327:B1 0 +2 *24020:X 0.00085679 +3 *598:8 0.00085679 +4 *598:8 *20325:A1 0.000264538 +5 *598:8 *24020:A1 6.11359e-06 +6 *598:8 *1709:27 0.000255213 +7 *598:8 *2451:36 0.000174135 +8 *598:8 *4816:49 0.00020502 +9 *598:8 *5494:10 0.000314514 +10 *598:8 *5553:9 2.8182e-06 +11 *598:8 *5864:753 0.000345398 +12 *598:8 *5864:759 0.0012915 +13 *24039:S *598:8 0.000410696 +14 *480:103 *598:8 8.98943e-05 +*RES +1 *24020:X *598:8 47.0388 +2 *598:8 *20327:B1 13.7491 +*END + +*D_NET *599 0.00204815 +*CONN +*I *20326:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24040:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20326:B1 0.000224194 +2 *24040:X 0.000224194 +3 *20326:B1 *20326:B2 0.000215704 +4 *20326:B1 *24689:RESET_B 0.000211492 +5 *20326:B1 *4814:26 0.000588083 +6 *20326:B1 *4820:87 0.000584486 +*RES +1 *24040:X *20326:B1 40.4378 +*END + +*D_NET *600 0.00129077 +*CONN +*I *20325:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24039:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20325:B1 0.000128393 +2 *24039:X 0.000128393 +3 *20325:B1 *1709:27 0.000516993 +4 *20325:B1 *2380:60 0.000516993 +*RES +1 *24039:X *20325:B1 36.3896 +*END + +*D_NET *601 0.00221113 +*CONN +*I *20324:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24014:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20324:B1 0.000316024 +2 *24014:X 0.000316024 +3 *20324:B1 *24014:A1 7.98171e-06 +4 *20324:B1 *2451:36 0.000102431 +5 *20324:B1 *4814:19 0.000701362 +6 *20324:B1 *4820:91 0.000706459 +7 *20324:B1 *5557:10 6.08467e-05 +*RES +1 *24014:X *20324:B1 41.5442 +*END + +*D_NET *602 0.00198734 +*CONN +*I *20323:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *23965:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20323:B1 0.000446575 +2 *23965:X 0.000446575 +3 *20323:B1 *19568:A0 0.000113968 +4 *20323:B1 *20323:A2 2.1801e-05 +5 *20323:B1 *20323:B2 2.75423e-05 +6 *20323:B1 *24728:CLK 5.04829e-06 +7 *20323:B1 *1905:34 0.000480935 +8 *20323:B1 *1919:69 3.31736e-05 +9 *20323:B1 *2297:39 0.000115668 +10 *20323:B1 *2813:26 5.25444e-05 +11 *20323:B1 *5496:9 0.000243509 +*RES +1 *23965:X *20323:B1 42.2679 +*END + +*D_NET *603 0.00298224 +*CONN +*I *20322:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24043:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20322:B1 0.000470612 +2 *24043:X 0.000470612 +3 *20322:B1 *24043:A1 0.000107496 +4 *20322:B1 *1905:26 0.00065153 +5 *20322:B1 *4815:26 0.00117449 +6 *24046:S *20322:B1 0.000107496 +*RES +1 *24043:X *20322:B1 49.7099 +*END + +*D_NET *604 0.00103469 +*CONN +*I *20321:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24046:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20321:B1 0.000225865 +2 *24046:X 0.000225865 +3 *20321:B1 *20731:B1 0.00017577 +4 *20321:B1 *2262:34 0.000101705 +5 *20321:B1 *2294:28 0.000271189 +6 *20321:B1 *5714:35 3.42979e-05 +*RES +1 *24046:X *20321:B1 35.0636 +*END + +*D_NET *605 0.000976031 +*CONN +*I *20343:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24050:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20343:B1 0.000404109 +2 *24050:X 0.000404109 +3 *20343:B1 *20283:A1 2.41916e-05 +4 *20343:B1 *20343:B2 9.12416e-06 +5 *20343:B1 *24678:D 0 +6 *20343:B1 *5537:9 1.79807e-05 +7 *20343:B1 *5714:38 5.67593e-05 +8 *24050:S *20343:B1 5.97576e-05 +9 *477:69 *20343:B1 0 +*RES +1 *24050:X *20343:B1 34.7664 +*END + +*D_NET *606 0.000547217 +*CONN +*I *20342:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24049:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20342:B1 0.00011495 +2 *24049:X 0.00011495 +3 *20342:B1 *20342:A2 6.77815e-05 +4 *20342:B1 *24679:D 7.60183e-05 +5 *20342:B1 *1924:72 2.20241e-05 +6 *20342:B1 *4900:107 0.000151494 +*RES +1 *24049:X *20342:B1 31.9934 +*END + +*D_NET *607 0.00103949 +*CONN +*I *20341:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24045:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20341:B1 0.000253427 +2 *24045:X 0.000253427 +3 *20341:B1 *24680:D 4.61732e-05 +4 *20341:B1 *1924:72 0.000315191 +5 *20341:B1 *4840:373 0 +6 *20341:B1 *5907:17 0.000171273 +*RES +1 *24045:X *20341:B1 34.9002 +*END + +*D_NET *608 0.000350612 +*CONN +*I *20340:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24042:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20340:B1 0.000122698 +2 *24042:X 0.000122698 +3 *20340:B1 *20340:A2 6.50727e-05 +4 *20340:B1 *1924:79 4.01437e-05 +5 *20340:B1 *4840:373 0 +*RES +1 *24042:X *20340:B1 30.8842 +*END + +*D_NET *609 0.000933122 +*CONN +*I *20339:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24038:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20339:B1 0.000233338 +2 *24038:X 0.000233338 +3 *20339:B1 *20339:B2 7.58772e-05 +4 *20339:B1 *1925:40 6.28168e-05 +5 *20339:B1 *4842:230 0.000264586 +6 *478:12 *20339:B1 6.31665e-05 +*RES +1 *24038:X *20339:B1 33.1026 +*END + +*D_NET *610 0.00104525 +*CONN +*I *20338:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24031:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20338:B1 0.000281507 +2 *24031:X 0.000281507 +3 *20338:B1 *20338:A1 0 +4 *20338:B1 *24683:CLK 4.33655e-05 +5 *20338:B1 *1924:96 1.07248e-05 +6 *20338:B1 *1925:68 7.50872e-05 +7 *20338:B1 *4843:935 9.22013e-06 +8 *20338:B1 *5505:9 0.000200794 +9 mgmt_gpio_out[32] *20338:B1 0.000143047 +*RES +1 *24031:X *20338:B1 33.6572 +*END + +*D_NET *611 0.000806356 +*CONN +*I *20337:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24032:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20337:B1 0.000198581 +2 *24032:X 0.000198581 +3 *20337:B1 *20337:A1 1.82895e-05 +4 *20337:B1 *20337:A2 0.000260374 +5 *20337:B1 *20341:A2 6.50727e-05 +6 *20337:B1 *24684:RESET_B 2.85139e-05 +7 *20337:B1 *2451:13 3.69449e-05 +*RES +1 *24032:X *20337:B1 24.6472 +*END + +*D_NET *612 0.00100743 +*CONN +*I *20336:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24033:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20336:B1 0.000202782 +2 *24033:X 0.000202782 +3 *20336:B1 *24685:D 1.03056e-05 +4 *20336:B1 *1924:13 6.92705e-05 +5 *20336:B1 *1924:16 0.000128865 +6 *20336:B1 *2545:17 1.77101e-05 +7 *20336:B1 *4839:377 6.56499e-05 +8 *478:12 *20336:B1 0.000310064 +*RES +1 *24033:X *20336:B1 35.2739 +*END + +*D_NET *613 0.00078674 +*CONN +*I *24165:SET_B I *D sky130_fd_sc_hd__dfstp_4 +*I *21168:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24165:SET_B 0.000176055 +2 *21168:X 0.000176055 +3 *24165:SET_B *20063:B1 0.000157854 +4 *24165:SET_B *1816:56 0.000161467 +5 *24165:SET_B *2510:11 3.82228e-05 +6 *24165:SET_B *4867:28 1.91114e-05 +7 *24165:SET_B *5482:7 5.79739e-05 +*RES +1 *21168:X *24165:SET_B 23.2439 +*END + +*D_NET *614 0.000313276 +*CONN +*I *24166:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *21166:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24166:RESET_B 8.46685e-05 +2 *21166:X 8.46685e-05 +3 *24166:RESET_B *21159:A 2.7823e-05 +4 *24166:RESET_B *4864:75 6.81008e-05 +5 *24166:RESET_B *5486:27 4.80148e-05 +*RES +1 *21166:X *24166:RESET_B 21.5506 +*END + +*D_NET *615 0.000574059 +*CONN +*I *24167:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *21164:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24167:RESET_B 8.51262e-05 +2 *21164:X 8.51262e-05 +3 *24167:RESET_B *1862:83 0.000177787 +4 *24167:RESET_B *4864:34 7.58739e-05 +5 *24167:RESET_B *5484:7 0.000106869 +6 *24167:RESET_B *5485:31 4.32761e-05 +*RES +1 *21164:X *24167:RESET_B 22.5205 +*END + +*D_NET *616 0.000422691 +*CONN +*I *24168:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *21162:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24168:RESET_B 5.88623e-05 +2 *21162:X 5.88623e-05 +3 *24168:RESET_B *4937:8 0.000177787 +4 *24168:RESET_B *5804:25 0.000127179 +5 *552:8 *24168:RESET_B 0 +*RES +1 *21162:X *24168:RESET_B 21.3269 +*END + +*D_NET *617 0.00100012 +*CONN +*I *24169:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *21160:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24169:RESET_B 0.000305071 +2 *21160:X 0.000305071 +3 *24169:RESET_B *24166:CLK 5.92342e-05 +4 *24169:RESET_B *24208:CLK 0.000118485 +5 *24169:RESET_B *1862:47 4.31703e-05 +6 *24169:RESET_B *5473:42 7.14746e-05 +7 *24169:RESET_B *5473:69 9.76184e-05 +*RES +1 *21160:X *24169:RESET_B 24.8233 +*END + +*D_NET *618 0.000720543 +*CONN +*I *24175:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *21158:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24175:SET_B 0.000186496 +2 *21158:X 0.000186496 +3 *24175:SET_B *21158:A 7.14746e-05 +4 *24175:SET_B *1687:13 5.97576e-05 +5 *24175:SET_B *1744:108 0.000172676 +6 *24175:SET_B *5671:32 4.36424e-05 +*RES +1 *21158:X *24175:SET_B 23.1595 +*END + +*D_NET *619 0.000170328 +*CONN +*I *24197:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *21138:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24197:RESET_B 6.20773e-05 +2 *21138:X 6.20773e-05 +3 *24197:RESET_B *1845:92 4.61732e-05 +4 *24197:RESET_B *5475:19 0 +*RES +1 *21138:X *24197:RESET_B 20.0811 +*END + +*D_NET *620 0.000779537 +*CONN +*I *24199:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *21133:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24199:RESET_B 0.000162282 +2 *21133:X 0.000162282 +3 *24199:RESET_B *21132:A 6.50727e-05 +4 *24199:RESET_B *21133:A 3.07848e-05 +5 *24199:RESET_B *1587:44 1.47051e-05 +6 *24199:RESET_B *2505:43 0.000106869 +7 *24199:RESET_B *4863:128 6.50944e-05 +8 *24199:RESET_B *4937:8 0.000172446 +*RES +1 *21133:X *24199:RESET_B 23.6591 +*END + +*D_NET *621 0.000590882 +*CONN +*I *24200:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *21130:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24200:RESET_B 0.000184094 +2 *21130:X 0.000184094 +3 *24200:RESET_B *21130:A 2.44976e-05 +4 *24200:RESET_B *1697:22 1.79196e-05 +5 *24200:RESET_B *1845:106 4.95339e-05 +6 *24200:RESET_B *1845:113 7.93324e-05 +7 *24200:RESET_B *4878:40 5.14099e-05 +*RES +1 *21130:X *24200:RESET_B 23.8184 +*END + +*D_NET *622 0.000914206 +*CONN +*I *24201:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *21127:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24201:RESET_B 0.000230335 +2 *21127:X 0.000230335 +3 *24201:RESET_B *21127:A 0.000107496 +4 *24201:RESET_B *21156:A 0.000128858 +5 *24201:RESET_B *24175:CLK 6.27782e-05 +6 *24201:RESET_B *5440:8 0.000154404 +*RES +1 *21127:X *24201:RESET_B 16.0129 +*END + +*D_NET *623 0.000362754 +*CONN +*I *24202:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *21124:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24202:RESET_B 5.47399e-05 +2 *21124:X 5.47399e-05 +3 *24202:RESET_B *21125:A1 9.70894e-05 +4 *24202:RESET_B *24202:D 0.000119538 +5 *24202:RESET_B *1725:33 1.12605e-05 +6 *24202:RESET_B *5476:26 2.5386e-05 +*RES +1 *21124:X *24202:RESET_B 20.9116 +*END + +*D_NET *624 0.000710659 +*CONN +*I *24203:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *21121:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24203:RESET_B 0.000158913 +2 *21121:X 0.000158913 +3 *24203:RESET_B *21121:A 0.000251669 +4 *24203:RESET_B *5442:8 0.000107496 +5 *24203:RESET_B *5801:73 3.36672e-05 +*RES +1 *21121:X *24203:RESET_B 13.7945 +*END + +*D_NET *625 0.000335031 +*CONN +*I *24204:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *21118:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24204:RESET_B 9.45237e-05 +2 *21118:X 9.45237e-05 +3 *24204:RESET_B *21117:A 4.76347e-05 +4 *24204:RESET_B *21118:A 7.38516e-05 +5 *24204:RESET_B *1845:144 2.44976e-05 +*RES +1 *21118:X *24204:RESET_B 21.3269 +*END + +*D_NET *626 0.000467074 +*CONN +*I *24205:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *21115:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24205:RESET_B 0.000130693 +2 *21115:X 0.000130693 +3 *24205:RESET_B *21115:A 3.14978e-05 +4 *24205:RESET_B *1786:34 0.00017419 +5 *24205:RESET_B *2939:13 0 +*RES +1 *21115:X *24205:RESET_B 22.0503 +*END + +*D_NET *627 0.000369199 +*CONN +*I *24206:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *21108:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24206:RESET_B 7.43915e-05 +2 *21108:X 7.43915e-05 +3 *24206:RESET_B *1786:34 0.000172691 +4 *514:41 *24206:RESET_B 4.77259e-05 +*RES +1 *21108:X *24206:RESET_B 21.3269 +*END + +*D_NET *628 0.000525895 +*CONN +*I *24207:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *21105:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24207:RESET_B 0.00016669 +2 *21105:X 0.00016669 +3 *24207:RESET_B *21103:A2 9.37259e-05 +4 *24207:RESET_B *21105:A 6.65668e-05 +5 *24207:RESET_B *5473:54 3.22223e-05 +6 *24207:RESET_B *5811:44 0 +*RES +1 *21105:X *24207:RESET_B 21.9137 +*END + +*D_NET *629 0.000469465 +*CONN +*I *24208:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *21101:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24208:RESET_B 0.000138655 +2 *21101:X 0.000138655 +3 *24208:RESET_B *21101:A 0.000174175 +4 *24208:RESET_B *24208:D 1.79807e-05 +*RES +1 *21101:X *24208:RESET_B 22.0503 +*END + +*D_NET *630 0.000483673 +*CONN +*I *24209:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *21097:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24209:RESET_B 9.4581e-05 +2 *21097:X 9.4581e-05 +3 *24209:RESET_B *21099:A1 0.000141194 +4 *24209:RESET_B *2211:8 2.5386e-05 +5 *24209:RESET_B *2864:43 5.69771e-05 +6 *24209:RESET_B *5447:25 3.34802e-05 +7 *24209:RESET_B *5447:46 3.74738e-05 +*RES +1 *21097:X *24209:RESET_B 21.9659 +*END + +*D_NET *631 0.00042683 +*CONN +*I *24686:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *20330:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24686:RESET_B 8.2837e-05 +2 *20330:X 8.2837e-05 +3 *24686:RESET_B *20330:A 0.000156868 +4 *24686:RESET_B *20333:A1 6.85742e-05 +5 *24686:RESET_B *5474:70 3.57139e-05 +*RES +1 *20330:X *24686:RESET_B 21.3269 +*END + +*D_NET *632 0.000290069 +*CONN +*I *24703:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *20307:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24703:RESET_B 0.000107153 +2 *20307:X 0.000107153 +3 *24703:RESET_B *20307:A 7.57637e-05 +*RES +1 *20307:X *24703:RESET_B 21.3269 +*END + +*D_NET *633 0.000467719 +*CONN +*I *24743:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *20247:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24743:RESET_B 0.000114163 +2 *20247:X 0.000114163 +3 *24743:RESET_B *20247:A 4.04935e-05 +4 *24743:RESET_B *24703:CLK 4.03672e-05 +5 *24743:RESET_B *5474:7 6.08467e-05 +6 *24743:RESET_B *5474:15 9.76854e-05 +*RES +1 *20247:X *24743:RESET_B 21.8293 +*END + +*D_NET *634 0.000563272 +*CONN +*I *24799:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *20150:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24799:RESET_B 0.000215817 +2 *20150:X 0.000215817 +3 *24799:RESET_B *4937:8 2.69064e-05 +4 *24799:RESET_B *5814:18 0.000104731 +*RES +1 *20150:X *24799:RESET_B 23.4932 +*END + +*D_NET *635 0.000445754 +*CONN +*I *24813:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20127:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24813:RESET_B 0.000152425 +2 *20127:X 0.000152425 +3 *24813:RESET_B *24813:D 1.39717e-06 +4 *24813:RESET_B *1524:10 7.58595e-05 +5 *24813:RESET_B *1845:153 6.36477e-05 +*RES +1 *20127:X *24813:RESET_B 22.0503 +*END + +*D_NET *636 0.000447105 +*CONN +*I *24814:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20121:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24814:RESET_B 4.73151e-05 +2 *20121:X 4.73151e-05 +3 *24814:RESET_B *1734:18 0.000176237 +4 *24814:RESET_B *1755:27 0.000176237 +*RES +1 *20121:X *24814:RESET_B 21.3269 +*END + +*D_NET *637 0.000607667 +*CONN +*I *24815:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *20117:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24815:RESET_B 0.00024475 +2 *20117:X 0.00024475 +3 *24815:RESET_B *24209:D 0 +4 *24815:RESET_B *5449:8 0.000118166 +5 *24815:RESET_B *5811:44 0 +6 *24815:RESET_B *5916:20 0 +*RES +1 *20117:X *24815:RESET_B 24.3237 +*END + +*D_NET *638 0.000263195 +*CONN +*I *24816:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20112:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24816:RESET_B 0.000115689 +2 *20112:X 0.000115689 +3 *24816:RESET_B *5450:8 3.18172e-05 +*RES +1 *20112:X *24816:RESET_B 12.1307 +*END + +*D_NET *639 0.00037064 +*CONN +*I *24817:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20104:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24817:RESET_B 5.29125e-05 +2 *20104:X 5.29125e-05 +3 *24817:RESET_B *657:39 0.000181355 +4 *24817:RESET_B *3103:8 8.34606e-05 +*RES +1 *20104:X *24817:RESET_B 21.3269 +*END + +*D_NET *640 0.000156153 +*CONN +*I *24818:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20101:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24818:RESET_B 7.80766e-05 +2 *20101:X 7.80766e-05 +3 *24818:RESET_B *20102:B2 0 +4 *24818:RESET_B *24818:CLK 0 +*RES +1 *20101:X *24818:RESET_B 20.0811 +*END + +*D_NET *641 0.000267599 +*CONN +*I *24819:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20098:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24819:RESET_B 2.90687e-05 +2 *20098:X 2.90687e-05 +3 *24819:RESET_B *20100:A 0.000104731 +4 *24819:RESET_B *4873:80 0.000104731 +*RES +1 *20098:X *24819:RESET_B 20.0811 +*END + +*D_NET *642 0.000745225 +*CONN +*I *24820:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20095:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24820:RESET_B 0.000161856 +2 *20095:X 0.000161856 +3 *24820:RESET_B *20095:A 0.000148114 +4 *24820:RESET_B *3860:14 0.000188135 +5 *24820:RESET_B *4371:24 8.52652e-05 +*RES +1 *20095:X *24820:RESET_B 22.7442 +*END + +*D_NET *643 0.000186444 +*CONN +*I *24821:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20092:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24821:RESET_B 7.28867e-05 +2 *20092:X 7.28867e-05 +3 *24821:RESET_B *24821:D 1.59362e-06 +4 *24821:RESET_B *4873:58 3.90768e-05 +*RES +1 *20092:X *24821:RESET_B 20.0811 +*END + +*D_NET *644 0.00101744 +*CONN +*I *24822:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20089:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24822:RESET_B 0.000180271 +2 *20089:X 0.000180271 +3 *24822:RESET_B *20087:A1 9.63981e-05 +4 *24822:RESET_B *20087:B2 0.000164843 +5 *24822:RESET_B *24823:CLK 4.55972e-05 +6 *24822:RESET_B *4841:11 0.000189441 +7 *24822:RESET_B *5470:7 0.000160617 +*RES +1 *20089:X *24822:RESET_B 17.1462 +*END + +*D_NET *645 0.00145082 +*CONN +*I *24823:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20086:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24823:RESET_B 0.000521753 +2 *20086:X 0.000521753 +3 *24823:RESET_B *20084:B1 0 +4 *24823:RESET_B *20089:A 0.000118166 +5 *24823:RESET_B *4881:100 0.000289145 +6 *24823:RESET_B *5471:10 0 +*RES +1 *20086:X *24823:RESET_B 28.0088 +*END + +*D_NET *646 0.000975838 +*CONN +*I *24824:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20080:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24824:RESET_B 0.000453068 +2 *20080:X 0.000453068 +3 *24824:RESET_B *20080:A 4.70136e-05 +4 *24824:RESET_B *24824:CLK 2.26875e-05 +*RES +1 *20080:X *24824:RESET_B 16.0129 +*END + +*D_NET *647 0.000651488 +*CONN +*I *24825:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *20074:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24825:RESET_B 0.000172335 +2 *20074:X 0.000172335 +3 *24825:RESET_B *2447:7 0.000111722 +4 *24825:RESET_B *4864:51 0.000159769 +5 *24825:RESET_B *5473:73 3.53276e-05 +*RES +1 *20074:X *24825:RESET_B 22.6049 +*END + +*D_NET *648 0.000350898 +*CONN +*I *24826:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *20065:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24826:RESET_B 0.000111576 +2 *20065:X 0.000111576 +3 *24826:RESET_B *1818:15 0 +4 *24826:RESET_B *2229:7 5.20546e-06 +5 *24826:RESET_B *5811:38 2.652e-05 +6 *24826:RESET_B *5812:53 9.60216e-05 +*RES +1 *20065:X *24826:RESET_B 20.8045 +*END + +*D_NET *649 0.000378614 +*CONN +*I *24827:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *20056:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24827:RESET_B 8.81233e-05 +2 *20056:X 8.81233e-05 +3 *24827:RESET_B *2412:12 0 +4 *24827:RESET_B *4864:30 0.000175227 +5 *24827:RESET_B *4867:159 2.71397e-05 +*RES +1 *20056:X *24827:RESET_B 21.3269 +*END + +*D_NET *650 0.00027227 +*CONN +*I *24828:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *19774:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24828:RESET_B 3.65008e-05 +2 *19774:X 3.65008e-05 +3 *24828:RESET_B *657:62 9.96342e-05 +4 *24828:RESET_B *2505:54 9.96342e-05 +*RES +1 *19774:X *24828:RESET_B 20.0811 +*END + +*D_NET *651 0.000447894 +*CONN +*I *24829:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *19771:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24829:RESET_B 4.61747e-05 +2 *19771:X 4.61747e-05 +3 *24829:RESET_B *2405:26 0.000177772 +4 *24829:RESET_B *2505:54 0.000177772 +*RES +1 *19771:X *24829:RESET_B 21.3269 +*END + +*D_NET *652 0.00106126 +*CONN +*I *24830:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *19768:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24830:RESET_B 0.000191553 +2 *19768:X 0.000191553 +3 *24830:RESET_B *19768:A 0.00034459 +4 *24830:RESET_B *1471:169 0.000150526 +5 *24830:RESET_B *2612:22 0.000172691 +6 *24830:RESET_B *5801:65 4.28856e-07 +7 *24830:RESET_B *5926:46 9.92046e-06 +*RES +1 *19768:X *24830:RESET_B 25.3723 +*END + +*D_NET *653 0.000913636 +*CONN +*I *24831:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *19765:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24831:RESET_B 0.000269016 +2 *19765:X 0.000269016 +3 *24831:RESET_B *1755:57 2.7285e-05 +4 *24831:RESET_B *2632:36 0.00017416 +5 *24831:RESET_B *5927:48 0.00017416 +*RES +1 *19765:X *24831:RESET_B 24.2687 +*END + +*D_NET *654 0.000759786 +*CONN +*I *24832:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *19762:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24832:RESET_B 0.000119113 +2 *19762:X 0.000119113 +3 *24832:RESET_B *19762:A 8.92089e-05 +4 *24832:RESET_B *19763:A1 0.000117376 +5 *24832:RESET_B *24071:A0 0.00014575 +6 *24832:RESET_B *24832:D 3.37994e-05 +7 *24832:RESET_B *1471:185 0.000135426 +*RES +1 *19762:X *24832:RESET_B 23.4932 +*END + +*D_NET *655 0.000249385 +*CONN +*I *24833:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *19759:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24833:RESET_B 6.20162e-05 +2 *19759:X 6.20162e-05 +3 *24833:RESET_B *657:88 3.31223e-05 +4 *24833:RESET_B *2948:14 9.22306e-05 +*RES +1 *19759:X *24833:RESET_B 20.0811 +*END + +*D_NET *656 0.0006439 +*CONN +*I *24834:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *19756:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24834:RESET_B 0.000104651 +2 *19756:X 0.000104651 +3 *24834:RESET_B *1756:43 3.70275e-05 +4 *24834:RESET_B *1763:26 9.60216e-05 +5 *24834:RESET_B *1829:34 4.27003e-05 +6 *24834:RESET_B *5465:7 0.000151353 +7 *514:41 *24834:RESET_B 0.000107496 +*RES +1 *19756:X *24834:RESET_B 22.384 +*END + +*D_NET *657 0.12998 +*CONN +*I *20064:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20073:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19773:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24835:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *20055:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19755:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19761:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20079:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20085:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20088:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20094:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20100:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20097:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20091:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19764:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19767:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19758:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19770:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20103:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20111:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19748:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20064:A 1.81726e-05 +2 *20073:A 4.2779e-05 +3 *19773:A 0 +4 *24835:RESET_B 0.000100631 +5 *20055:A 0.000222256 +6 *19755:A 0 +7 *19761:A 0 +8 *20079:A 0 +9 *20085:A 0 +10 *20088:A 6.77453e-05 +11 *20094:A 0 +12 *20100:A 0.000529825 +13 *20097:A 1.77893e-05 +14 *20091:A 0.000236032 +15 *19764:A 2.97246e-05 +16 *19767:A 0.000211376 +17 *19758:A 0.000518448 +18 *19770:A 6.017e-05 +19 *20103:A 2.99964e-05 +20 *20111:A 0.000319009 +21 *19748:X 0.000333181 +22 *657:310 0.000741905 +23 *657:296 0.000514729 +24 *657:276 0.000652523 +25 *657:248 0.00101791 +26 *657:243 0.000692468 +27 *657:242 0.000999174 +28 *657:229 0.000642045 +29 *657:228 0.0017997 +30 *657:224 0.00242507 +31 *657:218 0.00200037 +32 *657:212 0.0023698 +33 *657:209 0.00208861 +34 *657:203 0.00168465 +35 *657:199 0.0019888 +36 *657:189 0.0022965 +37 *657:181 0.00457034 +38 *657:171 0.00900142 +39 *657:146 0.00607111 +40 *657:143 0.00130187 +41 *657:129 0.00138401 +42 *657:114 0.000997821 +43 *657:111 0.000915473 +44 *657:88 0.00163349 +45 *657:85 0.00123901 +46 *657:62 0.00120124 +47 *657:51 0.00124649 +48 *657:39 0.00140487 +49 *657:27 0.00257013 +50 *657:22 0.00216086 +51 *657:16 0.000750351 +52 *19758:A *2412:12 6.3152e-05 +53 *19758:A *2429:13 0.000597697 +54 *19758:A *2955:40 6.92705e-05 +55 *19758:A *5563:44 0 +56 *19764:A *3868:213 2.57986e-05 +57 *19767:A *24072:A1 1.58551e-05 +58 *19767:A *1526:63 5.04829e-06 +59 *19767:A *2405:26 0.000346797 +60 *19767:A *2505:54 0.000343199 +61 *19767:A *2612:22 0.000154145 +62 *19770:A *19771:A 2.39151e-05 +63 *20055:A *20056:A 0.00011818 +64 *20055:A *24204:CLK 0.000536641 +65 *20055:A *4864:30 0 +66 *20064:A *21140:A 4.66492e-05 +67 *20064:A *5812:50 2.16355e-05 +68 *20073:A *4864:51 2.60879e-06 +69 *20073:A *5473:73 1.66626e-05 +70 *20073:A *5852:53 6.08467e-05 +71 *20088:A *20086:A 4.81015e-05 +72 *20088:A *20087:B2 0.000113048 +73 *20088:A *20089:A 6.49003e-05 +74 *20088:A *20090:A1 6.31727e-05 +75 *20091:A *20092:A 8.29324e-05 +76 *20097:A *20098:A 0.000113968 +77 *20097:A *1830:13 0.000113968 +78 *20100:A *20101:A 1.84293e-05 +79 *20100:A *1829:157 0.000246013 +80 *20100:A *1830:61 0 +81 *20100:A *4873:80 3.57202e-05 +82 *20103:A *20104:A 2.16355e-05 +83 *20111:A *20112:A 7.6719e-06 +84 *20111:A *21568:A 3.75382e-05 +85 *20111:A *2625:16 6.23875e-05 +86 *20111:A *5735:24 0.000568318 +87 *20111:A *5916:20 0.00056363 +88 *24835:RESET_B *19754:A1 5.37479e-05 +89 *24835:RESET_B *24204:CLK 0.00010836 +90 *24835:RESET_B *4864:13 1.91114e-05 +91 *657:16 *21140:A 1.9101e-05 +92 *657:16 *24199:CLK 1.91391e-05 +93 *657:16 *1786:25 3.9734e-05 +94 *657:16 *2625:16 0.000485348 +95 *657:16 *2625:22 0.000239531 +96 *657:16 *2632:27 3.29488e-05 +97 *657:16 *2838:21 0.000720945 +98 *657:16 *4867:115 3.56295e-05 +99 *657:16 *5812:53 0 +100 *657:16 *5852:41 0.000122378 +101 *657:22 *21140:A 6.08467e-05 +102 *657:22 *2838:21 0.000182091 +103 *657:22 *4864:51 6.65073e-05 +104 *657:22 *5473:73 0.000516406 +105 *657:22 *5477:14 0.000126643 +106 *657:22 *5812:50 9.40969e-05 +107 *657:27 *2632:27 0.000448829 +108 *657:39 *20104:A 0.000340908 +109 *657:39 *20110:A 5.53934e-05 +110 *657:39 *2405:20 1.21985e-05 +111 *657:39 *3103:8 0.000157033 +112 *657:39 *5735:23 0.00039844 +113 *657:39 *5735:24 0.000699043 +114 *657:39 *5852:74 7.88202e-05 +115 *657:39 *5916:20 0.000538541 +116 *657:51 *19774:A 0.000107496 +117 *657:51 *21453:A 0.000153225 +118 *657:51 *21925:A 0.000642186 +119 *657:51 *24814:D 5.9949e-05 +120 *657:51 *2405:20 0.00023377 +121 *657:51 *2405:24 1.17614e-05 +122 *657:51 *2426:8 0.000280722 +123 *657:51 *2705:16 5.39635e-06 +124 *657:51 *3103:8 0.000206935 +125 *657:51 *5591:10 0 +126 *657:51 *5800:32 0.000100376 +127 *657:51 *5801:49 0.000358858 +128 *657:62 *20054:A3 4.51062e-05 +129 *657:62 *24828:CLK_N 2.65831e-05 +130 *657:62 *2405:24 0.000394306 +131 *657:62 *2405:26 0.000307746 +132 *657:62 *2505:54 0.000759508 +133 *657:85 *19756:A 1.64789e-05 +134 *657:85 *19771:A 3.8122e-05 +135 *657:85 *24017:A1 0.000123409 +136 *657:85 *1526:28 8.07939e-05 +137 *657:88 *1697:48 0.00015646 +138 *657:88 *1783:54 0.00105048 +139 *657:88 *2502:23 0.000410711 +140 *657:88 *2948:14 0.000105692 +141 *657:111 *19760:A1 6.78364e-06 +142 *657:111 *19760:A2 0.000177763 +143 *657:111 *1525:64 0.000137287 +144 *657:111 *1697:48 0.000316705 +145 *657:111 *1783:54 0.000309578 +146 *657:111 *2612:32 0.000233343 +147 *657:114 *2284:11 0.00027394 +148 *657:114 *2911:10 0.00027394 +149 *657:129 *2405:26 0.00130598 +150 *657:129 *2505:54 0.000729343 +151 *657:129 *3868:213 6.85631e-05 +152 *657:129 *4326:100 0.000242134 +153 *657:129 *5455:70 0.000144457 +154 *657:143 *23025:B 0.000124447 +155 *657:143 *23353:A 0.000184915 +156 *657:143 *2406:10 1.3807e-05 +157 *657:143 *2426:8 0.000702891 +158 *657:143 *2618:18 0.000134056 +159 *657:143 *3060:43 0.000346894 +160 *657:143 *3868:213 0.000911853 +161 *657:143 *4262:8 7.5194e-05 +162 *657:143 *4263:11 0.000288867 +163 *657:143 *4525:14 2.7279e-05 +164 *657:143 *5452:23 0.000116408 +165 *657:143 *5456:72 9.76262e-05 +166 *657:143 *5456:79 7.9399e-05 +167 *657:143 *5563:35 0.000175674 +168 *657:146 *3840:151 1.1539e-05 +169 *657:146 *3840:160 0.000286345 +170 *657:146 *3903:16 0.000508596 +171 *657:146 *3903:48 0.00039397 +172 *657:146 *3903:61 0.00015418 +173 *657:146 *4258:9 0.000139241 +174 *657:146 *5563:21 7.3979e-05 +175 *657:146 *5674:11 0.000377476 +176 *657:146 *5785:6 8.73404e-05 +177 *657:146 *5927:46 9.78551e-06 +178 *657:146 *5927:48 0.000332729 +179 *657:171 *22089:A1 0.000206706 +180 *657:171 *23344:A 9.50615e-06 +181 *657:171 *23647:A1 4.99743e-05 +182 *657:171 *23746:A 5.20365e-05 +183 *657:171 *23801:A2 0.00010481 +184 *657:171 *25210:A 6.34651e-06 +185 *657:171 *2963:93 0.00104076 +186 *657:171 *3842:141 5.60804e-05 +187 *657:171 *3868:187 0 +188 *657:171 *3883:18 0 +189 *657:171 *3903:16 8.62625e-06 +190 *657:171 *3974:107 0.000108997 +191 *657:171 *4024:70 0.000145249 +192 *657:171 *4352:21 0.000110946 +193 *657:171 *4524:27 0.000390316 +194 *657:171 *4540:53 0 +195 *657:171 *4550:53 0.00102501 +196 *657:171 *4550:58 0.000474847 +197 *657:171 *4570:13 0.000268599 +198 *657:171 *4627:23 6.85005e-05 +199 *657:171 *4664:33 0.000186591 +200 *657:171 *4677:11 0.00123492 +201 *657:171 *4695:56 6.91425e-05 +202 *657:171 *4741:18 1.33241e-05 +203 *657:171 *4745:43 5.76799e-05 +204 *657:171 *4748:19 4.5014e-06 +205 *657:171 *5452:23 0.00212059 +206 *657:171 *5456:50 7.8756e-07 +207 *657:171 *5456:61 0.000191219 +208 *657:171 *5592:9 1.835e-05 +209 *657:171 *5593:20 4.09314e-05 +210 *657:171 *5593:46 5.47362e-05 +211 *657:171 *5800:42 0 +212 *657:171 *5800:49 0.000458347 +213 *657:171 *5909:38 5.69128e-05 +214 *657:171 *5919:40 0 +215 *657:171 *5927:43 0.000278895 +216 *657:171 *5927:46 0.00126993 +217 *657:171 *5927:48 4.69204e-06 +218 *657:181 *23808:A1 5.20546e-06 +219 *657:181 *23831:A 0.000248576 +220 *657:181 *1482:32 0.000354863 +221 *657:181 *1947:89 0.000110306 +222 *657:181 *2624:33 0 +223 *657:181 *3373:24 0.000112292 +224 *657:181 *3781:83 0.00135198 +225 *657:181 *3877:134 5.35241e-05 +226 *657:181 *3999:35 0.00103732 +227 *657:181 *4298:8 0.000117093 +228 *657:181 *4396:23 0.00151891 +229 *657:181 *4447:10 0.000110809 +230 *657:181 *4538:51 0.000109248 +231 *657:181 *4547:35 0 +232 *657:181 *4723:8 0.00108096 +233 *657:181 *5815:64 0.00207965 +234 *657:181 *5920:22 0.000425603 +235 *657:189 *21496:A 9.70786e-05 +236 *657:189 *1947:45 1.80257e-05 +237 *657:189 *2553:11 0.000304777 +238 *657:189 *2554:35 0.000157687 +239 *657:189 *2624:33 0.00100494 +240 *657:189 *2963:64 0.000988466 +241 *657:189 *4700:41 3.08618e-05 +242 *657:199 *21017:A1 0.000300565 +243 *657:199 *24279:RESET_B 0.00062827 +244 *657:199 *24279:CLK 7.30383e-05 +245 *657:199 *1550:64 5.37623e-05 +246 *657:199 *1658:73 0.00012896 +247 *657:199 *2174:9 0.000151734 +248 *657:199 *2531:24 0.00074943 +249 *657:199 *4137:69 5.33589e-05 +250 *657:199 *4137:73 3.32812e-05 +251 *657:199 *4940:6 2.29201e-05 +252 *657:203 *24279:CLK 2.16355e-05 +253 *657:203 *1550:45 0.000265477 +254 *657:209 *24471:RESET_B 0.000193625 +255 *657:209 *24473:CLK 0.000211492 +256 *657:209 *1566:68 0.000162936 +257 *657:209 *2288:14 0.00017136 +258 *657:209 *2592:6 0.000756535 +259 *657:209 *2614:8 0.000130307 +260 *657:209 *4840:190 0.000242164 +261 *657:209 *4840:198 0.00125672 +262 *657:212 *20141:B 0.000175689 +263 *657:212 *20753:A2 0.000122083 +264 *657:212 *20755:A1 5.54078e-05 +265 *657:212 *22051:A 0 +266 *657:212 *24401:RESET_B 0 +267 *657:212 *2056:8 0.000716461 +268 *657:212 *2288:14 0.000105687 +269 *657:212 *2592:6 0.000784078 +270 *657:212 *3860:43 0.00018522 +271 *657:212 *4839:194 9.75356e-05 +272 *657:212 *4841:44 0 +273 *657:218 *21154:A1 5.23916e-05 +274 *657:218 *21154:A2 6.08467e-05 +275 *657:218 *21154:B1 1.98996e-05 +276 *657:218 *21154:B2 6.50586e-05 +277 *657:218 *24187:D 0.000275124 +278 *657:218 *2232:22 0.000144173 +279 *657:218 *2954:21 0.000311329 +280 *657:218 *4003:36 0.00113677 +281 *657:218 *4106:55 0.000377231 +282 *657:218 *4146:26 0.00033419 +283 *657:218 *4838:68 0.000154145 +284 *657:224 *19668:B 0.000510762 +285 *657:224 *20305:B1 0.00056894 +286 *657:224 *23409:A 1.44431e-05 +287 *657:224 *23409:B 8.80116e-05 +288 *657:224 *24876:CLK 0 +289 *657:224 *1482:8 0.000100705 +290 *657:224 *1891:11 0.000223066 +291 *657:224 *2523:24 0.000675101 +292 *657:224 *3788:66 0.000189326 +293 *657:224 *4320:12 9.2023e-05 +294 *657:224 *4664:40 4.20462e-05 +295 *657:224 *6001:189 6.13007e-05 +296 *657:228 *21041:A 0.000560194 +297 *657:228 *22103:B1 0 +298 *657:228 *23409:B 1.85183e-05 +299 *657:228 *24236:RESET_B 0 +300 *657:228 *24876:CLK 1.77537e-06 +301 *657:228 *1829:122 0 +302 *657:228 *2182:10 8.95741e-05 +303 *657:228 *2328:11 0 +304 *657:228 *3078:14 0 +305 *657:228 *4371:30 0 +306 *657:228 *4664:40 7.9335e-05 +307 *657:242 *1829:122 0.00022214 +308 *657:242 *4319:8 0.000139896 +309 *657:242 *5801:92 4.21171e-06 +310 *657:242 *5801:102 4.35492e-05 +311 *657:243 *23410:B1 0.00113618 +312 *657:243 *4319:7 2.35191e-05 +313 *657:243 *4374:28 0.000434032 +314 *657:248 *23410:B1 0.00075119 +315 *657:248 *1829:157 8.15658e-05 +316 *657:248 *4319:7 0.000211495 +317 *657:248 *4873:74 4.81113e-05 +318 *657:248 *4873:80 7.03198e-05 +319 *657:276 *20084:B1 0 +320 *657:276 *20086:A 0.000100705 +321 *657:276 *20087:A2 0.0003637 +322 *657:276 *20089:A 0.000108054 +323 *657:276 *23410:B1 0.000107496 +324 *657:276 *1829:122 0.000381904 +325 *657:276 *1829:131 0.000191526 +326 *657:276 *4374:28 3.74146e-05 +327 *657:296 *19756:A 0.000114523 +328 *657:310 *19756:A 9.55447e-05 +329 *657:310 *1714:11 0.000393863 +330 *657:310 *1763:37 0.000727902 +331 *657:310 *1829:34 0.000724304 +332 *657:310 *5082:57 0.000107496 +333 *19754:B1 *657:85 6.36477e-05 +334 *19772:B1 *657:85 0.000181981 +335 *21139:B *657:22 1.41976e-05 +336 *24017:S *657:85 6.08467e-05 +337 *24817:RESET_B *657:39 0.000181355 +338 *24819:RESET_B *20100:A 0.000104731 +339 *24828:RESET_B *657:62 9.96342e-05 +340 *24833:RESET_B *657:88 3.31223e-05 +341 *25201:A *657:51 7.00991e-05 +342 *460:16 *24835:RESET_B 6.08697e-06 +343 *460:21 *19758:A 9.35753e-06 +*RES +1 *19748:X *657:16 34.7542 +2 *657:16 *657:22 19.8925 +3 *657:22 *657:27 10.9179 +4 *657:27 *20111:A 27.1991 +5 *657:27 *657:39 26.9548 +6 *657:39 *20103:A 14.4725 +7 *657:39 *657:51 36.817 +8 *657:51 *657:62 35.6755 +9 *657:62 *19770:A 10.7683 +10 *657:62 *657:85 28.5712 +11 *657:85 *657:88 23.3573 +12 *657:88 *19758:A 32.9591 +13 *657:88 *657:111 31.4626 +14 *657:111 *657:114 12.4332 +15 *657:114 *19767:A 22.5602 +16 *657:114 *657:129 30.7276 +17 *657:129 *19764:A 9.97254 +18 *657:129 *657:143 47.4808 +19 *657:143 *657:146 26.6494 +20 *657:146 *657:171 47.1502 +21 *657:171 *657:181 49.3856 +22 *657:181 *657:189 42.7877 +23 *657:189 *657:199 34.289 +24 *657:199 *657:203 20.7523 +25 *657:203 *657:209 46.0241 +26 *657:209 *657:212 47.0267 +27 *657:212 *657:218 49.2376 +28 *657:218 *657:224 36.4632 +29 *657:224 *657:228 46.355 +30 *657:228 *657:229 4.60562 +31 *657:229 *20091:A 14.9881 +32 *657:229 *657:242 16.2303 +33 *657:242 *657:243 12.9247 +34 *657:243 *657:248 21.4667 +35 *657:248 *20097:A 15.0271 +36 *657:248 *20100:A 29.1858 +37 *657:243 *20094:A 9.24915 +38 *657:242 *657:276 29.5856 +39 *657:276 *20088:A 12.191 +40 *657:276 *20085:A 9.24915 +41 *657:242 *20079:A 9.24915 +42 *657:111 *19761:A 9.24915 +43 *657:85 *657:296 2.38721 +44 *657:296 *19755:A 9.24915 +45 *657:296 *657:310 32.4274 +46 *657:310 *20055:A 22.2574 +47 *657:310 *24835:RESET_B 7.38625 +48 *657:51 *19773:A 9.24915 +49 *657:22 *20073:A 14.8512 +50 *657:16 *20064:A 9.97254 +*END + +*D_NET *658 0.000604404 +*CONN +*I *24129:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22101:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24129:D 0.000145641 +2 *22101:X 0.000145641 +3 *24129:D *25333:A 0.000156823 +4 *24129:D *4373:20 6.67095e-06 +5 *24129:D *5457:89 0.000149628 +*RES +1 *22101:X *24129:D 31.6618 +*END + +*D_NET *659 0.000540474 +*CONN +*I *24130:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22100:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24130:D 0.000105028 +2 *22100:X 0.000105028 +3 *24130:D *25337:A 1.87611e-05 +4 *24130:D *5457:89 5.20546e-06 +5 *24130:D *5821:18 0.000156823 +6 *24130:D *5822:16 0.000149628 +*RES +1 *22100:X *24130:D 31.1072 +*END + +*D_NET *660 0.000507578 +*CONN +*I *24131:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22099:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24131:D 0.000113292 +2 *22099:X 0.000113292 +3 *24131:D *24129:CLK 2.14842e-06 +4 *24131:D *3083:19 1.87611e-05 +5 *24131:D *3083:25 0.000149628 +6 *24131:D *4879:59 4.53973e-05 +7 *24131:D *5453:54 6.50586e-05 +*RES +1 *22099:X *24131:D 31.1072 +*END + +*D_NET *661 0.000522275 +*CONN +*I *24132:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22098:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24132:D 0.000107905 +2 *22098:X 0.000107905 +3 *24132:D *24132:CLK 1.87611e-05 +4 *24132:D *25336:A 9.18559e-06 +5 *24132:D *3083:19 1.58247e-05 +6 *24132:D *5927:24 0.000149628 +7 wb_dat_o[14] *24132:D 0.000113066 +*RES +1 *22098:X *24132:D 31.1072 +*END + +*D_NET *662 0.000400931 +*CONN +*I *24133:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22097:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *24133:D 7.06372e-05 +2 *22097:X 7.06372e-05 +3 *24133:D *24133:CLK 5.59587e-06 +4 *24133:D *3083:15 6.54102e-05 +5 *24133:D *4880:106 9.43255e-05 +6 *24133:D *5927:16 9.43255e-05 +*RES +1 *22097:X *24133:D 30.1079 +*END + +*D_NET *663 0.000296192 +*CONN +*I *24134:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22096:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24134:D 4.51641e-05 +2 *22096:X 4.51641e-05 +3 *24134:D *5826:28 0.000104731 +4 *24134:D *5929:10 0.000101133 +*RES +1 *22096:X *24134:D 29.5533 +*END + +*D_NET *664 0.000218778 +*CONN +*I *24135:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22095:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24135:D 6.48855e-05 +2 *22095:X 6.48855e-05 +3 *24135:D *4880:10 2.75459e-05 +4 *24135:D *5457:107 1.87611e-05 +5 *24135:D *5824:20 4.27003e-05 +*RES +1 *22095:X *24135:D 29.5533 +*END + +*D_NET *665 0.000824115 +*CONN +*I *24136:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22094:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24136:D 0.000266653 +2 *22094:X 0.000266653 +3 *24136:D *25340:A 0.000169407 +4 *24136:D *3088:10 2.33566e-05 +5 *24136:D *5457:93 6.62579e-05 +6 *24136:D *5823:8 3.17869e-05 +*RES +1 *22094:X *24136:D 34.8207 +*END + +*D_NET *666 0.000466068 +*CONN +*I *24137:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22089:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24137:D 0.000121022 +2 *22089:X 0.000121022 +3 *24137:D *1755:67 1.87611e-05 +4 *24137:D *2838:45 5.68237e-06 +5 *24137:D *5792:11 0.000118485 +6 *24137:D *5828:24 2.36003e-05 +7 ser_rx *24137:D 5.74949e-05 +*RES +1 *22089:X *24137:D 30.692 +*END + +*D_NET *667 0.0031709 +*CONN +*I *24138:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22088:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24138:D 0.000380903 +2 *22088:X 0.000380903 +3 *24138:D *23473:B 2.13584e-05 +4 *24138:D *24138:CLK 2.5771e-05 +5 *24138:D *25315:A 1.63817e-05 +6 *24138:D *3860:123 0.000759512 +7 *24138:D *5792:11 0.00124435 +8 spi_sdi *24138:D 6.01329e-05 +9 *25180:A *24138:D 0.000281586 +*RES +1 *22088:X *24138:D 49.4854 +*END + +*D_NET *668 0.000527046 +*CONN +*I *24139:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22087:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24139:D 0.000118729 +2 *22087:X 0.000118729 +3 *24139:D *22087:A1 3.99086e-06 +4 *24139:D *25342:A 0.000141864 +5 *24139:D *3840:101 0.000141319 +6 *24139:D *5840:37 2.41274e-06 +*RES +1 *22087:X *24139:D 31.1072 +*END + +*D_NET *669 0.00039961 +*CONN +*I *24140:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22086:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24140:D 6.46152e-05 +2 *22086:X 6.46152e-05 +3 *24140:D *22086:B1 2.08365e-05 +4 *24140:D *25342:A 0.000146727 +5 *24140:D *5844:7 6.67095e-06 +6 wb_dat_o[0] *24140:D 9.61451e-05 +*RES +1 *22086:X *24140:D 30.3838 +*END + +*D_NET *670 0.000346574 +*CONN +*I *24141:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22085:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *24141:D 0.000124444 +2 *22085:X 0.000124444 +3 *24141:D *22085:B1 9.76854e-05 +*RES +1 *22085:X *24141:D 30.6625 +*END + +*D_NET *671 0.000575504 +*CONN +*I *24142:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22084:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24142:D 0.000101614 +2 *22084:X 0.000101614 +3 *24142:D *1829:59 0.000170011 +4 *24142:D *4373:22 0.000101133 +5 *24142:D *5927:26 0.000101133 +*RES +1 *22084:X *24142:D 31.2171 +*END + +*D_NET *672 0.000416187 +*CONN +*I *24143:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22083:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24143:D 0.000102148 +2 *22083:X 0.000102148 +3 *24143:D *3989:23 1.60502e-06 +4 *24143:D *4373:22 9.22013e-06 +5 *24143:D *4879:50 1.26559e-05 +6 *24143:D *5457:67 6.92705e-05 +7 *24143:D *5847:8 7.50872e-05 +8 wb_dat_o[7] *24143:D 4.40531e-05 +*RES +1 *22083:X *24143:D 30.2767 +*END + +*D_NET *673 0.000400866 +*CONN +*I *24144:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22082:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24144:D 6.88932e-05 +2 *22082:X 6.88932e-05 +3 *24144:D *25360:A 6.54102e-05 +4 *24144:D *3083:30 9.60366e-05 +5 *24144:D *4377:15 5.59587e-06 +6 *24144:D *5457:82 9.60366e-05 +*RES +1 *22082:X *24144:D 30.1079 +*END + +*D_NET *674 0.000731029 +*CONN +*I *24145:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22077:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24145:D 0.000232762 +2 *22077:X 0.000232762 +3 *24145:D *22077:B1 0.000127194 +4 *24145:D *5829:20 0.000127194 +5 *24145:D *5931:21 1.11158e-05 +*RES +1 *22077:X *24145:D 33.2962 +*END + +*D_NET *675 0.000899244 +*CONN +*I *24146:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22076:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24146:D 0.000265666 +2 *22076:X 0.000265666 +3 *24146:D *22076:B2 6.50586e-05 +4 *24146:D *4336:8 0.000153225 +5 *24146:D *5628:16 0.000149628 +*RES +1 *22076:X *24146:D 34.4349 +*END + +*D_NET *676 0.000975567 +*CONN +*I *24147:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22075:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24147:D 0.000194086 +2 *22075:X 0.000194086 +3 *24147:D *22075:A1 7.92757e-06 +4 *24147:D *24147:CLK 1.87611e-05 +5 *24147:D *25348:A 5.04829e-06 +6 *24147:D *5832:10 0.00036367 +7 *24147:D *5833:17 0.00013088 +8 wb_dat_o[25] *24147:D 6.11074e-05 +*RES +1 *22075:X *24147:D 34.8445 +*END + +*D_NET *677 0.000418488 +*CONN +*I *24148:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22074:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24148:D 5.43869e-05 +2 *22074:X 5.43869e-05 +3 *24148:D *3079:41 0.000151726 +4 *24148:D *4880:60 6.67095e-06 +5 *24148:D *5827:10 3.18826e-06 +6 *24148:D *5835:16 0.000148129 +*RES +1 *22074:X *24148:D 30.3838 +*END + +*D_NET *678 0.000639201 +*CONN +*I *24149:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22073:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *24149:D 0.000136585 +2 *22073:X 0.000136585 +3 *24149:D *22073:A1 3.82228e-05 +4 *24149:D *22074:A1 2.13584e-05 +5 *24149:D *5832:10 0.000149628 +6 *24149:D *5837:31 0.000156823 +*RES +1 *22073:X *24149:D 31.6618 +*END + +*D_NET *679 0.00118466 +*CONN +*I *24150:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22072:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24150:D 0.000403806 +2 *22072:X 0.000403806 +3 *24150:D *25355:A 7.7434e-05 +4 *24150:D *4006:17 1.77324e-05 +5 *24150:D *5831:8 2.652e-05 +6 *24150:D *5833:13 0.000118166 +7 *24150:D *5838:20 0 +8 *24150:D *5843:18 0.000102632 +9 *24150:D *5931:16 3.45653e-05 +*RES +1 *22072:X *24150:D 37.6164 +*END + +*D_NET *680 0.000847336 +*CONN +*I *24151:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22071:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24151:D 0.000129954 +2 *22071:X 0.000129954 +3 *24151:D *4882:57 5.33672e-05 +4 *24151:D *5832:8 0.000266681 +5 *24151:D *5837:31 0.000206284 +6 wb_dat_o[31] *24151:D 6.1096e-05 +*RES +1 *22071:X *24151:D 33.7059 +*END + +*D_NET *681 0.00101846 +*CONN +*I *24152:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22070:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24152:D 0.00022301 +2 *22070:X 0.00022301 +3 *24152:D *25352:A 9.96342e-05 +4 *24152:D *4006:17 0.000371672 +5 *24152:D *5836:27 0.000101133 +*RES +1 *22070:X *24152:D 33.749 +*END + +*D_NET *682 0.00110701 +*CONN +*I *24153:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22065:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24153:D 0.000195409 +2 *22065:X 0.000195409 +3 *24153:D *5612:12 0.000153225 +4 *24153:D *5801:107 0.000409743 +5 *24153:D *5842:24 0.000153225 +*RES +1 *22065:X *24153:D 34.8207 +*END + +*D_NET *683 0.000565656 +*CONN +*I *24154:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *22064:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24154:D 0.000183011 +2 *22064:X 0.000183011 +3 *24154:D *2330:22 9.98172e-05 +4 *24154:D *4880:66 9.98172e-05 +*RES +1 *22064:X *24154:D 31.7717 +*END + +*D_NET *684 0.000764593 +*CONN +*I *24155:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21903:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24155:D 0.000291256 +2 *21903:X 0.000291256 +3 *24155:D *21903:A2 0.000117009 +4 *24155:D *5836:7 6.50727e-05 +*RES +1 *21903:X *24155:D 25.9312 +*END + +*D_NET *685 0.000381211 +*CONN +*I *24156:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21747:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24156:D 0.000126004 +2 *21747:X 0.000126004 +3 *24156:D *21747:B1 7.52272e-05 +4 *24156:D *21747:B2 3.6452e-05 +5 *24156:D *4882:74 6.67095e-06 +6 *24156:D *5837:10 5.67307e-06 +7 *24156:D *5931:16 5.18062e-06 +*RES +1 *21747:X *24156:D 30.692 +*END + +*D_NET *686 0.000803685 +*CONN +*I *24157:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21593:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *24157:D 0.000274867 +2 *21593:X 0.000274867 +3 *24157:D *21593:A2 1.00937e-05 +4 *24157:D *24157:CLK 1.87611e-05 +5 *24157:D *25319:A 0.000101654 +6 *24157:D *5838:8 0 +7 *24157:D *5932:11 7.86847e-05 +8 *24157:D *5935:6 4.47578e-05 +9 spimemio_flash_io3_di *24157:D 0 +10 *25193:A *24157:D 0 +*RES +1 *21593:X *24157:D 34.9839 +*END + +*D_NET *687 0.00035863 +*CONN +*I *24158:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21444:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24158:D 7.02746e-05 +2 *21444:X 7.02746e-05 +3 *24158:D *21593:A1 3.18826e-06 +4 *24158:D *21593:B2 0.000151726 +5 *24158:D *5839:8 6.31665e-05 +*RES +1 *21444:X *24158:D 30.3838 +*END + +*D_NET *688 0.00110636 +*CONN +*I *24159:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21355:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24159:D 0.000217426 +2 *21355:X 0.000217426 +3 *24159:D *24157:CLK 6.80864e-05 +4 *24159:D *4882:103 0.000535332 +5 *24159:D *5838:16 6.80864e-05 +*RES +1 *21355:X *24159:D 35.9299 +*END + +*D_NET *689 0.000635118 +*CONN +*I *24160:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21267:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24160:D 0.000118532 +2 *21267:X 0.000118532 +3 *24160:D *2330:18 9.60216e-05 +4 *24160:D *3989:11 2.82617e-05 +5 *24160:D *4880:66 9.60216e-05 +6 *24160:D *5843:8 0.000107496 +7 *24160:D *5929:9 7.02539e-05 +*RES +1 *21267:X *24160:D 31.7717 +*END + +*D_NET *690 0.00204633 +*CONN +*I *24161:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21175:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24161:D 0.000551312 +2 *21175:X 0.000551312 +3 *24161:D *21175:A2 1.3501e-05 +4 *24161:D *21175:B1 9.9028e-05 +5 *24161:D *21223:A 0.000377625 +6 *24161:D *25304:A 2.36813e-05 +7 *24161:D *1556:10 0.000119626 +8 *24161:D *2422:7 0.000145559 +9 *24161:D *5787:5 2.16355e-05 +10 serial_load *24161:D 0.000143047 +*RES +1 *21175:X *24161:D 42.1993 +*END + +*D_NET *691 0.00041609 +*CONN +*I *24162:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21174:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24162:D 0.000112198 +2 *21174:X 0.000112198 +3 *24162:D *5796:11 4.28814e-05 +4 *24162:D *5798:11 0.000148814 +*RES +1 *21174:X *24162:D 31.3182 +*END + +*D_NET *692 0.000394953 +*CONN +*I *24163:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21173:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24163:D 8.98722e-05 +2 *21173:X 8.98722e-05 +3 *24163:D *21173:B2 0 +4 *24163:D *5789:11 0.000215209 +*RES +1 *21173:X *24163:D 21.2773 +*END + +*D_NET *693 0.000995337 +*CONN +*I *24164:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *21172:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24164:D 0.000376669 +2 *21172:X 0.000376669 +3 *24164:D *25306:A 0 +4 *24164:D *25312:A 0.000183752 +5 *24164:D *5861:498 5.82465e-05 +*RES +1 *21172:X *24164:D 37.0391 +*END + +*D_NET *694 0.000518916 +*CONN +*I *24186:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21155:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24186:D 0.000105247 +2 *21155:X 0.000105247 +3 *24186:D *24186:CLK 0.00011818 +4 *24186:D *5956:13 0.000167076 +5 *24186:D *5960:5 2.31669e-05 +*RES +1 *21155:X *24186:D 22.1876 +*END + +*D_NET *695 0.00161974 +*CONN +*I *24187:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21154:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24187:D 0.000576363 +2 *21154:X 0.000576363 +3 *24187:D *1809:160 4.01437e-05 +4 *24187:D *4538:20 0.000151741 +5 *657:218 *24187:D 0.000275124 +*RES +1 *21154:X *24187:D 38.094 +*END + +*D_NET *696 0.000401317 +*CONN +*I *24188:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21153:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24188:D 9.85547e-05 +2 *21153:X 9.85547e-05 +3 *24188:D *21153:B1 2.16355e-05 +4 *24188:D *2232:59 5.53934e-05 +5 *24188:D *4884:114 0.000127179 +*RES +1 *21153:X *24188:D 30.4689 +*END + +*D_NET *697 0.00213014 +*CONN +*I *24189:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21152:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24189:D 0.00084802 +2 *21152:X 0.00084802 +3 *24189:D *24189:CLK 0.000407518 +4 *24189:D *24398:CLK 2.65831e-05 +*RES +1 *21152:X *24189:D 31.5013 +*END + +*D_NET *698 0.00108195 +*CONN +*I *24190:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21151:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24190:D 0.00040416 +2 *21151:X 0.00040416 +3 *24190:D *21151:A1 0.000169041 +4 *24190:D *21151:B2 1.03403e-05 +5 *24190:D *4841:33 1.52185e-05 +6 *24190:D *5898:264 4.12533e-05 +7 *24190:D *6020:25 3.77804e-05 +*RES +1 *21151:X *24190:D 34.4905 +*END + +*D_NET *699 0.00135307 +*CONN +*I *24191:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21150:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24191:D 0.000518642 +2 *21150:X 0.000518642 +3 *24191:D *24191:CLK 0.00016279 +4 *24191:D *2232:39 8.85525e-05 +5 *24191:D *2468:8 5.7995e-05 +6 *24191:D *5856:28 6.44964e-06 +*RES +1 *21150:X *24191:D 37.3391 +*END + +*D_NET *700 0.0018103 +*CONN +*I *24192:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21149:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24192:D 0.000728407 +2 *21149:X 0.000728407 +3 *24192:D *21149:A1 0.000107496 +4 *24192:D *21149:B2 2.16355e-05 +5 *24192:D *21408:A 6.50727e-05 +6 *24192:D *4003:36 0.000101133 +7 *24192:D *4146:26 4.61732e-05 +8 *24192:D *4843:63 1.19721e-05 +*RES +1 *21149:X *24192:D 38.9273 +*END + +*D_NET *701 0.000588533 +*CONN +*I *24193:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21148:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24193:D 0.000248335 +2 *21148:X 0.000248335 +3 *24193:D *24193:CLK 1.03403e-05 +4 *24193:D *2624:6 0 +5 *24193:D *4869:118 7.50722e-05 +6 *24193:D *5458:108 6.44964e-06 +*RES +1 *21148:X *24193:D 31.881 +*END + +*D_NET *702 0.000671973 +*CONN +*I *24194:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21147:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24194:D 0.000207422 +2 *21147:X 0.000207422 +3 *24194:D *2233:8 5.62448e-05 +4 *24194:D *4844:26 0.000122992 +5 *24194:D *4845:21 7.78924e-05 +*RES +1 *21147:X *24194:D 32.1327 +*END + +*D_NET *703 0.000678037 +*CONN +*I *24195:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21143:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24195:D 0.000159872 +2 *21143:X 0.000159872 +3 *24195:D *24174:CLK 6.92705e-05 +4 *24195:D *2759:8 3.42931e-05 +5 *24195:D *4913:9 5.481e-05 +6 *24195:D *5961:7 0.000107496 +7 *324:11 *24195:D 9.24241e-05 +*RES +1 *21143:X *24195:D 31.8863 +*END + +*D_NET *704 0.0010109 +*CONN +*I *24196:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21142:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24196:D 0.000434012 +2 *21142:X 0.000434012 +3 *24196:D *21142:B1 0 +4 *24196:D *21142:B2 0.000120546 +5 *24196:D *23939:A1 1.62206e-05 +6 *24196:D *5957:11 6.11359e-06 +*RES +1 *21142:X *24196:D 35.1817 +*END + +*D_NET *705 0.000609805 +*CONN +*I *24197:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *21141:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24197:D 0.000186764 +2 *21141:X 0.000186764 +3 *24197:D *21141:B1 1.41291e-05 +4 *24197:D *5811:38 0.000222149 +*RES +1 *21141:X *24197:D 22.0188 +*END + +*D_NET *706 0.000682551 +*CONN +*I *24198:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21136:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24198:D 0.000295092 +2 *21136:X 0.000295092 +3 *24198:D *1906:6 3.25405e-05 +4 *24198:D *2263:30 3.25405e-05 +5 *24198:D *2584:17 2.7285e-05 +*RES +1 *21136:X *24198:D 32.2721 +*END + +*D_NET *707 0.0010181 +*CONN +*I *24199:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *21134:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24199:D 0.00028447 +2 *21134:X 0.00028447 +3 *24199:D *21134:A1 0.000111722 +4 *24199:D *1587:44 2.57847e-05 +5 *24199:D *2505:43 1.78942e-05 +6 *24199:D *4937:8 0.000293759 +7 *552:8 *24199:D 0 +*RES +1 *21134:X *24199:D 35.069 +*END + +*D_NET *708 0.000563105 +*CONN +*I *24200:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21131:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24200:D 0.000210783 +2 *21131:X 0.000210783 +3 *24200:D *21134:A1 0.000107496 +4 *24200:D *24200:CLK 3.40423e-05 +*RES +1 *21131:X *24200:D 22.0188 +*END + +*D_NET *709 0.00106522 +*CONN +*I *24201:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21128:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24201:D 0.000262595 +2 *21128:X 0.000262595 +3 *24201:D *24026:S 8.86331e-05 +4 *24201:D *24201:CLK 0.000187735 +5 *24201:D *4864:124 0.000188722 +6 *24201:D *5440:29 4.17508e-05 +7 *24201:D *5440:38 3.31882e-05 +*RES +1 *21128:X *24201:D 33.8186 +*END + +*D_NET *710 0.00103103 +*CONN +*I *24202:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21125:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24202:D 0.000335086 +2 *21125:X 0.000335086 +3 *24202:D *21125:A1 1.2693e-05 +4 *24202:D *1725:33 6.65016e-05 +5 *24202:D *2922:33 4.40272e-05 +6 *24202:D *3177:114 0 +7 *24202:D *4867:20 0.000118098 +8 *24202:RESET_B *24202:D 0.000119538 +*RES +1 *21125:X *24202:D 36.4302 +*END + +*D_NET *711 0.000567758 +*CONN +*I *24203:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21122:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24203:D 0.000163103 +2 *21122:X 0.000163103 +3 *24203:D *2398:16 0.000169093 +4 *24203:D *2864:64 0 +5 *24203:D *5801:73 7.246e-05 +*RES +1 *21122:X *24203:D 32.4086 +*END + +*D_NET *712 0.00117255 +*CONN +*I *24204:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21119:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24204:D 0.000322853 +2 *21119:X 0.000322853 +3 *24204:D *1455:65 0.000146356 +4 *24204:D *1845:151 9.90116e-05 +5 *24204:D *2803:44 0.00014074 +6 *24204:D *5791:23 0.00014074 +*RES +1 *21119:X *24204:D 37.5394 +*END + +*D_NET *713 0.00166402 +*CONN +*I *24205:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21116:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24205:D 0.000659425 +2 *21116:X 0.000659425 +3 *24205:D *21116:A2 0.000121693 +4 *24205:D *22842:B2 1.47978e-05 +5 *24205:D *5444:9 7.99425e-05 +6 *24016:A0 *24205:D 0.000128736 +*RES +1 *21116:X *24205:D 34.8229 +*END + +*D_NET *714 0.00130233 +*CONN +*I *24206:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21113:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24206:D 0.000265378 +2 *21113:X 0.000265378 +3 *24206:D *1438:81 0.000122083 +4 *24206:D *1756:49 3.1218e-05 +5 *24206:D *4870:30 0.000174628 +6 *24206:D *5801:65 0.000443646 +*RES +1 *21113:X *24206:D 38.2334 +*END + +*D_NET *715 0.000964058 +*CONN +*I *24207:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *21106:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *24207:D 0.000259434 +2 *21106:Y 0.000259434 +3 *24207:D *5811:44 0.000177787 +4 *24207:D *5812:60 0.000267404 +*RES +1 *21106:Y *24207:D 33.5179 +*END + +*D_NET *716 0.000874082 +*CONN +*I *24208:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *21103:X O *D sky130_fd_sc_hd__o21ba_1 +*CAP +1 *24208:D 0.000398998 +2 *21103:X 0.000398998 +3 *24208:D *21101:A 0 +4 *24208:D *24208:CLK 5.81064e-05 +5 *24208:D *24743:D 0 +6 *24208:D *1845:37 0 +7 *24208:D *5811:44 0 +8 *24208:RESET_B *24208:D 1.79807e-05 +*RES +1 *21103:X *24208:D 36.9154 +*END + +*D_NET *717 0.00100769 +*CONN +*I *24209:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *21099:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24209:D 0.000315492 +2 *21099:X 0.000315492 +3 *24209:D *20116:A 7.97098e-06 +4 *24209:D *21097:A 0.000318265 +5 *24209:D *5811:44 5.04734e-05 +6 *24815:RESET_B *24209:D 0 +*RES +1 *21099:X *24209:D 33.7966 +*END + +*D_NET *718 0.000536887 +*CONN +*I *24210:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21095:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24210:D 8.54454e-05 +2 *21095:X 8.54454e-05 +3 *24210:D *21095:A2 0.000176382 +4 *24210:D *24210:CLK 4.98933e-05 +5 *24210:D *1608:156 0.000139721 +*RES +1 *21095:X *24210:D 22.1876 +*END + +*D_NET *719 0.00278564 +*CONN +*I *24211:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21094:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24211:D 0 +2 *21094:X 0.000621136 +3 *719:16 0.000621136 +4 *719:16 *21094:A1 0.000156946 +5 *719:16 *24951:A 0.000200794 +6 *719:16 *2350:20 0.00020502 +7 *719:16 *2819:18 0.000238883 +8 *719:16 *4822:66 6.15659e-05 +9 *719:16 *4860:18 0.000245885 +10 *719:16 *4890:51 0.000154073 +11 *719:16 *4890:62 8.62625e-06 +12 *719:16 *4956:27 7.54269e-06 +13 *719:16 *5859:115 0.000264031 +*RES +1 *21094:X *719:16 43.1677 +2 *719:16 *24211:D 9.24915 +*END + +*D_NET *720 0.00109699 +*CONN +*I *24212:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21093:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24212:D 0.000291839 +2 *21093:X 0.000291839 +3 *24212:D *21093:A1 0.000164829 +4 *24212:D *21093:B1 0.000163418 +5 *24212:D *2209:17 7.68538e-06 +6 *24212:D *2869:26 8.69817e-05 +7 *24212:D *4822:66 9.03922e-05 +*RES +1 *21093:X *24212:D 33.3813 +*END + +*D_NET *721 0.000759187 +*CONN +*I *24213:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *21092:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24213:D 0.000168269 +2 *21092:X 0.000168269 +3 *24213:D *21092:A1 0.000110371 +4 *24213:D *21092:A2 1.41976e-05 +5 *24213:D *22025:A 7.98171e-06 +6 *24213:D *2208:41 9.12416e-06 +7 *24213:D *2806:8 0.000173478 +8 *24213:D *2989:5 0.000107496 +*RES +1 *21092:X *24213:D 32.2693 +*END + +*D_NET *722 0.00110172 +*CONN +*I *24214:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21091:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24214:D 0.000283473 +2 *21091:X 0.000283473 +3 *24214:D *2886:8 0.000260562 +4 *24214:D *2906:8 0.000126762 +5 *24214:D *3162:167 4.16913e-05 +6 *24214:D *3215:10 4.39636e-05 +7 *24214:D *5352:19 6.98337e-06 +8 *24214:D *5353:7 5.481e-05 +*RES +1 *21091:X *24214:D 35.4548 +*END + +*D_NET *723 0.000363192 +*CONN +*I *24215:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21090:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24215:D 6.03267e-05 +2 *21090:X 6.03267e-05 +3 *24215:D *21090:A1 7.20596e-05 +4 *24215:D *21090:A2 7.34948e-06 +5 *24215:D *4843:251 0.00016313 +*RES +1 *21090:X *24215:D 21.633 +*END + +*D_NET *724 0.00096 +*CONN +*I *24216:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21089:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24216:D 0.000181116 +2 *21089:X 0.000181116 +3 *24216:D *24216:RESET_B 7.89747e-05 +4 *24216:D *2258:22 0.000217385 +5 *24216:D *2764:11 7.89747e-05 +6 *24216:D *4831:8 0.000217385 +7 *24216:D *5865:165 5.04829e-06 +*RES +1 *21089:X *24216:D 34.209 +*END + +*D_NET *725 0.000534448 +*CONN +*I *24217:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21088:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24217:D 0.000109069 +2 *21088:X 0.000109069 +3 *24217:D *21088:A1 0.000115934 +4 *24217:D *2252:8 9.83892e-05 +5 *24217:D *2487:14 0.000101987 +*RES +1 *21088:X *24217:D 30.6083 +*END + +*D_NET *726 0.00151755 +*CONN +*I *24218:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21084:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24218:D 0.000400916 +2 *21084:X 0.000400916 +3 *24218:D *21084:A1 3.24105e-05 +4 *24218:D *1439:252 1.93635e-05 +5 *24218:D *1573:33 1.5714e-05 +6 *24218:D *2505:23 0.000160617 +7 *24218:D *2505:29 0.000212753 +8 *24218:D *2951:28 0.000175877 +9 *24218:D *4845:466 0 +10 *24218:D *4876:66 9.64505e-05 +11 *24218:D *5860:433 2.53145e-06 +*RES +1 *21084:X *24218:D 39.9132 +*END + +*D_NET *727 0.00159861 +*CONN +*I *24219:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21083:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24219:D 0.000472377 +2 *21083:X 0.000472377 +3 *24219:D *21083:A1 0.000160617 +4 *24219:D *21084:B2 1.34424e-05 +5 *24219:D *24219:RESET_B 2.22923e-05 +6 *24219:D *1511:56 9.96342e-05 +7 *514:93 *24219:D 0.00035787 +*RES +1 *21083:X *24219:D 36.1543 +*END + +*D_NET *728 0.000417406 +*CONN +*I *24220:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21082:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24220:D 0.000111228 +2 *21082:X 0.000111228 +3 *24220:D *21082:A2 0.00012568 +4 *24220:D *21820:C1 6.92705e-05 +*RES +1 *21082:X *24220:D 30.4689 +*END + +*D_NET *729 0.000582004 +*CONN +*I *24221:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21081:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24221:D 0.000173644 +2 *21081:X 0.000173644 +3 *24221:D *21081:A1 7.22498e-05 +4 *24221:D *2205:22 0.000115451 +5 *24221:D *5854:337 4.70148e-05 +*RES +1 *21081:X *24221:D 31.5781 +*END + +*D_NET *730 0.00174555 +*CONN +*I *24222:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21080:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24222:D 0.000420186 +2 *21080:X 0.000420186 +3 *24222:D *1511:56 0.000177787 +4 *24222:D *1744:88 0.000174175 +5 *24222:D *2864:11 0.000553213 +*RES +1 *21080:X *24222:D 36.8455 +*END + +*D_NET *731 0.0011184 +*CONN +*I *24223:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21076:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24223:D 0.000234922 +2 *21076:X 0.000234922 +3 *24223:D *20617:B1 0.000111708 +4 *24223:D *21076:A1 0.000236166 +5 *24223:D *4811:18 0.000276732 +6 *24223:D *5862:319 2.39535e-05 +*RES +1 *21076:X *24223:D 34.3456 +*END + +*D_NET *732 0.000917288 +*CONN +*I *24224:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21075:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24224:D 0.000337419 +2 *21075:X 0.000337419 +3 *24224:D *24224:CLK 1.61631e-05 +4 *24224:D *1556:30 6.51527e-05 +5 *24224:D *2202:12 6.85778e-05 +6 *24224:D *2267:63 6.08467e-05 +7 *24224:D *2301:45 3.17103e-05 +*RES +1 *21075:X *24224:D 35.4015 +*END + +*D_NET *733 0.000543613 +*CONN +*I *24225:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21074:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24225:D 0.000147084 +2 *21074:X 0.000147084 +3 *24225:D *1551:83 7.09666e-06 +4 *24225:D *2610:63 0.000107496 +5 *24225:D *2908:63 3.75608e-05 +6 *24225:D *4908:10 2.85421e-05 +7 *24225:D *5364:8 6.87503e-05 +*RES +1 *21074:X *24225:D 31.0235 +*END + +*D_NET *734 0.00106897 +*CONN +*I *24226:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21073:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24226:D 0.000272739 +2 *21073:X 0.000272739 +3 *24226:D *1556:20 0.000116504 +4 *24226:D *1557:96 0.000112892 +5 *24226:D *5859:210 0.000294093 +*RES +1 *21073:X *24226:D 33.242 +*END + +*D_NET *735 0.00183968 +*CONN +*I *24227:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21072:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24227:D 0.000421924 +2 *21072:X 0.000421924 +3 *24227:D *1551:75 0.000266154 +4 *24227:D *2474:14 0.000269766 +5 *24227:D *5859:210 0.000459915 +*RES +1 *21072:X *24227:D 37.8125 +*END + +*D_NET *736 0.000431347 +*CONN +*I *24228:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21071:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24228:D 0.000140882 +2 *21071:X 0.000140882 +3 *24228:D *1551:83 3.90198e-05 +4 *24228:D *4805:47 6.13051e-05 +5 *24228:D *4824:130 2.97803e-05 +6 *24228:D *4824:142 1.94776e-05 +*RES +1 *21071:X *24228:D 31.1629 +*END + +*D_NET *737 0.000763055 +*CONN +*I *24229:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21070:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24229:D 0.000201476 +2 *21070:X 0.000201476 +3 *24229:D *21070:A1 0.000158371 +4 *24229:D *1551:83 9.32927e-05 +5 *24229:D *4820:64 7.92757e-06 +6 *24229:D *4908:132 9.34145e-05 +7 *24229:D *4908:146 7.09666e-06 +*RES +1 *21070:X *24229:D 31.7175 +*END + +*D_NET *738 0.000560261 +*CONN +*I *24230:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21069:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24230:D 0.000135131 +2 *21069:X 0.000135131 +3 *24230:D *21069:B1 0 +4 *24230:D *4904:57 3.95399e-05 +5 *24230:D *5369:7 0.00025046 +*RES +1 *21069:X *24230:D 22.8387 +*END + +*D_NET *739 0.00157909 +*CONN +*I *24231:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21065:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24231:D 0.000564779 +2 *21065:X 0.000564779 +3 *24231:D *21065:A1 1.1718e-05 +4 *24231:D *21065:A2 0.000113968 +5 *24231:D *21065:B1 7.27261e-05 +6 *24231:D *21065:B2 6.08467e-05 +7 *24231:D *24231:CLK 7.58262e-05 +8 *24231:D *3052:38 0.000114449 +*RES +1 *21065:X *24231:D 32.0017 +*END + +*D_NET *740 0.000579522 +*CONN +*I *24232:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21064:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24232:D 0.000126666 +2 *21064:X 0.000126666 +3 *24232:D *1648:25 0.000107496 +4 *24232:D *1680:71 2.32912e-05 +5 *24232:D *2577:48 0.000127179 +6 *24232:D *3106:42 1.07248e-05 +7 *24232:D *4856:18 5.74984e-05 +*RES +1 *21064:X *24232:D 31.0235 +*END + +*D_NET *741 0.0015033 +*CONN +*I *24233:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21063:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24233:D 0.00042556 +2 *21063:X 0.00042556 +3 *24233:D *21063:B1 0.000269146 +4 *24233:D *3162:21 0.000294093 +5 *522:18 *24233:D 8.89446e-05 +*RES +1 *21063:X *24233:D 36.564 +*END + +*D_NET *742 0.00037219 +*CONN +*I *24234:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21062:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24234:D 0.000155219 +2 *21062:X 0.000155219 +3 *24234:D *21062:A1 3.99086e-06 +4 *24234:D *24234:CLK 5.77615e-05 +*RES +1 *21062:X *24234:D 20.771 +*END + +*D_NET *743 0.000895747 +*CONN +*I *24235:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21061:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24235:D 0.000244141 +2 *21061:X 0.000244141 +3 *24235:D *2576:76 2.08365e-05 +4 *24235:D *3126:148 6.08467e-05 +5 *24235:D *3126:152 0.000203595 +6 *24235:D *3496:104 3.63738e-05 +7 *24235:D *5853:334 8.58125e-05 +*RES +1 *21061:X *24235:D 32.2721 +*END + +*D_NET *744 0.00266689 +*CONN +*I *24236:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *21057:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *24236:D 0.00104922 +2 *21057:X 0.00104922 +3 *24236:D *21056:A 5.04829e-06 +4 *24236:D *21057:B1 0.000213725 +5 *24236:D *24236:RESET_B 0.000156734 +6 *24236:D *24236:CLK 0.000164515 +7 *24236:D *2197:14 2.20688e-05 +8 *24236:D *5458:108 6.36324e-06 +*RES +1 *21057:X *24236:D 34.7747 +*END + +*D_NET *745 0.00134548 +*CONN +*I *24237:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21040:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24237:D 0.000285738 +2 *21040:X 0.000285738 +3 *24237:D *19892:A 4.33979e-05 +4 *24237:D *21040:A1 7.86847e-05 +5 *24237:D *24237:RESET_B 9.30378e-05 +6 *24237:D *24239:CLK 0.000116971 +7 *24237:D *1650:7 0.000109453 +8 *24237:D *5481:31 0.000332455 +*RES +1 *21040:X *24237:D 38.2334 +*END + +*D_NET *746 0.00196582 +*CONN +*I *24238:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21039:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24238:D 0.000165751 +2 *21039:X 0.000371991 +3 *746:14 0.000264759 +4 *746:13 0.000470999 +5 *24238:D *4918:11 5.13844e-05 +6 *24238:D *4918:15 1.94584e-05 +7 *746:13 *20909:B1 1.88878e-05 +8 *746:13 *21524:A1 0.000421111 +9 *746:13 *4833:21 6.08467e-05 +10 *746:13 *4918:10 0.00012063 +*RES +1 *21039:X *746:13 35.2111 +2 *746:13 *746:14 127.479 +3 *746:14 *24238:D 20.771 +*END + +*D_NET *747 0.000748998 +*CONN +*I *24239:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21038:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24239:D 0.000214198 +2 *21038:X 0.000214198 +3 *24239:D *21038:A1 0.000126245 +4 *24239:D *24239:SET_B 5.56913e-05 +5 *24239:D *5726:29 9.44798e-05 +6 *24239:D *5851:400 4.41867e-05 +*RES +1 *21038:X *24239:D 33.8501 +*END + +*D_NET *748 0.000927008 +*CONN +*I *24240:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21037:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24240:D 0.000150331 +2 *21037:X 0.000150331 +3 *24240:D *21037:A1 0.000186445 +4 *24240:D *24240:CLK 6.12686e-06 +5 *24240:D *1497:155 6.08467e-05 +6 *24240:D *1731:13 9.90695e-05 +7 *24240:D *2180:22 2.65667e-05 +8 *24240:D *4918:49 9.24241e-05 +9 *24240:D *4918:60 9.40209e-05 +10 *24240:D *5387:7 6.08467e-05 +*RES +1 *21037:X *24240:D 33.5473 +*END + +*D_NET *749 0.000762366 +*CONN +*I *24241:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21036:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24241:D 0.00025814 +2 *21036:X 0.00025814 +3 *24241:D *21959:C1 2.16355e-05 +4 *24241:D *1780:44 8.94215e-05 +5 *24241:D *2815:8 8.5809e-05 +6 *24241:D *5851:389 2.7585e-05 +7 *24241:D *5851:400 2.16355e-05 +*RES +1 *21036:X *24241:D 32.2721 +*END + +*D_NET *750 0.000493453 +*CONN +*I *24242:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21032:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24242:D 0.000100892 +2 *21032:X 0.000100892 +3 *24242:D *20990:A 1.82679e-05 +4 *24242:D *21032:A1 0.000122083 +5 *24242:D *3076:28 0.000113096 +6 *24242:D *4908:189 3.82228e-05 +*RES +1 *21032:X *24242:D 31.0235 +*END + +*D_NET *751 0.000702977 +*CONN +*I *24243:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21031:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24243:D 0.000249184 +2 *21031:X 0.000249184 +3 *24243:D *3041:31 6.7034e-05 +4 *24243:D *5853:313 0.000137574 +*RES +1 *21031:X *24243:D 31.8568 +*END + +*D_NET *752 0.000492097 +*CONN +*I *24244:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21030:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24244:D 0.000208793 +2 *21030:X 0.000208793 +3 *24244:D *21030:A2 2.16355e-05 +4 *24244:D *24247:CLK 1.1718e-05 +5 *24244:D *4908:148 4.11567e-05 +*RES +1 *21030:X *24244:D 22.0188 +*END + +*D_NET *753 0.000745878 +*CONN +*I *24245:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21029:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24245:D 0.000269549 +2 *21029:X 0.000269549 +3 *24245:D *21029:A1 8.96314e-06 +4 *24245:D *1551:75 0 +5 *24245:D *2906:74 6.10485e-05 +6 *24245:D *5853:304 0.000136768 +*RES +1 *21029:X *24245:D 33.791 +*END + +*D_NET *754 0.000559833 +*CONN +*I *24246:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21028:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24246:D 0.000121111 +2 *21028:X 0.000121111 +3 *24246:D *24246:CLK 2.16355e-05 +4 *24246:D *1573:113 8.50356e-05 +5 *24246:D *2177:21 6.50586e-05 +6 *24246:D *2467:114 8.50356e-05 +7 *24246:D *5392:7 6.08467e-05 +*RES +1 *21028:X *24246:D 30.7771 +*END + +*D_NET *755 0.000797397 +*CONN +*I *24247:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21027:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24247:D 0.000198961 +2 *21027:X 0.000198961 +3 *24247:D *21027:A1 0.000115451 +4 *24247:D *21027:B1 0.000119049 +5 *24247:D *1583:21 0.000164975 +*RES +1 *21027:X *24247:D 32.1327 +*END + +*D_NET *756 0.00125164 +*CONN +*I *24248:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21026:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24248:D 0.000320154 +2 *21026:X 0.000320154 +3 *24248:D *21026:A2 5.481e-05 +4 *24248:D *1573:113 5.01835e-05 +5 *24248:D *2256:37 5.01835e-05 +6 *24248:D *2467:114 8.92568e-06 +7 *24248:D *2930:17 0.000290446 +8 *24248:D *3153:50 9.85133e-05 +9 *24248:D *5394:8 1.9101e-05 +10 *518:46 *24248:D 3.91685e-05 +*RES +1 *21026:X *24248:D 38.5038 +*END + +*D_NET *757 0.000867929 +*CONN +*I *24249:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21025:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24249:D 0.000217143 +2 *21025:X 0.000217143 +3 *24249:D *21240:A 9.28861e-05 +4 *24249:D *1610:44 3.63855e-05 +5 *24249:D *1610:54 5.45571e-05 +6 *24249:D *2492:7 6.53173e-05 +7 *24249:D *2572:23 0.000164044 +8 *24249:D *4843:352 2.04539e-05 +*RES +1 *21025:X *24249:D 33.5567 +*END + +*D_NET *758 0.00126216 +*CONN +*I *24250:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21021:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24250:D 0.000357524 +2 *21021:X 0.000357524 +3 *24250:D *21021:A1 0.000164829 +4 *24250:D *21021:B1 0.000160617 +5 *24250:D *21021:B2 1.15389e-05 +6 *24250:D *4034:43 4.26441e-06 +7 *24250:D *4862:19 0.000104731 +8 *24250:D *5921:51 0.000101133 +*RES +1 *21021:X *24250:D 34.4905 +*END + +*D_NET *759 0.000544319 +*CONN +*I *24251:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21020:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24251:D 0.000126701 +2 *21020:X 0.000126701 +3 *24251:D *2174:22 0.00012568 +4 *24251:D *4550:40 4.31539e-05 +5 *24251:D *6001:8 0.000122083 +*RES +1 *21020:X *24251:D 31.0235 +*END + +*D_NET *760 0.000594942 +*CONN +*I *24252:D I *D sky130_fd_sc_hd__dfstp_1 +*I *21019:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24252:D 0.000114753 +2 *21019:X 0.000114753 +3 *24252:D *21019:A1 0.000107496 +4 *24252:D *4547:14 0.000130777 +5 *24252:D *6001:8 0.000127164 +*RES +1 *21019:X *24252:D 31.0235 +*END + +*D_NET *761 0.00233382 +*CONN +*I *24253:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21018:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24253:D 0.000876999 +2 *21018:X 0.000876999 +3 *24253:D *24253:RESET_B 0.000555273 +4 *24253:D *24253:CLK 2.45466e-05 +*RES +1 *21018:X *24253:D 31.5013 +*END + +*D_NET *762 0.000623113 +*CONN +*I *24254:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21017:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24254:D 0.000183359 +2 *21017:X 0.000183359 +3 *24254:D *21017:A1 3.62662e-06 +4 *24254:D *2174:9 1.82679e-05 +5 *24254:D *2174:12 0.000115451 +6 *24254:D *4547:19 0.000119049 +*RES +1 *21017:X *24254:D 31.5781 +*END + +*D_NET *763 0.000573952 +*CONN +*I *24255:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21013:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24255:D 0.000104592 +2 *21013:X 0.000104592 +3 *24255:D *21013:A3 0.000169093 +4 *24255:D *2667:32 0.000169093 +5 *24255:D *2900:59 2.65831e-05 +*RES +1 *21013:X *24255:D 31.2994 +*END + +*D_NET *764 0.000696634 +*CONN +*I *24256:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21011:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24256:D 0.000161217 +2 *21011:X 0.000161217 +3 *24256:D *2392:8 0.000122083 +4 *24256:D *4875:89 8.79845e-05 +5 *21011:A2 *24256:D 0.000122083 +6 *23993:A0 *24256:D 4.20506e-05 +*RES +1 *21011:X *24256:D 32.6874 +*END + +*D_NET *765 0.000756737 +*CONN +*I *24257:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21010:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24257:D 0.000183537 +2 *21010:X 0.000183537 +3 *24257:D *21010:B2 0.000169078 +4 *24257:D *2291:15 0.000164829 +5 *24257:D *5794:35 3.03792e-05 +6 *24257:D *5794:37 2.53769e-05 +*RES +1 *21010:X *24257:D 32.4086 +*END + +*D_NET *766 0.000829756 +*CONN +*I *24258:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21009:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24258:D 0.00021672 +2 *21009:X 0.00021672 +3 *24258:D *23999:A1 0.000304983 +4 *24258:D *4877:134 0 +5 *21009:B1 *24258:D 2.16355e-05 +6 *507:25 *24258:D 6.96979e-05 +*RES +1 *21009:X *24258:D 33.791 +*END + +*D_NET *767 0.000479671 +*CONN +*I *24259:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21008:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24259:D 9.99148e-05 +2 *21008:X 9.99148e-05 +3 *24259:D *1419:8 6.66538e-05 +4 *24259:D *5960:19 6.50586e-05 +5 *439:98 *24259:D 0.000137404 +6 *439:104 *24259:D 1.07248e-05 +*RES +1 *21008:X *24259:D 30.8842 +*END + +*D_NET *768 0.00124328 +*CONN +*I *24260:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21007:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24260:D 0.000329032 +2 *21007:X 0.000329032 +3 *24260:D *1419:8 0.000118277 +4 *24260:D *2426:73 0.00042169 +5 *24260:D *3177:55 4.5248e-05 +*RES +1 *21007:X *24260:D 34.3512 +*END + +*D_NET *769 0.000604363 +*CONN +*I *24261:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21006:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24261:D 0.000139632 +2 *21006:X 0.000139632 +3 *24261:D *24261:RESET_B 5.23916e-05 +4 *24261:D *1428:94 0.000120605 +5 *24261:D *2428:53 0.000120605 +6 *24261:D *5871:478 3.14978e-05 +*RES +1 *21006:X *24261:D 32.1327 +*END + +*D_NET *770 0.00105991 +*CONN +*I *24262:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21005:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24262:D 0.000328424 +2 *21005:X 0.000328424 +3 *24262:D *20973:A1 0.000164815 +4 *24262:D *24005:A1 2.23259e-05 +5 *24262:D *24262:RESET_B 3.20069e-06 +6 *24262:D *24262:CLK 6.73022e-05 +7 *24262:D *2899:12 9.60216e-05 +8 *519:11 *24262:D 3.21493e-05 +9 *550:29 *24262:D 1.72464e-05 +*RES +1 *21005:X *24262:D 34.6593 +*END + +*D_NET *771 0.0005861 +*CONN +*I *24263:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21004:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24263:D 0.000113507 +2 *21004:X 0.000113507 +3 *24263:D *24288:RESET_B 0.000122098 +4 *24263:D *2831:11 7.09013e-05 +5 *24263:D *2899:12 5.04879e-05 +6 *520:63 *24263:D 0.000115599 +*RES +1 *21004:X *24263:D 32.6874 +*END + +*D_NET *772 0.000737742 +*CONN +*I *24264:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21003:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24264:D 0.000104806 +2 *21003:X 0.000104806 +3 *24264:D *24009:S 0.00017419 +4 *24264:D *2720:8 0.00017419 +5 *24264:D *2831:11 0.000162583 +6 *520:45 *24264:D 1.71673e-05 +*RES +1 *21003:X *24264:D 32.4086 +*END + +*D_NET *773 0.000426345 +*CONN +*I *24265:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21002:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24265:D 9.10731e-05 +2 *21002:X 9.10731e-05 +3 *24265:D *2859:8 5.35715e-05 +4 *24265:D *2925:8 0.000127849 +5 *24265:D *6027:129 6.27782e-05 +*RES +1 *21002:X *24265:D 30.4689 +*END + +*D_NET *774 0.000416321 +*CONN +*I *24266:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21001:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24266:D 0.000136229 +2 *21001:X 0.000136229 +3 *24266:D *24265:RESET_B 4.27148e-05 +4 *24266:D *2559:8 0.000101148 +*RES +1 *21001:X *24266:D 31.1629 +*END + +*D_NET *775 0.000325188 +*CONN +*I *24267:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *21000:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24267:D 0.000134267 +2 *21000:X 0.000134267 +3 *24267:D *19584:B1 4.1152e-05 +4 *24267:D *2439:10 0 +5 *24267:D *4844:474 1.55025e-05 +*RES +1 *21000:X *24267:D 30.0785 +*END + +*D_NET *776 0.000618595 +*CONN +*I *24268:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20999:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24268:D 0.00013552 +2 *20999:X 0.00013552 +3 *24268:D *20999:A1 8.67924e-06 +4 *24268:D *24268:CLK 0.000200794 +5 *24268:D *5398:7 2.85789e-05 +6 *24073:S *24268:D 0.000109503 +*RES +1 *20999:X *24268:D 22.9833 +*END + +*D_NET *777 0.000342231 +*CONN +*I *24269:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20998:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24269:D 6.11618e-05 +2 *20998:X 6.11618e-05 +3 *24269:D *19564:A0 0.000109954 +4 *24269:D *4813:82 0.000109954 +*RES +1 *20998:X *24269:D 29.7455 +*END + +*D_NET *778 0.00100952 +*CONN +*I *24270:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20997:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24270:D 0.000285704 +2 *20997:X 0.000285704 +3 *24270:D *24270:RESET_B 9.94284e-06 +4 *24270:D *4904:15 5.8973e-05 +5 *24270:D *5403:10 0.00024372 +6 *24270:D *5528:12 1.59723e-05 +7 *476:143 *24270:D 2.99929e-05 +8 *476:161 *24270:D 7.95143e-05 +*RES +1 *20997:X *24270:D 35.4548 +*END + +*D_NET *779 0.00343932 +*CONN +*I *24271:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20996:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24271:D 0.00131292 +2 *20996:X 0.00131292 +3 *24271:D *20996:A2 0.000220682 +4 *24271:D *21649:A 4.19052e-05 +5 *24271:D *24271:RESET_B 1.91114e-05 +6 *24271:D *2282:68 0 +7 *24271:D *2882:74 3.96379e-05 +8 *24271:D *4809:5 0.000250024 +9 *24271:D *4809:7 8.79845e-05 +10 *24271:D *4821:143 0.000154145 +*RES +1 *20996:X *24271:D 45.0951 +*END + +*D_NET *780 0.00108718 +*CONN +*I *24272:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20995:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24272:D 0.000366064 +2 *20995:X 0.000366064 +3 *24272:D *1450:164 3.28416e-06 +4 *24272:D *1899:20 7.87271e-05 +5 *24272:D *2333:38 0.00019364 +6 *24272:D *4909:101 2.16355e-05 +7 *24272:D *4909:109 5.77615e-05 +*RES +1 *20995:X *24272:D 34.9278 +*END + +*D_NET *781 0.000537263 +*CONN +*I *24273:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20994:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24273:D 0.000146066 +2 *20994:X 0.000146066 +3 *24273:D *19564:A0 0.000124157 +4 *24273:D *20994:A2 4.28856e-07 +5 *24273:D *4813:82 0.000120544 +*RES +1 *20994:X *24273:D 31.0235 +*END + +*D_NET *782 0.000680654 +*CONN +*I *24274:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20993:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24274:D 0.000173872 +2 *20993:X 0.000173872 +3 *24274:D *2813:42 0.000108028 +4 *24274:D *4844:215 0.000108028 +5 *24274:D *4904:34 5.44672e-05 +6 *24274:D *5407:10 6.23875e-05 +*RES +1 *20993:X *24274:D 32.6874 +*END + +*D_NET *783 0.000537809 +*CONN +*I *24275:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20992:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24275:D 0.000152937 +2 *20992:X 0.000152937 +3 *24275:D *1899:20 4.07398e-05 +4 *24275:D *2170:48 0.000112999 +5 *24275:D *2479:8 6.78549e-05 +6 *24275:D *5408:5 1.03403e-05 +*RES +1 *20992:X *24275:D 31.1923 +*END + +*D_NET *784 0.00129464 +*CONN +*I *24276:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20988:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24276:D 0.000431292 +2 *20988:X 0.000431292 +3 *24276:D *24276:RESET_B 0.000125145 +4 *24276:D *2535:8 8.01837e-05 +5 *24276:D *2654:17 7.65861e-05 +6 *24276:D *2783:8 7.50722e-05 +7 *24276:D *5815:28 7.50722e-05 +*RES +1 *20988:X *24276:D 45.2154 +*END + +*D_NET *785 0.000352395 +*CONN +*I *24277:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20987:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24277:D 9.8654e-05 +2 *20987:X 9.8654e-05 +3 *24277:D *20987:A1 0.000155087 +*RES +1 *20987:X *24277:D 21.633 +*END + +*D_NET *786 0.00133165 +*CONN +*I *24278:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20986:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24278:D 0.000422952 +2 *20986:X 0.000422952 +3 *24278:D *20986:A1 0.000160617 +4 *24278:D *20986:B1 5.99836e-05 +5 *24278:D *1947:22 4.78069e-06 +6 *24278:D *4550:25 0.000127179 +7 *24278:D *5856:65 2.41274e-06 +8 *495:8 *24278:D 0.000130777 +*RES +1 *20986:X *24278:D 35.4604 +*END + +*D_NET *787 0.00181345 +*CONN +*I *24279:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20985:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24279:D 0.000568156 +2 *20985:X 0.000568156 +3 *24279:D *24279:CLK 0.000202586 +4 *24279:D *1550:45 0.000107496 +5 *24279:D *4700:32 0.00018353 +6 *24279:D *5858:26 0.00018353 +*RES +1 *20985:X *24279:D 38.4483 +*END + +*D_NET *788 0.000944534 +*CONN +*I *24280:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20984:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24280:D 0.000251867 +2 *20984:X 0.000251867 +3 *24280:D *1438:169 0.000247443 +4 *24280:D *1582:43 9.12416e-06 +5 *24280:D *3069:6 7.48876e-06 +6 *24280:D *4700:32 0.00011158 +7 *24280:D *4932:66 6.51637e-05 +*RES +1 *20984:X *24280:D 32.6874 +*END + +*D_NET *789 0.000762454 +*CONN +*I *24281:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20980:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24281:D 0.000210298 +2 *20980:X 0.000210298 +3 *24281:D *20980:A3 0.000150675 +4 *24281:D *24281:CLK 7.16893e-05 +5 *24281:D *2398:63 4.89898e-06 +6 *24281:D *2439:21 2.65667e-05 +7 *24281:D *2446:35 6.50586e-05 +8 *24281:D *3177:55 0 +9 *439:18 *24281:D 5.93547e-06 +10 *499:21 *24281:D 1.19856e-05 +11 *507:21 *24281:D 5.04829e-06 +*RES +1 *20980:X *24281:D 33.4107 +*END + +*D_NET *790 0.0016457 +*CONN +*I *24282:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20978:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24282:D 0.000401077 +2 *20978:X 0.000401077 +3 *24282:D *1428:93 8.50229e-05 +4 *24282:D *2448:176 0.000404533 +5 *20977:A2 *24282:D 7.50722e-05 +6 *518:62 *24282:D 0.000278921 +*RES +1 *20978:X *24282:D 37.2579 +*END + +*D_NET *791 0.00103092 +*CONN +*I *24283:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20977:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24283:D 0.000151569 +2 *20977:X 0.000151569 +3 *24283:D *20977:B2 9.1725e-05 +4 *24283:D *24283:CLK 6.23875e-05 +5 *500:89 *24283:D 6.50586e-05 +6 *532:12 *24283:D 0.00050861 +*RES +1 *20977:X *24283:D 24.7677 +*END + +*D_NET *792 0.000539656 +*CONN +*I *24284:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20976:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24284:D 0.000117695 +2 *20976:X 0.000117695 +3 *24284:D *20976:B2 6.43474e-05 +4 *24284:D *23973:A1 3.40382e-05 +5 *24284:D *2528:20 9.83856e-05 +6 *537:33 *24284:D 0.000107496 +*RES +1 *20976:X *24284:D 30.6083 +*END + +*D_NET *793 0.00079921 +*CONN +*I *24285:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20975:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24285:D 0.000154661 +2 *20975:X 0.000154661 +3 *24285:D *23975:S 0.000122083 +4 *24285:D *24285:RESET_B 2.20702e-05 +5 *24285:D *1573:59 7.48633e-05 +6 *24285:D *4829:50 0.000122083 +7 *24285:D *4878:118 0.00014879 +*RES +1 *20975:X *24285:D 33.7966 +*END + +*D_NET *794 0.00254818 +*CONN +*I *24286:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20974:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24286:D 0.000662323 +2 *20974:X 0.000662323 +3 *24286:D *2585:31 0.000259416 +4 *23977:A0 *24286:D 4.15454e-05 +5 *439:194 *24286:D 0.000192543 +6 *439:212 *24286:D 0.000358189 +7 *511:10 *24286:D 0.000371845 +*RES +1 *20974:X *24286:D 44.5377 +*END + +*D_NET *795 0.000694485 +*CONN +*I *24287:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20973:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24287:D 0.000173973 +2 *20973:X 0.000173973 +3 *24287:D *24287:RESET_B 0.000120636 +4 *24287:D *1439:194 0.000112952 +5 *20973:A2 *24287:D 0.000112952 +*RES +1 *20973:X *24287:D 33.7966 +*END + +*D_NET *796 0.00033772 +*CONN +*I *24288:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20972:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24288:D 0.000104666 +2 *20972:X 0.000104666 +3 *24288:D *1439:194 2.55493e-05 +4 *24288:D *2720:11 6.50727e-05 +5 *23981:A0 *24288:D 3.77659e-05 +*RES +1 *20972:X *24288:D 30.0537 +*END + +*D_NET *797 0.00100692 +*CONN +*I *24289:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20971:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24289:D 0.000274626 +2 *20971:X 0.000274626 +3 *24289:D *20364:A1 0.000191021 +4 *24289:D *5871:286 5.85045e-05 +5 *23985:A0 *24289:D 9.75356e-05 +6 *520:63 *24289:D 0.00010051 +7 *521:18 *24289:D 1.00937e-05 +*RES +1 *20971:X *24289:D 34.1047 +*END + +*D_NET *798 0.000787625 +*CONN +*I *24290:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20970:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24290:D 0.000266918 +2 *20970:X 0.000266918 +3 *24290:D *21326:B1 0.000132202 +4 *24290:D *2385:10 2.55493e-05 +5 *24290:D *4875:35 9.60366e-05 +*RES +1 *20970:X *24290:D 32.8267 +*END + +*D_NET *799 0.000414279 +*CONN +*I *24291:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20969:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24291:D 0.000135846 +2 *20969:X 0.000135846 +3 *24291:D *24291:RESET_B 6.50586e-05 +4 *24291:D *24291:CLK 1.31657e-05 +5 *20970:B1 *24291:D 3.77804e-05 +6 *439:154 *24291:D 2.65831e-05 +*RES +1 *20969:X *24291:D 30.7771 +*END + +*D_NET *800 0.000741386 +*CONN +*I *24292:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20968:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24292:D 0.000323167 +2 *20968:X 0.000323167 +3 *24292:D *20969:B2 6.50586e-05 +4 *24292:D *2333:18 0 +5 *24292:D *2899:12 0 +6 *24292:D *5965:6 2.99929e-05 +*RES +1 *20968:X *24292:D 34.6215 +*END + +*D_NET *801 0.000536073 +*CONN +*I *24293:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20967:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24293:D 0.000188643 +2 *20967:X 0.000188643 +3 *24293:D *24266:RESET_B 6.52025e-05 +4 *24293:D *2439:10 0 +5 *24293:D *4875:46 9.35846e-05 +*RES +1 *20967:X *24293:D 32.465 +*END + +*D_NET *802 0.000972502 +*CONN +*I *24294:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20964:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24294:D 0.000247105 +2 *20964:X 0.000247105 +3 *24294:D *20959:B2 4.99151e-05 +4 *24294:D *20964:B2 6.87503e-05 +5 *24294:D *1591:7 0.000251655 +6 *24294:D *5861:336 0.000107971 +*RES +1 *20964:X *24294:D 32.6874 +*END + +*D_NET *803 0.000499934 +*CONN +*I *24295:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20963:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24295:D 0.000135594 +2 *20963:X 0.000135594 +3 *24295:D *20690:A 4.87301e-05 +4 *24295:D *1742:86 0.000123135 +5 *24295:D *2433:58 2.37478e-05 +6 *24295:D *2631:11 8.63353e-06 +7 *24295:D *5853:227 2.45002e-05 +*RES +1 *20963:X *24295:D 31.0235 +*END + +*D_NET *804 0.00101252 +*CONN +*I *24296:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20962:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24296:D 0.000161502 +2 *20962:X 0.000161502 +3 *24296:D *20962:B2 6.08467e-05 +4 *24296:D *21804:A 6.08467e-05 +5 *24296:D *2245:17 0.000164733 +6 *24296:D *2297:52 6.23875e-05 +7 *24296:D *4844:182 6.50586e-05 +8 *24296:D *5416:5 0.000107496 +9 *24296:D *5861:330 0.000168144 +*RES +1 *20962:X *24296:D 33.5473 +*END + +*D_NET *805 0.00070512 +*CONN +*I *24297:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20961:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24297:D 0.000186957 +2 *20961:X 0.000186957 +3 *24297:D *20961:A1 0.000253439 +4 *24297:D *1608:107 7.25274e-05 +5 *24297:D *2319:26 2.0388e-06 +6 *24297:D *2635:35 3.20069e-06 +*RES +1 *20961:X *24297:D 31.3022 +*END + +*D_NET *806 0.000415483 +*CONN +*I *24298:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20960:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24298:D 0.000114328 +2 *20960:X 0.000114328 +3 *24298:D *1742:93 0.000106413 +4 *24298:D *4820:83 1.47773e-05 +5 *24298:D *5418:10 6.56365e-05 +*RES +1 *20960:X *24298:D 30.4689 +*END + +*D_NET *807 0.00077213 +*CONN +*I *24299:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20959:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24299:D 0.000220412 +2 *20959:X 0.000220412 +3 *24299:D *20959:B1 8.09337e-05 +4 *24299:D *3257:28 1.21461e-06 +5 *24299:D *3257:36 4.31485e-06 +6 *24299:D *4845:250 9.49135e-05 +7 *24299:D *5419:9 0.000111708 +8 *24299:D *5853:208 3.82228e-05 +*RES +1 *20959:X *24299:D 32.4409 +*END + +*D_NET *808 0.000324428 +*CONN +*I *24300:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20958:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24300:D 0.000109736 +2 *20958:X 0.000109736 +3 *24300:D *20958:A1 1.03434e-05 +4 *24300:D *4903:162 7.92757e-06 +5 *24300:D *4903:166 1.03403e-05 +6 *24300:D *5420:5 7.63448e-05 +*RES +1 *20958:X *24300:D 21.4642 +*END + +*D_NET *809 0.000846835 +*CONN +*I *24301:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20957:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24301:D 0.000146509 +2 *20957:X 0.000146509 +3 *24301:D *2245:24 0.000172431 +4 *24301:D *2494:17 5.481e-05 +5 *24301:D *2545:48 0.000154145 +6 *24301:D *4826:74 0.000172431 +*RES +1 *20957:X *24301:D 32.8239 +*END + +*D_NET *810 0.000618809 +*CONN +*I *24302:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20953:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24302:D 0.00014565 +2 *20953:X 0.00014565 +3 *24302:D *24302:RESET_B 0.00010553 +4 *24302:D *24302:CLK 1.20637e-06 +5 *24302:D *2158:8 4.94526e-05 +6 *24302:D *2645:12 0.000120605 +7 *24302:D *2928:24 5.0715e-05 +*RES +1 *20953:X *24302:D 32.6874 +*END + +*D_NET *811 0.00107348 +*CONN +*I *24303:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20952:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24303:D 0.000299059 +2 *20952:X 0.000299059 +3 *24303:D *24303:RESET_B 3.67474e-06 +4 *24303:D *2426:68 0.000144629 +5 *24303:D *2970:8 2.18945e-05 +6 *24303:D *3177:63 0.000266115 +7 *24303:D *5870:341 3.90477e-05 +*RES +1 *20952:X *24303:D 37.1242 +*END + +*D_NET *812 0.00120002 +*CONN +*I *24304:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20951:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24304:D 0.000388181 +2 *20951:X 0.000388181 +3 *24304:D *20951:A1 0.000111802 +4 *24304:D *20951:B1 1.17054e-05 +5 *24304:D *20951:B2 4.58003e-05 +6 *24304:D *21717:A1_N 7.92757e-06 +7 *24304:D *2645:12 4.52302e-05 +8 *24304:D *4917:21 0.000119553 +9 *24304:D *5856:415 6.50727e-05 +10 *24304:D *5960:47 1.65698e-05 +*RES +1 *20951:X *24304:D 35.0746 +*END + +*D_NET *813 0.00137352 +*CONN +*I *24305:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20950:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24305:D 0.000507267 +2 *20950:X 0.000507267 +3 *24305:D *20950:A1 7.50722e-05 +4 *24305:D *24305:CLK 0.000161534 +5 *24305:D *5854:365 0.000122378 +*RES +1 *20950:X *24305:D 37.21 +*END + +*D_NET *814 0.000380399 +*CONN +*I *24306:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20949:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24306:D 0.000159741 +2 *20949:X 0.000159741 +3 *24306:D *20949:B1 4.65318e-05 +4 *24306:D *4917:58 1.43848e-05 +*RES +1 *20949:X *24306:D 21.633 +*END + +*D_NET *815 0.000689241 +*CONN +*I *24307:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20945:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24307:D 0.000198147 +2 *20945:X 0.000198147 +3 *24307:D *2468:54 7.31578e-05 +4 *24307:D *4872:78 0.000109895 +5 *24307:D *5924:40 0.000109895 +*RES +1 *20945:X *24307:D 33.242 +*END + +*D_NET *816 0.000527493 +*CONN +*I *24308:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20944:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24308:D 0.000152827 +2 *20944:X 0.000152827 +3 *24308:D *20944:A2 6.58209e-05 +4 *24308:D *20944:B1 4.2372e-05 +5 *24308:D *2520:53 0 +6 *24308:D *4872:78 0.000113646 +*RES +1 *20944:X *24308:D 31.0235 +*END + +*D_NET *817 0.000575475 +*CONN +*I *24309:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20943:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24309:D 0.00026006 +2 *20943:X 0.00026006 +3 *24309:D *5429:15 5.53541e-05 +*RES +1 *20943:X *24309:D 22.5734 +*END + +*D_NET *818 0.000380268 +*CONN +*I *24310:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20942:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24310:D 0.00014143 +2 *20942:X 0.00014143 +3 *24310:D *20942:B1 5.61389e-05 +4 *24310:D *24310:CLK 3.07848e-05 +5 *24310:D *2155:37 1.04833e-05 +*RES +1 *20942:X *24310:D 21.633 +*END + +*D_NET *819 0.00119796 +*CONN +*I *24311:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20941:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24311:D 0.000354191 +2 *20941:X 0.000354191 +3 *24311:D *20941:A1 0.000316242 +4 *24311:D *20941:B1 4.70475e-05 +5 *24311:D *1418:79 2.42863e-05 +6 *24311:D *4816:124 0.000101998 +*RES +1 *20941:X *24311:D 34.4905 +*END + +*D_NET *820 0.00110712 +*CONN +*I *24312:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20940:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24312:D 0.000333659 +2 *20940:X 0.000333659 +3 *24312:D *20940:A1 0.000171273 +4 *24312:D *20940:B1 2.71504e-05 +5 *24312:D *20940:B2 3.82228e-05 +6 *24312:D *2906:52 0.000101987 +7 *24312:D *4815:68 9.83892e-05 +8 *21998:B2 *24312:D 2.77625e-06 +*RES +1 *20940:X *24312:D 33.9359 +*END + +*D_NET *821 0.000713381 +*CONN +*I *24313:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20939:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24313:D 0.000186646 +2 *20939:X 0.000186646 +3 *24313:D *20652:A 8.79845e-05 +4 *24313:D *24313:CLK 4.20506e-05 +5 *24313:D *2297:71 0.000106732 +6 *24313:D *2676:20 0.000103322 +*RES +1 *20939:X *24313:D 32.6874 +*END + +*D_NET *822 0.00108973 +*CONN +*I *24314:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20938:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24314:D 0.0002893 +2 *20938:X 0.0002893 +3 *24314:D *2287:94 5.481e-05 +4 *24314:D *3153:112 0.000148576 +5 *24314:D *4818:48 0.000145165 +6 *24314:D *5904:45 0.000162583 +*RES +1 *20938:X *24314:D 34.2412 +*END + +*D_NET *823 0.00255317 +*CONN +*I *24315:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20934:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24315:D 0 +2 *20934:X 0.000754054 +3 *823:20 0.000754054 +4 *823:20 *20934:A2 0.000149133 +5 *823:20 *1521:28 9.60216e-05 +6 *823:20 *2173:9 4.11147e-05 +7 *823:20 *2655:19 3.40764e-05 +8 *823:20 *3857:49 9.96342e-05 +9 *823:20 *4665:57 0 +10 *823:20 *5856:88 1.57481e-05 +11 *823:20 *5861:112 8.19981e-05 +12 *823:20 *5861:127 0.000527338 +*RES +1 *20934:X *823:20 44.5235 +2 *823:20 *24315:D 9.24915 +*END + +*D_NET *824 0.000512802 +*CONN +*I *24316:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20933:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24316:D 0.000173964 +2 *20933:X 0.000173964 +3 *24316:D *20933:B1 0.000156946 +4 *24316:D *24316:CLK 7.92757e-06 +*RES +1 *20933:X *24316:D 21.4642 +*END + +*D_NET *825 0.00283712 +*CONN +*I *24317:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20932:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24317:D 0.000963272 +2 *20932:X 0.000963272 +3 *24317:D *20932:B1 0.000209232 +4 *24317:D *24315:CLK 1.41976e-05 +5 *24317:D *4932:127 0.000668962 +6 *24317:D *4957:5 1.81843e-05 +7 *24317:D *5861:127 0 +*RES +1 *20932:X *24317:D 39.2598 +*END + +*D_NET *826 0.000581321 +*CONN +*I *24318:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20931:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24318:D 0.000162892 +2 *20931:X 0.000162892 +3 *24318:D *20930:A1 4.49829e-06 +4 *24318:D *20931:A1 3.58208e-05 +5 *24318:D *3027:17 7.86847e-05 +6 *24318:D *3059:11 6.50586e-05 +7 *24318:D *3533:95 7.14746e-05 +*RES +1 *20931:X *24318:D 30.9948 +*END + +*D_NET *827 0.00127864 +*CONN +*I *24319:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20930:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24319:D 0.00039801 +2 *20930:X 0.00039801 +3 *24319:D *20930:A1 0.000161234 +4 *24319:D *24318:CLK 7.03458e-05 +5 *24319:D *1809:80 9.69016e-05 +6 *24319:D *5867:21 0.000154136 +*RES +1 *20930:X *24319:D 35.0451 +*END + +*D_NET *828 0.00142066 +*CONN +*I *24320:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20926:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *24320:D 0.000633321 +2 *20926:X 0.000633321 +3 *24320:D *19944:A 1.32043e-05 +4 *24320:D *24170:CLK 5.23753e-06 +5 *24320:D *1701:20 5.67722e-05 +6 *24320:D *2667:32 2.8322e-05 +7 *24320:D *3093:17 1.06769e-05 +8 *460:82 *24320:D 4.78069e-06 +9 *531:58 *24320:D 3.5023e-05 +*RES +1 *20926:X *24320:D 37.7224 +*END + +*D_NET *829 0.000611981 +*CONN +*I *24321:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20924:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24321:D 0.000142468 +2 *20924:X 0.000142468 +3 *24321:D *1912:10 0.00011158 +4 *24321:D *2554:8 0.000107968 +5 *24321:D *5861:319 0.000107496 +*RES +1 *20924:X *24321:D 31.0235 +*END + +*D_NET *830 0.00064251 +*CONN +*I *24322:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20923:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24322:D 0.000173496 +2 *20923:X 0.000173496 +3 *24322:D *24322:SET_B 6.36477e-05 +4 *24322:D *4902:19 0 +5 *24322:D *4960:11 0.000117376 +6 *24322:D *5924:42 0.000114495 +*RES +1 *20923:X *24322:D 31.7469 +*END + +*D_NET *831 0.000842089 +*CONN +*I *24323:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20922:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24323:D 0.000246891 +2 *20922:X 0.000246891 +3 *24323:D *24323:CLK 2.81494e-05 +4 *24323:D *1629:30 9.12416e-06 +5 *24323:D *2584:17 0.000271044 +6 *24323:D *4961:8 3.99885e-05 +*RES +1 *20922:X *24323:D 32.6874 +*END + +*D_NET *832 0.000319864 +*CONN +*I *24324:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20921:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24324:D 9.67297e-05 +2 *20921:X 9.67297e-05 +3 *24324:D *20921:A1 7.97866e-05 +4 *24324:D *20921:A2 4.65954e-06 +5 *24324:D *4901:94 1.41181e-05 +6 *24324:D *4901:102 2.78407e-05 +*RES +1 *20921:X *24324:D 21.4642 +*END + +*D_NET *833 0.000577049 +*CONN +*I *24325:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20920:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24325:D 0.000271269 +2 *20920:X 0.000271269 +3 *24325:D *20920:A2 2.16355e-05 +4 *24325:D *4963:7 1.28748e-05 +*RES +1 *20920:X *24325:D 22.5734 +*END + +*D_NET *834 0.000330427 +*CONN +*I *24326:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20919:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24326:D 6.4104e-05 +2 *20919:X 6.4104e-05 +3 *24326:D *20919:A1 4.11567e-05 +4 *24326:D *20919:B1 0 +5 *24326:D *24326:CLK 6.78549e-05 +6 *24326:D *2287:43 9.32076e-05 +*RES +1 *20919:X *24326:D 21.4642 +*END + +*D_NET *835 0.00137412 +*CONN +*I *24327:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20918:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24327:D 0.00042043 +2 *20918:X 0.00042043 +3 *24327:D *20918:A2 5.56461e-05 +4 *24327:D *20918:B1 2.61012e-05 +5 *24327:D *21301:A 0.000300565 +6 *24327:D *2081:6 4.14041e-05 +7 *24327:D *2245:11 7.02172e-06 +8 *480:207 *24327:D 0.000102525 +*RES +1 *20918:X *24327:D 35.5997 +*END + +*D_NET *836 0.000750772 +*CONN +*I *24328:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20917:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24328:D 0.000220921 +2 *20917:X 0.000220921 +3 *24328:D *21238:A 6.50586e-05 +4 *24328:D *2149:23 0 +5 *24328:D *4902:57 8.97257e-05 +6 *24328:D *4966:9 0.000154145 +*RES +1 *20917:X *24328:D 31.7175 +*END + +*D_NET *837 0.00152225 +*CONN +*I *24329:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20913:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24329:D 0.000391555 +2 *20913:X 0.000391555 +3 *24329:D *1497:155 0.000491373 +4 *24329:D *1740:36 0.00012568 +5 *24329:D *2450:29 0.000122083 +*RES +1 *20913:X *24329:D 35.4604 +*END + +*D_NET *838 0.00103024 +*CONN +*I *24330:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20912:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24330:D 0.000373328 +2 *20912:X 0.000373328 +3 *24330:D *20912:A1 2.93863e-05 +4 *24330:D *20912:B2 6.44964e-06 +5 *24330:D *1488:8 0.000122068 +6 *24330:D *5860:482 0.00012568 +*RES +1 *20912:X *24330:D 34.3512 +*END + +*D_NET *839 0.000609085 +*CONN +*I *24331:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20911:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24331:D 0.000130741 +2 *20911:X 0.000130741 +3 *24331:D *20911:A1 0.000113968 +4 *24331:D *24939:A 3.31733e-05 +5 *24331:D *1651:16 4.87198e-05 +6 *24331:D *1731:136 0.000151741 +*RES +1 *20911:X *24331:D 31.4388 +*END + +*D_NET *840 0.00078741 +*CONN +*I *24332:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20910:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24332:D 0.000186393 +2 *20910:X 0.000186393 +3 *24332:D *1492:121 0.000143875 +4 *24332:D *1742:30 2.65831e-05 +5 *24332:D *2547:10 0.000122083 +6 *24332:D *5854:489 0.000122083 +*RES +1 *20910:X *24332:D 33.7966 +*END + +*D_NET *841 0.00100396 +*CONN +*I *24333:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20909:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24333:D 0.000214895 +2 *20909:X 0.000214895 +3 *24333:D *21524:C1 0.000151467 +4 *24333:D *1731:20 4.37999e-05 +5 *24333:D *2145:42 0.000264938 +6 *24333:D *2443:17 0.000113968 +*RES +1 *20909:X *24333:D 33.9303 +*END + +*D_NET *842 0.00128446 +*CONN +*I *24334:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20905:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24334:D 0.00043965 +2 *20905:X 0.00043965 +3 *24334:D *24186:RESET_B 0 +4 *24334:D *2291:25 0.000160617 +5 *24334:D *2333:28 0.000244537 +6 *24334:D *5871:749 0 +*RES +1 *20905:X *24334:D 39.0527 +*END + +*D_NET *843 0.000732435 +*CONN +*I *24335:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20903:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24335:D 0.000167582 +2 *20903:X 0.000167582 +3 *24335:D *19825:A 5.26029e-05 +4 *24335:D *20903:A1 7.50722e-05 +5 *24335:D *20903:B2 0.000154145 +6 *510:55 *24335:D 0.000115451 +*RES +1 *20903:X *24335:D 31.5781 +*END + +*D_NET *844 0.000545519 +*CONN +*I *24336:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20902:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24336:D 0.000148541 +2 *20902:X 0.000148541 +3 *24336:D *20902:A1 1.4091e-06 +4 *24336:D *20902:A2 4.67853e-06 +5 *24336:D *20902:B1 0 +6 *24336:D *24336:SET_B 2.16355e-05 +7 *24336:D *24336:CLK 0.000164829 +8 *24336:D *4973:5 1.00846e-05 +9 *24336:D *5864:524 4.58003e-05 +*RES +1 *20902:X *24336:D 22.5975 +*END + +*D_NET *845 0.00054912 +*CONN +*I *24337:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20901:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24337:D 0.000134121 +2 *20901:X 0.000134121 +3 *24337:D *20902:A2 7.54269e-06 +4 *24337:D *1652:34 5.74544e-05 +5 *24337:D *1652:41 2.29182e-05 +6 *24337:D *2141:54 5.04829e-06 +7 *24337:D *2924:51 0.000124267 +8 *24337:D *4843:421 6.36477e-05 +*RES +1 *20901:X *24337:D 31.0235 +*END + +*D_NET *846 0.000931874 +*CONN +*I *24338:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20900:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24338:D 0.000220135 +2 *20900:X 0.000220135 +3 *24338:D *20900:A1 7.50872e-05 +4 *24338:D *20900:A2 5.04829e-06 +5 *24338:D *2301:30 0.000307398 +6 *535:25 *24338:D 0.00010407 +*RES +1 *20900:X *24338:D 34.3456 +*END + +*D_NET *847 0.000404133 +*CONN +*I *24339:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20899:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24339:D 9.96943e-05 +2 *20899:X 9.96943e-05 +3 *24339:D *20899:A1 0.00014067 +4 *24339:D *2814:15 5.90255e-05 +5 *24339:D *4976:5 5.04829e-06 +*RES +1 *20899:X *24339:D 21.633 +*END + +*D_NET *848 0.000639284 +*CONN +*I *24340:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20898:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24340:D 0.000165911 +2 *20898:X 0.000165911 +3 *24340:D *21418:A 2.16355e-05 +4 *24340:D *1652:41 0.000115508 +5 *24340:D *4843:407 0.000115508 +6 *24340:D *4977:5 5.481e-05 +*RES +1 *20898:X *24340:D 32.1327 +*END + +*D_NET *849 0.000777003 +*CONN +*I *24341:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20897:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24341:D 0.000173046 +2 *20897:X 0.000173046 +3 *24341:D *24341:RESET_B 9.03915e-05 +4 *24341:D *2478:11 0.000109503 +5 *324:19 *24341:D 0.000115508 +6 *510:51 *24341:D 0.000115508 +*RES +1 *20897:X *24341:D 33.242 +*END + +*D_NET *850 0.000574857 +*CONN +*I *24342:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20896:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24342:D 0.000122412 +2 *20896:X 0.000122412 +3 *24342:D *24342:RESET_B 0.000154145 +4 *24342:D *24342:CLK 1.71806e-05 +5 *24342:D *2468:111 4.31485e-06 +6 *24342:D *5853:277 6.83813e-05 +7 *510:51 *24342:D 8.60109e-05 +*RES +1 *20896:X *24342:D 31.1629 +*END + +*D_NET *851 0.0030778 +*CONN +*I *24343:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20892:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24343:D 0.000787374 +2 *20892:X 0.000787374 +3 *24343:D *20892:B1 2.79253e-05 +4 *24343:D *24318:CLK 5.13844e-05 +5 *24343:D *24343:RESET_B 1.4091e-06 +6 *24343:D *24382:CLK 4.07765e-05 +7 *24343:D *1550:73 0.000311249 +8 *24343:D *1597:15 4.29846e-05 +9 *24343:D *2616:28 9.32891e-05 +10 *24343:D *2660:26 0.000298318 +11 *24343:D *2963:64 0.000279364 +12 *24343:D *2963:71 9.71323e-06 +13 *24343:D *4933:36 0.000140436 +14 *24343:D *4933:45 6.94439e-05 +15 *24343:D *4933:56 0.000136758 +*RES +1 *20892:X *24343:D 46.7912 +*END + +*D_NET *852 0.000864637 +*CONN +*I *24344:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20891:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24344:D 0.000203227 +2 *20891:X 0.000203227 +3 *24344:D *24549:CLK 0.000154145 +4 *24344:D *1438:132 5.97576e-05 +5 *24344:D *1521:36 6.70429e-05 +6 *24344:D *1729:64 0.000177238 +*RES +1 *20891:X *24344:D 33.5567 +*END + +*D_NET *853 0.00176419 +*CONN +*I *24345:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20890:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24345:D 0.00045264 +2 *20890:X 0.00045264 +3 *24345:D *24345:SET_B 2.16355e-05 +4 *24345:D *1625:106 6.08467e-05 +5 *24345:D *4862:8 0.000122083 +6 *24345:D *5851:36 0.000535856 +7 *24345:D *5921:45 0.000118485 +*RES +1 *20890:X *24345:D 36.7384 +*END + +*D_NET *854 0.0008712 +*CONN +*I *24346:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20889:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24346:D 0.000227519 +2 *20889:X 0.000227519 +3 *24346:D *20889:A1 0.000154145 +4 *24346:D *20889:B1 6.08467e-05 +5 *24346:D *1729:64 9.60216e-05 +6 *24346:D *2531:35 5.51483e-06 +7 *24346:D *2933:10 9.96342e-05 +*RES +1 *20889:X *24346:D 32.2721 +*END + +*D_NET *855 0.000597636 +*CONN +*I *24347:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20888:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24347:D 0.000120618 +2 *20888:X 0.000120618 +3 *24347:D *1689:94 0.000124145 +4 *24347:D *1947:115 0.000120548 +5 *24347:D *5852:125 0.000111708 +*RES +1 *20888:X *24347:D 31.0235 +*END + +*D_NET *856 0.00100049 +*CONN +*I *24348:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20884:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24348:D 0.000307802 +2 *20884:X 0.000307802 +3 *24348:D *20884:A1 0.000162583 +4 *24348:D *20884:B2 3.61993e-05 +5 *24348:D *1800:184 5.0459e-05 +6 *24348:D *2809:14 0.00012568 +7 *24348:D *4840:100 4.91225e-06 +8 *24348:D *5105:9 5.04829e-06 +*RES +1 *20884:X *24348:D 33.7966 +*END + +*D_NET *857 0.00183094 +*CONN +*I *24349:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20883:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24349:D 0.000447271 +2 *20883:X 0.000447271 +3 *24349:D *21312:A 0.000154145 +4 *24349:D *1607:14 0 +5 *24349:D *2263:9 0.000313996 +6 *24349:D *4826:25 0.000114449 +7 *24349:D *4986:11 7.50872e-05 +8 *24349:D *5251:31 0.000162975 +9 *24349:D *5859:20 0.000115746 +*RES +1 *20883:X *24349:D 39.5114 +*END + +*D_NET *858 0.000729925 +*CONN +*I *24350:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20882:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24350:D 0.000193462 +2 *20882:X 0.000193462 +3 *24350:D *20884:A1 5.04829e-06 +4 *24350:D *2566:25 8.35646e-05 +5 *24350:D *2985:8 0.000127194 +6 *24350:D *5918:24 0.000127194 +*RES +1 *20882:X *24350:D 33.7966 +*END + +*D_NET *859 0.000356635 +*CONN +*I *24351:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20881:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24351:D 7.53856e-05 +2 *20881:X 7.53856e-05 +3 *24351:D *2487:14 0.000101133 +4 *24351:D *4831:67 0.000104731 +*RES +1 *20881:X *24351:D 30.0537 +*END + +*D_NET *860 0.000725607 +*CONN +*I *24352:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20880:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24352:D 0.0002507 +2 *20880:X 0.0002507 +3 *24352:D *20500:A 0 +4 *24352:D *20880:B1 6.53173e-05 +5 *24352:D *5866:529 1.58551e-05 +6 *24352:D *5866:543 1.58551e-05 +7 *24352:D *5918:30 0.000127179 +*RES +1 *20880:X *24352:D 33.7966 +*END + +*D_NET *861 0.000949482 +*CONN +*I *24353:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20879:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24353:D 0.000234366 +2 *20879:X 0.000234366 +3 *24353:D *20739:A 0.000115508 +4 *24353:D *2135:26 6.50586e-05 +5 *24353:D *2294:12 7.03223e-05 +6 *24353:D *4897:58 7.14746e-05 +7 *24353:D *4897:59 0.000136298 +8 *24353:D *5867:549 1.66626e-05 +9 *24353:D *5891:26 5.42506e-06 +*RES +1 *20879:X *24353:D 34.52 +*END + +*D_NET *862 0.00086205 +*CONN +*I *24354:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20878:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24354:D 0.000239624 +2 *20878:X 0.000239624 +3 *24354:D *20878:A1 0.000169078 +4 *24354:D *2135:50 0.000102003 +5 *24354:D *2135:70 0.000111722 +6 *24354:D *2375:12 0 +*RES +1 *20878:X *24354:D 32.9632 +*END + +*D_NET *863 0.000510983 +*CONN +*I *24355:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20877:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24355:D 0.000216084 +2 *20877:X 0.000216084 +3 *24355:D *24355:CLK 2.99978e-05 +4 *24355:D *2135:20 4.88171e-05 +*RES +1 *20877:X *24355:D 22.0188 +*END + +*D_NET *864 0.000665656 +*CONN +*I *24356:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20873:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24356:D 0.000259182 +2 *20873:X 0.000259182 +3 *24356:D *2759:12 4.61732e-05 +4 *24356:D *5855:343 0.000101118 +*RES +1 *20873:X *24356:D 32.2721 +*END + +*D_NET *865 0.00147599 +*CONN +*I *24357:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20866:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24357:D 0.000294319 +2 *20866:X 0.000294319 +3 *24357:D *24357:CLK 6.98337e-06 +4 *24357:D *1624:38 0.000141895 +5 *24357:D *2592:6 0.000132046 +6 *24357:D *3065:19 0.000132046 +7 *24357:D *3529:159 0.000200794 +8 *24357:D *4932:31 6.85631e-05 +9 *24357:D *5861:97 0.00020502 +*RES +1 *20866:X *24357:D 37.1536 +*END + +*D_NET *866 0.0011937 +*CONN +*I *24358:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20865:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24358:D 0.000464812 +2 *20865:X 0.000464812 +3 *24358:D *20865:A1 2.47224e-05 +4 *24358:D *20865:B1 7.98171e-06 +5 *24358:D *20865:B2 1.58551e-05 +6 *24358:D *2616:13 9.80242e-07 +7 *24358:D *2662:14 4.58003e-05 +8 *24358:D *2783:24 5.0459e-05 +9 *24358:D *3028:16 0.000118277 +*RES +1 *20865:X *24358:D 35.4604 +*END + +*D_NET *867 0.00152033 +*CONN +*I *24359:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20864:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24359:D 0.000455428 +2 *20864:X 0.000455428 +3 *24359:D *20864:A2 3.82228e-05 +4 *24359:D *20864:B1 0.000203756 +5 *24359:D *24361:CLK 1.34424e-05 +6 *24359:D *2807:8 0.000145462 +7 *24359:D *3028:16 2.48583e-05 +8 *24359:D *3531:157 8.94907e-05 +9 *24359:D *4932:89 1.03403e-05 +10 *24359:D *5867:46 8.39059e-05 +*RES +1 *20864:X *24359:D 37.1536 +*END + +*D_NET *868 0.00183598 +*CONN +*I *24360:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20863:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24360:D 0.000351742 +2 *20863:X 0.000351742 +3 *24360:D *1729:51 0.000209312 +4 *24360:D *3040:10 0.000463295 +5 *24360:D *4932:14 0.000307121 +6 *24360:D *4932:49 0.000152763 +*RES +1 *20863:X *24360:D 38.7768 +*END + +*D_NET *869 0.000949727 +*CONN +*I *24361:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20862:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24361:D 0.000268641 +2 *20862:X 0.000268641 +3 *24361:D *20862:A1 6.94062e-06 +4 *24361:D *20862:A2 0.000205121 +5 *24361:D *1566:68 9.83856e-05 +6 *24361:D *2608:14 0.000101998 +*RES +1 *20862:X *24361:D 32.8267 +*END + +*D_NET *870 0.000597597 +*CONN +*I *24362:D I *D sky130_fd_sc_hd__dfstp_2 +*I *20858:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24362:D 0.000136167 +2 *20858:X 0.000136167 +3 *24362:D *20858:B2 0.000113968 +4 *24362:D *1419:6 0.000148129 +5 *24362:D *3093:12 6.31665e-05 +*RES +1 *20858:X *24362:D 31.4388 +*END + +*D_NET *871 0.000694569 +*CONN +*I *24363:D I *D sky130_fd_sc_hd__dfstp_2 +*I *20857:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *24363:D 0.000228366 +2 *20857:Y 0.000228366 +3 *24363:D *2112:11 6.88284e-05 +4 *24363:D *2397:76 4.84618e-05 +5 *518:46 *24363:D 0.000120548 +*RES +1 *20857:Y *24363:D 32.1327 +*END + +*D_NET *872 0.000702799 +*CONN +*I *24364:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20853:X O *D sky130_fd_sc_hd__o311a_1 +*CAP +1 *24364:D 0.000178845 +2 *20853:X 0.000178845 +3 *24364:D *20816:A 0.00016361 +4 *24364:D *2108:33 5.05976e-05 +5 *24364:D *2978:97 2.65831e-05 +6 *24364:D *3177:27 4.42987e-06 +7 *24364:D *3479:10 9.49244e-05 +8 *24364:D *5948:30 4.96469e-06 +*RES +1 *20853:X *24364:D 32.8561 +*END + +*D_NET *873 0.00079439 +*CONN +*I *24365:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20851:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *24365:D 0.000300733 +2 *20851:Y 0.000300733 +3 *24365:D *20853:A1 1.21831e-05 +4 *24365:D *2063:86 3.7482e-05 +5 *24365:D *2925:12 1.50651e-05 +6 *24365:D *3177:27 5.81224e-05 +7 *24365:D *5949:41 7.00709e-05 +*RES +1 *20851:Y *24365:D 33.7938 +*END + +*D_NET *874 0.00119677 +*CONN +*I *24366:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20849:X O *D sky130_fd_sc_hd__o21ba_1 +*CAP +1 *24366:D 0.000352085 +2 *20849:X 0.000352085 +3 *24366:D *22111:B 0.000357911 +4 *24366:D *1450:122 4.35394e-05 +5 *24366:D *3091:10 1.4091e-06 +6 *24366:D *5857:189 1.82618e-05 +7 *24366:D *5953:8 7.14746e-05 +*RES +1 *20849:X *24366:D 34.3512 +*END + +*D_NET *875 0.000418722 +*CONN +*I *24367:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20847:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *24367:D 0.000102015 +2 *20847:Y 0.000102015 +3 *24367:D *1742:67 1.27624e-05 +4 *24367:D *2471:35 5.04829e-06 +5 *24367:D *4876:119 8.93845e-05 +6 *24367:D *5954:11 0.000107496 +*RES +1 *20847:Y *24367:D 21.4401 +*END + +*D_NET *876 0.000354691 +*CONN +*I *24368:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20831:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24368:D 8.30576e-05 +2 *20831:X 8.30576e-05 +3 *24368:D *20831:A2 8.76281e-05 +4 *24368:D *1741:90 1.35179e-05 +5 *24368:D *1741:93 6.08467e-05 +6 *24368:D *5864:196 2.65831e-05 +7 *460:84 *24368:D 0 +*RES +1 *20831:X *24368:D 30.0537 +*END + +*D_NET *877 0.000382908 +*CONN +*I *24369:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20829:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *24369:D 0.000122336 +2 *20829:X 0.000122336 +3 *24369:D *1741:93 1.00981e-05 +4 *24369:D *3177:37 0 +5 *24369:D *4876:38 5.88662e-05 +6 *24369:D *5949:41 6.92705e-05 +*RES +1 *20829:X *24369:D 31.0235 +*END + +*D_NET *878 0.000922233 +*CONN +*I *24370:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20823:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *24370:D 0.000294439 +2 *20823:X 0.000294439 +3 *24370:D *20819:B1 2.44833e-05 +4 *24370:D *20822:A2 8.22553e-05 +5 *24370:D *20823:A1 1.65872e-05 +6 *24370:D *20823:B1 0.000160469 +7 *24370:D *1701:20 4.95596e-05 +8 *24370:D *4876:38 0 +9 *518:58 *24370:D 0 +*RES +1 *20823:X *24370:D 33.9303 +*END + +*D_NET *879 0.000906016 +*CONN +*I *24371:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20819:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *24371:D 0.000238499 +2 *20819:X 0.000238499 +3 *24371:D *24908:CLK 7.09666e-06 +4 *24371:D *2428:87 0.0002646 +5 *524:6 *24371:D 0.000114234 +6 *541:46 *24371:D 4.3087e-05 +*RES +1 *20819:X *24371:D 32.6874 +*END + +*D_NET *880 0.000999126 +*CONN +*I *24372:D I *D sky130_fd_sc_hd__dfstp_4 +*I *20817:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *24372:D 0.000235352 +2 *20817:X 0.000235352 +3 *24372:D *24372:CLK 0.000123582 +4 *24372:D *2089:22 0.000149009 +5 *24372:D *2398:93 8.3897e-06 +6 *24372:D *4845:349 0.000247443 +*RES +1 *20817:X *24372:D 33.1026 +*END + +*D_NET *881 0.00272488 +*CONN +*I *24373:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20806:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24373:D 0.000799427 +2 *20806:X 0.000799427 +3 *24373:D *24373:CLK 0.00112603 +*RES +1 *20806:X *24373:D 37.7647 +*END + +*D_NET *882 0.000975487 +*CONN +*I *24374:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20805:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24374:D 0.000275817 +2 *20805:X 0.000275817 +3 *24374:D *20805:A1 0.000171273 +4 *24374:D *20805:B2 7.98171e-06 +5 *24374:D *1595:8 0.000115451 +6 *24374:D *2417:21 1.00981e-05 +7 *24374:D *2813:16 0.000119049 +*RES +1 *20805:X *24374:D 33.242 +*END + +*D_NET *883 0.00120069 +*CONN +*I *24375:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20804:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24375:D 0.000179284 +2 *20804:X 0.000179284 +3 *24375:D *20804:B2 0.000315461 +4 *24375:D *1595:8 8.04296e-05 +5 *24375:D *2294:12 3.31733e-05 +6 *24375:D *2367:11 0.00036437 +7 *24375:D *5857:48 1.00981e-05 +8 *24375:D *5893:8 3.85909e-05 +*RES +1 *20804:X *24375:D 35.0423 +*END + +*D_NET *884 0.00105821 +*CONN +*I *24376:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20803:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24376:D 0.000336475 +2 *20803:X 0.000336475 +3 *24376:D *20285:A 0.000237809 +4 *24376:D *20803:A1 0.000110458 +5 *24376:D *1909:12 1.3091e-05 +6 *24376:D *2545:11 2.38962e-05 +7 *24376:D *4832:34 0 +*RES +1 *20803:X *24376:D 35.7629 +*END + +*D_NET *885 0.000330811 +*CONN +*I *24377:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20802:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24377:D 3.97254e-05 +2 *20802:X 3.97254e-05 +3 *24377:D *4899:121 0.00012568 +4 *24377:D *5507:12 0.00012568 +*RES +1 *20802:X *24377:D 29.7455 +*END + +*D_NET *886 0.00037513 +*CONN +*I *24378:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20801:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24378:D 8.52992e-05 +2 *20801:X 8.52992e-05 +3 *24378:D *24378:CLK 2.16355e-05 +4 *24378:D *24380:CLK 0.000122083 +5 *24378:D *2417:21 1.03403e-05 +6 *24378:D *4839:357 5.04734e-05 +*RES +1 *20801:X *24378:D 30.4689 +*END + +*D_NET *887 0.00142944 +*CONN +*I *24379:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20800:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24379:D 0.000535835 +2 *20800:X 0.000535835 +3 *24379:D *19837:A 0.000242134 +4 *24379:D *20800:B2 0.000115632 +5 *24379:D *24379:CLK 0 +6 *24379:D *4872:180 0 +7 *24379:D *5893:8 0 +*RES +1 *20800:X *24379:D 39.3314 +*END + +*D_NET *888 0.00035479 +*CONN +*I *24380:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20799:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24380:D 0.000137909 +2 *20799:X 0.000137909 +3 *24380:D *20799:B1 0 +4 *24380:D *2570:25 7.89732e-05 +*RES +1 *20799:X *24380:D 21.633 +*END + +*D_NET *889 0.00120642 +*CONN +*I *24381:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20795:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24381:D 0.000401031 +2 *20795:X 0.000401031 +3 *24381:D *20795:A1 0.000154145 +4 *24381:D *3089:30 0.000101133 +5 *24381:D *4538:41 1.15765e-05 +6 *24381:D *4656:10 0.000104731 +7 *24381:D *5861:127 7.92757e-06 +8 *24381:D *5861:131 2.48468e-05 +*RES +1 *20795:X *24381:D 34.4905 +*END + +*D_NET *890 0.000603489 +*CONN +*I *24382:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20794:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24382:D 0.000130743 +2 *20794:X 0.000130743 +3 *24382:D *20794:B1 0.000107496 +4 *24382:D *4862:8 0.00011906 +5 *24382:D *5921:45 0.000115448 +*RES +1 *20794:X *24382:D 31.0235 +*END + +*D_NET *891 0.000400031 +*CONN +*I *24383:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20793:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24383:D 4.82742e-05 +2 *20793:X 4.82742e-05 +3 *24383:D *20793:A2 0.000151741 +4 *24383:D *2523:38 0.000151741 +*RES +1 *20793:X *24383:D 30.1608 +*END + +*D_NET *892 0.00134817 +*CONN +*I *24384:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20792:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24384:D 0.000481797 +2 *20792:X 0.000481797 +3 *24384:D *20792:A1 0.00015607 +4 *24384:D *4656:15 0.000124251 +5 *24384:D *5801:92 0.000101987 +6 *24384:D *5854:719 2.26713e-06 +*RES +1 *20792:X *24384:D 35.5997 +*END + +*D_NET *893 0.00167977 +*CONN +*I *24385:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20791:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24385:D 0.000395821 +2 *20791:X 0.000395821 +3 *24385:D *20790:A 2.16355e-05 +4 *24385:D *20791:A1 0.000154145 +5 *24385:D *20791:B1 2.65667e-05 +6 *24385:D *20791:B2 7.48797e-05 +7 *24385:D *1483:14 8.15547e-05 +8 *24385:D *2080:12 0.000200794 +9 *24385:D *2620:57 4.23622e-05 +10 *24385:D *4799:6 0.000235335 +11 *24385:D *4862:11 5.08564e-05 +*RES +1 *20791:X *24385:D 39.755 +*END + +*D_NET *894 0.00105823 +*CONN +*I *24386:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20787:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24386:D 0.00024887 +2 *20787:X 0.00024887 +3 *24386:D *2448:24 0.000127179 +4 *24386:D *4843:86 0.000130777 +5 *24386:D *5250:27 0.00030253 +*RES +1 *20787:X *24386:D 33.242 +*END + +*D_NET *895 0.00109673 +*CONN +*I *24387:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20786:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24387:D 0.000215438 +2 *20786:X 0.000215438 +3 *24387:D *2448:24 0.000145532 +4 *24387:D *4843:86 0.000145532 +5 *24387:D *4942:15 0.00027085 +6 *24387:D *5921:72 0.000103942 +*RES +1 *20786:X *24387:D 35.8756 +*END + +*D_NET *896 0.00147236 +*CONN +*I *24388:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20785:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24388:D 0.000498537 +2 *20785:X 0.000498537 +3 *24388:D *20754:B1 3.98028e-05 +4 *24388:D *20785:B2 0.000107496 +5 *24388:D *2077:67 0.000213725 +6 *24388:D *2602:10 5.53934e-05 +7 *24388:D *2818:12 5.88662e-05 +*RES +1 *20785:X *24388:D 36.5696 +*END + +*D_NET *897 0.000843485 +*CONN +*I *24389:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20784:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24389:D 0.000260516 +2 *20784:X 0.000260516 +3 *24389:D *2287:16 0.000148129 +4 *24389:D *2380:8 0.000148129 +5 *24389:D *5867:499 2.61955e-05 +*RES +1 *20784:X *24389:D 34.2118 +*END + +*D_NET *898 0.000944662 +*CONN +*I *24390:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20783:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24390:D 0.000269372 +2 *20783:X 0.000269372 +3 *24390:D *20783:A1 0.000158371 +4 *24390:D *20783:B1 0.000107496 +5 *24390:D *3057:7 2.77625e-06 +6 *24390:D *3057:8 9.60216e-05 +7 *24390:D *5853:26 4.12533e-05 +*RES +1 *20783:X *24390:D 32.8267 +*END + +*D_NET *899 0.000679287 +*CONN +*I *24391:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20782:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24391:D 0.000135568 +2 *20782:X 0.000135568 +3 *24391:D *24391:CLK 2.65667e-05 +4 *24391:D *2264:6 0.000174205 +5 *24391:D *4897:11 3.31745e-05 +6 *24391:D *5867:457 0.000174205 +*RES +1 *20782:X *24391:D 32.4086 +*END + +*D_NET *900 0.00116485 +*CONN +*I *24392:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20781:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24392:D 0.000338996 +2 *20781:X 0.000338996 +3 *24392:D *2077:29 1.75155e-06 +4 *24392:D *2348:8 0.00012568 +5 *24392:D *2358:7 0.000158371 +6 *24392:D *2869:18 0.000122083 +7 *24392:D *4844:126 7.89747e-05 +*RES +1 *20781:X *24392:D 34.3512 +*END + +*D_NET *901 0.000670515 +*CONN +*I *24393:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20780:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24393:D 0.000103264 +2 *20780:X 0.000103264 +3 *24393:D *20780:A1 7.92757e-06 +4 *24393:D *24393:CLK 6.73351e-05 +5 *24393:D *1771:203 8.88534e-05 +6 *24393:D *2349:10 0.000148144 +7 *24393:D *2362:8 0.000151726 +*RES +1 *20780:X *24393:D 32.548 +*END + +*D_NET *902 0.0006695 +*CONN +*I *24394:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20776:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *24394:D 0.000183535 +2 *20776:X 0.000183535 +3 *24394:D *20776:B2 2.1203e-06 +4 *24394:D *2689:14 0.000114222 +5 *24394:D *4877:159 2.54709e-05 +6 *24394:D *6022:15 0.000160617 +*RES +1 *20776:X *24394:D 31.5781 +*END + +*D_NET *903 0.00214105 +*CONN +*I *24395:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20774:X O *D sky130_fd_sc_hd__o311a_1 +*CAP +1 *24395:D 0.000357417 +2 *20774:X 0.000357417 +3 *24395:D *20774:A3 0.000162583 +4 *24395:D *2333:37 0.000631815 +5 *24395:D *6029:8 0.000110712 +6 *24395:D *6029:13 0.000521103 +*RES +1 *20774:X *24395:D 41.1289 +*END + +*D_NET *904 0.00169472 +*CONN +*I *24396:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20772:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *24396:D 0.00033067 +2 *20772:X 0.00033067 +3 *24396:D *20772:A1_N 1.57187e-05 +4 *24396:D *20772:A2_N 0.000115934 +5 *24396:D *2065:13 0.00017934 +6 *24396:D *2689:18 0.000503203 +7 *24396:D *3017:8 0.00021918 +*RES +1 *20772:X *24396:D 40.4647 +*END + +*D_NET *905 0.00195966 +*CONN +*I *24397:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20770:X O *D sky130_fd_sc_hd__o311a_1 +*CAP +1 *24397:D 0.000275834 +2 *20770:X 0.000275834 +3 *24397:D *20770:B1 6.08467e-05 +4 *24397:D *2060:18 0.000571118 +5 *24397:D *2060:34 0.000102455 +6 *24397:D *3017:8 0.000673573 +*RES +1 *20770:X *24397:D 40.435 +*END + +*D_NET *906 0.00114618 +*CONN +*I *24398:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20756:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24398:D 0.000402077 +2 *20756:X 0.000402077 +3 *24398:D *20756:A1 6.92705e-05 +4 *24398:D *24398:RESET_B 5.85117e-05 +5 *24398:D *2592:6 6.46135e-05 +6 *24398:D *4838:47 0.000149628 +*RES +1 *20756:X *24398:D 37.1536 +*END + +*D_NET *907 0.000916724 +*CONN +*I *24399:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20755:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24399:D 0.000274209 +2 *20755:X 0.000274209 +3 *24399:D *24399:RESET_B 7.20484e-05 +4 *24399:D *2057:23 0.000148129 +5 *24399:D *2604:6 0.000148129 +*RES +1 *20755:X *24399:D 34.7664 +*END + +*D_NET *908 0.00148659 +*CONN +*I *24400:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20754:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24400:D 0.000494571 +2 *20754:X 0.000494571 +3 *24400:D *24589:RESET_B 4.58003e-05 +4 *24400:D *2624:6 2.25948e-05 +5 *24400:D *2954:8 0.000195139 +6 *24400:D *4869:118 3.84001e-05 +7 *24400:D *4884:35 0.00010664 +8 *24400:D *5868:379 7.44269e-05 +9 *24400:D *5868:397 1.44467e-05 +*RES +1 *20754:X *24400:D 47.1229 +*END + +*D_NET *909 0.000439362 +*CONN +*I *24401:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20753:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24401:D 0.000169417 +2 *20753:X 0.000169417 +3 *24401:D *20753:A1 2.52287e-06 +4 *24401:D *20755:A1 2.65667e-05 +5 *24401:D *24401:CLK 7.14376e-05 +*RES +1 *20753:X *24401:D 21.3256 +*END + +*D_NET *910 0.00130574 +*CONN +*I *24402:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20752:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24402:D 0.000269459 +2 *20752:X 0.000269459 +3 *24402:D *20752:A1 0.000111708 +4 *24402:D *24402:RESET_B 8.39059e-05 +5 *24402:D *1619:26 7.89747e-05 +6 *24402:D *2954:8 0.000105995 +7 *24402:D *2954:12 8.48277e-05 +8 *24402:D *4838:40 0.000301415 +*RES +1 *20752:X *24402:D 36.7328 +*END + +*D_NET *911 0.0017052 +*CONN +*I *24403:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20748:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24403:D 0.000290662 +2 *20748:X 0.000290662 +3 *24403:D *20744:A2 8.62625e-06 +4 *24403:D *20748:B2 0.000258128 +5 *24403:D *2054:54 0.000125461 +6 *24403:D *2055:40 9.32983e-05 +7 *24403:D *2566:15 1.41291e-05 +8 *24403:D *2589:10 0.000134087 +9 *24403:D *4825:12 0.00049015 +*RES +1 *20748:X *24403:D 39.2032 +*END + +*D_NET *912 0.000622351 +*CONN +*I *24404:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20747:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24404:D 0.000209484 +2 *20747:X 0.000209484 +3 *24404:D *20747:A2 2.41483e-05 +4 *24404:D *22031:A 6.50586e-05 +5 *24404:D *2886:8 0 +6 *24404:D *3036:10 3.90891e-05 +7 *24404:D *5337:10 7.50872e-05 +*RES +1 *20747:X *24404:D 32.1327 +*END + +*D_NET *913 0.0009734 +*CONN +*I *24405:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20746:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24405:D 0.000318627 +2 *20746:X 0.000318627 +3 *24405:D *20746:A1 0.000162294 +4 *24405:D *20746:B2 2.32169e-05 +5 *24405:D *24405:RESET_B 2.8322e-05 +6 *24405:D *2054:46 2.35405e-05 +7 *24405:D *2055:38 5.78104e-06 +8 *24405:D *2441:8 9.29919e-05 +*RES +1 *20746:X *24405:D 33.3813 +*END + +*D_NET *914 0.000598333 +*CONN +*I *24406:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20745:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24406:D 0.000158845 +2 *20745:X 0.000158845 +3 *24406:D *20745:A1 1.99131e-05 +4 *24406:D *20745:A2 6.50586e-05 +5 *24406:D *4841:241 9.96342e-05 +6 *24406:D *4845:102 9.60366e-05 +*RES +1 *20745:X *24406:D 31.1629 +*END + +*D_NET *915 0.000591744 +*CONN +*I *24407:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20744:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24407:D 0.000100838 +2 *20744:X 0.000100838 +3 *24407:D *2996:24 8.5985e-05 +4 *24407:D *4822:14 8.5985e-05 +5 *24407:D *4893:13 5.97411e-05 +6 *24407:D *5857:19 0.000158357 +*RES +1 *20744:X *24407:D 31.1629 +*END + +*D_NET *916 0.000692203 +*CONN +*I *24408:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20743:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24408:D 0.000190609 +2 *20743:X 0.000190609 +3 *24408:D *20743:A1 0.000159038 +4 *24408:D *2054:30 1.00981e-05 +5 *24408:D *4843:157 9.78334e-05 +6 *24408:D *4845:102 4.40158e-05 +*RES +1 *20743:X *24408:D 31.7175 +*END + +*D_NET *917 0.00105733 +*CONN +*I *24409:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20742:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24409:D 0.000298102 +2 *20742:X 0.000298102 +3 *24409:D *20742:A1 1.0779e-05 +4 *24409:D *21287:A 2.34305e-05 +5 *24409:D *2054:42 0.000217951 +6 *24409:D *2055:20 3.58044e-05 +7 *24409:D *4824:84 0.000166071 +8 *490:42 *24409:D 7.08723e-06 +*RES +1 *20742:X *24409:D 34.0725 +*END + +*D_NET *918 0.00058019 +*CONN +*I *24410:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20741:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24410:D 8.51781e-05 +2 *20741:X 8.51781e-05 +3 *24410:D *20741:A2 1.07248e-05 +4 *24410:D *24374:CLK 6.23875e-05 +5 *24410:D *2054:19 8.26897e-05 +6 *24410:D *4825:39 0.000160617 +7 *24410:D *4843:161 9.34145e-05 +*RES +1 *20741:X *24410:D 31.1629 +*END + +*D_NET *919 0.000574721 +*CONN +*I *24411:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20737:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24411:D 0.000142117 +2 *20737:X 0.000142117 +3 *24411:D *20737:A1 4.01573e-05 +4 *24411:D *20737:A2 0.000148144 +5 *24411:D *4898:20 4.65396e-05 +6 *24411:D *5021:8 5.56461e-05 +*RES +1 *20737:X *24411:D 32.548 +*END + +*D_NET *920 0.000640803 +*CONN +*I *24412:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20736:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24412:D 0.00015146 +2 *20736:X 0.00015146 +3 *24412:D *20736:A1 0.000171273 +4 *24412:D *20736:B1 0.000130777 +5 *24412:D *4845:183 3.58321e-05 +*RES +1 *20736:X *24412:D 31.5781 +*END + +*D_NET *921 0.000469561 +*CONN +*I *24413:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20735:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24413:D 0.000234566 +2 *20735:X 0.000234566 +3 *489:22 *24413:D 4.28856e-07 +*RES +1 *20735:X *24413:D 22.0188 +*END + +*D_NET *922 0.00030169 +*CONN +*I *24414:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20734:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24414:D 8.51883e-05 +2 *20734:X 8.51883e-05 +3 *24414:D *2306:12 0 +4 *24414:D *4825:49 2.65831e-05 +5 *24414:D *5924:50 0.000104731 +*RES +1 *20734:X *24414:D 30.0537 +*END + +*D_NET *923 0.000684093 +*CONN +*I *24415:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20733:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24415:D 0.000143787 +2 *20733:X 0.000143787 +3 *24415:D *2460:15 0.000274391 +4 *24415:D *2486:32 4.42033e-05 +5 *24415:D *4881:187 6.36387e-05 +6 *24415:D *4901:82 1.42855e-05 +*RES +1 *20733:X *24415:D 32.165 +*END + +*D_NET *924 0.00179457 +*CONN +*I *24416:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20732:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24416:D 0.00052376 +2 *20732:X 0.00052376 +3 *24416:D *20732:A2 2.45636e-05 +4 *24416:D *24416:CLK 5.481e-05 +5 *24416:D *1658:168 0.000143719 +6 *24416:D *4843:219 0.000272513 +7 *24416:D *4843:235 9.55447e-05 +8 *24416:D *4845:205 0.000155898 +*RES +1 *20732:X *24416:D 38.1235 +*END + +*D_NET *925 0.00209591 +*CONN +*I *24417:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20731:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24417:D 0.000728203 +2 *20731:X 0.000728203 +3 *24417:D *20731:A1 4.58907e-05 +4 *24417:D *20731:A2 6.3657e-05 +5 *24417:D *24417:CLK 0.000108111 +6 *24417:D *2051:48 4.11147e-05 +7 *24417:D *2321:14 0 +8 *24417:D *2433:19 3.29403e-05 +9 *24417:D *4816:22 5.73105e-05 +10 *24417:D *4830:42 2.84346e-06 +11 *24417:D *5714:35 7.58194e-05 +12 *24417:D *5924:46 0.000211819 +13 *477:44 *24417:D 0 +*RES +1 *20731:X *24417:D 45.1624 +*END + +*D_NET *926 0.00184334 +*CONN +*I *24418:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20730:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24418:D 0.000412464 +2 *20730:X 0.000412464 +3 *24418:D *2051:35 9.04224e-05 +4 *24418:D *2052:13 2.20796e-05 +5 *24418:D *3011:10 8.55619e-05 +6 *24418:D *4816:22 0.000274815 +7 *24418:D *4830:42 0 +8 *24418:D *4832:34 3.53287e-05 +9 *24418:D *4845:183 0.000143431 +10 *24418:D *5714:35 0.000258142 +11 *24418:D *5857:61 0.000108627 +*RES +1 *20730:X *24418:D 41.6565 +*END + +*D_NET *927 0.000755897 +*CONN +*I *24419:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20726:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24419:D 0.000174627 +2 *20726:X 0.000174627 +3 *24419:D *24419:CLK 5.3756e-05 +4 *24419:D *1438:152 0.000122083 +5 *24419:D *2660:32 0.000108721 +6 *24419:D *3089:24 0.000122083 +*RES +1 *20726:X *24419:D 33.242 +*END + +*D_NET *928 0.000502877 +*CONN +*I *24420:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20725:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24420:D 0.000120899 +2 *20725:X 0.000120899 +3 *24420:D *20725:A1 0.000118166 +4 *24420:D *24420:RESET_B 1.07248e-05 +5 *24420:D *1784:58 3.25539e-05 +6 *24420:D *2048:26 9.96342e-05 +*RES +1 *20725:X *24420:D 30.6083 +*END + +*D_NET *929 0.00126429 +*CONN +*I *24421:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20724:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24421:D 0.000456166 +2 *20724:X 0.000456166 +3 *24421:D *20724:A1 0.000158451 +4 *24421:D *20724:B1 7.92757e-06 +5 *24421:D *20724:B2 5.97411e-05 +6 *24421:D *1482:34 8.94215e-05 +7 *24421:D *5852:117 2.96469e-05 +8 *24421:D *5852:125 6.7671e-06 +*RES +1 *20724:X *24421:D 35.0451 +*END + +*D_NET *930 0.00130012 +*CONN +*I *24422:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20723:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24422:D 0.000224608 +2 *20723:X 0.000224608 +3 *24422:D *20723:B1 0.000200794 +4 *24422:D *20723:B2 2.16355e-05 +5 *24422:D *1438:132 0.000247443 +6 *24422:D *1438:152 5.60364e-06 +7 *24422:D *1482:34 0 +8 *24422:D *2049:16 0.000115772 +9 *24422:D *3671:31 1.07038e-05 +10 *24422:D *3671:43 8.01687e-05 +11 *24422:D *4798:33 0.000107154 +12 *24422:D *4798:39 6.16319e-05 +*RES +1 *20723:X *24422:D 35.4576 +*END + +*D_NET *931 0.00104243 +*CONN +*I *24423:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20722:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24423:D 0.00033364 +2 *20722:X 0.00033364 +3 *24423:D *20726:B2 0.000127179 +4 *24423:D *2049:7 0.000112149 +5 *24423:D *4723:8 0.000130777 +6 *24423:D *4798:33 5.04829e-06 +*RES +1 *20722:X *24423:D 34.3753 +*END + +*D_NET *932 0.00190831 +*CONN +*I *24424:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20718:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24424:D 0.00063023 +2 *20718:X 0.00063023 +3 *24424:D *20718:B2 0.000111708 +4 *24424:D *24424:CLK 3.491e-05 +5 *24424:D *4137:77 7.50722e-05 +6 *24424:D *4838:86 0.000107496 +7 *24424:D *4935:93 0.000247186 +8 *24424:D *5045:8 7.14746e-05 +*RES +1 *20718:X *24424:D 38.7592 +*END + +*D_NET *933 0.000629211 +*CONN +*I *24425:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20717:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24425:D 0.000252902 +2 *20717:X 0.000252902 +3 *24425:D *19835:A 7.27434e-06 +4 *24425:D *20717:A1 1.34288e-05 +5 *24425:D *20717:B1 5.29543e-05 +6 *24425:D *24475:CLK 9.89388e-06 +7 *24425:D *2045:41 1.30068e-05 +8 *24425:D *4935:90 2.68489e-05 +*RES +1 *20717:X *24425:D 32.1327 +*END + +*D_NET *934 0.00196291 +*CONN +*I *24426:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20716:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24426:D 0.000836355 +2 *20716:X 0.000836355 +3 *24426:D *21749:A 7.16893e-05 +4 *24426:D *1483:123 0.000127179 +5 *24426:D *5671:152 5.88662e-05 +6 *24426:D *5856:39 9.75148e-06 +7 *24426:D *5856:47 2.2718e-05 +*RES +1 *20716:X *24426:D 41.0064 +*END + +*D_NET *935 0.000649192 +*CONN +*I *24427:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20715:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24427:D 0.000159222 +2 *20715:X 0.000159222 +3 *24427:D *20713:A 5.28741e-05 +4 *24427:D *3089:54 0.00013511 +5 *495:8 *24427:D 0.000142763 +*RES +1 *20715:X *24427:D 32.548 +*END + +*D_NET *936 0.00133381 +*CONN +*I *24428:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20714:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24428:D 0.000466349 +2 *20714:X 0.000466349 +3 *24428:D *2765:8 0.00015634 +4 *24428:D *4146:35 0.000161437 +5 *24428:D *4374:55 8.333e-05 +*RES +1 *20714:X *24428:D 36.315 +*END + +*D_NET *937 0.00093499 +*CONN +*I *24429:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20710:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24429:D 0.000321324 +2 *20710:X 0.000321324 +3 *24429:D *24429:SET_B 2.59398e-05 +4 *24429:D *2601:8 9.82974e-06 +5 *24429:D *2986:17 0.000102079 +6 *24429:D *3070:20 0 +7 *24429:D *5320:10 8.94215e-05 +8 *24429:D *5861:257 6.50727e-05 +*RES +1 *20710:X *24429:D 34.7664 +*END + +*D_NET *938 0.00121476 +*CONN +*I *24430:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20709:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24430:D 0.00038263 +2 *20709:X 0.00038263 +3 *24430:D *20709:B1 0.000137297 +4 *24430:D *21712:B2 0.000130777 +5 *24430:D *2043:29 0.000107496 +6 *24430:D *2043:41 7.39264e-05 +*RES +1 *20709:X *24430:D 34.9058 +*END + +*D_NET *939 0.00173409 +*CONN +*I *24431:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20708:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24431:D 0.000225257 +2 *20708:X 0.000225257 +3 *24431:D *20443:A2 1.5613e-05 +4 *24431:D *20708:B2 0.000305421 +5 *24431:D *1439:424 0.00058327 +6 *24431:D *1790:180 4.66689e-05 +7 *24431:D *2985:14 0.000129012 +8 *24431:D *5859:497 0.000203595 +*RES +1 *20708:X *24431:D 36.9848 +*END + +*D_NET *940 0.00133323 +*CONN +*I *24432:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20707:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24432:D 0.000492492 +2 *20707:X 0.000492492 +3 *24432:D *20707:A1 0.000158371 +4 *24432:D *24432:CLK 7.34948e-06 +5 *24432:D *3045:12 7.14746e-05 +6 *24432:D *3046:11 7.14746e-05 +7 *24432:D *4826:59 3.9573e-05 +*RES +1 *20707:X *24432:D 36.6554 +*END + +*D_NET *941 0.00123979 +*CONN +*I *24433:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20706:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24433:D 0.000189016 +2 *20706:X 0.000189016 +3 *24433:D *20706:A1 1.19721e-05 +4 *24433:D *20706:A2 0.000107496 +5 *24433:D *20706:B2 3.82228e-05 +6 *24433:D *21544:A 0.000151726 +7 *24433:D *2601:8 1.98996e-05 +8 *24433:D *2809:18 5.15934e-05 +9 *24433:D *4822:50 2.37478e-05 +10 *24433:D *4826:59 0.000446985 +11 *24433:D *5853:81 3.99086e-06 +12 *24433:D *5853:92 6.12686e-06 +*RES +1 *20706:X *24433:D 35.321 +*END + +*D_NET *942 0.000432769 +*CONN +*I *24434:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20705:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24434:D 9.58405e-05 +2 *20705:X 9.58405e-05 +3 *24434:D *1784:124 5.39463e-05 +4 *24434:D *2030:39 6.50586e-05 +5 *24434:D *2374:18 0.000122083 +*RES +1 *20705:X *24434:D 30.4689 +*END + +*D_NET *943 0.00133137 +*CONN +*I *24435:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20704:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24435:D 0.000341092 +2 *20704:X 0.000341092 +3 *24435:D *20704:A1 0.000158357 +4 *24435:D *20704:A2 9.82896e-06 +5 *24435:D *20704:B1 0.000211492 +6 *24435:D *2208:80 0.000104731 +7 *24435:D *4844:669 0.000101133 +8 *24435:D *4844:681 6.36477e-05 +*RES +1 *20704:X *24435:D 34.4905 +*END + +*D_NET *944 0.000324225 +*CONN +*I *24436:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20703:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24436:D 8.38736e-05 +2 *20703:X 8.38736e-05 +3 *24436:D *20703:A1 1.19721e-05 +4 *24436:D *20703:B1 0 +5 *24436:D *24436:CLK 6.23875e-05 +6 *24436:D *4845:668 8.21184e-05 +*RES +1 *20703:X *24436:D 21.4642 +*END + +*D_NET *945 0.000576281 +*CONN +*I *24437:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20699:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24437:D 0.000141312 +2 *20699:X 0.000141312 +3 *24437:D *20699:B2 6.50586e-05 +4 *24437:D *1899:36 0.000109588 +5 *24437:D *2813:30 0.000116597 +6 *24437:D *4903:33 2.41274e-06 +*RES +1 *20699:X *24437:D 31.1923 +*END + +*D_NET *946 0.000928662 +*CONN +*I *24438:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20698:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24438:D 0.00035283 +2 *20698:X 0.00035283 +3 *24438:D *20698:A1 6.08467e-05 +4 *24438:D *21998:A1 2.15762e-05 +5 *24438:D *2380:62 2.02035e-05 +6 *24438:D *2503:31 2.02035e-05 +7 *24438:D *3257:51 3.6549e-05 +8 *24438:D *4904:110 4.42625e-05 +9 *476:161 *24438:D 1.93606e-05 +*RES +1 *20698:X *24438:D 36.6406 +*END + +*D_NET *947 0.000825166 +*CONN +*I *24439:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20697:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24439:D 0.000219825 +2 *20697:X 0.000219825 +3 *24439:D *21778:A 5.97576e-05 +4 *24439:D *24439:RESET_B 1.91114e-05 +5 *24439:D *2380:62 0.000122083 +6 *24439:D *5039:7 5.481e-05 +7 *24439:D *5528:12 0.000122083 +8 *24439:D *5864:690 7.6719e-06 +*RES +1 *20697:X *24439:D 33.7966 +*END + +*D_NET *948 0.000829302 +*CONN +*I *24440:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20696:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24440:D 0.000268383 +2 *20696:X 0.000268383 +3 *24440:D *20696:A1 7.98171e-06 +4 *24440:D *20696:A2 5.481e-05 +5 *24440:D *5042:8 0.000116585 +6 *24440:D *5528:8 0.00011316 +*RES +1 *20696:X *24440:D 32.6874 +*END + +*D_NET *949 0.00117319 +*CONN +*I *24441:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20695:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24441:D 0.000290169 +2 *20695:X 0.000290169 +3 *24441:D *20691:A 2.65831e-05 +4 *24441:D *24441:RESET_B 0.000280705 +5 *24441:D *4817:54 0.000285563 +*RES +1 *20695:X *24441:D 36.0094 +*END + +*D_NET *950 0.00113735 +*CONN +*I *24442:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20694:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24442:D 0.000270986 +2 *20694:X 0.000270986 +3 *24442:D *20696:A2 4.07577e-05 +4 *24442:D *20696:B2 7.81962e-05 +5 *24442:D *24442:RESET_B 0.000154145 +6 *24442:D *2360:43 5.481e-05 +7 *24442:D *2584:32 0 +8 *24442:D *3263:24 0.000267469 +*RES +1 *20694:X *24442:D 35.2083 +*END + +*D_NET *951 0.000565134 +*CONN +*I *24443:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20693:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24443:D 0.000229131 +2 *20693:X 0.000229131 +3 *24443:D *20693:A1 1.41976e-05 +4 *24443:D *24443:CLK 6.33782e-05 +5 *24443:D *5043:11 2.92975e-05 +*RES +1 *20693:X *24443:D 22.0188 +*END + +*D_NET *952 0.0026702 +*CONN +*I *24444:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20692:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24444:D 0.000645834 +2 *20692:X 0.000645834 +3 *24444:D *21258:A 1.88687e-05 +4 *24444:D *24444:RESET_B 2.24465e-06 +5 *24444:D *24444:CLK 0.000158371 +6 *24444:D *1899:24 0.000238999 +7 *24444:D *2040:30 5.51483e-06 +8 *24444:D *2040:40 0.000308358 +9 *24444:D *2323:10 6.36477e-05 +10 *24444:D *4904:114 0.000200794 +11 *24444:D *5047:5 0.00013082 +12 *24444:D *5855:223 0.000250916 +*RES +1 *20692:X *24444:D 43.3834 +*END + +*D_NET *953 0.00206198 +*CONN +*I *24445:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20688:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24445:D 0.000383349 +2 *20688:X 0.000383349 +3 *24445:D *1466:58 0.00017078 +4 *24445:D *1714:42 0.000111722 +5 *24445:D *1804:87 0.00017419 +6 *24445:D *2895:7 0.000731091 +7 *24445:D *5860:91 0.000107496 +*RES +1 *20688:X *24445:D 39.4791 +*END + +*D_NET *954 0.00137311 +*CONN +*I *24446:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20687:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24446:D 0.000385146 +2 *20687:X 0.000385146 +3 *24446:D *1801:17 0.000451182 +4 *24446:D *2037:40 0.000112551 +5 *24446:D *2994:12 3.90882e-05 +*RES +1 *20687:X *24446:D 34.9058 +*END + +*D_NET *955 0.000747918 +*CONN +*I *24447:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20686:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24447:D 0.000186753 +2 *20686:X 0.000186753 +3 *24447:D *20686:A1 6.50586e-05 +4 *24447:D *1757:37 1.71806e-05 +5 *24447:D *2808:32 3.77804e-05 +6 *24447:D *2810:20 0.000158371 +7 *24447:D *5917:524 1.07248e-05 +8 *24447:D *5917:530 8.52968e-05 +*RES +1 *20686:X *24447:D 32.6191 +*END + +*D_NET *956 0.000744242 +*CONN +*I *24448:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20685:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24448:D 0.000175228 +2 *20685:X 0.000175228 +3 *24448:D *20685:A1 0.000107496 +4 *24448:D *20685:A2 0.000101503 +5 *24448:D *24448:SET_B 2.16355e-05 +6 *24448:D *2514:37 9.80784e-05 +7 *24448:D *2749:11 6.50727e-05 +*RES +1 *20685:X *24448:D 31.7469 +*END + +*D_NET *957 0.00279498 +*CONN +*I *24449:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20684:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24449:D 0.000248223 +2 *20684:X 0.000248223 +3 *24449:D *21461:A1 0.000932893 +4 *24449:D *2702:18 0.000218178 +5 *24449:D *2719:16 0.000214565 +6 *24449:D *5082:37 0.000932893 +*RES +1 *20684:X *24449:D 42.1128 +*END + +*D_NET *958 0.000781455 +*CONN +*I *24450:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20680:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24450:D 0.000196694 +2 *20680:X 0.000196694 +3 *24450:D *1624:38 0.000160617 +4 *24450:D *2537:8 0.000163749 +5 *24450:D *2883:28 6.37e-05 +*RES +1 *20680:X *24450:D 32.4086 +*END + +*D_NET *959 0.00137167 +*CONN +*I *24451:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20679:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24451:D 0.000348169 +2 *20679:X 0.000348169 +3 *24451:D *2779:14 0.000203595 +4 *24451:D *3166:107 0.000122083 +5 *24451:D *3533:100 7.82634e-05 +6 *24451:D *5851:635 0.000118485 +7 *24451:D *5867:78 0.000152901 +*RES +1 *20679:X *24451:D 36.7384 +*END + +*D_NET *960 0.000803047 +*CONN +*I *24452:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20678:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24452:D 0.000184689 +2 *20678:X 0.000184689 +3 *24452:D *20678:A1 0.000164843 +4 *24452:D *20678:B1 1.61631e-05 +5 *24452:D *21625:A 4.89898e-06 +6 *24452:D *24906:CLK 0.000122083 +7 *24452:D *2796:18 0.00012568 +*RES +1 *20678:X *24452:D 32.1327 +*END + +*D_NET *961 0.00166758 +*CONN +*I *24453:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20677:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24453:D 0.000540767 +2 *20677:X 0.000540767 +3 *24453:D *20677:A1 0.000161234 +4 *24453:D *20677:B1 0.000154145 +5 *24453:D *24277:RESET_B 0.000103139 +6 *24453:D *24452:SET_B 2.67908e-05 +7 *24453:D *2889:10 6.34651e-06 +8 *24453:D *2889:20 9.17077e-05 +9 *24453:D *3065:8 4.26859e-05 +*RES +1 *20677:X *24453:D 37.2635 +*END + +*D_NET *962 0.00149795 +*CONN +*I *24454:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20676:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24454:D 0.000484958 +2 *20676:X 0.000484958 +3 *24454:D *20676:A1 0.000158371 +4 *24454:D *24454:RESET_B 6.08467e-05 +5 *24454:D *1438:169 2.77625e-06 +6 *24454:D *1521:21 1.31872e-05 +7 *24454:D *2553:60 7.09666e-06 +8 *24454:D *3155:139 8.61131e-05 +9 *24454:D *4997:8 1.67453e-05 +10 *24454:D *5851:640 4.58284e-05 +11 *24454:D *5866:54 0.000107496 +12 *24454:D *5866:59 2.95757e-05 +*RES +1 *20676:X *24454:D 36.3231 +*END + +*D_NET *963 0.00200556 +*CONN +*I *24455:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20672:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24455:D 0.000642537 +2 *20672:X 0.000642537 +3 *24455:D *20672:A1 0.000160617 +4 *24455:D *1707:16 0.00012568 +5 *24455:D *4942:16 0.000122083 +6 *24455:D *5861:43 0.000300565 +7 *24455:D *5861:58 1.15389e-05 +*RES +1 *20672:X *24455:D 38.788 +*END + +*D_NET *964 0.000826863 +*CONN +*I *24456:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20671:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24456:D 0.000234076 +2 *20671:X 0.000234076 +3 *24456:D *20546:B1 0.000122083 +4 *24456:D *20671:A1 0.000160617 +5 *24456:D *2030:55 1.00937e-05 +6 *24456:D *4888:98 5.39463e-05 +7 *24456:D *5859:47 1.19721e-05 +*RES +1 *20671:X *24456:D 32.6874 +*END + +*D_NET *965 0.00146351 +*CONN +*I *24457:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20670:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24457:D 0.000484004 +2 *20670:X 0.000484004 +3 *24457:D *20670:A2 1.90335e-05 +4 *24457:D *20670:B1 0.00019778 +5 *24457:D *24387:SET_B 0.000100599 +6 *24457:D *1787:10 8.62625e-06 +7 *24457:D *1799:21 5.04829e-06 +8 *24457:D *2843:12 0 +9 *24457:D *2846:14 4.69495e-06 +10 *24457:D *2846:16 2.63449e-05 +11 *24457:D *5312:13 3.8397e-05 +12 *24457:D *5921:72 9.4975e-05 +*RES +1 *20670:X *24457:D 39.755 +*END + +*D_NET *966 0.000358993 +*CONN +*I *24458:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20669:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24458:D 6.71447e-05 +2 *20669:X 6.71447e-05 +3 *24458:D *24458:CLK 4.12533e-05 +4 *24458:D *2053:20 9.60366e-05 +5 *24458:D *4872:33 6.08467e-05 +6 *24458:D *5313:7 2.65667e-05 +*RES +1 *20669:X *24458:D 30.0537 +*END + +*D_NET *967 0.0015379 +*CONN +*I *24459:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20668:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24459:D 0.000409883 +2 *20668:X 0.000409883 +3 *24459:D *1790:191 9.93938e-05 +4 *24459:D *2448:29 0.000107496 +5 *24459:D *2531:6 4.6012e-05 +6 *24459:D *2985:8 2.68066e-05 +7 *24459:D *2985:14 7.78287e-05 +8 *24459:D *4822:56 0.000154288 +9 *24459:D *5853:97 5.51483e-06 +10 *24459:D *5853:107 0.000200794 +*RES +1 *20668:X *24459:D 41.3232 +*END + +*D_NET *968 0.00121148 +*CONN +*I *24460:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20667:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24460:D 0.00028864 +2 *20667:X 0.00028864 +3 *24460:D *1725:205 2.72876e-05 +4 *24460:D *4872:8 0.000122083 +5 *24460:D *4872:17 0.000184931 +6 *24460:D *5315:8 0.000299901 +*RES +1 *20667:X *24460:D 35.4548 +*END + +*D_NET *969 0.000734286 +*CONN +*I *24461:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20666:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24461:D 0.000219527 +2 *20666:X 0.000219527 +3 *24461:D *2339:8 0.000120605 +4 *24461:D *2358:8 0.000120605 +5 *24461:D *5921:72 5.40227e-05 +*RES +1 *20666:X *24461:D 33.242 +*END + +*D_NET *970 0.00122528 +*CONN +*I *24462:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20665:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24462:D 0.000216939 +2 *20665:X 0.000216939 +3 *24462:D *20669:A2 0.000304998 +4 *24462:D *2251:12 0 +5 *24462:D *2493:8 0.000486399 +*RES +1 *20665:X *24462:D 36.3896 +*END + +*D_NET *971 0.00110583 +*CONN +*I *24463:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20661:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24463:D 0.000374281 +2 *20661:X 0.000374281 +3 *24463:D *2468:63 7.14746e-05 +4 *24463:D *4904:116 0.000187945 +5 *24463:D *5861:397 6.78596e-05 +6 *478:64 *24463:D 2.99929e-05 +*RES +1 *20661:X *24463:D 35.9561 +*END + +*D_NET *972 0.00132293 +*CONN +*I *24464:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20660:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24464:D 0.000285662 +2 *20660:X 0.000285662 +3 *24464:D *20660:A1 0.000240675 +4 *24464:D *4826:84 0.000286187 +5 *480:20 *24464:D 2.39535e-05 +6 *482:66 *24464:D 0.000200794 +*RES +1 *20660:X *24464:D 35.4548 +*END + +*D_NET *973 0.00074627 +*CONN +*I *24465:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20659:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24465:D 0.000195926 +2 *20659:X 0.000195926 +3 *24465:D *20659:A1 0.000154145 +4 *24465:D *20659:B2 1.00901e-05 +5 *24465:D *5857:97 9.32927e-05 +6 *480:14 *24465:D 9.68902e-05 +*RES +1 *20659:X *24465:D 31.7175 +*END + +*D_NET *974 0.000406632 +*CONN +*I *24466:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20658:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24466:D 7.51441e-05 +2 *20658:X 7.51441e-05 +3 *24466:D *20695:B1 6.08467e-05 +4 *24466:D *4873:26 8.6931e-05 +5 *24466:D *5056:9 2.16355e-05 +6 *24466:D *5417:26 8.6931e-05 +*RES +1 *20658:X *24466:D 30.0537 +*END + +*D_NET *975 0.000474819 +*CONN +*I *24467:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20657:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24467:D 0.000128883 +2 *20657:X 0.000128883 +3 *24467:D *20657:A1 7.50872e-05 +4 *24467:D *20657:A2 3.48767e-05 +5 *24467:D *20657:B1 3.96379e-06 +6 *24467:D *1742:80 9.83674e-06 +7 *482:66 *24467:D 9.32891e-05 +*RES +1 *20657:X *24467:D 30.6083 +*END + +*D_NET *976 0.0005102 +*CONN +*I *24468:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20656:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24468:D 0.000129811 +2 *20656:X 0.000129811 +3 *24468:D *2468:63 9.46352e-05 +4 *24468:D *2631:28 0.000101133 +5 *24468:D *5055:7 5.481e-05 +*RES +1 *20656:X *24468:D 31.1629 +*END + +*D_NET *977 0.000888154 +*CONN +*I *24469:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20655:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24469:D 0.00022476 +2 *20655:X 0.00022476 +3 *24469:D *21271:A 6.08467e-05 +4 *24469:D *4820:78 0.000162334 +5 *24469:D *4844:187 0.000165692 +6 *24469:D *5056:9 4.97617e-05 +*RES +1 *20655:X *24469:D 32.9632 +*END + +*D_NET *978 0.0014938 +*CONN +*I *24470:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20654:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24470:D 0.000435431 +2 *20654:X 0.000435431 +3 *24470:D *20654:A1 7.23857e-05 +4 *24470:D *20654:B1 0.000200794 +5 *24470:D *21215:A 0.000209298 +6 *24470:D *4816:96 0.000103189 +7 *24470:D *4824:122 3.72692e-05 +*RES +1 *20654:X *24470:D 35.4604 +*END + +*D_NET *979 0.000955208 +*CONN +*I *24471:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20650:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24471:D 0.000267279 +2 *20650:X 0.000267279 +3 *24471:D *20650:A1 0.000154145 +4 *24471:D *20650:B1 6.50586e-05 +5 *24471:D *20650:B2 0.000101133 +6 *24471:D *1947:22 2.77625e-06 +7 *24471:D *5858:8 9.75356e-05 +*RES +1 *20650:X *24471:D 32.9955 +*END + +*D_NET *980 0.00233331 +*CONN +*I *24472:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20649:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24472:D 0.000900397 +2 *20649:X 0.000900397 +3 *24472:D *24472:RESET_B 7.35609e-05 +4 *24472:D *2660:10 5.70765e-05 +5 *24472:D *3065:8 0.00010182 +6 *24472:D *5319:10 0.000297646 +7 *24472:D *5868:262 2.41274e-06 +*RES +1 *20649:X *24472:D 49.1833 +*END + +*D_NET *981 0.00108911 +*CONN +*I *24473:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20648:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24473:D 0.000286469 +2 *20648:X 0.000286469 +3 *24473:D *20648:A1 0.000160617 +4 *24473:D *20648:B1 1.41291e-05 +5 *24473:D *20648:B2 7.34948e-06 +6 *24473:D *24473:CLK 6.08467e-05 +7 *24473:D *1829:95 1.10258e-05 +8 *24473:D *2025:8 0.000122083 +9 *24473:D *4869:44 2.16355e-05 +10 *24473:D *5858:8 0.000118485 +*RES +1 *20648:X *24473:D 33.9654 +*END + +*D_NET *982 0.000539814 +*CONN +*I *24474:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20647:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24474:D 0.000141949 +2 *20647:X 0.000141949 +3 *24474:D *20647:A1 0.000111722 +4 *24474:D *2024:46 5.01835e-05 +5 *24474:D *2592:6 8.33073e-06 +6 *24474:D *2614:8 8.56804e-05 +*RES +1 *20647:X *24474:D 30.6083 +*END + +*D_NET *983 0.00178112 +*CONN +*I *24475:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20646:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24475:D 0.000560288 +2 *20646:X 0.000560288 +3 *24475:D *20646:B2 0.000102153 +4 *24475:D *1593:10 7.89747e-05 +5 *24475:D *2654:10 0.000112163 +6 *24475:D *4374:48 0.000115588 +7 *24475:D *4838:86 0.000251669 +*RES +1 *20646:X *24475:D 38.4022 +*END + +*D_NET *984 0.00190347 +*CONN +*I *24476:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20642:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24476:D 0.000765685 +2 *20642:X 0.000765685 +3 *24476:D *20642:A1 7.50872e-05 +4 *24476:D *24476:RESET_B 0.000197921 +5 *24476:D *24476:CLK 3.24705e-06 +6 *24476:D *1467:138 7.50872e-05 +7 *24476:D *5860:46 4.59816e-06 +8 *24476:D *5869:319 1.61631e-05 +*RES +1 *20642:X *24476:D 39.0908 +*END + +*D_NET *985 0.000835166 +*CONN +*I *24477:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20641:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24477:D 0.000170904 +2 *20641:X 0.000170904 +3 *24477:D *2021:17 5.31074e-05 +4 *24477:D *2021:19 0.000111722 +5 *24477:D *2627:24 0.000162458 +6 *24477:D *5858:146 0.000166071 +*RES +1 *20641:X *24477:D 32.4086 +*END + +*D_NET *986 0.000421978 +*CONN +*I *24478:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20640:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24478:D 0.000124855 +2 *20640:X 0.000124855 +3 *24478:D *20640:A1 0.000133068 +4 *24478:D *2021:17 0 +5 *24478:D *4927:54 3.92004e-05 +*RES +1 *20640:X *24478:D 22.1876 +*END + +*D_NET *987 0.00142218 +*CONN +*I *24479:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20639:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24479:D 0.000423251 +2 *20639:X 0.000423251 +3 *24479:D *20571:A1 2.16355e-05 +4 *24479:D *20571:B1 0.000119727 +5 *24479:D *20639:A1 0.000111708 +6 *24479:D *24479:RESET_B 6.58333e-05 +7 *24479:D *1753:24 0.000126589 +8 *24479:D *5856:194 0.000130187 +*RES +1 *20639:X *24479:D 37.7082 +*END + +*D_NET *988 0.00108057 +*CONN +*I *24480:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20638:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24480:D 0.000344564 +2 *20638:X 0.000344564 +3 *24480:D *20638:A1 0.000154145 +4 *24480:D *20638:A2 1.19618e-05 +5 *24480:D *24480:CLK 7.39214e-06 +6 *24480:D *5851:131 0.000159399 +7 *24480:D *5860:9 5.85478e-05 +*RES +1 *20638:X *24480:D 34.1803 +*END + +*D_NET *989 0.00160469 +*CONN +*I *24481:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20634:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24481:D 0.000634812 +2 *20634:X 0.000634812 +3 *24481:D *20634:A2 7.86825e-06 +4 *24481:D *20882:A1 5.65463e-05 +5 *24481:D *2441:8 2.02035e-05 +6 *24481:D *2542:8 0.000195406 +7 *24481:D *2589:12 5.50458e-05 +*RES +1 *20634:X *24481:D 39.4359 +*END + +*D_NET *990 0.00154399 +*CONN +*I *24482:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20633:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24482:D 0.000440881 +2 *20633:X 0.000440881 +3 *24482:D *20633:A1 0.000164829 +4 *24482:D *24482:SET_B 2.16355e-05 +5 *24482:D *1550:10 4.70005e-05 +6 *24482:D *3045:12 0.000118485 +7 *24482:D *5859:71 0.000304763 +8 *24482:D *5859:84 5.51483e-06 +*RES +1 *20633:X *24482:D 36.1838 +*END + +*D_NET *991 0.00102059 +*CONN +*I *24483:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20632:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24483:D 0.000288275 +2 *20632:X 0.000288275 +3 *24483:D *20634:A2 6.80864e-05 +4 *24483:D *2441:8 0.000153225 +5 *24483:D *5250:32 0.000222733 +*RES +1 *20632:X *24483:D 36.4302 +*END + +*D_NET *992 0.00054647 +*CONN +*I *24484:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20631:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24484:D 0.000138272 +2 *20631:X 0.000138272 +3 *24484:D *20631:A1 7.98171e-06 +4 *24484:D *20631:A2 1.41976e-05 +5 *24484:D *24484:RESET_B 0.000122068 +6 *24484:D *3022:6 0.00012568 +*RES +1 *20631:X *24484:D 31.0235 +*END + +*D_NET *993 0.00109097 +*CONN +*I *24485:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20630:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24485:D 0.000283279 +2 *20630:X 0.000283279 +3 *24485:D *20630:A1 0.000154145 +4 *24485:D *20630:B2 0.000101133 +5 *24485:D *2448:41 9.80242e-07 +6 *24485:D *3036:16 0.000104731 +7 *24485:D *5853:107 0.000163418 +*RES +1 *20630:X *24485:D 33.3813 +*END + +*D_NET *994 0.000656543 +*CONN +*I *24486:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20629:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24486:D 0.000173132 +2 *20629:X 0.000173132 +3 *24486:D *24486:RESET_B 0.000113075 +4 *24486:D *2485:8 5.01668e-05 +5 *24486:D *2498:11 1.07248e-05 +6 *24486:D *3022:6 0.000127179 +7 *24486:D *4816:9 9.13394e-06 +*RES +1 *20629:X *24486:D 33.242 +*END + +*D_NET *995 0.0004482 +*CONN +*I *24487:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20628:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24487:D 0.000187832 +2 *20628:X 0.000187832 +3 *24487:D *24487:CLK 5.63155e-05 +4 *24487:D *5303:9 1.62206e-05 +*RES +1 *20628:X *24487:D 21.3256 +*END + +*D_NET *996 0.000563048 +*CONN +*I *24488:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20627:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24488:D 0.000108415 +2 *20627:X 0.000108415 +3 *24488:D *21185:A 9.60366e-05 +4 *24488:D *24488:RESET_B 0.000154145 +5 *24488:D *1884:86 9.60366e-05 +*RES +1 *20627:X *24488:D 31.1629 +*END + +*D_NET *997 0.000642826 +*CONN +*I *24489:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20623:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24489:D 0.000229413 +2 *20623:X 0.000229413 +3 *24489:D *19994:A 3.82228e-05 +4 *24489:D *20614:A 7.12632e-06 +5 *24489:D *2015:55 0 +6 *24489:D *2016:12 4.73687e-05 +7 *24489:D *5060:5 4.97617e-05 +8 *512:47 *24489:D 4.15201e-05 +*RES +1 *20623:X *24489:D 33.1026 +*END + +*D_NET *998 0.00107981 +*CONN +*I *24490:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20622:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24490:D 0.000325102 +2 *20622:X 0.000325102 +3 *24490:D *1545:54 0 +4 *24490:D *3076:32 0.000122633 +5 *24490:D *5859:210 0.000306974 +*RES +1 *20622:X *24490:D 33.6572 +*END + +*D_NET *999 0.00112433 +*CONN +*I *24491:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20621:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24491:D 0.000377466 +2 *20621:X 0.000377466 +3 *24491:D *20621:B1 6.61347e-05 +4 *24491:D *20621:B2 5.36536e-06 +5 *24491:D *24491:CLK 6.08467e-05 +6 *24491:D *1610:54 7.69131e-05 +7 *24491:D *2256:114 7.58102e-05 +8 *24491:D *3050:28 2.57847e-05 +9 *24491:D *4826:117 4.23622e-05 +10 *24491:D *5592:87 1.61832e-05 +*RES +1 *20621:X *24491:D 35.445 +*END + +*D_NET *1000 0.000539707 +*CONN +*I *24492:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20620:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24492:D 7.27665e-05 +2 *20620:X 7.27665e-05 +3 *24492:D *20620:A1 7.6719e-06 +4 *24492:D *24492:CLK 7.16893e-05 +5 *24492:D *3041:31 0.000102633 +6 *24492:D *4810:29 0.00021218 +*RES +1 *20620:X *24492:D 22.0188 +*END + +*D_NET *1001 0.000436612 +*CONN +*I *24493:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20619:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24493:D 9.0201e-05 +2 *20619:X 9.0201e-05 +3 *24493:D *20619:B1 4.37376e-05 +4 *24493:D *4805:85 0.00014067 +5 *24493:D *4908:98 7.18018e-05 +*RES +1 *20619:X *24493:D 21.633 +*END + +*D_NET *1002 0.00108232 +*CONN +*I *24494:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20618:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24494:D 0.000290798 +2 *20618:X 0.000290798 +3 *24494:D *22673:B1 0.000294093 +4 *24494:D *4843:352 0.000101605 +5 *527:22 *24494:D 0.00010503 +*RES +1 *20618:X *24494:D 33.242 +*END + +*D_NET *1003 0.00141425 +*CONN +*I *24495:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20617:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24495:D 0.000449732 +2 *20617:X 0.000449732 +3 *24495:D *20617:A1 0.000369852 +4 *24495:D *20617:B1 1.37189e-05 +5 *24495:D *21269:A 2.99733e-05 +6 *24495:D *24491:RESET_B 7.55464e-05 +7 *24495:D *3041:118 0 +8 *24495:D *5069:5 7.92757e-06 +9 *539:14 *24495:D 1.7773e-05 +*RES +1 *20617:X *24495:D 37.309 +*END + +*D_NET *1004 0.000679535 +*CONN +*I *24496:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20616:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24496:D 0.000183647 +2 *20616:X 0.000183647 +3 *24496:D *2177:78 1.84263e-05 +4 *24496:D *2256:62 8.39223e-05 +5 *24496:D *2467:114 5.35941e-05 +6 *24496:D *2610:70 0.000101489 +7 *24496:D *5070:7 5.481e-05 +*RES +1 *20616:X *24496:D 32.6874 +*END + +*D_NET *1005 0.00149895 +*CONN +*I *24497:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20612:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24497:D 0.000296831 +2 *20612:X 0.000296831 +3 *24497:D *20612:A1 0.000107496 +4 *24497:D *1803:13 9.2841e-05 +5 *24497:D *2514:45 0.000307873 +6 *24497:D *2713:38 0.000304261 +7 *24497:D *5860:636 9.28161e-05 +*RES +1 *20612:X *24497:D 38.3966 +*END + +*D_NET *1006 0.00299059 +*CONN +*I *24498:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20611:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24498:D 0.000852259 +2 *20611:X 0.000852259 +3 *24498:D *22435:B1 6.3657e-05 +4 *24498:D *24478:SET_B 1.92481e-05 +5 *24498:D *24498:CLK 2.41274e-06 +6 *24498:D *1501:53 5.01835e-05 +7 *24498:D *1541:136 0.000136838 +8 *24498:D *1716:57 1.49935e-05 +9 *24498:D *2851:14 6.3657e-05 +10 *24498:D *2922:54 5.08002e-05 +11 *24498:D *2943:63 0.000838792 +12 *24498:D *3131:41 4.54881e-05 +13 *24498:D *3671:76 0 +14 *24498:D *5061:9 0 +*RES +1 *20611:X *24498:D 43.3023 +*END + +*D_NET *1007 0.000486674 +*CONN +*I *24499:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20610:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24499:D 0.000131148 +2 *20610:X 0.000131148 +3 *24499:D *1677:142 0.000114086 +4 *24499:D *5860:636 4.45548e-05 +5 *24499:D *5869:262 6.57364e-05 +*RES +1 *20610:X *24499:D 31.5781 +*END + +*D_NET *1008 0.00135159 +*CONN +*I *24500:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20609:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24500:D 0.000442754 +2 *20609:X 0.000442754 +3 *24500:D *24500:RESET_B 3.88941e-05 +4 *24500:D *1454:59 0.000113003 +5 *24500:D *1631:10 0.00011726 +6 *24500:D *2509:15 6.08467e-05 +7 *24500:D *2612:77 7.71196e-05 +8 *24500:D *5869:418 5.89592e-05 +*RES +1 *20609:X *24500:D 37.8476 +*END + +*D_NET *1009 0.00222993 +*CONN +*I *24501:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20608:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24501:D 0.000744164 +2 *20608:X 0.000744164 +3 *24501:D *20608:B1 0.000494278 +4 *24501:D *20608:B2 7.49402e-05 +5 *24501:D *1541:142 0 +6 *24501:D *2612:77 2.99287e-05 +7 *24501:D *2713:27 9.04668e-05 +8 *74:36 *24501:D 5.19868e-05 +*RES +1 *20608:X *24501:D 41.3089 +*END + +*D_NET *1010 0.000597636 +*CONN +*I *24502:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20604:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24502:D 0.000120618 +2 *20604:X 0.000120618 +3 *24502:D *20604:A2 0.000124145 +4 *24502:D *5854:322 0.000120548 +5 *24502:D *5860:401 0.000111708 +*RES +1 *20604:X *24502:D 31.0235 +*END + +*D_NET *1011 0.00214875 +*CONN +*I *24503:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20603:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24503:D 0.000929716 +2 *20603:X 0.000929716 +3 *24503:D *20600:A1 2.16355e-05 +4 *24503:D *20603:A2 7.92757e-06 +5 *24503:D *21952:A1 0 +6 *24503:D *24503:RESET_B 5.37479e-05 +7 *24503:D *2447:9 1.75155e-06 +8 *24503:D *4926:28 0.000186173 +9 *24503:D *5085:7 1.8078e-05 +*RES +1 *20603:X *24503:D 33.4967 +*END + +*D_NET *1012 0.00253254 +*CONN +*I *24504:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20602:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24504:D 0.000389141 +2 *20602:X 0.000389141 +3 *24504:D *20602:A1 6.08467e-05 +4 *24504:D *1427:13 0.00076017 +5 *24504:D *2808:20 3.29488e-05 +6 *24504:D *4832:116 5.32449e-05 +7 *24504:D *5851:211 7.52574e-06 +8 *24504:D *5858:223 8.04951e-05 +9 *460:63 *24504:D 0.000759028 +*RES +1 *20602:X *24504:D 40.9133 +*END + +*D_NET *1013 0.00106659 +*CONN +*I *24505:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20601:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24505:D 0.000196638 +2 *20601:X 0.000196638 +3 *24505:D *20601:A1 5.53366e-06 +4 *24505:D *20601:A2 5.12232e-05 +5 *24505:D *20601:B1 0.000110228 +6 *24505:D *20601:B2 4.9482e-06 +7 *24505:D *1573:18 1.54974e-05 +8 *24505:D *1753:37 0.000313495 +9 *24505:D *5860:132 0.000172386 +*RES +1 *20601:X *24505:D 34.4877 +*END + +*D_NET *1014 0.00296864 +*CONN +*I *24506:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20600:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24506:D 0.000854899 +2 *20600:X 0.000854899 +3 *24506:D *1764:128 2.48665e-05 +4 *24506:D *2010:7 0.000423922 +5 *24506:D *2426:43 3.82228e-05 +6 *24506:D *2445:14 0.000504544 +7 *24506:D *2623:8 0.000165228 +8 *24506:D *4832:116 0.000102058 +*RES +1 *20600:X *24506:D 46.2625 +*END + +*D_NET *1015 0.00138051 +*CONN +*I *24507:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20596:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24507:D 0.000499693 +2 *20596:X 0.000499693 +3 *24507:D *19861:A 5.85117e-05 +4 *24507:D *20596:A2 0 +5 *24507:D *24507:CLK 0.000104572 +6 *24507:D *4822:27 6.22557e-05 +7 *24507:D *4830:11 1.03403e-05 +8 *24507:D *4838:274 8.50282e-05 +9 *24507:D *5281:5 6.04131e-05 +10 mgmt_gpio_out[21] *24507:D 0 +*RES +1 *20596:X *24507:D 40.8614 +*END + +*D_NET *1016 0.000542815 +*CONN +*I *24508:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20595:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24508:D 7.28076e-05 +2 *20595:X 7.28076e-05 +3 *24508:D *4843:126 1.09551e-05 +4 *24508:D *4843:131 0.00013978 +5 *24508:D *4895:48 0.000224395 +6 *24508:D *5285:7 2.20702e-05 +*RES +1 *20595:X *24508:D 22.5734 +*END + +*D_NET *1017 0.000481621 +*CONN +*I *24509:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20594:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24509:D 0.000141923 +2 *20594:X 0.000141923 +3 *24509:D *20594:A1 0.000118792 +4 *24509:D *20596:B2 0 +5 *24509:D *24509:RESET_B 4.40531e-05 +6 *24509:D *4840:325 2.55314e-05 +7 *24509:D *5286:10 9.39891e-06 +*RES +1 *20594:X *24509:D 30.6083 +*END + +*D_NET *1018 0.000273039 +*CONN +*I *24510:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20593:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24510:D 0.000100278 +2 *20593:X 0.000100278 +3 *24510:D *24713:CLK 2.65831e-05 +4 *24510:D *4841:302 3.20069e-06 +5 *24510:D *4841:322 4.27003e-05 +6 *24510:D *5287:10 0 +*RES +1 *20593:X *24510:D 30.0537 +*END + +*D_NET *1019 0.000840141 +*CONN +*I *24511:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20592:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24511:D 0.000219481 +2 *20592:X 0.000219481 +3 *24511:D *21509:A 0.000115934 +4 *24511:D *2869:14 8.62625e-06 +5 *24511:D *4838:274 0.000101133 +6 *24511:D *5288:9 0.000175485 +7 *24511:D *5866:499 0 +*RES +1 *20592:X *24511:D 32.2721 +*END + +*D_NET *1020 0.000511354 +*CONN +*I *24512:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20591:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24512:D 0.000158372 +2 *20591:X 0.000158372 +3 *24512:D *20591:A1 6.5475e-05 +4 *24512:D *24512:CLK 0.000129135 +5 *24512:D *5866:685 0 +*RES +1 *20591:X *24512:D 22.1876 +*END + +*D_NET *1021 0.00124714 +*CONN +*I *24513:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20590:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24513:D 0.000190124 +2 *20590:X 0.000190124 +3 *24513:D *2006:30 4.36058e-05 +4 *24513:D *2006:44 0.000135247 +5 *24513:D *4824:70 0.000301207 +6 *24513:D *5888:8 0.000122236 +7 *24513:D *5906:38 0.0002646 +*RES +1 *20590:X *24513:D 36.0094 +*END + +*D_NET *1022 0.000702165 +*CONN +*I *24514:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20589:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24514:D 0.000190746 +2 *20589:X 0.000190746 +3 *24514:D *20589:A1 0.000164829 +4 *24514:D *20589:B2 9.96342e-05 +5 *24514:D *2380:8 3.77804e-05 +6 *24514:D *4845:85 4.30017e-06 +7 *24514:D *4845:95 1.41291e-05 +*RES +1 *20589:X *24514:D 31.7175 +*END + +*D_NET *1023 0.000443209 +*CONN +*I *24515:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20585:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24515:D 0.000162686 +2 *20585:X 0.000162686 +3 *24515:D *1515:133 0.0001107 +4 *24515:D *1711:65 7.13655e-06 +*RES +1 *20585:X *24515:D 31.2111 +*END + +*D_NET *1024 0.00166427 +*CONN +*I *24516:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20584:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24516:D 0.000578732 +2 *20584:X 0.000578732 +3 *24516:D *20584:A1 2.75742e-06 +4 *24516:D *20584:A2 1.33419e-05 +5 *24516:D *20584:B1 0.000150598 +6 *24516:D *20585:A2 2.15348e-05 +7 *24516:D *5077:8 0.000230591 +8 *24516:D *5860:100 8.79845e-05 +*RES +1 *20584:X *24516:D 32.1705 +*END + +*D_NET *1025 0.000389012 +*CONN +*I *24517:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20583:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24517:D 9.62096e-05 +2 *20583:X 9.62096e-05 +3 *24517:D *1471:75 3.70312e-05 +4 *24517:D *1641:10 0.000159561 +*RES +1 *20583:X *24517:D 30.576 +*END + +*D_NET *1026 0.000633082 +*CONN +*I *24518:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20582:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24518:D 0.000188114 +2 *20582:X 0.000188114 +3 *24518:D *19936:A2 4.60197e-05 +4 *24518:D *2003:48 4.60197e-05 +5 *24518:D *5898:25 0.000164815 +*RES +1 *20582:X *24518:D 31.5781 +*END + +*D_NET *1027 0.000627213 +*CONN +*I *24519:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20581:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24519:D 0.000264367 +2 *20581:X 0.000264367 +3 *24519:D *24519:RESET_B 3.14768e-05 +4 *24519:D *1791:18 2.33193e-05 +5 *24519:D *2578:15 3.00073e-05 +6 *24519:D *2580:17 5.04829e-06 +7 *24519:D *4863:11 8.62625e-06 +*RES +1 *20581:X *24519:D 31.9352 +*END + +*D_NET *1028 0.00132797 +*CONN +*I *24520:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20580:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24520:D 0.000322958 +2 *20580:X 0.000322958 +3 *24520:D *1511:50 0.000170577 +4 *24520:D *1748:19 9.18559e-06 +5 *24520:D *1791:14 7.93324e-05 +6 *24520:D *2445:23 6.92705e-05 +7 *24520:D *5481:23 0.000353686 +*RES +1 *20580:X *24520:D 35.3505 +*END + +*D_NET *1029 0.00155959 +*CONN +*I *24521:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20579:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24521:D 0.000379594 +2 *20579:X 0.000379594 +3 *24521:D *20579:B2 1.43848e-05 +4 *24521:D *24982:A 0.000133963 +5 *24521:D *1791:18 1.62321e-05 +6 *24521:D *1791:28 8.19494e-05 +7 *24521:D *2004:42 0.000261346 +8 *24521:D *4844:339 0.000207266 +9 *24521:D *4921:26 2.16355e-05 +10 *24521:D *5082:34 2.77625e-06 +11 *24521:D *5917:582 6.08467e-05 +*RES +1 *20579:X *24521:D 36.8721 +*END + +*D_NET *1030 0.00058865 +*CONN +*I *24522:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20578:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24522:D 9.66428e-05 +2 *20578:X 9.66428e-05 +3 *24522:D *19998:A1 0.000158357 +4 *24522:D *1485:18 8.86331e-05 +5 *24522:D *1641:10 8.86331e-05 +6 *24522:D *1697:110 5.97411e-05 +*RES +1 *20578:X *24522:D 31.1629 +*END + +*D_NET *1031 0.00137011 +*CONN +*I *24523:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20574:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24523:D 0.000410325 +2 *20574:X 0.000410325 +3 *24523:D *20574:B1 0.000205101 +4 *24523:D *1485:123 0.000103269 +5 *24523:D *2001:22 6.34651e-06 +6 *24523:D *4803:12 0.00012568 +7 *24523:D *5475:59 0.00010906 +*RES +1 *20574:X *24523:D 35.4604 +*END + +*D_NET *1032 0.00051391 +*CONN +*I *24524:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20573:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24524:D 0.000232427 +2 *20573:X 0.000232427 +3 *24524:D *20573:A1 5.67833e-06 +4 *24524:D *24524:CLK 4.3378e-05 +*RES +1 *20573:X *24524:D 22.0188 +*END + +*D_NET *1033 0.000925133 +*CONN +*I *24525:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20572:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24525:D 0.000261911 +2 *20572:X 0.000261911 +3 *24525:D *21540:A 0.000162034 +4 *24525:D *24527:RESET_B 7.82499e-05 +5 *24525:D *1482:34 1.22763e-05 +6 *24525:D *1795:44 0.000103557 +7 *24525:D *4798:46 4.51931e-05 +*RES +1 *20572:X *24525:D 34.7664 +*END + +*D_NET *1034 0.00242341 +*CONN +*I *24526:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20571:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24526:D 0.000799274 +2 *20571:X 0.000799274 +3 *24526:D *20571:A1 0.000154145 +4 *24526:D *20571:B1 6.1827e-05 +5 *24526:D *20571:B2 0.000147437 +6 *24526:D *24479:CLK 0.000160617 +7 *24526:D *1483:37 0.000112434 +8 *24526:D *2001:22 2.57986e-05 +9 *24526:D *3368:65 0.000142299 +10 *24526:D *4801:22 2.03049e-05 +*RES +1 *20571:X *24526:D 42.4238 +*END + +*D_NET *1035 0.00209274 +*CONN +*I *24527:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20570:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24527:D 0.000390624 +2 *20570:X 0.000390624 +3 *24527:D *20570:A1 3.82228e-05 +4 *24527:D *20570:A2 0.000111722 +5 *24527:D *20570:B2 3.99086e-06 +6 *24527:D *23697:D 4.31539e-05 +7 *24527:D *24527:CLK 0.000158078 +8 *24527:D *4009:11 8.36597e-05 +9 *24527:D *4182:8 0.000139435 +10 *24527:D *4803:12 0.000143032 +11 *24527:D *4870:116 0.000584686 +12 *24527:D *5851:577 5.51483e-06 +*RES +1 *20570:X *24527:D 41.5904 +*END + +*D_NET *1036 0.00117217 +*CONN +*I *24528:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20566:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24528:D 0.000261351 +2 *20566:X 0.000261351 +3 *24528:D *1482:34 0.000169415 +4 *24528:D *5592:19 0.000307023 +5 *24528:D *5861:166 0.000173028 +*RES +1 *20566:X *24528:D 34.0725 +*END + +*D_NET *1037 0.000713174 +*CONN +*I *24529:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20565:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24529:D 0.000153527 +2 *20565:X 0.000153527 +3 *24529:D *1482:34 0.000122068 +4 *24529:D *1699:42 0.000158371 +5 *24529:D *5861:166 0.00012568 +*RES +1 *20565:X *24529:D 31.5781 +*END + +*D_NET *1038 0.00090589 +*CONN +*I *24530:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20564:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24530:D 0.000323455 +2 *20564:X 0.000323455 +3 *24530:D *1483:25 0.000103278 +4 *24530:D *1521:46 0.000112952 +5 *24530:D *5801:92 4.27503e-05 +*RES +1 *20564:X *24530:D 35.6533 +*END + +*D_NET *1039 0.00117475 +*CONN +*I *24531:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20563:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24531:D 0.000344476 +2 *20563:X 0.000344476 +3 *24531:D *24531:CLK 2.16355e-05 +4 *24531:D *24922:A 2.57847e-05 +5 *24531:D *1763:43 0.000122083 +6 *24531:D *1997:51 0.000118485 +7 *24531:D *1998:19 7.77744e-05 +8 *24531:D *4751:19 2.65831e-05 +9 *24531:D *5456:31 9.34535e-05 +*RES +1 *20563:X *24531:D 36.7384 +*END + +*D_NET *1040 0.00164899 +*CONN +*I *24532:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20562:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24532:D 0.000342089 +2 *20562:X 0.000342089 +3 *24532:D *20562:B1 6.08467e-05 +4 *24532:D *20565:A1 6.70887e-06 +5 *24532:D *24627:CLK 5.97576e-05 +6 *24532:D *3089:18 0.000437546 +7 *24532:D *4855:13 0.000267383 +8 *24532:D *5868:6 0.000132567 +*RES +1 *20562:X *24532:D 38.5303 +*END + +*D_NET *1041 0.000848037 +*CONN +*I *24533:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20558:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24533:D 0.000219873 +2 *20558:X 0.000219873 +3 *24533:D *20558:A1 0.000162583 +4 *24533:D *20558:B1 6.92705e-05 +5 *24533:D *1994:63 3.58321e-05 +6 *24533:D *1995:24 0.000130777 +7 *24533:D *4828:13 9.82896e-06 +*RES +1 *20558:X *24533:D 32.6874 +*END + +*D_NET *1042 0.00101144 +*CONN +*I *24534:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20557:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24534:D 0.000268951 +2 *20557:X 0.000268951 +3 *24534:D *20145:A1 3.64415e-05 +4 *24534:D *21567:A 0.000269548 +5 *24534:D *1995:26 0 +6 *24534:D *4842:24 0.000143032 +7 *24534:D *5272:8 7.92757e-06 +8 *24534:D *5868:531 1.65872e-05 +*RES +1 *20557:X *24534:D 36.9848 +*END + +*D_NET *1043 0.00183322 +*CONN +*I *24535:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20556:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24535:D 0.000520615 +2 *20556:X 0.000520615 +3 *24535:D *22867:B 0 +4 *24535:D *24535:CLK 2.60879e-06 +5 *24535:D *5658:13 4.90562e-05 +6 *24535:D *5934:25 0.00074032 +*RES +1 *20556:X *24535:D 37.6788 +*END + +*D_NET *1044 0.000458979 +*CONN +*I *24536:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20555:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24536:D 0.000168301 +2 *20555:X 0.000168301 +3 *24536:D *20555:A1 0 +4 *24536:D *5273:8 0 +5 *24536:D *5934:25 0.000122378 +*RES +1 *20555:X *24536:D 31.0235 +*END + +*D_NET *1045 0.00113394 +*CONN +*I *24537:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20554:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24537:D 0.000387062 +2 *20554:X 0.000387062 +3 *24537:D *20553:B2 1.60442e-05 +4 *24537:D *20554:A2 0.000111352 +5 *24537:D *20554:B2 6.97525e-05 +6 *24537:D *24586:CLK 3.44412e-06 +7 *24537:D *1856:8 0.000122978 +8 *24537:D *4885:137 3.62414e-05 +*RES +1 *20554:X *24537:D 34.9058 +*END + +*D_NET *1046 0.000532173 +*CONN +*I *24538:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20553:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24538:D 0.000110905 +2 *20553:X 0.000110905 +3 *24538:D *20553:A1 0.000111352 +4 *24538:D *1994:15 0.000101177 +5 *24538:D *2448:10 9.78334e-05 +*RES +1 *20553:X *24538:D 30.6083 +*END + +*D_NET *1047 0.000376758 +*CONN +*I *24539:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20552:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24539:D 9.27806e-05 +2 *20552:X 9.27806e-05 +3 *24539:D *20552:A1 6.92705e-05 +4 *24539:D *1994:56 9.96342e-05 +5 *24539:D *2818:11 2.22923e-05 +*RES +1 *20552:X *24539:D 30.0537 +*END + +*D_NET *1048 0.0012175 +*CONN +*I *24540:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20551:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24540:D 0.000436027 +2 *20551:X 0.000436027 +3 *24540:D *24540:CLK 0.0002353 +4 *24540:D *24642:CLK 7.50872e-05 +5 *24540:D *1994:28 3.00073e-05 +6 *24540:D *4886:80 5.04829e-06 +*RES +1 *20551:X *24540:D 35.2628 +*END + +*D_NET *1049 0.00139181 +*CONN +*I *24541:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20547:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24541:D 0.000325539 +2 *20547:X 0.000325539 +3 *24541:D *1884:150 0.000127447 +4 *24541:D *4888:184 0.000266719 +5 *24541:D *5099:7 3.6455e-05 +6 *24541:D *5866:259 0.000310109 +*RES +1 *20547:X *24541:D 38.7824 +*END + +*D_NET *1050 0.000913186 +*CONN +*I *24542:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20546:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24542:D 0.000186855 +2 *20546:X 0.000186855 +3 *24542:D *1656:12 0.000153225 +4 *24542:D *1992:14 5.84982e-05 +5 *24542:D *4126:57 0.000153225 +6 *24542:D *5250:27 0.000174526 +*RES +1 *20546:X *24542:D 34.7664 +*END + +*D_NET *1051 0.00112464 +*CONN +*I *24543:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20545:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24543:D 0.000273432 +2 *20545:X 0.000273432 +3 *24543:D *20539:A 6.50586e-05 +4 *24543:D *1884:150 7.69541e-06 +5 *24543:D *2077:9 0.000137098 +6 *24543:D *4888:28 0.000254549 +7 *24543:D *5866:259 0.000113374 +*RES +1 *20545:X *24543:D 36.7384 +*END + +*D_NET *1052 0.000551946 +*CONN +*I *24544:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20544:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24544:D 0.000133834 +2 *20544:X 0.000133834 +3 *24544:D *2737:10 5.04734e-05 +4 *24544:D *4840:100 0.000111722 +5 *24544:D *4841:131 0.000122083 +*RES +1 *20544:X *24544:D 31.0235 +*END + +*D_NET *1053 0.00139465 +*CONN +*I *24545:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20543:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24545:D 0.000424539 +2 *20543:X 0.000424539 +3 *24545:D *20543:A1 7.58194e-05 +4 *24545:D *20543:B1 5.04829e-06 +5 *24545:D *24545:CLK 2.65831e-05 +6 *24545:D *1725:218 0 +7 *24545:D *1992:29 4.79321e-06 +8 *24545:D *3047:11 8.65358e-05 +9 *24545:D *3070:8 0.000320858 +10 *24545:D *5859:28 0 +11 *24545:D *5859:36 0 +12 *24545:D *5859:47 2.59398e-05 +*RES +1 *20543:X *24545:D 38.2572 +*END + +*D_NET *1054 0.000526871 +*CONN +*I *24546:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20542:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24546:D 9.08524e-05 +2 *20542:X 9.08524e-05 +3 *24546:D *2374:14 9.60366e-05 +4 *24546:D *2530:11 8.85118e-05 +5 *24546:D *2881:17 0.000160617 +*RES +1 *20542:X *24546:D 31.1629 +*END + +*D_NET *1055 0.00072846 +*CONN +*I *24547:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20541:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24547:D 0.000151082 +2 *20541:X 0.000151082 +3 *24547:D *24461:CLK 0.000124868 +4 *24547:D *1992:29 5.04829e-06 +5 *24547:D *2893:13 0.000115508 +6 *24547:D *5867:358 0.000115508 +7 *24547:D *5921:72 6.5364e-05 +*RES +1 *20541:X *24547:D 33.242 +*END + +*D_NET *1056 0.000874984 +*CONN +*I *24548:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20540:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24548:D 0.000236979 +2 *20540:X 0.000236979 +3 *24548:D *20540:A1 6.92705e-05 +4 *24548:D *20542:B1 3.20069e-06 +5 *24548:D *1784:130 2.66305e-06 +6 *24548:D *1789:28 0.000158357 +7 *24548:D *2893:13 0.000167535 +*RES +1 *20540:X *24548:D 34.0358 +*END + +*D_NET *1057 0.00153182 +*CONN +*I *24549:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20536:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24549:D 0.000512473 +2 *20536:X 0.000512473 +3 *24549:D *2593:24 0.000179827 +4 *24549:D *4859:8 0.000107968 +5 *24549:D *4985:22 0.000107496 +6 *24549:D *5869:689 0.00011158 +*RES +1 *20536:X *24549:D 36.5696 +*END + +*D_NET *1058 0.00111059 +*CONN +*I *24550:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20535:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24550:D 0.000244868 +2 *20535:X 0.000244868 +3 *24550:D *24550:RESET_B 0.000114053 +4 *24550:D *2672:40 0.000145506 +5 *24550:D *2785:75 0.000215787 +6 *24550:D *3028:20 0.000145506 +*RES +1 *20535:X *24550:D 36.4302 +*END + +*D_NET *1059 0.00176137 +*CONN +*I *24551:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20534:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24551:D 0.000476842 +2 *20534:X 0.000476842 +3 *24551:D *1603:48 0.000112895 +4 *24551:D *1733:26 0.000116493 +5 *24551:D *2838:76 0.000578294 +*RES +1 *20534:X *24551:D 36.5696 +*END + +*D_NET *1060 0.00134482 +*CONN +*I *24552:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20533:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24552:D 0.000425216 +2 *20533:X 0.000425216 +3 *24552:D *22770:B1 0.000154145 +4 *24552:D *2603:96 9.66809e-05 +5 *24552:D *2608:26 4.15661e-05 +6 *24552:D *2608:31 4.97617e-05 +7 *24552:D *2614:38 9.12416e-06 +8 *24552:D *2852:27 0.000128788 +9 *24552:D *3533:79 1.43259e-05 +*RES +1 *20533:X *24552:D 37.6788 +*END + +*D_NET *1061 0.00163051 +*CONN +*I *24553:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20532:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24553:D 0.00061817 +2 *20532:X 0.00061817 +3 *24553:D *24550:CLK 0.000143625 +4 *24553:D *24553:RESET_B 0.000100397 +5 *24553:D *5271:8 7.50722e-05 +6 *24553:D *5858:59 7.50722e-05 +*RES +1 *20532:X *24553:D 37.9816 +*END + +*D_NET *1062 0.000596933 +*CONN +*I *24554:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20528:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24554:D 0.000121567 +2 *20528:X 0.000121567 +3 *24554:D *1740:125 0.000119232 +4 *24554:D *3411:42 0.000122844 +5 *24554:D *5592:19 0.000111722 +*RES +1 *20528:X *24554:D 31.0235 +*END + +*D_NET *1063 0.000498222 +*CONN +*I *24555:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20527:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24555:D 0.000175755 +2 *20527:X 0.000175755 +3 *24555:D *20527:A1 1.75125e-05 +4 *24555:D *1985:56 7.34948e-06 +5 *24555:D *2943:78 0.000101619 +6 *24555:D *5858:96 2.02305e-05 +*RES +1 *20527:X *24555:D 31.0235 +*END + +*D_NET *1064 0.00200677 +*CONN +*I *24556:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20526:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24556:D 0.000603184 +2 *20526:X 0.000603184 +3 *24556:D *20526:A2 3.95516e-05 +4 *24556:D *20526:B2 7.92757e-06 +5 *24556:D *20534:B1 0.000205101 +6 *24556:D *1438:119 0.000143032 +7 *24556:D *3368:27 0.000258142 +8 *24556:D *4799:10 0.000146645 +*RES +1 *20526:X *24556:D 38.6486 +*END + +*D_NET *1065 0.000593754 +*CONN +*I *24557:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20525:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24557:D 0.000112045 +2 *20525:X 0.000112045 +3 *24557:D *24557:CLK 0.000111708 +4 *24557:D *4858:10 0.000127179 +5 *24557:D *5858:112 0.000130777 +*RES +1 *20525:X *24557:D 31.0235 +*END + +*D_NET *1066 0.00432269 +*CONN +*I *24558:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20524:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24558:D 0.000183063 +2 *20524:X 0.00120425 +3 *1066:22 0.00138731 +4 *24558:D *5681:19 2.97488e-05 +5 *24558:D *5681:25 0.000154145 +6 *1066:22 *19965:A 0.000110306 +7 *1066:22 *20524:A1 1.39721e-05 +8 *1066:22 *24554:RESET_B 1.61873e-05 +9 *1066:22 *24554:CLK 0.000482286 +10 *1066:22 *1482:34 0.000316627 +11 *1066:22 *1998:44 8.81102e-05 +12 *1066:22 *4798:42 9.69694e-05 +13 *1066:22 *4798:46 7.8756e-07 +14 *1066:22 *5592:19 0.00016491 +15 *1066:22 *5858:112 7.40253e-05 +*RES +1 *20524:X *1066:22 47.6513 +2 *1066:22 *24558:D 16.5764 +*END + +*D_NET *1067 0.000642523 +*CONN +*I *24559:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20520:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24559:D 0.000189395 +2 *20520:X 0.000189395 +3 *24559:D *20520:A1 0.00015901 +4 *24559:D *20520:A2 4.42259e-05 +5 *24559:D *20520:B1 1.91246e-05 +6 *24559:D *24559:CLK 8.36586e-06 +7 *24559:D *4865:6 3.30069e-05 +*RES +1 *20520:X *24559:D 31.5781 +*END + +*D_NET *1068 0.000421603 +*CONN +*I *24560:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20519:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24560:D 0.000108307 +2 *20519:X 0.000108307 +3 *24560:D *20519:B1 1.72559e-06 +4 *24560:D *20519:B2 0.000131019 +5 *24560:D *1741:93 7.2245e-05 +*RES +1 *20519:X *24560:D 22.5734 +*END + +*D_NET *1069 0.00106358 +*CONN +*I *24561:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20518:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24561:D 0.000264255 +2 *20518:X 0.000264255 +3 *24561:D *1450:113 0.000300565 +4 *24561:D *2576:42 0.000115448 +5 *494:26 *24561:D 0.00011906 +*RES +1 *20518:X *24561:D 33.242 +*END + +*D_NET *1070 0.000927711 +*CONN +*I *24562:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20517:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24562:D 0.000198451 +2 *20517:X 0.000198451 +3 *24562:D *23931:B1 1.86674e-05 +4 *24562:D *24562:RESET_B 0.00016195 +5 *24562:D *1744:24 0.000271181 +6 *24562:D *2759:27 7.90099e-05 +7 *24562:D *4843:517 0 +8 *24562:D *5864:70 0 +*RES +1 *20517:X *24562:D 34.4849 +*END + +*D_NET *1071 0.00162562 +*CONN +*I *24563:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20516:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24563:D 0.000618232 +2 *20516:X 0.000618232 +3 *24563:D *20514:B2 0.000192457 +4 *24563:D *21539:A 1.37029e-05 +5 *24563:D *4843:509 8.04378e-05 +6 *24563:D *4919:18 9.79058e-05 +7 *24563:D *5262:5 4.65615e-06 +*RES +1 *20516:X *24563:D 38.4483 +*END + +*D_NET *1072 0.000864572 +*CONN +*I *24564:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20515:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24564:D 0.000214527 +2 *20515:X 0.000214527 +3 *24564:D *20515:A1 0.000164829 +4 *24564:D *20515:B1 6.08467e-05 +5 *24564:D *1982:43 1.41853e-05 +6 *24564:D *1983:24 9.96342e-05 +7 *24564:D *2440:42 9.60216e-05 +*RES +1 *20515:X *24564:D 32.2721 +*END + +*D_NET *1073 0.000774655 +*CONN +*I *24565:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20514:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24565:D 0.000220354 +2 *20514:X 0.000220354 +3 *24565:D *20514:A1 8.22812e-05 +4 *24565:D *4827:24 3.0496e-05 +5 *24565:D *4844:303 0.000205006 +6 *24565:D *4844:305 1.61631e-05 +*RES +1 *20514:X *24565:D 31.7175 +*END + +*D_NET *1074 0.000740414 +*CONN +*I *24566:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20513:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24566:D 0.000227686 +2 *20513:X 0.000227686 +3 *24566:D *20513:A1 7.50872e-05 +4 *24566:D *1982:91 1.79672e-05 +5 *24566:D *2100:12 1.84545e-05 +6 *24566:D *2398:93 0.000173533 +7 *506:32 *24566:D 0 +*RES +1 *20513:X *24566:D 32.1327 +*END + +*D_NET *1075 0.00247848 +*CONN +*I *24567:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20509:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24567:D 0.000905533 +2 *20509:X 0.000905533 +3 *24567:D *20509:A1 1.37189e-05 +4 *24567:D *20509:A2 1.87271e-05 +5 *24567:D *20509:B1 0.000144675 +6 *24567:D *20509:B2 0.00027092 +7 *24567:D *4887:46 0.00021937 +*RES +1 *20509:X *24567:D 38.7775 +*END + +*D_NET *1076 0.000654192 +*CONN +*I *24568:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20508:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24568:D 0.000165697 +2 *20508:X 0.000165697 +3 *24568:D *20508:A1 7.50872e-05 +4 *24568:D *21970:A 4.9073e-05 +5 *24568:D *1980:13 6.1469e-05 +6 *24568:D *5116:7 2.16355e-05 +7 *490:46 *24568:D 0.000115534 +*RES +1 *20508:X *24568:D 32.6874 +*END + +*D_NET *1077 0.00208765 +*CONN +*I *24569:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20507:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24569:D 0.000947385 +2 *20507:X 0.000947385 +3 *24569:D *20507:A2 6.36477e-05 +4 *24569:D *20507:B2 1.03403e-05 +5 *24569:D *1979:49 6.08467e-05 +6 *24569:D *1980:33 2.58106e-05 +7 *24569:D *2984:7 1.4091e-06 +8 *24569:D *4840:284 8.77154e-06 +9 *24569:D *5117:11 2.20583e-05 +*RES +1 *20507:X *24569:D 32.0017 +*END + +*D_NET *1078 0.000733077 +*CONN +*I *24570:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20506:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24570:D 0.000170167 +2 *20506:X 0.000170167 +3 *24570:D *20504:A2 0.000122083 +4 *24570:D *21313:A 0.000150236 +5 *24570:D *24570:RESET_B 8.39619e-05 +6 *24570:D *4841:218 0 +7 *24570:D *4842:192 3.6462e-05 +*RES +1 *20506:X *24570:D 33.7966 +*END + +*D_NET *1079 0.000699527 +*CONN +*I *24571:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20505:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24571:D 0.000231208 +2 *20505:X 0.000231208 +3 *24571:D *2566:8 3.58321e-05 +4 *24571:D *5866:422 7.41002e-05 +5 *24571:D *5918:32 0.000127179 +*RES +1 *20505:X *24571:D 33.7966 +*END + +*D_NET *1080 0.00027227 +*CONN +*I *24572:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20504:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24572:D 3.65008e-05 +2 *20504:X 3.65008e-05 +3 *24572:D *4823:156 9.96342e-05 +4 *24572:D *4895:20 9.96342e-05 +*RES +1 *20504:X *24572:D 29.3303 +*END + +*D_NET *1081 0.000554317 +*CONN +*I *24573:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20503:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24573:D 0.000205532 +2 *20503:X 0.000205532 +3 *24573:D *4895:62 2.20702e-05 +4 *24573:D *4895:69 0.000121183 +*RES +1 *20503:X *24573:D 22.0188 +*END + +*D_NET *1082 0.000817132 +*CONN +*I *24574:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20502:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24574:D 0.000214542 +2 *20502:X 0.000214542 +3 *24574:D *20502:A2 7.50872e-05 +4 *24574:D *20503:A1 4.27003e-05 +5 *24574:D *20503:A2 8.01837e-05 +6 *24574:D *21276:A 1.44467e-05 +7 *24574:D *4823:8 0.000124579 +8 *24574:D *4844:96 5.2504e-06 +9 *24574:D *4845:85 4.58003e-05 +*RES +1 *20502:X *24574:D 33.9303 +*END + +*D_NET *1083 0.000532841 +*CONN +*I *24575:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20498:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24575:D 0.000105522 +2 *20498:X 0.000105522 +3 *24575:D *20498:A1 0.000115934 +4 *24575:D *1856:8 0.000101133 +5 *24575:D *2796:8 0.000104731 +*RES +1 *20498:X *24575:D 30.6083 +*END + +*D_NET *1084 0.000895276 +*CONN +*I *24576:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20497:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24576:D 0.000299703 +2 *20497:X 0.000299703 +3 *24576:D *20497:A1 0.000154145 +4 *24576:D *20497:B1 7.92757e-06 +5 *24576:D *20497:B2 5.04829e-06 +6 *24576:D *1977:10 3.66027e-05 +7 *24576:D *2728:8 1.4091e-06 +8 *24576:D *4106:62 9.07365e-05 +*RES +1 *20497:X *24576:D 32.8267 +*END + +*D_NET *1085 0.000886372 +*CONN +*I *24577:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20496:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24577:D 0.000189618 +2 *20496:X 0.000189618 +3 *24577:D *1439:438 0.000207266 +4 *24577:D *2728:8 0.000148129 +5 *24577:D *4106:69 0.000151741 +*RES +1 *20496:X *24577:D 32.548 +*END + +*D_NET *1086 0.00179421 +*CONN +*I *24578:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20495:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24578:D 0.00058699 +2 *20495:X 0.00058699 +3 *24578:D *20495:A1 1.80122e-05 +4 *24578:D *20495:A2 7.34948e-06 +5 *24578:D *24578:CLK 0.000110566 +6 *24578:D *2685:8 0.000279225 +7 *24578:D *4739:22 5.69514e-05 +8 *24578:D *5855:10 0.000148129 +*RES +1 *20495:X *24578:D 40.2239 +*END + +*D_NET *1087 0.000768933 +*CONN +*I *24579:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20494:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24579:D 0.000185642 +2 *20494:X 0.000185642 +3 *24579:D *2620:40 7.44269e-05 +4 *24579:D *2796:14 0.000169078 +5 *24579:D *5853:35 0.000154145 +*RES +1 *20494:X *24579:D 32.4086 +*END + +*D_NET *1088 0.000418961 +*CONN +*I *24580:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20490:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24580:D 8.12524e-05 +2 *20490:X 8.12524e-05 +3 *24580:D *20489:A2 4.99147e-05 +4 *24580:D *24580:CLK 6.08467e-05 +5 *74:44 *24580:D 0.000145695 +*RES +1 *20490:X *24580:D 21.633 +*END + +*D_NET *1089 0.0016563 +*CONN +*I *24581:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20489:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24581:D 0.000443372 +2 *20489:X 0.000443372 +3 *24581:D *1761:8 0.000543049 +4 *24581:D *4927:6 0.000108645 +5 *24581:D *4927:103 9.89388e-06 +6 *74:36 *24581:D 0.000107968 +*RES +1 *20489:X *24581:D 36.015 +*END + +*D_NET *1090 0.000945859 +*CONN +*I *24582:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20488:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24582:D 0.000297123 +2 *20488:X 0.000297123 +3 *24582:D *20488:A1 2.61955e-05 +4 *24582:D *24582:SET_B 5.52748e-05 +5 *24582:D *2649:21 0.000135071 +6 *24582:D *4985:24 0.000135071 +*RES +1 *20488:X *24582:D 35.321 +*END + +*D_NET *1091 0.000712905 +*CONN +*I *24583:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20487:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24583:D 0.000161836 +2 *20487:X 0.000161836 +3 *24583:D *24583:RESET_B 6.38248e-05 +4 *24583:D *1569:71 0.000115585 +5 *24583:D *1722:13 1.20637e-06 +6 *24583:D *1744:171 0.000115585 +7 *24583:D *5869:611 7.13972e-05 +8 *24583:D *5869:625 2.16355e-05 +*RES +1 *20487:X *24583:D 32.6874 +*END + +*D_NET *1092 0.000492849 +*CONN +*I *24584:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20486:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24584:D 0.000138698 +2 *20486:X 0.000138698 +3 *24584:D *1569:78 0.000101133 +4 *24584:D *1744:156 0.000101133 +5 *24584:D *2523:59 1.31872e-05 +*RES +1 *20486:X *24584:D 31.1629 +*END + +*D_NET *1093 0.00104642 +*CONN +*I *24585:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20482:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24585:D 0.00043086 +2 *20482:X 0.00043086 +3 *24585:D *24585:SET_B 5.67722e-05 +4 *24585:D *4838:30 0 +5 *24585:D *4839:36 3.1218e-05 +6 *24585:D *5242:8 9.67077e-05 +*RES +1 *20482:X *24585:D 38.2334 +*END + +*D_NET *1094 0.000893555 +*CONN +*I *24586:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20481:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24586:D 0.000280117 +2 *20481:X 0.000280117 +3 *24586:D *24586:CLK 4.43826e-05 +4 *24586:D *1856:8 0 +5 *24586:D *4839:30 0.000123582 +6 *24586:D *4845:26 0.000165357 +*RES +1 *20481:X *24586:D 35.4548 +*END + +*D_NET *1095 0.000995309 +*CONN +*I *24587:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20480:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24587:D 0.000290978 +2 *20480:X 0.000290978 +3 *24587:D *20480:A1 0.000169041 +4 *24587:D *3860:27 6.50586e-05 +5 *24587:D *3860:30 2.95757e-05 +6 *24587:D *4841:44 0 +7 *24587:D *5856:28 0.00011818 +8 *24587:D *5861:5 3.14978e-05 +*RES +1 *20480:X *24587:D 33.5501 +*END + +*D_NET *1096 0.0019898 +*CONN +*I *24588:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20479:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24588:D 0.00087617 +2 *20479:X 0.00087617 +3 *24588:D *20479:B2 2.18523e-06 +4 *24588:D *2624:6 0.000130777 +5 *24588:D *3860:21 5.04829e-06 +6 *24588:D *4841:91 7.97098e-06 +7 *24588:D *4841:112 9.14834e-05 +8 *24588:D *5868:434 0 +*RES +1 *20479:X *24588:D 41.561 +*END + +*D_NET *1097 0.00101439 +*CONN +*I *24589:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20478:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24589:D 0.000317721 +2 *20478:X 0.000317721 +3 *24589:D *1724:8 5.53934e-05 +4 *24589:D *4842:29 0.000103943 +5 *24589:D *4842:36 8.8837e-05 +6 *24589:D *5856:16 0.000130777 +*RES +1 *20478:X *24589:D 34.3512 +*END + +*D_NET *1098 0.000435231 +*CONN +*I *24590:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20477:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24590:D 0.000118456 +2 *20477:X 0.000118456 +3 *24590:D *24590:CLK 4.81441e-05 +4 *24590:D *4739:18 7.50872e-05 +5 *24590:D *4839:64 7.50872e-05 +*RES +1 *20477:X *24590:D 30.2714 +*END + +*D_NET *1099 0.000412749 +*CONN +*I *24591:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20476:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24591:D 0.000185563 +2 *20476:X 0.000185563 +3 *24591:D *20398:B1 2.72248e-05 +4 *24591:D *24591:CLK 1.43983e-05 +*RES +1 *20476:X *24591:D 21.4642 +*END + +*D_NET *1100 0.000769147 +*CONN +*I *24592:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20475:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24592:D 0.000239374 +2 *20475:X 0.000239374 +3 *24592:D *20398:B1 0.000122068 +4 *24592:D *20475:A1 1.00937e-05 +5 *24592:D *20475:A2 3.25584e-05 +6 *24592:D *1971:20 0.00012568 +*RES +1 *20475:X *24592:D 32.6874 +*END + +*D_NET *1101 0.00103133 +*CONN +*I *24593:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20471:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24593:D 0.000422772 +2 *20471:X 0.000422772 +3 *24593:D *20471:A2 1.43848e-05 +4 *24593:D *20471:B1 3.42853e-05 +5 *24593:D *20471:B2 1.43983e-05 +6 *24593:D *24948:A 3.93679e-06 +7 *24593:D *1619:8 4.01437e-05 +8 *24593:D *1967:17 1.19856e-05 +9 *24593:D *4838:190 6.66538e-05 +*RES +1 *20471:X *24593:D 35.321 +*END + +*D_NET *1102 0.00172097 +*CONN +*I *24594:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20470:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24594:D 0.000706087 +2 *20470:X 0.000706087 +3 *24594:D *20470:A1 5.75508e-05 +4 *24594:D *20470:A2 1.44925e-05 +5 *24594:D *20470:B1 9.05286e-05 +6 *24594:D *21982:A 1.51705e-05 +7 *24594:D *24594:SET_B 5.05841e-05 +8 *24594:D *24597:D 1.27202e-05 +9 *24594:D *1967:33 3.53967e-05 +10 *24594:D *1967:42 2.01974e-05 +11 *24594:D *1968:38 1.21602e-05 +12 *24594:D *2978:8 0 +*RES +1 *20470:X *24594:D 43.3589 +*END + +*D_NET *1103 0.00026179 +*CONN +*I *24595:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20469:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24595:D 6.01445e-05 +2 *20469:X 6.01445e-05 +3 *24595:D *24595:RESET_B 0 +4 *24595:D *4824:25 1.07248e-05 +5 *24595:D *5594:8 0.000130777 +*RES +1 *20469:X *24595:D 29.7455 +*END + +*D_NET *1104 0.0024546 +*CONN +*I *24596:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20468:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24596:D 0.000630226 +2 *20468:X 0.000630226 +3 *24596:D *5672:8 5.88662e-05 +4 *24596:D *5868:761 0.000127179 +5 *24596:D *5934:25 0.0010081 +*RES +1 *20468:X *24596:D 40.4518 +*END + +*D_NET *1105 0.00100843 +*CONN +*I *24597:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20467:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24597:D 0.000364144 +2 *20467:X 0.000364144 +3 *24597:D *20467:A1 0.000164829 +4 *24597:D *20470:A2 1.82895e-05 +5 *24597:D *1967:33 8.43057e-05 +6 *24597:D *1968:38 0 +7 *24597:D *2978:8 0 +8 *24594:D *24597:D 1.27202e-05 +*RES +1 *20467:X *24597:D 36.7061 +*END + +*D_NET *1106 0.00111663 +*CONN +*I *24598:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20466:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24598:D 0.000286939 +2 *20466:X 0.000286939 +3 *24598:D *20466:B1 0.00012568 +4 *24598:D *1968:32 5.0459e-05 +5 *24598:D *4832:17 0.000366617 +*RES +1 *20466:X *24598:D 33.7966 +*END + +*D_NET *1107 0.000736807 +*CONN +*I *24599:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20465:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24599:D 0.000153722 +2 *20465:X 0.000153722 +3 *24599:D *20465:A1 9.19886e-06 +4 *24599:D *1656:8 7.52686e-05 +5 *24599:D *4839:282 6.08467e-05 +6 *24599:D *4844:76 0.000284048 +*RES +1 *20465:X *24599:D 33.3757 +*END + +*D_NET *1108 0.00163579 +*CONN +*I *24600:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20464:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24600:D 0.000397623 +2 *20464:X 0.000397623 +3 *24600:D *20464:A1 0.000162583 +4 *24600:D *1967:22 0.000127194 +5 *24600:D *2737:6 0.000482238 +6 *24600:D *2978:8 0 +7 *24600:D *4845:69 6.08467e-05 +8 *24600:D *4845:80 7.68538e-06 +*RES +1 *20464:X *24600:D 38.9161 +*END + +*D_NET *1109 0.000403701 +*CONN +*I *24601:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20460:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24601:D 0.000167981 +2 *20460:X 0.000167981 +3 *24601:D *20460:B2 0 +4 *24601:D *24601:CLK 5.97576e-05 +5 *24601:D *5854:331 7.98171e-06 +*RES +1 *20460:X *24601:D 22.0188 +*END + +*D_NET *1110 0.00113743 +*CONN +*I *24602:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20459:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24602:D 0.000318304 +2 *20459:X 0.000318304 +3 *24602:D *20459:A1 0.000171288 +4 *24602:D *1744:95 2.65831e-05 +5 *24602:D *1965:14 2.99929e-05 +6 *24602:D *4863:28 0.000101148 +7 *24602:D *5858:240 0.000160617 +8 *24602:D *5858:245 5.68225e-06 +9 *24602:D *5870:126 5.51483e-06 +*RES +1 *20459:X *24602:D 34.1047 +*END + +*D_NET *1111 0.00123259 +*CONN +*I *24603:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20458:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24603:D 0.000320933 +2 *20458:X 0.000320933 +3 *24603:D *20457:B2 4.35419e-05 +4 *24603:D *2951:25 0.000428134 +5 *24603:D *5858:233 0.000119049 +*RES +1 *20458:X *24603:D 34.3512 +*END + +*D_NET *1112 0.000937426 +*CONN +*I *24604:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20457:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24604:D 0.000147902 +2 *20457:X 0.000147902 +3 *24604:D *20457:A1 7.92757e-06 +4 *24604:D *1964:7 0.000111708 +5 *24604:D *2426:53 1.19618e-05 +6 *24604:D *3177:63 0.000253916 +7 *24604:D *5854:322 0.000126324 +8 *24604:D *5860:141 0.000129786 +*RES +1 *20457:X *24604:D 33.1026 +*END + +*D_NET *1113 0.000924883 +*CONN +*I *24605:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20456:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24605:D 0.000298639 +2 *20456:X 0.000298639 +3 *24605:D *20456:A1 0.000175485 +4 *24605:D *5851:287 4.26566e-05 +5 *24605:D *5851:301 9.82896e-06 +6 *24605:D *5860:288 9.96342e-05 +7 *24605:D *5870:136 0 +8 *24605:D *5870:140 0 +*RES +1 *20456:X *24605:D 33.3813 +*END + +*D_NET *1114 0.00125733 +*CONN +*I *24606:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20452:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24606:D 0.000374542 +2 *20452:X 0.000374542 +3 *24606:D *20452:A1 0.00015607 +4 *24606:D *20452:A2 3.80249e-05 +5 *24606:D *20452:B1 1.16107e-05 +6 *24606:D *20452:B2 3.00829e-05 +7 *24606:D *2510:36 8.96342e-05 +8 *24606:D *5869:236 0.000154145 +9 *24606:D *5869:239 2.86829e-05 +*RES +1 *20452:X *24606:D 35.2139 +*END + +*D_NET *1115 0.000411078 +*CONN +*I *24607:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20451:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24607:D 0.000127193 +2 *20451:X 0.000127193 +3 *24607:D *20451:A1 2.99978e-05 +4 *24607:D *20451:A2 4.31603e-06 +5 *24607:D *24607:CLK 0.000122378 +*RES +1 *20451:X *24607:D 22.0188 +*END + +*D_NET *1116 0.00249164 +*CONN +*I *24608:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20450:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24608:D 0.000880725 +2 *20450:X 0.000880725 +3 *24608:D *20450:B2 3.31745e-05 +4 *24608:D *24608:CLK 0.000139187 +5 *24608:D *1506:99 8.37812e-05 +6 *24608:D *1755:45 8.01837e-05 +7 *24608:D *4863:154 0.000393863 +*RES +1 *20450:X *24608:D 41.8397 +*END + +*D_NET *1117 0.00168303 +*CONN +*I *24609:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20449:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24609:D 0.000594816 +2 *20449:X 0.000594816 +3 *24609:D *20449:A1 3.99086e-06 +4 *24609:D *20449:A2 1.80257e-05 +5 *24609:D *20451:A2 7.34948e-06 +6 *24609:D *24609:CLK 7.99759e-05 +7 *24609:D *3089:12 0.000190316 +8 *24609:D *4863:136 0.000193741 +*RES +1 *20449:X *24609:D 38.5628 +*END + +*D_NET *1118 0.00171649 +*CONN +*I *24610:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20448:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24610:D 0.00051857 +2 *20448:X 0.00051857 +3 *24610:D *1763:15 0.000159032 +4 *24610:D *2789:12 0.000121221 +5 *24610:D *4936:8 0.000117877 +6 *24610:D *5854:139 0.000154145 +7 *24610:D *5869:212 6.57698e-05 +8 *24610:D *5869:236 6.13051e-05 +*RES +1 *20448:X *24610:D 37.1242 +*END + +*D_NET *1119 0.00181948 +*CONN +*I *24611:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20444:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24611:D 0.000723515 +2 *20444:X 0.000723515 +3 *24611:D *20444:A2 1.43848e-05 +4 *24611:D *20444:B2 1.03403e-05 +5 *24611:D *24429:CLK 5.04829e-06 +6 *24611:D *1770:12 0.000132567 +7 *24611:D *2737:16 0.000136179 +8 *24611:D *5861:66 1.21461e-06 +9 *24611:D *5861:242 6.10332e-05 +10 *24611:D *5861:257 1.16794e-05 +*RES +1 *20444:X *24611:D 39.2032 +*END + +*D_NET *1120 0.00159564 +*CONN +*I *24612:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20443:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24612:D 0.000328593 +2 *20443:X 0.000328593 +3 *24612:D *20443:A1 1.91391e-05 +4 *24612:D *24431:CLK 1.50651e-05 +5 *24612:D *2797:47 0.000342552 +6 *24612:D *2984:19 0.000345051 +7 *24612:D *2985:20 1.91391e-05 +8 *24612:D *3047:14 1.64859e-05 +9 *24612:D *4890:69 0.000165521 +10 *24612:D *4890:75 1.55025e-05 +*RES +1 *20443:X *24612:D 39.7136 +*END + +*D_NET *1121 0.00125654 +*CONN +*I *24613:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20442:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24613:D 0.000444424 +2 *20442:X 0.000444424 +3 *24613:D *24613:CLK 0.000217515 +4 *24613:D *1607:29 7.50872e-05 +5 *24613:D *2737:29 7.50872e-05 +*RES +1 *20442:X *24613:D 35.2628 +*END + +*D_NET *1122 0.000683425 +*CONN +*I *24614:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20441:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24614:D 0.000247541 +2 *20441:X 0.000247541 +3 *24614:D *20441:A2 7.50872e-05 +4 *24614:D *2264:16 1.79807e-05 +5 *24614:D *4891:51 9.52759e-05 +*RES +1 *20441:X *24614:D 31.9352 +*END + +*D_NET *1123 0.00130109 +*CONN +*I *24615:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20440:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24615:D 0.000363183 +2 *20440:X 0.000363183 +3 *24615:D *20440:A1 0.000164815 +4 *24615:D *24433:CLK 1.34424e-05 +5 *24615:D *2846:16 9.96342e-05 +6 *24615:D *2978:8 9.60366e-05 +7 *24615:D *4888:116 0.000200794 +*RES +1 *20440:X *24615:D 34.4905 +*END + +*D_NET *1124 0.000817243 +*CONN +*I *24616:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20439:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24616:D 0.000188082 +2 *20439:X 0.000188082 +3 *24616:D *20439:A2 5.04734e-05 +4 *24616:D *24616:RESET_B 7.28319e-05 +5 *24616:D *2416:16 0.000122083 +6 *24616:D *5865:148 3.14978e-05 +7 *489:54 *24616:D 0.000164193 +*RES +1 *20439:X *24616:D 34.3512 +*END + +*D_NET *1125 0.000836114 +*CONN +*I *24617:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20438:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24617:D 0.000219366 +2 *20438:X 0.000219366 +3 *24617:D *2339:8 0.000114679 +4 *24617:D *2358:8 0.000114679 +5 *24617:D *4860:38 0.000168023 +*RES +1 *20438:X *24617:D 34.3512 +*END + +*D_NET *1126 0.000957719 +*CONN +*I *24618:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20437:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24618:D 0.000218489 +2 *20437:X 0.000218489 +3 *24618:D *20437:A1 0.000107496 +4 *24618:D *20437:A2 4.42142e-05 +5 *24618:D *24618:RESET_B 1.03594e-05 +6 *24618:D *1958:55 0.000273017 +7 *24618:D *2488:11 8.56552e-05 +*RES +1 *20437:X *24618:D 33.9303 +*END + +*D_NET *1127 0.00303792 +*CONN +*I *24619:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20433:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24619:D 0.00109949 +2 *20433:X 0.00109949 +3 *24619:D *20403:A1 6.25697e-05 +4 *24619:D *20433:A1 0.000413266 +5 *24619:D *20433:B1 2.20702e-05 +6 *24619:D *21852:A 0.000105894 +7 *24619:D *24619:SET_B 8.01355e-05 +8 *24619:D *1619:8 9.6321e-06 +9 *24619:D *2963:17 5.19347e-05 +10 *24619:D *4838:225 1.03403e-05 +11 *24619:D *4841:124 1.80257e-05 +12 *24619:D *4887:64 6.50727e-05 +*RES +1 *20433:X *24619:D 46.1666 +*END + +*D_NET *1128 0.00140183 +*CONN +*I *24620:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20432:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24620:D 0.000380866 +2 *20432:X 0.000380866 +3 *24620:D *20432:A1 6.89424e-06 +4 *24620:D *20432:A2 0.000235049 +5 *24620:D *24352:CLK 0.000118485 +6 *24620:D *24620:CLK 5.44672e-05 +7 *24620:D *2868:11 0.000103123 +8 *24620:D *5866:316 0.000122083 +*RES +1 *20432:X *24620:D 36.7384 +*END + +*D_NET *1129 0.00084598 +*CONN +*I *24621:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20431:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24621:D 0.00015811 +2 *20431:X 0.00015811 +3 *24621:D *1955:72 0.000111708 +4 *24621:D *2566:18 0.000164281 +5 *24621:D *3036:14 0.000171289 +6 *24621:D *4893:84 6.08467e-05 +7 *24621:D *5866:543 2.16355e-05 +*RES +1 *20431:X *24621:D 32.5774 +*END + +*D_NET *1130 0.000889656 +*CONN +*I *24622:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20430:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24622:D 0.000224452 +2 *20430:X 0.000224452 +3 *24622:D *1741:6 5.0459e-05 +4 *24622:D *2737:10 0.00012568 +5 *24622:D *5857:14 0.000264614 +*RES +1 *20430:X *24622:D 32.6874 +*END + +*D_NET *1131 0.00171709 +*CONN +*I *24623:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20429:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24623:D 0.000426527 +2 *20429:X 0.000426527 +3 *24623:D *20429:A2 1.19856e-05 +4 *24623:D *1708:137 0.000174175 +5 *24623:D *2846:14 0.000177787 +6 *24623:D *5251:25 0.000500092 +*RES +1 *20429:X *24623:D 36.8455 +*END + +*D_NET *1132 0.00076896 +*CONN +*I *24624:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20428:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24624:D 0.00015326 +2 *20428:X 0.00015326 +3 *24624:D *24624:CLK 2.63704e-05 +4 *24624:D *24954:A 0.000129589 +5 *24624:D *2339:8 0.00015324 +6 *24624:D *2869:18 0.00015324 +*RES +1 *20428:X *24624:D 33.6572 +*END + +*D_NET *1133 0.000386133 +*CONN +*I *24625:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20427:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24625:D 0.000180818 +2 *20427:X 0.000180818 +3 *24625:D *24625:CLK 1.43848e-05 +4 *24625:D *4844:108 1.01134e-05 +*RES +1 *20427:X *24625:D 21.4642 +*END + +*D_NET *1134 0.000978222 +*CONN +*I *24626:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20426:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24626:D 0.000253447 +2 *20426:X 0.000253447 +3 *24626:D *2135:70 0.000315461 +4 *24626:D *2294:8 2.5386e-05 +5 *24626:D *2530:11 5.53934e-05 +6 *24626:D *5148:10 7.50872e-05 +*RES +1 *20426:X *24626:D 33.242 +*END + +*D_NET *1135 0.00133067 +*CONN +*I *24627:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20422:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24627:D 0.000462906 +2 *20422:X 0.000462906 +3 *24627:D *20418:B2 2.69717e-05 +4 *24627:D *24627:RESET_B 0.000119386 +5 *24627:D *24631:RESET_B 2.28919e-05 +6 *24627:D *1729:64 0.0001403 +7 *24627:D *2933:26 1.45944e-05 +8 *24627:D *5227:8 8.07099e-05 +*RES +1 *20422:X *24627:D 38.8268 +*END + +*D_NET *1136 0.000706091 +*CONN +*I *24628:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20421:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24628:D 0.000148591 +2 *20421:X 0.000148591 +3 *24628:D *20421:A1 6.08467e-05 +4 *24628:D *24628:RESET_B 6.65341e-05 +5 *24628:D *1764:65 7.09666e-06 +6 *24628:D *1773:28 2.77113e-05 +7 *24628:D *4863:157 8.61022e-05 +8 *24628:D *4927:13 0.000160617 +*RES +1 *20421:X *24628:D 31.8863 +*END + +*D_NET *1137 0.000703898 +*CONN +*I *24629:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20420:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24629:D 0.00020126 +2 *20420:X 0.00020126 +3 *24629:D *2531:38 0.000106697 +4 *24629:D *5592:33 8.79845e-05 +5 *24629:D *5869:625 0.000106697 +*RES +1 *20420:X *24629:D 32.6874 +*END + +*D_NET *1138 0.000925827 +*CONN +*I *24630:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20419:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24630:D 0.000289925 +2 *20419:X 0.000289925 +3 *24630:D *20419:A1 3.99086e-06 +4 *24630:D *20419:A2 1.82679e-05 +5 *24630:D *20419:B2 1.41291e-05 +6 *24630:D *2933:26 0.00012568 +7 *24630:D *5854:107 0.000182929 +8 *24630:D *5854:122 9.80242e-07 +*RES +1 *20419:X *24630:D 33.242 +*END + +*D_NET *1139 0.000293984 +*CONN +*I *24631:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20418:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24631:D 5.73146e-05 +2 *20418:X 5.73146e-05 +3 *24631:D *1952:14 5.04734e-05 +4 *24631:D *1952:16 3.20069e-06 +5 *24631:D *2624:54 0.00012568 +*RES +1 *20418:X *24631:D 29.7455 +*END + +*D_NET *1140 0.00152859 +*CONN +*I *24632:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20414:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24632:D 0.000528297 +2 *20414:X 0.000528297 +3 *24632:D *24632:RESET_B 0.000106869 +4 *24632:D *24632:CLK 2.53145e-06 +5 *24632:D *1761:74 0.000268922 +6 *24632:D *2749:11 5.73188e-05 +7 *24632:D *5860:75 3.63506e-05 +*RES +1 *20414:X *24632:D 38.3324 +*END + +*D_NET *1141 0.00271763 +*CONN +*I *24633:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20413:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24633:D 0.000773133 +2 *20413:X 0.000773133 +3 *24633:D *1442:73 0.000116947 +4 *24633:D *2836:8 4.70886e-05 +5 *24633:D *5860:636 0.000753649 +6 *24633:D *5869:249 0.000253675 +*RES +1 *20413:X *24633:D 41.561 +*END + +*D_NET *1142 0.00244379 +*CONN +*I *24634:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20412:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24634:D 0.000864348 +2 *20412:X 0.000864348 +3 *24634:D *20412:A2 2.29454e-05 +4 *24634:D *20412:B1 0.000162583 +5 *24634:D *21896:A 9.54357e-06 +6 *24634:D *24634:SET_B 0.000240345 +7 *24634:D *1950:10 6.64392e-05 +8 *24634:D *2836:8 5.92342e-05 +9 *24634:D *2864:82 6.96356e-05 +10 *24634:D *5152:5 2.1547e-05 +11 *24634:D *5860:62 6.28168e-05 +*RES +1 *20412:X *24634:D 41.9791 +*END + +*D_NET *1143 0.000485646 +*CONN +*I *24635:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20411:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24635:D 0.000136129 +2 *20411:X 0.000136129 +3 *24635:D *20414:A1 2.81678e-06 +4 *24635:D *20414:A2 0 +5 *24635:D *1762:40 8.60778e-05 +6 *24635:D *1947:196 6.08467e-05 +7 *24635:D *5854:606 6.36477e-05 +*RES +1 *20411:X *24635:D 30.7771 +*END + +*D_NET *1144 0.000616131 +*CONN +*I *24636:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20410:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24636:D 0.000146224 +2 *20410:X 0.000146224 +3 *24636:D *20413:A1 0 +4 *24636:D *21991:A 0 +5 *24636:D *24636:CLK 6.89937e-05 +6 *24636:D *2804:7 0.000117566 +7 *24636:D *3208:77 0.000137124 +*RES +1 *20410:X *24636:D 33.1026 +*END + +*D_NET *1145 0.000639164 +*CONN +*I *24637:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20405:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24637:D 0.000193991 +2 *20405:X 0.000193991 +3 *24637:D *1707:8 0.000127179 +4 *24637:D *1945:48 5.53934e-05 +5 *24637:D *5898:255 6.86098e-05 +*RES +1 *20405:X *24637:D 33.242 +*END + +*D_NET *1146 0.00107536 +*CONN +*I *24638:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20404:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24638:D 0.000219204 +2 *20404:X 0.000219204 +3 *24638:D *24638:SET_B 6.08167e-05 +4 *24638:D *1707:8 0.000122083 +5 *24638:D *1945:64 5.04734e-05 +6 *24638:D *5673:9 0.000217937 +7 *24638:D *5673:19 0.000185642 +*RES +1 *20404:X *24638:D 36.5696 +*END + +*D_NET *1147 0.000637882 +*CONN +*I *24639:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20403:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24639:D 0.000151368 +2 *20403:X 0.000151368 +3 *24639:D *20403:A1 4.31703e-05 +4 *24639:D *24639:CLK 0.000158357 +5 *24639:D *1707:8 3.42931e-05 +6 *24639:D *1945:34 9.60366e-05 +7 *24639:D *5859:9 3.28898e-06 +*RES +1 *20403:X *24639:D 31.8863 +*END + +*D_NET *1148 0.00166255 +*CONN +*I *24640:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20402:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24640:D 0.00048454 +2 *20402:X 0.00048454 +3 *24640:D *20402:A1 0.000162583 +4 *24640:D *20402:B2 0 +5 *24640:D *4841:191 0.000483488 +6 *24640:D *4841:203 9.82896e-06 +7 *24640:D *4886:46 7.56859e-06 +8 *24640:D *5661:10 3.00073e-05 +*RES +1 *20402:X *24640:D 36.7089 +*END + +*D_NET *1149 0.00108958 +*CONN +*I *24641:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20401:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24641:D 0.000417609 +2 *20401:X 0.000417609 +3 *24641:D *2367:10 0.000127179 +4 *24641:D *2950:20 0.000127179 +*RES +1 *20401:X *24641:D 37.1242 +*END + +*D_NET *1150 0.00164386 +*CONN +*I *24642:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20400:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24642:D 0.000449772 +2 *20400:X 0.000449772 +3 *24642:D *2853:8 3.77659e-05 +4 *24642:D *3033:16 9.60216e-05 +5 *24642:D *4838:186 0.000610533 +*RES +1 *20400:X *24642:D 36.1543 +*END + +*D_NET *1151 0.000465495 +*CONN +*I *24643:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20399:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24643:D 0.000102473 +2 *20399:X 0.000102473 +3 *24643:D *20399:A1 0.000231138 +4 *24643:D *20399:B1 0 +5 *24643:D *5251:25 2.94103e-05 +*RES +1 *20399:X *24643:D 22.2841 +*END + +*D_NET *1152 0.00049478 +*CONN +*I *24644:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20398:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24644:D 0.000229364 +2 *20398:X 0.000229364 +3 *24644:D *20398:A1 5.81185e-06 +4 *24644:D *24644:CLK 3.024e-05 +*RES +1 *20398:X *24644:D 22.0188 +*END + +*D_NET *1153 0.00275117 +*CONN +*I *24645:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20394:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24645:D 0 +2 *20394:X 0.000853225 +3 *1153:15 0.000853225 +4 *1153:15 *19963:A 0.000169442 +5 *1153:15 *20394:A2 0.0001148 +6 *1153:15 *20394:B1 3.79228e-06 +7 *1153:15 *20778:A 1.88878e-05 +8 *1153:15 *24950:A 1.18698e-05 +9 *1153:15 *1601:8 0.000196928 +10 *1153:15 *1720:11 3.07159e-05 +11 *1153:15 *1942:67 4.3116e-06 +12 *1153:15 *2764:42 0.000336936 +13 *1153:15 *5866:162 0.000157034 +*RES +1 *20394:X *1153:15 40.8451 +2 *1153:15 *24645:D 9.24915 +*END + +*D_NET *1154 0.000590442 +*CONN +*I *24646:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20393:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24646:D 0.000133488 +2 *20393:X 0.000133488 +3 *24646:D *20393:A1 2.16355e-05 +4 *24646:D *1783:27 2.16355e-05 +5 *24646:D *4869:101 0.000109674 +6 *24646:D *4888:142 6.08467e-05 +7 *24646:D *4942:16 0.000109674 +*RES +1 *20393:X *24646:D 31.1923 +*END + +*D_NET *1155 0.000733764 +*CONN +*I *24647:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20392:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24647:D 0.00016259 +2 *20392:X 0.00016259 +3 *24647:D *4126:68 1.01044e-05 +4 *24647:D *4869:8 0.000185948 +5 *24647:D *4889:183 2.65831e-05 +6 *24647:D *4942:16 0.000185948 +*RES +1 *20392:X *24647:D 32.8239 +*END + +*D_NET *1156 0.000920406 +*CONN +*I *24648:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20391:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24648:D 0.000298536 +2 *20391:X 0.000298536 +3 *24648:D *24390:RESET_B 2.52414e-06 +4 *24648:D *2697:8 0.000127179 +5 *24648:D *2950:22 0.000127179 +6 *24648:D *5866:200 6.64528e-05 +*RES +1 *20391:X *24648:D 37.1242 +*END + +*D_NET *1157 0.00160255 +*CONN +*I *24649:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20390:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24649:D 0.000545235 +2 *20390:X 0.000545235 +3 *24649:D *20390:A1 0.000158371 +4 *24649:D *20390:B1 0.000157407 +5 *24649:D *20390:B2 1.74553e-05 +6 *24649:D *1732:12 9.32927e-05 +7 *24649:D *2837:17 4.7168e-05 +8 *24649:D *2987:10 3.83851e-05 +*RES +1 *20390:X *24649:D 36.7089 +*END + +*D_NET *1158 0.00142237 +*CONN +*I *24650:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20389:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24650:D 0.000388508 +2 *20389:X 0.000388508 +3 *24650:D *24650:RESET_B 2.28919e-05 +4 *24650:D *24651:CLK 0.000113594 +5 *24650:D *1566:91 6.50727e-05 +6 *24650:D *2531:20 7.14746e-05 +7 *24650:D *2531:21 0.00022826 +8 *24650:D *2766:6 2.04806e-05 +9 *24650:D *3131:167 0.000123582 +*RES +1 *20389:X *24650:D 38.4022 +*END + +*D_NET *1159 0.000553338 +*CONN +*I *24651:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20388:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24651:D 9.35846e-05 +2 *20388:X 9.35846e-05 +3 *24651:D *20385:A 6.23875e-05 +4 *24651:D *1707:32 9.60366e-05 +5 *24651:D *2963:42 9.60366e-05 +6 *24651:D *5867:147 0.000111708 +*RES +1 *20388:X *24651:D 31.1629 +*END + +*D_NET *1160 0.000724104 +*CONN +*I *24652:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20387:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24652:D 0.000139945 +2 *20387:X 0.000139945 +3 *24652:D *20387:A1 2.9164e-05 +4 *24652:D *20387:A2 5.04829e-06 +5 *24652:D *1707:32 0.000130595 +6 *24652:D *2845:14 0.000163473 +7 *24652:D *3220:12 0.000115934 +*RES +1 *20387:X *24652:D 32.2693 +*END + +*D_NET *1161 0.00122518 +*CONN +*I *24653:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20383:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24653:D 0.000499754 +2 *20383:X 0.000499754 +3 *24653:D *1756:24 0.000110593 +4 *24653:D *2758:7 6.12686e-06 +5 *24653:D *4894:44 7.34948e-06 +6 *24653:D *5854:173 2.652e-05 +7 *24653:D *5874:89 7.50872e-05 +*RES +1 *20383:X *24653:D 35.932 +*END + +*D_NET *1162 0.00109323 +*CONN +*I *24654:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20382:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24654:D 0.000361529 +2 *20382:X 0.000361529 +3 *24654:D *20382:B1 0.000217951 +4 *24654:D *20382:B2 2.65667e-05 +5 *24654:D *1699:11 2.23124e-05 +6 *24654:D *1755:21 1.75155e-06 +7 *24654:D *1940:43 2.652e-05 +8 *24654:D *4876:69 7.50722e-05 +*RES +1 *20382:X *24654:D 34.2682 +*END + +*D_NET *1163 0.00144525 +*CONN +*I *24655:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20381:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24655:D 0.000279783 +2 *20381:X 0.000279783 +3 *24655:D *20381:A1 6.50586e-05 +4 *24655:D *1753:30 5.70289e-05 +5 *24655:D *1939:8 0.000134721 +6 *24655:D *1939:10 0.000171323 +7 *24655:D *2993:20 0.000457557 +*RES +1 *20381:X *24655:D 37.113 +*END + +*D_NET *1164 0.000682153 +*CONN +*I *24656:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20380:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24656:D 0.000194835 +2 *20380:X 0.000194835 +3 *24656:D *20380:A2 9.12416e-06 +4 *24656:D *1459:39 5.481e-05 +5 *24656:D *1784:16 4.14248e-05 +6 *24656:D *2469:19 0.000112149 +7 *24656:D *5858:190 4.49782e-05 +8 *462:7 *24656:D 2.99978e-05 +*RES +1 *20380:X *24656:D 33.242 +*END + +*D_NET *1165 0.000821229 +*CONN +*I *24657:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20379:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24657:D 0.00030593 +2 *20379:X 0.00030593 +3 *24657:D *1753:30 6.89074e-05 +4 *24657:D *2516:16 0.000119762 +5 *24657:D *2758:16 1.03403e-05 +6 *24657:D *2993:18 1.03594e-05 +*RES +1 *20379:X *24657:D 35.4548 +*END + +*D_NET *1166 0.00124234 +*CONN +*I *24658:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20375:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24658:D 0.000307643 +2 *20375:X 0.000307643 +3 *24658:D *1422:31 0.000154145 +4 *24658:D *1734:26 0 +5 *24658:D *2627:16 5.21758e-06 +6 *24658:D *2878:32 5.65463e-05 +7 *24658:D *2964:35 0.000324219 +8 *24658:D *5175:8 7.12632e-06 +9 *24658:D *5856:241 5.65463e-05 +10 *24658:D *5860:260 2.32531e-05 +*RES +1 *20375:X *24658:D 38.5038 +*END + +*D_NET *1167 0.00235366 +*CONN +*I *24659:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20374:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24659:D 0.000800449 +2 *20374:X 0.000800449 +3 *24659:D *20375:A2 6.08467e-05 +4 *24659:D *24659:CLK 0.00027092 +5 *24659:D *5176:7 0.000207266 +6 *24659:D *5858:190 0.000213725 +*RES +1 *20374:X *24659:D 31.5013 +*END + +*D_NET *1168 0.000744689 +*CONN +*I *24660:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20373:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24660:D 0.000278916 +2 *20373:X 0.000278916 +3 *24660:D *20373:A1 6.50586e-05 +4 *24660:D *1937:43 5.38059e-05 +5 *24660:D *2627:16 1.4036e-05 +6 *24660:D *2627:22 5.07568e-05 +7 *24660:D *5856:241 3.20069e-06 +*RES +1 *20373:X *24660:D 34.0596 +*END + +*D_NET *1169 0.00157144 +*CONN +*I *24661:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20372:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24661:D 0.000452267 +2 *20372:X 0.000452267 +3 *24661:D *20372:A1 0.000171288 +4 *24661:D *20372:B1 0.000307023 +5 *24661:D *1801:49 5.11815e-05 +6 *24661:D *2398:24 9.96342e-05 +7 *24661:D *5854:148 3.77804e-05 +*RES +1 *20372:X *24661:D 36.1543 +*END + +*D_NET *1170 0.00132315 +*CONN +*I *24662:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20371:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24662:D 0.000334524 +2 *20371:X 0.000334524 +3 *24662:D *20371:A1 0.000154145 +4 *24662:D *20371:B1 2.16355e-05 +5 *24662:D *24662:CLK 5.97576e-05 +6 *24662:D *1448:221 0.000149628 +7 *24662:D *1937:43 5.51483e-06 +8 *24662:D *2948:25 0.00010051 +9 *24662:D *4905:16 6.56617e-05 +10 *24662:D *4905:33 9.72539e-05 +*RES +1 *20371:X *24662:D 36.0444 +*END + +*D_NET *1171 0.00153511 +*CONN +*I *24663:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20367:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24663:D 0.000521563 +2 *20367:X 0.000521563 +3 *24663:D *20367:A2 4.31539e-05 +4 *24663:D *20367:B1 2.42273e-05 +5 *24663:D *21588:B1 0.000360145 +6 *24663:D *21588:B2 4.03058e-05 +7 *24663:D *1671:70 2.77625e-06 +8 *24663:D *5856:436 2.13723e-05 +*RES +1 *20367:X *24663:D 36.5696 +*END + +*D_NET *1172 0.00125934 +*CONN +*I *24664:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20366:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24664:D 0.00030412 +2 *20366:X 0.00030412 +3 *24664:D *20233:B1 0.000107496 +4 *24664:D *21530:A1 8.62625e-06 +5 *24664:D *2393:12 2.5688e-05 +6 *24664:D *2903:8 0.000132658 +7 *24664:D *4878:92 0.000167906 +8 *24664:D *4878:96 0.000200794 +9 *24664:D *5194:7 7.92757e-06 +*RES +1 *20366:X *24664:D 36.9848 +*END + +*D_NET *1173 0.000821523 +*CONN +*I *24665:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20365:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24665:D 0.000227882 +2 *20365:X 0.000227882 +3 *24665:D *20365:A1 2.33193e-05 +4 *24665:D *20365:B1 0.000211478 +5 *24665:D *1933:15 3.62662e-06 +6 *24665:D *2426:68 3.04443e-05 +7 *24665:D *2756:14 9.68902e-05 +*RES +1 *20365:X *24665:D 32.2721 +*END + +*D_NET *1174 0.0012336 +*CONN +*I *24666:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20364:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24666:D 0.000403724 +2 *20364:X 0.000403724 +3 *24666:D *1933:70 0.000118485 +4 *24666:D *1933:72 5.41227e-05 +5 *24666:D *4845:396 0.000169078 +6 *520:63 *24666:D 8.4465e-05 +*RES +1 *20364:X *24666:D 35.7604 +*END + +*D_NET *1175 0.00134666 +*CONN +*I *24667:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20363:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24667:D 0.000414156 +2 *20363:X 0.000414156 +3 *24667:D *20367:B2 4.77259e-05 +4 *24667:D *24667:RESET_B 1.07248e-05 +5 *24667:D *5851:337 0 +6 *514:106 *24667:D 0.000459901 +*RES +1 *20363:X *24667:D 35.7363 +*END + +*D_NET *1176 0.00109598 +*CONN +*I *24668:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20362:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24668:D 0.000302955 +2 *20362:X 0.000302955 +3 *24668:D *5871:297 0 +4 *24668:D *5960:27 0.00020502 +5 *497:50 *24668:D 5.85117e-05 +6 *519:7 *24668:D 0.000108054 +7 *547:49 *24668:D 0.000118485 +*RES +1 *20362:X *24668:D 35.0746 +*END + +*D_NET *1177 0.00109876 +*CONN +*I *24669:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20361:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24669:D 0.000274159 +2 *20361:X 0.000274159 +3 *24669:D *1933:62 2.33193e-05 +4 *24669:D *4844:382 8.39223e-05 +5 *24669:D *4915:106 5.97411e-05 +6 *524:43 *24669:D 0.000280816 +7 *550:13 *24669:D 0.000102647 +*RES +1 *20361:X *24669:D 34.7959 +*END + +*D_NET *1178 0.000203789 +*CONN +*I *24670:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20360:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24670:D 5.69326e-05 +2 *20360:X 5.69326e-05 +3 *24670:D *2560:20 3.90768e-05 +4 *24670:D *4843:545 5.08473e-05 +*RES +1 *20360:X *24670:D 29.3303 +*END + +*D_NET *1179 0.000658575 +*CONN +*I *24671:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20356:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24671:D 0.00010604 +2 *20356:X 0.00010604 +3 *24671:D *24983:A 0.000148144 +4 *24671:D *2466:16 0.000148144 +5 *24671:D *2675:14 2.65831e-05 +6 *24671:D *4878:27 9.14834e-05 +7 *24671:D *5858:443 3.21413e-05 +*RES +1 *20356:X *24671:D 32.548 +*END + +*D_NET *1180 0.00195353 +*CONN +*I *24672:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20355:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24672:D 0.0002869 +2 *20355:X 0.0002869 +3 *24672:D *1467:43 5.13902e-05 +4 *24672:D *1785:12 5.13902e-05 +5 *24672:D *1930:11 0.000164815 +6 *24672:D *4878:27 0.000848847 +7 *24672:D *5858:429 0.000247431 +8 *24672:D *5858:443 1.58551e-05 +*RES +1 *20355:X *24672:D 41.4245 +*END + +*D_NET *1181 0.000672036 +*CONN +*I *24673:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20354:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24673:D 0.000153797 +2 *20354:X 0.000153797 +3 *24673:D *1428:24 6.13144e-05 +4 *24673:D *2398:39 5.44807e-05 +5 *24673:D *2446:15 0.000103139 +6 *24673:D *2936:14 0.000145506 +*RES +1 *20354:X *24673:D 33.1026 +*END + +*D_NET *1182 0.000606986 +*CONN +*I *24674:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20353:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24674:D 9.84438e-05 +2 *20353:X 9.84438e-05 +3 *24674:D *20352:A1 9.14834e-05 +4 *24674:D *24983:A 7.50872e-05 +5 *24674:D *2466:16 7.86847e-05 +6 *24674:D *4863:81 0.000164843 +*RES +1 *20353:X *24674:D 31.881 +*END + +*D_NET *1183 0.000405014 +*CONN +*I *24675:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20352:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24675:D 0.000103682 +2 *20352:X 0.000103682 +3 *24675:D *20030:C1 0.000122068 +4 *24675:D *20352:A1 2.16355e-05 +5 *24675:D *5852:21 5.39463e-05 +*RES +1 *20352:X *24675:D 30.4689 +*END + +*D_NET *1184 0.000590214 +*CONN +*I *24676:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20348:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24676:D 0.000118355 +2 *20348:X 0.000118355 +3 *24676:D *1520:17 0.000152987 +4 *24676:D *1755:15 2.33638e-05 +5 *24676:D *4863:18 0.000138789 +6 *24676:D *5851:502 3.83647e-05 +*RES +1 *20348:X *24676:D 32.0416 +*END + +*D_NET *1185 0.000761136 +*CONN +*I *24677:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20346:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24677:D 0.000103201 +2 *20346:X 0.000103201 +3 *24677:D *24677:CLK 5.89592e-05 +4 *24677:D *1692:19 0.000161172 +5 *24677:D *2704:10 0.000169108 +6 *24677:D *5812:22 0.000165495 +*RES +1 *20346:X *24677:D 32.4086 +*END + +*D_NET *1186 0.000937555 +*CONN +*I *24678:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20343:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24678:D 0.000227734 +2 *20343:X 0.000227734 +3 *24678:D *20343:A2 0.00011818 +4 *24678:D *2620:20 6.91859e-05 +5 *24678:D *5537:9 0.000143047 +6 *24678:D *5714:38 0 +7 *20343:B1 *24678:D 0 +8 *24050:S *24678:D 0.000118485 +9 *477:69 *24678:D 3.31882e-05 +*RES +1 *20343:X *24678:D 34.3456 +*END + +*D_NET *1187 0.00074177 +*CONN +*I *24679:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20342:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24679:D 0.000188195 +2 *20342:X 0.000188195 +3 *24679:D *24720:RESET_B 6.08467e-05 +4 *24679:D *4900:107 7.53724e-05 +5 *20342:B1 *24679:D 7.60183e-05 +6 *477:69 *24679:D 0.000153143 +*RES +1 *20342:X *24679:D 33.3757 +*END + +*D_NET *1188 0.000170328 +*CONN +*I *24680:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20341:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24680:D 6.20773e-05 +2 *20341:X 6.20773e-05 +3 *24680:D *4840:373 0 +4 *20341:B1 *24680:D 4.61732e-05 +*RES +1 *20341:X *24680:D 29.3303 +*END + +*D_NET *1189 0.000619693 +*CONN +*I *24681:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20340:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24681:D 0.000267467 +2 *20340:X 0.000267467 +3 *24681:D *20340:A2 1.84293e-05 +4 *24681:D *24681:CLK 0 +5 *24681:D *4840:373 6.63293e-05 +6 *24681:D *5714:38 0 +*RES +1 *20340:X *24681:D 33.791 +*END + +*D_NET *1190 0.00151078 +*CONN +*I *24682:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20339:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24682:D 0.000620124 +2 *20339:X 0.000620124 +3 *24682:D *24682:CLK 2.65667e-05 +4 *24682:D *4841:276 6.92705e-05 +5 *24682:D *5520:8 0 +6 *24682:D *5594:16 0.000165495 +7 *24682:D *5867:815 9.19632e-06 +*RES +1 *20339:X *24682:D 38.1235 +*END + +*D_NET *1191 0.00154987 +*CONN +*I *24683:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20338:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24683:D 0.000409852 +2 *20338:X 0.000409852 +3 *24683:D *4836:55 4.44553e-05 +4 *24683:D *4843:935 0.000513023 +5 *24683:D *5594:16 0.000172691 +*RES +1 *20338:X *24683:D 36.2909 +*END + +*D_NET *1192 0.000539347 +*CONN +*I *24684:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20337:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24684:D 0.000188451 +2 *20337:X 0.000188451 +3 *24684:D *24684:CLK 6.65229e-05 +4 *24684:D *4836:55 7.50872e-05 +5 *24684:D *4844:783 2.85531e-06 +6 *24684:D *5594:16 1.79807e-05 +*RES +1 *20337:X *24684:D 31.1877 +*END + +*D_NET *1193 0.00117711 +*CONN +*I *24685:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20336:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24685:D 0.000309347 +2 *20336:X 0.000309347 +3 *24685:D *23945:S 1.44611e-05 +4 *24685:D *2545:17 9.93945e-05 +5 *24685:D *2620:16 0 +6 *24685:D *4839:377 0.000286109 +7 *24685:D *5594:16 0.000148144 +8 *20336:B1 *24685:D 1.03056e-05 +*RES +1 *20336:X *24685:D 37.7323 +*END + +*D_NET *1194 0.000270586 +*CONN +*I *24686:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20333:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24686:D 5.68383e-05 +2 *20333:X 5.68383e-05 +3 *24686:D *1783:71 0.000124145 +4 *24686:D *4864:32 3.27635e-05 +*RES +1 *20333:X *24686:D 29.7455 +*END + +*D_NET *1195 0.000485337 +*CONN +*I *24687:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20328:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24687:D 9.01777e-05 +2 *20328:X 9.01777e-05 +3 *24687:D *4815:35 0.000122068 +4 *24687:D *4816:80 0.000122068 +5 *24687:D *4823:47 6.08467e-05 +*RES +1 *20328:X *24687:D 30.4689 +*END + +*D_NET *1196 0.00106018 +*CONN +*I *24688:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20327:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24688:D 0.00021308 +2 *20327:X 0.00021308 +3 *24688:D *2287:62 1.82679e-05 +4 *24688:D *2380:60 4.83927e-05 +5 *24688:D *2635:35 4.15201e-05 +6 *24688:D *2989:33 7.89747e-05 +7 *24688:D *4819:111 0.000139435 +8 *24688:D *5494:10 0.000307428 +*RES +1 *20327:X *24688:D 35.4548 +*END + +*D_NET *1197 0.000330501 +*CONN +*I *24689:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20326:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24689:D 4.37565e-05 +2 *20326:X 4.37565e-05 +3 *24689:D *4819:121 0.000121494 +4 *24689:D *4820:87 0.000121494 +*RES +1 *20326:X *24689:D 29.7455 +*END + +*D_NET *1198 0.000488065 +*CONN +*I *24690:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20325:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24690:D 9.27667e-05 +2 *20325:X 9.27667e-05 +3 *24690:D *2380:60 0.000120842 +4 *24690:D *2545:35 6.08467e-05 +5 *24690:D *4819:117 0.000120842 +*RES +1 *20325:X *24690:D 30.4689 +*END + +*D_NET *1199 0.000302891 +*CONN +*I *24691:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20324:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24691:D 0.000102714 +2 *20324:X 0.000102714 +3 *24691:D *20324:B2 1.84293e-05 +4 *24691:D *1904:49 4.12533e-05 +5 *24691:D *4820:91 3.77804e-05 +*RES +1 *20324:X *24691:D 30.0537 +*END + +*D_NET *1200 0.000943707 +*CONN +*I *24692:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20323:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24692:D 0.000266419 +2 *20323:X 0.000266419 +3 *24692:D *20273:B 0.000205006 +4 *24692:D *4839:148 0.000101133 +5 *24692:D *5903:6 0.000104731 +*RES +1 *20323:X *24692:D 32.8267 +*END + +*D_NET *1201 0.00137505 +*CONN +*I *24693:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20322:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24693:D 0.000305101 +2 *20322:X 0.000305101 +3 *24693:D *24729:CLK 5.97576e-05 +4 *24693:D *24905:RESET_B 0.000160617 +5 *24693:D *1629:18 0.000446985 +6 *24693:D *2262:34 5.04879e-05 +7 *24693:D *4816:22 4.70005e-05 +*RES +1 *20322:X *24693:D 36.7384 +*END + +*D_NET *1202 0.000972967 +*CONN +*I *24694:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20321:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24694:D 0.000259732 +2 *20321:X 0.000259732 +3 *24694:D *20731:B1 0.000315461 +4 *24694:D *1906:6 9.32927e-05 +5 *24694:D *2263:22 1.43055e-05 +6 *24694:D *5865:478 3.04443e-05 +*RES +1 *20321:X *24694:D 32.8267 +*END + +*D_NET *1203 0.000510377 +*CONN +*I *24695:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20318:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24695:D 0.000133044 +2 *20318:X 0.000133044 +3 *24695:D *1742:75 0.000122083 +4 *24695:D *2471:35 5.07314e-05 +5 *24695:D *5853:390 7.14746e-05 +6 *502:26 *24695:D 0 +*RES +1 *20318:X *24695:D 31.0235 +*END + +*D_NET *1204 0.000623618 +*CONN +*I *24696:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20317:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24696:D 0.000148931 +2 *20317:X 0.000148931 +3 *24696:D *24696:RESET_B 7.13972e-05 +4 *24696:D *5503:16 0.000127179 +5 *24696:D *5855:341 0.000127179 +*RES +1 *20317:X *24696:D 32.1327 +*END + +*D_NET *1205 0.00134272 +*CONN +*I *24697:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20316:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24697:D 0.00029876 +2 *20316:X 0.00029876 +3 *24697:D *20259:A1 0.000122098 +4 *24697:D *24697:RESET_B 6.17366e-05 +5 *24697:D *24697:CLK 0.000167921 +6 *24697:D *3017:15 0.000336155 +7 *24697:D *5861:492 5.72879e-05 +*RES +1 *20316:X *24697:D 38.0884 +*END + +*D_NET *1206 0.00073706 +*CONN +*I *24698:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20315:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24698:D 0.000279842 +2 *20315:X 0.000279842 +3 *24698:D *20315:B2 2.16355e-05 +4 *24698:D *24698:RESET_B 2.16355e-05 +5 *24698:D *24698:CLK 5.35585e-05 +6 *24698:D *5523:7 8.05465e-05 +*RES +1 *20315:X *24698:D 24.9606 +*END + +*D_NET *1207 0.000420926 +*CONN +*I *24699:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20314:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24699:D 7.67933e-05 +2 *20314:X 7.67933e-05 +3 *24699:D *1917:68 0.000101133 +4 *24699:D *4828:107 6.50727e-05 +5 *482:129 *24699:D 0.000101133 +*RES +1 *20314:X *24699:D 30.0537 +*END + +*D_NET *1208 0.000573463 +*CONN +*I *24700:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20313:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24700:D 0.000101739 +2 *20313:X 0.000101739 +3 *24700:D *1917:64 0.00019581 +4 *20313:B1 *24700:D 0.000174175 +*RES +1 *20313:X *24700:D 31.2994 +*END + +*D_NET *1209 0.00230005 +*CONN +*I *24701:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20312:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24701:D 0.000728617 +2 *20312:X 0.000728617 +3 *24701:D *20312:A1 0.000144546 +4 *24701:D *1901:30 0.000271839 +5 *24701:D *1902:59 0.000201369 +6 *24701:D *2333:38 9.22013e-06 +7 *24701:D *2423:57 0.000215846 +*RES +1 *20312:X *24701:D 44.5536 +*END + +*D_NET *1210 0.000890326 +*CONN +*I *24702:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20311:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *24702:D 0.00016374 +2 *20311:X 0.00016374 +3 *24702:D *24099:A 0.000275721 +4 *24702:D *1679:30 6.3657e-05 +5 *24702:D *4805:92 1.06181e-05 +6 *24702:D *5864:332 0.000122978 +7 *20311:B1 *24702:D 7.04109e-05 +8 *24019:S *24702:D 1.94615e-05 +*RES +1 *20311:X *24702:D 33.3757 +*END + +*D_NET *1211 0.00140634 +*CONN +*I *24703:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20308:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *24703:D 0.000524182 +2 *20308:X 0.000524182 +3 *24703:D *20307:A 0 +4 *24703:D *24208:CLK 0.000321771 +5 *24703:D *1862:47 1.03403e-05 +6 *24703:D *5804:28 0 +7 *24703:D *5809:11 2.58616e-05 +*RES +1 *20308:X *24703:D 41.1584 +*END + +*D_NET *1212 0.00243844 +*CONN +*I *24704:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20305:X O *D sky130_fd_sc_hd__a211o_1 +*CAP +1 *24704:D 0.000530508 +2 *20305:X 0.000530508 +3 *24704:D *20305:A2 1.05272e-06 +4 *24704:D *23062:B1 1.43983e-05 +5 *24704:D *24876:D 0.000289115 +6 *24704:D *2523:24 0 +7 *24704:D *2523:31 4.70615e-05 +8 *24704:D *4881:140 1.84293e-05 +9 *24704:D *5921:57 0.000506901 +10 *566:114 *24704:D 0.000215306 +11 *566:116 *24704:D 0.000285161 +*RES +1 *20305:X *24704:D 48.6329 +*END + +*D_NET *1213 0.000548928 +*CONN +*I *24705:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20303:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24705:D 0.000207961 +2 *20303:X 0.000207961 +3 *24705:D *20303:A1 6.64392e-05 +4 *24705:D *1913:29 6.65668e-05 +5 *24705:D *4898:99 0 +*RES +1 *20303:X *24705:D 31.4951 +*END + +*D_NET *1214 0.00117347 +*CONN +*I *24706:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20302:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24706:D 0.000292875 +2 *20302:X 0.000292875 +3 *24706:D *2486:24 0.000110117 +4 *24706:D *4845:731 0.000364356 +5 *477:44 *24706:D 0.000113248 +*RES +1 *20302:X *24706:D 33.7966 +*END + +*D_NET *1215 0.000241705 +*CONN +*I *24707:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20301:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24707:D 0.000105771 +2 *20301:X 0.000105771 +3 *24707:D *4834:16 3.01634e-05 +4 *24707:D *4836:55 0 +*RES +1 *20301:X *24707:D 30.0537 +*END + +*D_NET *1216 0.0012408 +*CONN +*I *24708:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20300:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24708:D 0.000303259 +2 *20300:X 0.000303259 +3 *24708:D *20300:B2 1.41291e-05 +4 *24708:D *1914:8 0.000130777 +5 *24708:D *4842:230 0.000489377 +*RES +1 *20300:X *24708:D 34.3512 +*END + +*D_NET *1217 0.000970457 +*CONN +*I *24709:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20299:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24709:D 0.000293951 +2 *20299:X 0.000293951 +3 *24709:D *20299:A1 0.000114584 +4 *24709:D *20299:A2 2.07503e-05 +5 *24709:D *20299:B1 0.00012595 +6 *24709:D *20299:B2 2.16355e-05 +7 *24709:D *23910:A 9.96342e-05 +*RES +1 *20299:X *24709:D 33.3813 +*END + +*D_NET *1218 0.000611179 +*CONN +*I *24710:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20298:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24710:D 0.000172211 +2 *20298:X 0.000172211 +3 *24710:D *20298:A1 0.000161262 +4 *24710:D *23946:A0 5.09378e-05 +5 *24710:D *4843:935 5.45575e-05 +*RES +1 *20298:X *24710:D 31.5781 +*END + +*D_NET *1219 0.000839497 +*CONN +*I *24711:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20294:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24711:D 0.000201815 +2 *20294:X 0.000201815 +3 *24711:D *20294:B1 0.000177787 +4 *24711:D *4827:135 8.39059e-05 +5 *24711:D *5866:696 1.07248e-05 +6 *24711:D *5866:717 0.00016345 +*RES +1 *20294:X *24711:D 32.9632 +*END + +*D_NET *1220 0.000371156 +*CONN +*I *24712:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20293:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24712:D 0.000116193 +2 *20293:X 0.000116193 +3 *24712:D *2687:10 2.75427e-05 +4 *24712:D *4896:62 3.12316e-05 +5 *24712:D *5509:13 1.07248e-05 +6 *24712:D *5906:36 6.92705e-05 +*RES +1 *20293:X *24712:D 30.4689 +*END + +*D_NET *1221 0.00101756 +*CONN +*I *24713:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20292:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24713:D 0.000300452 +2 *20292:X 0.000300452 +3 *24713:D *20292:A2 5.07314e-05 +4 *24713:D *23898:A 9.31248e-05 +5 *24713:D *1911:51 2.4732e-05 +6 *24713:D *4828:21 8.96998e-05 +7 *24713:D *4840:344 0.000158371 +*RES +1 *20292:X *24713:D 33.3813 +*END + +*D_NET *1222 0.00130306 +*CONN +*I *24714:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20291:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24714:D 0.000302957 +2 *20291:X 0.000302957 +3 *24714:D *20291:A2 2.35336e-05 +4 *24714:D *23902:A 0.00017419 +5 *24714:D *1911:39 0.000260388 +6 *24714:D *4829:187 0.000116971 +7 *24714:D *5893:8 0 +8 mgmt_gpio_out[27] *24714:D 0.000122068 +*RES +1 *20291:X *24714:D 35.5941 +*END + +*D_NET *1223 0.000715923 +*CONN +*I *24715:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20290:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24715:D 0.000200213 +2 *20290:X 0.000200213 +3 *24715:D *20290:B2 0.000217937 +4 *24715:D *4830:28 4.87805e-05 +5 *24715:D *4831:77 4.87805e-05 +*RES +1 *20290:X *24715:D 33.3785 +*END + +*D_NET *1224 0.000836133 +*CONN +*I *24716:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20289:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24716:D 0.000271149 +2 *20289:X 0.000271149 +3 *24716:D *20289:A2 5.07314e-05 +4 *24716:D *20289:B2 7.34948e-06 +5 *24716:D *1911:39 9.96342e-05 +6 *24716:D *4843:906 1.80122e-05 +7 *24716:D *4843:916 2.20702e-05 +8 *24716:D *4899:50 9.60366e-05 +*RES +1 *20289:X *24716:D 32.8267 +*END + +*D_NET *1225 0.000628928 +*CONN +*I *24717:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20288:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24717:D 0.000203652 +2 *20288:X 0.000203652 +3 *24717:D *20288:A1 0.00011818 +4 *24717:D *1910:97 2.36494e-05 +5 *24717:D *4827:135 7.97944e-05 +6 *24717:D *5507:12 0 +*RES +1 *20288:X *24717:D 32.4409 +*END + +*D_NET *1226 0.000818605 +*CONN +*I *24718:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20287:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24718:D 0.000221876 +2 *20287:X 0.000221876 +3 *24718:D *20287:A1 0.000122098 +4 *24718:D *20287:B1 5.79399e-05 +5 *24718:D *1910:9 1.00846e-05 +6 *24718:D *1910:21 6.50727e-05 +7 *24718:D *4832:32 0.000119659 +8 *24718:D *4899:76 0 +*RES +1 *20287:X *24718:D 33.9303 +*END + +*D_NET *1227 0.000827917 +*CONN +*I *24719:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20283:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24719:D 0.000185461 +2 *20283:X 0.000185461 +3 *24719:D *24726:RESET_B 0.000209232 +4 *24719:D *2687:20 0.000122083 +5 *144:8 *24719:D 0.00012568 +*RES +1 *20283:X *24719:D 32.1327 +*END + +*D_NET *1228 0.00140031 +*CONN +*I *24720:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20282:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24720:D 0.000348563 +2 *20282:X 0.000348563 +3 *24720:D *1908:45 0.000122083 +4 *24720:D *2262:30 0.00012568 +5 *24720:D *2297:25 0.000455422 +*RES +1 *20282:X *24720:D 34.9058 +*END + +*D_NET *1229 0.000674186 +*CONN +*I *24721:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20281:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24721:D 0.000201141 +2 *20281:X 0.000201141 +3 *24721:D *20281:B1 8.39059e-05 +4 *24721:D *2687:20 8.62625e-06 +5 *24721:D *4844:796 0.000127179 +6 *144:8 *24721:D 5.21927e-05 +*RES +1 *20281:X *24721:D 32.1327 +*END + +*D_NET *1230 0.000289168 +*CONN +*I *24722:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20280:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24722:D 4.56509e-05 +2 *20280:X 4.56509e-05 +3 *24722:D *20280:A1 1.41291e-05 +4 *24722:D *24722:CLK 7.97944e-05 +5 *24722:D *2467:21 0.000103943 +*RES +1 *20280:X *24722:D 21.4642 +*END + +*D_NET *1231 0.00105986 +*CONN +*I *24723:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20279:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24723:D 0.000423829 +2 *20279:X 0.000423829 +3 *24723:D *20279:B2 0.000113038 +4 *24723:D *20339:B2 5.13058e-05 +5 *24723:D *4833:181 4.78554e-05 +*RES +1 *20279:X *24723:D 34.9058 +*END + +*D_NET *1232 0.00139108 +*CONN +*I *24724:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20278:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24724:D 0.000452441 +2 *20278:X 0.000452441 +3 *24724:D *20340:A2 0.000199017 +4 *24724:D *24683:RESET_B 0 +5 *24042:S *24724:D 0.000215704 +6 *144:8 *24724:D 7.14746e-05 +*RES +1 *20278:X *24724:D 35.9862 +*END + +*D_NET *1233 0.000726923 +*CONN +*I *24725:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20277:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24725:D 0.000184288 +2 *20277:X 0.000184288 +3 *24725:D *1908:76 5.82465e-05 +4 *24725:D *4844:786 0.000143032 +5 *24725:D *5544:7 3.24105e-05 +6 *24725:D *5906:20 0.000124658 +*RES +1 *20277:X *24725:D 33.6572 +*END + +*D_NET *1234 0.00100717 +*CONN +*I *24726:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20276:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24726:D 0.000256296 +2 *20276:X 0.000256296 +3 *24726:D *24726:CLK 0.000126866 +4 *24726:D *1907:15 0.000140365 +5 *24726:D *2367:11 5.07314e-05 +6 *24726:D *4842:237 3.27384e-05 +7 *24726:D *5545:7 0.000143875 +*RES +1 *20276:X *24726:D 36.4302 +*END + +*D_NET *1235 0.000282589 +*CONN +*I *24727:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20272:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24727:D 0.000108973 +2 *20272:X 0.000108973 +3 *24727:D *20269:B1 2.55536e-05 +4 *24727:D *24727:RESET_B 1.4592e-05 +5 *24727:D *4815:30 2.44976e-05 +*RES +1 *20272:X *24727:D 29.7168 +*END + +*D_NET *1236 0.000590211 +*CONN +*I *24728:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20271:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24728:D 0.000151779 +2 *20271:X 0.000151779 +3 *24728:D *20323:A2 7.63284e-05 +4 *24728:D *2584:26 0.000122083 +5 *24728:D *4814:26 6.48791e-05 +6 *24728:D *4814:28 2.33629e-05 +*RES +1 *20271:X *24728:D 32.1327 +*END + +*D_NET *1237 0.000622123 +*CONN +*I *24729:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20270:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24729:D 0.000170065 +2 *20270:X 0.000170065 +3 *24729:D *24729:RESET_B 6.06823e-05 +4 *24729:D *1904:49 6.80864e-05 +5 *24729:D *4814:26 0.000153225 +*RES +1 *20270:X *24729:D 33.1026 +*END + +*D_NET *1238 0.00133174 +*CONN +*I *24730:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20269:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24730:D 0.00023411 +2 *20269:X 0.00023411 +3 *24730:D *20269:A1 6.50727e-05 +4 *24730:D *2610:29 8.19451e-05 +5 *24730:D *4816:49 0.000190042 +6 *24730:D *4819:117 0.000456756 +7 mgmt_gpio_out[13] *24730:D 6.9709e-05 +*RES +1 *20269:X *24730:D 36.6977 +*END + +*D_NET *1239 0.000783113 +*CONN +*I *24731:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20268:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24731:D 0.000234492 +2 *20268:X 0.000234492 +3 *24731:D *20268:A1 0.000164843 +4 *24731:D *2570:36 3.77804e-05 +5 *24731:D *2620:20 4.12533e-05 +6 *24731:D *4817:7 9.80242e-07 +7 *24731:D *4842:240 6.92705e-05 +*RES +1 *20268:X *24731:D 32.2721 +*END + +*D_NET *1240 0.00124599 +*CONN +*I *24732:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20267:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24732:D 0.00039723 +2 *20267:X 0.00039723 +3 *24732:D *20267:A2 5.56461e-05 +4 *24732:D *20267:B1 4.66492e-05 +5 *24732:D *24732:RESET_B 5.481e-05 +6 *24732:D *1905:34 8.72065e-05 +7 *24732:D *2297:39 9.0819e-05 +8 *24732:D *2584:17 8.90311e-06 +9 *24732:D *4843:825 0.000107496 +*RES +1 *20267:X *24732:D 35.2139 +*END + +*D_NET *1241 0.00128274 +*CONN +*I *24733:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20266:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24733:D 0.000239602 +2 *20266:X 0.000239602 +3 *24733:D *20731:B1 6.50727e-05 +4 *24733:D *1905:26 0.000275339 +5 *24733:D *2297:26 0.000284063 +6 *24733:D *5557:10 0.000111722 +7 *24733:D *5714:35 6.73351e-05 +*RES +1 *20266:X *24733:D 35.7629 +*END + +*D_NET *1242 0.00205426 +*CONN +*I *24734:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20265:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24734:D 0.00057456 +2 *20265:X 0.00057456 +3 *24734:D *1907:8 0.000118166 +4 *24734:D *4825:49 0.000107329 +5 *24734:D *4825:61 0.00042169 +6 *24734:D *5903:6 0.000127179 +7 *478:44 *24734:D 0.000130777 +*RES +1 *20265:X *24734:D 38.788 +*END + +*D_NET *1243 0.000961585 +*CONN +*I *24735:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20261:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24735:D 0.000305337 +2 *20261:X 0.000305337 +3 *24735:D *20261:A1 0.000160617 +4 *24735:D *20261:B2 6.22539e-05 +5 *24735:D *2300:29 6.12686e-06 +6 *24735:D *5861:492 2.22788e-05 +7 *510:55 *24735:D 9.96342e-05 +*RES +1 *20261:X *24735:D 33.3813 +*END + +*D_NET *1244 0.00105452 +*CONN +*I *24736:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20260:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24736:D 0.000367438 +2 *20260:X 0.000367438 +3 *24736:D *20260:A2 1.92336e-05 +4 *24736:D *20260:B2 3.17103e-05 +5 *24736:D *2389:33 7.98171e-06 +6 *24736:D *2423:42 9.96342e-05 +7 *24736:D *5871:860 6.50586e-05 +8 *510:59 *24736:D 9.60216e-05 +*RES +1 *20260:X *24736:D 33.9359 +*END + +*D_NET *1245 0.000841388 +*CONN +*I *24737:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20259:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24737:D 0.000224711 +2 *20259:X 0.000224711 +3 *24737:D *20259:A1 1.19751e-05 +4 *24737:D *20259:A2 3.82228e-05 +5 *24737:D *2398:74 0.000169078 +6 *24737:D *4832:84 0.000172691 +*RES +1 *20259:X *24737:D 32.9632 +*END + +*D_NET *1246 0.000452212 +*CONN +*I *24738:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20258:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24738:D 9.0732e-05 +2 *20258:X 9.0732e-05 +3 *24738:D *1573:76 0.000122083 +4 *24738:D *2384:96 0.000122083 +5 *24738:D *5861:492 2.65831e-05 +*RES +1 *20258:X *24738:D 30.4689 +*END + +*D_NET *1247 0.000508828 +*CONN +*I *24739:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20257:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24739:D 0.000130062 +2 *20257:X 0.000130062 +3 *24739:D *20257:A1 1.01044e-05 +4 *24739:D *20257:A2 7.34948e-06 +5 *24739:D *24034:A1 2.5386e-05 +6 *24739:D *1573:76 7.50872e-05 +7 *24739:D *2384:96 0.000130777 +*RES +1 *20257:X *24739:D 31.0235 +*END + +*D_NET *1248 0.000963968 +*CONN +*I *24740:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20256:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24740:D 0.000293407 +2 *20256:X 0.000293407 +3 *24740:D *20256:A1 6.50586e-05 +4 *24740:D *24740:CLK 4.82656e-05 +5 *24740:D *1901:18 4.59164e-06 +6 *24740:D *2384:88 8.62625e-06 +7 *24740:D *4843:469 0 +8 *24740:D *4843:474 0 +9 *24740:D *4866:82 1.90335e-05 +10 *24740:D *4866:94 7.81005e-05 +11 *24740:D *4938:8 1.88656e-05 +12 *24740:D *5857:179 5.56367e-05 +13 *24740:D *5857:184 7.89747e-05 +*RES +1 *20256:X *24740:D 35.487 +*END + +*D_NET *1249 0.000632202 +*CONN +*I *24741:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20255:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24741:D 0.000191021 +2 *20255:X 0.000191021 +3 *24741:D *24741:RESET_B 4.20506e-05 +4 *24741:D *1901:30 0.000122083 +5 *24741:D *4866:70 3.1218e-05 +6 *24741:D *5550:7 5.481e-05 +*RES +1 *20255:X *24741:D 32.6874 +*END + +*D_NET *1250 0.00181712 +*CONN +*I *24742:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20254:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24742:D 0.000524083 +2 *20254:X 0.000524083 +3 *24742:D *20254:A1 1.65872e-05 +4 *24742:D *20254:B1 0.00049794 +5 *24742:D *1901:7 2.77625e-06 +6 *24742:D *1901:18 4.58003e-05 +7 *24742:D *4844:275 0.000101118 +8 *24742:D *5861:482 0.000104731 +*RES +1 *20254:X *24742:D 37.2635 +*END + +*D_NET *1251 0.00438039 +*CONN +*I *24743:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20248:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24743:D 0.000976054 +2 *20248:X 0.000168253 +3 *1251:7 0.00114431 +4 *24743:D *21101:A 0.000341237 +5 *24743:D *21103:B1_N 0.000255333 +6 *24743:D *24743:CLK 7.19887e-05 +7 *24743:D *4867:79 6.47528e-05 +8 *24743:D *5447:14 0.000331985 +9 *24743:D *5447:25 0.000808875 +10 *1251:7 *21097:A 1.03403e-05 +11 *1251:7 *21099:B1 0.000207266 +12 *24208:D *24743:D 0 +*RES +1 *20248:X *1251:7 17.8002 +2 *1251:7 *24743:D 48.9729 +*END + +*D_NET *1252 0.000926648 +*CONN +*I *24744:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20245:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24744:D 0.000279903 +2 *20245:X 0.000279903 +3 *24744:D *24744:RESET_B 4.49829e-06 +4 *24744:D *2270:75 0.000236334 +5 *24744:D *2644:13 0 +6 *24744:D *5878:13 0.00012601 +*RES +1 *20245:X *24744:D 32.6586 +*END + +*D_NET *1253 0.000820008 +*CONN +*I *24745:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20244:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24745:D 0.000152881 +2 *20244:X 0.000152881 +3 *24745:D *20242:B1 0.000119487 +4 *24745:D *20244:A1 0.000119487 +5 *24745:D *4845:415 0.000174373 +6 *24745:D *4915:57 0.000100899 +*RES +1 *20244:X *24745:D 34.3512 +*END + +*D_NET *1254 0.00100889 +*CONN +*I *24746:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20243:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24746:D 0.000199282 +2 *20243:X 0.000199282 +3 *24746:D *21735:A 5.37706e-05 +4 *24746:D *24746:CLK 0 +5 *24746:D *2420:29 1.2693e-05 +6 *24746:D *2755:14 0.000187483 +7 *24746:D *4944:8 0.000332904 +8 *24746:D *4944:21 2.34804e-05 +*RES +1 *20243:X *24746:D 34.7286 +*END + +*D_NET *1255 0.000858077 +*CONN +*I *24747:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20242:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24747:D 0.00014777 +2 *20242:X 0.00014777 +3 *24747:D *24745:RESET_B 0.000210379 +4 *24747:D *4845:412 7.26606e-05 +5 *24747:D *4915:45 2.67514e-05 +6 *24747:D *4944:21 1.89073e-05 +7 *24747:D *4945:13 0.00018034 +8 *24747:D *4955:6 5.34989e-05 +*RES +1 *20242:X *24747:D 33.242 +*END + +*D_NET *1256 0.000268197 +*CONN +*I *24748:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20241:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24748:D 4.91655e-05 +2 *20241:X 4.91655e-05 +3 *24748:D *1439:213 3.90891e-05 +4 *24748:D *2756:8 0.000130777 +*RES +1 *20241:X *24748:D 29.7455 +*END + +*D_NET *1257 0.0016473 +*CONN +*I *24749:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20237:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24749:D 0.000322639 +2 *20237:X 0.000322639 +3 *24749:D *2667:13 0.000357898 +4 *24749:D *5854:407 0.000320257 +5 *543:37 *24749:D 0.00032387 +*RES +1 *20237:X *24749:D 37.1186 +*END + +*D_NET *1258 0.00198862 +*CONN +*I *24750:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20236:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24750:D 0.000745584 +2 *20236:X 0.000745584 +3 *24750:D *20357:A 2.08194e-05 +4 *24750:D *24750:SET_B 0.000171671 +5 *24750:D *24750:CLK 0.000124221 +6 *24750:D *1893:81 3.00073e-05 +7 *24750:D *2434:8 7.50872e-05 +8 *24750:D *2675:42 3.20069e-06 +9 *24750:D *4947:10 3.75221e-05 +10 *496:8 *24750:D 3.49272e-05 +*RES +1 *20236:X *24750:D 49.4834 +*END + +*D_NET *1259 0.00146129 +*CONN +*I *24751:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20235:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24751:D 0.000287029 +2 *20235:X 0.000287029 +3 *24751:D *20235:A1 9.58746e-05 +4 *24751:D *21953:A1 0.000111722 +5 *24751:D *21955:A 4.0752e-05 +6 *24751:D *24751:CLK 6.08467e-05 +7 *24751:D *1636:20 0.000115934 +8 *24751:D *2420:34 2.36161e-05 +9 *24751:D *2434:8 1.5714e-05 +10 *24751:D *2962:33 4.0752e-05 +11 *24751:D *4947:18 1.5714e-05 +12 *74:18 *24751:D 9.07336e-05 +13 *499:18 *24751:D 0.00026359 +14 *503:13 *24751:D 1.19856e-05 +*RES +1 *20235:X *24751:D 40.6751 +*END + +*D_NET *1260 0.000337721 +*CONN +*I *24752:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20234:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24752:D 6.44755e-05 +2 *20234:X 6.44755e-05 +3 *24752:D *21661:A 7.34948e-06 +4 *24752:D *4914:29 6.73351e-05 +5 *24752:D *4949:7 0.000126414 +6 *500:95 *24752:D 7.6719e-06 +*RES +1 *20234:X *24752:D 21.4642 +*END + +*D_NET *1261 0.000978125 +*CONN +*I *24753:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20233:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24753:D 0.000154069 +2 *20233:X 0.000154069 +3 *24753:D *20233:A1 6.50727e-05 +4 *24753:D *2434:8 0.000305013 +5 *24753:D *4866:12 0.000299901 +*RES +1 *20233:X *24753:D 33.791 +*END + +*D_NET *1262 0.000644237 +*CONN +*I *24754:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20232:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24754:D 0.000162499 +2 *20232:X 0.000162499 +3 *24754:D *24754:CLK 7.34948e-06 +4 *24754:D *2440:16 9.96342e-05 +5 *24754:D *2448:178 2.41916e-05 +6 *24754:D *2900:47 0.000169041 +7 *24754:D *4914:29 0 +8 *497:14 *24754:D 1.90218e-05 +*RES +1 *20232:X *24754:D 32.4575 +*END + +*D_NET *1263 0.00083016 +*CONN +*I *24755:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20231:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24755:D 0.000141005 +2 *20231:X 0.000141005 +3 *24755:D *21224:A2 8.50131e-05 +4 *24755:D *1744:38 3.14978e-05 +5 *24755:D *2437:23 0.000101472 +6 *24755:D *4845:382 0.0003036 +7 *534:8 *24755:D 2.65667e-05 +*RES +1 *20231:X *24755:D 33.791 +*END + +*D_NET *1264 0.00104839 +*CONN +*I *24756:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20230:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24756:D 0.000221522 +2 *20230:X 0.000221522 +3 *24756:D *20230:A1 1.00981e-05 +4 *24756:D *2378:11 4.43961e-05 +5 *24756:D *2667:20 0.000207266 +6 *24756:D *4843:525 0.000141924 +7 *24756:D *4953:13 5.97411e-05 +8 *497:50 *24756:D 0.000141924 +*RES +1 *20230:X *24756:D 34.9352 +*END + +*D_NET *1265 0.00149428 +*CONN +*I *24757:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20226:X O *D sky130_fd_sc_hd__o211a_2 +*CAP +1 *24757:D 0.000237514 +2 *20226:X 0.000237514 +3 *24757:D *20226:A1 7.15745e-05 +4 *24757:D *20226:A2 5.22859e-06 +5 *24757:D *20226:B1 6.51416e-05 +6 *24757:D *20226:C1 6.92422e-05 +7 *24757:D *23062:B1 0.000356415 +8 *24757:D *1483:137 5.56461e-05 +9 *24757:D *4874:20 5.64528e-05 +10 *24757:D *4881:169 9.63981e-05 +11 *24757:D *6001:189 0.000243151 +*RES +1 *20226:X *24757:D 38.26 +*END + +*D_NET *1266 0.000530517 +*CONN +*I *24758:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20224:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24758:D 0.000221908 +2 *20224:X 0.000221908 +3 *24758:D *20224:A1 4.78069e-06 +4 *24758:D *20224:A2 2.57986e-05 +5 *24758:D *21862:A 5.61217e-05 +6 *24758:D *4928:85 0 +*RES +1 *20224:X *24758:D 31.5781 +*END + +*D_NET *1267 0.00198896 +*CONN +*I *24759:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20223:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24759:D 0.000615121 +2 *20223:X 0.000615121 +3 *24759:D *19627:B1 0.000107496 +4 *24759:D *19719:A 0.000317693 +5 *24759:D *19723:B1 2.2979e-05 +6 *24759:D *20223:A1 0.000164829 +7 *24759:D *20223:B1 6.50727e-05 +8 *24759:D *2836:8 7.5865e-06 +9 *24759:D *4844:441 3.78098e-05 +10 *514:85 *24759:D 3.52569e-05 +*RES +1 *20223:X *24759:D 38.3727 +*END + +*D_NET *1268 0.00124377 +*CONN +*I *24760:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20222:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24760:D 0.000403187 +2 *20222:X 0.000403187 +3 *24760:D *20222:A1 0.000175485 +4 *24760:D *20222:A2 1.41291e-05 +5 *24760:D *20222:B2 4.31539e-05 +6 *24760:D *24848:RESET_B 9.96342e-05 +7 *24760:D *2955:5 8.97732e-06 +8 *24760:D *5854:194 9.60216e-05 +*RES +1 *20222:X *24760:D 35.0451 +*END + +*D_NET *1269 0.00210986 +*CONN +*I *24761:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20221:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24761:D 0.000199652 +2 *20221:X 0.000199652 +3 *24761:D *1439:270 0.000559686 +4 *24761:D *2561:25 1.00937e-05 +5 *24761:D *2632:19 0.00081232 +6 *24761:D *4844:441 0.000143897 +7 *24761:D *5854:185 0.000184563 +*RES +1 *20221:X *24761:D 39.2032 +*END + +*D_NET *1270 0.000691157 +*CONN +*I *24762:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20220:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24762:D 0.000235814 +2 *20220:X 0.000235814 +3 *24762:D *20220:B1 0 +4 *24762:D *24506:CLK 3.58905e-05 +5 *24762:D *1502:57 4.69189e-06 +6 *24762:D *3177:77 1.62686e-05 +7 *24762:D *4878:35 0.000162677 +*RES +1 *20220:X *24762:D 31.9934 +*END + +*D_NET *1271 0.001297 +*CONN +*I *24763:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20216:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24763:D 0.000536931 +2 *20216:X 0.000536931 +3 *24763:D *20210:B2 4.60951e-05 +4 *24763:D *20216:A2 1.31897e-05 +5 *24763:D *1596:134 0.000107971 +6 *24763:D *1658:119 5.85828e-06 +7 *24763:D *2305:8 4.1752e-05 +8 *24763:D *4844:182 8.27225e-06 +*RES +1 *20216:X *24763:D 36.015 +*END + +*D_NET *1272 0.00118321 +*CONN +*I *24764:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20215:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24764:D 0.00030512 +2 *20215:X 0.00030512 +3 *24764:D *1884:71 0.000106543 +4 *24764:D *2243:8 0.000109954 +5 *24764:D *4815:51 0.000356473 +*RES +1 *20215:X *24764:D 33.7966 +*END + +*D_NET *1273 0.0013814 +*CONN +*I *24765:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20214:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24765:D 0.000414494 +2 *20214:X 0.000414494 +3 *24765:D *20214:A2 5.04829e-06 +4 *24765:D *1592:189 2.95884e-05 +5 *24765:D *1608:113 6.64188e-05 +6 *24765:D *1886:64 1.16388e-05 +7 *24765:D *2266:24 1.03079e-05 +8 *24765:D *2545:48 2.652e-05 +9 *24765:D *4902:71 0 +10 *24765:D *5853:156 0.000402891 +*RES +1 *20214:X *24765:D 37.3917 +*END + +*D_NET *1274 0.000725813 +*CONN +*I *24766:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20213:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24766:D 0.00024613 +2 *20213:X 0.00024613 +3 *24766:D *24765:CLK 2.16355e-05 +4 *24766:D *2266:24 9.40407e-06 +5 *24766:D *2676:17 2.16355e-05 +6 *24766:D *4815:67 5.99155e-05 +7 *24766:D *5853:156 0.000112978 +8 *24766:D *5855:166 7.98425e-06 +*RES +1 *20213:X *24766:D 33.0415 +*END + +*D_NET *1275 0.000597764 +*CONN +*I *24767:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20212:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24767:D 0.000129219 +2 *20212:X 0.000129219 +3 *24767:D *24767:CLK 0.000112163 +4 *24767:D *1635:106 0.000156136 +5 *24767:D *1663:27 1.01796e-05 +6 *24767:D *4843:784 6.08467e-05 +*RES +1 *20212:X *24767:D 31.2994 +*END + +*D_NET *1276 0.00066697 +*CONN +*I *24768:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20211:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24768:D 0.000223888 +2 *20211:X 0.000223888 +3 *24768:D *20211:B2 3.4123e-05 +4 *24768:D *2490:18 9.4334e-05 +5 *24768:D *5094:12 9.07365e-05 +*RES +1 *20211:X *24768:D 31.7175 +*END + +*D_NET *1277 0.00138599 +*CONN +*I *24769:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20210:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24769:D 0.000247542 +2 *20210:X 0.000247542 +3 *24769:D *20210:A1 7.92757e-06 +4 *24769:D *20210:A2 0.000107496 +5 *24769:D *20210:B1 2.16355e-05 +6 *24769:D *20210:B2 8.9031e-06 +7 *24769:D *24769:CLK 0.000130421 +8 *24769:D *1614:120 0.000133831 +9 *24769:D *3263:13 0.000480689 +*RES +1 *20210:X *24769:D 35.8756 +*END + +*D_NET *1278 0.000344669 +*CONN +*I *24770:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20209:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24770:D 0.000145295 +2 *20209:X 0.000145295 +3 *24770:D *5096:9 5.40779e-05 +*RES +1 *20209:X *24770:D 21.633 +*END + +*D_NET *1279 0.00135674 +*CONN +*I *24771:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20204:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24771:D 0.000515186 +2 *20204:X 0.000515186 +3 *24771:D *20204:B2 5.0715e-05 +4 *24771:D *21698:A 9.37126e-05 +5 *24771:D *1691:71 1.00981e-05 +6 *24771:D *1882:31 4.31539e-05 +7 *24771:D *1883:5 2.77625e-06 +8 *24771:D *2471:14 9.37126e-05 +9 *24771:D *3071:26 3.21973e-05 +*RES +1 *20204:X *24771:D 36.1543 +*END + +*D_NET *1280 0.00130093 +*CONN +*I *24772:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20203:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24772:D 0.00041282 +2 *20203:X 0.00041282 +3 *24772:D *1749:65 7.86847e-05 +4 *24772:D *2468:130 1.07617e-05 +5 *24772:D *2471:17 0.000107101 +6 *24772:D *5859:343 0.000207266 +7 *24772:D *5870:474 7.14746e-05 +*RES +1 *20203:X *24772:D 34.877 +*END + +*D_NET *1281 0.00198113 +*CONN +*I *24773:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20202:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24773:D 0.000496486 +2 *20202:X 0.000496486 +3 *24773:D *20202:A1 7.9849e-05 +4 *24773:D *20202:A2 0.000114913 +5 *24773:D *24773:CLK 4.82656e-05 +6 *24773:D *1552:35 2.52921e-05 +7 *24773:D *1691:72 8.43942e-05 +8 *24773:D *1790:19 0.000184434 +9 *24773:D *2876:34 8.4653e-05 +10 *24773:D *3485:25 0.000345453 +11 *24773:D *3487:30 5.04829e-06 +12 *24773:D *5854:490 1.58551e-05 +*RES +1 *20202:X *24773:D 43.6171 +*END + +*D_NET *1282 0.000456664 +*CONN +*I *24774:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20201:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24774:D 0.000179538 +2 *20201:X 0.000179538 +3 *24774:D *21689:B2 2.99978e-05 +4 *24774:D *24774:CLK 6.75901e-05 +*RES +1 *20201:X *24774:D 21.4642 +*END + +*D_NET *1283 0.000346523 +*CONN +*I *24775:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20200:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24775:D 0.000155702 +2 *20200:X 0.000155702 +3 *24775:D *20200:A1 2.0126e-05 +4 *24775:D *20200:A2 3.01683e-06 +5 *24775:D *5232:5 1.19751e-05 +*RES +1 *20200:X *24775:D 21.633 +*END + +*D_NET *1284 0.000532912 +*CONN +*I *24776:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20196:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24776:D 7.39619e-05 +2 *20196:X 7.39619e-05 +3 *24776:D *24776:RESET_B 6.46905e-05 +4 *24776:D *24776:CLK 0.000128091 +5 *24776:D *5932:13 0.000192207 +*RES +1 *20196:X *24776:D 23.6826 +*END + +*D_NET *1285 0.00135603 +*CONN +*I *24777:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20194:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24777:D 0.000386949 +2 *20194:X 0.000386949 +3 *24777:D *24778:CLK 3.90891e-05 +4 *24777:D *5907:65 0.000543039 +*RES +1 *20194:X *24777:D 35.4604 +*END + +*D_NET *1286 0.000577551 +*CONN +*I *24778:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20192:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24778:D 0.000260168 +2 *20192:X 0.000260168 +3 *24778:D *21046:A 3.67528e-06 +4 *24778:D *24778:CLK 0 +5 *24778:D *5907:65 5.35406e-05 +*RES +1 *20192:X *24778:D 32.2721 +*END + +*D_NET *1287 0.000520477 +*CONN +*I *24779:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20190:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24779:D 0.00023079 +2 *20190:X 0.00023079 +3 *24779:D *23957:A1 4.61732e-05 +4 *24779:D *2194:12 0 +5 *24779:D *5932:13 1.27226e-05 +*RES +1 *20190:X *24779:D 31.7175 +*END + +*D_NET *1288 0.000646828 +*CONN +*I *24780:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20188:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24780:D 0.000298594 +2 *20188:X 0.000298594 +3 *24780:D *20191:A0 3.73472e-05 +4 *24780:D *5934:9 1.22938e-05 +*RES +1 *20188:X *24780:D 23.128 +*END + +*D_NET *1289 0.000665169 +*CONN +*I *24781:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20186:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24781:D 0.000259638 +2 *20186:X 0.000259638 +3 *24781:D *20186:A 6.31665e-05 +4 *24781:D *23960:A1 0 +5 *24781:D *5906:52 8.27255e-05 +*RES +1 *20186:X *24781:D 34.2118 +*END + +*D_NET *1290 0.000160888 +*CONN +*I *24782:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20184:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24782:D 6.53623e-05 +2 *20184:X 6.53623e-05 +3 *24782:D *24776:CLK 3.01634e-05 +4 *566:15 *24782:D 0 +*RES +1 *20184:X *24782:D 29.3303 +*END + +*D_NET *1291 0.000435123 +*CONN +*I *24783:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20182:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24783:D 0.000133286 +2 *20182:X 0.000133286 +3 *24783:D *20182:A 0 +4 *24783:D *4881:91 4.61732e-05 +5 *24783:D *5907:57 0.000122378 +*RES +1 *20182:X *24783:D 30.6083 +*END + +*D_NET *1292 0.00097629 +*CONN +*I *24784:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20172:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24784:D 0.000266458 +2 *20172:X 0.000266458 +3 *24784:D *20172:B1 1.9101e-05 +4 *24784:D *3041:10 0.000124145 +5 *24784:D *3052:28 0.000258753 +6 *24784:D *3076:42 4.13746e-05 +*RES +1 *20172:X *24784:D 42.4201 +*END + +*D_NET *1293 0.00059943 +*CONN +*I *24785:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20171:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24785:D 0.00021376 +2 *20171:X 0.00021376 +3 *24785:D *20171:A1 0 +4 *24785:D *20171:B2 6.31223e-05 +5 *24785:D *22027:A 0 +6 *24785:D *1864:22 0.000108787 +*RES +1 *20171:X *24785:D 31.5781 +*END + +*D_NET *1294 0.000890669 +*CONN +*I *24786:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20170:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24786:D 0.000231161 +2 *20170:X 0.000231161 +3 *24786:D *20170:B2 0.000113107 +4 *24786:D *21784:A 0 +5 *24786:D *24786:CLK 7.16754e-05 +6 *24786:D *1450:126 1.43955e-05 +7 *24786:D *1865:50 6.36477e-05 +8 *24786:D *5234:7 0.000165521 +*RES +1 *20170:X *24786:D 32.9955 +*END + +*D_NET *1295 0.000507441 +*CONN +*I *24787:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20169:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24787:D 0.000239678 +2 *20169:X 0.000239678 +3 *24787:D *20169:A2 0 +4 *24787:D *24787:CLK 2.80852e-05 +*RES +1 *20169:X *24787:D 22.0188 +*END + +*D_NET *1296 0.000414035 +*CONN +*I *24788:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20168:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24788:D 0.000198622 +2 *20168:X 0.000198622 +3 *24788:D *24788:CLK 1.03403e-05 +4 *24788:D *5236:7 6.44964e-06 +*RES +1 *20168:X *24788:D 21.4642 +*END + +*D_NET *1297 0.000397412 +*CONN +*I *24789:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20167:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24789:D 0.000171667 +2 *20167:X 0.000171667 +3 *24789:D *20167:A2 0 +4 *24789:D *1864:12 0 +5 *24789:D *5237:5 5.40779e-05 +*RES +1 *20167:X *24789:D 21.633 +*END + +*D_NET *1298 0.000651228 +*CONN +*I *24790:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20166:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24790:D 0.000148577 +2 *20166:X 0.000148577 +3 *24790:D *20169:B2 0.000113968 +4 *24790:D *1450:126 0.000171167 +5 *460:84 *24790:D 6.89399e-05 +*RES +1 *20166:X *24790:D 31.854 +*END + +*D_NET *1299 0.000738367 +*CONN +*I *24791:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20165:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24791:D 0.000148967 +2 *20165:X 0.000148967 +3 *24791:D *21246:A 6.98334e-06 +4 *24791:D *24791:CLK 5.61389e-05 +5 *24791:D *2394:7 1.19726e-05 +6 *24791:D *5239:7 0.000296519 +7 *24791:D *5239:15 6.88186e-05 +*RES +1 *20165:X *24791:D 23.128 +*END + +*D_NET *1300 0.00187078 +*CONN +*I *24792:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20161:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24792:D 0.000557064 +2 *20161:X 0.000557064 +3 *24792:D *20161:A2 0.000191403 +4 *24792:D *20161:B1 8.05465e-05 +5 *24792:D *1755:45 0.000383065 +6 *24792:D *1755:51 0.000101637 +*RES +1 *20161:X *24792:D 33.4967 +*END + +*D_NET *1301 0.00562188 +*CONN +*I *24793:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20160:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24793:D 0.00108938 +2 *20160:X 0.000219294 +3 *1301:13 0.00130867 +4 *24793:D *1726:6 0.00122642 +5 *24793:D *5437:23 3.24037e-05 +6 *24793:D *5475:38 0.00122989 +7 *1301:13 *24794:D 0.000111722 +8 *1301:13 *1726:6 0.000139605 +9 *1301:13 *1726:16 2.70521e-05 +10 *1301:13 *2789:8 5.31105e-05 +11 *1301:13 *2789:12 8.1051e-05 +12 *1301:13 *4798:61 6.50586e-05 +13 *1301:13 *5801:77 3.82228e-05 +*RES +1 *20160:X *1301:13 29.074 +2 *1301:13 *24793:D 44.91 +*END + +*D_NET *1302 0.00220684 +*CONN +*I *24794:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20159:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24794:D 0.000457535 +2 *20159:X 0.000457535 +3 *24794:D *24794:RESET_B 8.54603e-05 +4 *24794:D *1682:19 0.000667406 +5 *24794:D *5801:77 0.000146424 +6 *24794:D *5854:148 0.00014038 +7 *24794:D *5869:65 0.00014038 +8 *1301:13 *24794:D 0.000111722 +*RES +1 *20159:X *24794:D 43.0855 +*END + +*D_NET *1303 0.00234427 +*CONN +*I *24795:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20158:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24795:D 0.000856656 +2 *20158:X 0.000856656 +3 *24795:D *24795:CLK 0.000103083 +4 *24795:D *1482:69 0.000400335 +5 *24795:D *2284:28 6.63489e-05 +6 *24795:D *4878:59 6.11872e-05 +*RES +1 *20158:X *24795:D 31.5013 +*END + +*D_NET *1304 0.00205172 +*CONN +*I *24796:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20157:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24796:D 0.000581644 +2 *20157:X 0.000581644 +3 *24796:D *1763:15 0.000730223 +4 *24796:D *5671:87 4.17528e-05 +5 *460:39 *24796:D 0.000116453 +*RES +1 *20157:X *24796:D 38.2334 +*END + +*D_NET *1305 0.00218914 +*CONN +*I *24797:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20156:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24797:D 0.000509766 +2 *20156:X 0.000509766 +3 *24797:D *20156:B1 0.000286118 +4 *24797:D *21122:A1 3.82228e-05 +5 *24797:D *24797:RESET_B 0.000155667 +6 *24797:D *1459:51 0.000188374 +7 *24797:D *5671:43 0.000211492 +8 *24797:D *5998:18 0.00028973 +*RES +1 *20156:X *24797:D 43.7738 +*END + +*D_NET *1306 0.00320924 +*CONN +*I *24798:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20155:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24798:D 0.00113311 +2 *20155:X 0.00113311 +3 *24798:D *20155:A2 5.04829e-06 +4 *24798:D *20155:B1 2.41483e-05 +5 *24798:D *24798:CLK 7.39214e-06 +6 *24798:D *1744:119 0.000168681 +7 *24798:D *2603:59 0.000550981 +8 *24798:D *4864:7 1.80887e-05 +9 *24798:D *5998:18 0.000168681 +*RES +1 *20155:X *24798:D 46.3815 +*END + +*D_NET *1307 0.00101562 +*CONN +*I *24799:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20154:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *24799:D 0.000412497 +2 *20154:X 0.000412497 +3 *24799:D *20154:A3 7.92757e-06 +4 *24799:D *20154:B1 2.41916e-05 +5 *24799:D *1522:52 1.43848e-05 +6 *24799:D *4864:89 2.65667e-05 +7 *24799:D *4937:8 5.53789e-05 +8 *24799:D *5805:23 6.79209e-06 +9 *24799:D *5814:18 5.53789e-05 +*RES +1 *20154:X *24799:D 34.9058 +*END + +*D_NET *1308 0.000591344 +*CONN +*I *24800:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20148:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24800:D 0.000241994 +2 *20148:X 0.000241994 +3 *24800:D *24800:RESET_B 4.73413e-05 +4 *24800:D *1857:6 3.00073e-05 +5 *24800:D *4845:55 3.00073e-05 +*RES +1 *20148:X *24800:D 31.9352 +*END + +*D_NET *1309 0.00240741 +*CONN +*I *24801:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20147:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24801:D 0.000887434 +2 *20147:X 0.000887434 +3 *24801:D *20147:A2 2.05191e-05 +4 *24801:D *20147:B2 1.43983e-05 +5 *24801:D *2624:6 0 +6 *24801:D *4838:22 0.000158357 +7 *24801:D *4839:15 7.34935e-05 +8 *24801:D *4839:21 7.8082e-07 +9 *24801:D *4841:33 0.000147325 +10 *24801:D *4885:24 8.09995e-05 +11 *24801:D *5868:458 2.71542e-05 +12 *24801:D *5868:464 7.84205e-05 +13 *24801:D *5868:477 3.10924e-05 +*RES +1 *20147:X *24801:D 46.0217 +*END + +*D_NET *1310 0.000535225 +*CONN +*I *24802:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20146:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24802:D 0.000147717 +2 *20146:X 0.000147717 +3 *24802:D *4841:175 0.000117709 +4 *24802:D *4885:120 0.000122083 +*RES +1 *20146:X *24802:D 31.0235 +*END + +*D_NET *1311 0.000946106 +*CONN +*I *24803:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20145:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24803:D 0.000286564 +2 *20145:X 0.000286564 +3 *24803:D *20145:A1 6.08467e-05 +4 *24803:D *24803:CLK 4.03381e-05 +5 *24803:D *1857:17 0.000148144 +6 *24803:D *4840:12 2.21765e-05 +7 *24803:D *4885:91 0.000101472 +*RES +1 *20145:X *24803:D 34.9352 +*END + +*D_NET *1312 0.00206973 +*CONN +*I *24804:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20144:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24804:D 0.00101574 +2 *20144:X 0.00101574 +3 *24804:D *1857:24 1.91246e-05 +4 *24804:D *4841:175 1.91246e-05 +5 *24804:D *4843:18 0 +*RES +1 *20144:X *24804:D 36.8022 +*END + +*D_NET *1313 0.000598425 +*CONN +*I *24805:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20140:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24805:D 0.000119477 +2 *20140:X 0.000119477 +3 *24805:D *20140:A1 0.00012568 +4 *24805:D *2300:60 0.000111708 +5 *482:40 *24805:D 0.000122083 +*RES +1 *20140:X *24805:D 31.0235 +*END + +*D_NET *1314 0.000903638 +*CONN +*I *24806:D I *D sky130_fd_sc_hd__dfstp_1 +*I *20139:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24806:D 0.000304265 +2 *20139:X 0.000304265 +3 *24806:D *20139:A1 0.000113968 +4 *24806:D *20139:B2 5.04829e-06 +5 *24806:D *1854:19 1.0758e-05 +6 *24806:D *2949:60 0.000115448 +7 *24806:D *4843:457 2.86013e-06 +8 *24806:D *5526:21 4.70267e-05 +*RES +1 *20139:X *24806:D 33.242 +*END + +*D_NET *1315 0.000443343 +*CONN +*I *24807:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20138:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24807:D 8.76728e-05 +2 *20138:X 8.76728e-05 +3 *24807:D *20135:A2 5.30254e-05 +4 *24807:D *20138:A2 6.87503e-05 +5 *24807:D *24805:SET_B 2.16355e-05 +6 *24807:D *1854:76 5.04829e-06 +7 *500:60 *24807:D 0.000119538 +*RES +1 *20138:X *24807:D 30.4689 +*END + +*D_NET *1316 0.000496681 +*CONN +*I *24808:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20137:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24808:D 0.00016415 +2 *20137:X 0.00016415 +3 *24808:D *5855:318 0.000165521 +4 *476:108 *24808:D 2.86013e-06 +*RES +1 *20137:X *24808:D 21.4642 +*END + +*D_NET *1317 0.0011662 +*CONN +*I *24809:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20136:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24809:D 0.000268581 +2 *20136:X 0.000268581 +3 *24809:D *1855:47 7.77744e-05 +4 *24809:D *2397:72 0.000277438 +5 *24809:D *5526:21 0.000273825 +*RES +1 *20136:X *24809:D 35.0395 +*END + +*D_NET *1318 0.00103275 +*CONN +*I *24810:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20135:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24810:D 0.000226424 +2 *20135:X 0.000226424 +3 *24810:D *1709:54 8.32283e-05 +4 *24810:D *2380:84 9.30719e-05 +5 *24810:D *5380:13 0.000107496 +6 *535:25 *24810:D 0.000296101 +*RES +1 *20135:X *24810:D 34.3456 +*END + +*D_NET *1319 0.00141935 +*CONN +*I *24811:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20134:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24811:D 0.000302915 +2 *20134:X 0.000302915 +3 *24811:D *20134:A1 0.000154145 +4 *24811:D *20134:B1 6.08467e-05 +5 *24811:D *1854:5 2.77625e-06 +6 *24811:D *4845:326 0.000296072 +7 *531:42 *24811:D 0.000299685 +*RES +1 *20134:X *24811:D 36.0094 +*END + +*D_NET *1320 0.000807679 +*CONN +*I *24812:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20133:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24812:D 0.000298404 +2 *20133:X 0.000298404 +3 *24812:D *20133:A1 7.50722e-05 +4 *24812:D *20133:A2 3.14978e-05 +5 *24812:D *24812:CLK 3.4123e-05 +6 *24812:D *5382:10 0 +7 *324:17 *24812:D 7.01776e-05 +*RES +1 *20133:X *24812:D 33.3372 +*END + +*D_NET *1321 0.000579163 +*CONN +*I *24813:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20129:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24813:D 0.000196603 +2 *20129:X 0.000196603 +3 *24813:D *20120:A 3.4067e-05 +4 *24813:D *23955:A1 6.3657e-05 +5 *24813:D *1471:128 1.78942e-05 +6 *24813:D *4867:161 6.75453e-05 +7 *24813:D *5898:131 1.39717e-06 +8 *24813:RESET_B *24813:D 1.39717e-06 +*RES +1 *20129:X *24813:D 32.7168 +*END + +*D_NET *1322 0.000637249 +*CONN +*I *24814:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20125:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24814:D 0.000217208 +2 *20125:X 0.000217208 +3 *24814:D *2405:20 0.000140472 +4 *24814:D *4867:38 2.41274e-06 +5 *657:51 *24814:D 5.9949e-05 +*RES +1 *20125:X *24814:D 31.9934 +*END + +*D_NET *1323 0.0011262 +*CONN +*I *24815:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *20119:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24815:D 0.000321002 +2 *20119:X 0.000321002 +3 *24815:D *2951:19 0.000356497 +4 *24815:D *5733:22 3.01634e-05 +5 *24815:D *5926:60 9.75356e-05 +*RES +1 *20119:X *24815:D 34.1047 +*END + +*D_NET *1324 0.000571214 +*CONN +*I *24816:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20114:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24816:D 0.000121357 +2 *20114:X 0.000121357 +3 *24816:D *2715:10 0.000127164 +4 *24816:D *2838:33 0.000111722 +5 *24816:D *5733:22 2.85058e-05 +6 *25027:A *24816:D 6.11074e-05 +*RES +1 *20114:X *24816:D 31.0235 +*END + +*D_NET *1325 0.000412868 +*CONN +*I *24817:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20110:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24817:D 7.92549e-05 +2 *20110:X 7.92549e-05 +3 *24817:D *2715:12 0.000127179 +4 *24817:D *5733:12 0.000127179 +*RES +1 *20110:X *24817:D 30.4689 +*END + +*D_NET *1326 0.00185568 +*CONN +*I *24818:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20102:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24818:D 0.000682778 +2 *20102:X 0.000682778 +3 *24818:D *20102:B1 0.000224381 +4 *24818:D *22874:A 7.06457e-05 +5 *24818:D *3784:10 2.65831e-05 +6 *24818:D *5801:105 0.000161493 +7 *24818:D *5801:107 7.02172e-06 +*RES +1 *20102:X *24818:D 39.8972 +*END + +*D_NET *1327 0.00177043 +*CONN +*I *24819:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20099:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24819:D 0.000581681 +2 *20099:X 0.000581681 +3 *24819:D *1829:157 0.000213445 +4 *24819:D *4873:81 0.000119027 +5 *24819:D *4873:103 0.000274598 +*RES +1 *20099:X *24819:D 41.9069 +*END + +*D_NET *1328 0.00121426 +*CONN +*I *24820:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20096:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24820:D 0.000386026 +2 *20096:X 0.000386026 +3 *24820:D *1809:181 0 +4 *24820:D *1829:157 0 +5 *24820:D *3860:14 0.000423936 +6 *24820:D *4873:74 1.82696e-05 +*RES +1 *20096:X *24820:D 35.1817 +*END + +*D_NET *1329 0.000900817 +*CONN +*I *24821:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20093:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24821:D 0.000294567 +2 *20093:X 0.000294567 +3 *24821:D *20080:A 2.03903e-05 +4 *24821:D *2328:11 0.00016386 +5 *24821:D *4873:61 7.19887e-05 +6 *24821:D *5469:8 5.385e-05 +7 *24821:RESET_B *24821:D 1.59362e-06 +*RES +1 *20093:X *24821:D 35.7307 +*END + +*D_NET *1330 0.000975525 +*CONN +*I *24822:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20090:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24822:D 0.000415415 +2 *20090:X 0.000415415 +3 *24822:D *20087:B2 0.000144695 +4 *24822:D *23960:A0 0 +5 *24822:D *2194:12 0 +6 *24822:D *4841:11 0 +*RES +1 *20090:X *24822:D 34.9058 +*END + +*D_NET *1331 0.000695637 +*CONN +*I *24823:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20087:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24823:D 0.000185438 +2 *20087:X 0.000185438 +3 *24823:D *20087:A1 0.000175485 +4 *24823:D *24823:CLK 4.27003e-05 +5 *24823:D *4840:9 3.99086e-06 +6 *24823:D *5471:10 0.000102584 +*RES +1 *20087:X *24823:D 31.8863 +*END + +*D_NET *1332 0.00056518 +*CONN +*I *24824:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20084:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24824:D 0.000189768 +2 *20084:X 0.000189768 +3 *24824:D *20084:A1 3.99086e-06 +4 *24824:D *20084:A2 2.44829e-05 +5 *24824:D *23959:A0 3.00073e-05 +6 *24824:D *24824:CLK 0 +7 *24824:D *1829:142 0 +8 *24824:D *5801:102 0.000127164 +*RES +1 *20084:X *24824:D 31.5781 +*END + +*D_NET *1333 0.00187539 +*CONN +*I *24825:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *20078:X O *D sky130_fd_sc_hd__a41o_1 +*CAP +1 *24825:D 0.000587537 +2 *20078:X 0.000587537 +3 *24825:D *20078:A1 2.20702e-05 +4 *24825:D *20078:A4 2.61147e-05 +5 *24825:D *20078:B1 0.000158357 +6 *24825:D *1816:23 0.000483682 +7 *24825:D *5898:73 1.00937e-05 +8 *514:68 *24825:D 0 +*RES +1 *20078:X *24825:D 40.9952 +*END + +*D_NET *1334 0.00143266 +*CONN +*I *24826:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *20072:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *24826:D 0.000330128 +2 *20072:Y 0.000330128 +3 *24826:D *2619:19 7.89351e-05 +4 *24826:D *4864:56 0.000444608 +5 *24826:D *5473:69 0.000248859 +*RES +1 *20072:Y *24826:D 37.8317 +*END + +*D_NET *1335 0.000322816 +*CONN +*I *24827:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *20063:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *24827:D 0.000103686 +2 *20063:X 0.000103686 +3 *24827:D *20063:A2 6.92705e-05 +4 *24827:D *2412:12 4.61732e-05 +5 *24827:D *4867:159 0 +*RES +1 *20063:X *24827:D 30.0537 +*END + +*D_NET *1336 0.00138898 +*CONN +*I *24828:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *20054:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24828:D 0.000364034 +2 *20054:X 0.000364034 +3 *24828:D *23953:A0 0.000352924 +4 *24828:D *5801:49 0.000169078 +5 *24828:D *5926:54 3.45397e-05 +6 *25005:A *24828:D 0.000104368 +*RES +1 *20054:X *24828:D 36.8399 +*END + +*D_NET *1337 0.00159447 +*CONN +*I *24829:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *19772:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24829:D 0.00058619 +2 *19772:X 0.00058619 +3 *24829:D *1525:24 0.000200236 +4 *24829:D *4867:169 2.16236e-05 +5 *24829:D *5928:30 0.000200236 +*RES +1 *19772:X *24829:D 37.8937 +*END + +*D_NET *1338 0.00121669 +*CONN +*I *24830:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *19769:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24830:D 0.00035507 +2 *19769:X 0.00035507 +3 *24830:D *24068:A1 0.00022826 +4 *24830:D *2505:54 0.000122083 +5 *24830:D *5928:30 0.000122083 +6 *19769:B1 *24830:D 3.4123e-05 +*RES +1 *19769:X *24830:D 37.1242 +*END + +*D_NET *1339 0.00270521 +*CONN +*I *24831:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *19766:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24831:D 0.000624695 +2 *19766:X 0.000624695 +3 *24831:D *19765:A 9.75356e-05 +4 *24831:D *19766:A1 0.00015888 +5 *24831:D *19766:B2 1.80176e-05 +6 *24831:D *23621:A_N 1.31872e-05 +7 *24831:D *2632:36 1.16107e-05 +8 *24831:D *5926:42 0.000622015 +9 *24831:D *5927:48 0.000328199 +10 *24831:D *5927:64 0.000186173 +11 *25017:A *24831:D 2.02035e-05 +*RES +1 *19766:X *24831:D 47.3928 +*END + +*D_NET *1340 0.00210211 +*CONN +*I *24832:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *19763:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *24832:D 0.00080423 +2 *19763:X 0.00080423 +3 *24832:D *19762:A 3.06815e-05 +4 *24832:D *19763:A1 1.81949e-05 +5 *24832:D *19766:A2 0 +6 *24832:D *1471:185 0.000410977 +7 *24832:D *1525:64 0 +8 *24832:D *5456:82 0 +9 *19763:B1 *24832:D 0 +10 *24832:RESET_B *24832:D 3.37994e-05 +*RES +1 *19763:X *24832:D 42.66 +*END + +*D_NET *1341 0.00185847 +*CONN +*I *24833:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *19760:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24833:D 0.000554869 +2 *19760:X 0.000554869 +3 *24833:D *19760:A1 0.000165521 +4 *24833:D *19760:A2 1.65351e-05 +5 *24833:D *19760:B2 5.04829e-06 +6 *24833:D *24072:A1 7.14746e-05 +7 *24833:D *1525:42 1.07248e-05 +8 *24833:D *1525:51 6.56786e-05 +9 *24833:D *2429:11 0.000101148 +10 *24833:D *2612:22 1.1718e-05 +11 *24833:D *2955:35 0.000177081 +12 *24833:D *4870:54 8.00198e-06 +13 *24833:D *4870:55 0.000114584 +14 *24833:D *5464:8 1.21461e-06 +*RES +1 *19760:X *24833:D 41.4013 +*END + +*D_NET *1342 0.000954506 +*CONN +*I *24834:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *19757:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24834:D 0.000252117 +2 *19757:X 0.000252117 +3 *24834:D *1525:38 0.000182869 +4 *24834:D *2877:11 0.000267404 +*RES +1 *19757:X *24834:D 33.5179 +*END + +*D_NET *1343 0.000374558 +*CONN +*I *24835:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *19754:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24835:D 0.000158167 +2 *19754:X 0.000158167 +3 *24835:D *19754:A1 3.99086e-06 +4 *24835:D *4864:13 5.42343e-05 +*RES +1 *19754:X *24835:D 20.771 +*END + +*D_NET *1344 0.000488959 +*CONN +*I *24836:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19744:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24836:D 0.000125679 +2 *19744:X 0.000125679 +3 *24836:D *24836:CLK 0.000112361 +4 *24836:D *24837:CLK 7.26606e-05 +5 *24836:D *4930:8 2.86829e-05 +6 *24836:D *5809:11 2.38962e-05 +*RES +1 *19744:X *24836:D 31.1629 +*END + +*D_NET *1345 0.00051898 +*CONN +*I *24837:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19742:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24837:D 0.00024551 +2 *19742:X 0.00024551 +3 *24837:D *24837:CLK 2.7961e-05 +4 *24837:D *5814:18 0 +*RES +1 *19742:X *24837:D 31.9934 +*END + +*D_NET *1346 0.00106369 +*CONN +*I *24838:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19737:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24838:D 0.000458038 +2 *19737:X 0.000458038 +3 *24838:D *19737:A1 2.9373e-05 +4 *24838:D *5746:19 0 +5 *24838:D *5860:187 0.000118245 +6 *24838:D *5860:192 0 +*RES +1 *19737:X *24838:D 35.7363 +*END + +*D_NET *1347 0.00118993 +*CONN +*I *24839:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *19736:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24839:D 0.000509903 +2 *19736:X 0.000509903 +3 *24839:D *19736:A1 0.00016386 +4 *24839:D *5856:353 0 +5 *24839:D *5858:264 6.25883e-06 +6 *24839:D *5917:263 0 +*RES +1 *19736:X *24839:D 38.3615 +*END + +*D_NET *1348 0.000396035 +*CONN +*I *24840:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19735:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24840:D 7.50698e-05 +2 *19735:X 7.50698e-05 +3 *24840:D *19737:A1 6.92705e-05 +4 *24840:D *25270:A 2.7961e-05 +5 *24840:D *5749:11 2.65667e-05 +6 *24840:D *5806:20 0.000122098 +*RES +1 *19735:X *24840:D 30.4689 +*END + +*D_NET *1349 0.000510145 +*CONN +*I *24841:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19734:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24841:D 7.20592e-05 +2 *19734:X 7.20592e-05 +3 *24841:D *4929:84 0.000115934 +4 *24841:D *5750:8 0.000210512 +5 *24841:D *5854:223 3.95802e-05 +*RES +1 *19734:X *24841:D 22.1876 +*END + +*D_NET *1350 0.000380987 +*CONN +*I *24842:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19733:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24842:D 0.000152353 +2 *19733:X 0.000152353 +3 *24842:D *19733:A2 5.07314e-05 +4 *24842:D *21792:A 0 +5 *24842:D *4929:77 2.55493e-05 +*RES +1 *19733:X *24842:D 30.6083 +*END + +*D_NET *1351 0.00140173 +*CONN +*I *24843:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *19727:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24843:D 0.000350216 +2 *19727:X 0.000350216 +3 *24843:D *1726:6 0.000114679 +4 *24843:D *3177:99 0.000468339 +5 *24843:D *3177:105 0.000118277 +*RES +1 *19727:X *24843:D 34.9058 +*END + +*D_NET *1352 0.000398892 +*CONN +*I *24844:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19726:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24844:D 0.000154536 +2 *19726:X 0.000154536 +3 *24844:D *19724:B2 3.90891e-05 +4 *24844:D *2563:8 0 +5 *24844:D *5858:316 5.07314e-05 +*RES +1 *19726:X *24844:D 31.0235 +*END + +*D_NET *1353 0.000764537 +*CONN +*I *24845:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19725:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24845:D 0.000178032 +2 *19725:X 0.000178032 +3 *24845:D *1744:100 7.09395e-05 +4 *24845:D *2922:13 0.000172691 +5 *24845:D *5856:334 0.000164843 +*RES +1 *19725:X *24845:D 32.4086 +*END + +*D_NET *1354 0.00116728 +*CONN +*I *24846:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19724:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24846:D 0.000327552 +2 *19724:X 0.000327552 +3 *24846:D *24846:CLK 0.000142124 +4 *24846:D *2516:8 0.000106966 +5 *24846:D *5742:19 0.000263084 +*RES +1 *19724:X *24846:D 35.8118 +*END + +*D_NET *1355 0.000778733 +*CONN +*I *24847:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19723:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24847:D 0.000278398 +2 *19723:X 0.000278398 +3 *24847:D *1467:93 5.26446e-05 +4 *24847:D *2784:12 2.44976e-05 +5 *24847:D *2803:27 0.000104987 +6 *24847:D *5754:28 3.98067e-05 +*RES +1 *19723:X *24847:D 42.0271 +*END + +*D_NET *1356 0.000526251 +*CONN +*I *24848:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *19722:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24848:D 0.000125922 +2 *19722:X 0.000125922 +3 *24848:D *2516:8 0.000169078 +4 *24848:D *4843:603 4.44824e-05 +5 *24848:D *5856:334 6.08467e-05 +*RES +1 *19722:X *24848:D 31.2994 +*END + +*D_NET *1357 0.00239712 +*CONN +*I *24849:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19717:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24849:D 0.000909451 +2 *19717:X 0.000909451 +3 *24849:D *19717:A2 4.58003e-05 +4 *24849:D *19717:B1 6.50727e-05 +5 *24849:D *24849:CLK 0.000467345 +*RES +1 *19717:X *24849:D 37.403 +*END + +*D_NET *1358 0.00151239 +*CONN +*I *24850:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19716:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24850:D 0.000425742 +2 *19716:X 0.000425742 +3 *24850:D *2945:5 0.000580998 +4 *24850:D *5743:10 5.13058e-05 +5 *24850:D *5744:8 2.85997e-05 +*RES +1 *19716:X *24850:D 36.015 +*END + +*D_NET *1359 0.000791838 +*CONN +*I *24851:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19715:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24851:D 0.000235652 +2 *19715:X 0.000235652 +3 *24851:D *19715:A1 9.31102e-05 +4 *24851:D *19715:A2 1.43848e-05 +5 *24851:D *24990:A 2.19131e-05 +6 *24851:D *2563:5 7.26408e-05 +7 *24851:D *4844:434 0.000118485 +*RES +1 *19715:X *24851:D 33.9654 +*END + +*D_NET *1360 0.00161409 +*CONN +*I *24852:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19714:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24852:D 0.000381017 +2 *19714:X 0.000381017 +3 *24852:D *20004:A 0.000101148 +4 *24852:D *25278:A 0.000198737 +5 *24852:D *25289:A 0.00014014 +6 *24852:D *4843:591 0.000357912 +7 *24852:D *5757:8 5.41227e-05 +*RES +1 *19714:X *24852:D 37.5338 +*END + +*D_NET *1361 0.00118378 +*CONN +*I *24853:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19713:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24853:D 0.000258726 +2 *19713:X 0.000258726 +3 *24853:D *19711:B2 0.000267328 +4 *24853:D *24853:CLK 6.08467e-05 +5 *24853:D *24855:CLK 0.000100396 +6 *24853:D *1760:8 5.39463e-05 +7 *24853:D *4844:434 6.53312e-05 +8 *24853:D *4928:10 0.000118485 +*RES +1 *19713:X *24853:D 34.52 +*END + +*D_NET *1362 0.000590955 +*CONN +*I *24854:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19712:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24854:D 0.000209834 +2 *19712:X 0.000209834 +3 *24854:D *4843:586 0.000171288 +4 *24854:D *4928:12 0 +5 *24854:D *5778:18 0 +*RES +1 *19712:X *24854:D 31.5781 +*END + +*D_NET *1363 0.00142958 +*CONN +*I *24855:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19711:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24855:D 0.000442196 +2 *19711:X 0.000442196 +3 *24855:D *19711:A1 0.000366589 +4 *24855:D *2445:10 0 +5 *24855:D *4844:416 5.65165e-05 +6 *24855:D *5671:10 0.000122083 +*RES +1 *19711:X *24855:D 36.015 +*END + +*D_NET *1364 0.000460663 +*CONN +*I *24856:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19710:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24856:D 0.000114045 +2 *19710:X 0.000114045 +3 *24856:D *2698:13 7.19887e-05 +4 *24856:D *2719:8 0.000118002 +5 *24856:D *5858:254 4.25818e-05 +*RES +1 *19710:X *24856:D 31.5781 +*END + +*D_NET *1365 0.000770239 +*CONN +*I *24857:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19704:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24857:D 0.000277068 +2 *19704:X 0.000277068 +3 *24857:D *20025:A 0 +4 *24857:D *1511:68 2.55493e-05 +5 *24857:D *4844:416 0.000102639 +6 *24857:D *5783:10 8.79157e-05 +7 *24857:D *5860:288 0 +*RES +1 *19704:X *24857:D 34.9058 +*END + +*D_NET *1366 0.00127345 +*CONN +*I *24858:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19703:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24858:D 0.000383883 +2 *19703:X 0.000383883 +3 *24858:D *19703:A1 0.000164843 +4 *24858:D *24858:CLK 0 +5 *24858:D *1500:26 0.000127164 +6 *24858:D *4845:443 1.28832e-05 +7 *24858:D *5782:19 0.000200794 +*RES +1 *19703:X *24858:D 34.9058 +*END + +*D_NET *1367 0.000436904 +*CONN +*I *24859:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19702:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24859:D 9.92751e-05 +2 *19702:X 9.92751e-05 +3 *24859:D *19695:A 0.000122083 +4 *24859:D *2992:10 4.70005e-05 +5 *24859:D *4844:416 6.92705e-05 +*RES +1 *19702:X *24859:D 30.4689 +*END + +*D_NET *1368 0.000976134 +*CONN +*I *24860:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19701:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24860:D 0.000139181 +2 *19701:X 0.000139181 +3 *24860:D *19701:A1 0.000122083 +4 *24860:D *24860:CLK 0.000222149 +5 *24860:D *1499:26 0.00012568 +6 *24860:D *4843:586 0.000172954 +7 *24860:D *5784:7 3.50883e-05 +8 *24860:D *5917:137 1.98189e-05 +*RES +1 *19701:X *24860:D 34.3512 +*END + +*D_NET *1369 0.000931826 +*CONN +*I *24861:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19700:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24861:D 0.000317479 +2 *19700:X 0.000317479 +3 *24861:D *19700:A1 0.000171273 +4 *24861:D *19700:B1 8.29169e-05 +5 *24861:D *25279:A 3.77659e-05 +6 *24861:D *25303:A 0 +7 *24861:D *5917:168 4.91225e-06 +*RES +1 *19700:X *24861:D 33.3813 +*END + +*D_NET *1370 0.000692339 +*CONN +*I *24862:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19699:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24862:D 0.000130013 +2 *19699:X 0.000130013 +3 *24862:D *19699:A1 0.000208976 +4 *24862:D *19699:A2 5.41377e-05 +5 *24862:D *24862:CLK 7.09685e-05 +6 *24862:D *25282:A 8.62625e-06 +7 *24862:D *4924:28 1.1246e-05 +8 *24862:D *5854:262 4.58003e-05 +9 *24862:D *5917:168 3.25584e-05 +10 *24862:D *5917:179 0 +*RES +1 *19699:X *24862:D 33.0998 +*END + +*D_NET *1371 0.000700024 +*CONN +*I *24863:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19698:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24863:D 0.000110319 +2 *19698:X 0.000110319 +3 *24863:D *19698:A1 1.03403e-05 +4 *24863:D *19915:B2 6.08467e-05 +5 *24863:D *24863:SET_B 2.65831e-05 +6 *24863:D *24984:A 0.000160384 +7 *24863:D *1499:70 0.000160384 +8 *24863:D *1672:16 6.08467e-05 +*RES +1 *19698:X *24863:D 32.0228 +*END + +*D_NET *1372 0.000455883 +*CONN +*I *24864:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19697:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24864:D 0.000176695 +2 *19697:X 0.000176695 +3 *24864:D *19699:A2 0 +4 *24864:D *24866:SET_B 3.97205e-05 +5 *24864:D *1744:83 6.27718e-05 +*RES +1 *19697:X *24864:D 31.6076 +*END + +*D_NET *1373 0.00123636 +*CONN +*I *24865:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19691:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24865:D 0.000398565 +2 *19691:X 0.000398565 +3 *24865:D *19691:B1 7.97098e-06 +4 *24865:D *24865:SET_B 0 +5 *24865:D *25284:A 5.0459e-05 +6 *24865:D *25285:A 0 +7 *24865:D *5765:11 0.000160617 +8 *24865:D *5917:203 0.000220183 +*RES +1 *19691:X *24865:D 34.9058 +*END + +*D_NET *1374 0.00108925 +*CONN +*I *24866:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19690:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24866:D 0.000261657 +2 *19690:X 0.000261657 +3 *24866:D *19687:B1 0 +4 *24866:D *24866:SET_B 9.50523e-05 +5 *24866:D *24984:A 0.000145013 +6 *24866:D *5830:20 0.00011439 +7 *24866:D *5858:383 0.000211478 +*RES +1 *19690:X *24866:D 36.5696 +*END + +*D_NET *1375 0.000648511 +*CONN +*I *24867:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19689:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24867:D 0.000168705 +2 *19689:X 0.000168705 +3 *24867:D *1492:88 8.62625e-06 +4 *24867:D *2839:14 2.5386e-05 +5 *24867:D *5767:27 4.72583e-05 +6 *24867:D *5860:320 0.000158357 +7 *24867:D *5871:48 7.14746e-05 +*RES +1 *19689:X *24867:D 31.5781 +*END + +*D_NET *1376 0.000428076 +*CONN +*I *24868:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19688:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24868:D 0.000104866 +2 *19688:X 0.000104866 +3 *24868:D *2420:10 0.000122068 +4 *24868:D *4843:576 6.50727e-05 +5 *24868:D *5860:313 3.12044e-05 +*RES +1 *19688:X *24868:D 30.4689 +*END + +*D_NET *1377 0.00105366 +*CONN +*I *24869:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19687:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24869:D 0.000259609 +2 *19687:X 0.000259609 +3 *24869:D *21932:A1 0.000304881 +4 *24869:D *1495:39 6.93171e-05 +5 *24869:D *1495:76 4.37926e-05 +6 *24869:D *4923:10 0.000116453 +*RES +1 *19687:X *24869:D 33.242 +*END + +*D_NET *1378 0.00133363 +*CONN +*I *24870:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19686:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24870:D 0.00044406 +2 *19686:X 0.00044406 +3 *24870:D *19686:A2 5.82465e-05 +4 *24870:D *19689:B1 3.98412e-05 +5 *24870:D *24870:SET_B 6.50586e-05 +6 *24870:D *25293:A 0 +7 *24870:D *1488:16 1.77537e-06 +8 *24870:D *2276:11 0.000221251 +9 *24870:D *5771:8 5.93386e-05 +10 *24870:D *5871:10 0 +11 *24870:D *5871:12 0 +*RES +1 *19686:X *24870:D 39.6129 +*END + +*D_NET *1379 0.00168471 +*CONN +*I *24871:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19685:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24871:D 0.000524698 +2 *19685:X 0.000524698 +3 *24871:D *19685:B2 6.12686e-06 +4 *24871:D *20030:A1 0.000457669 +5 *24871:D *20030:A2 4.35419e-05 +6 *24871:D *1497:93 0.000119049 +7 *24871:D *1781:13 1.3822e-06 +8 *24871:D *4844:403 7.54107e-06 +*RES +1 *19685:X *24871:D 37.1242 +*END + +*D_NET *1380 0.000435495 +*CONN +*I *24872:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19684:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24872:D 0.000100815 +2 *19684:X 0.000100815 +3 *24872:D *21506:A1 4.45326e-05 +4 *24872:D *1492:79 0.000119049 +5 *24872:D *1671:59 4.58003e-05 +6 *24872:D *4844:383 2.44829e-05 +*RES +1 *19684:X *24872:D 31.0235 +*END + +*D_NET *1381 0.000479951 +*CONN +*I *24873:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19679:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24873:D 0.000181288 +2 *19679:X 0.000181288 +3 *24873:D *2435:23 0.000117376 +*RES +1 *19679:X *24873:D 31.0235 +*END + +*D_NET *1382 0.00022175 +*CONN +*I *24874:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19677:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24874:D 9.50014e-05 +2 *19677:X 9.50014e-05 +3 *24874:D *1744:61 3.17474e-05 +*RES +1 *19677:X *24874:D 29.7455 +*END + +*D_NET *1383 0.000823194 +*CONN +*I *24875:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19673:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24875:D 0.000217644 +2 *19673:X 0.000217644 +3 *24875:D *1740:38 7.61444e-07 +4 *24875:D *1740:48 1.56949e-05 +5 *24875:D *2586:23 5.34415e-05 +6 *24875:D *2740:18 0.000106543 +7 *24875:D *2971:47 0.000211464 +*RES +1 *19673:X *24875:D 32.1327 +*END + +*D_NET *1384 0.00118961 +*CONN +*I *24876:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *19669:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *24876:D 0.000303883 +2 *19669:X 0.000303883 +3 *24704:D *24876:D 0.000289115 +4 *566:116 *24876:D 0.000292727 +*RES +1 *19669:X *24876:D 35.5941 +*END + +*D_NET *1385 0.000421172 +*CONN +*I *24877:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19658:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24877:D 0.000187686 +2 *19658:X 0.000187686 +3 *24877:D *21165:A 0 +4 *24877:D *24877:CLK 4.58003e-05 +*RES +1 *19658:X *24877:D 22.5734 +*END + +*D_NET *1386 0.000602521 +*CONN +*I *24878:D I *D sky130_fd_sc_hd__dfstp_2 +*I *19656:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24878:D 0.000195925 +2 *19656:X 0.000195925 +3 *24878:D *24878:CLK 0.000194719 +4 *24878:D *2955:5 1.59527e-05 +*RES +1 *19656:X *24878:D 23.8755 +*END + +*D_NET *1387 0.000850142 +*CONN +*I *24879:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *19651:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24879:D 0.000212865 +2 *19651:X 0.000212865 +3 *24879:D *19649:B1 8.92568e-06 +4 *24879:D *1470:50 0.000104731 +5 *24879:D *5804:12 3.00073e-05 +6 *24879:D *5860:169 0.000211478 +7 *24879:D *5860:176 6.92705e-05 +*RES +1 *19651:X *24879:D 32.2721 +*END + +*D_NET *1388 0.000534027 +*CONN +*I *24880:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *19650:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24880:D 0.000130002 +2 *19650:X 0.000130002 +3 *24880:D *24880:RESET_B 9.14505e-05 +4 *24880:D *1469:29 0.000127179 +5 *24880:D *5856:353 5.53934e-05 +*RES +1 *19650:X *24880:D 32.1327 +*END + +*D_NET *1389 0.00108426 +*CONN +*I *24881:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *19649:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24881:D 0.000303116 +2 *19649:X 0.000303116 +3 *24881:D *1470:62 0 +4 *24881:D *2561:25 6.02594e-05 +5 *24881:D *2632:19 0.000109484 +6 *24881:D *2803:33 0.00030828 +7 *24881:D *4878:40 0 +*RES +1 *19649:X *24881:D 36.4246 +*END + +*D_NET *1390 0.00119826 +*CONN +*I *24882:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *19648:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24882:D 0.000357537 +2 *19648:X 0.000357537 +3 *24882:D *24885:CLK 6.87482e-05 +4 *24882:D *1470:62 2.41483e-05 +5 *24882:D *1470:72 0.000324151 +6 *24882:D *2803:33 3.92275e-05 +7 *24882:D *4878:40 2.69064e-05 +*RES +1 *19648:X *24882:D 35.2139 +*END + +*D_NET *1391 0.000613675 +*CONN +*I *24883:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *19647:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24883:D 0.000242423 +2 *19647:X 0.000242423 +3 *24883:D *24839:CLK 3.22726e-05 +4 *24883:D *24883:RESET_B 3.14978e-05 +5 *24883:D *24883:CLK 6.50586e-05 +6 *24883:D *5748:9 0 +7 *24883:D *5748:31 0 +8 *24883:D *5858:284 0 +*RES +1 *19647:X *24883:D 33.3757 +*END + +*D_NET *1392 0.00107156 +*CONN +*I *24884:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *19646:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24884:D 0.000241469 +2 *19646:X 0.000241469 +3 *24884:D *21586:A 0.000306052 +4 *24884:D *4843:591 4.48391e-05 +5 *24884:D *5756:33 0.000176881 +6 *24884:D *5810:13 6.08467e-05 +*RES +1 *19646:X *24884:D 35.8672 +*END + +*D_NET *1393 0.000430782 +*CONN +*I *24885:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *19645:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24885:D 0.000134219 +2 *19645:X 0.000134219 +3 *24885:D *19644:A2 2.85274e-05 +4 *24885:D *4876:69 9.60366e-05 +5 *24885:D *5860:247 3.77804e-05 +*RES +1 *19645:X *24885:D 30.6083 +*END + +*D_NET *1394 0.00063715 +*CONN +*I *24886:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *19644:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24886:D 0.000217491 +2 *19644:X 0.000217491 +3 *24886:D *1464:17 0.000167076 +4 *24886:D *1467:93 1.88723e-05 +5 *24886:D *1471:96 9.12416e-06 +6 *24886:D *5812:47 7.09666e-06 +*RES +1 *19644:X *24886:D 31.5781 +*END + +*D_NET *1395 0.000945961 +*CONN +*I *24887:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19638:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24887:D 0.000195234 +2 *19638:X 0.000195234 +3 *24887:D *2426:38 0.000213725 +4 *24887:D *3177:111 0.000172691 +5 *24887:D *5476:16 0.000169078 +*RES +1 *19638:X *24887:D 32.9632 +*END + +*D_NET *1396 0.00237812 +*CONN +*I *24888:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *19635:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *24888:D 0.000789865 +2 *19635:X 0.000789865 +3 *24888:D *2597:12 0.000577996 +4 *24888:D *2810:40 6.24594e-05 +5 *24888:D *2993:42 0.000153942 +6 *24888:D *5869:464 3.99086e-06 +*RES +1 *19635:X *24888:D 41.2823 +*END + +*D_NET *1397 0.000614508 +*CONN +*I *24889:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *19629:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24889:D 0.000156139 +2 *19629:X 0.000156139 +3 *24889:D *19629:A1 0.000164815 +4 *24889:D *19629:B1 9.96342e-05 +5 *24889:D *2240:20 3.77804e-05 +*RES +1 *19629:X *24889:D 31.1629 +*END + +*D_NET *1398 0.000283333 +*CONN +*I *24890:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19628:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24890:D 5.86027e-05 +2 *19628:X 5.86027e-05 +3 *24890:D *19628:A1 0.000109355 +4 *24890:D *1687:17 5.67722e-05 +*RES +1 *19628:X *24890:D 21.4642 +*END + +*D_NET *1399 0.000502735 +*CONN +*I *24891:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19627:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24891:D 0.000128478 +2 *19627:X 0.000128478 +3 *24891:D *19627:A1 0.000113968 +4 *24891:D *1764:116 9.69016e-05 +5 *24891:D *2442:8 3.49097e-05 +*RES +1 *19627:X *24891:D 30.6083 +*END + +*D_NET *1400 0.00148144 +*CONN +*I *24892:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19626:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24892:D 0.000406593 +2 *19626:X 0.000406593 +3 *24892:D *20379:B1 0.000493387 +4 *24892:D *1485:80 1.5714e-05 +5 *24892:D *2627:10 0.000115772 +6 *24892:D *2878:25 4.33862e-05 +*RES +1 *19626:X *24892:D 35.4604 +*END + +*D_NET *1401 0.00123479 +*CONN +*I *24893:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19625:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24893:D 0.000511103 +2 *19625:X 0.000511103 +3 *24893:D *19627:A2 3.40655e-05 +4 *24893:D *2836:8 0.000108054 +5 *24893:D *5179:8 5.97411e-05 +6 *24893:D *5481:16 1.07248e-05 +*RES +1 *19625:X *24893:D 38.788 +*END + +*D_NET *1402 0.000607061 +*CONN +*I *24894:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19624:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24894:D 0.000133602 +2 *19624:X 0.000133602 +3 *24894:D *1442:146 0.000112551 +4 *24894:D *1764:113 0.00010914 +5 *24894:D *2705:33 0.000118166 +*RES +1 *19624:X *24894:D 31.0235 +*END + +*D_NET *1403 0.00175009 +*CONN +*I *24895:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19618:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24895:D 0.000461094 +2 *19618:X 0.000461094 +3 *24895:D *24979:A 0.000593404 +4 *24895:D *2981:24 0.000119049 +5 *516:25 *24895:D 0.000115451 +*RES +1 *19618:X *24895:D 36.5696 +*END + +*D_NET *1404 0.00107195 +*CONN +*I *24896:D I *D sky130_fd_sc_hd__dfstp_1 +*I *19617:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24896:D 0.000285854 +2 *19617:X 0.000285854 +3 *24896:D *19617:A1 0.000160617 +4 *24896:D *19617:B1 0.000111722 +5 *24896:D *19617:B2 1.41689e-05 +6 *24896:D *2609:24 0.000101605 +7 *24896:D *5870:505 4.99006e-05 +8 *24896:D *5870:516 6.22259e-05 +*RES +1 *19617:X *24896:D 33.242 +*END + +*D_NET *1405 0.00134206 +*CONN +*I *24897:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19616:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24897:D 0.000169177 +2 *19616:X 0.000169177 +3 *24897:D *1725:270 0.000457655 +4 *24897:D *1744:13 5.64166e-05 +5 *24897:D *4868:21 0.000453457 +6 *522:18 *24897:D 3.618e-05 +*RES +1 *19616:X *24897:D 35.321 +*END + +*D_NET *1406 0.000489778 +*CONN +*I *24898:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *19615:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24898:D 0.00014584 +2 *19615:X 0.00014584 +3 *24898:D *2609:10 4.96687e-05 +4 *24898:D *5854:424 0.00011818 +5 *24898:D *5860:544 3.02484e-05 +*RES +1 *19615:X *24898:D 31.0235 +*END + +*D_NET *1407 0.00107635 +*CONN +*I *24899:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19614:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24899:D 0.00025183 +2 *19614:X 0.00025183 +3 *24899:D *21524:B1 0.000213488 +4 *24899:D *24238:RESET_B 9.84506e-05 +5 *24899:D *24899:RESET_B 6.11558e-05 +6 *24899:D *2427:14 5.85387e-05 +7 *24899:D *5857:338 0.000141062 +*RES +1 *19614:X *24899:D 36.4302 +*END + +*D_NET *1408 0.00143004 +*CONN +*I *24900:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19613:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24900:D 0.000324483 +2 *19613:X 0.000324483 +3 *24900:D *19613:A1 6.50727e-05 +4 *24900:D *19613:A2 0.000184261 +5 *24900:D *21915:B2 0.000107496 +6 *24900:D *1428:54 0.000348153 +7 *24900:D *2273:14 8.62625e-06 +8 *24900:D *2420:42 1.88656e-05 +9 *24900:D *2424:8 3.28905e-05 +10 *24900:D *3076:58 1.5714e-05 +*RES +1 *19613:X *24900:D 45.4857 +*END + +*D_NET *1409 0.00072362 +*CONN +*I *24901:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19612:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24901:D 0.000107683 +2 *19612:X 0.000107683 +3 *24901:D *24927:A 0.000158357 +4 *24901:D *1551:28 5.68515e-05 +5 *24901:D *4919:29 0.000154145 +6 *24901:D *5853:421 0.000138901 +*RES +1 *19612:X *24901:D 31.9934 +*END + +*D_NET *1410 0.000532481 +*CONN +*I *24902:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19611:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24902:D 0.000131721 +2 *19611:X 0.000131721 +3 *24902:D *19611:A1 0.000111708 +4 *24902:D *1551:28 1.28551e-05 +5 *24902:D *2609:17 8.69817e-05 +6 *24902:D *5864:49 5.74949e-05 +*RES +1 *19611:X *24902:D 30.6083 +*END + +*D_NET *1411 0.000468626 +*CONN +*I *24903:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19603:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24903:D 0.000105339 +2 *19603:X 0.000105339 +3 *24903:D *2320:10 1.01796e-05 +4 *24903:D *4901:69 7.14746e-05 +5 *24903:D *5714:35 6.08467e-05 +6 *24903:D *5921:99 0.000115448 +*RES +1 *19603:X *24903:D 30.4689 +*END + +*D_NET *1412 0.000508098 +*CONN +*I *24904:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *19601:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24904:D 0.000112633 +2 *19601:X 0.000112633 +3 *24904:D *19601:B1 6.50727e-05 +4 *24904:D *2759:8 3.42931e-05 +5 *24904:D *4913:65 2.65831e-05 +6 *24904:D *5959:12 6.08467e-05 +7 *324:11 *24904:D 9.60366e-05 +*RES +1 *19601:X *24904:D 30.7771 +*END + +*D_NET *1413 0.000612458 +*CONN +*I *24905:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *19600:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24905:D 8.93651e-05 +2 *19600:X 8.93651e-05 +3 *24905:D *19599:A1 6.12686e-06 +4 *24905:D *24905:CLK 7.22498e-05 +5 *24905:D *1629:18 0.000217951 +6 *24905:D *2263:30 3.77659e-05 +7 *24905:D *4835:28 9.96342e-05 +*RES +1 *19600:X *24905:D 31.7175 +*END + +*D_NET *1414 0.00044042 +*CONN +*I *24906:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19595:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24906:D 0.000105819 +2 *19595:X 0.000105819 +3 *24906:D *1585:19 8.56804e-05 +4 *24906:D *2795:12 8.22553e-05 +5 *24906:D *3164:131 6.08467e-05 +*RES +1 *19595:X *24906:D 30.0537 +*END + +*D_NET *1415 0.000419561 +*CONN +*I *24907:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *19584:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *24907:D 9.68667e-05 +2 *19584:X 9.68667e-05 +3 *24907:D *1430:8 0.000122083 +4 *24907:D *4913:20 0.000103746 +*RES +1 *19584:X *24907:D 30.4689 +*END + +*D_NET *1416 0.000535556 +*CONN +*I *24908:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *23931:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *24908:D 0.000133993 +2 *23931:X 0.000133993 +3 *24908:D *5864:153 3.1218e-05 +4 *460:82 *24908:D 0.000114271 +5 *504:16 *24908:D 0.000122083 +*RES +1 *23931:X *24908:D 31.0235 +*END + +*D_NET *1417 0.00632327 +*CONN +*I *20250:A I *D sky130_fd_sc_hd__or2_4 +*I *19570:A I *D sky130_fd_sc_hd__buf_12 +*I *20205:A I *D sky130_fd_sc_hd__buf_12 +*I *19569:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20250:A 0.00180092 +2 *19570:A 0 +3 *20205:A 8.93577e-05 +4 *19569:Y 0.000289149 +5 *1417:17 0.00180092 +6 *1417:8 0.000378507 +7 *20205:A *1884:19 7.50722e-05 +8 *20205:A *2467:123 5.6771e-05 +9 *20250:A *1418:8 2.37827e-05 +10 *20250:A *2256:27 0.000921628 +11 *20250:A *2896:37 0.000154333 +12 *20250:A *3175:19 0 +13 *1417:8 *2467:123 5.58075e-05 +14 *1417:8 *3153:26 0.000123997 +15 *20250:B *20250:A 5.31844e-05 +16 *518:46 *20205:A 0.000217019 +17 *518:46 *1417:8 0.00027362 +18 *531:30 *1417:8 9.19632e-06 +*RES +1 *19569:Y *1417:8 20.5964 +2 *1417:8 *20205:A 17.6574 +3 *1417:8 *1417:17 4.5 +4 *1417:17 *19570:A 9.24915 +5 *1417:17 *20250:A 38.7155 +*END + +*D_NET *1418 0.0912948 +*CONN +*I *19571:A I *D sky130_fd_sc_hd__buf_12 +*I *21169:A I *D sky130_fd_sc_hd__or3_2 +*I *20689:A I *D sky130_fd_sc_hd__or2_1 +*I *19592:A I *D sky130_fd_sc_hd__buf_12 +*I *20727:A I *D sky130_fd_sc_hd__or2_1 +*I *20796:A I *D sky130_fd_sc_hd__or2_1 +*I *20874:A I *D sky130_fd_sc_hd__or2_1 +*I *20738:A I *D sky130_fd_sc_hd__or2_1 +*I *21085:A I *D sky130_fd_sc_hd__or2_1 +*I *20700:A I *D sky130_fd_sc_hd__or2_1 +*I *20777:A I *D sky130_fd_sc_hd__or2_1 +*I *20406:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *21144:A I *D sky130_fd_sc_hd__or2_1 +*I *20914:A I *D sky130_fd_sc_hd__or2_1 +*I *20954:A I *D sky130_fd_sc_hd__or2_1 +*I *20935:A I *D sky130_fd_sc_hd__or2_1 +*I *21066:A I *D sky130_fd_sc_hd__or2_1 +*I *20989:A I *D sky130_fd_sc_hd__or2_1 +*I *20893:A I *D sky130_fd_sc_hd__or2_1 +*I *21022:A I *D sky130_fd_sc_hd__or2_1 +*I *19570:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *19571:A 0 +2 *21169:A 6.0885e-05 +3 *20689:A 0.00010027 +4 *19592:A 0.000152625 +5 *20727:A 3.95597e-05 +6 *20796:A 0 +7 *20874:A 0.000109675 +8 *20738:A 2.93992e-05 +9 *21085:A 0.00108199 +10 *20700:A 2.06216e-05 +11 *20777:A 0 +12 *20406:A 6.13681e-05 +13 *21144:A 0.000329778 +14 *20914:A 2.80066e-05 +15 *20954:A 5.44411e-05 +16 *20935:A 0.000220413 +17 *21066:A 4.39343e-05 +18 *20989:A 3.94027e-05 +19 *20893:A 8.46901e-05 +20 *21022:A 0.000605164 +21 *19570:X 0 +22 *1418:311 0.00289118 +23 *1418:205 0.001678 +24 *1418:203 0.00225744 +25 *1418:195 0.00215995 +26 *1418:187 0.00125329 +27 *1418:184 9.40407e-05 +28 *1418:183 5.07295e-05 +29 *1418:181 0.00199505 +30 *1418:169 0.00331538 +31 *1418:163 0.000854888 +32 *1418:151 0.000971419 +33 *1418:145 0.000392679 +34 *1418:140 0.000445585 +35 *1418:129 0.000827423 +36 *1418:120 0.00117859 +37 *1418:108 0.00294164 +38 *1418:97 0.00284003 +39 *1418:86 0.00204208 +40 *1418:79 0.00321249 +41 *1418:63 0.00222149 +42 *1418:50 0.00197623 +43 *1418:29 0.00274723 +44 *1418:8 0.00263035 +45 *1418:4 0.0037101 +46 *19592:A *1614:131 2.99287e-05 +47 *19592:A *1658:126 0 +48 *19592:A *4872:74 0 +49 *19592:A *5861:323 6.00782e-06 +50 *20406:A *2889:10 3.12316e-05 +51 *20406:A *3065:8 0.000122098 +52 *20689:A *20689:B 0.000422256 +53 *20689:A *1631:58 1.84334e-05 +54 *20689:A *2465:20 4.94768e-05 +55 *20689:A *5862:454 0.000172156 +56 *20727:A *2473:30 5.73392e-05 +57 *20738:A *20738:B 0.000111722 +58 *20738:A *2287:22 0.000144173 +59 *20874:A *20624:B 0.000163285 +60 *20874:A *2317:20 0.000163285 +61 *20893:A *20623:B1 1.58551e-05 +62 *20893:A *20893:B 2.89954e-05 +63 *20893:A *2610:70 3.82228e-05 +64 *20914:A *2294:39 6.50727e-05 +65 *20935:A *20935:B 0.000255107 +66 *20935:A *20945:A2 0.000406808 +67 *20935:A *1615:8 0.000801464 +68 *20935:A *2155:5 6.08467e-05 +69 *20935:A *2421:67 1.0758e-05 +70 *20954:A *2520:33 1.91753e-05 +71 *20954:A *4872:74 1.25085e-05 +72 *20989:A *4908:193 1.03403e-05 +73 *21022:A *21023:A 0.000216839 +74 *21022:A *1573:113 0.000589733 +75 *21022:A *1652:28 0.000166548 +76 *21022:A *2177:13 6.08467e-05 +77 *21022:A *2177:78 0.000154145 +78 *21022:A *2282:88 2.16355e-05 +79 *21022:A *2636:28 4.67418e-06 +80 *21022:A *3196:12 4.04447e-05 +81 *21022:A *4808:12 4.58529e-05 +82 *21066:A *2295:78 0.000120265 +83 *21066:A *2468:80 1.37189e-05 +84 *21066:A *2570:94 6.08467e-05 +85 *21085:A *21086:A 0.000107496 +86 *21085:A *21290:A2 0.00023649 +87 *21085:A *21290:B2 7.7434e-05 +88 *21085:A *21290:C1 4.15661e-05 +89 *21085:A *1627:198 0.0004017 +90 *21085:A *1631:90 0.000620562 +91 *21085:A *1742:93 9.24219e-06 +92 *21085:A *2340:24 0.000247007 +93 *21085:A *2343:25 0.000106259 +94 *21085:A *2348:8 1.69777e-05 +95 *21085:A *2416:16 0.000505265 +96 *21085:A *2729:38 4.58529e-05 +97 *21085:A *2950:76 0.000166314 +98 *21085:A *3134:214 0.00101489 +99 *21085:A *3326:15 1.5714e-05 +100 *21085:A *5859:115 0.000251669 +101 *21144:A *21144:B 0.000164829 +102 *21144:A *4739:36 0.000210107 +103 *21169:A *4845:349 0.00031195 +104 *21169:A *4876:119 0.000129028 +105 *1418:8 *21026:A2 5.1493e-06 +106 *1418:8 *1573:113 0.000343142 +107 *1418:8 *2256:27 5.04829e-06 +108 *1418:8 *2256:37 0.000106685 +109 *1418:8 *3122:11 9.13543e-05 +110 *1418:8 *4823:124 0.00131575 +111 *1418:29 *20616:A2 0.000246622 +112 *1418:29 *1576:16 3.6632e-05 +113 *1418:29 *2256:37 7.70767e-05 +114 *1418:29 *2990:36 0.000190505 +115 *1418:29 *4823:99 0.000521753 +116 *1418:29 *4823:106 6.08467e-05 +117 *1418:29 *4823:123 0.000767533 +118 *1418:29 *4823:124 0.000459813 +119 *1418:50 *2177:90 0.000321753 +120 *1418:50 *2177:103 0.000496719 +121 *1418:50 *2379:58 0.000627832 +122 *1418:50 *2397:10 0.000229659 +123 *1418:50 *2642:27 8.75685e-05 +124 *1418:50 *2990:36 0.000327306 +125 *1418:50 *3041:31 0.000103542 +126 *1418:50 *3041:42 1.05272e-06 +127 *1418:50 *3041:61 5.49916e-05 +128 *1418:50 *3041:110 0 +129 *1418:50 *3257:80 5.98718e-05 +130 *1418:63 *1539:18 1.91246e-05 +131 *1418:63 *2379:58 0.000187853 +132 *1418:63 *2379:63 0.000237769 +133 *1418:63 *2570:80 2.95757e-05 +134 *1418:63 *3041:110 0 +135 *1418:79 *20617:A1 0.000234833 +136 *1418:79 *20941:B2 0.00019424 +137 *1418:79 *20942:A1 2.55661e-06 +138 *1418:79 *21215:A 3.15317e-05 +139 *1418:79 *1652:65 5.60804e-05 +140 *1418:79 *2319:55 5.94052e-06 +141 *1418:79 *2379:63 0.00116234 +142 *1418:79 *2446:145 2.14262e-05 +143 *1418:79 *2479:8 0 +144 *1418:79 *2503:48 0 +145 *1418:79 *2570:80 1.9101e-05 +146 *1418:79 *3041:110 0 +147 *1418:79 *3041:118 0 +148 *1418:79 *4816:124 0 +149 *1418:79 *4906:91 0.000508396 +150 *1418:79 *4906:95 0.000248138 +151 *1418:79 *5434:9 0.000135973 +152 *1418:86 *21412:C1 2.2397e-05 +153 *1418:86 *1608:98 0.000864252 +154 *1418:86 *1631:58 5.34784e-05 +155 *1418:86 *2452:29 0.000384367 +156 *1418:86 *2520:43 0.000561835 +157 *1418:86 *2900:111 0.000206333 +158 *1418:86 *4906:10 4.25398e-05 +159 *1418:86 *4906:19 0.000148962 +160 *1418:86 *4906:30 0.00076575 +161 *1418:86 *4906:41 5.45647e-05 +162 *1418:97 *1631:58 0.000120533 +163 *1418:97 *2465:20 0.000417294 +164 *1418:97 *2520:43 0.000263492 +165 *1418:97 *4906:41 5.46097e-05 +166 *1418:97 *4906:52 5.1493e-06 +167 *1418:108 *1631:72 9.96563e-05 +168 *1418:108 *2262:78 0 +169 *1418:108 *2372:60 0.000160069 +170 *1418:108 *3051:14 8.43209e-05 +171 *1418:108 *4812:18 0.000160069 +172 *1418:108 *4873:17 0.000220417 +173 *1418:120 *2321:26 0.000314037 +174 *1418:120 *2520:33 5.50022e-05 +175 *1418:120 *4872:74 4.66136e-05 +176 *1418:120 *5884:45 0 +177 *1418:129 *20915:A 6.50727e-05 +178 *1418:129 *2294:39 0.000352419 +179 *1418:129 *2380:60 2.05293e-05 +180 *1418:129 *2448:74 4.25507e-05 +181 *1418:129 *2520:21 5.11195e-05 +182 *1418:129 *2809:21 0 +183 *1418:129 *2950:76 0.00103826 +184 *1418:129 *4956:10 1.91246e-05 +185 *1418:129 *5853:154 6.1578e-06 +186 *1418:140 *20216:B1 7.99851e-05 +187 *1418:140 *20727:B 0.000457787 +188 *1418:140 *4845:229 9.66876e-05 +189 *1418:140 *4902:57 5.66868e-06 +190 *1418:140 *5861:311 1.5714e-05 +191 *1418:145 *20796:B 6.08467e-05 +192 *1418:145 *2473:30 0.000212985 +193 *1418:151 *20796:B 0.000113968 +194 *1418:151 *2473:30 0.000489521 +195 *1418:151 *2493:36 0.000299284 +196 *1418:163 *20439:B1 4.95492e-05 +197 *1418:163 *20738:B 0.000178998 +198 *1418:163 *2053:10 0.000652942 +199 *1418:163 *2257:11 0.000111722 +200 *1418:163 *2493:36 0.000455051 +201 *1418:169 *20439:A1 7.85927e-05 +202 *1418:169 *20439:B1 6.7671e-06 +203 *1418:169 *2287:22 0.000200794 +204 *1418:181 *20439:A1 6.06688e-05 +205 *1418:181 *20439:B1 7.74853e-06 +206 *1418:181 *20704:A1 3.59177e-05 +207 *1418:181 *20704:A2 1.65872e-05 +208 *1418:181 *2340:15 0 +209 *1418:181 *2343:25 8.62625e-06 +210 *1418:181 *2374:26 5.09147e-05 +211 *1418:181 *4823:12 0.000158097 +212 *1418:181 *5329:8 0.000119182 +213 *1418:181 *5861:298 0.000894999 +214 *1418:187 *4844:681 6.02032e-05 +215 *1418:187 *5861:298 0.000144432 +216 *1418:195 *20700:B 3.07848e-05 +217 *1418:195 *22001:A 7.22498e-05 +218 *1418:195 *1550:32 2.72743e-05 +219 *1418:195 *1958:8 3.99086e-06 +220 *1418:195 *2837:17 0.000154145 +221 *1418:195 *2850:12 0.000215771 +222 *1418:195 *3015:7 0.000260374 +223 *1418:195 *4844:681 0.000150025 +224 *1418:195 *5861:276 0.000222292 +225 *1418:195 *5861:298 6.08467e-05 +226 *1418:203 *21392:A 0.000107496 +227 *1418:203 *1619:62 0.00126766 +228 *1418:203 *1721:167 0.00039327 +229 *1418:203 *2075:19 0.000111722 +230 *1418:203 *2797:47 0.00101204 +231 *1418:203 *3035:40 0.000223144 +232 *1418:203 *4942:22 0.00101337 +233 *1418:205 *3035:40 0.000258079 +234 *1418:205 *4739:36 0.000429442 +235 *1418:205 *5855:25 5.481e-05 +236 *1418:311 *20854:B 5.69128e-05 +237 *1418:311 *20856:A1 0.000278173 +238 *1418:311 *22212:A 2.19138e-05 +239 *1418:311 *1439:145 2.34603e-05 +240 *1418:311 *1663:15 5.83513e-05 +241 *1418:311 *3093:10 0.000159674 +242 *1418:311 *3093:12 0.00091675 +243 *1418:311 *3122:11 5.99155e-05 +244 *1418:311 *4829:63 0.000495712 +245 *1418:311 *4845:349 4.03362e-05 +246 *1418:311 *4876:119 4.2372e-05 +247 *1418:311 *6028:93 0.000582077 +248 *1418:311 *6028:102 0.000487416 +249 *20250:A *1418:8 2.37827e-05 +250 *24311:D *1418:79 2.42863e-05 +251 *482:20 *1418:8 0.000291786 +252 *489:35 *20727:A 6.99486e-05 +253 *489:35 *1418:145 0.000131402 +254 *489:35 *1418:151 0.000926913 +255 *489:35 *1418:163 0.000154145 +256 *504:14 *1418:29 0.000190505 +257 *504:14 *1418:50 0.000661795 +258 *512:56 *1418:311 0.000723881 +259 *518:46 *1418:29 0.000204247 +*RES +1 *19570:X *1418:4 9.24915 +2 *1418:4 *1418:8 32.6645 +3 *1418:8 *21022:A 39.475 +4 *1418:8 *1418:29 42.7421 +5 *1418:29 *20893:A 16.1846 +6 *1418:29 *1418:50 43.0885 +7 *1418:50 *20989:A 14.4725 +8 *1418:50 *1418:63 10.6256 +9 *1418:63 *21066:A 15.6059 +10 *1418:63 *1418:79 49.0594 +11 *1418:79 *1418:86 27.1978 +12 *1418:86 *20935:A 24.3348 +13 *1418:86 *1418:97 11.5904 +14 *1418:97 *1418:108 12.9007 +15 *1418:108 *20954:A 14.9583 +16 *1418:108 *1418:120 12.4331 +17 *1418:120 *1418:129 17.5092 +18 *1418:129 *20914:A 9.97254 +19 *1418:129 *1418:140 18.3065 +20 *1418:140 *1418:145 5.35313 +21 *1418:145 *1418:151 14.0821 +22 *1418:151 *1418:163 18.1814 +23 *1418:163 *1418:169 5.35313 +24 *1418:169 *1418:181 40.91 +25 *1418:181 *1418:183 9.24915 +26 *1418:183 *1418:184 57.9449 +27 *1418:184 *1418:187 11.0817 +28 *1418:187 *1418:195 32.5919 +29 *1418:195 *1418:203 44.1 +30 *1418:203 *1418:205 28.4536 +31 *1418:205 *21144:A 17.1824 +32 *1418:205 *20406:A 20.4964 +33 *1418:203 *20777:A 9.24915 +34 *1418:187 *20700:A 9.82786 +35 *1418:169 *21085:A 49.2896 +36 *1418:163 *20738:A 11.0817 +37 *1418:151 *20874:A 21.7421 +38 *1418:145 *20796:A 9.24915 +39 *1418:140 *20727:A 11.0817 +40 *1418:120 *19592:A 17.2302 +41 *1418:97 *20689:A 18.4547 +42 *1418:4 *1418:311 49.943 +43 *1418:311 *21169:A 12.7456 +44 *1418:311 *19571:A 9.24915 +*END + +*D_NET *1419 0.171702 +*CONN +*I *20295:A I *D sky130_fd_sc_hd__or2_2 +*I *20885:A I *D sky130_fd_sc_hd__or2_1 +*I *20859:A I *D sky130_fd_sc_hd__or2_1 +*I *20981:A I *D sky130_fd_sc_hd__or2_1 +*I *20927:A I *D sky130_fd_sc_hd__or2_1 +*I *21014:A I *D sky130_fd_sc_hd__or2_1 +*I *20162:A I *D sky130_fd_sc_hd__or2_1 +*I *20130:A I *D sky130_fd_sc_hd__or2_1 +*I *21058:A I *D sky130_fd_sc_hd__or2_1 +*I *21033:A I *D sky130_fd_sc_hd__or2_1 +*I *20906:A I *D sky130_fd_sc_hd__or2_1 +*I *20946:A I *D sky130_fd_sc_hd__or2_1 +*I *21077:A I *D sky130_fd_sc_hd__or2_1 +*I *19719:A I *D sky130_fd_sc_hd__or2_1 +*I *19641:A I *D sky130_fd_sc_hd__or2_1 +*I *19707:A I *D sky130_fd_sc_hd__or2_1 +*I *19694:A I *D sky130_fd_sc_hd__or2_1 +*I *19681:A I *D sky130_fd_sc_hd__or2_1 +*I *19608:A I *D sky130_fd_sc_hd__or2_1 +*I *19581:A I *D sky130_fd_sc_hd__or2_1 +*I *19571:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20295:A 7.75512e-05 +2 *20885:A 0.00170193 +3 *20859:A 0.000176273 +4 *20981:A 0 +5 *20927:A 0 +6 *21014:A 0.00118998 +7 *20162:A 5.86467e-05 +8 *20130:A 1.04386e-05 +9 *21058:A 0.000824042 +10 *21033:A 4.63891e-05 +11 *20906:A 0.00156452 +12 *20946:A 7.72108e-05 +13 *21077:A 0.000320426 +14 *19719:A 0.00081541 +15 *19641:A 0.000389561 +16 *19707:A 0.000127398 +17 *19694:A 0 +18 *19681:A 7.27344e-05 +19 *19608:A 0.000167003 +20 *19581:A 0 +21 *19571:X 0 +22 *1419:263 0.00128808 +23 *1419:261 0.000192879 +24 *1419:260 0.00106763 +25 *1419:249 0.00976616 +26 *1419:239 0.0122174 +27 *1419:221 0.00521816 +28 *1419:207 0.000380172 +29 *1419:196 0.00261631 +30 *1419:184 0.00292949 +31 *1419:179 0.00146149 +32 *1419:107 0.00138552 +33 *1419:96 0.000982887 +34 *1419:86 0.00108834 +35 *1419:72 0.00153672 +36 *1419:70 0.00263876 +37 *1419:62 0.00338867 +38 *1419:41 0.00392448 +39 *1419:37 0.00202111 +40 *1419:18 0.00461922 +41 *1419:8 0.00269351 +42 *1419:6 0.006454 +43 *1419:5 0.00137338 +44 *19608:A *19609:A 2.65667e-05 +45 *19608:A *1450:80 0.000169845 +46 *19608:A *1450:102 4.00504e-05 +47 *19608:A *1452:21 8.25814e-05 +48 *19608:A *2577:28 3.9635e-05 +49 *19641:A *19642:A 1.71698e-05 +50 *19641:A *21716:A 8.62625e-06 +51 *19641:A *24844:SET_B 0.000138827 +52 *19641:A *2563:5 0.000258128 +53 *19641:A *2836:5 0.000158371 +54 *19641:A *5742:19 0.000118485 +55 *19641:A *5743:10 0.000118485 +56 *19641:A *5870:57 0.000307023 +57 *19681:A *1492:52 0.000216073 +58 *19681:A *1674:13 0.000216073 +59 *19707:A *19715:B1 0 +60 *19707:A *21787:A 7.30564e-05 +61 *19707:A *1502:60 3.98327e-05 +62 *19707:A *1502:64 5.22654e-06 +63 *19707:A *5777:29 0.000195124 +64 *19719:A *19720:A 6.50727e-05 +65 *19719:A *24848:RESET_B 0.000176473 +66 *19719:A *24851:SET_B 2.95757e-05 +67 *19719:A *24989:A 0.000134323 +68 *19719:A *24990:A 9.75356e-05 +69 *19719:A *1439:271 0.000381101 +70 *19719:A *1506:158 0.000158371 +71 *19719:A *5744:20 0.000190057 +72 *19719:A *5854:194 0.000196326 +73 *19719:A *5870:64 0.000529097 +74 *20130:A *22211:A 6.27718e-05 +75 *20130:A *22211:B 6.50586e-05 +76 *20162:A *20163:A 6.08467e-05 +77 *20162:A *1648:10 0.000154145 +78 *20295:A *21259:A2 6.74182e-05 +79 *20295:A *1912:8 6.74182e-05 +80 *20295:A *2320:68 6.08467e-05 +81 *20295:A *5863:23 6.3657e-05 +82 *20859:A *20859:B 0.000107496 +83 *20859:A *20981:B 0.000188843 +84 *20859:A *2126:7 2.81262e-05 +85 *20885:A *20981:B 0.00011871 +86 *20885:A *1689:135 1.88384e-05 +87 *20885:A *1733:45 0.000283358 +88 *20885:A *1801:70 4.20184e-06 +89 *20885:A *2138:59 0 +90 *20885:A *2684:24 0.00080426 +91 *20885:A *2783:24 0.000109247 +92 *20885:A *3671:20 6.42311e-06 +93 *20906:A *20907:A 6.08467e-05 +94 *20906:A *24927:A 3.49566e-05 +95 *20906:A *1588:36 7.09666e-06 +96 *20906:A *1731:136 4.18944e-06 +97 *20906:A *1742:60 1.03403e-05 +98 *20906:A *2401:11 0.000219007 +99 *20906:A *2675:63 0.000324594 +100 *20946:A *5745:32 6.73186e-05 +101 *21014:A *20930:A1 0.000258142 +102 *21014:A *20930:B2 0.000305515 +103 *21014:A *20931:B1 0.000107496 +104 *21014:A *20931:B2 4.81452e-05 +105 *21014:A *24318:RESET_B 5.37479e-05 +106 *21014:A *1809:80 5.12492e-05 +107 *21014:A *2152:9 1.65872e-05 +108 *21014:A *2166:8 2.60597e-05 +109 *21014:A *3027:8 2.57465e-06 +110 *21014:A *3027:17 0.000228679 +111 *21014:A *5854:719 0.000446959 +112 *21014:A *5856:108 0.000235813 +113 *21033:A *21034:A 6.08467e-05 +114 *21033:A *2450:29 6.08467e-05 +115 *21033:A *2581:18 3.29488e-05 +116 *21033:A *2815:12 3.29488e-05 +117 *21058:A *21058:B 1.3965e-05 +118 *21058:A *21059:A 0.000107496 +119 *21058:A *2199:5 8.79845e-05 +120 *21058:A *3148:20 0.00153308 +121 *21058:A *3151:17 0.00149431 +122 *21058:A *3179:27 0.000142654 +123 *21058:A *5476:69 0.00030135 +124 *21077:A *20354:B1 4.45999e-05 +125 *21077:A *21078:A 0.000122378 +126 *21077:A *5856:405 0.000239302 +127 *1419:6 *20858:B1 0.000156578 +128 *1419:6 *2085:41 0.00152462 +129 *1419:6 *2107:20 7.5512e-05 +130 *1419:6 *2439:24 0.000115026 +131 *1419:6 *3093:12 0.000237416 +132 *1419:6 *5945:11 4.33186e-05 +133 *1419:8 *21006:B2 1.75625e-05 +134 *1419:8 *23931:A1 0.000139585 +135 *1419:8 *23931:B2 9.76996e-05 +136 *1419:8 *23967:S 0.000133124 +137 *1419:8 *24282:RESET_B 4.03281e-05 +138 *1419:8 *1695:12 0 +139 *1419:8 *2085:41 0.000108642 +140 *1419:8 *2240:19 2.21765e-05 +141 *1419:8 *2428:63 0.000118017 +142 *1419:8 *2428:87 0.000135972 +143 *1419:8 *2439:24 0.000414683 +144 *1419:8 *3177:50 0.000117301 +145 *1419:8 *3177:55 0.000223628 +146 *1419:8 *5864:153 4.58666e-05 +147 *1419:8 *5864:171 0 +148 *1419:8 *5946:11 0.00018643 +149 *1419:18 *20821:A 6.92365e-05 +150 *1419:18 *1884:271 0.000281898 +151 *1419:18 *2095:9 0.000458924 +152 *1419:18 *2095:14 0.00132161 +153 *1419:18 *2423:33 5.23778e-05 +154 *1419:18 *2428:87 0.000214335 +155 *1419:18 *2441:72 0 +156 *1419:18 *2576:40 0.000715209 +157 *1419:18 *4866:49 0.000138795 +158 *1419:37 *21384:C1 0.000201214 +159 *1419:37 *24895:CLK 0.000135846 +160 *1419:37 *1450:102 0.000230192 +161 *1419:37 *1452:21 0.000124506 +162 *1419:37 *1452:32 0.000109859 +163 *1419:37 *2180:22 4.77858e-05 +164 *1419:37 *2441:72 0 +165 *1419:37 *2875:12 0.000135506 +166 *1419:37 *2876:8 0.000362054 +167 *1419:37 *4821:55 0.000742912 +168 *1419:37 *5854:467 0.000154145 +169 *1419:41 *2441:72 0 +170 *1419:41 *4821:55 0.000466547 +171 *1419:62 *24239:CLK 0 +172 *1419:62 *1450:48 0.000163327 +173 *1419:62 *1669:76 1.77071e-05 +174 *1419:62 *2181:19 0.000371278 +175 *1419:62 *2505:16 2.05342e-06 +176 *1419:62 *2581:18 0.000151382 +177 *1419:62 *2967:12 0.000200595 +178 *1419:62 *2967:14 0.000738625 +179 *1419:62 *2973:10 0.000130024 +180 *1419:62 *2973:23 0.00101373 +181 *1419:62 *5745:33 5.25994e-05 +182 *1419:70 *20947:A 8.60109e-05 +183 *1419:70 *1884:307 0.00275341 +184 *1419:70 *2158:8 1.32841e-05 +185 *1419:70 *2645:12 1.91391e-05 +186 *1419:70 *2928:24 6.69861e-06 +187 *1419:70 *2928:31 1.25173e-05 +188 *1419:70 *4832:109 0.00126899 +189 *1419:70 *4922:30 0 +190 *1419:70 *4922:38 0 +191 *1419:70 *5745:33 3.88405e-05 +192 *1419:70 *5830:22 6.72212e-05 +193 *1419:70 *5874:79 0.000180694 +194 *1419:72 *20352:B1 8.68101e-05 +195 *1419:72 *20352:B2 9.48785e-05 +196 *1419:72 *21914:A1 1.55462e-05 +197 *1419:72 *1492:52 0.000385198 +198 *1419:72 *1674:13 0.00202584 +199 *1419:72 *1930:51 3.1645e-05 +200 *1419:72 *1931:15 0.000206846 +201 *1419:72 *1931:28 6.18409e-05 +202 *1419:72 *4922:38 0 +203 *1419:72 *5830:22 1.32509e-05 +204 *1419:72 *5851:316 0.000110567 +205 *1419:72 *5856:410 5.54595e-05 +206 *1419:72 *5860:433 3.55859e-05 +207 *1419:86 *21816:A 6.50727e-05 +208 *1419:86 *21820:B1 4.82966e-05 +209 *1419:86 *1497:78 0.000364356 +210 *1419:86 *1786:13 0.0015298 +211 *1419:86 *2381:11 0.000975869 +212 *1419:86 *2563:5 0.000667009 +213 *1419:96 *19694:B 6.50727e-05 +214 *1419:96 *19715:A1 6.37652e-06 +215 *1419:96 *21319:A 6.08467e-05 +216 *1419:96 *21566:A 0.000472818 +217 *1419:96 *21664:B2 0.000107496 +218 *1419:96 *1504:8 1.5966e-05 +219 *1419:96 *1504:86 7.20416e-05 +220 *1419:96 *2381:11 0.00045724 +221 *1419:96 *2563:5 0.00321577 +222 *1419:96 *2623:7 7.92757e-06 +223 *1419:96 *5777:25 6.37652e-06 +224 *1419:107 *19715:B1 0 +225 *1419:107 *21787:A 0.000333557 +226 *1419:107 *2803:13 3.40423e-05 +227 *1419:107 *2836:5 0.000501057 +228 *1419:107 *4863:31 8.88984e-06 +229 *1419:107 *5870:57 6.08467e-05 +230 *1419:179 *20843:A 9.12416e-06 +231 *1419:179 *20849:A2 0.000111722 +232 *1419:179 *22143:A 6.16037e-05 +233 *1419:179 *2107:20 0.000172395 +234 *1419:179 *3093:12 4.91618e-05 +235 *1419:179 *5954:24 8.98169e-05 +236 *1419:184 *20130:B 0.000303281 +237 *1419:184 *20846:B2 7.70944e-06 +238 *1419:184 *20854:B 1.5714e-05 +239 *1419:184 *1573:101 0.000397006 +240 *1419:184 *2103:16 0.000105837 +241 *1419:184 *2107:20 0.000836803 +242 *1419:184 *2115:14 0.0010519 +243 *1419:184 *2117:297 0.00177636 +244 *1419:184 *4866:121 0.000158654 +245 *1419:196 *22158:A 8.20145e-05 +246 *1419:196 *22171:A 1.82314e-05 +247 *1419:196 *2089:37 0.000101965 +248 *1419:196 *3108:33 5.82686e-06 +249 *1419:196 *3122:11 0.000210844 +250 *1419:196 *3136:24 0.000255876 +251 *1419:196 *3467:28 9.85544e-05 +252 *1419:196 *4804:37 0.00144032 +253 *1419:196 *5950:81 3.31745e-05 +254 *1419:207 *20130:B 7.90311e-05 +255 *1419:207 *1884:257 2.7713e-05 +256 *1419:207 *4866:121 0.000154469 +257 *1419:221 *22211:A 0.000166494 +258 *1419:221 *22211:B 0.000107496 +259 *1419:221 *22212:A 0.000119035 +260 *1419:221 *1853:11 1.19705e-05 +261 *1419:221 *2950:96 0.000103557 +262 *1419:221 *3041:10 0.000364865 +263 *1419:221 *3041:31 1.41689e-05 +264 *1419:239 *1545:66 9.38063e-05 +265 *1419:239 *1608:98 0.000311489 +266 *1419:239 *1631:57 0.00255281 +267 *1419:239 *1652:65 0.000361719 +268 *1419:239 *2297:86 0.000236173 +269 *1419:239 *2333:60 0.0010814 +270 *1419:239 *2379:58 0.0036354 +271 *1419:239 *2404:60 0.000666878 +272 *1419:239 *2896:72 6.46692e-05 +273 *1419:239 *2906:52 0.000204334 +274 *1419:239 *2950:96 8.50271e-05 +275 *1419:239 *3076:23 0.000226357 +276 *1419:239 *3153:50 0.000117698 +277 *1419:239 *4830:71 0.00386235 +278 *1419:239 *4830:111 9.51234e-05 +279 *1419:239 *5476:69 0.000271488 +280 *1419:239 *5857:138 4.47494e-06 +281 *1419:239 *5903:18 3.00179e-05 +282 *1419:239 *5919:72 0.0015487 +283 *1419:239 *5924:37 0.000162569 +284 *1419:249 *20981:B 0.00197376 +285 *1419:249 *21396:A1 0.00126675 +286 *1419:249 *22029:A2 0.00160767 +287 *1419:249 *22029:B1 0.00460842 +288 *1419:249 *22029:B2 0.00140845 +289 *1419:249 *22722:A2 0.000305264 +290 *1419:249 *22777:C1 5.57601e-05 +291 *1419:249 *1575:39 2.60896e-05 +292 *1419:249 *1647:58 0.000209508 +293 *1419:249 *1655:135 0.000618485 +294 *1419:249 *1689:135 0.000546352 +295 *1419:249 *2297:86 0.00241543 +296 *1419:249 *2354:24 1.43499e-05 +297 *1419:249 *2530:90 0.00264723 +298 *1419:249 *2613:29 0.00248817 +299 *1419:249 *2883:64 4.32862e-06 +300 *1419:249 *2896:72 0.000236176 +301 *1419:249 *2906:52 0.000226438 +302 *1419:249 *3204:195 2.68045e-05 +303 *1419:249 *3493:130 0.000155692 +304 *1419:249 *3541:135 0.000425625 +305 *1419:249 *3671:20 1.48801e-06 +306 *1419:260 *22812:B1 0.000688779 +307 *1419:260 *1689:107 4.36e-05 +308 *1419:260 *2126:17 3.88358e-05 +309 *1419:260 *2288:14 0.000304953 +310 *1419:260 *2684:24 0.000178554 +311 *1419:260 *2685:42 0.000127476 +312 *1419:260 *3513:143 0.000633857 +313 *1419:260 *5851:9 0.000104901 +314 *1419:261 *20981:B 0.000237472 +315 *1419:261 *2166:8 3.82228e-05 +316 *1419:263 *20981:B 0.000107496 +317 *1419:263 *2166:8 9.5562e-05 +318 *21006:A2 *1419:8 0.000632412 +319 *23993:A0 *1419:8 0.000615725 +320 *24259:D *1419:8 6.66538e-05 +321 *24260:D *1419:8 0.000118277 +322 *24362:D *1419:6 0.000148129 +323 *24759:D *19719:A 0.000317693 +324 *439:66 *1419:8 0 +325 *439:71 *1419:8 0 +326 *439:96 *1419:8 9.86592e-05 +327 *439:98 *1419:8 1.32509e-05 +328 *439:104 *1419:8 5.29763e-05 +329 *482:34 *21058:A 0.000203582 +330 *504:16 *1419:221 0.000497695 +331 *504:16 *1419:239 2.69597e-05 +332 *505:22 *1419:8 0.000245327 +333 *512:56 *1419:239 0 +334 *514:85 *19719:A 0.000222928 +335 *528:30 *1419:8 0.000351734 +336 *535:19 *1419:239 0.000205308 +337 *543:12 *20906:A 0.000349802 +*RES +1 *19571:X *1419:5 13.7491 +2 *1419:5 *1419:6 32.353 +3 *1419:6 *1419:8 81.3528 +4 *1419:8 *19581:A 13.7491 +5 *1419:6 *1419:18 15.1249 +6 *1419:18 *19608:A 18.5884 +7 *1419:18 *1419:37 40.8039 +8 *1419:37 *1419:41 1.07669 +9 *1419:41 *1419:62 40.5594 +10 *1419:62 *1419:70 20.2862 +11 *1419:70 *1419:72 40.8657 +12 *1419:72 *19681:A 17.6574 +13 *1419:72 *1419:86 32.5919 +14 *1419:86 *19694:A 9.24915 +15 *1419:86 *1419:96 40.1875 +16 *1419:96 *19707:A 18.4879 +17 *1419:96 *1419:107 12.4621 +18 *1419:107 *19641:A 30.8168 +19 *1419:107 *19719:A 49.744 +20 *1419:70 *21077:A 22.237 +21 *1419:62 *20946:A 15.5817 +22 *1419:41 *20906:A 27.7081 +23 *1419:37 *21033:A 18.6352 +24 *1419:5 *1419:179 18.8273 +25 *1419:179 *1419:184 47.1678 +26 *1419:184 *1419:196 44.9483 +27 *1419:196 *21058:A 42.1637 +28 *1419:184 *1419:207 7.57775 +29 *1419:207 *20130:A 9.97254 +30 *1419:207 *1419:221 17.4959 +31 *1419:221 *20162:A 15.5817 +32 *1419:221 *1419:239 26.8638 +33 *1419:239 *1419:249 20.7837 +34 *1419:249 *1419:260 12.5298 +35 *1419:260 *1419:261 2.94181 +36 *1419:261 *1419:263 2.94181 +37 *1419:263 *21014:A 43.8887 +38 *1419:263 *20927:A 9.24915 +39 *1419:261 *20981:A 9.24915 +40 *1419:260 *20859:A 13.8548 +41 *1419:249 *20885:A 21.5721 +42 *1419:239 *20295:A 19.4657 +*END + +*D_NET *1420 0.00323259 +*CONN +*I *19575:A I *D sky130_fd_sc_hd__or3_1 +*I *19604:A I *D sky130_fd_sc_hd__or3_1 +*I *19778:C I *D sky130_fd_sc_hd__or3_1 +*I *19572:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19575:A 6.08886e-05 +2 *19604:A 2.15683e-05 +3 *19778:C 0.00042374 +4 *19572:Y 3.63633e-05 +5 *1420:33 0.000259287 +6 *1420:5 0.000636933 +7 *19575:A *19587:C 3.82228e-05 +8 *19575:A *19605:A 0.000107496 +9 *19575:A *1433:35 2.16608e-05 +10 *19604:A *19604:C 4.31603e-06 +11 *19778:C *19604:C 0 +12 *19778:C *19926:A 6.08467e-05 +13 *19778:C *1432:8 6.08467e-05 +14 *19778:C *1433:17 0.00010306 +15 *19778:C *2627:16 3.32581e-05 +16 *19778:C *2878:32 0.000155232 +17 *19778:C *2937:11 0.000237061 +18 *19778:C *5856:241 7.2465e-05 +19 *1420:33 *19779:A 2.44976e-05 +20 *1420:33 *1432:8 1.10831e-05 +21 *1420:33 *1432:15 5.47232e-06 +22 *1420:33 *1433:35 5.57101e-06 +23 *1420:33 *2785:30 0.000140141 +24 *1420:33 *2844:16 1.27831e-06 +25 *19572:A *19778:C 2.41568e-05 +26 *19572:A *1420:5 6.08467e-05 +27 *19631:B *1420:33 6.53132e-05 +28 *472:7 *19778:C 0.000403146 +29 *472:19 *19778:C 0.000105135 +30 *472:33 *19575:A 3.71168e-05 +31 *472:33 *1420:33 1.55831e-05 +*RES +1 *19572:Y *1420:5 9.97254 +2 *1420:5 *19778:C 31.9729 +3 *1420:5 *1420:33 13.3799 +4 *1420:33 *19604:A 9.82786 +5 *1420:33 *19575:A 11.6364 +*END + +*D_NET *1421 0.00170001 +*CONN +*I *19586:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *19574:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *19573:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19586:A1 0 +2 *19574:A1 0.000319346 +3 *19573:Y 6.43445e-05 +4 *1421:5 0.00038369 +5 *19574:A1 *5671:43 5.30033e-05 +6 *19574:A1 *5997:12 0.000140487 +7 *1421:5 *19573:A 6.50727e-05 +8 *1421:5 *1433:17 1.65872e-05 +9 *1421:5 *2937:11 0.000262339 +10 *19586:A2 *1421:5 6.50727e-05 +11 *24066:A0 *19574:A1 0.000313481 +12 *472:7 *19574:A1 1.65872e-05 +*RES +1 *19573:Y *1421:5 12.191 +2 *1421:5 *19574:A1 24.9627 +3 *1421:5 *19586:A1 9.24915 +*END + +*D_NET *1422 0.010185 +*CONN +*I *19631:C I *D sky130_fd_sc_hd__or3_1 +*I *19778:B I *D sky130_fd_sc_hd__or3_1 +*I *19575:C I *D sky130_fd_sc_hd__or3_1 +*I *19782:C I *D sky130_fd_sc_hd__or3_1 +*I *19574:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *19631:C 0 +2 *19778:B 0.000207296 +3 *19575:C 7.34241e-05 +4 *19782:C 8.65619e-05 +5 *19574:X 0.000399552 +6 *1422:43 0.000532902 +7 *1422:34 0.000592932 +8 *1422:31 0.0012721 +9 *1422:13 0.00165893 +10 *19575:C *2844:16 0.000121784 +11 *19575:C *5851:196 1.62321e-05 +12 *19778:B *2878:32 9.75356e-05 +13 *19778:B *2937:11 0.000260388 +14 *19778:B *5856:241 9.40059e-05 +15 *19782:C *2844:16 0.000232907 +16 *1422:13 *24055:A1 7.34948e-06 +17 *1422:13 *24055:S 4.31539e-05 +18 *1422:13 *1459:42 0.000448574 +19 *1422:13 *1522:21 1.69808e-05 +20 *1422:13 *4878:40 5.30501e-05 +21 *1422:13 *4878:47 0.000102067 +22 *1422:31 *19632:A 0 +23 *1422:31 *20370:A 0.000123104 +24 *1422:31 *20374:A2 2.16355e-05 +25 *1422:31 *20375:A2 5.56688e-05 +26 *1422:31 *20375:B1 0 +27 *1422:31 *24056:A1 0.000255881 +28 *1422:31 *24659:CLK 3.17103e-05 +29 *1422:31 *1522:21 0.000862156 +30 *1422:31 *1753:26 0.000139435 +31 *1422:31 *2993:20 0.000143047 +32 *1422:31 *6001:109 0.000446985 +33 *1422:34 *2878:32 5.92192e-05 +34 *1422:34 *5856:241 6.28168e-05 +35 *1422:43 *19632:A 2.65667e-05 +36 *1422:43 *1432:15 0.000108654 +37 *1422:43 *1461:9 7.18018e-05 +38 *19586:A2 *1422:13 8.86181e-05 +39 *19631:B *1422:43 0.000355652 +40 *24056:A0 *1422:13 0.000238046 +41 *24056:A0 *1422:31 0.000134525 +42 *24066:A0 *1422:13 0.000160617 +43 *24658:D *1422:31 0.000154145 +44 *470:33 *19575:C 1.9101e-05 +45 *470:33 *19782:C 0.000235515 +46 *472:32 *19575:C 7.23432e-05 +*RES +1 *19574:X *1422:13 31.801 +2 *1422:13 *1422:31 44.2997 +3 *1422:31 *1422:34 5.50149 +4 *1422:34 *1422:43 18.2354 +5 *1422:43 *19782:C 18.0727 +6 *1422:43 *19575:C 16.4116 +7 *1422:34 *19778:B 18.523 +8 *1422:31 *19631:C 9.24915 +*END + +*D_NET *1423 0.00151117 +*CONN +*I *19576:A I *D sky130_fd_sc_hd__buf_6 +*I *19575:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19576:A 0.000462822 +2 *19575:X 0.000462822 +3 *19576:A *19587:B 9.70894e-05 +4 *19576:A *19605:A 0.000159498 +5 *19576:A *20012:B1 0.000141494 +6 *19576:A *20012:B2 0 +7 *19576:A *1461:16 1.66511e-05 +8 *19576:A *1461:228 0 +9 *19576:A *1761:74 0.000170795 +*RES +1 *19575:X *19576:A 39.9085 +*END + +*D_NET *1424 0.0461615 +*CONN +*I *19913:A I *D sky130_fd_sc_hd__or2_4 +*I *19580:A I *D sky130_fd_sc_hd__or2_4 +*I *19985:A I *D sky130_fd_sc_hd__or2_4 +*I *19729:A I *D sky130_fd_sc_hd__or2_4 +*I *19674:A I *D sky130_fd_sc_hd__or2_2 +*I *19993:A I *D sky130_fd_sc_hd__or2_4 +*I *20010:A I *D sky130_fd_sc_hd__or2_4 +*I *19941:A I *D sky130_fd_sc_hd__or2_4 +*I *19949:A I *D sky130_fd_sc_hd__or2_4 +*I *19597:A I *D sky130_fd_sc_hd__or2_4 +*I *19958:A I *D sky130_fd_sc_hd__or2_4 +*I *21228:A I *D sky130_fd_sc_hd__or3b_4 +*I *19640:A I *D sky130_fd_sc_hd__or2_4 +*I *19718:A I *D sky130_fd_sc_hd__or2_4 +*I *19978:A I *D sky130_fd_sc_hd__or2_4 +*I *19653:A I *D sky130_fd_sc_hd__or2_2 +*I *19943:A I *D sky130_fd_sc_hd__or2_1 +*I *19576:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *19913:A 0.000635753 +2 *19580:A 1.98947e-05 +3 *19985:A 0.000198242 +4 *19729:A 0.000100197 +5 *19674:A 0.000184114 +6 *19993:A 0.000996584 +7 *20010:A 2.15815e-05 +8 *19941:A 1.2055e-05 +9 *19949:A 0 +10 *19597:A 0 +11 *19958:A 1.56803e-05 +12 *21228:A 7.40092e-05 +13 *19640:A 0 +14 *19718:A 2.37303e-05 +15 *19978:A 0 +16 *19653:A 0.000579781 +17 *19943:A 2.78172e-05 +18 *19576:X 0.000839444 +19 *1424:156 0.00135347 +20 *1424:151 0.000504864 +21 *1424:150 0.000596322 +22 *1424:140 0.00048566 +23 *1424:121 0.000477025 +24 *1424:117 0.000980105 +25 *1424:104 0.00156394 +26 *1424:88 0.00197793 +27 *1424:71 0.00170105 +28 *1424:56 0.000527282 +29 *1424:20 0.000715031 +30 *1424:15 0.000587174 +31 *1424:8 0.00151645 +32 *1424:7 0.00103794 +33 *1424:5 0.0014752 +34 *19580:A *21410:A2 6.08467e-05 +35 *19580:A *1744:7 2.16355e-05 +36 *19653:A *19943:B 0.00138888 +37 *19653:A *1471:68 3.96696e-05 +38 *19653:A *1471:75 0.000200794 +39 *19653:A *1472:12 0.000845341 +40 *19653:A *1485:18 0.000588731 +41 *19653:A *2442:22 0.000751915 +42 *19674:A *2586:31 0.000492732 +43 *19674:A *4878:6 0.000492732 +44 *19718:A *20003:A2 0.000164815 +45 *19718:A *1454:11 0.000164815 +46 *19729:A *1497:155 7.89747e-05 +47 *19913:A *19913:B 6.08467e-05 +48 *19913:A *24982:A 0.000107496 +49 *19913:A *1501:13 0.00145251 +50 *19913:A *1501:122 9.1311e-05 +51 *19913:A *1541:15 0.000288474 +52 *19913:A *4921:7 2.16355e-05 +53 *19941:A *20013:C 6.50727e-05 +54 *19941:A *24924:A 6.08467e-05 +55 *19943:A *19943:B 4.24488e-05 +56 *19943:A *2442:22 0.000111352 +57 *19958:A *1677:45 2.57847e-05 +58 *19958:A *1715:10 6.36477e-05 +59 *19958:A *1715:89 1.41976e-05 +60 *19985:A *21411:A2 2.78486e-05 +61 *19985:A *21794:B2 6.50727e-05 +62 *19985:A *24332:CLK 0.000302982 +63 *19985:A *1492:112 4.13248e-05 +64 *19985:A *1740:22 2.72352e-05 +65 *19985:A *1740:36 0.00012883 +66 *19985:A *2808:32 4.75721e-06 +67 *19993:A *19946:B2 0.000114394 +68 *19993:A *1428:8 8.62048e-05 +69 *19993:A *1450:48 1.18786e-05 +70 *19993:A *1459:18 6.08697e-06 +71 *19993:A *1461:109 0.000348687 +72 *19993:A *1506:35 1.9101e-05 +73 *19993:A *1510:16 2.63153e-05 +74 *19993:A *1563:10 0 +75 *19993:A *1725:17 0 +76 *19993:A *1784:13 8.31781e-05 +77 *19993:A *2441:72 3.39515e-05 +78 *19993:A *4821:17 4.93206e-05 +79 *20010:A *20010:B 4.24448e-06 +80 *21228:A *21228:B 0.000167076 +81 *21228:A *1448:19 3.99674e-05 +82 *21228:A *1455:13 2.15184e-05 +83 *1424:5 *19706:B 0.000317325 +84 *1424:5 *1501:13 0.00065297 +85 *1424:5 *1520:7 1.53125e-05 +86 *1424:5 *1541:15 0.000136277 +87 *1424:8 *20006:B 9.49244e-05 +88 *1424:8 *1466:41 1.40183e-05 +89 *1424:8 *1604:29 0.000261342 +90 *1424:8 *1698:54 1.96891e-05 +91 *1424:8 *1756:105 0.000142686 +92 *1424:8 *2810:20 0.000265168 +93 *1424:8 *2835:8 0.000328704 +94 *1424:8 *2976:18 9.34612e-05 +95 *1424:8 *5082:34 1.91391e-05 +96 *1424:8 *5860:104 0.000164911 +97 *1424:15 *19978:B 7.34948e-06 +98 *1424:15 *19983:B 0 +99 *1424:15 *20344:B 0 +100 *1424:15 *21980:A1 1.80122e-05 +101 *1424:15 *1756:105 0.000814184 +102 *1424:15 *2835:8 0.000810587 +103 *1424:20 *2835:8 0.000221807 +104 *1424:20 *2943:25 0.000221807 +105 *1424:56 *1461:61 0.00119506 +106 *1424:56 *1520:17 2.27135e-05 +107 *1424:56 *4832:118 1.91391e-05 +108 *1424:56 *5908:9 0.00171329 +109 *1424:71 *1604:29 0.000687 +110 *1424:71 *1604:42 2.1203e-06 +111 *1424:71 *1715:89 7.26959e-06 +112 *1424:71 *2943:25 1.40966e-05 +113 *1424:71 *2976:18 0.000424748 +114 *1424:71 *2976:44 0.00028287 +115 *1424:88 *6102:DIODE 0.000301209 +116 *1424:88 *21927:C1 1.41976e-05 +117 *1424:88 *21938:A1 1.65872e-05 +118 *1424:88 *1677:45 7.39264e-05 +119 *1424:88 *1715:89 9.97706e-05 +120 *1424:88 *1735:52 0.000237638 +121 *1424:88 *1735:67 2.08019e-05 +122 *1424:104 *19927:A 6.63077e-05 +123 *1424:104 *19949:B 0.000167354 +124 *1424:104 *20046:A 0.000161074 +125 *1424:104 *21927:A1 5.73392e-05 +126 *1424:104 *21927:C1 7.60088e-05 +127 *1424:104 *1641:26 6.1449e-05 +128 *1424:104 *1677:49 3.99086e-06 +129 *1424:104 *1706:21 0.000211529 +130 *1424:104 *1735:67 9.65149e-05 +131 *1424:104 *1804:17 4.10844e-05 +132 *1424:117 *19960:A 0.000154145 +133 *1424:117 *20015:A 0.000539143 +134 *1424:117 *20015:B 0.000161651 +135 *1424:117 *20046:A 9.4228e-05 +136 *1424:117 *1461:195 0.000370192 +137 *1424:117 *1496:68 0.000284618 +138 *1424:117 *1544:51 0.000214898 +139 *1424:117 *1804:17 0.000148047 +140 *1424:117 *4854:16 8.62625e-06 +141 *1424:121 *20015:A 0.000354017 +142 *1424:121 *1544:51 5.1493e-06 +143 *1424:121 *4854:16 0.000331807 +144 *1424:140 *20010:B 4.74319e-05 +145 *1424:140 *20013:A 0.000226539 +146 *1424:140 *20013:C 0.000262608 +147 *1424:140 *24924:A 6.50727e-05 +148 *1424:140 *1725:267 1.01044e-05 +149 *1424:140 *1768:27 0.000107496 +150 *1424:140 *1780:11 0.000260374 +151 *1424:140 *2858:23 0.000138901 +152 *1424:140 *2936:16 0.000138821 +153 *1424:150 *21339:B2 2.16355e-05 +154 *1424:150 *24939:A 0.000253916 +155 *1424:150 *1725:267 1.75195e-05 +156 *1424:150 *1766:11 3.82228e-05 +157 *1424:150 *1780:11 0.000584766 +158 *1424:151 *24332:CLK 0.000377171 +159 *1424:151 *1740:36 0.000585035 +160 *1424:151 *4922:74 0.000211492 +161 *1424:156 *1450:48 1.12254e-05 +162 *1424:156 *1492:35 0.000211115 +163 *1424:156 *1740:36 2.72488e-05 +164 *1424:156 *2441:72 1.56431e-05 +165 *1424:156 *4922:74 0.000253035 +166 *466:17 *21228:A 0.000314045 +167 *468:17 *1424:56 8.49739e-05 +*RES +1 *19576:X *1424:5 30.4929 +2 *1424:5 *1424:7 4.5 +3 *1424:7 *1424:8 17.6116 +4 *1424:8 *1424:15 22.302 +5 *1424:15 *1424:20 13.3235 +6 *1424:20 *19943:A 10.5271 +7 *1424:20 *19653:A 37.8956 +8 *1424:15 *19978:A 9.24915 +9 *1424:8 *19718:A 15.5817 +10 *1424:8 *1424:56 13.9608 +11 *1424:56 *19640:A 9.24915 +12 *1424:56 *21228:A 13.3243 +13 *1424:7 *1424:71 20.4506 +14 *1424:71 *19958:A 9.97254 +15 *1424:71 *1424:88 20.9544 +16 *1424:88 *19597:A 9.24915 +17 *1424:88 *1424:104 25.0776 +18 *1424:104 *19949:A 9.24915 +19 *1424:104 *1424:117 30.1125 +20 *1424:117 *1424:121 11.315 +21 *1424:121 *19941:A 9.97254 +22 *1424:121 *1424:140 21.0908 +23 *1424:140 *20010:A 9.82786 +24 *1424:140 *1424:150 11.324 +25 *1424:150 *1424:151 10.9675 +26 *1424:151 *1424:156 8.17928 +27 *1424:156 *19993:A 21.1634 +28 *1424:156 *19674:A 26.2168 +29 *1424:151 *19729:A 16.1364 +30 *1424:150 *19985:A 20.8723 +31 *1424:117 *19580:A 14.4725 +32 *1424:5 *19913:A 29.9624 +*END + +*D_NET *1425 0.00933853 +*CONN +*I *19579:A I *D sky130_fd_sc_hd__or4_4 +*I *19846:A I *D sky130_fd_sc_hd__or4_4 +*I *19630:C I *D sky130_fd_sc_hd__or4_4 +*I *19591:A I *D sky130_fd_sc_hd__or4_4 +*I *19596:A I *D sky130_fd_sc_hd__or4_4 +*I *19728:C I *D sky130_fd_sc_hd__or4_4 +*I *19805:A I *D sky130_fd_sc_hd__or4_4 +*I *19692:C I *D sky130_fd_sc_hd__or4_4 +*I *19577:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19579:A 0.000175919 +2 *19846:A 0.000123795 +3 *19630:C 6.97098e-05 +4 *19591:A 0 +5 *19596:A 0.000161063 +6 *19728:C 0.000128627 +7 *19805:A 0.000294524 +8 *19692:C 0 +9 *19577:Y 0.000135061 +10 *1425:68 0.000498519 +11 *1425:54 0.000116907 +12 *1425:43 0.00020826 +13 *1425:41 0.000273262 +14 *1425:17 0.000625941 +15 *1425:11 0.000417417 +16 *1425:7 0.000424145 +17 *19579:A *24924:A 0.000139947 +18 *19579:A *1641:10 2.35752e-05 +19 *19579:A *1698:38 0.000129145 +20 *19579:A *1764:7 5.481e-05 +21 *19596:A *19630:A 1.17192e-05 +22 *19596:A *1426:75 0.000443999 +23 *19596:A *1436:49 5.04829e-06 +24 *19596:A *1436:63 0.000128657 +25 *19596:A *1442:13 6.08467e-05 +26 *19630:C *19630:A 0.000334293 +27 *19805:A *19805:D 4.97617e-05 +28 *19805:A *20010:B 2.18914e-05 +29 *19805:A *1449:12 4.13408e-05 +30 *19805:A *1459:18 6.01588e-05 +31 *19805:A *1471:5 0.000158371 +32 *19805:A *1471:40 9.55447e-05 +33 *19805:A *1563:46 1.10565e-05 +34 *19805:A *1711:15 5.46889e-05 +35 *19805:A *1725:17 0.000311108 +36 *19805:A *1802:175 5.01835e-05 +37 *19805:A *5908:9 0 +38 *19846:A *24924:A 0.000500106 +39 *19846:A *1764:7 0.000193653 +40 *1425:11 *1437:44 0.000181682 +41 *1425:11 *1641:10 0.000175022 +42 *1425:11 *1740:16 0.00018353 +43 *1425:17 *19692:A 1.92172e-05 +44 *1425:17 *1437:44 6.99737e-05 +45 *1425:41 *1641:10 0.000156376 +46 *1425:41 *1740:16 0.00014775 +47 *1425:54 *19579:B 5.08751e-05 +48 *1425:54 *19630:A 3.07726e-05 +49 *1425:54 *1426:75 6.08467e-05 +50 *1425:68 *1641:10 0.000295043 +51 *1425:68 *1740:16 0.00029287 +52 *19606:D *1425:7 5.04829e-06 +53 *19630:B *19630:C 0.000283432 +54 *19728:B *19728:C 0.000165518 +55 *19728:B *1425:17 0.000117237 +56 *19805:B *19805:A 0.000353686 +57 *19805:C *19805:A 2.57465e-06 +58 *468:80 *1425:7 2.37827e-05 +59 *476:46 *19579:A 0.000190237 +*RES +1 *19577:Y *1425:7 15.5817 +2 *1425:7 *1425:11 10.1029 +3 *1425:11 *19692:C 9.24915 +4 *1425:11 *1425:17 3.21911 +5 *1425:17 *19805:A 32.4429 +6 *1425:17 *19728:C 11.3591 +7 *1425:7 *1425:41 3.07775 +8 *1425:41 *1425:43 4.5 +9 *1425:43 *19596:A 14.9881 +10 *1425:43 *1425:54 1.85672 +11 *1425:54 *19591:A 9.24915 +12 *1425:54 *19630:C 13.0771 +13 *1425:41 *1425:68 10.8998 +14 *1425:68 *19846:A 14.964 +15 *1425:68 *19579:A 23.99 +*END + +*D_NET *1426 0.00665391 +*CONN +*I *19579:B I *D sky130_fd_sc_hd__or4_4 +*I *19591:B I *D sky130_fd_sc_hd__or4_4 +*I *19596:B I *D sky130_fd_sc_hd__or4_4 +*I *19846:B I *D sky130_fd_sc_hd__or4_4 +*I *19639:B I *D sky130_fd_sc_hd__or4_4 +*I *19705:D I *D sky130_fd_sc_hd__or4_4 +*I *19670:D I *D sky130_fd_sc_hd__or4_4 +*I *19619:D I *D sky130_fd_sc_hd__or4_4 +*I *19578:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19579:B 0.000102157 +2 *19591:B 0 +3 *19596:B 0 +4 *19846:B 0.000294222 +5 *19639:B 0 +6 *19705:D 0.000188542 +7 *19670:D 2.18282e-05 +8 *19619:D 0.000201703 +9 *19578:Y 0 +10 *1426:75 0.000518434 +11 *1426:67 0.000554981 +12 *1426:51 0.000486701 +13 *1426:31 0.000229292 +14 *1426:29 0.000350594 +15 *1426:15 0.000587835 +16 *1426:4 0.00051164 +17 *19579:B *1641:10 6.22259e-05 +18 *19619:D *1792:37 9.34919e-05 +19 *19705:D *1759:13 1.26669e-05 +20 *19705:D *2947:20 8.28712e-05 +21 *19846:B *19639:D 8.08437e-05 +22 *19846:B *19846:D 0.000188201 +23 *19846:B *24924:A 0.000195176 +24 *19846:B *1454:35 0.000180911 +25 *19846:B *1604:11 1.31711e-05 +26 *19846:B *1764:7 4.61203e-05 +27 *1426:29 *19670:A 4.30017e-06 +28 *1426:29 *1454:35 5.13937e-05 +29 *1426:31 *19639:D 4.31539e-05 +30 *1426:51 *19639:D 3.8122e-05 +31 *1426:67 *19639:D 1.92172e-05 +32 *1426:67 *1436:49 2.83065e-05 +33 *1426:75 *1436:49 5.51483e-06 +34 *19596:A *1426:75 0.000443999 +35 *19705:C *19705:D 9.23138e-05 +36 *19846:C *19846:B 0.000137293 +37 *462:11 *19619:D 6.73186e-05 +38 *462:22 *19619:D 2.16355e-05 +39 *462:22 *1426:15 0.000140275 +40 *462:22 *1426:29 4.97617e-05 +41 *464:19 *1426:31 6.08467e-05 +42 *464:20 *19705:D 2.43314e-05 +43 *464:20 *1426:29 9.12416e-06 +44 *464:26 *1426:29 0.000140303 +45 *466:25 *19619:D 9.34919e-05 +46 *466:31 *1426:29 1.75637e-06 +47 *466:44 *1426:29 3.60268e-05 +48 *466:44 *1426:67 1.00846e-05 +49 *476:46 *19579:B 2.00098e-05 +50 *1425:54 *19579:B 5.08751e-05 +51 *1425:54 *1426:75 6.08467e-05 +*RES +1 *19578:Y *1426:4 9.24915 +2 *1426:4 *19619:D 22.4683 +3 *1426:4 *1426:15 4.60562 +4 *1426:15 *19670:D 9.82786 +5 *1426:15 *1426:29 14.6284 +6 *1426:29 *1426:31 1.278 +7 *1426:31 *19705:D 22.9265 +8 *1426:31 *19639:B 9.24915 +9 *1426:29 *1426:51 1.278 +10 *1426:51 *19846:B 27.7836 +11 *1426:51 *1426:67 2.94181 +12 *1426:67 *19596:B 9.24915 +13 *1426:67 *1426:75 6.84815 +14 *1426:75 *19591:B 9.24915 +15 *1426:75 *19579:B 20.3893 +*END + +*D_NET *1427 0.102935 +*CONN +*I *19580:B I *D sky130_fd_sc_hd__or2_4 +*I *19954:A I *D sky130_fd_sc_hd__or2_4 +*I *20036:A I *D sky130_fd_sc_hd__or2_4 +*I *19830:A I *D sky130_fd_sc_hd__or2_4 +*I *19961:A I *D sky130_fd_sc_hd__or2_1 +*I *19789:A I *D sky130_fd_sc_hd__or2_4 +*I *19808:A I *D sky130_fd_sc_hd__or2_4 +*I *19579:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19580:B 8.21132e-05 +2 *19954:A 0 +3 *20036:A 0.000153649 +4 *19830:A 5.56192e-05 +5 *19961:A 0.000336461 +6 *19789:A 0.00121758 +7 *19808:A 0.00011208 +8 *19579:X 5.38744e-05 +9 *1427:190 0.000124219 +10 *1427:165 0.000818899 +11 *1427:156 0.000870523 +12 *1427:153 0.000676303 +13 *1427:116 0.00238222 +14 *1427:111 0.00292229 +15 *1427:99 0.0024654 +16 *1427:87 0.00296585 +17 *1427:83 0.00383573 +18 *1427:63 0.0036826 +19 *1427:58 0.00228106 +20 *1427:57 0.00114541 +21 *1427:48 0.0028679 +22 *1427:47 0.00404052 +23 *1427:44 0.00318864 +24 *1427:36 0.00376438 +25 *1427:29 0.00358467 +26 *1427:22 0.00142004 +27 *1427:13 0.00352606 +28 *1427:7 0.00325896 +29 *19580:B *1689:47 0.000300565 +30 *19580:B *1744:7 0.000107101 +31 *19789:A *19789:B 0.000367702 +32 *19789:A *21808:D 0.000253039 +33 *19789:A *22773:A2 3.80969e-05 +34 *19789:A *1573:207 0.000112783 +35 *19789:A *1730:113 8.10643e-06 +36 *19789:A *2851:48 0.000211736 +37 *19789:A *3406:29 0.000385579 +38 *19789:A *3461:15 0.000113812 +39 *19789:A *3476:98 0.000227479 +40 *19789:A *3511:68 0.000555054 +41 *19789:A *3523:98 0.000788924 +42 *19789:A *3702:20 3.17436e-05 +43 *19789:A *3705:17 0.00119217 +44 *19789:A *5924:37 0.000518764 +45 *19808:A *1566:11 0.000250333 +46 *19808:A *2686:27 5.87089e-05 +47 *19830:A *19830:B 6.08467e-05 +48 *19830:A *21963:B1 4.0752e-05 +49 *19830:A *21968:B1 0.00014642 +50 *19961:A *1461:195 0.000509902 +51 *19961:A *1471:10 0.000384451 +52 *19961:A *1496:68 4.42742e-06 +53 *19961:A *1706:118 1.01851e-05 +54 *19961:A *2519:12 0.000118738 +55 *20036:A *19927:A 6.88675e-05 +56 *20036:A *21963:B1 5.85325e-05 +57 *20036:A *1563:46 2.10515e-05 +58 *20036:A *1641:26 0.000189233 +59 *1427:7 *20003:C1 1.03403e-05 +60 *1427:13 *20344:A 0 +61 *1427:13 *24518:RESET_B 0.000298067 +62 *1427:13 *1467:22 0.000279883 +63 *1427:13 *1496:9 1.12605e-05 +64 *1427:13 *1511:50 1.29141e-05 +65 *1427:13 *1640:8 0.00161469 +66 *1427:13 *1689:28 0 +67 *1427:13 *1711:15 0.000423057 +68 *1427:13 *1802:175 7.8756e-07 +69 *1427:13 *1802:177 3.51937e-05 +70 *1427:13 *2442:22 0.000760912 +71 *1427:22 *21769:A 0.00010817 +72 *1427:22 *24892:CLK 4.31539e-05 +73 *1427:22 *1439:333 0.000111631 +74 *1427:22 *1520:21 0.000604162 +75 *1427:22 *1755:19 5.51483e-06 +76 *1427:22 *2274:28 0.00127005 +77 *1427:22 *2719:8 0.000682933 +78 *1427:22 *4863:18 2.97007e-05 +79 *1427:22 *5851:502 0.000446236 +80 *1427:29 *19624:B2 0.000456914 +81 *1427:29 *24654:RESET_B 5.24254e-05 +82 *1427:29 *1699:7 5.88657e-05 +83 *1427:29 *1699:11 0.000416393 +84 *1427:29 *5917:431 0.000640054 +85 *1427:36 *1438:78 0 +86 *1427:36 *1515:60 0.000156314 +87 *1427:36 *1734:26 0 +88 *1427:36 *2563:8 0.00172381 +89 *1427:36 *2704:53 0.000361988 +90 *1427:36 *4863:136 0.00128737 +91 *1427:36 *5854:160 5.26993e-06 +92 *1427:36 *5854:173 0.000168187 +93 *1427:44 *2218:68 0.000309079 +94 *1427:44 *2218:79 0.000404933 +95 *1427:44 *2803:48 0.000223116 +96 *1427:44 *2937:11 8.11856e-05 +97 *1427:44 *3764:9 0.000143901 +98 *1427:47 *19757:A1 1.55995e-05 +99 *1427:47 *19757:B2 3.82228e-05 +100 *1427:47 *21120:A 0.000604767 +101 *1427:47 *2502:23 0.000205101 +102 *1427:47 *2877:11 7.32245e-05 +103 *1427:47 *2948:15 8.99798e-05 +104 *1427:48 *19766:B2 7.77309e-06 +105 *1427:48 *19769:A1 0.000198492 +106 *1427:48 *23025:A 0.000169496 +107 *1427:48 *24070:A0 0.000910415 +108 *1427:48 *1731:70 0.000375002 +109 *1427:48 *2505:54 0.000144724 +110 *1427:48 *3860:143 0.000561097 +111 *1427:48 *3860:151 0.00064787 +112 *1427:48 *3904:8 0.000273592 +113 *1427:48 *4260:16 0.000317005 +114 *1427:48 *5455:70 0.000454681 +115 *1427:48 *5462:11 0.000821783 +116 *1427:48 *5928:30 0.00107511 +117 *1427:57 *23847:B 2.09482e-05 +118 *1427:57 *23847:C 5.37492e-05 +119 *1427:57 *3974:146 0.000209477 +120 *1427:57 *4744:20 3.82228e-05 +121 *1427:63 *23270:B 0.000134235 +122 *1427:63 *2612:62 9.19543e-05 +123 *1427:63 *3974:146 4.12408e-05 +124 *1427:63 *3974:154 7.14343e-05 +125 *1427:63 *4013:7 2.16355e-05 +126 *1427:63 *4013:42 0.000167088 +127 *1427:63 *4597:29 0.000300565 +128 *1427:83 *23100:A 5.94811e-05 +129 *1427:83 *23697:B 0.000699996 +130 *1427:83 *1699:32 2.01595e-05 +131 *1427:83 *1699:36 0.000586957 +132 *1427:83 *2561:38 0.000432591 +133 *1427:83 *2603:69 1.79334e-05 +134 *1427:83 *2839:27 0.00015646 +135 *1427:83 *4288:29 0.000333179 +136 *1427:83 *4693:15 0.000214531 +137 *1427:83 *5682:25 0.000255123 +138 *1427:87 *20486:B1 0.00028032 +139 *1427:87 *20565:A1 0.000344954 +140 *1427:87 *20565:B1 0.000154145 +141 *1427:87 *20565:B2 7.68538e-06 +142 *1427:87 *23161:B 1.44611e-05 +143 *1427:87 *23584:A 3.82228e-05 +144 *1427:87 *25378:A 0.000122488 +145 *1427:87 *1521:62 0.000144394 +146 *1427:87 *1699:42 0.000116205 +147 *1427:87 *3368:53 0.000567313 +148 *1427:99 *20419:A1 0.000156955 +149 *1427:99 *20559:B 7.31033e-05 +150 *1427:99 *21691:A 4.58907e-05 +151 *1427:99 *24630:RESET_B 9.54357e-06 +152 *1427:99 *1729:64 0.000304983 +153 *1427:99 *1773:41 0.000296289 +154 *1427:99 *1784:166 0.00133445 +155 *1427:99 *2723:5 0.000310293 +156 *1427:111 *21605:B2 7.16358e-05 +157 *1427:111 *21777:A1 0.000935474 +158 *1427:111 *22478:B1 1.62321e-05 +159 *1427:111 *1455:108 0.000443791 +160 *1427:111 *1561:21 0.0012368 +161 *1427:111 *1776:15 0.00011606 +162 *1427:111 *2655:38 0.000722529 +163 *1427:111 *2724:13 1.13071e-05 +164 *1427:111 *3004:16 0.000655991 +165 *1427:111 *3134:72 0.000563292 +166 *1427:111 *3388:14 0.000661103 +167 *1427:116 *21605:B2 0.00200159 +168 *1427:116 *22472:B1 0.000114104 +169 *1427:116 *1454:104 3.29488e-05 +170 *1427:116 *1561:21 9.60806e-05 +171 *1427:116 *2661:30 1.45729e-05 +172 *1427:116 *2851:48 2.41163e-05 +173 *1427:116 *3476:98 0.000168132 +174 *1427:116 *3539:70 0.0014833 +175 *1427:116 *5456:29 1.66626e-05 +176 *1427:153 *19954:B 6.50727e-05 +177 *1427:153 *1448:56 0.000200794 +178 *1427:153 *1640:8 0.000539571 +179 *1427:153 *1689:47 0.000253916 +180 *1427:153 *1711:15 0.000543055 +181 *1427:156 *19927:A 0.000132307 +182 *1427:156 *1438:29 0.000355392 +183 *1427:156 *1641:26 8.62625e-06 +184 *1427:156 *1766:50 0 +185 *1427:156 *1802:172 7.77037e-05 +186 *1427:156 *1802:175 6.9332e-05 +187 *1427:165 *19830:B 6.08467e-05 +188 *1427:165 *21963:B1 1.65872e-05 +189 *1427:165 *21968:B1 0.000107496 +190 *1427:165 *1471:10 0.000177084 +191 *1427:165 *1686:8 0.000180509 +192 *1427:165 *1757:57 0.000340742 +193 *1427:190 *19954:B 4.88955e-05 +194 *1427:190 *1689:47 0.000154145 +195 *1427:190 *1744:7 3.31745e-05 +196 *19757:B1 *1427:47 0.000314044 +197 *24067:S *1427:48 0.000161336 +198 *24504:D *1427:13 0.00076017 +199 *460:63 *1427:13 0.00150973 +*RES +1 *19579:X *1427:7 14.4725 +2 *1427:7 *1427:13 44.2434 +3 *1427:13 *1427:22 43.1719 +4 *1427:22 *1427:29 24.8274 +5 *1427:29 *1427:36 49.8019 +6 *1427:36 *1427:44 34.3377 +7 *1427:44 *1427:47 39.6088 +8 *1427:47 *1427:48 74.9164 +9 *1427:48 *1427:57 35.7404 +10 *1427:57 *1427:58 81.1229 +11 *1427:58 *1427:63 45.5303 +12 *1427:63 *1427:83 48.4475 +13 *1427:83 *1427:87 45.8636 +14 *1427:87 *1427:99 37.7329 +15 *1427:99 *1427:111 48.37 +16 *1427:111 *1427:116 10.1024 +17 *1427:116 *19808:A 17.6073 +18 *1427:116 *19789:A 32.9502 +19 *1427:7 *1427:153 18.4094 +20 *1427:153 *1427:156 13.8065 +21 *1427:156 *1427:165 22.7373 +22 *1427:165 *19961:A 29.2167 +23 *1427:165 *19830:A 11.6605 +24 *1427:156 *20036:A 18.0727 +25 *1427:153 *1427:190 1.8326 +26 *1427:190 *19954:A 9.24915 +27 *1427:190 *19580:B 12.7456 +*END + +*D_NET *1428 0.0613432 +*CONN +*I *23931:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21368:A I *D sky130_fd_sc_hd__clkinv_4 +*I *19581:B I *D sky130_fd_sc_hd__or2_1 +*I *21334:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19945:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21820:A2 I *D sky130_fd_sc_hd__o221a_4 +*I *19580:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *23931:B2 0.000404192 +2 *21368:A 0 +3 *19581:B 0.000251998 +4 *21334:B2 0.000473382 +5 *19945:B2 0.000105988 +6 *21820:A2 0.000860091 +7 *19580:X 0.000983138 +8 *1428:94 0.00123002 +9 *1428:93 0.00189689 +10 *1428:86 0.00141443 +11 *1428:85 0.00219825 +12 *1428:54 0.00203918 +13 *1428:53 0.0015658 +14 *1428:51 0.00544628 +15 *1428:50 0.00333939 +16 *1428:48 8.43151e-05 +17 *1428:47 0.00039003 +18 *1428:24 0.00234128 +19 *1428:14 0.00180972 +20 *1428:13 0.00055467 +21 *1428:8 0.00100955 +22 *19581:B *1695:12 0.000383166 +23 *19581:B *5852:5 0.000164829 +24 *19581:B *6027:70 0 +25 *19945:B2 *21722:A 0.000203756 +26 *21334:B2 *21334:B1 6.36477e-05 +27 *21334:B2 *21365:A2 7.30564e-05 +28 *21334:B2 *21365:B1 0.000184831 +29 *21334:B2 *1439:145 0.000360114 +30 *21334:B2 *1497:172 0.000113518 +31 *21334:B2 *1715:151 1.70077e-05 +32 *21334:B2 *2273:14 7.273e-05 +33 *21334:B2 *2424:8 8.27096e-05 +34 *21334:B2 *2720:24 0.000105098 +35 *21820:A2 *24674:CLK 0.000542765 +36 *21820:A2 *1467:43 0.000114576 +37 *21820:A2 *1506:46 0.000259779 +38 *21820:A2 *2466:16 0 +39 *21820:A2 *2675:14 0.000613606 +40 *21820:A2 *2928:14 0.000484626 +41 *21820:A2 *4922:38 6.52144e-05 +42 *21820:A2 *4922:40 0.000181172 +43 *23931:B2 *23931:B1 3.5063e-05 +44 *23931:B2 *1695:26 1.00981e-05 +45 *23931:B2 *1701:20 0.000117308 +46 *23931:B2 *2428:63 0.000252337 +47 *23931:B2 *3177:50 0.000252337 +48 *23931:B2 *4878:139 5.90501e-05 +49 *1428:8 *1449:12 0.000184429 +50 *1428:8 *1449:45 0.00074288 +51 *1428:8 *1497:17 5.93461e-05 +52 *1428:8 *1506:35 5.32229e-05 +53 *1428:8 *1686:8 0.00142596 +54 *1428:8 *4844:339 0.000353686 +55 *1428:13 *1563:22 0.000164829 +56 *1428:13 *2580:17 0.000160617 +57 *1428:14 *1448:96 0.000133113 +58 *1428:14 *1686:8 7.53652e-05 +59 *1428:14 *1689:28 6.85164e-05 +60 *1428:14 *2274:10 0.000111412 +61 *1428:24 *19946:C1 0.000302974 +62 *1428:24 *20029:B1 8.24277e-06 +63 *1428:24 *20356:B1 5.38612e-06 +64 *1428:24 *1467:30 1.46526e-05 +65 *1428:24 *1467:76 9.34919e-05 +66 *1428:24 *1506:46 0.00142027 +67 *1428:24 *1573:46 1.48603e-05 +68 *1428:24 *1689:28 3.31645e-05 +69 *1428:24 *1695:42 9.18353e-05 +70 *1428:24 *1696:19 7.92224e-05 +71 *1428:24 *1754:10 8.5896e-05 +72 *1428:24 *1792:76 0.000147783 +73 *1428:24 *1802:187 0.000107063 +74 *1428:24 *2274:10 0.000437433 +75 *1428:24 *2753:67 0.000195148 +76 *1428:24 *2928:14 3.54411e-05 +77 *1428:24 *2936:14 2.57563e-05 +78 *1428:24 *2936:16 0 +79 *1428:24 *4876:62 0.000179975 +80 *1428:47 *1448:92 4.08323e-05 +81 *1428:47 *1448:96 7.09666e-06 +82 *1428:47 *1686:8 1.8284e-05 +83 *1428:47 *1689:13 0.000840206 +84 *1428:47 *2580:17 0.00106651 +85 *1428:51 *21203:A 2.65667e-05 +86 *1428:51 *21203:B 6.17774e-05 +87 *1428:51 *1691:63 0.00181844 +88 *1428:51 *1691:145 0.00228963 +89 *1428:51 *2270:7 0.000340742 +90 *1428:51 *2580:17 3.89847e-05 +91 *1428:54 *19612:A1 6.42637e-05 +92 *1428:54 *19613:A2 2.07325e-05 +93 *1428:54 *21306:A 0.000157451 +94 *1428:54 *21378:A2 0.000179612 +95 *1428:54 *1452:42 6.86658e-05 +96 *1428:54 *1452:51 0.000267332 +97 *1428:54 *1452:60 5.26022e-05 +98 *1428:54 *1741:105 0.000127758 +99 *1428:54 *2273:14 0.000103547 +100 *1428:54 *2420:42 8.72111e-06 +101 *1428:54 *2424:8 0.000145247 +102 *1428:54 *2434:8 0.000176445 +103 *1428:54 *4844:317 0.000224321 +104 *1428:54 *4866:6 0.000459197 +105 *1428:54 *4866:8 0.00100774 +106 *1428:54 *4866:10 0.000280644 +107 *1428:54 *5860:544 2.04806e-05 +108 *1428:85 *21742:A1 2.30919e-05 +109 *1428:85 *23931:B1 6.73775e-05 +110 *1428:85 *24371:CLK 0.000154145 +111 *1428:85 *1691:145 0.000587649 +112 *1428:85 *1701:39 0.00300093 +113 *1428:85 *1884:282 2.06967e-05 +114 *1428:85 *2063:23 2.78736e-05 +115 *1428:85 *2388:8 0 +116 *1428:85 *2528:28 7.48886e-05 +117 *1428:85 *2641:19 0 +118 *1428:85 *4844:356 0.000182188 +119 *1428:85 *4878:139 0.000553842 +120 *1428:85 *5170:10 4.58897e-06 +121 *1428:85 *5860:544 1.62088e-05 +122 *1428:85 *5864:136 0.000442206 +123 *1428:85 *5864:153 9.72686e-05 +124 *1428:85 *5947:8 6.27718e-05 +125 *1428:86 *1701:20 0.000180318 +126 *1428:86 *4876:38 0 +127 *1428:93 *1701:20 5.78114e-05 +128 *1428:93 *2063:17 0.000112787 +129 *1428:93 *4877:8 0 +130 *1428:93 *6027:249 0.000354488 +131 *1428:94 *1695:12 0.00220348 +132 *1428:94 *2428:53 0.000830486 +133 *19805:C *1428:8 0.000111082 +134 *19993:A *1428:8 8.62048e-05 +135 *20976:A2 *1428:93 0 +136 *20977:A2 *1428:93 7.50722e-05 +137 *24261:D *1428:94 0.000120605 +138 *24282:D *1428:93 8.50229e-05 +139 *24673:D *1428:24 6.13144e-05 +140 *24900:D *1428:54 0.000348153 +141 *460:67 *1428:86 0.000132802 +142 *460:67 *1428:93 0.000424475 +143 *507:21 *1428:93 0.000307037 +144 *518:62 *19581:B 3.12316e-05 +145 *518:62 *1428:93 0.000137659 +146 *518:62 *1428:94 2.17447e-05 +147 *526:19 *1428:93 0.000311249 +148 *532:12 *1428:93 0 +149 *1419:8 *23931:B2 9.76996e-05 +*RES +1 *19580:X *1428:8 45.793 +2 *1428:8 *1428:13 10.8326 +3 *1428:13 *1428:14 6.39977 +4 *1428:14 *1428:24 49.1689 +5 *1428:24 *21820:A2 46.7251 +6 *1428:14 *19945:B2 16.7151 +7 *1428:13 *1428:47 27.1207 +8 *1428:47 *1428:48 104.301 +9 *1428:48 *1428:50 9.24915 +10 *1428:50 *1428:51 55.0746 +11 *1428:51 *1428:53 4.5 +12 *1428:53 *1428:54 51.6623 +13 *1428:54 *21334:B2 29.1585 +14 *1428:51 *1428:85 46.7186 +15 *1428:85 *1428:86 3.493 +16 *1428:86 *1428:93 36.752 +17 *1428:93 *1428:94 40.0352 +18 *1428:94 *19581:B 22.3968 +19 *1428:94 *21368:A 13.7491 +20 *1428:86 *23931:B2 34.3952 +*END + +*D_NET *1429 0.00218795 +*CONN +*I *19582:A I *D sky130_fd_sc_hd__buf_2 +*I *19581:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19582:A 0.000424563 +2 *19581:X 0.000424563 +3 *19582:A *5852:5 0.00133883 +*RES +1 *19581:X *19582:A 33.0868 +*END + +*D_NET *1430 0.00744961 +*CONN +*I *21155:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19629:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19584:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21143:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19583:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19601:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21142:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19582:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21155:A2 0.000107588 +2 *19629:A2 0 +3 *19584:A2 7.0595e-05 +4 *21143:A2 0.000191944 +5 *19583:A 0 +6 *19601:A2 0.000153873 +7 *21142:A2 0.000111347 +8 *19582:X 0.000377289 +9 *1430:63 0.000147151 +10 *1430:62 8.13944e-05 +11 *1430:55 0.000125158 +12 *1430:14 0.000373277 +13 *1430:12 0.000309094 +14 *1430:10 0.000554626 +15 *1430:8 0.000738177 +16 *1430:7 0.000966553 +17 *19584:A2 *4913:20 9.90116e-05 +18 *19601:A2 *19601:B1 1.65872e-05 +19 *19601:A2 *19601:B2 0.00011818 +20 *19601:A2 *24196:RESET_B 0.000113968 +21 *19601:A2 *5959:21 0.000167344 +22 *21142:A2 *21142:B1 5.22654e-06 +23 *21142:A2 *21142:B2 0.000172706 +24 *21142:A2 *23939:A1 0 +25 *21142:A2 *1431:6 9.22013e-06 +26 *21143:A2 *21143:B2 4.56831e-05 +27 *21143:A2 *24174:CLK 2.0195e-05 +28 *21143:A2 *1431:34 4.58003e-05 +29 *21143:A2 *5857:226 0.000178742 +30 *21155:A2 *21155:B2 0.00010797 +31 *21155:A2 *24186:CLK 0.000158357 +32 *21155:A2 *5871:598 2.22342e-05 +33 *21155:A2 *5956:13 0.000253199 +34 *1430:7 *5852:5 0.000162975 +35 *1430:7 *5959:40 0.000171273 +36 *1430:8 *19584:A1 0 +37 *1430:8 *2240:20 0.000226281 +38 *1430:8 *2267:31 8.2536e-05 +39 *1430:8 *2759:12 3.8079e-05 +40 *1430:8 *4913:20 0 +41 *1430:8 *4913:29 0 +42 *1430:8 *5855:350 0 +43 *1430:10 *19584:A1 0 +44 *1430:10 *1431:30 2.36813e-05 +45 *1430:10 *1431:34 0 +46 *1430:10 *5855:350 0 +47 *1430:10 *5959:30 0 +48 *1430:12 *1431:6 2.44969e-05 +49 *1430:12 *1431:30 0.000123733 +50 *1430:12 *5959:30 0 +51 *1430:14 *1431:6 9.02648e-05 +52 *1430:14 *5959:30 0 +53 *1430:55 *2240:20 0.000144531 +54 *1430:55 *4913:29 0 +55 *1430:62 *19629:A1 0.000152158 +56 *1430:62 *21155:B2 0.000152158 +57 *1430:63 *5956:14 3.8732e-05 +58 *24907:D *1430:8 0.000122083 +59 *324:11 *21155:A2 5.41377e-05 +*RES +1 *19582:X *1430:7 20.0186 +2 *1430:7 *1430:8 14.7048 +3 *1430:8 *1430:10 3.90826 +4 *1430:10 *1430:12 5.98452 +5 *1430:12 *1430:14 3.493 +6 *1430:14 *21142:A2 17.2421 +7 *1430:14 *19601:A2 18.9335 +8 *1430:12 *19583:A 13.7491 +9 *1430:10 *21143:A2 19.3434 +10 *1430:8 *19584:A2 16.1364 +11 *1430:7 *1430:55 7.1625 +12 *1430:55 *19629:A2 9.24915 +13 *1430:55 *1430:62 11.0817 +14 *1430:62 *1430:63 57.9449 +15 *1430:63 *21155:A2 31.9962 +*END + +*D_NET *1431 0.00644626 +*CONN +*I *21143:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21155:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19629:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19584:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19601:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21142:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19583:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21143:B2 1.82513e-05 +2 *21155:B2 0.00021805 +3 *19629:B2 1.93962e-05 +4 *19584:B2 2.7855e-05 +5 *19601:B2 9.70786e-06 +6 *21142:B2 0.00019042 +7 *19583:Y 0 +8 *1431:46 0.000683986 +9 *1431:34 0.000710452 +10 *1431:30 0.000448242 +11 *1431:6 0.000360906 +12 *1431:5 0.000354711 +13 *19584:B2 *19584:B1 6.08467e-05 +14 *19601:B2 *5959:21 0.000122378 +15 *19629:B2 *19629:A1 3.01683e-06 +16 *21142:B2 *21142:B1 5.68225e-06 +17 *21142:B2 *23939:A1 7.22498e-05 +18 *21142:B2 *24196:RESET_B 0 +19 *21142:B2 *5957:11 9.90022e-05 +20 *21143:B2 *24174:CLK 5.07314e-05 +21 *21155:B2 *19629:A1 3.93004e-05 +22 *21155:B2 *21155:A1 3.01683e-06 +23 *1431:6 *24196:RESET_B 0 +24 *1431:30 *5857:226 2.22002e-05 +25 *1431:34 *19584:A1 3.20069e-06 +26 *1431:34 *24174:CLK 0.000206093 +27 *1431:34 *5959:30 0.000169078 +28 *1431:46 *19584:A1 0.000118253 +29 *1431:46 *2278:24 0.000551697 +30 *1431:46 *2962:8 0 +31 *1431:46 *4913:29 3.33495e-05 +32 *1431:46 *5959:30 0.000191609 +33 *1431:46 *5959:40 0.000618143 +34 *19601:A2 *19601:B2 0.00011818 +35 *21142:A2 *21142:B2 0.000172706 +36 *21142:A2 *1431:6 9.22013e-06 +37 *21143:A2 *21143:B2 4.56831e-05 +38 *21143:A2 *1431:34 4.58003e-05 +39 *21155:A2 *21155:B2 0.00010797 +40 *24196:D *21142:B2 0.000120546 +41 *324:11 *1431:6 0 +42 *1430:10 *1431:30 2.36813e-05 +43 *1430:10 *1431:34 0 +44 *1430:12 *1431:6 2.44969e-05 +45 *1430:12 *1431:30 0.000123733 +46 *1430:14 *1431:6 9.02648e-05 +47 *1430:62 *21155:B2 0.000152158 +*RES +1 *19583:Y *1431:5 13.7491 +2 *1431:5 *1431:6 4.73876 +3 *1431:6 *21142:B2 21.4955 +4 *1431:6 *19601:B2 15.0271 +5 *1431:5 *1431:30 10.4845 +6 *1431:30 *1431:34 12.738 +7 *1431:34 *19584:B2 14.4725 +8 *1431:34 *1431:46 23.1137 +9 *1431:46 *19629:B2 9.82786 +10 *1431:46 *21155:B2 14.9881 +11 *1431:30 *21143:B2 10.5271 +*END + +*D_NET *1432 0.00333161 +*CONN +*I *19778:A I *D sky130_fd_sc_hd__or3_1 +*I *19587:B I *D sky130_fd_sc_hd__or3_1 +*I *19782:B I *D sky130_fd_sc_hd__or3_1 +*I *19585:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19778:A 3.72301e-05 +2 *19587:B 0.000155942 +3 *19782:B 0.000168186 +4 *19585:Y 0.000154668 +5 *1432:15 0.00064767 +6 *1432:8 0.00051544 +7 *19587:B *19783:A 6.08467e-05 +8 *19587:B *20012:B1 2.16355e-05 +9 *19587:B *1461:9 6.50727e-05 +10 *19587:B *1461:16 1.64855e-06 +11 *19587:B *1461:228 7.68477e-05 +12 *19587:B *1522:13 1.10297e-05 +13 *19778:A *1433:17 4.73673e-05 +14 *19778:A *2937:11 6.40381e-05 +15 *19782:B *19783:A 0.000122083 +16 *19782:B *1461:16 5.96651e-05 +17 *19782:B *5851:196 0.000265529 +18 *1432:8 *19779:A 6.85742e-05 +19 *1432:8 *1439:356 8.41511e-06 +20 *1432:8 *1683:17 6.87762e-05 +21 *1432:8 *2274:30 4.65545e-06 +22 *1432:15 *21927:B1 1.5714e-05 +23 *1432:15 *24057:A1 4.40272e-05 +24 *1432:15 *1439:356 2.58871e-05 +25 *1432:15 *1461:9 6.63489e-05 +26 *1432:15 *1522:13 0.000161911 +27 *1432:15 *1764:31 2.70471e-05 +28 *1432:15 *2274:30 7.77994e-06 +29 *1432:15 *2844:16 0 +30 *19576:A *19587:B 9.70894e-05 +31 *19631:B *1432:15 7.44295e-05 +32 *19778:C *1432:8 6.08467e-05 +33 *1420:33 *1432:8 1.10831e-05 +34 *1420:33 *1432:15 5.47232e-06 +35 *1422:43 *1432:15 0.000108654 +*RES +1 *19585:Y *1432:8 17.135 +2 *1432:8 *1432:15 14.2865 +3 *1432:15 *19782:B 23.7113 +4 *1432:15 *19587:B 23.0471 +5 *1432:8 *19778:A 15.0271 +*END + +*D_NET *1433 0.00566371 +*CONN +*I *19918:C I *D sky130_fd_sc_hd__or3_1 +*I *19587:C I *D sky130_fd_sc_hd__or3_1 +*I *19604:C I *D sky130_fd_sc_hd__or3_1 +*I *19586:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *19918:C 8.14864e-05 +2 *19587:C 0.000148382 +3 *19604:C 3.02287e-05 +4 *19586:X 0.00126899 +5 *1433:35 0.000422241 +6 *1433:17 0.00149159 +7 *19587:C *19605:A 0.000131616 +8 *19918:C *2844:16 0.000109262 +9 *1433:17 *19573:A 0.000300565 +10 *1433:17 *19926:A 0.000200794 +11 *1433:17 *1683:17 0.00021462 +12 *1433:17 *2937:11 0.000404999 +13 *1433:17 *2999:20 0.000111722 +14 *1433:17 *5856:241 0.000324137 +15 *19575:A *19587:C 3.82228e-05 +16 *19575:A *1433:35 2.16608e-05 +17 *19604:A *19604:C 4.31603e-06 +18 *19631:B *19604:C 1.29799e-05 +19 *19631:B *1433:35 2.05513e-06 +20 *19778:A *1433:17 4.73673e-05 +21 *19778:C *19604:C 0 +22 *19778:C *1433:17 0.00010306 +23 *470:49 *19587:C 0.000130732 +24 *472:32 *19918:C 4.05126e-05 +25 *1420:33 *1433:35 5.57101e-06 +26 *1421:5 *1433:17 1.65872e-05 +*RES +1 *19586:X *1433:17 42.236 +2 *1433:17 *19604:C 10.9612 +3 *1433:17 *1433:35 3.68932 +4 *1433:35 *19587:C 13.903 +5 *1433:35 *19918:C 20.4964 +*END + +*D_NET *1434 0.00112361 +*CONN +*I *19588:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *19587:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19588:A 0.000481573 +2 *19587:X 0.000481573 +3 *19588:A *19605:A 2.54369e-05 +4 *19588:A *1502:129 9.20782e-05 +5 *19588:A *1947:215 2.13139e-05 +6 *470:33 *19588:A 2.16355e-05 +*RES +1 *19587:X *19588:A 35.0451 +*END + +*D_NET *1435 0.105656 +*CONN +*I *19874:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *19593:A I *D sky130_fd_sc_hd__or3_1 +*I *19796:A I *D sky130_fd_sc_hd__or2_4 +*I *19789:B I *D sky130_fd_sc_hd__or2_4 +*I *19899:A I *D sky130_fd_sc_hd__or2_1 +*I *19867:A I *D sky130_fd_sc_hd__or2_4 +*I *19872:A I *D sky130_fd_sc_hd__or2_1 +*I *19889:A I *D sky130_fd_sc_hd__or2_4 +*I *19855:A I *D sky130_fd_sc_hd__or2_1 +*I *19862:A I *D sky130_fd_sc_hd__or2_4 +*I *19850:A I *D sky130_fd_sc_hd__or2_4 +*I *19869:A I *D sky130_fd_sc_hd__or2_4 +*I *19904:A I *D sky130_fd_sc_hd__or2_4 +*I *19814:A I *D sky130_fd_sc_hd__or2_1 +*I *19793:A I *D sky130_fd_sc_hd__or2_4 +*I *19799:A I *D sky130_fd_sc_hd__or2_4 +*I *19881:A I *D sky130_fd_sc_hd__or2_4 +*I *19588:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *19874:A1 0.00021253 +2 *19593:A 4.8902e-05 +3 *19796:A 0.00171563 +4 *19789:B 0.000358866 +5 *19899:A 0.000899903 +6 *19867:A 0 +7 *19872:A 0.000643518 +8 *19889:A 0 +9 *19855:A 6.94811e-05 +10 *19862:A 0.000451344 +11 *19850:A 2.3034e-05 +12 *19869:A 0 +13 *19904:A 0 +14 *19814:A 0 +15 *19793:A 0.000279125 +16 *19799:A 0 +17 *19881:A 0 +18 *19588:X 0.000191505 +19 *1435:318 0.00155074 +20 *1435:306 0.00242257 +21 *1435:281 0.00361844 +22 *1435:251 0.00280666 +23 *1435:229 0.002648 +24 *1435:205 0.00118424 +25 *1435:197 0.0022858 +26 *1435:182 0.0030563 +27 *1435:165 0.00144713 +28 *1435:153 0.000597455 +29 *1435:143 0.000909699 +30 *1435:133 0.000632873 +31 *1435:125 0.00264686 +32 *1435:105 0.0034725 +33 *1435:79 0.00242754 +34 *1435:67 0.00222015 +35 *1435:45 0.000959074 +36 *1435:42 0.00223287 +37 *1435:21 0.00231023 +38 *1435:6 0.00204075 +39 *19593:A *19593:C 0.000311315 +40 *19593:A *19875:A2 0.000311315 +41 *19789:B *19810:C1 0.000125475 +42 *19789:B *19813:A 8.98771e-07 +43 *19789:B *19821:B1 9.9771e-05 +44 *19789:B *22773:A2 0.000356317 +45 *19789:B *1570:80 9.39556e-05 +46 *19789:B *2850:56 8.94611e-05 +47 *19793:A *21968:A2 7.39264e-05 +48 *19793:A *1557:10 0.000103139 +49 *19793:A *1588:14 2.35405e-05 +50 *19793:A *1588:17 0.000111722 +51 *19793:A *1637:42 0.000140897 +52 *19793:A *2586:43 0.000129818 +53 *19793:A *2586:59 2.57465e-06 +54 *19796:A *19813:A 0.000206333 +55 *19796:A *22422:B1 5.60804e-05 +56 *19796:A *22488:A2 4.16596e-05 +57 *19796:A *22772:B2 0.000315021 +58 *19796:A *1554:17 0.000207122 +59 *19796:A *1554:36 0.000247443 +60 *19796:A *1570:106 2.55736e-05 +61 *19796:A *1574:38 0.000104754 +62 *19796:A *1776:79 0.000164046 +63 *19796:A *3029:33 6.72308e-05 +64 *19796:A *3131:93 0.00212082 +65 *19796:A *3164:113 5.01835e-05 +66 *19796:A *3377:20 0.000153427 +67 *19796:A *3513:143 5.4678e-05 +68 *19796:A *5458:13 0.000324539 +69 *19855:A *19856:A 6.36477e-05 +70 *19855:A *2572:67 4.17605e-05 +71 *19862:A *21450:A2 6.92705e-05 +72 *19862:A *21531:C1 2.75175e-05 +73 *19862:A *1662:57 0.000570728 +74 *19862:A *2502:59 6.50727e-05 +75 *19862:A *2751:8 1.10925e-05 +76 *19862:A *2773:41 0.000618839 +77 *19872:A *19828:A 3.09279e-05 +78 *19872:A *19847:A 1.39521e-05 +79 *19872:A *19872:B 6.3657e-05 +80 *19872:A *19873:A 6.08467e-05 +81 *19872:A *21777:A2 2.57847e-05 +82 *19872:A *1454:74 2.29454e-05 +83 *19872:A *1561:21 6.14756e-06 +84 *19872:A *1625:14 3.29488e-05 +85 *19872:A *1631:9 6.08467e-05 +86 *19872:A *1631:10 6.74193e-05 +87 *19872:A *1776:44 2.57465e-06 +88 *19872:A *2672:40 8.13106e-05 +89 *19872:A *4849:33 0.000552864 +90 *19874:A1 *19593:C 0.000279219 +91 *19874:A1 *19874:A2 0.000163997 +92 *19874:A1 *19875:A2 0.000523839 +93 *19874:A1 *2697:19 0.000163997 +94 *19899:A *19885:B2 2.07503e-05 +95 *19899:A *1544:133 7.40684e-06 +96 *19899:A *1570:50 6.03237e-05 +97 *19899:A *1602:7 0.000391697 +98 *19899:A *1602:30 9.21233e-05 +99 *19899:A *1658:27 0.000287555 +100 *19899:A *1800:75 0.000425619 +101 *19899:A *2093:79 0.000438346 +102 *19899:A *3015:28 9.21233e-05 +103 *19899:A *3511:63 7.40684e-06 +104 *19899:A *5592:70 3.24863e-05 +105 *19899:A *5593:46 0.000481628 +106 *1435:6 *2036:44 2.26985e-05 +107 *1435:6 *2037:40 7.85377e-05 +108 *1435:6 *2700:26 9.5035e-05 +109 *1435:21 *19881:B 0.000111708 +110 *1435:21 *21926:B1 4.73598e-05 +111 *1435:21 *24446:RESET_B 8.62625e-06 +112 *1435:21 *1466:41 8.03951e-06 +113 *1435:21 *1466:58 1.91246e-05 +114 *1435:21 *1604:29 0 +115 *1435:21 *1639:20 0.000267603 +116 *1435:21 *1715:10 0.000792946 +117 *1435:21 *2517:13 0.0001072 +118 *1435:21 *2976:45 1.17299e-05 +119 *1435:42 *21926:B1 0.000372324 +120 *1435:42 *21927:B1 2.01828e-05 +121 *1435:42 *21939:B1 0.000794977 +122 *1435:42 *21946:B 1.5613e-05 +123 *1435:42 *1515:133 0 +124 *1435:42 *1639:20 5.22909e-05 +125 *1435:42 *1639:65 0.000433813 +126 *1435:42 *1711:65 0.00030199 +127 *1435:42 *1792:97 0.000124641 +128 *1435:42 *2518:8 5.41227e-05 +129 *1435:42 *2834:17 5.05252e-05 +130 *1435:45 *19799:B 0.000979528 +131 *1435:45 *19927:A 0.000205006 +132 *1435:45 *20015:A 0.000211492 +133 *1435:45 *20045:A 0.000340742 +134 *1435:45 *20585:A1 0.000186187 +135 *1435:45 *1541:38 0.000154145 +136 *1435:45 *1588:14 0.000371045 +137 *1435:45 *1757:57 0.000977268 +138 *1435:45 *1771:7 1.65872e-05 +139 *1435:45 *3016:22 2.71384e-05 +140 *1435:67 *20685:B2 7.13447e-05 +141 *1435:67 *20688:B2 0.000168675 +142 *1435:67 *24445:RESET_B 0 +143 *1435:67 *24445:CLK 1.01656e-05 +144 *1435:67 *1677:142 0.000356887 +145 *1435:67 *1683:29 6.09998e-05 +146 *1435:67 *1716:57 0.000124357 +147 *1435:67 *1947:212 9.734e-06 +148 *1435:67 *2037:40 4.33208e-05 +149 *1435:67 *2700:26 1.86927e-05 +150 *1435:67 *2703:36 5.86375e-05 +151 *1435:67 *3177:168 0.000166018 +152 *1435:67 *4931:44 6.01662e-05 +153 *1435:67 *5854:606 3.42931e-05 +154 *1435:67 *5860:75 0.000284202 +155 *1435:67 *5860:91 6.22114e-05 +156 *1435:67 *5869:108 7.90693e-05 +157 *1435:79 *20605:B 5.51483e-06 +158 *1435:79 *24992:A 0.000178609 +159 *1435:79 *1677:142 0.000358298 +160 *1435:79 *1716:57 0.00148807 +161 *1435:79 *1766:82 6.09999e-05 +162 *1435:79 *1793:14 0 +163 *1435:79 *3005:14 0.000307954 +164 *1435:79 *3005:21 0.000387818 +165 *1435:79 *3671:83 0.000586918 +166 *1435:105 *20039:B1 0.000102899 +167 *1435:105 *20605:B 0.000200794 +168 *1435:105 *21560:A1 0.0011541 +169 *1435:105 *22498:B2 5.49916e-05 +170 *1435:105 *22757:A1 4.84017e-05 +171 *1435:105 *22757:B2 0.000257818 +172 *1435:105 *1662:25 4.66492e-05 +173 *1435:105 *1793:37 1.42709e-05 +174 *1435:105 *2502:42 3.04981e-06 +175 *1435:105 *2509:15 0.000951487 +176 *1435:105 *2620:76 0.00026621 +177 *1435:105 *2703:60 3.85669e-05 +178 *1435:105 *3005:21 0.000210535 +179 *1435:105 *3028:50 0.000167672 +180 *1435:105 *3131:41 0.000109235 +181 *1435:105 *3146:38 1.7373e-05 +182 *1435:105 *3146:65 0.000318384 +183 *1435:105 *3407:23 0.00134387 +184 *1435:105 *3428:14 3.58315e-06 +185 *1435:125 *21468:A2 5.60804e-05 +186 *1435:125 *21560:A1 4.47134e-05 +187 *1435:125 *22736:A2 0.000769178 +188 *1435:125 *22754:A2 0.000107496 +189 *1435:125 *1496:111 6.5231e-05 +190 *1435:125 *1636:108 0.000402491 +191 *1435:125 *2622:9 3.95516e-05 +192 *1435:125 *2641:80 0.000182709 +193 *1435:125 *2746:43 2.05082e-05 +194 *1435:125 *2866:21 0.00025849 +195 *1435:125 *2932:61 0.000251601 +196 *1435:125 *3029:33 0.000683631 +197 *1435:125 *3126:194 0.000118738 +198 *1435:125 *3126:206 5.43178e-05 +199 *1435:125 *3476:39 2.16355e-05 +200 *1435:125 *3519:97 0.000464825 +201 *1435:125 *3685:22 0.000219244 +202 *1435:125 *3705:17 8.3051e-06 +203 *1435:125 *5455:12 0.000222389 +204 *1435:133 *19850:B 0.000578294 +205 *1435:133 *1515:101 0.000207266 +206 *1435:133 *3476:39 2.51604e-05 +207 *1435:143 *1608:13 6.36477e-05 +208 *1435:143 *3476:39 3.33407e-05 +209 *1435:153 *21518:A1 5.38612e-06 +210 *1435:153 *21531:C1 9.86072e-05 +211 *1435:153 *1771:60 3.37647e-05 +212 *1435:153 *2773:41 0.000428799 +213 *1435:165 *1647:179 4.87301e-05 +214 *1435:165 *1771:60 4.16443e-05 +215 *1435:165 *2531:65 6.75453e-05 +216 *1435:165 *2773:41 0.000202919 +217 *1435:182 *19889:B 0.000156955 +218 *1435:182 *20050:B1 0.000570736 +219 *1435:182 *21599:C1 0.000721653 +220 *1435:182 *21695:A1 6.2146e-05 +221 *1435:182 *21730:B1 2.55661e-06 +222 *1435:182 *1544:133 0.000570358 +223 *1435:182 *1762:132 5.95211e-05 +224 *1435:182 *1788:89 1.02986e-05 +225 *1435:182 *1800:47 0.00044704 +226 *1435:182 *2531:65 6.49322e-05 +227 *1435:182 *2734:24 7.17944e-05 +228 *1435:182 *3509:60 0.000260674 +229 *1435:197 *22488:B2 8.4653e-05 +230 *1435:197 *22491:A 3.23649e-05 +231 *1435:197 *1537:113 0.000964387 +232 *1435:197 *1537:117 9.88391e-05 +233 *1435:197 *2655:64 9.96332e-05 +234 *1435:197 *2685:47 0.00016486 +235 *1435:197 *2724:24 0.000965692 +236 *1435:197 *2750:28 6.08467e-05 +237 *1435:197 *3507:39 0.00115233 +238 *1435:197 *3722:8 2.52921e-05 +239 *1435:197 *5909:38 0.00114307 +240 *1435:205 *19828:B 9.61086e-05 +241 *1435:205 *1454:74 0.000965067 +242 *1435:205 *1537:150 0.000158357 +243 *1435:205 *1764:94 8.4653e-05 +244 *1435:205 *1794:73 0.000480689 +245 *1435:205 *2750:28 2.69685e-05 +246 *1435:205 *2959:18 9.29745e-05 +247 *1435:205 *3166:82 0.000358327 +248 *1435:205 *4849:33 8.62914e-05 +249 *1435:229 *20050:B1 0.000159964 +250 *1435:229 *1544:133 0.000145011 +251 *1435:251 *19810:A2 0.000104754 +252 *1435:251 *20022:A1 0.00126859 +253 *1435:251 *20050:B1 0.000907386 +254 *1435:251 *22773:A2 0.000923039 +255 *1435:251 *1544:133 4.86383e-05 +256 *1435:251 *1751:131 0.000191122 +257 *1435:251 *1770:59 0.000260643 +258 *1435:251 *1799:34 0.00128331 +259 *1435:251 *5924:37 0.000216145 +260 *1435:281 *19820:A2 6.23101e-05 +261 *1435:281 *22772:B2 4.92144e-06 +262 *1435:281 *1730:150 0.000222691 +263 *1435:281 *2661:30 0.000212955 +264 *1435:281 *3029:33 5.94018e-05 +265 *1435:281 *3493:88 7.40684e-06 +266 *1435:281 *3523:98 0.000607462 +267 *1435:281 *3705:17 0.000640233 +268 *1435:306 *19780:A 4.49912e-05 +269 *1435:306 *22047:A1 0.000256943 +270 *1435:306 *22048:A1 0.000950198 +271 *1435:306 *1602:74 0.000279998 +272 *1435:306 *2778:28 1.04192e-05 +273 *1435:306 *3415:22 9.10181e-05 +274 *1435:306 *3461:15 0.00122794 +275 *1435:306 *3537:136 0.000110306 +276 *1435:318 *21783:B2 9.91931e-05 +277 *1435:318 *22280:A1 5.84166e-05 +278 *1435:318 *22417:B1 0.000131061 +279 *1435:318 *22730:B1 0.000558011 +280 *1435:318 *1535:8 0 +281 *1435:318 *1602:74 0.000765337 +282 *1435:318 *2766:6 0.000348848 +283 *1435:318 *2766:14 0.000148753 +284 *1435:318 *2783:86 3.29217e-05 +285 *1435:318 *3106:187 0.000153676 +286 *1435:318 *3153:244 7.14746e-05 +287 *1435:318 *3541:110 2.92076e-05 +288 *1435:318 *3543:149 4.4196e-06 +289 *1435:318 *5908:95 0.000476811 +290 *19789:A *19789:B 0.000367702 +291 *522:17 *1435:125 0.000157817 +*RES +1 *19588:X *1435:6 18.4879 +2 *1435:6 *1435:21 29.6293 +3 *1435:21 *19881:A 9.24915 +4 *1435:21 *1435:42 49.3645 +5 *1435:42 *1435:45 28.4777 +6 *1435:45 *19799:A 9.24915 +7 *1435:45 *19793:A 26.4871 +8 *1435:6 *1435:67 29.2142 +9 *1435:67 *19814:A 13.7491 +10 *1435:67 *1435:79 42.5212 +11 *1435:79 *19904:A 9.24915 +12 *1435:79 *1435:105 40.2061 +13 *1435:105 *1435:125 44.7419 +14 *1435:125 *19869:A 9.24915 +15 *1435:125 *1435:133 11.285 +16 *1435:133 *19850:A 9.82786 +17 *1435:133 *1435:143 7.44181 +18 *1435:143 *19862:A 28.3469 +19 *1435:143 *1435:153 8.89128 +20 *1435:153 *19855:A 15.5817 +21 *1435:153 *1435:165 10.6561 +22 *1435:165 *19889:A 9.24915 +23 *1435:165 *1435:182 31.2676 +24 *1435:182 *1435:197 46.3795 +25 *1435:197 *1435:205 28.4851 +26 *1435:205 *19872:A 40.5719 +27 *1435:205 *19867:A 9.24915 +28 *1435:182 *1435:229 0.39334 +29 *1435:229 *19899:A 26.6017 +30 *1435:229 *1435:251 6.99745 +31 *1435:251 *19789:B 23.7315 +32 *1435:251 *1435:281 3.43201 +33 *1435:281 *19796:A 27.5346 +34 *1435:281 *1435:306 46.3174 +35 *1435:306 *1435:318 44.2665 +36 *1435:318 *19593:A 12.7456 +37 *1435:318 *19874:A1 27.5963 +*END + +*D_NET *1436 0.00723858 +*CONN +*I *19630:A I *D sky130_fd_sc_hd__or4_4 +*I *19591:C I *D sky130_fd_sc_hd__or4_4 +*I *19705:A I *D sky130_fd_sc_hd__or4_4 +*I *19596:C I *D sky130_fd_sc_hd__or4_4 +*I *19670:A I *D sky130_fd_sc_hd__or4_4 +*I *19692:A I *D sky130_fd_sc_hd__or4_4 +*I *19786:A I *D sky130_fd_sc_hd__or4_4 +*I *19738:A I *D sky130_fd_sc_hd__or4_4 +*I *19589:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19630:A 0.000194238 +2 *19591:C 0 +3 *19705:A 0.000289832 +4 *19596:C 0 +5 *19670:A 9.80562e-05 +6 *19692:A 8.15272e-05 +7 *19786:A 0 +8 *19738:A 4.7694e-05 +9 *19589:Y 5.0318e-05 +10 *1436:63 0.000399515 +11 *1436:49 0.000564296 +12 *1436:40 0.000116911 +13 *1436:19 0.000142194 +14 *1436:12 0.000194216 +15 *1436:8 0.000210903 +16 *1436:7 0.000125034 +17 *19630:A *1448:64 4.30017e-06 +18 *19630:A *1459:147 3.01683e-06 +19 *19692:A *19786:B 0.000175485 +20 *19692:A *1437:44 0.000339489 +21 *19705:A *19705:B 5.97411e-05 +22 *19705:A *1437:5 1.65872e-05 +23 *19705:A *1501:10 0.000115934 +24 *19705:A *1759:13 8.80953e-05 +25 *19705:A *3003:12 0.000177017 +26 *19705:A *4921:14 0.000177017 +27 *1436:8 *2951:28 7.04908e-05 +28 *1436:8 *4921:14 7.39899e-05 +29 *1436:12 *2951:28 0.000151755 +30 *1436:12 *4921:14 0.000151755 +31 *1436:19 *19786:B 6.50727e-05 +32 *1436:40 *2951:28 0.000112411 +33 *1436:40 *4921:14 0.00011594 +34 *1436:63 *1442:13 6.50727e-05 +35 *19590:A *19705:A 6.08467e-05 +36 *19596:A *19630:A 1.17192e-05 +37 *19596:A *1436:49 5.04829e-06 +38 *19596:A *1436:63 0.000128657 +39 *19630:B *19630:A 2.8929e-05 +40 *19630:C *19630:A 0.000334293 +41 *19728:B *19692:A 0.000160617 +42 *19728:D *19692:A 1.67988e-05 +43 *462:27 *19738:A 0.000158371 +44 *462:27 *1436:19 9.97706e-05 +45 *462:33 *19692:A 0.000354638 +46 *462:33 *1436:19 0.000215704 +47 *462:52 *19630:A 0.000253916 +48 *462:64 *19630:A 0.000107496 +49 *464:37 *19738:A 5.481e-05 +50 *464:37 *1436:19 7.18481e-05 +51 *464:46 *1436:19 2.6243e-05 +52 *466:31 *19670:A 4.56667e-05 +53 *466:52 *19705:A 0.000207266 +54 *466:76 *19670:A 0.000200794 +55 *468:54 *19670:A 6.08467e-05 +56 *468:60 *19670:A 4.66492e-05 +57 *468:60 *1436:7 2.16355e-05 +58 *1425:17 *19692:A 1.92172e-05 +59 *1425:54 *19630:A 3.07726e-05 +60 *1426:29 *19670:A 4.30017e-06 +61 *1426:67 *1436:49 2.83065e-05 +62 *1426:75 *1436:49 5.51483e-06 +*RES +1 *19589:Y *1436:7 14.4725 +2 *1436:7 *1436:8 1.41674 +3 *1436:8 *1436:12 7.57775 +4 *1436:12 *19738:A 11.0817 +5 *1436:12 *1436:19 3.49641 +6 *1436:19 *19786:A 9.24915 +7 *1436:19 *19692:A 15.5186 +8 *1436:8 *19670:A 17.2456 +9 *1436:7 *1436:40 6.74725 +10 *1436:40 *19596:C 9.24915 +11 *1436:40 *1436:49 1.50105 +12 *1436:49 *19705:A 27.3417 +13 *1436:49 *1436:63 4.93718 +14 *1436:63 *19591:C 9.24915 +15 *1436:63 *19630:A 16.3203 +*END + +*D_NET *1437 0.00789163 +*CONN +*I *19591:D I *D sky130_fd_sc_hd__or4_4 +*I *19692:B I *D sky130_fd_sc_hd__or4_4 +*I *19805:D I *D sky130_fd_sc_hd__or4_4 +*I *19652:D I *D sky130_fd_sc_hd__or4_4 +*I *19786:B I *D sky130_fd_sc_hd__or4_4 +*I *19846:D I *D sky130_fd_sc_hd__or4_4 +*I *19639:D I *D sky130_fd_sc_hd__or4_4 +*I *19705:B I *D sky130_fd_sc_hd__or4_4 +*I *19590:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19591:D 0 +2 *19692:B 0 +3 *19805:D 0.000136607 +4 *19652:D 0 +5 *19786:B 3.42091e-05 +6 *19846:D 0.000118309 +7 *19639:D 0.00019764 +8 *19705:B 0.000161594 +9 *19590:Y 0 +10 *1437:50 0.000284645 +11 *1437:44 0.000241335 +12 *1437:37 0.000278078 +13 *1437:33 0.000553262 +14 *1437:13 0.00031595 +15 *1437:5 0.000300765 +16 *1437:4 0.000541862 +17 *19639:D *1454:35 0.000316185 +18 *19705:B *1759:13 4.91225e-06 +19 *19805:D *1471:5 6.08467e-05 +20 *19805:D *1563:7 0.000253916 +21 *19846:D *1604:11 9.12416e-06 +22 *19846:D *2951:28 5.99876e-05 +23 *1437:33 *1515:133 0.000131823 +24 *1437:33 *1740:16 0.000142548 +25 *1437:37 *1485:230 2.54885e-05 +26 *1437:37 *1515:133 4.40531e-05 +27 *1437:37 *1691:17 0 +28 *1437:37 *1740:16 0.000289715 +29 *1437:50 *1640:8 0.000179056 +30 *19577:A *1437:37 5.41377e-05 +31 *19579:C *1437:33 8.79845e-05 +32 *19579:D *1437:33 5.51483e-06 +33 *19639:C *19639:D 8.87348e-05 +34 *19652:A *19805:D 0.000186597 +35 *19652:A *1437:50 0.000200794 +36 *19692:A *19786:B 0.000175485 +37 *19692:A *1437:44 0.000339489 +38 *19705:A *19705:B 5.97411e-05 +39 *19705:A *1437:5 1.65872e-05 +40 *19705:C *19705:B 5.04829e-06 +41 *19805:A *19805:D 4.97617e-05 +42 *19805:B *19805:D 5.51483e-06 +43 *19846:B *19639:D 8.08437e-05 +44 *19846:B *19846:D 0.000188201 +45 *464:19 *19639:D 1.08736e-05 +46 *464:46 *19786:B 0.000171288 +47 *464:46 *1437:37 8.61131e-05 +48 *466:44 *19639:D 6.50727e-05 +49 *466:52 *19639:D 0.000122809 +50 *466:52 *19846:D 2.77564e-05 +51 *466:52 *1437:5 0.000160617 +52 *466:52 *1437:33 0.000107496 +53 *468:93 *19805:D 0.000107496 +54 *468:93 *1437:50 0.000200794 +55 *476:46 *1437:50 0.00018775 +56 *1425:11 *1437:44 0.000181682 +57 *1425:17 *1437:44 6.99737e-05 +58 *1426:31 *19639:D 4.31539e-05 +59 *1426:51 *19639:D 3.8122e-05 +60 *1426:67 *19639:D 1.92172e-05 +61 *1436:19 *19786:B 6.50727e-05 +*RES +1 *19590:Y *1437:4 9.24915 +2 *1437:4 *1437:5 2.38721 +3 *1437:5 *19705:B 11.6364 +4 *1437:5 *1437:13 4.5 +5 *1437:13 *19639:D 21.0173 +6 *1437:13 *19846:D 17.6574 +7 *1437:4 *1437:33 12.1834 +8 *1437:33 *1437:37 10.0693 +9 *1437:37 *19786:B 11.6364 +10 *1437:37 *1437:44 4.05102 +11 *1437:44 *1437:50 14.8802 +12 *1437:50 *19652:D 9.24915 +13 *1437:50 *19805:D 14.4094 +14 *1437:44 *19692:B 9.24915 +15 *1437:33 *19591:D 13.7491 +*END + +*D_NET *1438 0.106099 +*CONN +*I *19874:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *19593:B I *D sky130_fd_sc_hd__or3_1 +*I *19780:A I *D sky130_fd_sc_hd__or2_4 +*I *19941:B I *D sky130_fd_sc_hd__or2_4 +*I *20008:A I *D sky130_fd_sc_hd__or2_4 +*I *19927:A I *D sky130_fd_sc_hd__or2_4 +*I *19879:A I *D sky130_fd_sc_hd__or2_4 +*I *19680:A I *D sky130_fd_sc_hd__or2_4 +*I *19591:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19874:A2 0.000491918 +2 *19593:B 2.06324e-05 +3 *19780:A 3.39969e-05 +4 *19941:B 7.69937e-05 +5 *20008:A 0 +6 *19927:A 0.000734841 +7 *19879:A 0.000166182 +8 *19680:A 0.000117931 +9 *19591:X 0.000150803 +10 *1438:169 0.00215076 +11 *1438:168 0.00232437 +12 *1438:159 0.0022596 +13 *1438:152 0.00233897 +14 *1438:134 0.00159359 +15 *1438:132 0.00274871 +16 *1438:119 0.00295324 +17 *1438:98 0.00188254 +18 *1438:92 0.00343695 +19 *1438:83 0.0057064 +20 *1438:81 0.00426591 +21 *1438:78 0.00739414 +22 *1438:57 8.16095e-05 +23 *1438:29 0.00115021 +24 *1438:14 0.000580391 +25 *1438:9 0.000333611 +26 *1438:6 0.00654815 +27 *19680:A *19680:B 1.57614e-05 +28 *19680:A *1461:67 3.86893e-05 +29 *19680:A *1461:77 4.58003e-05 +30 *19680:A *1511:23 0.000343729 +31 *19680:A *1768:27 0.000107496 +32 *19780:A *3415:22 4.49912e-05 +33 *19874:A2 *19875:A2 0.00098156 +34 *19874:A2 *19875:C1 5.0459e-05 +35 *19874:A2 *1595:60 1.8899e-05 +36 *19874:A2 *2551:24 0.000254541 +37 *19874:A2 *2697:19 1.10925e-05 +38 *19879:A *19893:A 0.000750508 +39 *19879:A *1541:23 0.000245944 +40 *19879:A *1541:29 0.00011818 +41 *19927:A *20585:A1 0.000200794 +42 *19927:A *1510:85 0.00012774 +43 *19927:A *1563:46 0.000199676 +44 *19927:A *1640:12 1.2851e-05 +45 *19927:A *1641:26 0.00020287 +46 *19927:A *1684:5 6.08467e-05 +47 *19927:A *1766:50 0.000349498 +48 *19927:A *1766:67 3.55859e-05 +49 *19927:A *1802:8 0.000212544 +50 *19927:A *1802:172 0.00042123 +51 *19927:A *2519:12 6.08467e-05 +52 *19941:B *24924:A 0.000304777 +53 *19941:B *1759:13 0.000109731 +54 *1438:6 *1640:8 0.000273832 +55 *1438:9 *20008:B 0.000108503 +56 *1438:9 *24924:A 0.000442572 +57 *1438:9 *1759:13 0.000125999 +58 *1438:14 *1448:59 6.7798e-05 +59 *1438:14 *1449:45 1.15862e-05 +60 *1438:14 *1563:46 0.000112006 +61 *1438:29 *1448:59 4.80856e-05 +62 *1438:29 *1563:46 0.000498441 +63 *1438:29 *1802:175 2.53624e-06 +64 *1438:57 *24924:A 6.92705e-05 +65 *1438:57 *1759:13 2.41483e-05 +66 *1438:78 *21461:A2 7.85947e-05 +67 *1438:78 *1511:23 9.24501e-05 +68 *1438:78 *1640:8 0.000195393 +69 *1438:78 *1763:37 0.00106262 +70 *1438:78 *2808:32 0.00347613 +71 *1438:78 *2964:35 0 +72 *1438:78 *3177:148 0.000109796 +73 *1438:78 *5082:34 0.000142028 +74 *1438:81 *21117:A 5.15068e-05 +75 *1438:81 *21118:A 7.02782e-05 +76 *1438:81 *1522:26 0 +77 *1438:81 *1714:24 0 +78 *1438:81 *1756:49 0 +79 *1438:81 *1786:34 0 +80 *1438:81 *1818:21 0 +81 *1438:81 *4864:11 0.00129545 +82 *1438:83 *23033:B 7.20368e-05 +83 *1438:83 *23035:B 6.03391e-06 +84 *1438:83 *23037:C1 0.000121533 +85 *1438:83 *23161:A 5.22071e-05 +86 *1438:83 *23368:B 4.0681e-05 +87 *1438:83 *23369:B 6.65271e-05 +88 *1438:83 *23529:B1 7.13655e-06 +89 *1438:83 *23530:B 1.2693e-05 +90 *1438:83 *23760:A2 0.000180108 +91 *1438:83 *1744:141 0.0014365 +92 *1438:83 *1756:49 0.000254899 +93 *1438:83 *1786:52 6.25268e-05 +94 *1438:83 *1808:44 0.000712741 +95 *1438:83 *1818:29 0 +96 *1438:83 *2632:46 0 +97 *1438:83 *2784:42 0.000223386 +98 *1438:83 *2802:12 0.000283533 +99 *1438:83 *3833:107 0.000211706 +100 *1438:83 *3833:115 0.000101551 +101 *1438:83 *3868:251 6.80827e-05 +102 *1438:83 *3877:148 0.0016413 +103 *1438:83 *3877:161 0.000251038 +104 *1438:83 *3877:221 0.000537232 +105 *1438:83 *3893:48 0.000613547 +106 *1438:83 *3893:50 0.000100124 +107 *1438:83 *3893:55 0.000868811 +108 *1438:83 *3898:18 2.08939e-05 +109 *1438:83 *3942:14 5.79254e-05 +110 *1438:83 *4019:19 0.00027882 +111 *1438:83 *4138:16 0.000311084 +112 *1438:83 *4272:12 0 +113 *1438:83 *4415:50 0.000337108 +114 *1438:83 *4435:25 4.55535e-05 +115 *1438:83 *4481:14 8.35444e-05 +116 *1438:83 *4515:21 0.000161863 +117 *1438:83 *4618:13 3.75371e-05 +118 *1438:83 *4864:11 0.000291202 +119 *1438:92 *23382:A 9.66893e-05 +120 *1438:92 *23382:B 0.000160369 +121 *1438:92 *24554:CLK 1.03403e-05 +122 *1438:92 *1506:114 0.000343703 +123 *1438:92 *1808:69 0 +124 *1438:92 *3877:147 0.00010096 +125 *1438:92 *3974:219 0.00140025 +126 *1438:92 *4106:169 8.30822e-05 +127 *1438:92 *4347:12 0.000105728 +128 *1438:92 *5909:38 0 +129 *1438:98 *24531:CLK 0.000843937 +130 *1438:98 *1485:155 3.77605e-05 +131 *1438:98 *1506:125 4.16531e-05 +132 *1438:98 *4702:21 0.000357993 +133 *1438:98 *4751:27 0.000555732 +134 *1438:98 *4861:19 9.22013e-06 +135 *1438:98 *4916:118 7.88662e-05 +136 *1438:98 *5861:163 0.000646472 +137 *1438:98 *5861:166 0.00153971 +138 *1438:119 *21824:A 0.000151481 +139 *1438:119 *24421:RESET_B 0.000160068 +140 *1438:119 *24421:CLK 8.62625e-06 +141 *1438:119 *1732:50 0.000213207 +142 *1438:119 *1795:53 0.000107496 +143 *1438:119 *1809:26 1.41761e-05 +144 *1438:119 *2785:74 0.00039255 +145 *1438:119 *2852:19 0.000109115 +146 *1438:119 *4798:39 0.000710674 +147 *1438:119 *4799:6 0.000353897 +148 *1438:119 *4799:10 0.000226852 +149 *1438:119 *5801:92 0.000155371 +150 *1438:119 *5868:49 1.78704e-05 +151 *1438:132 *20723:B1 0.000188976 +152 *1438:132 *24549:CLK 0.000109837 +153 *1438:132 *2523:43 0.000355827 +154 *1438:132 *2680:13 0.000210067 +155 *1438:132 *5854:27 0.000297968 +156 *1438:134 *19824:B 0.000254551 +157 *1438:134 *19860:B 9.32983e-05 +158 *1438:134 *22422:B2 0.000207911 +159 *1438:134 *24344:RESET_B 7.15522e-05 +160 *1438:134 *24549:CLK 7.37441e-06 +161 *1438:134 *25365:A 0.000599869 +162 *1438:134 *1537:180 0.0024737 +163 *1438:134 *1582:7 0.00112864 +164 *1438:134 *1582:81 0.00153347 +165 *1438:134 *3002:82 0.000157407 +166 *1438:152 *1483:20 0.000939864 +167 *1438:152 *2049:16 0.000308666 +168 *1438:152 *2785:74 0.000420197 +169 *1438:152 *3089:24 9.33478e-05 +170 *1438:152 *3089:30 1.46426e-05 +171 *1438:152 *3857:59 0.000158371 +172 *1438:152 *4728:17 2.61955e-05 +173 *1438:152 *4798:39 7.54541e-05 +174 *1438:152 *5801:92 0.00131378 +175 *1438:152 *5925:17 0.00012862 +176 *1438:159 *20794:A1 0.000393863 +177 *1438:159 *20794:A2 1.10297e-05 +178 *1438:159 *20794:B1 2.26158e-05 +179 *1438:159 *20794:B2 0.000202663 +180 *1438:159 *1550:64 5.23101e-05 +181 *1438:159 *3089:30 0.000956396 +182 *1438:159 *4656:10 8.52802e-05 +183 *1438:159 *4656:15 0.000346742 +184 *1438:159 *5801:92 0.000134431 +185 *1438:168 *1521:28 0.000428165 +186 *1438:168 *1550:64 0.000548993 +187 *1438:168 *2531:24 0.000424552 +188 *1438:168 *2684:8 0.000545518 +189 *1438:168 *3089:33 4.75654e-05 +190 *1438:168 *6001:26 5.04829e-06 +191 *1438:169 *19875:A2 0.000209326 +192 *1438:169 *20676:A1 4.74356e-05 +193 *1438:169 *20984:B2 0.000166842 +194 *1438:169 *1569:9 0.000631401 +195 *1438:169 *1582:52 0.00151639 +196 *1438:169 *1688:34 0.000281541 +197 *1438:169 *2033:34 0.000211478 +198 *1438:169 *2537:8 6.50727e-05 +199 *1438:169 *4869:62 0.000159663 +200 *1438:169 *5851:640 0.00217377 +201 *19874:A1 *19874:A2 0.000163997 +202 *20036:A *19927:A 6.88675e-05 +203 *21119:B1 *1438:81 2.0517e-05 +204 *24206:D *1438:81 0.000122083 +205 *24280:D *1438:169 0.000247443 +206 *24344:D *1438:132 5.97576e-05 +207 *24419:D *1438:152 0.000122083 +208 *24422:D *1438:132 0.000247443 +209 *24422:D *1438:152 5.60364e-06 +210 *24454:D *1438:169 2.77625e-06 +211 *24556:D *1438:119 0.000143032 +212 *476:18 *1438:78 0.000920977 +213 *476:46 *1438:6 0.000273832 +214 *476:46 *1438:78 0.000188297 +215 *516:19 *1438:78 5.70235e-05 +216 *1424:104 *19927:A 6.63077e-05 +217 *1427:36 *1438:78 0 +218 *1427:156 *19927:A 0.000132307 +219 *1427:156 *1438:29 0.000355392 +220 *1435:45 *19927:A 0.000205006 +221 *1435:306 *19780:A 4.49912e-05 +*RES +1 *19591:X *1438:6 19.3184 +2 *1438:6 *1438:9 9.66022 +3 *1438:9 *1438:14 9.14105 +4 *1438:14 *19680:A 19.1264 +5 *1438:14 *1438:29 9.72179 +6 *1438:29 *19879:A 22.237 +7 *1438:29 *19927:A 47.0376 +8 *1438:9 *1438:57 0.723396 +9 *1438:57 *20008:A 9.24915 +10 *1438:57 *19941:B 12.7456 +11 *1438:6 *1438:78 27.7146 +12 *1438:78 *1438:81 33.2935 +13 *1438:81 *1438:83 119.764 +14 *1438:83 *1438:92 47.3075 +15 *1438:92 *1438:98 47.5598 +16 *1438:98 *1438:119 49.1005 +17 *1438:119 *1438:132 30.5905 +18 *1438:132 *1438:134 55.6292 +19 *1438:134 *19780:A 19.2506 +20 *1438:119 *1438:152 43.8207 +21 *1438:152 *1438:159 43.7655 +22 *1438:159 *1438:168 35.6095 +23 *1438:168 *1438:169 53.9653 +24 *1438:169 *19593:B 9.82786 +25 *1438:169 *19874:A2 33.4183 +*END + +*D_NET *1439 0.235316 +*CONN +*I *20334:B1 I *D sky130_fd_sc_hd__a211o_4 +*I *19598:A I *D sky130_fd_sc_hd__or2_2 +*I *20141:A I *D sky130_fd_sc_hd__or2_2 +*I *20319:B1 I *D sky130_fd_sc_hd__a211o_4 +*I *20238:A I *D sky130_fd_sc_hd__or2_1 +*I *19671:C I *D sky130_fd_sc_hd__or3_1 +*I *20349:A I *D sky130_fd_sc_hd__or2_1 +*I *19654:A I *D sky130_fd_sc_hd__or2_2 +*I *20217:A I *D sky130_fd_sc_hd__or2_1 +*I *20368:A I *D sky130_fd_sc_hd__or2_1 +*I *20376:A I *D sky130_fd_sc_hd__or2_1 +*I *20344:A I *D sky130_fd_sc_hd__or2_1 +*I *19621:A I *D sky130_fd_sc_hd__or2_1 +*I *19636:C I *D sky130_fd_sc_hd__or3_1 +*I *19730:A I *D sky130_fd_sc_hd__or2_1 +*I *19740:A I *D sky130_fd_sc_hd__or2_1 +*I *19675:A I *D sky130_fd_sc_hd__or2_1 +*I *20197:A I *D sky130_fd_sc_hd__or2_1 +*I *6146:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *20309:B1 I *D sky130_fd_sc_hd__a211o_4 +*I *19593:C I *D sky130_fd_sc_hd__or3_1 +*I *19592:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20334:B1 0.00018025 +2 *19598:A 0.000207849 +3 *20141:A 0 +4 *20319:B1 4.18376e-05 +5 *20238:A 0 +6 *19671:C 0.00136253 +7 *20349:A 0 +8 *19654:A 0.000797394 +9 *20217:A 0 +10 *20368:A 0 +11 *20376:A 1.2055e-05 +12 *20344:A 0.00191889 +13 *19621:A 0.000152667 +14 *19636:C 0 +15 *19730:A 0.000621881 +16 *19740:A 0 +17 *19675:A 0.000535191 +18 *20197:A 0 +19 *6146:DIODE 6.17056e-05 +20 *20309:B1 2.06324e-05 +21 *19593:C 0.00116254 +22 *19592:X 0.000245411 +23 *1439:438 0.00154621 +24 *1439:424 0.00758248 +25 *1439:423 0.00603627 +26 *1439:421 0.00259437 +27 *1439:416 0.0030434 +28 *1439:413 0.000556465 +29 *1439:404 0.00029459 +30 *1439:356 0.00135767 +31 *1439:333 0.00223064 +32 *1439:318 0.00337432 +33 *1439:297 0.000820062 +34 *1439:290 0.000797597 +35 *1439:274 0.000870789 +36 *1439:271 0.00180801 +37 *1439:270 0.00191141 +38 *1439:264 0.00128855 +39 *1439:260 0.00119097 +40 *1439:252 0.0024767 +41 *1439:245 0.000699866 +42 *1439:243 0.00193131 +43 *1439:242 0.00241451 +44 *1439:231 0.0029919 +45 *1439:217 0.0024888 +46 *1439:213 0.00204847 +47 *1439:205 0.00148258 +48 *1439:203 0.00228424 +49 *1439:194 0.00271278 +50 *1439:191 0.00199364 +51 *1439:180 0.00248708 +52 *1439:177 0.00122363 +53 *1439:172 0.00134494 +54 *1439:170 0.00183827 +55 *1439:152 0.000352237 +56 *1439:145 0.00420258 +57 *1439:128 0.00957481 +58 *1439:113 0.00618238 +59 *1439:104 0.00258218 +60 *1439:81 0.00273572 +61 *1439:75 0.00215646 +62 *1439:59 0.00143046 +63 *1439:54 0.00151161 +64 *1439:46 0.00215787 +65 *1439:28 0.00763236 +66 *1439:15 0.00880045 +67 *1439:7 0.00156754 +68 *6146:DIODE *20197:B 6.50586e-05 +69 *6146:DIODE *21703:A 3.31745e-05 +70 *6146:DIODE *3204:26 0.000158357 +71 *19593:C *19594:S 0.00021569 +72 *19593:C *19875:A2 9.15229e-05 +73 *19593:C *19875:B1 0.000161234 +74 *19593:C *19907:A 4.65615e-06 +75 *19593:C *22217:B1 5.04829e-06 +76 *19593:C *22218:C1 7.92757e-06 +77 *19593:C *1627:171 1.80122e-05 +78 *19593:C *1688:34 0 +79 *19598:A *2297:43 0.000132031 +80 *19598:A *2319:23 0.000132031 +81 *19598:A *4839:139 0.00036013 +82 *19621:A *19621:B 4.27667e-05 +83 *19621:A *19622:A 1.85016e-05 +84 *19621:A *1457:5 2.07503e-05 +85 *19621:A *1783:89 0.000406808 +86 *19621:A *5481:19 0.000574103 +87 *19654:A *24601:CLK 1.90335e-05 +88 *19654:A *1473:5 4.31539e-05 +89 *19654:A *1511:56 0.000181002 +90 *19654:A *1668:34 0.000567565 +91 *19654:A *2579:8 0.000996132 +92 *19654:A *2579:16 9.40877e-05 +93 *19654:A *4925:20 0.000156728 +94 *19654:A *4925:23 0.00013626 +95 *19654:A *4925:78 0.000226506 +96 *19671:C *20349:B 5.1573e-05 +97 *19671:C *21842:A 3.41459e-05 +98 *19671:C *1711:18 0.000214359 +99 *19671:C *4922:11 0.000186175 +100 *19671:C *4922:13 0.000102237 +101 *19671:C *5830:22 0.000662675 +102 *19675:A *19675:B 0.000328363 +103 *19675:A *19989:A1 4.82966e-05 +104 *19675:A *19989:B1 5.92342e-05 +105 *19675:A *21713:A1 1.59362e-06 +106 *19675:A *24873:CLK 0 +107 *19675:A *1488:21 1.80257e-05 +108 *19675:A *1492:88 0.000113217 +109 *19675:A *1746:8 7.10845e-05 +110 *19730:A *19730:B 0.000114271 +111 *19730:A *1516:38 0.000629373 +112 *20319:B1 *1709:13 2.16355e-05 +113 *20334:B1 *20334:A1 6.50586e-05 +114 *20334:B1 *1631:126 2.91402e-05 +115 *20334:B1 *1631:133 5.69404e-05 +116 *20334:B1 *5884:32 0.000128894 +117 *20344:A *19624:A2 0.000305608 +118 *20344:A *1502:42 1.92766e-05 +119 *20344:A *1947:228 0.00020035 +120 *20344:A *2514:13 0.000383769 +121 *20344:A *2808:20 2.21389e-05 +122 *20344:A *5860:132 8.58741e-05 +123 *20376:A *20376:B 6.50727e-05 +124 *1439:7 *1614:133 0.000835182 +125 *1439:7 *1614:139 0.000164829 +126 *1439:7 *5861:323 4.58003e-05 +127 *1439:7 *5861:598 0.000335257 +128 *1439:15 *1631:126 0 +129 *1439:15 *2287:43 1.69093e-05 +130 *1439:15 *2357:50 0.000140679 +131 *1439:15 *3212:19 0 +132 *1439:15 *4820:83 0.00138774 +133 *1439:15 *4903:144 0.000163804 +134 *1439:15 *4903:162 0.000629391 +135 *1439:15 *5855:178 0.000205948 +136 *1439:15 *5884:32 0.000249238 +137 *1439:15 *5903:6 0.000287415 +138 *1439:28 *19874:B2 0.000121148 +139 *1439:28 *20959:B1 3.81342e-05 +140 *1439:28 *22339:A1 4.72726e-05 +141 *1439:28 *22340:A1 0.000561653 +142 *1439:28 *1609:26 4.36e-05 +143 *1439:28 *1614:104 0.00118706 +144 *1439:28 *1631:72 0.000379649 +145 *1439:28 *1770:31 4.04426e-05 +146 *1439:28 *1788:139 6.85778e-05 +147 *1439:28 *1790:158 0.000524333 +148 *1439:28 *2262:78 1.57481e-05 +149 *1439:28 *2357:50 0.000299685 +150 *1439:28 *2451:46 0.000216243 +151 *1439:28 *2564:33 0.000114668 +152 *1439:28 *2843:20 6.51527e-05 +153 *1439:28 *2956:42 0.00206984 +154 *1439:28 *2963:127 0.000171116 +155 *1439:28 *2978:29 0.000103046 +156 *1439:28 *3212:19 0.000468185 +157 *1439:28 *3278:17 0.000940557 +158 *1439:28 *4845:229 0.000256758 +159 *1439:28 *4845:241 0.00181752 +160 *1439:28 *4942:22 3.88358e-05 +161 *1439:28 *5352:18 1.52551e-05 +162 *1439:28 *5862:491 0.000129597 +163 *1439:46 *2319:42 0.00019741 +164 *1439:46 *2473:39 0.00207892 +165 *1439:46 *2473:45 8.6624e-05 +166 *1439:46 *4820:78 2.74464e-05 +167 *1439:46 *4844:183 0.0015006 +168 *1439:46 *4844:187 0.00024615 +169 *1439:54 *20654:B2 4.15661e-05 +170 *1439:54 *24464:SET_B 0.000165171 +171 *1439:54 *1679:18 0.000270187 +172 *1439:54 *1679:61 9.91931e-05 +173 *1439:54 *2028:16 1.5714e-05 +174 *1439:54 *2355:17 0.000107496 +175 *1439:54 *2473:45 0.00136227 +176 *1439:54 *2888:17 7.16584e-06 +177 *1439:54 *4805:36 0.000387291 +178 *1439:59 *1573:231 0.000103689 +179 *1439:59 *2572:10 0.000446376 +180 *1439:59 *2888:17 0 +181 *1439:59 *4805:36 0.000489027 +182 *1439:75 *20309:A1 6.3657e-05 +183 *1439:75 *20997:A1 2.54369e-05 +184 *1439:75 *20999:A2 0.000728911 +185 *1439:75 *20999:B2 9.05137e-05 +186 *1439:75 *24103:A 1.97336e-05 +187 *1439:75 *24103:TE_B 2.61955e-05 +188 *1439:75 *1573:231 4.50474e-05 +189 *1439:75 *2170:78 1.65872e-05 +190 *1439:75 *2888:7 0.00138202 +191 *1439:81 *19564:S 5.481e-05 +192 *1439:81 *20996:A2 6.92263e-05 +193 *1439:81 *1917:29 7.36638e-05 +194 *1439:81 *2267:59 1.91391e-05 +195 *1439:81 *2295:59 1.5714e-05 +196 *1439:81 *2389:81 9.22013e-06 +197 *1439:81 *2471:56 0.00183314 +198 *1439:81 *2570:80 0.00049649 +199 *1439:81 *3257:61 0.000282742 +200 *1439:81 *5402:11 0.000186893 +201 *1439:81 *5864:579 0 +202 *1439:81 *5864:585 0 +203 *1439:104 *1652:34 0.000655343 +204 *1439:104 *1652:41 0.000250318 +205 *1439:104 *1688:91 0.000202101 +206 *1439:104 *2301:36 3.7516e-05 +207 *1439:104 *2421:125 8.12259e-06 +208 *1439:104 *2423:78 0.000245738 +209 *1439:104 *2520:87 0.000229721 +210 *1439:104 *2924:51 0.000197969 +211 *1439:104 *4809:52 0.000136072 +212 *1439:104 *4813:81 0.000269148 +213 *1439:104 *4813:82 1.41911e-05 +214 *1439:104 *4821:125 3.82228e-05 +215 *1439:104 *4828:100 0.000240804 +216 *1439:104 *5857:168 0.000202214 +217 *1439:104 *5859:205 1.58372e-05 +218 *1439:104 *5864:539 9.66194e-05 +219 *1439:104 *5864:550 8.94101e-05 +220 *1439:104 *5864:558 0.000166071 +221 *1439:113 *19818:A 4.97617e-05 +222 *1439:113 *1576:7 0.000578294 +223 *1439:113 *1652:34 4.07632e-05 +224 *1439:113 *2635:80 0.000156163 +225 *1439:113 *2814:8 0.000717434 +226 *1439:113 *4823:94 8.28776e-05 +227 *1439:113 *4845:548 0.000387391 +228 *1439:113 *4938:8 2.78588e-05 +229 *1439:113 *5857:168 0.00101992 +230 *1439:128 *21029:B1 0.00106901 +231 *1439:128 *1443:49 0.000168683 +232 *1439:128 *1450:136 0.000390418 +233 *1439:128 *1551:75 6.3619e-05 +234 *1439:128 *1557:96 0.00039403 +235 *1439:128 *1663:21 0.000190319 +236 *1439:128 *2404:101 0.000108997 +237 *1439:128 *2459:10 0.000243074 +238 *1439:128 *2738:14 0 +239 *1439:128 *4808:12 3.99086e-06 +240 *1439:128 *4829:70 0.000732706 +241 *1439:145 *6175:DIODE 0.000160617 +242 *1439:145 *20852:A1 0.000526283 +243 *1439:145 *20854:B 0.000290296 +244 *1439:145 *21334:A1 8.3124e-05 +245 *1439:145 *21334:B1 4.70943e-05 +246 *1439:145 *21371:B2 0.000400335 +247 *1439:145 *21650:A 3.30802e-05 +248 *1439:145 *21652:B2 0.000128739 +249 *1439:145 *21689:B1 0.000105601 +250 *1439:145 *23941:S 8.69737e-05 +251 *1439:145 *1577:183 0.000308738 +252 *1439:145 *1706:146 0.000155575 +253 *1439:145 *2108:29 3.17729e-05 +254 *1439:145 *2391:49 0.000100747 +255 *1439:145 *2396:23 0.000160617 +256 *1439:145 *2431:23 6.50727e-05 +257 *1439:145 *2440:54 1.11073e-05 +258 *1439:145 *2576:57 3.40379e-05 +259 *1439:145 *3485:19 0.000524119 +260 *1439:145 *3487:13 0.000106465 +261 *1439:145 *5870:542 0.000200794 +262 *1439:152 *24773:SET_B 4.95807e-05 +263 *1439:152 *2428:117 0.000117693 +264 *1439:152 *2638:35 0.000207192 +265 *1439:152 *2876:34 1.7847e-05 +266 *1439:152 *5230:7 0.000224119 +267 *1439:152 *5870:542 7.92757e-06 +268 *1439:170 *23941:S 4.68302e-05 +269 *1439:170 *2381:26 8.43875e-05 +270 *1439:170 *2391:49 4.29542e-05 +271 *1439:170 *2900:60 1.87269e-05 +272 *1439:170 *5238:10 5.53928e-05 +273 *1439:170 *5862:8 0.000403173 +274 *1439:172 *2900:60 0.00358485 +275 *1439:172 *5794:37 0.00347504 +276 *1439:177 *5695:9 0.000127288 +277 *1439:180 *2381:22 0.000910772 +278 *1439:180 *2392:8 0.000241543 +279 *1439:180 *2392:12 0.000160038 +280 *1439:191 *24003:S 6.50727e-05 +281 *1439:191 *2378:11 0.000472832 +282 *1439:191 *4953:10 0.000100738 +283 *1439:194 *23983:S 1.03986e-05 +284 *1439:194 *2720:12 0.00116182 +285 *1439:203 *1779:10 0.000139435 +286 *1439:203 *1780:55 0.000165393 +287 *1439:203 *2420:32 0.000139435 +288 *1439:205 *20239:A 0.000164815 +289 *1439:205 *21736:A2 0.000220183 +290 *1439:205 *1780:55 0.000108342 +291 *1439:213 *20241:B2 0 +292 *1439:213 *21736:A2 0 +293 *1439:213 *21736:B2 8.91699e-06 +294 *1439:213 *24748:SET_B 2.02035e-05 +295 *1439:213 *24874:SET_B 0.000310136 +296 *1439:213 *1502:86 4.70005e-05 +297 *1439:213 *2755:14 0.000488848 +298 *1439:213 *2756:8 0 +299 *1439:213 *2944:11 0.000175228 +300 *1439:213 *4915:62 0 +301 *1439:213 *4954:27 0 +302 *1439:213 *5776:7 0.000134244 +303 *1439:217 *24874:SET_B 2.29891e-05 +304 *1439:217 *2944:11 0.000152702 +305 *1439:217 *2944:15 0.000197469 +306 *1439:231 *21501:A 7.33588e-05 +307 *1439:231 *21506:A1 0.000181531 +308 *1439:231 *21588:A2 9.24241e-05 +309 *1439:231 *21713:A1 1.89959e-05 +310 *1439:231 *1492:79 0 +311 *1439:231 *1669:34 0 +312 *1439:231 *1746:8 0.000374844 +313 *1439:231 *2270:8 0 +314 *1439:231 *2270:16 8.90309e-05 +315 *1439:231 *2270:28 0.00112212 +316 *1439:231 *2739:13 0 +317 *1439:242 *24305:CLK 0.000189525 +318 *1439:242 *24675:RESET_B 0.000110966 +319 *1439:242 *5193:8 0.000118166 +320 *1439:243 *20349:B 1.44467e-05 +321 *1439:243 *21081:A1 7.86847e-05 +322 *1439:243 *21633:A 0.000238076 +323 *1439:243 *5830:22 0.00102017 +324 *1439:243 *5870:272 0 +325 *1439:243 *5870:274 0 +326 *1439:243 *5870:276 0 +327 *1439:252 *21084:B2 0.000107496 +328 *1439:252 *1740:65 5.94679e-05 +329 *1439:252 *3014:30 0.00094434 +330 *1439:252 *5860:433 0.000859727 +331 *1439:260 *20217:B 6.64392e-05 +332 *1439:260 *20453:A 1.03403e-05 +333 *1439:260 *20453:B 2.16355e-05 +334 *1439:260 *20454:A 1.40846e-05 +335 *1439:260 *20604:B2 5.04829e-06 +336 *1439:260 *21570:A2 0.000477313 +337 *1439:260 *1471:84 7.6719e-06 +338 *1439:260 *1947:242 0.00086964 +339 *1439:260 *2398:39 0.00139797 +340 *1439:260 *2808:20 0 +341 *1439:260 *2838:13 9.4711e-05 +342 *1439:260 *3014:39 2.42023e-05 +343 *1439:260 *5076:10 6.27699e-05 +344 *1439:260 *5858:233 0.000364356 +345 *1439:260 *5860:141 0.000296304 +346 *1439:264 *20217:B 0.000117376 +347 *1439:264 *21570:A2 0.000131055 +348 *1439:270 *20221:A2 2.16355e-05 +349 *1439:270 *20221:B1 5.47093e-05 +350 *1439:270 *20221:B2 6.08467e-05 +351 *1439:270 *1731:39 5.82465e-05 +352 *1439:270 *1760:8 0.00031069 +353 *1439:270 *1889:16 9.14669e-05 +354 *1439:270 *2632:19 6.49003e-05 +355 *1439:270 *3014:48 3.7516e-05 +356 *1439:270 *5854:185 6.23875e-05 +357 *1439:271 *19715:B1 4.15236e-05 +358 *1439:271 *19715:B2 7.06474e-05 +359 *1439:271 *24759:RESET_B 0.000149094 +360 *1439:271 *24884:RESET_B 0 +361 *1439:271 *24989:A 0.000130808 +362 *1439:271 *24990:A 0.000584364 +363 *1439:271 *1504:13 0 +364 *1439:271 *1504:16 0 +365 *1439:271 *1505:8 0.000136653 +366 *1439:271 *1512:10 3.82145e-05 +367 *1439:271 *2836:8 0.00184058 +368 *1439:271 *5744:20 0.000190057 +369 *1439:271 *5854:194 1.95652e-05 +370 *1439:271 *5870:29 0 +371 *1439:271 *5870:40 0 +372 *1439:274 *1512:10 6.61278e-05 +373 *1439:274 *1516:38 4.89392e-05 +374 *1439:274 *1517:10 1.44764e-05 +375 *1439:290 *19636:A 1.07248e-05 +376 *1439:290 *1464:8 0 +377 *1439:290 *1731:39 2.26357e-05 +378 *1439:290 *1760:8 0.000155021 +379 *1439:290 *2442:8 0.000575109 +380 *1439:290 *3014:48 7.75133e-06 +381 *1439:297 *19636:A 0.000156137 +382 *1439:297 *2442:8 0.000148887 +383 *1439:318 *19636:A 0.00056514 +384 *1439:318 *24890:CLK 5.03671e-05 +385 *1439:318 *1457:31 8.67779e-05 +386 *1439:318 *1457:33 5.87758e-05 +387 *1439:318 *1692:19 7.26408e-05 +388 *1439:318 *1764:113 0.000213725 +389 *1439:318 *1764:116 1.44611e-05 +390 *1439:318 *2442:8 0.000564538 +391 *1439:318 *5898:34 4.0752e-05 +392 *1439:333 *19624:A2 1.13712e-05 +393 *1439:333 *19626:A1 1.14958e-05 +394 *1439:333 *20377:A 3.77804e-05 +395 *1439:333 *21769:A 0.000102977 +396 *1439:333 *24890:CLK 1.27831e-06 +397 *1439:333 *1442:146 0 +398 *1439:333 *1457:33 3.64497e-06 +399 *1439:333 *1485:80 1.5714e-05 +400 *1439:333 *1506:75 2.18741e-05 +401 *1439:333 *1515:20 0.000156104 +402 *1439:333 *2274:28 0 +403 *1439:333 *2274:30 6.55469e-05 +404 *1439:333 *2514:13 9.76521e-05 +405 *1439:333 *2703:21 0 +406 *1439:333 *2785:14 0 +407 *1439:333 *2836:8 0 +408 *1439:333 *5917:431 1.96722e-05 +409 *1439:333 *5917:438 4.75721e-06 +410 *1439:356 *20011:B2 7.67715e-05 +411 *1439:356 *20012:C1 3.23185e-05 +412 *1439:356 *21927:B1 7.88258e-05 +413 *1439:356 *24057:A0 4.45548e-05 +414 *1439:356 *24057:A1 0.000416429 +415 *1439:356 *1683:17 0.000783167 +416 *1439:356 *1734:26 6.77459e-05 +417 *1439:356 *1763:37 6.98901e-05 +418 *1439:356 *1764:31 8.65093e-06 +419 *1439:356 *2274:30 0.00160972 +420 *1439:356 *2703:21 6.45209e-05 +421 *1439:356 *2785:14 2.50758e-05 +422 *1439:356 *2785:30 7.88793e-06 +423 *1439:404 *1631:126 1.65078e-05 +424 *1439:404 *1709:13 5.92192e-05 +425 *1439:404 *2287:43 7.73137e-05 +426 *1439:404 *5884:32 7.72413e-05 +427 *1439:413 *1631:126 8.74875e-06 +428 *1439:413 *1709:13 0.000192272 +429 *1439:413 *5884:32 1.56279e-05 +430 *1439:416 *19952:A 7.92757e-06 +431 *1439:416 *1709:13 6.23875e-05 +432 *1439:421 *21090:A1 0.00012401 +433 *1439:421 *21420:A 7.50872e-05 +434 *1439:421 *24215:RESET_B 8.22553e-05 +435 *1439:421 *1884:71 4.4113e-05 +436 *1439:421 *2473:30 0.000543581 +437 *1439:421 *2473:37 8.65426e-05 +438 *1439:421 *3022:6 2.36813e-05 +439 *1439:421 *4834:46 1.83318e-05 +440 *1439:421 *4843:251 7.50722e-05 +441 *1439:421 *5865:258 0.000115889 +442 *1439:424 *20443:B2 9.3623e-05 +443 *1439:424 *20708:B2 0.000101738 +444 *1439:424 *20709:B1 4.69493e-05 +445 *1439:424 *21308:B2 0.000253916 +446 *1439:424 *1770:31 0.000374664 +447 *1439:424 *2208:97 0.000200794 +448 *1439:424 *2770:13 0.00010722 +449 *1439:424 *3022:12 4.97617e-05 +450 *1439:424 *4126:66 2.56364e-05 +451 *1439:424 *4844:151 0.00101325 +452 *1439:424 *5859:497 0.00010203 +453 *1439:424 *5880:31 0.000734181 +454 *1439:438 *20392:B2 5.99691e-05 +455 *1439:438 *20496:A1 5.99955e-05 +456 *1439:438 *1593:18 3.99086e-06 +457 *1439:438 *1595:43 0.000268875 +458 *1439:438 *1724:29 0.000154145 +459 *1439:438 *1770:12 2.71397e-05 +460 *1439:438 *1783:27 2.6854e-05 +461 *1439:438 *1856:7 0.000550967 +462 *1439:438 *1943:20 0.000149071 +463 *1439:438 *1976:18 1.92172e-05 +464 *1439:438 *2520:21 0 +465 *1439:438 *2551:8 1.66771e-05 +466 *1439:438 *2602:23 0.000828696 +467 *1439:438 *2764:47 0.000391697 +468 *1439:438 *2843:12 2.61331e-05 +469 *1439:438 *2950:34 8.11463e-06 +470 *1439:438 *4840:173 0.000750315 +471 *1439:438 *4840:186 9.09811e-05 +472 *1439:438 *4840:190 2.61012e-05 +473 *1439:438 *5156:10 9.85369e-05 +474 *6142:DIODE *1439:191 0.000139177 +475 *19593:A *19593:C 0.000311315 +476 *19719:A *1439:271 0.000381101 +477 *19874:A1 *19593:C 0.000279219 +478 *20319:C1 *20319:B1 0.000107496 +479 *20319:C1 *1439:413 0.00019306 +480 *20334:C1 *20334:B1 2.65667e-05 +481 *20973:A2 *1439:194 8.89025e-05 +482 *21010:B1 *1439:180 0.000149643 +483 *21334:B2 *1439:145 0.000360114 +484 *23978:S *1439:191 0.000409409 +485 *23981:A0 *1439:194 0 +486 *23999:A0 *1439:180 0.00103725 +487 *24003:A0 *1439:191 2.16355e-05 +488 *24218:D *1439:252 1.93635e-05 +489 *24287:D *1439:194 0.000112952 +490 *24288:D *1439:194 2.55493e-05 +491 *24431:D *1439:424 0.00058327 +492 *24577:D *1439:438 0.000207266 +493 *24748:D *1439:213 3.90891e-05 +494 *24761:D *1439:270 0.000559686 +495 *408:11 *1439:203 6.08467e-05 +496 *439:47 *1439:180 0.00052613 +497 *439:49 *1439:180 0.000380124 +498 *439:182 *1439:194 9.11135e-05 +499 *439:185 *1439:194 0.000207736 +500 *439:194 *1439:194 0 +501 *464:10 *20376:A 6.08467e-05 +502 *476:142 *1439:81 0.000133709 +503 *478:53 *1439:416 6.7671e-06 +504 *480:183 *1439:421 0.000347214 +505 *489:35 *1439:421 0.00124509 +506 *508:28 *1439:104 0.00101152 +507 *512:38 *1439:28 0.000794505 +508 *512:57 *1439:191 0.000106215 +509 *512:64 *1439:191 0.000375013 +510 *517:15 *1439:203 0.00263923 +511 *529:41 *1439:104 0.00100734 +512 *535:19 *1439:104 2.0152e-05 +513 *535:45 *1439:177 0.000317721 +514 *539:55 *1439:191 0.000771339 +515 *545:23 *1439:145 0.0016598 +516 *547:33 *1439:145 0.000391017 +517 *1418:311 *1439:145 2.34603e-05 +518 *1427:13 *20344:A 0 +519 *1427:22 *1439:333 0.000111631 +520 *1432:8 *1439:356 8.41511e-06 +521 *1432:15 *1439:356 2.58871e-05 +*RES +1 *19592:X *1439:7 25.01 +2 *1439:7 *1439:15 39.4337 +3 *1439:15 *1439:28 42.9341 +4 *1439:28 *19593:C 30.1553 +5 *1439:15 *1439:46 46.5917 +6 *1439:46 *1439:54 42.1181 +7 *1439:54 *1439:59 19.3137 +8 *1439:59 *20309:B1 9.82786 +9 *1439:59 *1439:75 32.3266 +10 *1439:75 *1439:81 48.6606 +11 *1439:81 *1439:104 49.6136 +12 *1439:104 *1439:113 45.1894 +13 *1439:113 *1439:128 45.2582 +14 *1439:128 *1439:145 47.3953 +15 *1439:145 *1439:152 16.6834 +16 *1439:152 *6146:DIODE 11.6605 +17 *1439:152 *20197:A 9.24915 +18 *1439:128 *1439:170 13.1465 +19 *1439:170 *1439:172 65.3656 +20 *1439:172 *1439:177 12.4964 +21 *1439:177 *1439:180 47.442 +22 *1439:180 *1439:191 44.8414 +23 *1439:191 *1439:194 29.1709 +24 *1439:194 *1439:203 47.5188 +25 *1439:203 *1439:205 7.37864 +26 *1439:205 *1439:213 40.8117 +27 *1439:213 *1439:217 17.4247 +28 *1439:217 *19675:A 25.612 +29 *1439:217 *1439:231 41.2132 +30 *1439:231 *1439:242 32.7848 +31 *1439:242 *1439:243 18.8573 +32 *1439:243 *1439:245 4.5 +33 *1439:245 *1439:252 32.5232 +34 *1439:252 *1439:260 46.5193 +35 *1439:260 *1439:264 9.66022 +36 *1439:264 *1439:270 22.9178 +37 *1439:270 *1439:271 54.1538 +38 *1439:271 *1439:274 10.2148 +39 *1439:274 *19740:A 9.24915 +40 *1439:274 *19730:A 26.6106 +41 *1439:264 *1439:290 16.4635 +42 *1439:290 *19636:C 13.7491 +43 *1439:290 *1439:297 3.07775 +44 *1439:297 *19621:A 21.8994 +45 *1439:297 *1439:318 29.6561 +46 *1439:318 *20344:A 28.5535 +47 *1439:318 *1439:333 21.0435 +48 *1439:333 *20376:A 14.4725 +49 *1439:333 *1439:356 47.3472 +50 *1439:356 *20368:A 13.7491 +51 *1439:260 *20217:A 9.24915 +52 *1439:252 *19654:A 48.0086 +53 *1439:245 *20349:A 9.24915 +54 *1439:243 *19671:C 41.8222 +55 *1439:205 *20238:A 9.24915 +56 *1439:7 *1439:404 3.98154 +57 *1439:404 *20319:B1 15.0271 +58 *1439:404 *1439:413 4.73876 +59 *1439:413 *1439:416 7.99641 +60 *1439:416 *1439:421 49.7515 +61 *1439:421 *1439:423 4.5 +62 *1439:423 *1439:424 91.1238 +63 *1439:424 *1439:438 49.4644 +64 *1439:438 *20141:A 9.24915 +65 *1439:416 *19598:A 24.9627 +66 *1439:413 *20334:B1 20.0418 +*END + +*D_NET *1440 0.00084253 +*CONN +*I *19594:S I *D sky130_fd_sc_hd__mux2_1 +*I *19593:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19594:S 0.000216443 +2 *19593:X 0.000216443 +3 *19594:S *2552:14 0.000140147 +4 *19594:S *3057:14 5.38073e-05 +5 *19593:C *19594:S 0.00021569 +*RES +1 *19593:X *19594:S 32.548 +*END + +*D_NET *1441 0.00116434 +*CONN +*I *19595:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19594:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19595:A 0.000300263 +2 *19594:X 0.000300263 +3 *19595:A *1521:11 0.000162663 +4 *19595:A *1732:12 0.000269932 +5 *19595:A *2564:18 5.15415e-05 +6 *19595:A *2795:12 7.96821e-05 +*RES +1 *19594:X *19595:A 34.9002 +*END + +*D_NET *1442 0.0632311 +*CONN +*I *20048:A I *D sky130_fd_sc_hd__or2_4 +*I *19636:A I *D sky130_fd_sc_hd__or3_1 +*I *19928:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *19828:A I *D sky130_fd_sc_hd__or2_4 +*I *19872:B I *D sky130_fd_sc_hd__or2_1 +*I *19817:A I *D sky130_fd_sc_hd__or2_4 +*I *19976:A I *D sky130_fd_sc_hd__or2_4 +*I *19597:B I *D sky130_fd_sc_hd__or2_4 +*I *19596:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *20048:A 5.56212e-05 +2 *19636:A 0.000840741 +3 *19928:A1 0 +4 *19828:A 0.00046762 +5 *19872:B 0.000107963 +6 *19817:A 0 +7 *19976:A 0 +8 *19597:B 0 +9 *19596:X 0.000834962 +10 *1442:146 0.00263347 +11 *1442:108 0.000943671 +12 *1442:97 0.000864636 +13 *1442:90 0.00121708 +14 *1442:74 0.00255906 +15 *1442:73 0.00241544 +16 *1442:63 0.00123245 +17 *1442:55 0.0013869 +18 *1442:41 0.003076 +19 *1442:39 0.00185382 +20 *1442:30 0.000848202 +21 *1442:21 0.00213331 +22 *1442:14 0.000432837 +23 *1442:13 0.00122898 +24 *19636:A *19624:A2 0.000103782 +25 *19636:A *1461:23 0.000280264 +26 *19636:A *1760:8 0 +27 *19636:A *1764:113 0.000673668 +28 *19636:A *2442:8 0.000132386 +29 *19828:A *19873:A 2.16355e-05 +30 *19828:A *21777:A1 0.000319441 +31 *19828:A *21777:A2 0.000631335 +32 *19828:A *21777:C1 2.70735e-05 +33 *19828:A *1794:67 1.03594e-05 +34 *19828:A *2793:17 0.000203595 +35 *19828:A *2862:11 0.000966795 +36 *19828:A *3058:8 0.000158452 +37 *19828:A *3175:87 4.15826e-05 +38 *19872:B *2862:11 2.95884e-05 +39 *20048:A *1502:129 0.000129056 +40 *20048:A *1537:12 0.000129056 +41 *1442:13 *1485:230 0.000980414 +42 *1442:13 *1515:133 0.00111 +43 *1442:13 *1691:17 5.20315e-05 +44 *1442:13 *1757:57 0.000307037 +45 *1442:14 *1698:129 0.000512575 +46 *1442:14 *2951:28 8.66526e-05 +47 *1442:14 *3002:8 0.000260826 +48 *1442:21 *1698:129 6.71354e-05 +49 *1442:21 *3002:8 5.99373e-05 +50 *1442:30 *19976:B 0.000444674 +51 *1442:30 *1448:180 0.000924047 +52 *1442:30 *1678:35 1.15389e-05 +53 *1442:30 *1678:37 1.41976e-05 +54 *1442:30 *1691:17 0.000105599 +55 *1442:30 *1698:130 0.000892265 +56 *1442:30 *1730:20 0.000107496 +57 *1442:30 *1735:67 0.000190586 +58 *1442:30 *1735:175 7.39264e-05 +59 *1442:39 *21864:C1 0.000279749 +60 *1442:39 *1537:9 0 +61 *1442:39 *1537:267 0 +62 *1442:39 *1698:117 0.000119321 +63 *1442:39 *1698:129 5.01835e-05 +64 *1442:39 *1706:31 0.000460331 +65 *1442:39 *1715:89 0.00163743 +66 *1442:39 *1804:17 8.55934e-06 +67 *1442:39 *2700:26 0.000251739 +68 *1442:39 *2999:26 0.00254076 +69 *1442:39 *3002:8 4.44699e-05 +70 *1442:41 *1801:38 0 +71 *1442:41 *2999:26 0.00113453 +72 *1442:55 *19779:A 0 +73 *1442:55 *19928:A3 0.000111722 +74 *1442:55 *20369:A 0.000152743 +75 *1442:55 *20373:A2 1.36556e-05 +76 *1442:55 *21863:B1 3.66058e-05 +77 *1442:55 *1683:17 1.9101e-05 +78 *1442:55 *1801:38 0 +79 *1442:55 *2627:22 0 +80 *1442:55 *2836:8 0.000419415 +81 *1442:55 *2948:25 0.00017747 +82 *1442:55 *2948:27 9.97714e-06 +83 *1442:55 *2999:20 1.5714e-05 +84 *1442:55 *2999:26 0.000268209 +85 *1442:55 *5563:45 1.62993e-06 +86 *1442:63 *20452:A1 5.04829e-06 +87 *1442:63 *1818:48 0.000109427 +88 *1442:63 *2274:30 0.00136712 +89 *1442:63 *2274:38 7.92757e-06 +90 *1442:63 *2836:8 0.00137563 +91 *1442:73 *20047:A 2.1032e-05 +92 *1442:73 *20452:A1 2.53347e-05 +93 *1442:73 *21788:A2 0.00031575 +94 *1442:73 *1715:48 0.000223406 +95 *1442:73 *2274:30 7.07853e-05 +96 *1442:73 *2802:15 3.38674e-05 +97 *1442:73 *2803:61 6.39068e-05 +98 *1442:73 *2804:7 6.63489e-05 +99 *1442:73 *2836:8 6.24356e-05 +100 *1442:74 *1461:249 0.00290339 +101 *1442:74 *1471:200 4.65265e-05 +102 *1442:74 *1471:213 0.000611163 +103 *1442:74 *1729:72 0.000881434 +104 *1442:74 *2624:54 0.000999964 +105 *1442:74 *2844:56 0.000259402 +106 *1442:74 *3002:42 6.91372e-05 +107 *1442:74 *3373:33 0.000715655 +108 *1442:74 *4863:157 4.83562e-06 +109 *1442:90 *20486:B1 0.000107496 +110 *1442:90 *2523:52 0.000259147 +111 *1442:90 *2531:38 5.25556e-05 +112 *1442:90 *2531:42 0.000801126 +113 *1442:90 *2624:54 0.000890935 +114 *1442:90 *5681:7 0.000110297 +115 *1442:90 *5851:83 0.000189635 +116 *1442:90 *5869:625 0.00017042 +117 *1442:90 *5869:636 0.000279378 +118 *1442:97 *19817:B 3.77699e-05 +119 *1442:97 *20488:B1 0.00065138 +120 *1442:97 *20488:B2 2.77564e-05 +121 *1442:97 *24919:A 5.36586e-05 +122 *1442:97 *1575:7 6.08467e-05 +123 *1442:97 *1733:26 0.000352095 +124 *1442:97 *2709:5 6.08467e-05 +125 *1442:97 *4849:33 8.12793e-05 +126 *1442:108 *22471:A1 4.66492e-05 +127 *1442:108 *1575:7 0.000190903 +128 *1442:108 *1575:119 0.000271773 +129 *1442:108 *1744:179 0.000147736 +130 *1442:108 *2709:5 0.00091131 +131 *1442:108 *2709:14 0.000151147 +132 *1442:146 *19624:A2 5.27948e-05 +133 *1442:146 *21769:A 4.58897e-06 +134 *1442:146 *21927:B1 6.21488e-06 +135 *1442:146 *24057:A1 1.74918e-05 +136 *1442:146 *24894:CLK 5.17665e-05 +137 *1442:146 *1764:31 0.000377398 +138 *1442:146 *1764:102 1.94906e-05 +139 *1442:146 *1764:113 0.000277406 +140 *1442:146 *2785:14 0.00118634 +141 *1442:146 *2844:16 0.000938087 +142 *1442:146 *2964:35 4.31122e-06 +143 *1442:146 *3177:148 2.13874e-05 +144 *1442:146 *4926:89 1.06015e-06 +145 *19596:A *1442:13 6.08467e-05 +146 *19872:A *19828:A 3.09279e-05 +147 *19872:A *19872:B 6.3657e-05 +148 *24633:D *1442:73 0.000116947 +149 *24894:D *1442:146 0.000112551 +150 *1436:63 *1442:13 6.50727e-05 +151 *1439:290 *19636:A 1.07248e-05 +152 *1439:297 *19636:A 0.000156137 +153 *1439:318 *19636:A 0.00056514 +154 *1439:333 *1442:146 0 +*RES +1 *19596:X *1442:13 49.1483 +2 *1442:13 *1442:14 10.9675 +3 *1442:14 *19597:B 13.7491 +4 *1442:14 *1442:21 1.41674 +5 *1442:21 *1442:30 41.4236 +6 *1442:30 *19976:A 9.24915 +7 *1442:21 *1442:39 9.75263 +8 *1442:39 *1442:41 1.61793 +9 *1442:41 *1442:55 30.5532 +10 *1442:55 *1442:63 41.1099 +11 *1442:63 *1442:73 26.1709 +12 *1442:73 *1442:74 69.5181 +13 *1442:74 *1442:90 43.3327 +14 *1442:90 *1442:97 24.3323 +15 *1442:97 *19817:A 9.24915 +16 *1442:97 *1442:108 23.3386 +17 *1442:108 *19872:B 12.0704 +18 *1442:108 *19828:A 37.0781 +19 *1442:55 *19928:A1 9.24915 +20 *1442:41 *1442:146 41.7127 +21 *1442:146 *19636:A 40.997 +22 *1442:39 *20048:A 19.5728 +*END + +*D_NET *1443 0.117153 +*CONN +*I *19598:B I *D sky130_fd_sc_hd__or2_2 +*I *6085:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6147:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21776:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19955:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21926:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19597:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *19598:B 4.14936e-05 +2 *6085:DIODE 5.56756e-05 +3 *6147:DIODE 0.000282425 +4 *21776:B2 3.65259e-05 +5 *19955:A2 5.0318e-05 +6 *21926:A2 0 +7 *19597:X 0.000163269 +8 *1443:88 0.00603434 +9 *1443:60 0.00688509 +10 *1443:49 0.0228597 +11 *1443:18 0.0114415 +12 *1443:9 0.00119805 +13 *6085:DIODE *4839:139 5.20546e-06 +14 *6147:DIODE *21617:C1 0.000298399 +15 *6147:DIODE *3461:24 8.94611e-05 +16 *6147:DIODE *3476:98 8.26976e-05 +17 *19598:B *4839:139 3.75603e-05 +18 *19955:A2 *22800:B1 2.16355e-05 +19 *21776:B2 *21776:B1 0.000113968 +20 *21776:B2 *2792:27 4.73169e-05 +21 *21776:B2 *3461:24 1.5714e-05 +22 *21776:B2 *3476:98 1.11715e-05 +23 *1443:9 *21926:B1 6.11872e-05 +24 *1443:9 *21926:B2 5.0715e-05 +25 *1443:9 *21939:B1 0.000315541 +26 *1443:9 *21946:B 0.000120282 +27 *1443:9 *1515:133 0.000146343 +28 *1443:9 *1691:17 1.1246e-05 +29 *1443:18 *19922:A 0.000151619 +30 *1443:18 *19922:B 5.88657e-05 +31 *1443:18 *19949:B 0.000442558 +32 *1443:18 *21864:B2 5.26029e-05 +33 *1443:18 *21926:B2 5.24081e-05 +34 *1443:18 *21939:B1 0.000472964 +35 *1443:18 *21969:A1 0.000111722 +36 *1443:18 *21969:A2 0.000134813 +37 *1443:18 *21969:C1 3.04443e-05 +38 *1443:18 *1510:64 0.000908465 +39 *1443:18 *1677:64 1.41853e-05 +40 *1443:18 *1706:21 1.96574e-05 +41 *1443:18 *1709:87 9.01968e-05 +42 *1443:18 *1771:24 0.000169299 +43 *1443:18 *1804:33 0.000463972 +44 *1443:18 *2740:27 1.14175e-05 +45 *1443:18 *2983:11 0.000404547 +46 *1443:49 *21531:C1 9.94025e-05 +47 *1443:49 *21657:A1_N 0.00586508 +48 *1443:49 *21702:A1 0.000164473 +49 *1443:49 *21894:B1 0.00196675 +50 *1443:49 *21995:D 4.35912e-05 +51 *1443:49 *1637:42 0 +52 *1443:49 *1645:49 0.00173088 +53 *1443:49 *1651:29 0.000421824 +54 *1443:49 *1651:52 0.00121081 +55 *1443:49 *1663:21 0.00170266 +56 *1443:49 *1800:249 0.000719478 +57 *1443:49 *1804:33 1.04747e-05 +58 *1443:49 *1807:20 2.83462e-05 +59 *1443:49 *2338:41 0 +60 *1443:49 *2368:19 0.000157671 +61 *1443:49 *2380:84 0.000118192 +62 *1443:49 *2421:125 5.41034e-05 +63 *1443:49 *2429:25 2.69702e-06 +64 *1443:49 *2529:19 0.000364982 +65 *1443:49 *2545:67 0.000812195 +66 *1443:49 *2635:80 7.57641e-05 +67 *1443:49 *2675:68 0.000116212 +68 *1443:49 *2738:14 0.00339298 +69 *1443:49 *2749:38 8.12259e-06 +70 *1443:49 *2763:20 0 +71 *1443:49 *2800:25 0.00446815 +72 *1443:49 *2809:24 0 +73 *1443:49 *3063:29 4.96191e-05 +74 *1443:49 *3502:21 0.00053112 +75 *1443:49 *3719:44 0.000621242 +76 *1443:49 *4807:28 0.000211482 +77 *1443:49 *4808:135 0.000160624 +78 *1443:49 *5563:45 1.98448e-05 +79 *1443:60 *21443:B 1.25445e-05 +80 *1443:60 *21658:A1 0.000320432 +81 *1443:60 *21659:A1 0.00105033 +82 *1443:60 *22689:B 0.000283435 +83 *1443:60 *1564:62 0.000315597 +84 *1443:60 *1573:141 3.74499e-06 +85 *1443:60 *1620:31 6.04636e-05 +86 *1443:60 *1631:34 0.00517917 +87 *1443:60 *1631:57 0.000359066 +88 *1443:60 *1658:242 0.000174778 +89 *1443:60 *1688:91 4.13035e-06 +90 *1443:60 *1717:76 3.33292e-05 +91 *1443:60 *2093:51 0.000917951 +92 *1443:60 *2093:68 0.000102291 +93 *1443:60 *2304:58 9.77822e-05 +94 *1443:60 *2338:72 3.58081e-05 +95 *1443:60 *3019:23 5.86296e-05 +96 *1443:60 *3122:88 3.21156e-06 +97 *1443:60 *3198:154 0.000362594 +98 *1443:60 *3493:15 0.000133663 +99 *1443:60 *3509:175 0.000140567 +100 *1443:60 *3653:8 9.39114e-06 +101 *1443:60 *4817:120 4.02278e-05 +102 *1443:60 *4826:117 0.000149572 +103 *1443:60 *4827:79 0.00348279 +104 *1443:60 *4833:130 0.00260761 +105 *1443:60 *4836:21 0.000387494 +106 *1443:60 *5454:15 0.00282559 +107 *1443:60 *5592:70 0.0055456 +108 *1443:88 *1658:132 0 +109 *1443:88 *2441:63 0.000157668 +110 *1443:88 *2545:67 0.003557 +111 *1443:88 *2738:14 0.00923331 +112 *1443:88 *2809:24 0 +113 *1443:88 *2950:76 0 +114 *1443:88 *4826:68 1.9101e-05 +115 *1443:88 *4839:139 4.22136e-05 +116 *477:15 *1443:88 1.5714e-05 +117 *480:183 *19598:B 0 +118 *494:58 *1443:18 4.25398e-05 +119 *494:58 *1443:49 2.39437e-05 +120 *1439:128 *1443:49 0.000168683 +*RES +1 *19597:X *1443:9 24.8233 +2 *1443:9 *21926:A2 9.24915 +3 *1443:9 *1443:18 41.5634 +4 *1443:18 *19955:A2 14.4725 +5 *1443:18 *1443:49 40.462 +6 *1443:49 *1443:60 40.6455 +7 *1443:60 *21776:B2 15.4058 +8 *1443:60 *6147:DIODE 19.2852 +9 *1443:49 *1443:88 34.22 +10 *1443:88 *6085:DIODE 9.97254 +11 *1443:88 *19598:B 10.6477 +*END + +*D_NET *1444 0.00802266 +*CONN +*I *19602:S I *D sky130_fd_sc_hd__mux2_1 +*I *19599:S I *D sky130_fd_sc_hd__mux2_1 +*I *21135:S I *D sky130_fd_sc_hd__mux2_1 +*I *19598:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *19602:S 0.000892285 +2 *19599:S 0.00011247 +3 *21135:S 0.000185052 +4 *19598:X 0.000407217 +5 *1444:17 0.001442 +6 *1444:6 0.00102952 +7 *19599:S *19599:A0 0.000160617 +8 *19599:S *19599:A1 2.53399e-05 +9 *19599:S *1629:18 4.0752e-05 +10 *19602:S *21924:A 7.22095e-06 +11 *19602:S *24903:RESET_B 9.73862e-06 +12 *19602:S *4834:30 0.000225191 +13 *19602:S *4839:153 7.70447e-05 +14 *19602:S *5028:11 7.86825e-06 +15 *19602:S *5865:418 0 +16 *19602:S *5865:427 0 +17 *19602:S *5865:436 0 +18 *19602:S *5921:99 1.79807e-05 +19 *21135:S *21135:A1 1.43832e-05 +20 *21135:S *21136:A 0.000205687 +21 *21135:S *4838:331 0.000350108 +22 *1444:6 *21609:A 0.000211821 +23 *1444:6 *2319:17 0.000237642 +24 *1444:6 *2433:20 1.5471e-05 +25 *1444:6 *4826:68 0.000308775 +26 *1444:6 *4834:30 0.00019554 +27 *1444:6 *5855:187 9.80507e-05 +28 *1444:17 *19600:A 0.000127194 +29 *1444:17 *21609:A 9.43419e-05 +30 *1444:17 *1629:18 0.000456207 +31 *1444:17 *2319:17 0.000845212 +32 *1444:17 *4834:30 0.000219148 +33 *1444:17 *5865:409 0 +34 *490:61 *21135:S 2.77625e-06 +*RES +1 *19598:X *1444:6 30.5302 +2 *1444:6 *21135:S 19.4881 +3 *1444:6 *1444:17 19.2726 +4 *1444:17 *19599:S 17.2697 +5 *1444:17 *19602:S 31.5918 +*END + +*D_NET *1445 0.000945092 +*CONN +*I *19600:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19599:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19600:A 0.000342828 +2 *19599:X 0.000342828 +3 *19600:A *2319:9 5.04829e-06 +4 *19600:A *5026:9 0.000127194 +5 *1444:17 *19600:A 0.000127194 +*RES +1 *19599:X *19600:A 35.4604 +*END + +*D_NET *1446 0.00154752 +*CONN +*I *19603:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19602:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19603:A 0.000214201 +2 *19602:X 0.000214201 +3 *19603:A *20731:B1 0.000168023 +4 *19603:A *21924:A 1.07248e-05 +5 *19603:A *2263:22 0.000275058 +6 *19603:A *2263:30 1.76791e-05 +7 *19603:A *2360:13 0.000266846 +8 *19603:A *4835:28 0.000331029 +9 *19603:A *5714:35 4.97617e-05 +*RES +1 *19602:X *19603:A 38.0884 +*END + +*D_NET *1447 0.00126062 +*CONN +*I *19605:A I *D sky130_fd_sc_hd__buf_8 +*I *19604:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19605:A 0.00027222 +2 *19604:X 0.00027222 +3 *19575:A *19605:A 0.000107496 +4 *19576:A *19605:A 0.000159498 +5 *19587:C *19605:A 0.000131616 +6 *19588:A *19605:A 2.54369e-05 +7 *470:33 *19605:A 0.000161234 +8 *470:49 *19605:A 2.34052e-05 +9 *472:33 *19605:A 0.000107496 +*RES +1 *19604:X *19605:A 28.3606 +*END + +*D_NET *1448 0.07503 +*CONN +*I *20020:A I *D sky130_fd_sc_hd__or2_4 +*I *19976:B I *D sky130_fd_sc_hd__or2_4 +*I *19973:A I *D sky130_fd_sc_hd__or2_4 +*I *19954:B I *D sky130_fd_sc_hd__or2_4 +*I *20008:B I *D sky130_fd_sc_hd__or2_4 +*I *19968:A I *D sky130_fd_sc_hd__or2_4 +*I *19951:A I *D sky130_fd_sc_hd__or2_4 +*I *20028:A I *D sky130_fd_sc_hd__or2_4 +*I *19607:A I *D sky130_fd_sc_hd__or2_4 +*I *19911:A I *D sky130_fd_sc_hd__or2_4 +*I *19983:A I *D sky130_fd_sc_hd__or2_4 +*I *19934:A I *D sky130_fd_sc_hd__or2_4 +*I *19932:A I *D sky130_fd_sc_hd__or2_4 +*I *20001:A I *D sky130_fd_sc_hd__or2_4 +*I *20006:A I *D sky130_fd_sc_hd__or2_4 +*I *19620:A I *D sky130_fd_sc_hd__or2_4 +*I *19605:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *20020:A 0 +2 *19976:B 0.000201151 +3 *19973:A 0 +4 *19954:B 1.60672e-05 +5 *20008:B 1.56972e-05 +6 *19968:A 0.000571012 +7 *19951:A 0.000141507 +8 *20028:A 0.000128534 +9 *19607:A 0.000136927 +10 *19911:A 0 +11 *19983:A 0.000983787 +12 *19934:A 2.84217e-05 +13 *19932:A 7.06328e-05 +14 *20001:A 0 +15 *20006:A 0.000599725 +16 *19620:A 0 +17 *19605:X 0 +18 *1448:250 0.00150164 +19 *1448:232 0.00283225 +20 *1448:222 0.00337813 +21 *1448:221 0.00244636 +22 *1448:213 0.00161782 +23 *1448:180 0.00103815 +24 *1448:119 0.000382142 +25 *1448:97 0.00159564 +26 *1448:96 0.000902943 +27 *1448:92 0.000367096 +28 *1448:79 0.000452063 +29 *1448:73 0.000484389 +30 *1448:64 0.00120263 +31 *1448:59 0.000755625 +32 *1448:56 0.00101048 +33 *1448:52 0.0025692 +34 *1448:47 0.00263821 +35 *1448:19 0.00119887 +36 *1448:8 0.00128713 +37 *1448:4 0.00113541 +38 *19607:A *19674:B 9.32983e-05 +39 *19607:A *1449:27 6.50586e-05 +40 *19607:A *1669:15 0.000154145 +41 *19607:A *1701:54 3.57548e-05 +42 *19932:A *19932:B 8.18707e-05 +43 *19932:A *1496:16 0 +44 *19932:A *1749:8 0.000158357 +45 *19934:A *1544:13 0.000122378 +46 *19934:A *1740:14 6.92705e-05 +47 *19951:A *19951:B 0.000211949 +48 *19951:A *1471:40 0.000207266 +49 *19951:A *1563:7 0.000211492 +50 *19954:B *1744:7 9.97706e-05 +51 *19968:A *19985:B 2.43314e-05 +52 *19968:A *1449:27 2.81931e-05 +53 *19968:A *1459:147 1.58551e-05 +54 *19968:A *1459:150 6.08467e-05 +55 *19968:A *1496:48 0.000138635 +56 *19968:A *1725:17 6.87762e-05 +57 *19968:A *1754:10 1.55463e-05 +58 *19968:A *1780:11 6.99503e-05 +59 *19976:B *1678:35 0.000357884 +60 *19976:B *1698:130 5.1493e-06 +61 *19976:B *1730:8 0.000161691 +62 *19976:B *1730:75 4.42033e-05 +63 *19976:B *1735:175 2.61012e-05 +64 *19983:A *19983:B 4.80635e-06 +65 *19983:A *1485:50 7.62219e-05 +66 *19983:A *1740:14 0.00115392 +67 *20006:A *19620:B 0.000150632 +68 *20006:A *20003:A2 0.000160617 +69 *20006:A *20682:A 0.000107496 +70 *20006:A *1639:25 6.50727e-05 +71 *20006:A *1698:54 0.000203979 +72 *20006:A *2036:7 9.5562e-05 +73 *20006:A *2469:35 0.000180657 +74 *20006:A *2514:16 0.000183139 +75 *20006:A *2810:5 6.23875e-05 +76 *20008:B *1759:13 0.000108503 +77 *20028:A *21203:B 0.000107496 +78 *20028:A *1563:22 0.000487161 +79 *20028:A *2580:17 0.000586932 +80 *1448:8 *19745:A 0.000331897 +81 *1448:8 *1502:11 3.14733e-05 +82 *1448:8 *1502:129 0.000385691 +83 *1448:8 *1520:17 0 +84 *1448:8 *1947:215 0.000168149 +85 *1448:8 *2707:8 3.71404e-05 +86 *1448:8 *5851:207 3.46165e-05 +87 *1448:19 *19620:B 0.000111708 +88 *1448:19 *20001:B 1.55501e-05 +89 *1448:19 *20003:A2 0.000158738 +90 *1448:19 *21228:B 0.000122378 +91 *1448:19 *1455:5 2.63642e-05 +92 *1448:19 *1455:13 0.00104356 +93 *1448:19 *1455:14 1.77537e-06 +94 *1448:19 *1455:49 3.71858e-05 +95 *1448:19 *1466:16 1.33883e-05 +96 *1448:19 *1467:8 6.50586e-05 +97 *1448:19 *2707:8 2.14842e-06 +98 *1448:19 *2971:32 5.65573e-05 +99 *1448:47 *20249:A 0.000550967 +100 *1448:47 *1698:117 0.00022753 +101 *1448:47 *2994:12 0.000232035 +102 *1448:47 *5858:494 0.000559672 +103 *1448:52 *21927:B1 0.00182501 +104 *1448:52 *21938:B1 0.000109522 +105 *1448:52 *1454:35 9.59618e-06 +106 *1448:52 *1466:58 0.000231981 +107 *1448:52 *1537:267 0.000130174 +108 *1448:52 *1804:17 0.000186486 +109 *1448:52 *2036:44 0.000258169 +110 *1448:52 *2950:125 5.18863e-05 +111 *1448:52 *5452:11 5.81141e-05 +112 *1448:56 *20585:B2 1.5714e-05 +113 *1448:56 *1689:47 7.88576e-05 +114 *1448:56 *1691:17 0.000801617 +115 *1448:56 *1698:129 0.000148282 +116 *1448:56 *1744:7 0.000890428 +117 *1448:56 *3003:12 0.000362954 +118 *1448:56 *4844:339 6.64047e-05 +119 *1448:59 *1802:175 0.00032693 +120 *1448:64 *20010:B 6.87762e-05 +121 *1448:64 *1449:45 0 +122 *1448:64 *1459:147 5.20545e-05 +123 *1448:64 *1466:84 0 +124 *1448:64 *1802:175 0.000258049 +125 *1448:73 *1459:147 0.000111708 +126 *1448:73 *1471:10 0.000194611 +127 *1448:73 *1686:8 5.25994e-05 +128 *1448:73 *1689:35 0.000152665 +129 *1448:79 *1471:10 3.31882e-05 +130 *1448:79 *1510:16 1.9101e-05 +131 *1448:79 *1587:10 0.000178171 +132 *1448:79 *1686:8 1.1246e-05 +133 *1448:79 *1689:35 0.000275343 +134 *1448:92 *1686:8 4.58097e-05 +135 *1448:92 *1689:28 7.24909e-05 +136 *1448:92 *1689:35 0.000140757 +137 *1448:96 *1689:28 0.000133113 +138 *1448:97 *20002:B 7.98425e-06 +139 *1448:97 *1544:13 3.58044e-05 +140 *1448:97 *2274:22 0.00017005 +141 *1448:97 *5830:41 0.000260388 +142 *1448:119 *19911:B 0.000113968 +143 *1448:119 *1701:54 1.68848e-05 +144 *1448:180 *21927:C1 5.88009e-05 +145 *1448:180 *1691:17 0.000249145 +146 *1448:180 *1698:129 0.000306437 +147 *1448:180 *1716:68 0.000253635 +148 *1448:180 *1730:8 5.15415e-05 +149 *1448:180 *3002:8 6.41524e-05 +150 *1448:180 *3400:8 2.75175e-05 +151 *1448:213 *20372:A1 0.000251655 +152 *1448:213 *20373:A1 0.00028283 +153 *1448:213 *20373:A2 0.000169041 +154 *1448:213 *20373:B1 2.29406e-05 +155 *1448:213 *1466:58 0.000812069 +156 *1448:213 *1521:75 0.000328737 +157 *1448:213 *1537:267 0.000104269 +158 *1448:213 *1677:10 0.000262354 +159 *1448:213 *1698:117 4.74531e-05 +160 *1448:213 *1714:25 0.00153221 +161 *1448:213 *1801:49 1.65872e-05 +162 *1448:213 *1804:17 7.48998e-06 +163 *1448:213 *1936:22 0.000168314 +164 *1448:213 *1947:215 8.10016e-06 +165 *1448:213 *2036:44 0.000100087 +166 *1448:213 *2939:35 0.000411815 +167 *1448:213 *2994:12 4.33721e-05 +168 *1448:213 *4832:118 5.38612e-06 +169 *1448:213 *5856:232 0.000111708 +170 *1448:221 *20371:B1 0.000108776 +171 *1448:221 *20372:B2 7.39264e-05 +172 *1448:221 *1784:18 0.000521095 +173 *1448:221 *1937:43 0.000107496 +174 *1448:221 *3089:10 0 +175 *1448:221 *4905:16 1.38521e-05 +176 *1448:221 *4905:33 5.83474e-05 +177 *1448:222 *20448:B2 0.0001195 +178 *1448:222 *20449:B2 4.49767e-05 +179 *1448:222 *24476:CLK 0.000192842 +180 *1448:222 *24610:RESET_B 0.000165084 +181 *1448:222 *24997:A 0.000106246 +182 *1448:222 *1732:74 0.00188347 +183 *1448:222 *1763:14 7.48292e-05 +184 *1448:222 *1763:43 0.00101298 +185 *1448:222 *1962:8 0.00038026 +186 *1448:222 *1962:10 0.00032115 +187 *1448:222 *2563:8 0.000860476 +188 *1448:222 *2563:17 2.09693e-05 +189 *1448:222 *3089:12 8.66691e-05 +190 *1448:222 *4797:45 1.3813e-05 +191 *1448:222 *4863:136 0.00102699 +192 *1448:222 *4863:145 0.000224829 +193 *1448:222 *5674:34 1.38521e-05 +194 *1448:222 *5854:139 0.00149725 +195 *1448:232 *20565:A1 9.054e-05 +196 *1448:232 *20565:B1 0.000105996 +197 *1448:232 *20572:A1 0.000279013 +198 *1448:232 *21984:A 0.000333113 +199 *1448:232 *1668:85 0.000933051 +200 *1448:232 *1763:43 0.000352091 +201 *1448:232 *1795:44 1.10565e-05 +202 *1448:232 *2616:34 0.000742031 +203 *1448:232 *3089:18 6.57953e-05 +204 *1448:232 *4797:38 0.000116821 +205 *1448:232 *4797:45 8.60849e-05 +206 *1448:232 *4855:13 0.000389378 +207 *1448:232 *5851:95 0.000453647 +208 *1448:232 *5856:183 0.000281634 +209 *1448:250 *20415:B 0.000993304 +210 *1448:250 *20417:A 7.50156e-05 +211 *1448:250 *1454:59 4.40272e-05 +212 *1448:250 *1762:86 0.000267705 +213 *1448:250 *1947:157 0.000538117 +214 *1448:250 *2522:16 0.00120245 +215 *1448:250 *2536:28 2.74688e-05 +216 *1448:250 *2593:47 0.0002136 +217 *1448:250 *2709:14 4.40272e-05 +218 *1448:250 *2710:36 0.000207892 +219 *1448:250 *2753:21 1.47685e-05 +220 *1448:250 *4852:11 3.93117e-06 +221 *1448:250 *4927:138 4.69495e-06 +222 *19630:A *1448:64 4.30017e-06 +223 *21228:A *1448:19 3.99674e-05 +224 *24662:D *1448:221 0.000149628 +225 *466:17 *1448:19 0.000120195 +226 *1427:153 *19954:B 6.50727e-05 +227 *1427:153 *1448:56 0.000200794 +228 *1427:190 *19954:B 4.88955e-05 +229 *1428:14 *1448:96 0.000133113 +230 *1428:47 *1448:92 4.08323e-05 +231 *1428:47 *1448:96 7.09666e-06 +232 *1438:9 *20008:B 0.000108503 +233 *1438:14 *1448:59 6.7798e-05 +234 *1438:29 *1448:59 4.80856e-05 +235 *1442:30 *19976:B 0.000444674 +236 *1442:30 *1448:180 0.000924047 +*RES +1 *19605:X *1448:4 9.24915 +2 *1448:4 *1448:8 25.7417 +3 *1448:8 *1448:19 23.7831 +4 *1448:19 *19620:A 9.24915 +5 *1448:19 *20006:A 35.9577 +6 *1448:8 *20001:A 13.7491 +7 *1448:4 *1448:47 19.598 +8 *1448:47 *1448:52 10.612 +9 *1448:52 *1448:56 30.8099 +10 *1448:56 *1448:59 11.315 +11 *1448:59 *1448:64 14.2596 +12 *1448:64 *1448:73 18.8572 +13 *1448:73 *1448:79 7.71881 +14 *1448:79 *19932:A 16.1605 +15 *1448:79 *1448:92 5.05631 +16 *1448:92 *1448:96 7.1625 +17 *1448:96 *1448:97 13.4793 +18 *1448:97 *19934:A 11.1059 +19 *1448:97 *19983:A 26.5142 +20 *1448:96 *1448:119 5.18434 +21 *1448:119 *19911:A 9.24915 +22 *1448:119 *19607:A 13.3002 +23 *1448:92 *20028:A 20.5732 +24 *1448:73 *19951:A 19.1023 +25 *1448:64 *19968:A 28.8984 +26 *1448:59 *20008:B 14.9608 +27 *1448:56 *19954:B 10.5271 +28 *1448:52 *1448:180 25.367 +29 *1448:180 *19973:A 13.7491 +30 *1448:180 *19976:B 22.1237 +31 *1448:47 *1448:213 48.6103 +32 *1448:213 *1448:221 26.7182 +33 *1448:221 *1448:222 83.0138 +34 *1448:222 *1448:232 45.9124 +35 *1448:232 *1448:250 42.6669 +36 *1448:250 *20020:A 9.24915 +*END + +*D_NET *1449 0.0304286 +*CONN +*I *19922:A I *D sky130_fd_sc_hd__or2_4 +*I *19858:A I *D sky130_fd_sc_hd__or2_4 +*I *19862:B I *D sky130_fd_sc_hd__or2_4 +*I *19887:A I *D sky130_fd_sc_hd__or2_4 +*I *19674:B I *D sky130_fd_sc_hd__or2_2 +*I *19607:B I *D sky130_fd_sc_hd__or2_4 +*I *19606:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19922:A 0.000230548 +2 *19858:A 0.000132952 +3 *19862:B 2.60774e-05 +4 *19887:A 0.000359121 +5 *19674:B 0.000412434 +6 *19607:B 0 +7 *19606:X 0.000450281 +8 *1449:85 0.00176344 +9 *1449:84 0.00289164 +10 *1449:59 0.00345748 +11 *1449:45 0.00312627 +12 *1449:27 0.00112381 +13 *1449:12 0.00224625 +14 *19674:B *1669:15 3.31745e-05 +15 *19674:B *1784:194 0.000232527 +16 *19674:B *2587:16 0.000233951 +17 *19858:A *21592:A 2.57847e-05 +18 *19858:A *1771:47 0.000144942 +19 *19858:A *4851:9 5.173e-05 +20 *19862:B *21450:A2 0.000107496 +21 *19862:B *2502:59 0.000107496 +22 *19887:A *21850:A2 1.03403e-05 +23 *19887:A *22767:A1 0.000353686 +24 *19887:A *1641:38 4.03381e-05 +25 *19887:A *1645:15 6.08467e-05 +26 *19887:A *2746:17 6.7671e-06 +27 *19887:A *2746:55 4.65396e-05 +28 *19922:A *19922:B 5.88657e-05 +29 *19922:A *1706:21 0.000162677 +30 *1449:12 *20010:B 0 +31 *1449:12 *1459:18 4.8729e-05 +32 *1449:27 *1459:147 0.00035144 +33 *1449:27 *1496:16 0.000242119 +34 *1449:27 *1496:31 0.000137143 +35 *1449:27 *1496:48 0.000160384 +36 *1449:27 *1510:30 4.82656e-05 +37 *1449:27 *1587:10 0.000446985 +38 *1449:27 *1689:13 0.000100477 +39 *1449:27 *1725:17 0.000260411 +40 *1449:27 *1754:10 0.00010703 +41 *1449:27 *2858:23 0.00029735 +42 *1449:27 *2936:16 0.000300932 +43 *1449:45 *20010:B 0 +44 *1449:45 *1466:84 0.000136376 +45 *1449:45 *1563:46 0.000198681 +46 *1449:45 *1563:72 8.11463e-06 +47 *1449:45 *1686:8 0.000109026 +48 *1449:45 *1768:27 5.01835e-05 +49 *1449:45 *1792:16 0.000763042 +50 *1449:45 *1801:16 9.58239e-05 +51 *1449:45 *5073:10 0.0001699 +52 *1449:59 *19806:B 8.11083e-05 +53 *1449:59 *21351:B1 0.000291649 +54 *1449:59 *21864:C1 6.88661e-06 +55 *1449:59 *1510:64 0.000169535 +56 *1449:59 *1510:99 0.000157706 +57 *1449:59 *1563:72 0 +58 *1449:59 *1563:81 9.29435e-05 +59 *1449:59 *1678:69 1.05992e-06 +60 *1449:59 *1708:34 0 +61 *1449:59 *1708:49 0 +62 *1449:59 *1708:70 0 +63 *1449:59 *1766:67 0.000303094 +64 *1449:59 *1804:37 0 +65 *1449:59 *3432:17 6.14729e-05 +66 *1449:59 *5452:11 4.31122e-06 +67 *1449:84 *22480:A2 0.000226297 +68 *1449:84 *22768:A1 8.52347e-05 +69 *1449:84 *22768:C1 0.000538648 +70 *1449:84 *22769:C1 0.000345048 +71 *1449:84 *1800:249 0.000203756 +72 *1449:84 *2586:61 3.22279e-05 +73 *1449:84 *2746:55 9.69294e-06 +74 *1449:84 *2915:19 0.000207911 +75 *1449:84 *3185:30 9.96222e-05 +76 *1449:84 *3491:70 0.000204518 +77 *1449:84 *3491:75 0.000205101 +78 *1449:84 *4854:6 5.94319e-06 +79 *1449:85 *21909:C1 1.47967e-05 +80 *1449:85 *22761:A 7.94462e-05 +81 *1449:85 *22804:A2 0.000245021 +82 *1449:85 *22805:A1 0.000439939 +83 *1449:85 *22805:C1 9.66954e-05 +84 *1449:85 *1662:102 0.000541373 +85 *1449:85 *1771:31 0.000112089 +86 *1449:85 *1771:47 0.000563388 +87 *1449:85 *2922:58 4.13992e-05 +88 *1449:85 *3142:58 0.000354425 +89 *1449:85 *3142:63 0.000289739 +90 *1449:85 *3185:36 0.000344527 +91 *1449:85 *3185:53 0.000129228 +92 *1449:85 *3491:70 1.50389e-06 +93 *1449:85 *4851:9 0.000136942 +94 *1449:85 *4854:6 0.000120757 +95 *19607:A *19674:B 9.32983e-05 +96 *19607:A *1449:27 6.50586e-05 +97 *19652:A *1449:12 1.58551e-05 +98 *19805:A *1449:12 4.13408e-05 +99 *19805:B *1449:12 0.000139947 +100 *19805:C *1449:12 8.62625e-06 +101 *19968:A *1449:27 2.81931e-05 +102 *462:64 *1449:12 0.000154145 +103 *545:23 *1449:84 1.66771e-05 +104 *1428:8 *1449:12 0.000184429 +105 *1428:8 *1449:45 0.00074288 +106 *1438:14 *1449:45 1.15862e-05 +107 *1443:18 *19922:A 0.000151619 +108 *1448:64 *1449:45 0 +*RES +1 *19606:X *1449:12 23.1668 +2 *1449:12 *1449:27 48.6955 +3 *1449:27 *19607:B 9.24915 +4 *1449:27 *19674:B 29.0109 +5 *1449:12 *1449:45 31.1073 +6 *1449:45 *1449:59 22.4877 +7 *1449:59 *19887:A 18.3157 +8 *1449:59 *1449:84 48.3184 +9 *1449:84 *1449:85 45.8487 +10 *1449:85 *19862:B 15.0271 +11 *1449:85 *19858:A 17.5503 +12 *1449:45 *19922:A 20.0668 +*END + +*D_NET *1450 0.107039 +*CONN +*I *21359:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21309:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21814:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21234:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19608:B I *D sky130_fd_sc_hd__or2_1 +*I *21742:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21524:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *19980:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21963:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19607:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21359:B2 1.83391e-05 +2 *21309:B2 0.00101362 +3 *21814:A2 0.00222138 +4 *21234:B2 0.000275794 +5 *19608:B 0 +6 *21742:A2 0.000790935 +7 *21524:B2 0.000352213 +8 *19980:B2 0.000876986 +9 *21963:A2 0 +10 *19607:X 0.000101281 +11 *1450:188 0.00380673 +12 *1450:177 0.00103848 +13 *1450:176 0.00290228 +14 *1450:164 0.00437588 +15 *1450:152 0.0028951 +16 *1450:145 0.00217969 +17 *1450:136 0.00204395 +18 *1450:132 0.00164227 +19 *1450:126 0.00178774 +20 *1450:122 0.0022124 +21 *1450:113 0.00346863 +22 *1450:102 0.00314855 +23 *1450:80 0.000895047 +24 *1450:79 0.00136452 +25 *1450:48 0.00238743 +26 *1450:20 0.00299751 +27 *1450:10 0.00363033 +28 *19980:B2 *19980:B1 6.50586e-05 +29 *19980:B2 *21969:A1 0.000719492 +30 *19980:B2 *21969:B1 1.17096e-05 +31 *19980:B2 *1510:45 3.49097e-05 +32 *19980:B2 *1710:8 0.000116721 +33 *19980:B2 *1710:18 0.000481625 +34 *19980:B2 *1730:23 2.07503e-05 +35 *19980:B2 *1730:43 3.41459e-05 +36 *19980:B2 *1790:19 1.91391e-05 +37 *19980:B2 *1807:20 1.91246e-05 +38 *19980:B2 *1808:11 6.08467e-05 +39 *19980:B2 *2586:59 4.04289e-05 +40 *19980:B2 *2587:18 9.92046e-06 +41 *19980:B2 *2744:23 1.41976e-05 +42 *19980:B2 *2858:27 6.84784e-06 +43 *19980:B2 *3208:21 8.96982e-05 +44 *19980:B2 *4821:41 6.22259e-05 +45 *21234:B2 *1492:121 0.000154145 +46 *21234:B2 *2528:41 0.000733338 +47 *21234:B2 *2675:51 0.000926407 +48 *21234:B2 *2981:24 0.000157366 +49 *21309:B2 *21236:D 8.09106e-06 +50 *21309:B2 *21273:B2 0.000192299 +51 *21309:B2 *21279:B1 0.00128067 +52 *21309:B2 *21309:B1 1.91391e-05 +53 *21309:B2 *21630:B1 0.000104754 +54 *21309:B2 *1592:115 8.12259e-06 +55 *21309:B2 *2990:62 0.00016198 +56 *21309:B2 *3327:8 1.91391e-05 +57 *21309:B2 *4822:80 0.00195898 +58 *21359:B2 *21359:A1 2.53145e-06 +59 *21359:B2 *21359:A2 0 +60 *21359:B2 *21359:B1 9.95922e-06 +61 *21524:B2 *21037:B2 6.17779e-05 +62 *21524:B2 *21524:A1 6.36477e-05 +63 *21524:B2 *21524:A2 5.15165e-05 +64 *21524:B2 *2181:24 0.000175483 +65 *21524:B2 *2967:14 0 +66 *21524:B2 *4918:15 5.038e-05 +67 *21742:A2 *19614:A1 9.76996e-05 +68 *21742:A2 *2577:26 3.64237e-05 +69 *21742:A2 *2577:28 9.73797e-06 +70 *21742:A2 *2907:6 0.000264648 +71 *21742:A2 *4830:90 0.000816532 +72 *21742:A2 *5854:409 0.000110276 +73 *21814:A2 *21279:B1 0.00117627 +74 *21814:A2 *21814:A1 0.00110288 +75 *21814:A2 *21814:B2 3.95516e-05 +76 *21814:A2 *22623:B1 0.000202933 +77 *21814:A2 *1614:55 0.000298399 +78 *21814:A2 *1627:49 3.09374e-06 +79 *21814:A2 *2093:98 0.00032727 +80 *21814:A2 *2825:57 0.000636549 +81 *21814:A2 *2827:49 0.000104754 +82 *21814:A2 *2887:66 0.000396795 +83 *21814:A2 *2908:29 0.000932271 +84 *21814:A2 *3072:20 0.000473264 +85 *21814:A2 *3500:152 0.000321847 +86 *1450:10 *1669:15 6.39068e-05 +87 *1450:10 *2399:6 4.51619e-05 +88 *1450:10 *2740:23 1.51658e-05 +89 *1450:20 *1461:109 6.21488e-06 +90 *1450:20 *1510:45 7.13655e-06 +91 *1450:20 *1651:29 6.55666e-06 +92 *1450:20 *1691:44 1.10565e-05 +93 *1450:20 *1710:8 2.27135e-05 +94 *1450:20 *1710:18 4.15873e-06 +95 *1450:20 *2734:24 0.00270572 +96 *1450:20 *5452:11 5.76913e-05 +97 *1450:48 *1461:109 1.70794e-05 +98 *1450:48 *1669:15 1.70326e-05 +99 *1450:48 *1669:76 2.1134e-05 +100 *1450:48 *1669:94 3.83316e-06 +101 *1450:48 *1691:44 7.10787e-05 +102 *1450:48 *1740:36 1.03996e-05 +103 *1450:48 *1780:15 0 +104 *1450:48 *2441:72 0 +105 *1450:48 *2581:18 4.62612e-05 +106 *1450:48 *2973:23 3.49777e-05 +107 *1450:79 *21037:B2 0.000111038 +108 *1450:79 *1453:7 0.000560953 +109 *1450:79 *1671:21 0.000207266 +110 *1450:79 *1691:63 0.000132837 +111 *1450:79 *1691:145 0.00134697 +112 *1450:79 *2270:128 0.000597201 +113 *1450:79 *2270:133 0.000373255 +114 *1450:79 *2967:14 0 +115 *1450:79 *5854:466 5.41377e-05 +116 *1450:79 *5857:338 5.05252e-05 +117 *1450:80 *2577:28 8.92568e-06 +118 *1450:80 *2907:6 0.000185166 +119 *1450:102 *1452:32 0.000156571 +120 *1450:102 *2577:28 0.000116553 +121 *1450:102 *2907:6 0.00114654 +122 *1450:113 *20518:A1 7.15656e-05 +123 *1450:113 *20519:A2 0.000143795 +124 *1450:113 *24980:A 4.31539e-05 +125 *1450:113 *1982:46 1.65872e-05 +126 *1450:113 *1983:49 0.000258128 +127 *1450:113 *2100:7 4.79321e-06 +128 *1450:113 *2528:41 0.000929446 +129 *1450:113 *2675:51 0.000266846 +130 *1450:113 *2896:7 0.00350397 +131 *1450:113 *5857:267 0.000131829 +132 *1450:113 *5944:44 0.00144893 +133 *1450:122 *1741:90 8.62625e-06 +134 *1450:122 *2471:28 5.38037e-05 +135 *1450:122 *2896:7 8.29362e-06 +136 *1450:122 *3091:10 0.0002187 +137 *1450:122 *5857:189 0 +138 *1450:122 *5857:196 0 +139 *1450:122 *5953:8 7.51378e-06 +140 *1450:122 *5953:32 1.48548e-05 +141 *1450:126 *20170:A1 0 +142 *1450:126 *21784:A 0 +143 *1450:126 *1864:42 7.80562e-05 +144 *1450:126 *1864:46 6.05244e-05 +145 *1450:126 *1865:38 2.82537e-05 +146 *1450:126 *1865:50 0.000509743 +147 *1450:126 *1865:59 0.000379728 +148 *1450:126 *1865:70 0.000302764 +149 *1450:126 *3091:10 0.000660791 +150 *1450:126 *4833:70 0.000383791 +151 *1450:132 *24811:CLK 0.000160829 +152 *1450:132 *1742:76 0.000596997 +153 *1450:132 *2256:14 0.000174048 +154 *1450:132 *3052:13 0.000111082 +155 *1450:132 *5859:408 0.00114457 +156 *1450:136 *1557:96 8.93334e-05 +157 *1450:136 *1742:76 0.000154495 +158 *1450:136 *2415:22 0.00132466 +159 *1450:136 *4806:81 0.000223106 +160 *1450:136 *5391:10 0.000291452 +161 *1450:145 *1899:16 0.000788331 +162 *1450:145 *2244:19 0.000133572 +163 *1450:145 *2282:78 3.81208e-05 +164 *1450:145 *2333:38 1.29348e-05 +165 *1450:145 *2635:80 0.00113444 +166 *1450:145 *4909:10 0.000195908 +167 *1450:145 *4909:12 0.000151758 +168 *1450:145 *4909:16 0.000511155 +169 *1450:152 *21194:B1 0.000102893 +170 *1450:152 *1899:16 0.000779854 +171 *1450:152 *1899:20 0.000693298 +172 *1450:152 *2333:38 0.00183079 +173 *1450:152 *4979:11 0.00017823 +174 *1450:164 *21585:A 4.56831e-05 +175 *1450:164 *2203:50 0.000575654 +176 *1450:164 *2203:59 1.66771e-05 +177 *1450:164 *2415:32 0.00072688 +178 *1450:164 *2468:79 4.26375e-05 +179 *1450:164 *2570:80 1.46662e-05 +180 *1450:164 *2642:14 3.72251e-05 +181 *1450:164 *2906:56 8.79081e-06 +182 *1450:164 *3076:28 1.81789e-05 +183 *1450:164 *4811:18 9.3512e-05 +184 *1450:164 *5862:259 3.81082e-05 +185 *1450:164 *5862:267 0.000216182 +186 *1450:176 *21247:B1 0.000527592 +187 *1450:176 *21248:A2 2.2883e-05 +188 *1450:176 *21393:A 0.000391697 +189 *1450:176 *21403:C1 0.000121724 +190 *1450:176 *22386:A1 5.60804e-05 +191 *1450:176 *22672:A1 0.000120384 +192 *1450:176 *1539:18 0.00229431 +193 *1450:176 *1649:143 3.63914e-05 +194 *1450:176 *1649:162 3.91e-05 +195 *1450:176 *2258:64 5.49916e-05 +196 *1450:176 *2301:45 0.000201845 +197 *1450:176 *2307:19 0.000780631 +198 *1450:176 *2453:7 3.51032e-05 +199 *1450:176 *3177:288 5.97745e-05 +200 *1450:176 *5068:15 0.000629249 +201 *1450:177 *21359:A1 0.000156905 +202 *1450:177 *21359:A2 3.73375e-05 +203 *1450:177 *21359:B1 9.49264e-05 +204 *1450:177 *21443:D 0.000202007 +205 *1450:177 *1545:92 0.000396003 +206 *1450:177 *1545:118 0.000586906 +207 *1450:177 *2418:38 0.000168398 +208 *1450:188 *21359:A2 9.68221e-05 +209 *1450:188 *21359:B1 1.03403e-05 +210 *1450:188 *1645:94 0.000309586 +211 *1450:188 *1802:143 0.000313813 +212 *1450:188 *2880:45 0.000307618 +213 *1450:188 *2990:62 0.000171193 +214 *1450:188 *4822:80 0.000177247 +215 *19608:A *1450:80 0.000169845 +216 *19608:A *1450:102 4.00504e-05 +217 *19993:A *1450:48 1.18786e-05 +218 *24272:D *1450:164 3.28416e-06 +219 *24366:D *1450:122 4.35394e-05 +220 *24561:D *1450:113 0.000300565 +221 *24786:D *1450:126 1.43955e-05 +222 *24790:D *1450:126 0.000171167 +223 *324:27 *1450:188 0.000301048 +224 *460:84 *1450:122 0.000610422 +225 *460:84 *1450:126 4.67794e-05 +226 *482:45 *1450:164 0.000538117 +227 *490:114 *1450:176 0.00062834 +228 *516:25 *21234:B2 0.000153754 +229 *516:25 *1450:79 0.000143758 +230 *531:41 *1450:136 8.26315e-05 +231 *537:29 *1450:164 0.00045297 +232 *1419:37 *1450:102 0.000230192 +233 *1419:62 *1450:48 0.000163327 +234 *1424:156 *1450:48 1.12254e-05 +235 *1439:128 *1450:136 0.000390418 +*RES +1 *19607:X *1450:10 19.7444 +2 *1450:10 *1450:20 10.5569 +3 *1450:20 *21963:A2 13.7491 +4 *1450:20 *19980:B2 47.2941 +5 *1450:10 *1450:48 6.47828 +6 *1450:48 *21524:B2 22.9805 +7 *1450:48 *1450:79 46.3894 +8 *1450:79 *1450:80 3.90826 +9 *1450:80 *21742:A2 31.7562 +10 *1450:80 *19608:B 13.7491 +11 *1450:79 *1450:102 26.6794 +12 *1450:102 *21234:B2 32.0332 +13 *1450:102 *1450:113 71.4354 +14 *1450:113 *1450:122 34.4581 +15 *1450:122 *1450:126 40.175 +16 *1450:126 *1450:132 30.4491 +17 *1450:132 *1450:136 38.514 +18 *1450:136 *1450:145 40.5233 +19 *1450:145 *1450:152 43.9856 +20 *1450:152 *1450:164 49.4728 +21 *1450:164 *1450:176 43.028 +22 *1450:176 *1450:177 16.2523 +23 *1450:177 *1450:188 17.6636 +24 *1450:188 *21814:A2 43.6848 +25 *1450:188 *21309:B2 22.6604 +26 *1450:177 *21359:B2 9.82786 +*END + +*D_NET *1451 0.000895323 +*CONN +*I *19609:A I *D sky130_fd_sc_hd__buf_2 +*I *19608:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19609:A 0.000234905 +2 *19608:X 0.000234905 +3 *19609:A *19615:B1 0 +4 *19609:A *19615:B2 5.04829e-06 +5 *19609:A *1701:39 0.000393899 +6 *19608:A *19609:A 2.65667e-05 +*RES +1 *19608:X *19609:A 25.25 +*END + +*D_NET *1452 0.015305 +*CONN +*I *19615:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19610:A I *D sky130_fd_sc_hd__inv_2 +*I *19613:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19617:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19612:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19611:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19616:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19618:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19614:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19609:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *19615:A2 0.000247181 +2 *19610:A 0 +3 *19613:A2 9.12821e-05 +4 *19617:A2 0.000322247 +5 *19612:A2 2.60774e-05 +6 *19611:A2 5.19477e-05 +7 *19616:A2 7.47798e-05 +8 *19618:A2 8.9134e-05 +9 *19614:A2 0.000210487 +10 *19609:X 0 +11 *1452:60 0.000601755 +12 *1452:51 0.000668456 +13 *1452:42 0.00075184 +14 *1452:32 0.000579206 +15 *1452:21 0.000448355 +16 *1452:18 0.000498933 +17 *1452:5 0.000361706 +18 *19611:A2 *19611:A1 0.000158357 +19 *19611:A2 *2420:39 3.024e-05 +20 *19612:A2 *19612:A1 0.000107496 +21 *19612:A2 *24927:A 0.000107496 +22 *19613:A2 *2424:8 0.000223001 +23 *19614:A2 *19614:A1 0.000253345 +24 *19614:A2 *2427:14 0.00029105 +25 *19614:A2 *2760:10 0.0002646 +26 *19614:A2 *2907:6 0.000286208 +27 *19615:A2 *19615:B2 4.66492e-05 +28 *19615:A2 *1453:7 6.08467e-05 +29 *19615:A2 *1691:145 0.000381912 +30 *19615:A2 *2270:133 5.37805e-05 +31 *19615:A2 *2609:10 5.89872e-05 +32 *19615:A2 *5860:544 3.5472e-05 +33 *19616:A2 *19616:B1 6.08467e-05 +34 *19616:A2 *21397:C 9.31436e-05 +35 *19616:A2 *1725:270 0.000304777 +36 *19617:A2 *19617:A1 5.97411e-05 +37 *19617:A2 *19617:B2 3.58531e-05 +38 *19617:A2 *21961:A 4.05771e-05 +39 *19617:A2 *24900:CLK 0.000213725 +40 *19617:A2 *2596:11 0.000553199 +41 *19617:A2 *2949:13 6.10199e-05 +42 *19617:A2 *2975:5 0.000205006 +43 *19617:A2 *5168:13 0.000123966 +44 *19618:A2 *24979:A 0.000529889 +45 *19618:A2 *5860:544 0.000529889 +46 *1452:18 *1453:7 0.000200794 +47 *1452:18 *1691:145 0.00020502 +48 *1452:18 *2427:14 0.000213708 +49 *1452:18 *2907:6 0.00021922 +50 *1452:21 *2577:28 0.000199991 +51 *1452:32 *2577:28 0.000514803 +52 *1452:42 *21378:A2 2.43314e-05 +53 *1452:42 *21397:C 0.0002165 +54 *1452:42 *1725:270 0.000546741 +55 *1452:42 *2424:8 0.000197354 +56 *1452:51 *1741:105 0.000131169 +57 *1452:51 *2424:8 0.00083767 +58 *1452:51 *4844:317 5.05252e-05 +59 *1452:60 *2424:8 0.000309547 +60 *1452:60 *4844:317 0.000176008 +61 *19608:A *1452:21 8.25814e-05 +62 *24900:D *19613:A2 0.000184261 +63 *1419:37 *1452:21 0.000124506 +64 *1419:37 *1452:32 0.000109859 +65 *1428:54 *19613:A2 2.07325e-05 +66 *1428:54 *1452:42 6.86658e-05 +67 *1428:54 *1452:51 0.000267332 +68 *1428:54 *1452:60 5.26022e-05 +69 *1450:102 *1452:32 0.000156571 +*RES +1 *19609:X *1452:5 13.7491 +2 *1452:5 *19614:A2 22.2602 +3 *1452:5 *1452:18 11.2107 +4 *1452:18 *1452:21 8.40826 +5 *1452:21 *19618:A2 19.7413 +6 *1452:21 *1452:32 14.2218 +7 *1452:32 *19616:A2 12.7456 +8 *1452:32 *1452:42 14.6777 +9 *1452:42 *19611:A2 15.5817 +10 *1452:42 *1452:51 16.3658 +11 *1452:51 *19612:A2 15.0271 +12 *1452:51 *1452:60 6.39977 +13 *1452:60 *19617:A2 26.1916 +14 *1452:60 *19613:A2 18.0727 +15 *1452:18 *19610:A 9.24915 +16 *1452:18 *19615:A2 25.9325 +*END + +*D_NET *1453 0.0139717 +*CONN +*I *19615:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19613:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19617:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19612:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19611:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19616:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19618:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19614:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19610:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19615:B2 0.000181768 +2 *19613:B2 0.000379082 +3 *19617:B2 4.77189e-05 +4 *19612:B2 0.000158677 +5 *19611:B2 0.000300143 +6 *19616:B2 0 +7 *19618:B2 6.1514e-05 +8 *19614:B2 0.000279818 +9 *19610:Y 0 +10 *1453:60 0.000717181 +11 *1453:51 0.000890846 +12 *1453:40 0.000866267 +13 *1453:31 0.000417272 +14 *1453:15 0.000500284 +15 *1453:7 0.000600235 +16 *1453:4 0.000356351 +17 *19611:B2 *24897:RESET_B 1.91114e-05 +18 *19611:B2 *24897:CLK 2.16355e-05 +19 *19611:B2 *2420:39 5.04829e-06 +20 *19611:B2 *2581:30 2.11195e-05 +21 *19611:B2 *5169:7 0.000201214 +22 *19612:B2 *24927:A 0.000700245 +23 *19612:B2 *4844:324 0.000278068 +24 *19613:B2 *1557:22 0.000163964 +25 *19613:B2 *1680:52 0.000597616 +26 *19613:B2 *2581:39 6.32133e-05 +27 *19613:B2 *2609:24 5.68793e-05 +28 *19613:B2 *3076:67 8.03036e-05 +29 *19615:B2 *1701:39 5.04829e-06 +30 *19615:B2 *2270:133 2.16355e-05 +31 *19615:B2 *2577:28 0.000170083 +32 *19615:B2 *2675:46 0.0001665 +33 *19615:B2 *5854:414 1.80122e-05 +34 *19617:B2 *19617:A1 1.41976e-05 +35 *19617:B2 *19617:B1 0.000115934 +36 *19617:B2 *2975:5 5.99691e-05 +37 *19617:B2 *2975:33 7.34948e-06 +38 *19618:B2 *2441:72 2.54507e-05 +39 *19618:B2 *2443:17 0.000143431 +40 *1453:7 *1691:145 4.73434e-05 +41 *1453:7 *2270:133 0.00011864 +42 *1453:15 *19618:A1 1.91246e-05 +43 *1453:15 *21384:C1 8.73244e-06 +44 *1453:15 *1669:113 0 +45 *1453:31 *19618:A1 0.000211698 +46 *1453:31 *21397:C 6.73351e-05 +47 *1453:31 *1669:129 0 +48 *1453:31 *1725:270 0.000164843 +49 *1453:31 *1744:13 7.76918e-05 +50 *1453:40 *21397:C 2.41483e-05 +51 *1453:40 *1725:270 6.50586e-05 +52 *1453:40 *1744:13 6.67152e-05 +53 *1453:40 *4919:49 4.70104e-05 +54 *1453:40 *4919:53 0.000124297 +55 *1453:51 *1744:13 0.000147628 +56 *1453:51 *2609:24 0.000169993 +57 *1453:51 *4919:47 0.000692001 +58 *1453:51 *4919:49 0.000200595 +59 *1453:51 *5864:11 4.79575e-05 +60 *1453:51 *5864:16 9.24241e-05 +61 *1453:60 *1742:60 2.01503e-05 +62 *1453:60 *2581:39 7.41867e-05 +63 *1453:60 *2609:24 0.000133522 +64 *1453:60 *4919:47 0.00013689 +65 *19609:A *19615:B2 5.04829e-06 +66 *19615:A2 *19615:B2 4.66492e-05 +67 *19615:A2 *1453:7 6.08467e-05 +68 *19617:A2 *19617:B2 3.58531e-05 +69 *24896:D *19617:B2 1.41689e-05 +70 *516:25 *1453:7 0.000113968 +71 *516:26 *19614:B2 0.000213052 +72 *516:26 *1453:15 1.09738e-05 +73 *522:18 *1453:31 2.60879e-06 +74 *522:24 *19614:B2 0.000541347 +75 *522:24 *19618:B2 1.91246e-05 +76 *522:24 *1453:15 0.000172484 +77 *522:24 *1453:31 0.000479991 +78 *549:24 *19618:B2 2.26985e-05 +79 *1450:79 *1453:7 0.000560953 +80 *1452:18 *1453:7 0.000200794 +*RES +1 *19610:Y *1453:4 9.24915 +2 *1453:4 *1453:7 12.4332 +3 *1453:7 *19614:B2 23.8862 +4 *1453:7 *1453:15 3.70063 +5 *1453:15 *19618:B2 21.0682 +6 *1453:15 *1453:31 15.8468 +7 *1453:31 *19616:B2 9.24915 +8 *1453:31 *1453:40 8.7164 +9 *1453:40 *19611:B2 21.7065 +10 *1453:40 *1453:51 16.7811 +11 *1453:51 *19612:B2 21.6824 +12 *1453:51 *1453:60 7.23027 +13 *1453:60 *19617:B2 16.1605 +14 *1453:60 *19613:B2 26.2846 +15 *1453:4 *19615:B2 23.7435 +*END + +*D_NET *1454 0.0453343 +*CONN +*I *19795:A I *D sky130_fd_sc_hd__or2_4 +*I *20038:A I *D sky130_fd_sc_hd__or2_4 +*I *21776:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19803:A I *D sky130_fd_sc_hd__or2_4 +*I *19904:B I *D sky130_fd_sc_hd__or2_4 +*I *19718:B I *D sky130_fd_sc_hd__or2_4 +*I *19620:B I *D sky130_fd_sc_hd__or2_4 +*I *19619:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19795:A 0.00101953 +2 *20038:A 0 +3 *21776:A1 0 +4 *19803:A 6.21009e-05 +5 *19904:B 0 +6 *19718:B 0 +7 *19620:B 0.000108267 +8 *19619:X 0 +9 *1454:104 0.000759764 +10 *1454:75 0.000805766 +11 *1454:74 0.00100709 +12 *1454:62 0.00107546 +13 *1454:59 0.00265878 +14 *1454:58 0.00265876 +15 *1454:55 0.00036621 +16 *1454:46 0.00242441 +17 *1454:38 0.00527696 +18 *1454:35 0.00356502 +19 *1454:11 0.000634692 +20 *1454:4 0.00194448 +21 *19620:B *20003:A2 4.33655e-05 +22 *19620:B *1455:5 0.000158357 +23 *19795:A *19795:B 0.000344954 +24 *19795:A *19979:A1 1.23804e-05 +25 *19795:A *22779:D 0.00012883 +26 *19795:A *1688:117 1.2856e-05 +27 *19795:A *1732:92 0.000477575 +28 *19795:A *1733:127 0.000126934 +29 *19795:A *1766:82 9.64899e-05 +30 *19795:A *2697:65 8.58658e-05 +31 *19795:A *2718:8 0.00043685 +32 *19795:A *2999:38 0.000634952 +33 *19795:A *3206:33 5.04829e-06 +34 *19803:A *19803:B 0 +35 *19803:A *4849:33 0.000110297 +36 *1454:11 *20003:A2 0.00037185 +37 *1454:35 *19987:A 3.82289e-06 +38 *1454:35 *21938:B1 6.01477e-05 +39 *1454:35 *22446:B1 8.45896e-06 +40 *1454:35 *1461:67 1.99996e-05 +41 *1454:35 *1466:8 1.91246e-05 +42 *1454:35 *1604:11 0 +43 *1454:35 *1739:41 1.67637e-05 +44 *1454:35 *1792:97 0.000949456 +45 *1454:35 *1804:17 5.60804e-05 +46 *1454:35 *2947:20 0.000834242 +47 *1454:38 *1688:117 0.000177146 +48 *1454:38 *2732:47 0 +49 *1454:38 *2950:125 0 +50 *1454:38 *3421:17 3.46242e-06 +51 *1454:46 *20612:A2 0 +52 *1454:46 *21683:A1 0.000171286 +53 *1454:46 *21683:C1 2.09155e-05 +54 *1454:46 *22779:D 0.000231497 +55 *1454:46 *1541:128 1.38817e-05 +56 *1454:46 *1688:117 6.37452e-05 +57 *1454:46 *1761:42 0.000673301 +58 *1454:46 *1761:56 6.22259e-05 +59 *1454:46 *1766:82 0.000186491 +60 *1454:46 *2514:37 0.000113261 +61 *1454:46 *2703:60 0.000138551 +62 *1454:46 *2713:38 2.48859e-05 +63 *1454:46 *2994:29 0.000119002 +64 *1454:46 *3148:253 0.000348563 +65 *1454:46 *3208:72 2.0249e-05 +66 *1454:46 *3421:17 5.76913e-05 +67 *1454:46 *3671:83 0.000151147 +68 *1454:55 *1761:40 0.000351702 +69 *1454:55 *1761:42 4.23384e-05 +70 *1454:55 *3148:253 0.000449206 +71 *1454:58 *1677:157 0.000161167 +72 *1454:58 *1677:163 0.000110297 +73 *1454:58 *1723:7 0.00025175 +74 *1454:59 *19836:A 2.11965e-05 +75 *1454:59 *21516:A 0.000225748 +76 *1454:59 *21542:B1 0.000217616 +77 *1454:59 *1501:96 0.000459075 +78 *1454:59 *1631:10 0.000510028 +79 *1454:59 *1722:20 0.00051511 +80 *1454:59 *1744:179 3.20544e-05 +81 *1454:59 *1761:8 0.000130937 +82 *1454:59 *1761:17 0.000425716 +83 *1454:59 *1775:12 0.000172903 +84 *1454:59 *1797:20 0.000191017 +85 *1454:59 *2514:45 0.000442133 +86 *1454:59 *2597:29 0.000120469 +87 *1454:59 *2598:12 0.000454258 +88 *1454:59 *2599:20 7.21868e-05 +89 *1454:59 *2709:14 0.000152121 +90 *1454:59 *2709:24 1.3807e-05 +91 *1454:59 *2979:20 0.000361581 +92 *1454:59 *3106:100 0.000482897 +93 *1454:59 *5128:8 9.75356e-05 +94 *1454:74 *19867:B 2.07503e-05 +95 *1454:74 *22483:A1 3.07133e-05 +96 *1454:74 *22483:B1 1.88152e-05 +97 *1454:74 *22483:B2 0.000487796 +98 *1454:74 *1586:11 0.0019536 +99 *1454:74 *1604:100 0.000145324 +100 *1454:74 *1794:73 5.39247e-05 +101 *1454:74 *2792:27 0.000203535 +102 *1454:74 *4849:23 4.79321e-06 +103 *1454:74 *4849:33 7.01539e-05 +104 *1454:74 *5592:40 0.000247443 +105 *1454:104 *21776:B1 0.000294396 +106 *1454:104 *21776:C1 8.00582e-05 +107 *1454:104 *22781:B1 9.77934e-05 +108 *1454:104 *22784:A2 0.00019046 +109 *1454:104 *1542:34 1.45891e-05 +110 *1454:104 *1544:151 0.000345048 +111 *1454:104 *1586:11 1.1718e-05 +112 *1454:104 *2661:30 5.0191e-05 +113 *1454:104 *2791:50 1.15085e-05 +114 *1454:104 *2792:27 6.14086e-05 +115 *1454:104 *3476:98 5.73797e-06 +116 *1454:104 *4849:23 1.41976e-05 +117 *19619:A *1454:11 0.000107496 +118 *19619:B *1454:11 1.92172e-05 +119 *19639:C *1454:11 9.32983e-05 +120 *19639:C *1454:35 4.47134e-05 +121 *19639:D *1454:35 0.000316185 +122 *19705:C *1454:35 2.66117e-05 +123 *19718:A *1454:11 0.000164815 +124 *19846:B *1454:35 0.000180911 +125 *19872:A *1454:74 2.29454e-05 +126 *20006:A *19620:B 0.000150632 +127 *24500:D *1454:59 0.000113003 +128 *464:19 *1454:11 5.51483e-06 +129 *464:20 *1454:35 9.17656e-06 +130 *466:44 *1454:35 8.62625e-06 +131 *466:52 *1454:35 2.53624e-06 +132 *516:19 *19795:A 1.57386e-05 +133 *1426:29 *1454:35 5.13937e-05 +134 *1427:116 *1454:104 3.29488e-05 +135 *1435:205 *1454:74 0.000965067 +136 *1448:19 *19620:B 0.000111708 +137 *1448:52 *1454:35 9.59618e-06 +138 *1448:250 *1454:59 4.40272e-05 +*RES +1 *19619:X *1454:4 9.24915 +2 *1454:4 *1454:11 13.1417 +3 *1454:11 *19620:B 13.3002 +4 *1454:11 *19718:B 9.24915 +5 *1454:4 *1454:35 46.8972 +6 *1454:35 *1454:38 5.11352 +7 *1454:38 *1454:46 31.9163 +8 *1454:46 *19904:B 13.7491 +9 *1454:46 *1454:55 14.2218 +10 *1454:55 *1454:58 7.44181 +11 *1454:58 *1454:59 76.1621 +12 *1454:59 *1454:62 5.778 +13 *1454:62 *19803:A 11.5158 +14 *1454:62 *1454:74 44.358 +15 *1454:74 *1454:75 57.9449 +16 *1454:75 *1454:104 44.7329 +17 *1454:104 *21776:A1 9.24915 +18 *1454:55 *20038:A 9.24915 +19 *1454:38 *19795:A 36.164 +*END + +*D_NET *1455 0.0885875 +*CONN +*I *20003:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21671:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21548:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21771:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19621:B I *D sky130_fd_sc_hd__or2_1 +*I *21933:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19620:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20003:A2 0.000375093 +2 *21671:A2 0 +3 *21548:A2 0.000818757 +4 *21771:A2 1.98947e-05 +5 *19621:B 0.000254167 +6 *21933:B2 0.00134648 +7 *19620:X 0.00013991 +8 *1455:131 0.000446114 +9 *1455:130 7.10213e-05 +10 *1455:108 0.00130129 +11 *1455:105 0.00347118 +12 *1455:104 0.00334842 +13 *1455:99 0.00321704 +14 *1455:85 0.00451462 +15 *1455:82 0.00232693 +16 *1455:74 0.00263093 +17 *1455:73 0.00343928 +18 *1455:65 0.00522567 +19 *1455:64 0.00372785 +20 *1455:62 9.76293e-05 +21 *1455:61 9.76293e-05 +22 *1455:59 0.00234553 +23 *1455:49 0.00255291 +24 *1455:14 0.00245656 +25 *1455:13 0.00187252 +26 *1455:5 0.00094914 +27 *19621:B *19622:A 8.68455e-05 +28 *19621:B *1502:42 0 +29 *19621:B *1668:47 3.024e-05 +30 *19621:B *1698:81 1.00103e-05 +31 *19621:B *1783:100 3.60933e-06 +32 *19621:B *5481:19 0.000307653 +33 *20003:A2 *1757:37 4.49912e-05 +34 *20003:A2 *1792:37 9.17372e-06 +35 *20003:A2 *2732:29 0.000135973 +36 *21548:A2 *1554:17 0.000100741 +37 *21548:A2 *1658:53 0.00058046 +38 *21548:A2 *1689:181 0.000251885 +39 *21548:A2 *2605:13 3.31745e-05 +40 *21548:A2 *2672:40 9.36441e-05 +41 *21771:A2 *1554:17 2.16355e-05 +42 *21771:A2 *2750:18 6.08467e-05 +43 *21933:B2 *21933:B1 4.31337e-05 +44 *21933:B2 *21933:C1 0.00050655 +45 *21933:B2 *21989:A 0.000360159 +46 *21933:B2 *2010:23 0.000107496 +47 *21933:B2 *2295:8 0.000288752 +48 *21933:B2 *2704:10 0.000292214 +49 *21933:B2 *2942:15 0.000464119 +50 *1455:13 *21228:B 3.09964e-05 +51 *1455:13 *2295:7 6.08467e-05 +52 *1455:14 *2295:8 0.00189337 +53 *1455:14 *2704:10 0.00172207 +54 *1455:14 *2704:15 1.5254e-05 +55 *1455:14 *2719:16 0.000156355 +56 *1455:49 *20001:B 9.95922e-06 +57 *1455:49 *21671:A1 1.92172e-05 +58 *1455:49 *1461:39 3.20979e-05 +59 *1455:49 *1757:8 8.31075e-05 +60 *1455:49 *2702:11 2.65831e-05 +61 *1455:49 *2719:16 0.000165035 +62 *1455:59 *20012:B2 0.000115103 +63 *1455:59 *21671:B1 7.12632e-06 +64 *1455:59 *21671:B2 7.09666e-06 +65 *1455:59 *22102:A2 2.86664e-05 +66 *1455:59 *24057:A0 0.000163465 +67 *1455:59 *24449:CLK 5.19758e-05 +68 *1455:59 *1698:62 1.37385e-05 +69 *1455:59 *1768:14 1.22756e-05 +70 *1455:59 *2702:18 0.000103738 +71 *1455:59 *2704:31 1.15098e-05 +72 *1455:59 *3089:7 0.000154145 +73 *1455:59 *3177:130 0.00174024 +74 *1455:65 *20056:A 0.000470823 +75 *1455:65 *20127:A 1.61631e-05 +76 *1455:65 *22102:A2 0.000286661 +77 *1455:65 *24204:CLK 7.92757e-06 +78 *1455:65 *1845:151 1.4085e-05 +79 *1455:65 *1845:153 0.000112084 +80 *1455:65 *2704:39 0.00233835 +81 *1455:73 *24828:CLK_N 0.000323586 +82 *1455:73 *1524:10 7.14746e-05 +83 *1455:73 *1756:40 7.50722e-05 +84 *1455:73 *2715:24 0.000158357 +85 *1455:74 *21369:A 0 +86 *1455:74 *24831:CLK_N 9.19015e-05 +87 *1455:74 *1690:68 1.2276e-05 +88 *1455:74 *1690:72 0.000996752 +89 *1455:74 *2632:28 0.00245661 +90 *1455:74 *2864:52 0.00136467 +91 *1455:74 *3060:28 0.00139643 +92 *1455:74 *4870:60 8.53351e-05 +93 *1455:74 *4870:69 0.000314149 +94 *1455:74 *5454:28 0.00317599 +95 *1455:74 *5785:16 0 +96 *1455:74 *5785:24 0.000134961 +97 *1455:82 *23354:B 0.000119354 +98 *1455:82 *23475:B 8.91086e-05 +99 *1455:82 *3860:131 0.000349931 +100 *1455:82 *3860:138 0.000364753 +101 *1455:82 *3902:60 0.000321786 +102 *1455:82 *5455:24 0.000118219 +103 *1455:82 *5674:11 0.00025175 +104 *1455:82 *5927:48 3.74883e-05 +105 *1455:85 *3002:51 0.00076489 +106 *1455:85 *3829:143 0.000234748 +107 *1455:85 *3829:147 0.00102023 +108 *1455:85 *3829:157 0.000188093 +109 *1455:85 *3842:204 0.000247443 +110 *1455:85 *3902:57 3.89186e-05 +111 *1455:85 *4126:209 0.000937652 +112 *1455:99 *23042:B 0 +113 *1455:99 *23162:A 0.000287409 +114 *1455:99 *23165:B1 3.4123e-05 +115 *1455:99 *1786:65 0.000143083 +116 *1455:99 *2603:69 3.87111e-05 +117 *1455:99 *2784:42 0 +118 *1455:99 *3671:57 2.75453e-05 +119 *1455:99 *3999:53 1.19856e-05 +120 *1455:99 *3999:57 5.61389e-05 +121 *1455:99 *3999:61 4.97617e-05 +122 *1455:99 *4012:10 0.000233174 +123 *1455:99 *4012:12 7.65861e-05 +124 *1455:99 *4650:6 7.21753e-05 +125 *1455:99 *4676:6 0.000301498 +126 *1455:99 *4803:12 0.000478728 +127 *1455:99 *5671:100 0.000474807 +128 *1455:99 *5680:30 0.000154145 +129 *1455:104 *1707:43 0.000117093 +130 *1455:104 *1763:43 0.000901125 +131 *1455:104 *1997:10 8.62625e-06 +132 *1455:104 *1997:31 0.000809209 +133 *1455:104 *1997:40 9.25365e-05 +134 *1455:105 *20021:B1 0.000298304 +135 *1455:105 *20483:A 0.00126266 +136 *1455:105 *1740:125 5.13151e-05 +137 *1455:105 *1772:22 8.51503e-05 +138 *1455:105 *1972:7 2.8182e-06 +139 *1455:105 *2603:74 2.74453e-05 +140 *1455:105 *5851:84 0.00104416 +141 *1455:105 *5851:95 0.000107496 +142 *1455:108 *22478:B1 0.000118216 +143 *1455:108 *1554:17 4.63742e-05 +144 *1455:108 *2672:40 0.000593084 +145 *1455:108 *3134:72 7.81381e-05 +146 *19619:B *20003:A2 9.55447e-05 +147 *19620:B *20003:A2 4.33655e-05 +148 *19620:B *1455:5 0.000158357 +149 *19621:A *19621:B 4.27667e-05 +150 *19718:A *20003:A2 0.000164815 +151 *20006:A *20003:A2 0.000160617 +152 *21228:A *1455:13 2.15184e-05 +153 *24204:D *1455:65 0.000146356 +154 *25003:A *1455:82 1.82679e-05 +155 *25010:A *1455:74 0 +156 *466:17 *20003:A2 0.00102852 +157 *466:17 *1455:13 2.42273e-05 +158 *466:25 *20003:A2 2.43314e-05 +159 *1427:111 *1455:108 0.000443791 +160 *1448:19 *20003:A2 0.000158738 +161 *1448:19 *1455:5 2.63642e-05 +162 *1448:19 *1455:13 0.00104356 +163 *1448:19 *1455:14 1.77537e-06 +164 *1448:19 *1455:49 3.71858e-05 +165 *1454:11 *20003:A2 0.00037185 +*RES +1 *19620:X *1455:5 11.6364 +2 *1455:5 *1455:13 19.2814 +3 *1455:13 *1455:14 36.7132 +4 *1455:14 *21933:B2 48.3507 +5 *1455:14 *19621:B 29.282 +6 *1455:13 *1455:49 10.9589 +7 *1455:49 *1455:59 48.2313 +8 *1455:59 *1455:61 9.24915 +9 *1455:61 *1455:62 127.479 +10 *1455:62 *1455:64 9.24915 +11 *1455:64 *1455:65 59.5114 +12 *1455:65 *1455:73 36.1605 +13 *1455:73 *1455:74 109.798 +14 *1455:74 *1455:82 33.6437 +15 *1455:82 *1455:85 47.3733 +16 *1455:85 *1455:99 49.7938 +17 *1455:99 *1455:104 25.7811 +18 *1455:104 *1455:105 46.2009 +19 *1455:105 *1455:108 19.2048 +20 *1455:108 *21771:A2 14.4725 +21 *1455:108 *21548:A2 27.8119 +22 *1455:49 *21671:A2 9.24915 +23 *1455:5 *1455:130 9.24915 +24 *1455:130 *1455:131 81.1229 +25 *1455:131 *20003:A2 43.5007 +*END + +*D_NET *1456 0.000738498 +*CONN +*I *19622:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *19621:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19622:A 0.000225657 +2 *19621:X 0.000225657 +3 *19622:A *1457:5 0.000116764 +4 *19622:A *4843:633 6.50727e-05 +5 *19621:A *19622:A 1.85016e-05 +6 *19621:B *19622:A 8.68455e-05 +*RES +1 *19621:X *19622:A 23.2968 +*END + +*D_NET *1457 0.0067048 +*CONN +*I *19628:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19624:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19626:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19627:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19623:A I *D sky130_fd_sc_hd__inv_2 +*I *19625:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19622:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *19628:A2 4.13661e-05 +2 *19624:A2 0.000476547 +3 *19626:A2 9.43728e-05 +4 *19627:A2 0.000289653 +5 *19623:A 0 +6 *19625:A2 6.50017e-05 +7 *19622:X 0.000175172 +8 *1457:33 0.000683475 +9 *1457:31 0.00068337 +10 *1457:19 0.000819102 +11 *1457:7 9.19008e-05 +12 *1457:5 0.000202071 +13 *19624:A2 *19624:B1 0.00017577 +14 *19624:A2 *20379:B1 0.000129197 +15 *19624:A2 *24890:CLK 3.26582e-06 +16 *19624:A2 *1485:80 9.00458e-05 +17 *19624:A2 *2514:13 8.29613e-05 +18 *19624:A2 *2836:8 7.10251e-06 +19 *19624:A2 *5917:438 6.23948e-06 +20 *19625:A2 *1458:7 2.75272e-05 +21 *19625:A2 *1783:89 6.08467e-05 +22 *19627:A2 *19627:A1 2.65831e-05 +23 *19627:A2 *19627:B2 0.000127707 +24 *19627:A2 *1764:116 0 +25 *19627:A2 *5481:16 6.40861e-05 +26 *19628:A2 *19628:A1 7.98603e-06 +27 *19628:A2 *1687:17 1.30359e-05 +28 *1457:5 *1783:89 0.000798123 +29 *1457:5 *4843:633 0.000265997 +30 *1457:7 *1783:89 4.66492e-05 +31 *1457:31 *1764:116 0 +32 *1457:31 *1783:89 2.51444e-05 +33 *1457:31 *2836:8 0.000128006 +34 *1457:31 *4843:633 2.99929e-05 +35 *1457:31 *5481:16 0.000141915 +36 *1457:33 *2836:8 3.02524e-05 +37 *19621:A *1457:5 2.07503e-05 +38 *19622:A *1457:5 0.000116764 +39 *19636:A *19624:A2 0.000103782 +40 *20344:A *19624:A2 0.000305608 +41 *24893:D *19627:A2 3.40655e-05 +42 *1439:318 *1457:31 8.67779e-05 +43 *1439:318 *1457:33 5.87758e-05 +44 *1439:333 *19624:A2 1.13712e-05 +45 *1439:333 *1457:33 3.64497e-06 +46 *1442:146 *19624:A2 5.27948e-05 +*RES +1 *19622:X *1457:5 18.8462 +2 *1457:5 *1457:7 0.723396 +3 *1457:7 *19625:A2 10.8044 +4 *1457:7 *19623:A 9.24915 +5 *1457:5 *1457:19 4.5 +6 *1457:19 *19627:A2 20.7627 +7 *1457:19 *1457:31 13.4591 +8 *1457:31 *1457:33 3.07775 +9 *1457:33 *19626:A2 15.0438 +10 *1457:33 *19624:A2 28.7908 +11 *1457:31 *19628:A2 14.7954 +*END + +*D_NET *1458 0.00625412 +*CONN +*I *19627:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19625:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19624:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19626:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19628:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19623:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19627:B2 0.000129881 +2 *19625:B2 0 +3 *19624:B2 0.000316024 +4 *19626:B2 0 +5 *19628:B2 7.05659e-05 +6 *19623:Y 0 +7 *1458:27 0.00041146 +8 *1458:18 0.000618984 +9 *1458:7 0.000698497 +10 *1458:4 0.000375397 +11 *19624:B2 *19626:B1 0.000132237 +12 *19624:B2 *1506:143 3.44041e-05 +13 *19624:B2 *1699:7 1.65872e-05 +14 *19624:B2 *1699:11 0.000411366 +15 *19624:B2 *2705:33 3.96134e-05 +16 *19627:B2 *1764:116 3.22862e-05 +17 *19627:B2 *1783:89 6.08467e-05 +18 *19627:B2 *2836:8 0 +19 *19627:B2 *5481:19 4.66492e-05 +20 *19628:B2 *19628:B1 0.000154145 +21 *1458:7 *1783:89 0.000111708 +22 *1458:7 *5481:19 6.08467e-05 +23 *1458:18 *21666:A 7.09666e-06 +24 *1458:18 *1506:143 5.4348e-05 +25 *1458:18 *1668:50 0.000207266 +26 *1458:18 *1697:22 0.000211492 +27 *1458:18 *2627:10 0.00024402 +28 *1458:18 *2878:25 6.89053e-05 +29 *1458:18 *5854:178 0.000173626 +30 *1458:18 *5898:34 9.75356e-05 +31 *1458:27 *19626:B1 1.37566e-05 +32 *1458:27 *2627:10 2.42353e-05 +33 *19625:A2 *1458:7 2.75272e-05 +34 *19627:A2 *19627:B2 0.000127707 +35 *460:63 *19624:B2 0.000248636 +36 *460:63 *1458:18 0.000415613 +37 *460:63 *1458:27 0.000153943 +38 *1427:29 *19624:B2 0.000456914 +*RES +1 *19623:Y *1458:4 9.24915 +2 *1458:4 *1458:7 5.59426 +3 *1458:7 *1458:18 30.7952 +4 *1458:18 *19628:B2 15.5817 +5 *1458:18 *1458:27 3.07775 +6 *1458:27 *19626:B2 13.7491 +7 *1458:27 *19624:B2 26.279 +8 *1458:7 *19625:B2 9.24915 +9 *1458:4 *19627:B2 22.0503 +*END + +*D_NET *1459 0.0737557 +*CONN +*I *20015:A I *D sky130_fd_sc_hd__or2_4 +*I *19968:B I *D sky130_fd_sc_hd__or2_4 +*I *19985:B I *D sky130_fd_sc_hd__or2_4 +*I *19899:B I *D sky130_fd_sc_hd__or2_1 +*I *19844:A I *D sky130_fd_sc_hd__or2_4 +*I *19784:A I *D sky130_fd_sc_hd__or2_4 +*I *19633:A I *D sky130_fd_sc_hd__or2_2 +*I *19630:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *20015:A 0.000532487 +2 *19968:B 0 +3 *19985:B 0.000588544 +4 *19899:B 7.28512e-05 +5 *19844:A 3.7281e-05 +6 *19784:A 0 +7 *19633:A 7.9115e-05 +8 *19630:X 0 +9 *1459:150 0.000671485 +10 *1459:147 0.000906034 +11 *1459:129 0.000985963 +12 *1459:110 0.00150016 +13 *1459:89 0.000945393 +14 *1459:87 0.00316765 +15 *1459:70 0.00554569 +16 *1459:52 0.00387617 +17 *1459:51 0.00195972 +18 *1459:42 0.00225844 +19 *1459:39 0.00232452 +20 *1459:26 0.00250591 +21 *1459:21 0.00278524 +22 *1459:18 0.00168463 +23 *1459:4 0.000926279 +24 *19633:A *19997:A1 6.36477e-05 +25 *19633:A *1462:5 6.36477e-05 +26 *19633:A *1496:111 5.39755e-05 +27 *19633:A *1636:108 0.000127944 +28 *19844:A *19885:B2 4.45999e-05 +29 *19844:A *1721:88 2.57986e-05 +30 *19844:A *2664:14 5.04829e-06 +31 *19899:B *19885:B2 2.81262e-05 +32 *19899:B *1602:7 0.000154145 +33 *19899:B *2093:79 0.000154145 +34 *19899:B *2664:14 3.31745e-05 +35 *19985:B *21794:A2 1.03403e-05 +36 *19985:B *21794:B1 7.27261e-05 +37 *19985:B *21794:B2 0.000235823 +38 *19985:B *24332:CLK 5.04829e-06 +39 *19985:B *1492:13 0.000300565 +40 *19985:B *1496:48 0.00010118 +41 *19985:B *1511:23 2.77625e-06 +42 *19985:B *1704:6 1.8121e-05 +43 *19985:B *1754:10 0.000115772 +44 *19985:B *1768:27 0.000114455 +45 *19985:B *2809:31 7.39264e-05 +46 *19985:B *2810:5 0.00058046 +47 *20015:A *1461:195 0.000501281 +48 *20015:A *1544:43 2.77564e-05 +49 *20015:A *1544:51 8.74736e-06 +50 *20015:A *1689:35 0.000517052 +51 *20015:A *1698:6 2.27135e-05 +52 *20015:A *1698:38 1.94327e-05 +53 *20015:A *3016:22 0.000123134 +54 *1459:18 *20010:B 7.13655e-06 +55 *1459:18 *1496:9 0.000200794 +56 *1459:18 *1497:17 0.000250713 +57 *1459:18 *1506:17 0.000260855 +58 *1459:18 *1544:13 0.000143017 +59 *1459:18 *1563:10 0.00037556 +60 *1459:18 *1563:46 1.5714e-05 +61 *1459:18 *1641:10 0.000160384 +62 *1459:18 *1740:14 7.77309e-06 +63 *1459:18 *1802:175 0 +64 *1459:21 *1515:5 0.000158371 +65 *1459:21 *1515:12 0.00147616 +66 *1459:21 *1544:5 6.08467e-05 +67 *1459:21 *1544:43 6.08467e-05 +68 *1459:21 *1739:22 4.2372e-05 +69 *1459:21 *2976:9 0.000783492 +70 *1459:26 *20380:A2 0.000347214 +71 *1459:26 *2878:25 0.000253916 +72 *1459:26 *2976:9 9.78275e-05 +73 *1459:26 *4863:99 0.000963569 +74 *1459:26 *4863:107 0.000217937 +75 *1459:26 *5854:160 0.000187498 +76 *1459:26 *5858:190 0.000183915 +77 *1459:39 *24051:A0 0.000118166 +78 *1459:39 *24051:A1 1.65872e-05 +79 *1459:39 *24062:A1 6.08467e-05 +80 *1459:39 *2469:19 0.000154425 +81 *1459:39 *4894:22 0.000360145 +82 *1459:42 *24053:A0 0.000101118 +83 *1459:42 *1515:47 2.72866e-05 +84 *1459:42 *2561:26 0 +85 *1459:42 *3014:72 0.000164673 +86 *1459:42 *4878:40 0.000244008 +87 *1459:42 *4878:47 0.000197583 +88 *1459:42 *5442:13 0 +89 *1459:42 *5442:18 0.000351215 +90 *1459:51 *20155:A1 1.05631e-05 +91 *1459:51 *20155:A2 6.08467e-05 +92 *1459:51 *20156:B1 0.000235341 +93 *1459:51 *1482:71 0.000537516 +94 *1459:51 *1482:81 2.01503e-05 +95 *1459:51 *4864:7 0.000111708 +96 *1459:51 *5997:12 0.000819868 +97 *1459:51 *5998:18 8.36973e-06 +98 *1459:52 *24792:RESET_B 2.37478e-05 +99 *1459:52 *2284:22 0.000493574 +100 *1459:52 *2753:19 0.000100822 +101 *1459:52 *4803:12 0.00267582 +102 *1459:52 *5671:78 4.68869e-05 +103 *1459:52 *5671:87 0.000225946 +104 *1459:52 *5671:98 0.000277072 +105 *1459:52 *5671:100 0.000401932 +106 *1459:52 *5997:10 6.39754e-06 +107 *1459:52 *5997:12 3.77568e-05 +108 *1459:70 *1461:249 5.36085e-05 +109 *1459:70 *1483:37 0.000843887 +110 *1459:70 *1515:78 1.82679e-05 +111 *1459:70 *1521:62 0.000953651 +112 *1459:70 *1730:97 0.000235319 +113 *1459:70 *1784:47 0.000278427 +114 *1459:70 *2274:63 9.66619e-05 +115 *1459:70 *2704:72 0.00155415 +116 *1459:70 *2710:29 5.01835e-05 +117 *1459:70 *2839:27 0 +118 *1459:70 *3368:65 2.45677e-05 +119 *1459:70 *5455:12 0.000130291 +120 *1459:87 *19633:B 0.000214229 +121 *1459:87 *19997:B1 0.00012829 +122 *1459:87 *20421:A1 0.000107496 +123 *1459:87 *22428:B1 0.000576661 +124 *1459:87 *22499:A1 0.00058967 +125 *1459:87 *22806:B1 3.20069e-06 +126 *1459:87 *22814:D 0.000129091 +127 *1459:87 *1471:219 0.000500363 +128 *1459:87 *1753:16 2.22442e-06 +129 *1459:87 *1761:17 7.89902e-05 +130 *1459:87 *2571:67 0.00103301 +131 *1459:87 *2599:20 0.00183003 +132 *1459:87 *2746:43 0.000353163 +133 *1459:87 *2997:14 0.000287623 +134 *1459:87 *2998:28 1.131e-05 +135 *1459:87 *3002:42 0.00130535 +136 *1459:87 *3148:250 0.000227352 +137 *1459:87 *3166:36 0.000508457 +138 *1459:87 *3527:93 0.000186707 +139 *1459:87 *3527:95 0.000184869 +140 *1459:87 *3529:106 0.000185511 +141 *1459:87 *3668:13 3.11663e-05 +142 *1459:89 *19633:B 8.20799e-06 +143 *1459:89 *22005:A1 4.19222e-05 +144 *1459:89 *3668:13 1.53613e-05 +145 *1459:89 *3736:18 0.000187272 +146 *1459:110 *19852:A 0.000134877 +147 *1459:110 *19891:B 0.000111479 +148 *1459:110 *22005:A1 2.61801e-05 +149 *1459:110 *23924:B 5.60804e-05 +150 *1459:110 *1544:133 3.17436e-05 +151 *1459:110 *1636:108 7.5909e-06 +152 *1459:110 *2726:22 0.000958867 +153 *1459:110 *3114:96 6.22732e-06 +154 *1459:110 *3688:22 0.00096877 +155 *1459:110 *3736:18 0.000183499 +156 *1459:129 *19852:A 9.12416e-06 +157 *1459:129 *21599:C1 5.47949e-05 +158 *1459:129 *21696:A1 0.000129786 +159 *1459:129 *21730:B1 0.000459097 +160 *1459:129 *22246:A1 3.29488e-05 +161 *1459:129 *1537:101 0.000156946 +162 *1459:129 *1561:154 2.35827e-05 +163 *1459:129 *1636:108 4.62301e-05 +164 *1459:129 *1688:98 0.000255846 +165 *1459:129 *1720:62 1.78567e-05 +166 *1459:129 *1723:77 1.92172e-05 +167 *1459:129 *1778:10 1.50542e-05 +168 *1459:129 *1788:89 0.000500845 +169 *1459:129 *1798:62 2.51591e-05 +170 *1459:129 *1798:84 3.2029e-05 +171 *1459:147 *1544:43 0.000186908 +172 *1459:147 *1689:35 0.000190449 +173 *1459:150 *1544:43 0.000116764 +174 *1459:150 *1698:38 1.65872e-05 +175 *1459:150 *1758:11 3.58208e-05 +176 *1459:150 *1780:11 0.000213524 +177 *19586:A2 *1459:42 1.10848e-05 +178 *19586:B1_N *1459:42 3.3151e-05 +179 *19630:A *1459:147 3.01683e-06 +180 *19805:A *1459:18 6.01588e-05 +181 *19805:C *1459:18 6.1061e-06 +182 *19968:A *19985:B 2.43314e-05 +183 *19968:A *1459:147 1.58551e-05 +184 *19968:A *1459:150 6.08467e-05 +185 *19993:A *1459:18 6.08697e-06 +186 *24052:A0 *1459:42 1.69932e-05 +187 *24656:D *1459:39 5.481e-05 +188 *24797:D *1459:51 0.000188374 +189 *460:43 *1459:70 0.000300565 +190 *462:5 *1459:39 2.19102e-05 +191 *462:7 *1459:39 0.00071622 +192 *462:27 *1459:21 0.000468325 +193 *462:33 *1459:21 0.000454422 +194 *463:15 *1459:42 3.92275e-05 +195 *464:31 *1459:21 4.91225e-06 +196 *474:6 *1459:42 4.79218e-05 +197 *475:12 *1459:42 8.42535e-06 +198 *1422:13 *1459:42 0.000448574 +199 *1424:117 *20015:A 0.000539143 +200 *1424:121 *20015:A 0.000354017 +201 *1435:45 *20015:A 0.000211492 +202 *1448:64 *1459:147 5.20545e-05 +203 *1448:73 *1459:147 0.000111708 +204 *1449:12 *1459:18 4.8729e-05 +205 *1449:27 *1459:147 0.00035144 +*RES +1 *19630:X *1459:4 9.24915 +2 *1459:4 *1459:18 38.6667 +3 *1459:18 *1459:21 35.1209 +4 *1459:21 *1459:26 48.9252 +5 *1459:26 *1459:39 31.1841 +6 *1459:39 *1459:42 48.2725 +7 *1459:42 *1459:51 35.4735 +8 *1459:51 *1459:52 50.4165 +9 *1459:52 *1459:70 48.0734 +10 *1459:70 *1459:87 49.522 +11 *1459:87 *1459:89 0.547071 +12 *1459:89 *19633:A 20.2962 +13 *1459:89 *1459:110 9.62011 +14 *1459:110 *19784:A 13.7491 +15 *1459:110 *1459:129 36.9144 +16 *1459:129 *19844:A 10.5271 +17 *1459:129 *19899:B 12.191 +18 *1459:4 *1459:147 14.5571 +19 *1459:147 *1459:150 7.99641 +20 *1459:150 *19985:B 36.652 +21 *1459:150 *19968:B 9.24915 +22 *1459:147 *20015:A 36.2394 +*END + +*D_NET *1460 0.000150001 +*CONN +*I *19632:A I *D sky130_fd_sc_hd__buf_8 +*I *19631:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19632:A 6.1717e-05 +2 *19631:X 6.1717e-05 +3 *1422:31 *19632:A 0 +4 *1422:43 *19632:A 2.65667e-05 +*RES +1 *19631:X *19632:A 20.2103 +*END + +*D_NET *1461 0.0958625 +*CONN +*I *19633:B I *D sky130_fd_sc_hd__or2_2 +*I *21776:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20021:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *19928:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *19987:A I *D sky130_fd_sc_hd__or2_4 +*I *19961:B I *D sky130_fd_sc_hd__or2_1 +*I *21275:A I *D sky130_fd_sc_hd__or2_4 +*I *20024:A I *D sky130_fd_sc_hd__or2_4 +*I *19693:A I *D sky130_fd_sc_hd__or2_4 +*I *20002:A I *D sky130_fd_sc_hd__or3b_1 +*I *19939:A I *D sky130_fd_sc_hd__or2_4 +*I *19739:A I *D sky130_fd_sc_hd__or2_2 +*I *19671:A I *D sky130_fd_sc_hd__or3_1 +*I *20029:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *21203:A I *D sky130_fd_sc_hd__or2_4 +*I *19680:B I *D sky130_fd_sc_hd__or2_4 +*I *19706:A I *D sky130_fd_sc_hd__or2_4 +*I *19636:B I *D sky130_fd_sc_hd__or3_1 +*I *19632:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *19633:B 0.000892506 +2 *21776:A2 0.000377218 +3 *20021:A1 0.0013249 +4 *19928:A2 6.00268e-05 +5 *19987:A 7.29017e-05 +6 *19961:B 0 +7 *21275:A 0.000114998 +8 *20024:A 0 +9 *19693:A 0 +10 *20002:A 0 +11 *19939:A 5.09074e-05 +12 *19739:A 0 +13 *19671:A 0.000417315 +14 *20029:A1 1.93983e-05 +15 *21203:A 4.4392e-05 +16 *19680:B 1.78156e-05 +17 *19706:A 0 +18 *19636:B 3.93497e-05 +19 *19632:X 0.000136984 +20 *1461:303 0.000956529 +21 *1461:302 0.000110157 +22 *1461:275 0.00314672 +23 *1461:262 0.00208262 +24 *1461:261 0.00128179 +25 *1461:249 0.00276327 +26 *1461:248 0.00278883 +27 *1461:228 0.00169729 +28 *1461:195 0.00105754 +29 *1461:159 0.00172483 +30 *1461:124 0.000651265 +31 *1461:119 0.00211612 +32 *1461:117 0.000482956 +33 *1461:109 0.00094987 +34 *1461:94 0.00112021 +35 *1461:88 0.000990906 +36 *1461:78 0.000780912 +37 *1461:77 0.00116392 +38 *1461:67 0.000500441 +39 *1461:61 0.00198931 +40 *1461:39 0.00211788 +41 *1461:23 0.00134182 +42 *1461:19 0.00142329 +43 *1461:16 0.00130059 +44 *1461:9 0.00160458 +45 *19633:B *19997:A1 6.08467e-05 +46 *19633:B *19997:A2 2.21251e-05 +47 *19633:B *19997:B1 2.29949e-05 +48 *19633:B *19997:B2 2.27708e-05 +49 *19633:B *22005:A1 3.91e-05 +50 *19633:B *22799:B1 0.000105515 +51 *19633:B *1462:5 0.000157592 +52 *19633:B *1751:102 1.91391e-05 +53 *19633:B *1751:120 2.13165e-05 +54 *19633:B *1753:16 0.000942931 +55 *19633:B *1805:12 4.04556e-05 +56 *19633:B *2571:67 0.000342958 +57 *19633:B *2851:26 0.000182763 +58 *19633:B *3466:56 1.91391e-05 +59 *19633:B *3466:67 0.000158841 +60 *19671:A *19671:B 1.14175e-05 +61 *19671:A *20029:A2 7.92757e-06 +62 *19671:A *24983:A 0.000280076 +63 *19671:A *1710:8 3.63593e-05 +64 *19671:A *1731:32 0.000118073 +65 *19671:A *2466:16 0.000505998 +66 *19671:A *2587:16 3.63593e-05 +67 *19671:A *2858:23 0.000200805 +68 *19928:A2 *19928:A3 6.08467e-05 +69 *19928:A2 *19928:B1 2.29454e-05 +70 *19928:A2 *24632:CLK 9.94284e-06 +71 *19928:A2 *1683:17 3.73375e-05 +72 *19928:A2 *1685:5 0.000256037 +73 *19939:A *21722:A 3.82228e-05 +74 *19939:A *1696:10 2.16355e-05 +75 *19987:A *1740:98 5.64166e-05 +76 *19987:A *1792:97 0.000122083 +77 *20021:A1 *20021:A3 3.5534e-06 +78 *20021:A1 *22484:B1 0.000129091 +79 *20021:A1 *22790:A1 0.000192811 +80 *20021:A1 *1764:94 0.000291142 +81 *20021:A1 *1776:15 0.000185783 +82 *20021:A1 *1776:35 2.05342e-06 +83 *20021:A1 *3066:26 0.000212407 +84 *20021:A1 *3122:256 2.27135e-05 +85 *20021:A1 *3531:73 0.00146119 +86 *20021:A1 *3531:84 0.000156087 +87 *20021:A1 *3537:88 0.000367555 +88 *20021:A1 *5592:70 0.000484938 +89 *20021:A1 *5593:46 2.95986e-05 +90 *20029:A1 *1485:21 9.95922e-06 +91 *20029:A1 *1785:9 4.31603e-06 +92 *21203:A *2399:6 1.51692e-05 +93 *21203:A *2580:17 6.08467e-05 +94 *21203:A *2740:23 3.6549e-05 +95 *21275:A *1711:87 4.97617e-05 +96 *21275:A *1714:42 1.41181e-05 +97 *21275:A *2338:14 1.58551e-05 +98 *21275:A *2960:16 0.000169862 +99 *21776:A2 *21617:A1 0.000296995 +100 *21776:A2 *22790:A1 0.000257544 +101 *21776:A2 *3461:24 1.53148e-05 +102 *21776:A2 *3461:26 7.60356e-05 +103 *21776:A2 *3476:98 4.36031e-05 +104 *21776:A2 *3531:73 2.43831e-05 +105 *21776:A2 *5592:70 0.000655651 +106 *1461:16 *19783:A 0.000122083 +107 *1461:16 *20012:B1 0.000695046 +108 *1461:16 *1760:8 8.98169e-05 +109 *1461:16 *1764:102 0 +110 *1461:16 *2704:31 2.652e-05 +111 *1461:16 *2844:16 0 +112 *1461:16 *5851:196 4.36966e-05 +113 *1461:16 *5856:281 6.16319e-05 +114 *1461:19 *2702:11 0.000111722 +115 *1461:23 *19627:A1 3.14978e-05 +116 *1461:23 *1587:30 4.12833e-05 +117 *1461:23 *1760:8 0.000138993 +118 *1461:23 *1764:102 4.71102e-05 +119 *1461:23 *1764:113 0.00100615 +120 *1461:23 *2702:11 0.00189185 +121 *1461:39 *20001:B 0.000115615 +122 *1461:39 *21671:A1 7.08059e-05 +123 *1461:39 *1502:11 0.000174944 +124 *1461:39 *1757:8 4.91225e-06 +125 *1461:39 *1757:37 2.68729e-05 +126 *1461:39 *2702:11 0.000447837 +127 *1461:61 *24447:CLK 0.000267943 +128 *1461:61 *1502:11 2.16355e-05 +129 *1461:61 *1698:54 0.000260388 +130 *1461:61 *1739:22 0.000250631 +131 *1461:61 *1739:41 0.000352876 +132 *1461:61 *1757:37 6.37709e-05 +133 *1461:61 *1792:17 4.88955e-05 +134 *1461:61 *1792:25 0.000211492 +135 *1461:61 *2700:12 1.92974e-05 +136 *1461:61 *2810:20 0.000115615 +137 *1461:61 *2947:20 0.000586997 +138 *1461:61 *5856:283 8.48321e-05 +139 *1461:61 *5908:9 0.000365139 +140 *1461:67 *1604:11 7.66983e-06 +141 *1461:67 *1740:98 2.1203e-06 +142 *1461:67 *1768:27 0.00151209 +143 *1461:67 *2810:5 0.00165623 +144 *1461:77 *1511:23 7.92757e-06 +145 *1461:77 *2810:5 5.73392e-05 +146 *1461:78 *1471:10 0.000306871 +147 *1461:78 *1689:35 0.000315995 +148 *1461:88 *19990:A1 3.63948e-05 +149 *1461:88 *21383:A2 0.00022117 +150 *1461:88 *1471:10 0.000122161 +151 *1461:88 *1689:35 0.000117707 +152 *1461:88 *2400:12 0.000280291 +153 *1461:88 *2466:29 5.35701e-05 +154 *1461:88 *2587:18 0.000439247 +155 *1461:88 *4821:28 8.19081e-05 +156 *1461:88 *5908:9 0.0010122 +157 *1461:94 *6177:DIODE 6.1478e-06 +158 *1461:94 *19693:B 4.31603e-06 +159 *1461:94 *19729:B 2.16355e-05 +160 *1461:94 *1497:17 5.55683e-06 +161 *1461:94 *1497:155 3.99086e-06 +162 *1461:94 *1510:30 7.14746e-05 +163 *1461:94 *2399:6 8.65205e-05 +164 *1461:94 *2740:23 0.000293867 +165 *1461:109 *19946:B2 0.000122968 +166 *1461:109 *1689:28 0.000177292 +167 *1461:109 *1691:44 0 +168 *1461:109 *1749:8 0.000183512 +169 *1461:109 *1784:13 0.00036633 +170 *1461:117 *1689:28 4.97938e-05 +171 *1461:117 *1691:44 0.000582116 +172 *1461:117 *1749:8 4.51176e-05 +173 *1461:117 *1784:13 5.56157e-05 +174 *1461:117 *2858:23 0.000166771 +175 *1461:117 *2936:16 0.000159484 +176 *1461:119 *2858:23 0.000485815 +177 *1461:119 *2936:16 0.000467251 +178 *1461:124 *20029:A2 7.92757e-06 +179 *1461:124 *1485:21 1.61631e-05 +180 *1461:124 *2858:23 0.000370032 +181 *1461:124 *2936:16 0.000370032 +182 *1461:159 *19947:C 2.98726e-05 +183 *1461:159 *20582:B1 0.000124641 +184 *1461:159 *1587:16 7.20931e-05 +185 *1461:159 *1587:23 0.000186129 +186 *1461:159 *2758:35 2.94678e-05 +187 *1461:159 *2951:28 0.000287649 +188 *1461:159 *5726:21 6.94894e-05 +189 *1461:195 *21963:B2 1.5714e-05 +190 *1461:195 *1471:10 0.000434459 +191 *1461:195 *1496:68 4.80741e-05 +192 *1461:195 *1689:35 0.000207273 +193 *1461:195 *1711:87 3.50779e-05 +194 *1461:195 *1801:16 5.01835e-05 +195 *1461:195 *2338:14 5.9126e-05 +196 *1461:228 *19779:A 3.88358e-05 +197 *1461:228 *19928:B2 1.60467e-05 +198 *1461:228 *24632:RESET_B 1.21028e-05 +199 *1461:228 *1683:17 1.77537e-06 +200 *1461:228 *1684:15 0.000124853 +201 *1461:228 *1734:26 0.000698603 +202 *1461:228 *1761:74 6.59235e-05 +203 *1461:228 *2515:11 0.000462702 +204 *1461:228 *2749:10 0.000158371 +205 *1461:228 *2785:30 0.000126934 +206 *1461:228 *5851:196 0.000316949 +207 *1461:248 *19928:B2 7.58217e-06 +208 *1461:248 *21218:A2 7.13972e-05 +209 *1461:248 *22467:A1 0.00020502 +210 *1461:248 *1683:17 0 +211 *1461:248 *1683:29 6.58517e-05 +212 *1461:248 *1715:36 0.00079962 +213 *1461:248 *1947:185 0.000521804 +214 *1461:248 *2274:30 0 +215 *1461:248 *2603:29 0.000211492 +216 *1461:248 *2785:30 0.000267683 +217 *1461:248 *2844:24 0.000254926 +218 *1461:249 *20412:B1 0.000253179 +219 *1461:249 *20413:A1 3.34615e-05 +220 *1461:249 *21835:A 0.000110473 +221 *1461:249 *24498:CLK 2.71397e-05 +222 *1461:249 *1729:72 1.2366e-05 +223 *1461:249 *1729:80 0.000872692 +224 *1461:249 *1761:56 1.20973e-05 +225 *1461:249 *1794:25 0.000169236 +226 *1461:249 *1950:41 0 +227 *1461:249 *2710:29 0.00018197 +228 *1461:249 *2844:56 0.000126483 +229 *1461:249 *2932:8 1.4353e-05 +230 *1461:249 *2971:23 0.000371492 +231 *1461:249 *4927:32 0.000555672 +232 *1461:249 *4927:34 0.000176773 +233 *1461:249 *4927:40 0.00037015 +234 *1461:261 *20489:A2 0.000110306 +235 *1461:261 *20489:B1 0.000786349 +236 *1461:261 *1462:25 6.05361e-05 +237 *1461:261 *1462:30 0.00040737 +238 *1461:261 *1733:25 0.000107496 +239 *1461:261 *1761:8 0.000919921 +240 *1461:261 *2599:20 6.63489e-05 +241 *1461:261 *2703:60 4.51176e-05 +242 *1461:261 *3004:23 0.00107344 +243 *1461:261 *3208:89 0.000445444 +244 *1461:261 *3527:93 0.000202491 +245 *1461:261 *3533:227 5.68347e-05 +246 *1461:262 *22799:B1 0.000122469 +247 *1461:262 *1794:60 7.95666e-05 +248 *1461:262 *1797:41 0.00206685 +249 *1461:262 *2571:40 9.91165e-05 +250 *1461:262 *3058:22 0.000127536 +251 *1461:262 *3521:41 0.000343552 +252 *1461:262 *3521:46 0.000107496 +253 *1461:275 *19808:B 0.00021508 +254 *1461:275 *21604:B1 1.91246e-05 +255 *1461:275 *22488:C1 5.01835e-05 +256 *1461:275 *22491:A 0.00017167 +257 *1461:275 *22491:C 0.000417793 +258 *1461:275 *22492:A 4.27731e-05 +259 *1461:275 *22780:B1 0.000237511 +260 *1461:275 *1541:173 0.000133663 +261 *1461:275 *2660:113 4.12833e-05 +262 *1461:275 *2666:8 0.000191722 +263 *1461:275 *2671:42 5.14254e-06 +264 *1461:275 *2851:26 4.32644e-05 +265 *1461:275 *3175:219 1.91246e-05 +266 *1461:275 *3190:55 5.63782e-05 +267 *1461:275 *3196:108 0.000190267 +268 *1461:275 *3517:83 0.000413641 +269 *1461:275 *3521:41 1.9101e-05 +270 *1461:302 *22799:B1 3.32043e-05 +271 *1461:302 *1797:41 0.000152158 +272 *1461:302 *3521:41 4.81452e-05 +273 *19576:A *1461:16 1.66511e-05 +274 *19576:A *1461:228 0 +275 *19587:B *1461:9 6.50727e-05 +276 *19587:B *1461:16 1.64855e-06 +277 *19587:B *1461:228 7.68477e-05 +278 *19636:A *1461:23 0.000280264 +279 *19680:A *19680:B 1.57614e-05 +280 *19680:A *1461:67 3.86893e-05 +281 *19680:A *1461:77 4.58003e-05 +282 *19782:B *1461:16 5.96651e-05 +283 *19961:A *1461:195 0.000509902 +284 *19993:A *1461:109 0.000348687 +285 *20015:A *1461:195 0.000501281 +286 *74:18 *19671:A 0.000321092 +287 *468:17 *1461:61 0.000745726 +288 *468:54 *1461:61 0.000118363 +289 *470:49 *1461:228 6.87762e-05 +290 *476:54 *1461:159 0.00143818 +291 *1422:43 *1461:9 7.18018e-05 +292 *1424:56 *1461:61 0.00119506 +293 *1424:117 *1461:195 0.000370192 +294 *1428:51 *21203:A 2.65667e-05 +295 *1432:15 *1461:9 6.63489e-05 +296 *1442:74 *1461:249 0.00290339 +297 *1450:20 *1461:109 6.21488e-06 +298 *1450:48 *1461:109 1.70794e-05 +299 *1454:35 *19987:A 3.82289e-06 +300 *1454:35 *1461:67 1.99996e-05 +301 *1455:49 *1461:39 3.20979e-05 +302 *1459:70 *1461:249 5.36085e-05 +303 *1459:87 *19633:B 0.000214229 +304 *1459:89 *19633:B 8.20799e-06 +*RES +1 *19632:X *1461:9 17.2697 +2 *1461:9 *1461:16 21.7696 +3 *1461:16 *1461:19 6.3326 +4 *1461:19 *1461:23 49.4111 +5 *1461:23 *19636:B 10.2378 +6 *1461:16 *1461:39 13.8651 +7 *1461:39 *19706:A 9.24915 +8 *1461:39 *1461:61 48.3624 +9 *1461:61 *1461:67 25.5208 +10 *1461:67 *19680:B 9.82786 +11 *1461:67 *1461:77 6.3326 +12 *1461:77 *1461:78 6.39977 +13 *1461:78 *1461:88 23.3876 +14 *1461:88 *1461:94 13.7921 +15 *1461:94 *21203:A 15.2664 +16 *1461:94 *1461:109 12.0944 +17 *1461:109 *1461:117 11.6316 +18 *1461:117 *1461:119 8.89128 +19 *1461:119 *1461:124 12.4537 +20 *1461:124 *20029:A1 9.82786 +21 *1461:124 *19671:A 37.281 +22 *1461:119 *1461:159 45.7795 +23 *1461:159 *19739:A 9.24915 +24 *1461:117 *19939:A 15.0271 +25 *1461:109 *20002:A 13.7491 +26 *1461:88 *19693:A 9.24915 +27 *1461:78 *20024:A 13.7491 +28 *1461:77 *1461:195 34.5622 +29 *1461:195 *21275:A 12.7456 +30 *1461:195 *19961:B 9.24915 +31 *1461:61 *19987:A 16.4116 +32 *1461:9 *1461:228 39.4418 +33 *1461:228 *19928:A2 16.691 +34 *1461:228 *1461:248 43.0485 +35 *1461:248 *1461:249 74.0859 +36 *1461:249 *1461:261 44.9455 +37 *1461:261 *1461:262 24.0167 +38 *1461:262 *1461:275 43.837 +39 *1461:275 *20021:A1 21.2778 +40 *1461:275 *21776:A2 20.9558 +41 *1461:262 *1461:302 11.0817 +42 *1461:302 *1461:303 81.1229 +43 *1461:303 *19633:B 45.2092 +*END + +*D_NET *1462 0.0168775 +*CONN +*I *19997:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19635:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19634:A I *D sky130_fd_sc_hd__inv_2 +*I *19633:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *19997:B2 2.2274e-05 +2 *19635:B2 0.000140883 +3 *19634:A 0 +4 *19633:X 0.000189973 +5 *1462:30 0.00106877 +6 *1462:25 0.0035725 +7 *1462:22 0.00363711 +8 *1462:5 0.00120474 +9 *19635:B2 *2597:12 0.000111708 +10 *19635:B2 *2616:40 6.39883e-05 +11 *19635:B2 *5860:651 3.31882e-05 +12 *19635:B2 *5860:661 0.000156869 +13 *19635:B2 *5869:464 3.82228e-05 +14 *19997:B2 *19997:B1 9.95922e-06 +15 *1462:5 *19997:A1 4.69414e-05 +16 *1462:22 *19997:B1 1.89453e-05 +17 *1462:22 *22005:A1 0.00151683 +18 *1462:22 *22727:B1 1.5714e-05 +19 *1462:22 *22737:B1 4.69495e-06 +20 *1462:22 *22808:A1 0.000187664 +21 *1462:22 *1753:16 3.84257e-05 +22 *1462:22 *2583:61 0.00142413 +23 *1462:22 *3162:87 1.9101e-05 +24 *1462:22 *3471:51 5.28741e-05 +25 *1462:22 *3523:68 1.5714e-05 +26 *1462:25 *20489:A2 3.82228e-05 +27 *1462:25 *20489:B1 0.000446959 +28 *1462:25 *22428:B2 1.7883e-05 +29 *1462:25 *22428:C1 0.000357948 +30 *1462:25 *22808:B1 7.92757e-06 +31 *1462:25 *22808:B2 5.04829e-06 +32 *1462:25 *3004:23 0.000471915 +33 *1462:25 *3058:49 0.000127536 +34 *1462:25 *3155:63 1.54703e-05 +35 *1462:25 *3155:77 6.08467e-05 +36 *1462:25 *3208:89 3.73975e-05 +37 *1462:30 *19996:A 6.08467e-05 +38 *1462:30 *20005:A 0.000160617 +39 *1462:30 *20489:B1 0.000169848 +40 *1462:30 *2616:40 0.000233863 +41 *1462:30 *3002:46 8.67475e-05 +42 *1462:30 *5680:18 0.000154145 +43 *1462:30 *5860:661 8.79542e-05 +44 *19633:A *1462:5 6.36477e-05 +45 *19633:B *19997:B2 2.27708e-05 +46 *19633:B *1462:5 0.000157592 +47 *19635:C1 *19635:B2 3.31882e-05 +48 *1461:261 *1462:25 6.05361e-05 +49 *1461:261 *1462:30 0.00040737 +*RES +1 *19633:X *1462:5 13.7101 +2 *1462:5 *1462:22 23.381 +3 *1462:22 *1462:25 40.1244 +4 *1462:25 *1462:30 27.8488 +5 *1462:30 *19634:A 13.7491 +6 *1462:30 *19635:B2 19.2529 +7 *1462:5 *19997:B2 10.3101 +*END + +*D_NET *1463 0.000676688 +*CONN +*I *19635:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19634:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19635:A2 0.000160936 +2 *19634:Y 0.000160936 +3 *19635:A2 *1731:93 0.000158451 +4 *19635:A2 *3671:67 0.000127164 +5 *19635:A2 *5860:661 3.60268e-05 +6 *19635:A2 *5869:464 3.31733e-05 +*RES +1 *19634:Y *19635:A2 31.5781 +*END + +*D_NET *1464 0.00913417 +*CONN +*I *19637:S I *D sky130_fd_sc_hd__mux2_1 +*I *19636:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19637:S 0 +2 *19636:X 0.000364524 +3 *1464:17 0.00103425 +4 *1464:8 0.00139878 +5 *1464:8 *1760:8 0.000506882 +6 *1464:17 *19644:A2 0.000757759 +7 *1464:17 *19648:A1 6.9989e-05 +8 *1464:17 *19648:A2 5.51483e-06 +9 *1464:17 *19648:B1 3.41459e-05 +10 *1464:17 *1469:59 0.000544509 +11 *1464:17 *1470:62 0.000222229 +12 *1464:17 *1470:72 2.76814e-05 +13 *1464:17 *2426:43 0.00183351 +14 *1464:17 *2922:13 0.000500106 +15 *1464:17 *5812:16 0.00117117 +16 *1464:17 *5812:32 3.61409e-05 +17 *1464:17 *5812:47 0.000459901 +18 *24886:D *1464:17 0.000167076 +19 *1439:290 *1464:8 0 +*RES +1 *19636:X *1464:8 27.9709 +2 *1464:8 *1464:17 43.669 +3 *1464:17 *19637:S 9.24915 +*END + +*D_NET *1465 0.000733529 +*CONN +*I *19638:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19637:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19638:A 0.000137584 +2 *19637:X 0.000137584 +3 *19638:A *19923:A 0.000357884 +4 *19638:A *2426:38 0.000100477 +*RES +1 *19637:X *19638:A 23.538 +*END + +*D_NET *1466 0.0344795 +*CONN +*I *20024:B I *D sky130_fd_sc_hd__or2_4 +*I *19883:A I *D sky130_fd_sc_hd__or2_4 +*I *19966:A I *D sky130_fd_sc_hd__or2_4 +*I *19840:A I *D sky130_fd_sc_hd__or2_4 +*I *19881:B I *D sky130_fd_sc_hd__or2_4 +*I *20001:B I *D sky130_fd_sc_hd__or2_4 +*I *19640:B I *D sky130_fd_sc_hd__or2_4 +*I *21228:B I *D sky130_fd_sc_hd__or3b_4 +*I *19639:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *20024:B 4.61646e-05 +2 *19883:A 0.000488508 +3 *19966:A 9.18702e-06 +4 *19840:A 8.4692e-05 +5 *19881:B 2.33806e-05 +6 *20001:B 0.000156234 +7 *19640:B 0 +8 *21228:B 1.74789e-05 +9 *19639:X 1.55509e-05 +10 *1466:84 0.00103942 +11 *1466:66 0.000752136 +12 *1466:62 0.00182422 +13 *1466:58 0.00206965 +14 *1466:41 0.00187728 +15 *1466:16 8.97484e-05 +16 *1466:13 0.000577638 +17 *1466:9 0.00162868 +18 *1466:8 0.00084963 +19 *19840:A *19840:B 0.000154145 +20 *19840:A *19966:B 6.08467e-05 +21 *19840:A *1485:126 2.81262e-05 +22 *19840:A *1598:11 0.000154145 +23 *19840:A *1794:7 2.81262e-05 +24 *19840:A *3373:33 6.08467e-05 +25 *19881:B *21926:B1 0.000107496 +26 *19883:A *20003:C1 0.000313357 +27 *19883:A *1640:8 1.68959e-05 +28 *19883:A *1641:5 2.16355e-05 +29 *19883:A *1671:17 4.88272e-05 +30 *19883:A *1698:38 0.000309145 +31 *19883:A *1711:15 0.000515799 +32 *19883:A *1711:65 1.5714e-05 +33 *19883:A *1766:50 2.02035e-05 +34 *19883:A *1802:175 0.00061079 +35 *19966:A *19966:B 4.94594e-05 +36 *19966:A *1598:11 6.3657e-05 +37 *20001:B *21671:A1 2.53145e-06 +38 *20001:B *1520:17 8.94611e-05 +39 *20001:B *1757:8 7.22498e-05 +40 *20001:B *1757:37 5.0715e-05 +41 *20024:B *20003:C1 0.000139947 +42 *20024:B *1698:38 0.00020502 +43 *20024:B *1758:11 1.19856e-05 +44 *1466:9 *1766:21 0.00150979 +45 *1466:9 *5908:9 0.00147026 +46 *1466:13 *1766:21 0.00165164 +47 *1466:13 *5908:9 0.0016434 +48 *1466:16 *1520:17 7.00554e-05 +49 *1466:41 *20006:B 4.3317e-05 +50 *1466:41 *24446:RESET_B 6.3504e-06 +51 *1466:41 *24446:CLK 0.000290455 +52 *1466:41 *1604:29 0.000328251 +53 *1466:41 *1639:20 4.85712e-05 +54 *1466:41 *1698:54 0.000122834 +55 *1466:41 *1756:105 3.12141e-05 +56 *1466:41 *2517:13 0 +57 *1466:41 *2810:20 4.13707e-05 +58 *1466:41 *2976:18 0.000721117 +59 *1466:41 *4921:31 0.000156365 +60 *1466:41 *5321:8 9.34145e-05 +61 *1466:41 *5332:10 0.000133978 +62 *1466:58 *21864:C1 2.02035e-05 +63 *1466:58 *1604:29 2.57465e-06 +64 *1466:58 *1804:17 0.000568081 +65 *1466:58 *1804:87 9.55978e-05 +66 *1466:58 *1947:215 1.81964e-05 +67 *1466:58 *4832:118 0.000529409 +68 *1466:62 *21898:B2 0.00100374 +69 *1466:62 *1501:53 0.000272318 +70 *1466:62 *1502:135 0.000166418 +71 *1466:62 *1677:139 0.000513464 +72 *1466:62 *1725:47 5.88009e-05 +73 *1466:62 *1730:83 0.000313326 +74 *1466:62 *1755:110 5.4678e-05 +75 *1466:62 *1804:87 0.000241071 +76 *1466:62 *2971:24 2.16873e-05 +77 *1466:62 *4832:118 1.94854e-05 +78 *1466:66 *20410:A1 9.34404e-05 +79 *1466:66 *21447:A 0.000233232 +80 *1466:66 *1501:53 1.25981e-05 +81 *1466:66 *1502:135 1.85244e-05 +82 *1466:66 *1502:155 0.0011608 +83 *1466:66 *1689:69 0.000668937 +84 *1466:66 *2521:27 3.67853e-05 +85 *1466:84 *20010:B 1.04568e-05 +86 *1466:84 *1766:21 0.00131932 +87 *1466:84 *2400:12 0.000170217 +88 *1466:84 *5908:9 0.00177206 +89 *19705:C *1466:8 1.5714e-05 +90 *21228:A *21228:B 0.000167076 +91 *24445:D *1466:58 0.00017078 +92 *464:20 *1466:8 7.09666e-06 +93 *1424:8 *1466:41 1.40183e-05 +94 *1435:21 *19881:B 0.000111708 +95 *1435:21 *1466:41 8.03951e-06 +96 *1435:21 *1466:58 1.91246e-05 +97 *1448:19 *20001:B 1.55501e-05 +98 *1448:19 *21228:B 0.000122378 +99 *1448:19 *1466:16 1.33883e-05 +100 *1448:52 *1466:58 0.000231981 +101 *1448:64 *1466:84 0 +102 *1448:213 *1466:58 0.000812069 +103 *1449:45 *1466:84 0.000136376 +104 *1454:35 *1466:8 1.91246e-05 +105 *1455:13 *21228:B 3.09964e-05 +106 *1455:49 *20001:B 9.95922e-06 +107 *1461:39 *20001:B 0.000115615 +*RES +1 *19639:X *1466:8 17.4965 +2 *1466:8 *1466:9 2.11512 +3 *1466:9 *1466:13 5.63689 +4 *1466:13 *1466:16 6.12437 +5 *1466:16 *21228:B 11.0817 +6 *1466:16 *19640:B 9.24915 +7 *1466:13 *20001:B 19.3093 +8 *1466:9 *1466:41 33.1326 +9 *1466:41 *19881:B 15.0271 +10 *1466:41 *1466:58 20.6475 +11 *1466:58 *1466:62 35.9926 +12 *1466:62 *1466:66 28.1327 +13 *1466:66 *19840:A 12.7456 +14 *1466:66 *19966:A 9.97254 +15 *1466:8 *1466:84 13.2365 +16 *1466:84 *19883:A 36.3996 +17 *1466:84 *20024:B 11.6364 +*END + +*D_NET *1467 0.120749 +*CONN +*I *21777:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21345:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *19970:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19641:B I *D sky130_fd_sc_hd__or2_1 +*I *21908:B2 I *D sky130_fd_sc_hd__o22a_4 +*I *21743:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21390:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21588:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *19640:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21777:A2 0.00146765 +2 *21345:A2 0.000190567 +3 *19970:B2 0.00209245 +4 *19641:B 0.000319279 +5 *21908:B2 0 +6 *21743:A2 3.29888e-05 +7 *21390:A2 0 +8 *21588:A2 0.000995278 +9 *19640:X 0.000375144 +10 *1467:198 0.00258462 +11 *1467:184 0.00258755 +12 *1467:183 0.00164837 +13 *1467:169 0.000487196 +14 *1467:138 0.00340937 +15 *1467:134 0.00289267 +16 *1467:127 0.00285579 +17 *1467:124 0.00190106 +18 *1467:118 0.00201979 +19 *1467:117 0.0040502 +20 *1467:96 0.000362903 +21 *1467:93 0.00197428 +22 *1467:91 0.00233056 +23 *1467:88 0.00405058 +24 *1467:76 0.00118828 +25 *1467:43 0.00248218 +26 *1467:36 0.00196659 +27 *1467:32 0.000589068 +28 *1467:30 0.00254323 +29 *1467:22 0.00311432 +30 *1467:8 0.00309132 +31 *19641:B *19724:B1 0.000172691 +32 *19641:B *21908:A1 0.000122083 +33 *19641:B *1744:99 1.80122e-05 +34 *19641:B *2516:8 0.000124427 +35 *19641:B *2864:19 4.31539e-05 +36 *19641:B *4843:603 0.000728002 +37 *19970:B2 *20017:A 6.3657e-05 +38 *19970:B2 *20039:B1 9.01814e-05 +39 *19970:B2 *20605:A 2.2279e-05 +40 *19970:B2 *20606:A 0.000105825 +41 *19970:B2 *20640:B2 5.99105e-05 +42 *19970:B2 *20641:A1 0 +43 *19970:B2 *1604:70 6.98729e-05 +44 *19970:B2 *1604:91 5.89592e-05 +45 *19970:B2 *1706:87 0.000242888 +46 *19970:B2 *1724:61 0.000197191 +47 *19970:B2 *1729:72 6.95067e-05 +48 *19970:B2 *1773:18 0.000186583 +49 *19970:B2 *1794:96 0.00044694 +50 *19970:B2 *1947:181 2.14262e-05 +51 *19970:B2 *2505:75 1.53092e-05 +52 *19970:B2 *2510:73 0.00050443 +53 *19970:B2 *2521:27 0.000280192 +54 *19970:B2 *2618:18 0.00280841 +55 *19970:B2 *3148:253 8.94611e-05 +56 *19970:B2 *5869:331 0 +57 *21345:A2 *21345:B2 0.000128736 +58 *21588:A2 *20030:A1 0.000822962 +59 *21588:A2 *20030:A2 8.28678e-05 +60 *21588:A2 *21337:A 1.00004e-05 +61 *21588:A2 *21506:A1 9.24241e-05 +62 *21588:A2 *21506:B1 0.000213811 +63 *21588:A2 *21588:A1 0.00118238 +64 *21588:A2 *1786:11 1.77537e-06 +65 *21588:A2 *2466:16 0 +66 *21588:A2 *2645:7 6.50586e-05 +67 *21588:A2 *5772:7 0.000297612 +68 *21588:A2 *5772:8 3.04443e-05 +69 *21743:A2 *21743:A1 2.16355e-05 +70 *21777:A2 *21777:A1 0.000648564 +71 *21777:A2 *21777:B1 6.08467e-05 +72 *21777:A2 *21777:C1 5.83668e-05 +73 *21777:A2 *22808:A1 7.38548e-05 +74 *21777:A2 *1561:21 0.000134011 +75 *21777:A2 *1569:71 5.60804e-05 +76 *21777:A2 *1631:9 3.96379e-05 +77 *21777:A2 *1631:34 2.37827e-05 +78 *21777:A2 *1689:168 8.28374e-06 +79 *21777:A2 *2543:28 0.000746375 +80 *21777:A2 *2672:40 1.49935e-05 +81 *21777:A2 *2680:27 0.000506038 +82 *21777:A2 *2812:18 3.51785e-06 +83 *21777:A2 *2860:20 0.00076148 +84 *21777:A2 *3533:79 3.94734e-05 +85 *1467:8 *2971:32 0.000739955 +86 *1467:8 *5858:213 1.65175e-05 +87 *1467:8 *5858:494 0.000249479 +88 *1467:22 *19937:A1 0.0016017 +89 *1467:22 *20345:S 0.000105475 +90 *1467:22 *1485:18 1.5714e-05 +91 *1467:22 *1511:50 5.76913e-05 +92 *1467:22 *1641:10 1.5714e-05 +93 *1467:22 *1689:28 0.000232922 +94 *1467:22 *1792:37 5.04829e-06 +95 *1467:22 *2274:22 7.31749e-05 +96 *1467:22 *2580:16 0.000104505 +97 *1467:22 *2700:12 2.13271e-05 +98 *1467:22 *2758:35 4.73232e-05 +99 *1467:22 *2833:8 2.04457e-05 +100 *1467:22 *2971:32 0.000498762 +101 *1467:22 *2971:41 0.000539656 +102 *1467:22 *5188:24 5.05252e-05 +103 *1467:22 *5858:213 4.59372e-05 +104 *1467:22 *5858:223 5.78114e-05 +105 *1467:22 *5917:465 2.25583e-07 +106 *1467:30 *19946:C1 2.47674e-05 +107 *1467:30 *19947:A 0 +108 *1467:30 *19998:B2 0.000170383 +109 *1467:30 *1506:35 0 +110 *1467:30 *1696:19 1.41492e-05 +111 *1467:30 *1749:8 0.000235967 +112 *1467:30 *2274:10 0 +113 *1467:30 *5898:25 0.00113015 +114 *1467:32 *19947:A 0 +115 *1467:32 *1696:19 0.000260633 +116 *1467:32 *1785:12 0.000220085 +117 *1467:36 *1506:46 0.000113852 +118 *1467:36 *1696:19 0.000119049 +119 *1467:36 *1785:12 0.000779885 +120 *1467:36 *1884:307 0.000342896 +121 *1467:43 *19915:A1 0 +122 *1467:43 *20030:C1 0.00137814 +123 *1467:43 *20354:B2 0.000196928 +124 *1467:43 *1494:11 0.000148683 +125 *1467:43 *1494:91 1.58546e-05 +126 *1467:43 *1497:93 0 +127 *1467:43 *1506:46 0.00165228 +128 *1467:43 *1785:12 0.000240087 +129 *1467:43 *1931:28 1.66626e-05 +130 *1467:43 *2466:16 0 +131 *1467:43 *4923:67 2.33103e-06 +132 *1467:76 *1740:38 0.000553944 +133 *1467:76 *1754:7 1.43983e-05 +134 *1467:76 *1785:9 0.00020502 +135 *1467:76 *2586:31 0.000553944 +136 *1467:76 *2936:16 1.81897e-05 +137 *1467:88 *1784:13 0.00350464 +138 *1467:88 *2502:11 2.48636e-05 +139 *1467:88 *5858:204 0.00356023 +140 *1467:91 *20382:B1 0.000182131 +141 *1467:91 *2784:23 0.000441997 +142 *1467:91 *4894:44 0.000113424 +143 *1467:91 *5854:173 6.26414e-05 +144 *1467:91 *5869:6 9.92647e-05 +145 *1467:91 *5869:21 9.21153e-06 +146 *1467:93 *19723:A1 5.26029e-05 +147 *1467:93 *19723:B1 4.09154e-05 +148 *1467:93 *19727:A1 3.06289e-05 +149 *1467:93 *19991:A 0.00125794 +150 *1467:93 *21343:A 0.000106561 +151 *1467:93 *24886:CLK 0 +152 *1467:93 *24893:CLK 0.00068197 +153 *1467:93 *1471:96 1.58588e-05 +154 *1467:93 *1508:50 0 +155 *1467:93 *2784:12 6.04912e-06 +156 *1467:93 *2784:23 0.000712973 +157 *1467:93 *4894:44 4.46284e-06 +158 *1467:93 *4929:25 0 +159 *1467:93 *5754:28 0.000206658 +160 *1467:93 *5756:24 0.00011498 +161 *1467:93 *5812:47 1.00824e-05 +162 *1467:93 *5854:173 0 +163 *1467:93 *5874:89 0 +164 *1467:93 *5917:305 0 +165 *1467:96 *21908:B1 0.000122378 +166 *1467:96 *1744:99 2.20702e-05 +167 *1467:96 *2864:19 9.14834e-05 +168 *1467:117 *20382:B1 4.14666e-05 +169 *1467:117 *1725:17 0.000233103 +170 *1467:117 *1784:16 1.888e-05 +171 *1467:117 *2502:11 0.00158963 +172 *1467:117 *2603:13 0.000535309 +173 *1467:117 *2705:16 0.00311848 +174 *1467:117 *2922:33 0.000402879 +175 *1467:117 *2976:8 1.91246e-05 +176 *1467:117 *5858:190 0.0001848 +177 *1467:117 *5869:21 4.65422e-05 +178 *1467:118 *24068:A0 0.000314086 +179 *1467:118 *1731:68 0.000826084 +180 *1467:118 *1731:70 0.000265004 +181 *1467:118 *1755:32 0.00163963 +182 *1467:118 *2502:17 0.000333099 +183 *1467:118 *2864:44 0.00069502 +184 *1467:118 *4867:169 0.000180454 +185 *1467:118 *5458:22 0.000115931 +186 *1467:118 *5460:8 6.71756e-05 +187 *1467:124 *19766:A2 1.16845e-05 +188 *1467:124 *24068:A0 0.000233756 +189 *1467:124 *1526:50 6.42805e-05 +190 *1467:124 *1526:81 0.000329035 +191 *1467:124 *1755:32 1.225e-05 +192 *1467:124 *2711:16 0.00138866 +193 *1467:124 *2714:10 7.28694e-05 +194 *1467:124 *3868:233 0.000430234 +195 *1467:124 *5458:22 0.000121065 +196 *1467:127 *23419:A 0.00133409 +197 *1467:127 *1731:78 0.000206696 +198 *1467:127 *1783:53 0.000209407 +199 *1467:127 *1786:43 0.000154145 +200 *1467:127 *4326:117 0.000782413 +201 *1467:127 *5563:39 0.000635358 +202 *1467:134 *23419:A 7.69662e-05 +203 *1467:134 *24796:RESET_B 7.11343e-06 +204 *1467:134 *1744:125 0.000253697 +205 *1467:134 *3868:251 9.76148e-05 +206 *1467:134 *5475:59 0.000257295 +207 *1467:138 *20642:A1 2.11606e-05 +208 *1467:138 *20642:B2 0.00018643 +209 *1467:138 *21464:A 0.000347214 +210 *1467:138 *1730:87 0.00132324 +211 *1467:138 *2022:30 0.000156479 +212 *1467:138 *2803:61 0.000646121 +213 *1467:138 *2971:15 7.50722e-05 +214 *1467:138 *5801:78 4.59541e-06 +215 *1467:138 *5801:84 6.63267e-05 +216 *1467:138 *5858:144 4.57241e-06 +217 *1467:138 *5858:146 6.07646e-05 +218 *1467:138 *5858:158 0.000509669 +219 *1467:138 *5869:308 0.000505138 +220 *1467:169 *2022:30 0.000154797 +221 *1467:169 *5801:84 5.48145e-05 +222 *1467:183 *2022:30 0.000198447 +223 *1467:183 *2616:43 0.000353686 +224 *1467:183 *2623:29 0.000357912 +225 *1467:183 *5801:84 7.81185e-05 +226 *1467:184 *20490:B1 3.87075e-05 +227 *1467:184 *20567:B 0.000110649 +228 *1467:184 *1699:42 9.24241e-05 +229 *1467:184 *1947:157 4.19763e-05 +230 *1467:184 *1947:159 0.00173911 +231 *1467:184 *1947:167 5.77352e-05 +232 *1467:184 *2274:63 0.00077899 +233 *1467:184 *2632:53 5.66905e-05 +234 *1467:184 *2943:66 9.92046e-06 +235 *1467:184 *3671:67 1.88878e-05 +236 *1467:184 *5680:8 0.000153956 +237 *1467:184 *5680:18 0.000375226 +238 *1467:184 *5851:116 7.05813e-06 +239 *1467:184 *5851:131 0.000280874 +240 *1467:184 *5851:141 0.000198051 +241 *1467:184 *5860:651 0 +242 *1467:184 *5860:696 0.000341573 +243 *1467:184 *5869:448 8.75142e-06 +244 *1467:198 *20420:A1 0.000119376 +245 *1467:198 *20420:B1 4.76061e-05 +246 *1467:198 *20420:B2 2.58616e-05 +247 *1467:198 *20559:A 2.16355e-05 +248 *1467:198 *20559:B 0.000167299 +249 *1467:198 *24630:CLK 0.000310109 +250 *1467:198 *1597:20 0.000512874 +251 *1467:198 *1973:27 4.00002e-05 +252 *1467:198 *2844:67 7.04954e-05 +253 *1467:198 *4927:151 0.000202765 +254 *1467:198 *4985:32 4.35492e-05 +255 *1467:198 *5869:625 0.000156946 +256 *19828:A *21777:A2 0.000631335 +257 *19872:A *21777:A2 2.57847e-05 +258 *21820:A2 *1467:43 0.000114576 +259 *24476:D *1467:138 7.50872e-05 +260 *24672:D *1467:43 5.13902e-05 +261 *24847:D *1467:93 5.26446e-05 +262 *24886:D *1467:93 1.88723e-05 +263 *496:31 *1467:76 0.00014069 +264 *514:85 *1467:93 0 +265 *1427:13 *1467:22 0.000279883 +266 *1428:24 *1467:30 1.46526e-05 +267 *1428:24 *1467:76 9.34919e-05 +268 *1439:231 *21588:A2 9.24241e-05 +269 *1448:19 *1467:8 6.50586e-05 +*RES +1 *19640:X *1467:8 27.3087 +2 *1467:8 *1467:22 49.4824 +3 *1467:22 *1467:30 33.1487 +4 *1467:30 *1467:32 4.94639 +5 *1467:32 *1467:36 16.2681 +6 *1467:36 *1467:43 49.3469 +7 *1467:43 *21588:A2 49.5605 +8 *1467:32 *21390:A2 13.7491 +9 *1467:30 *1467:76 46.8054 +10 *1467:76 *21743:A2 14.4725 +11 *1467:8 *1467:88 11.8358 +12 *1467:88 *1467:91 14.1919 +13 *1467:91 *1467:93 56.8529 +14 *1467:93 *1467:96 6.88721 +15 *1467:96 *21908:B2 9.24915 +16 *1467:96 *19641:B 32.5709 +17 *1467:88 *1467:117 21.0547 +18 *1467:117 *1467:118 54.8866 +19 *1467:118 *1467:124 35.192 +20 *1467:124 *1467:127 34.5783 +21 *1467:127 *1467:134 35.5371 +22 *1467:134 *1467:138 47.4424 +23 *1467:138 *19970:B2 39.6163 +24 *1467:138 *1467:169 3.28538 +25 *1467:169 *21345:A2 17.8002 +26 *1467:169 *1467:183 16.9593 +27 *1467:183 *1467:184 51.247 +28 *1467:184 *1467:198 47.3186 +29 *1467:198 *21777:A2 33.4896 +*END + +*D_NET *1468 0.00133215 +*CONN +*I *19642:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *19641:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19642:A 0.000335071 +2 *19641:X 0.000335071 +3 *19642:A *2563:5 1.08476e-05 +4 *19642:A *4843:603 0.000315191 +5 *19642:A *5754:28 0.000318803 +6 *19641:A *19642:A 1.71698e-05 +*RES +1 *19641:X *19642:A 36.564 +*END + +*D_NET *1469 0.0140877 +*CONN +*I *19646:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19647:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19643:A I *D sky130_fd_sc_hd__inv_2 +*I *19644:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19645:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19648:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19649:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19651:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19650:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19642:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *19646:A2 0.00013836 +2 *19647:A2 0 +3 *19643:A 0 +4 *19644:A2 0.000224511 +5 *19645:A2 2.15683e-05 +6 *19648:A2 1.70357e-05 +7 *19649:A2 0 +8 *19651:A2 2.40228e-05 +9 *19650:A2 9.36721e-06 +10 *19642:X 4.96947e-05 +11 *1469:59 0.000413236 +12 *1469:50 0.000918428 +13 *1469:40 0.00108103 +14 *1469:29 0.000952295 +15 *1469:20 0.00087365 +16 *1469:15 0.000618618 +17 *1469:9 0.000568322 +18 *1469:5 0.000420566 +19 *19644:A2 *19644:B2 9.45373e-05 +20 *19644:A2 *19645:A1 2.65667e-05 +21 *19644:A2 *4845:477 7.92757e-06 +22 *19644:A2 *5812:32 4.92508e-05 +23 *19645:A2 *19645:B1 4.31603e-06 +24 *19646:A2 *19646:B1 0.000131803 +25 *19646:A2 *4843:603 0.000111722 +26 *19646:A2 *5754:28 0.000186445 +27 *19646:A2 *5755:13 0.000186445 +28 *19646:A2 *5810:13 8.84189e-05 +29 *19648:A2 *19648:A1 5.04829e-06 +30 *19648:A2 *1470:72 6.50586e-05 +31 *19650:A2 *19650:A1 6.50586e-05 +32 *19650:A2 *5858:287 6.50586e-05 +33 *19651:A2 *19649:B1 4.58003e-05 +34 *19651:A2 *5860:169 0.000113968 +35 *1469:5 *24990:A 0.000108071 +36 *1469:5 *5851:236 0.000250402 +37 *1469:9 *19647:B1 0.000541387 +38 *1469:9 *24990:A 0.000512512 +39 *1469:9 *5851:236 0.000825209 +40 *1469:15 *1470:7 8.65522e-05 +41 *1469:15 *5748:31 7.2401e-05 +42 *1469:15 *5858:284 0 +43 *1469:20 *1692:8 0 +44 *1469:20 *5805:23 9.24241e-05 +45 *1469:20 *5856:353 8.8679e-05 +46 *1469:29 *19649:B1 2.36813e-05 +47 *1469:29 *1470:40 0 +48 *1469:29 *2926:8 7.50872e-05 +49 *1469:29 *5814:9 0 +50 *1469:29 *5856:353 3.0395e-05 +51 *1469:29 *5917:277 0.000323001 +52 *1469:40 *19649:B1 0.000197653 +53 *1469:40 *1470:62 1.05272e-06 +54 *1469:40 *5852:41 7.50722e-05 +55 *1469:40 *5917:277 5.33266e-05 +56 *1469:40 *5917:290 4.06401e-05 +57 *1469:50 *19648:A1 7.60356e-05 +58 *1469:50 *19649:A1 0.000266832 +59 *1469:50 *2619:19 9.49908e-05 +60 *1469:50 *2803:27 0.000217937 +61 *1469:50 *2803:33 0 +62 *1469:50 *5671:30 0.000476668 +63 *1469:50 *5748:31 0.000115903 +64 *1469:50 *5806:11 0.00011818 +65 *1469:50 *5917:290 9.14015e-05 +66 *1469:59 *19645:A1 2.73043e-05 +67 *1469:59 *19645:B1 2.65831e-05 +68 *1469:59 *19648:A1 1.56419e-05 +69 *1469:59 *1470:72 0.000121058 +70 *24880:D *1469:29 0.000127179 +71 *24885:D *19644:A2 2.85274e-05 +72 *1464:17 *19644:A2 0.000757759 +73 *1464:17 *19648:A2 5.51483e-06 +74 *1464:17 *1469:59 0.000544509 +*RES +1 *19642:X *1469:5 12.191 +2 *1469:5 *1469:9 15.1672 +3 *1469:9 *1469:15 17.238 +4 *1469:15 *1469:20 12.593 +5 *1469:20 *19650:A2 14.4725 +6 *1469:20 *1469:29 17.1963 +7 *1469:29 *19651:A2 15.0271 +8 *1469:29 *1469:40 14.2218 +9 *1469:40 *19649:A2 9.24915 +10 *1469:40 *1469:50 30.2473 +11 *1469:50 *19648:A2 9.97254 +12 *1469:50 *1469:59 6.84815 +13 *1469:59 *19645:A2 9.82786 +14 *1469:59 *19644:A2 18.6292 +15 *1469:15 *19643:A 9.24915 +16 *1469:9 *19647:A2 9.24915 +17 *1469:5 *19646:A2 24.4067 +*END + +*D_NET *1470 0.0129813 +*CONN +*I *19650:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19645:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19644:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19648:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19649:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19651:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19647:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19646:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19643:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19650:B2 0 +2 *19645:B2 0 +3 *19644:B2 0.000382534 +4 *19648:B2 0 +5 *19649:B2 0 +6 *19651:B2 0 +7 *19647:B2 0 +8 *19646:B2 0.000482967 +9 *19643:Y 0.000150273 +10 *1470:72 0.000644235 +11 *1470:62 0.00100802 +12 *1470:50 0.00113515 +13 *1470:40 0.000984865 +14 *1470:35 0.0011855 +15 *1470:8 0.000545611 +16 *1470:7 0.000802385 +17 *19644:B2 *1471:96 7.86825e-06 +18 *19644:B2 *2426:38 0.00078203 +19 *19644:B2 *2563:8 1.66626e-05 +20 *19644:B2 *2963:102 0 +21 *19644:B2 *4844:441 1.91246e-05 +22 *19644:B2 *4845:477 2.53145e-06 +23 *19644:B2 *5806:43 2.26985e-05 +24 *19646:B2 *19647:A1 0.000174205 +25 *19646:B2 *24839:CLK 0.000470571 +26 *19646:B2 *5806:20 7.93468e-05 +27 *19646:B2 *5810:13 7.32658e-06 +28 *19646:B2 *5810:21 0.000276991 +29 *1470:8 *19647:A1 5.77352e-05 +30 *1470:8 *5748:31 2.47663e-05 +31 *1470:8 *5806:20 4.52469e-05 +32 *1470:35 *19650:A1 0.000164815 +33 *1470:35 *19650:B1 4.80635e-06 +34 *1470:35 *19657:A0 2.82583e-05 +35 *1470:35 *5748:31 0.000176813 +36 *1470:35 *5805:9 0.000299312 +37 *1470:35 *5806:20 0.000173612 +38 *1470:35 *5858:287 9.82896e-06 +39 *1470:40 *19649:B1 0 +40 *1470:40 *19657:A0 6.50727e-05 +41 *1470:40 *24880:CLK 0.00024399 +42 *1470:40 *1692:8 6.19019e-05 +43 *1470:40 *2926:8 0 +44 *1470:40 *4883:18 8.36615e-05 +45 *1470:40 *5804:12 3.67528e-06 +46 *1470:40 *5814:9 0.000148144 +47 *1470:50 *19649:B1 0 +48 *1470:50 *4883:16 0.000156842 +49 *1470:50 *4883:18 7.41203e-05 +50 *1470:50 *5804:12 1.32509e-05 +51 *1470:62 *19637:A0 7.27368e-05 +52 *1470:62 *2803:33 0 +53 *1470:62 *4878:40 0.000774907 +54 *1470:62 *4883:16 0 +55 *1470:62 *4883:41 0 +56 *1470:62 *4883:50 0 +57 *1470:62 *5917:290 0 +58 *1470:72 *19645:B1 1.47978e-05 +59 *1470:72 *19648:A1 2.6144e-05 +60 *1470:72 *19648:B1 4.80635e-06 +61 *19644:A2 *19644:B2 9.45373e-05 +62 *19648:A2 *1470:72 6.50586e-05 +63 *24879:D *1470:50 0.000104731 +64 *24881:D *1470:62 0 +65 *24882:D *1470:62 2.41483e-05 +66 *24882:D *1470:72 0.000324151 +67 *1464:17 *1470:62 0.000222229 +68 *1464:17 *1470:72 2.76814e-05 +69 *1469:15 *1470:7 8.65522e-05 +70 *1469:29 *1470:40 0 +71 *1469:40 *1470:62 1.05272e-06 +72 *1469:59 *1470:72 0.000121058 +*RES +1 *19643:Y *1470:7 16.1364 +2 *1470:7 *1470:8 2.24725 +3 *1470:8 *19646:B2 29.9686 +4 *1470:8 *19647:B2 13.7491 +5 *1470:7 *1470:35 19.1277 +6 *1470:35 *1470:40 22.4197 +7 *1470:40 *19651:B2 13.7491 +8 *1470:40 *1470:50 15.4998 +9 *1470:50 *19649:B2 9.24915 +10 *1470:50 *1470:62 29.8615 +11 *1470:62 *19648:B2 9.24915 +12 *1470:62 *1470:72 7.98147 +13 *1470:72 *19644:B2 29.2719 +14 *1470:72 *19645:B2 9.24915 +15 *1470:35 *19650:B2 9.24915 +*END + +*D_NET *1471 0.104698 +*CONN +*I *19911:B I *D sky130_fd_sc_hd__or2_4 +*I *19653:B I *D sky130_fd_sc_hd__or2_2 +*I *19869:B I *D sky130_fd_sc_hd__or2_4 +*I *19826:A I *D sky130_fd_sc_hd__or2_4 +*I *19939:B I *D sky130_fd_sc_hd__or2_4 +*I *19960:A I *D sky130_fd_sc_hd__or2_4 +*I *20046:A I *D sky130_fd_sc_hd__or2_4 +*I *19652:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19911:B 2.99641e-05 +2 *19653:B 0 +3 *19869:B 0 +4 *19826:A 0.00010083 +5 *19939:B 0.000135488 +6 *19960:A 0.000307515 +7 *20046:A 0.000321842 +8 *19652:X 4.25602e-05 +9 *1471:230 0.000502616 +10 *1471:227 0.000480525 +11 *1471:226 0.00140406 +12 *1471:219 0.00217145 +13 *1471:213 0.00206204 +14 *1471:200 0.00215302 +15 *1471:192 0.00497857 +16 *1471:191 0.00489512 +17 *1471:185 0.00182623 +18 *1471:169 0.00189171 +19 *1471:160 0.00181362 +20 *1471:128 0.00252385 +21 *1471:117 0.00272084 +22 *1471:111 0.00200359 +23 *1471:96 0.00194736 +24 *1471:84 0.00242262 +25 *1471:78 0.00200872 +26 *1471:75 0.00176155 +27 *1471:68 0.00169664 +28 *1471:42 0.000780449 +29 *1471:40 0.000549851 +30 *1471:10 0.001809 +31 *1471:5 0.00165357 +32 *19826:A *1496:111 0.000125289 +33 *19826:A *1636:108 4.44414e-05 +34 *19911:B *1701:54 2.44829e-05 +35 *19939:B *19945:A1 1.86611e-05 +36 *19939:B *21722:A 0.000204812 +37 *19939:B *1696:10 2.95185e-05 +38 *19939:B *1749:8 5.54585e-05 +39 *19960:A *19929:B2 0.000211559 +40 *19960:A *1680:27 0.00049786 +41 *19960:A *1711:87 0.000126318 +42 *19960:A *1804:17 1.5613e-05 +43 *19960:A *2519:33 0.000566798 +44 *19960:A *2587:18 0.000173651 +45 *19960:A *2744:23 0.000173651 +46 *20046:A *19949:B 7.46136e-05 +47 *20046:A *2519:33 2.61223e-05 +48 *1471:5 *1563:7 3.31745e-05 +49 *1471:10 *21963:B2 1.9101e-05 +50 *1471:10 *1587:10 0.000118485 +51 *1471:10 *1686:8 0.000475715 +52 *1471:10 *1689:35 1.63138e-05 +53 *1471:10 *1706:21 0.00013257 +54 *1471:10 *1706:118 9.12416e-06 +55 *1471:10 *1801:16 5.01835e-05 +56 *1471:10 *2469:35 0.000105895 +57 *1471:40 *19945:A1 1.70077e-05 +58 *1471:40 *21383:B2 3.42041e-05 +59 *1471:40 *1510:16 5.74984e-05 +60 *1471:40 *1544:43 0.000231133 +61 *1471:40 *1563:7 1.65872e-05 +62 *1471:40 *1749:8 0.000298646 +63 *1471:40 *1758:11 0.000649385 +64 *1471:42 *19945:A1 3.92511e-05 +65 *1471:42 *1749:8 0.000110819 +66 *1471:68 *21722:A 2.07087e-05 +67 *1471:68 *1472:12 1.9898e-05 +68 *1471:68 *1506:35 0.0001308 +69 *1471:68 *1691:44 0.000528571 +70 *1471:68 *1792:76 0 +71 *1471:68 *5830:41 0.00013528 +72 *1471:75 *20575:A 0.000150923 +73 *1471:75 *20575:B 1.14497e-05 +74 *1471:75 *1640:8 0.00162384 +75 *1471:75 *1641:10 0 +76 *1471:75 *2442:22 0.000154145 +77 *1471:75 *5870:272 2.10284e-05 +78 *1471:78 *19882:A 0.000373061 +79 *1471:78 *20604:A1 0.000357464 +80 *1471:78 *20604:B1 6.00398e-05 +81 *1471:78 *20604:B2 3.58531e-05 +82 *1471:78 *2398:39 0.00272496 +83 *1471:78 *2446:15 8.03262e-05 +84 *1471:78 *5860:383 2.77625e-06 +85 *1471:78 *5860:401 0.000168419 +86 *1471:84 *20604:B2 6.08467e-05 +87 *1471:84 *21570:A1 0.000146877 +88 *1471:84 *21570:A2 0.00280102 +89 *1471:84 *21570:B1 0.00013243 +90 *1471:84 *24886:RESET_B 1.22347e-05 +91 *1471:84 *1506:146 2.65667e-05 +92 *1471:84 *1506:158 7.68538e-06 +93 *1471:84 *2398:39 2.77625e-06 +94 *1471:84 *2627:7 6.92705e-05 +95 *1471:84 *2704:7 0.000517872 +96 *1471:84 *5812:8 6.08467e-05 +97 *1471:84 *5851:219 0.000790671 +98 *1471:84 *5860:132 0.000158357 +99 *1471:84 *5860:383 4.66492e-05 +100 *1471:96 *21570:A1 0.00011818 +101 *1471:96 *1744:100 0.000861007 +102 *1471:96 *1744:108 0.000746282 +103 *1471:96 *2563:8 0.000212764 +104 *1471:96 *4876:69 5.93547e-06 +105 *1471:96 *5473:104 4.30017e-06 +106 *1471:96 *5726:10 0.000128605 +107 *1471:111 *19930:A 0.000470652 +108 *1471:111 *23944:A1 4.31603e-06 +109 *1471:111 *24200:CLK 3.90042e-05 +110 *1471:111 *1756:24 4.41528e-05 +111 *1471:111 *2803:33 0 +112 *1471:111 *2803:41 7.12801e-05 +113 *1471:111 *3014:56 0.000211098 +114 *1471:111 *4863:114 2.86829e-05 +115 *1471:111 *4878:40 0.000618576 +116 *1471:111 *5473:104 1.5006e-05 +117 *1471:111 *5916:35 0.000373061 +118 *1471:117 *24998:A 0.00016195 +119 *1471:117 *1731:65 1.37421e-05 +120 *1471:117 *1756:25 0.000858473 +121 *1471:117 *1815:82 8.08193e-06 +122 *1471:117 *4863:117 0.000679133 +123 *1471:117 *5482:24 8.04832e-05 +124 *1471:117 *5898:63 0.00145046 +125 *1471:128 *20081:B 0.000127194 +126 *1471:128 *20129:A1 0.000891773 +127 *1471:128 *20332:B 2.91891e-05 +128 *1471:128 *23955:A0 0.000180684 +129 *1471:128 *23955:A1 5.20155e-05 +130 *1471:128 *23955:S 2.16355e-05 +131 *1471:128 *1697:39 0.00106763 +132 *1471:128 *1815:82 1.24415e-05 +133 *1471:128 *2469:10 1.41976e-05 +134 *1471:128 *2864:49 6.88911e-05 +135 *1471:128 *5482:10 0.000111464 +136 *1471:128 *5482:18 6.41802e-05 +137 *1471:128 *5482:22 2.48286e-05 +138 *1471:128 *5482:24 3.74494e-05 +139 *1471:128 *5735:12 0.000211492 +140 *1471:160 *23953:A0 0.000403819 +141 *1471:160 *1714:8 7.50872e-05 +142 *1471:160 *1755:27 1.05601e-05 +143 *1471:160 *2405:20 6.99044e-06 +144 *1471:160 *2405:24 2.26985e-05 +145 *1471:160 *2469:10 0.000307037 +146 *1471:160 *2715:12 0.000535814 +147 *1471:160 *2864:49 0.000247771 +148 *1471:160 *5927:68 5.34824e-05 +149 *1471:160 *5938:8 0.000984205 +150 *1471:169 *19768:A 0.000314009 +151 *1471:169 *2283:13 5.16255e-05 +152 *1471:169 *2426:8 0.000910696 +153 *1471:169 *2612:22 3.24457e-05 +154 *1471:169 *2632:36 0.000210378 +155 *1471:169 *2937:10 6.20492e-05 +156 *1471:169 *5452:23 0.000147024 +157 *1471:169 *5801:58 0.000889389 +158 *1471:169 *5852:74 0 +159 *1471:169 *5926:46 0.00129398 +160 *1471:185 *6219:DIODE 3.53967e-05 +161 *1471:185 *22986:A 6.71498e-05 +162 *1471:185 *22987:A 0.000111722 +163 *1471:185 *23034:B 0.000364356 +164 *1471:185 *24071:A0 7.5301e-06 +165 *1471:185 *24071:A1 0.000242609 +166 *1471:185 *24832:CLK_N 6.08467e-05 +167 *1471:185 *2911:17 5.14029e-05 +168 *1471:185 *3897:11 1.82832e-05 +169 *1471:185 *3897:29 2.56676e-05 +170 *1471:185 *5082:62 0.000225576 +171 *1471:191 *22988:A 5.04829e-06 +172 *1471:191 *22988:B 8.90311e-06 +173 *1471:191 *23032:B 1.00846e-05 +174 *1471:191 *23037:A1 0.000109248 +175 *1471:191 *3877:221 5.88009e-05 +176 *1471:191 *3898:5 0.000158357 +177 *1471:191 *3898:18 0.000487161 +178 *1471:191 *4278:15 5.88009e-05 +179 *1471:192 *23038:A1 6.7671e-06 +180 *1471:192 *23609:A 2.41274e-06 +181 *1471:192 *1705:20 0.00144629 +182 *1471:192 *1818:38 0.000407076 +183 *1471:192 *1818:48 0.00182657 +184 *1471:200 *20636:A 0.000160617 +185 *1471:200 *1485:126 4.75654e-05 +186 *1471:200 *1729:72 0.000919004 +187 *1471:200 *1729:80 0.000192016 +188 *1471:200 *2021:11 0.000347769 +189 *1471:200 *2844:24 6.71498e-05 +190 *1471:200 *4863:157 0.00157234 +191 *1471:213 *21345:B2 0.00021986 +192 *1471:213 *24628:RESET_B 5.92342e-05 +193 *1471:213 *1597:30 9.82974e-06 +194 *1471:213 *1947:167 0.000116078 +195 *1471:213 *1947:181 0.000197716 +196 *1471:213 *2623:29 0.000440512 +197 *1471:213 *2836:8 2.27901e-06 +198 *1471:213 *3002:42 8.93085e-05 +199 *1471:213 *3373:33 0.000449642 +200 *1471:213 *3671:76 6.01588e-05 +201 *1471:213 *4863:157 0.000640221 +202 *1471:219 *20421:A1 2.37827e-05 +203 *1471:219 *22499:A1 0.000687265 +204 *1471:219 *22807:C1 0.000111802 +205 *1471:219 *2998:28 0.000100741 +206 *1471:219 *4870:116 0.00224026 +207 *1471:226 *22495:B1 2.54131e-05 +208 *1471:226 *22503:A1 0.000198858 +209 *1471:226 *22504:A 0.000530139 +210 *1471:226 *22514:B 2.14995e-05 +211 *1471:226 *22514:C 5.69208e-05 +212 *1471:226 *22807:B1 3.95516e-05 +213 *1471:226 *2548:7 0.000671592 +214 *1471:226 *3155:62 0.000366621 +215 *1471:226 *3198:93 0.000392511 +216 *1471:226 *3507:75 0.000203604 +217 *1471:226 *3511:104 5.51483e-06 +218 *1471:226 *3529:117 0.000107496 +219 *1471:230 *22514:C 0.000247896 +220 *1471:230 *22744:A1 0.000111802 +221 *1471:230 *22744:B1 0.000107496 +222 *1471:230 *3198:93 1.78935e-06 +223 *1471:230 *3474:58 0.000442558 +224 *1471:230 *3476:39 0.000124384 +225 *1471:230 *3476:52 3.54024e-05 +226 *19644:B2 *1471:96 7.86825e-06 +227 *19653:A *1471:68 3.96696e-05 +228 *19653:A *1471:75 0.000200794 +229 *19805:A *1471:5 0.000158371 +230 *19805:A *1471:40 9.55447e-05 +231 *19805:D *1471:5 6.08467e-05 +232 *19951:A *1471:40 0.000207266 +233 *19961:A *1471:10 0.000384451 +234 *24071:S *1471:185 0.000256056 +235 *24517:D *1471:75 3.70312e-05 +236 *24813:D *1471:128 1.78942e-05 +237 *24830:RESET_B *1471:169 0.000150526 +238 *24832:D *1471:185 0.000410977 +239 *24832:RESET_B *1471:185 0.000135426 +240 *24886:D *1471:96 9.12416e-06 +241 *25006:A *1471:160 0.000251592 +242 *443:10 *1471:111 0.000663706 +243 *459:31 *1471:128 1.4091e-06 +244 *476:46 *1471:75 0.000459308 +245 *484:81 *1471:128 1.66626e-05 +246 *514:58 *1471:128 2.55255e-05 +247 *1424:104 *20046:A 0.000161074 +248 *1424:117 *19960:A 0.000154145 +249 *1424:117 *20046:A 9.4228e-05 +250 *1427:165 *1471:10 0.000177084 +251 *1439:260 *1471:84 7.6719e-06 +252 *1442:74 *1471:200 4.65265e-05 +253 *1442:74 *1471:213 0.000611163 +254 *1448:73 *1471:10 0.000194611 +255 *1448:79 *1471:10 3.31882e-05 +256 *1448:119 *19911:B 0.000113968 +257 *1459:87 *1471:219 0.000500363 +258 *1461:78 *1471:10 0.000306871 +259 *1461:88 *1471:10 0.000122161 +260 *1461:195 *1471:10 0.000434459 +261 *1467:93 *1471:96 1.58588e-05 +*RES +1 *19652:X *1471:5 11.0817 +2 *1471:5 *1471:10 45.7132 +3 *1471:10 *20046:A 18.1469 +4 *1471:10 *19960:A 32.4484 +5 *1471:5 *1471:40 20.9009 +6 *1471:40 *1471:42 2.45487 +7 *1471:42 *19939:B 18.2859 +8 *1471:42 *1471:68 19.0605 +9 *1471:68 *1471:75 44.7784 +10 *1471:75 *1471:78 31.516 +11 *1471:78 *1471:84 47.503 +12 *1471:84 *1471:96 49.9852 +13 *1471:96 *1471:111 46.4086 +14 *1471:111 *1471:117 40.3022 +15 *1471:117 *1471:128 46.1212 +16 *1471:128 *1471:160 48.037 +17 *1471:160 *1471:169 49.5538 +18 *1471:169 *1471:185 43.3353 +19 *1471:185 *1471:191 22.7868 +20 *1471:191 *1471:192 62.839 +21 *1471:192 *1471:200 49.1446 +22 *1471:200 *1471:213 47.7279 +23 *1471:213 *1471:219 41.3646 +24 *1471:219 *1471:226 37.8956 +25 *1471:226 *1471:227 104.301 +26 *1471:227 *1471:230 21.0646 +27 *1471:230 *19826:A 20.9116 +28 *1471:230 *19869:B 9.24915 +29 *1471:68 *19653:B 9.24915 +30 *1471:40 *19911:B 15.0271 +*END + +*D_NET *1472 0.0186103 +*CONN +*I *19937:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21914:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *19654:B I *D sky130_fd_sc_hd__or2_2 +*I *19653:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *19937:A2 0 +2 *21914:A2 0.00115534 +3 *19654:B 0.000556478 +4 *19653:X 0.000525021 +5 *1472:16 0.00171182 +6 *1472:14 0.00173612 +7 *1472:12 0.00226114 +8 *19654:B *1668:34 5.77061e-05 +9 *19654:B *2741:14 0.000712578 +10 *19654:B *2992:10 0.000219686 +11 *21914:A2 *21914:A1 6.08467e-05 +12 *21914:A2 *21914:B1 7.89747e-05 +13 *21914:A2 *24220:SET_B 3.04084e-05 +14 *21914:A2 *1492:47 3.82228e-05 +15 *21914:A2 *2561:91 5.23916e-05 +16 *21914:A2 *2741:14 0.000523679 +17 *21914:A2 *2926:9 8.07749e-05 +18 *21914:A2 *5360:7 9.06436e-05 +19 *21914:A2 *5856:415 5.51483e-06 +20 *1472:12 *19739:B 0 +21 *1472:12 *19943:B 0.000539142 +22 *1472:12 *19945:A2 0.000937694 +23 *1472:12 *21722:A 6.27782e-05 +24 *1472:12 *1689:28 2.02035e-05 +25 *1472:12 *1739:8 8.25226e-05 +26 *1472:12 *2951:28 0 +27 *1472:14 *19936:A1 5.36255e-05 +28 *1472:14 *19998:A1 0.000241285 +29 *1472:14 *21080:A1 2.61857e-05 +30 *1472:14 *24520:RESET_B 6.34651e-06 +31 *1472:14 *1516:17 0.000147379 +32 *1472:14 *1516:20 0.00339455 +33 *1472:14 *1690:30 1.48503e-05 +34 *1472:14 *1739:8 6.53632e-05 +35 *1472:14 *2004:10 4.49078e-05 +36 *1472:14 *2951:28 0 +37 *1472:14 *4863:61 0 +38 *1472:14 *5858:429 3.49153e-05 +39 *1472:14 *5870:232 0.000275239 +40 *1472:14 *5870:247 0.000330503 +41 *1472:14 *5870:253 0.000325909 +42 *1472:14 *5870:264 0.000462656 +43 *19653:A *1472:12 0.000845341 +44 *514:98 *1472:14 0.000781684 +45 *1471:68 *1472:12 1.9898e-05 +*RES +1 *19653:X *1472:12 36.1817 +2 *1472:12 *1472:14 67.4418 +3 *1472:14 *1472:16 4.5 +4 *1472:16 *19654:B 30.0906 +5 *1472:16 *21914:A2 31.2404 +6 *1472:12 *19937:A2 13.7491 +*END + +*D_NET *1473 0.0113448 +*CONN +*I *19655:S I *D sky130_fd_sc_hd__mux2_1 +*I *19657:S I *D sky130_fd_sc_hd__mux2_1 +*I *19654:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *19655:S 0.000122105 +2 *19657:S 9.05207e-05 +3 *19654:X 0 +4 *1473:7 0.000212626 +5 *1473:5 0.00360331 +6 *1473:4 0.00360331 +7 *19655:S *24878:SET_B 7.53343e-05 +8 *19655:S *5475:19 3.43126e-05 +9 *19657:S *19657:A0 1.79196e-05 +10 *19657:S *24878:SET_B 2.04806e-05 +11 *19657:S *5475:19 6.6516e-05 +12 *19657:S *5813:10 5.92192e-05 +13 *19657:S *5813:21 0.000141016 +14 *1473:5 *20456:A1 2.42138e-05 +15 *1473:5 *21906:A 5.0715e-05 +16 *1473:5 *21907:A 0.00025296 +17 *1473:5 *21908:A1 0.000368568 +18 *1473:5 *21908:B1 0.000468325 +19 *1473:5 *21912:A 0.000262003 +20 *1473:5 *5813:22 2.16355e-05 +21 *1473:5 *5851:224 0.000865428 +22 *1473:5 *5851:287 0.00036437 +23 *1473:5 *5851:301 0.000576786 +24 *19654:A *1473:5 4.31539e-05 +*RES +1 *19654:X *1473:4 9.24915 +2 *1473:4 *1473:5 85.0231 +3 *1473:5 *1473:7 4.5 +4 *1473:7 *19657:S 18.0727 +5 *1473:7 *19655:S 17.3154 +*END + +*D_NET *1474 0.00104527 +*CONN +*I *19656:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19655:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19656:A 0.000234557 +2 *19655:X 0.000234557 +3 *19656:A *1522:46 0.000125695 +4 *19656:A *1845:81 0.000122098 +5 *19656:A *2864:25 0.000328363 +*RES +1 *19655:X *19656:A 33.242 +*END + +*D_NET *1475 0.000915767 +*CONN +*I *19658:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19657:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19658:A 0.000367233 +2 *19657:X 0.000367233 +3 *19658:A *24877:CLK 3.31733e-05 +4 *19658:A *1522:46 0.000148129 +5 *19658:A *4937:8 0 +*RES +1 *19657:X *19658:A 34.2118 +*END + +*D_NET *1476 0.01126 +*CONN +*I *20226:B1 I *D sky130_fd_sc_hd__o211a_2 +*I *19669:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *22848:A I *D sky130_fd_sc_hd__and2_1 +*I *21057:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19659:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20226:B1 0.000496418 +2 *19669:A1 7.21512e-05 +3 *22848:A 0.00077882 +4 *21057:A2 0 +5 *19659:Y 0 +6 *1476:29 0.000971832 +7 *1476:10 0.00174542 +8 *1476:4 0.00136986 +9 *19669:A1 *1483:137 2.16355e-05 +10 *20226:B1 *20226:A2 1.99115e-05 +11 *20226:B1 *20226:C1 1.86327e-05 +12 *20226:B1 *1809:160 0.000157769 +13 *20226:B1 *4034:33 7.16083e-05 +14 *20226:B1 *4874:20 5.74949e-05 +15 *20226:B1 *6021:9 0 +16 *22848:A *24181:CLK 0 +17 *22848:A *24184:CLK 0.000396822 +18 *22848:A *2197:14 0.00015887 +19 *22848:A *4881:54 0 +20 *22848:A *4881:61 0 +21 *22848:A *4881:78 0 +22 *22848:A *4881:81 0.000213725 +23 *1476:10 *19659:A 1.03403e-05 +24 *1476:10 *19668:A 2.41274e-06 +25 *1476:10 *20305:A2 0.00028458 +26 *1476:10 *21057:A1 4.37481e-05 +27 *1476:10 *24176:SET_B 4.58666e-05 +28 *1476:10 *4126:48 1.01085e-05 +29 *1476:10 *6011:8 0.000313692 +30 *1476:10 *6011:17 0.000174206 +31 *1476:29 *19666:A 0.000242149 +32 *1476:29 *19668:A 2.99978e-05 +33 *1476:29 *19668:B 0.000153516 +34 *1476:29 *20305:A1 0.000132567 +35 *1476:29 *2523:24 2.98171e-05 +36 *1476:29 *4034:34 5.01835e-05 +37 *1476:29 *4881:135 2.90489e-05 +38 *1476:29 *6001:206 0.000306512 +39 *22848:B *22848:A 0.000457669 +40 *24757:D *20226:B1 6.51416e-05 +41 *566:106 *22848:A 1.50262e-05 +42 *566:109 *22848:A 6.49003e-05 +43 *566:110 *22848:A 0.000440242 +44 *566:110 *1476:10 0.00180727 +*RES +1 *19659:Y *1476:4 9.24915 +2 *1476:4 *1476:10 40.1807 +3 *1476:10 *21057:A2 13.7491 +4 *1476:10 *22848:A 40.261 +5 *1476:4 *1476:29 16.4696 +6 *1476:29 *19669:A1 15.0513 +7 *1476:29 *20226:B1 24.5346 +*END + +*D_NET *1477 0.01048 +*CONN +*I *20178:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *22103:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23928:A I *D sky130_fd_sc_hd__nor2_1 +*I *19666:A I *D sky130_fd_sc_hd__nand2_8 +*I *19660:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20178:B1 0.000513345 +2 *22103:A1 0.000289318 +3 *23928:A 0 +4 *19666:A 0.000396436 +5 *19660:Y 0 +6 *1477:12 0.00150826 +7 *1477:8 0.00164713 +8 *1477:4 0.000759332 +9 *19666:A *22118:A 0.000127179 +10 *19666:A *4837:8 9.83703e-05 +11 *19666:A *4881:135 0.000391845 +12 *19666:A *6001:206 2.01186e-05 +13 *19666:A *6017:16 0.00031669 +14 *20178:B1 *19664:A 0.000103123 +15 *20178:B1 *19664:B 0.000217951 +16 *20178:B1 *23963:A1 0 +17 *20178:B1 *1480:8 0.000796586 +18 *20178:B1 *4837:8 0 +19 *20178:B1 *6001:211 0 +20 *22103:A1 *22103:A2 0.000281857 +21 *22103:A1 *22103:B1 6.08467e-05 +22 *22103:A1 *4845:11 0.000203756 +23 *1477:8 *19660:A 1.83992e-05 +24 *1477:8 *4837:8 0.000134676 +25 *1477:8 *6019:23 8.39099e-06 +26 *1477:12 *22106:A1 0 +27 *1477:12 *1480:8 0 +28 *1477:12 *1483:139 0 +29 *1477:12 *3089:58 0 +30 *1477:12 *3089:74 0 +31 *1477:12 *4837:8 0.00201276 +32 *1477:12 *4881:125 0.000242119 +33 *24177:D *1477:12 0 +34 *24179:D *19666:A 4.31539e-05 +35 *24180:D *1477:12 4.61732e-05 +36 *1476:29 *19666:A 0.000242149 +*RES +1 *19660:Y *1477:4 9.24915 +2 *1477:4 *1477:8 9.54971 +3 *1477:8 *1477:12 40.7979 +4 *1477:12 *19666:A 34.2589 +5 *1477:12 *23928:A 9.24915 +6 *1477:8 *22103:A1 22.7916 +7 *1477:4 *20178:B1 35.6199 +*END + +*D_NET *1478 0.00780853 +*CONN +*I *20173:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *22104:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23927:A I *D sky130_fd_sc_hd__nor2_1 +*I *19664:A I *D sky130_fd_sc_hd__and3_1 +*I *19661:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20173:B1 0.000381891 +2 *22104:A1 5.85942e-05 +3 *23927:A 0.000430763 +4 *19664:A 0.000363982 +5 *19661:Y 7.14857e-05 +6 *1478:34 0.00108757 +7 *1478:11 0.00115163 +8 *1478:7 0.000642806 +9 *19664:A *19664:C 2.45203e-05 +10 *19664:A *20178:A1 0 +11 *19664:A *20179:C 4.9854e-05 +12 *19664:A *1479:36 0.000210911 +13 *19664:A *1483:139 9.31064e-05 +14 *19664:A *6019:13 3.31745e-05 +15 *19664:A *6019:23 1.61631e-05 +16 *20173:B1 *20178:A2 0.000553536 +17 *20173:B1 *20179:A 0.000137936 +18 *22104:A1 *24183:CLK 7.16893e-05 +19 *23927:A *22104:B1 0 +20 *23927:A *23929:A 0.000402565 +21 *23927:A *23930:A 6.08467e-05 +22 *23927:A *23930:B 5.77469e-05 +23 *23927:A *5458:108 2.69785e-05 +24 *1478:11 *1479:36 0 +25 *1478:11 *6018:28 0 +26 *1478:11 *6019:13 0.000178222 +27 *1478:34 *22104:B1 0 +28 *1478:34 *22849:A 2.56311e-05 +29 *1478:34 *23929:A 0.000365343 +30 *1478:34 *1479:8 0.000508558 +31 *1478:34 *1479:36 8.78225e-05 +32 *1478:34 *3089:100 0 +33 *20178:B1 *19664:A 0.000103123 +34 *24077:A0 *20173:B1 0.000253133 +35 *24077:A1 *20173:B1 0 +36 *24077:A1 *1478:7 0.00011818 +37 *24077:S *20173:B1 6.71361e-06 +38 *24077:S *1478:11 0.000234051 +39 *24184:D *1478:34 0 +*RES +1 *19661:Y *1478:7 15.0271 +2 *1478:7 *1478:11 10.9376 +3 *1478:11 *19664:A 29.6109 +4 *1478:11 *1478:34 23.0154 +5 *1478:34 *23927:A 25.8141 +6 *1478:34 *22104:A1 15.5817 +7 *1478:7 *20173:B1 27.6235 +*END + +*D_NET *1479 0.00751357 +*CONN +*I *20178:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *19664:B I *D sky130_fd_sc_hd__and3_1 +*I *22105:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23929:A I *D sky130_fd_sc_hd__nor2_1 +*I *19662:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20178:A1 0.00011798 +2 *19664:B 0.000175134 +3 *22105:A1 2.9191e-05 +4 *23929:A 0.00112997 +5 *19662:Y 5.0318e-05 +6 *1479:36 0.000562823 +7 *1479:8 0.00135027 +8 *1479:7 0.000511129 +9 *22105:A1 *22103:A2 4.23858e-05 +10 *22105:A1 *4845:11 0.000110306 +11 *23929:A *22104:B1 0 +12 *23929:A *1483:139 0.000355651 +13 *23929:A *3077:8 0 +14 *23929:A *4665:82 0.000213725 +15 *23929:A *5671:225 0 +16 *23929:A *5671:260 0.000216103 +17 *23929:A *5671:269 0.000325932 +18 *23929:A *6015:6 0 +19 *1479:7 *6019:13 2.16355e-05 +20 *1479:8 *1483:139 7.36625e-05 +21 *1479:8 *6019:23 0.00025694 +22 *1479:36 *20179:C 8.03393e-06 +23 *1479:36 *1483:139 0 +24 *1479:36 *6019:23 5.46356e-05 +25 *19664:A *20178:A1 0 +26 *19664:A *1479:36 0.000210911 +27 *20178:B1 *19664:B 0.000217951 +28 *20178:B2 *20178:A1 0.000114594 +29 *23927:A *23929:A 0.000402565 +30 *24077:A0 *1479:36 0 +31 *24077:S *1479:36 0 +32 *1478:11 *1479:36 0 +33 *1478:34 *23929:A 0.000365343 +34 *1478:34 *1479:8 0.000508558 +35 *1478:34 *1479:36 8.78225e-05 +*RES +1 *19662:Y *1479:7 14.4725 +2 *1479:7 *1479:8 9.30653 +3 *1479:8 *23929:A 49.5275 +4 *1479:8 *22105:A1 15.0271 +5 *1479:7 *1479:36 13.1226 +6 *1479:36 *19664:B 12.191 +7 *1479:36 *20178:A1 12.4803 +*END + +*D_NET *1480 0.00842431 +*CONN +*I *20174:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *19664:C I *D sky130_fd_sc_hd__and3_1 +*I *23930:A I *D sky130_fd_sc_hd__nor2_1 +*I *22106:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *19663:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20174:B1 0.000664073 +2 *19664:C 5.70271e-05 +3 *23930:A 2.3451e-05 +4 *22106:A1 0.000594899 +5 *19663:Y 0 +6 *1480:8 0.00135498 +7 *1480:6 0.00101495 +8 *1480:5 0.000885367 +9 *20174:B1 *20173:A1 2.41483e-05 +10 *20174:B1 *20173:A2 6.50586e-05 +11 *20174:B1 *20179:B 0.000113968 +12 *20174:B1 *4844:13 0.000189732 +13 *20174:B1 *4844:19 7.68538e-06 +14 *20174:B1 *6001:223 0 +15 *22106:A1 *22067:B 0.00010761 +16 *22106:A1 *22106:B1 5.01883e-05 +17 *22106:A1 *1483:139 0.000118485 +18 *23930:A *5458:108 1.03403e-05 +19 *1480:6 *1483:139 0.000331044 +20 *1480:6 *5907:54 0 +21 *1480:6 *6001:223 0 +22 *1480:8 *23963:A1 0 +23 *1480:8 *1483:139 0.00176509 +24 *1480:8 *5907:54 0 +25 *19664:A *19664:C 2.45203e-05 +26 *20178:B1 *1480:8 0.000796586 +27 *23927:A *23930:A 6.08467e-05 +28 *24177:D *1480:8 0.000143032 +29 *24180:D *22106:A1 2.12377e-05 +30 *1477:12 *22106:A1 0 +31 *1477:12 *1480:8 0 +*RES +1 *19663:Y *1480:5 13.7491 +2 *1480:5 *1480:6 6.81502 +3 *1480:6 *1480:8 31.3149 +4 *1480:8 *22106:A1 24.3637 +5 *1480:8 *23930:A 14.4725 +6 *1480:6 *19664:C 14.569 +7 *1480:5 *20174:B1 26.0651 +*END + +*D_NET *1481 0.000225405 +*CONN +*I *19665:A I *D sky130_fd_sc_hd__buf_12 +*I *19664:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *19665:A 5.55726e-05 +2 *19664:X 5.55726e-05 +3 *19665:A *20179:C 5.88662e-05 +4 *19665:A *1483:139 5.53934e-05 +*RES +1 *19664:X *19665:A 29.7455 +*END + +*D_NET *1482 0.0579908 +*CONN +*I *19668:B I *D sky130_fd_sc_hd__or2_1 +*I *19667:A I *D sky130_fd_sc_hd__inv_6 +*I *20161:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20157:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20155:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20156:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20160:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20159:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20158:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19666:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *19668:B 0.000277199 +2 *19667:A 6.92249e-05 +3 *20161:A2 0.000311873 +4 *20157:A2 8.70364e-05 +5 *20155:A2 4.62132e-05 +6 *20156:A2 1.98947e-05 +7 *20160:A2 0.000140092 +8 *20159:A2 0 +9 *20158:A2 0.000115381 +10 *19666:Y 0 +11 *1482:81 0.000644041 +12 *1482:71 0.000808096 +13 *1482:69 0.000748316 +14 *1482:52 0.000664143 +15 *1482:50 0.000572029 +16 *1482:48 0.00197751 +17 *1482:34 0.00373491 +18 *1482:32 0.00361198 +19 *1482:29 0.00316043 +20 *1482:16 0.00274151 +21 *1482:10 0.000838938 +22 *1482:8 0.000893192 +23 *1482:4 0.00117039 +24 *19667:A *2523:31 8.94313e-05 +25 *19667:A *3082:31 0.000250405 +26 *19668:B *4881:135 0.000153516 +27 *19668:B *6001:189 0.000107496 +28 *20155:A2 *20155:A1 5.04829e-06 +29 *20155:A2 *4864:7 1.65872e-05 +30 *20156:A2 *20156:B1 2.16355e-05 +31 *20156:A2 *1483:77 6.08467e-05 +32 *20157:A2 *20157:A1 6.08467e-05 +33 *20157:A2 *1763:15 3.31745e-05 +34 *20157:A2 *2623:26 0.000107496 +35 *20158:A2 *20158:B1 4.80635e-06 +36 *20158:A2 *1506:99 6.51527e-05 +37 *20158:A2 *1755:45 6.51527e-05 +38 *20158:A2 *2283:29 0.000154145 +39 *20158:A2 *2284:28 1.65872e-05 +40 *20158:A2 *2911:30 0.00020502 +41 *20160:A2 *20159:A1 2.65831e-05 +42 *20160:A2 *20159:B2 0.000151547 +43 *20160:A2 *20160:A1 1.08355e-05 +44 *20160:A2 *4798:61 0.000401062 +45 *20161:A2 *1755:45 6.08467e-05 +46 *1482:8 *4137:83 0.000555001 +47 *1482:8 *4409:8 0.000156202 +48 *1482:8 *4664:40 0.0024315 +49 *1482:16 *1483:14 8.67929e-05 +50 *1482:16 *2523:31 5.04829e-06 +51 *1482:16 *3082:31 0.000437002 +52 *1482:16 *3788:50 0.000396197 +53 *1482:16 *4371:36 0.0012975 +54 *1482:16 *4611:12 0.000488829 +55 *1482:16 *5925:17 0.000138857 +56 *1482:29 *23771:B1 0.000117561 +57 *1482:29 *23790:D 0.000534392 +58 *1482:29 *1483:14 9.66168e-05 +59 *1482:29 *1707:39 0.000172851 +60 *1482:29 *1707:43 6.82851e-05 +61 *1482:29 *2620:57 0.000118634 +62 *1482:29 *2620:76 0.00151695 +63 *1482:29 *4009:115 0 +64 *1482:29 *4106:79 0.000414142 +65 *1482:29 *4550:53 3.10606e-05 +66 *1482:29 *4611:12 0.000421215 +67 *1482:29 *4625:9 0.000560376 +68 *1482:29 *4656:8 0.000384351 +69 *1482:29 *4664:33 9.05647e-05 +70 *1482:29 *4739:51 8.57455e-06 +71 *1482:29 *4800:14 0.000137216 +72 *1482:29 *5925:17 0 +73 *1482:32 *23754:A2 1.01851e-05 +74 *1482:32 *23808:A2 0.00028997 +75 *1482:32 *23808:B1 0.000148994 +76 *1482:32 *23831:A 0.000392938 +77 *1482:32 *23842:A1 0.000123103 +78 *1482:32 *23856:A 2.38642e-05 +79 *1482:32 *23858:A2 0.000137324 +80 *1482:32 *2620:76 0.000134557 +81 *1482:32 *4655:8 4.47123e-05 +82 *1482:32 *4723:8 3.54949e-06 +83 *1482:32 *4730:6 0.000392931 +84 *1482:32 *4798:16 0.000862617 +85 *1482:32 *4798:33 3.16717e-05 +86 *1482:32 *4861:19 1.2819e-05 +87 *1482:32 *4934:19 0.000423283 +88 *1482:34 *20564:B2 0.000102374 +89 *1482:34 *20565:A2 0.000183732 +90 *1482:34 *20565:B2 7.01586e-06 +91 *1482:34 *20724:B2 9.86554e-05 +92 *1482:34 *23859:A1 0.000143079 +93 *1482:34 *1763:59 0.000236136 +94 *1482:34 *1795:44 0.00120493 +95 *1482:34 *1998:20 6.14273e-05 +96 *1482:34 *1998:24 0.000222823 +97 *1482:34 *1998:44 0.000208991 +98 *1482:34 *2049:16 2.04806e-05 +99 *1482:34 *2049:18 0.000173271 +100 *1482:34 *4798:33 0 +101 *1482:34 *4798:42 0.000104046 +102 *1482:34 *4861:14 0.000106245 +103 *1482:34 *4861:19 0.00243895 +104 *1482:34 *4916:114 3.80436e-07 +105 *1482:34 *5852:117 6.32213e-05 +106 *1482:34 *5861:166 0.000728141 +107 *1482:34 *5861:179 0.000834675 +108 *1482:48 *20161:A1 6.25887e-05 +109 *1482:48 *20573:A2 1.66771e-05 +110 *1482:48 *21777:A1 4.50048e-05 +111 *1482:48 *1506:99 0.00021618 +112 *1482:48 *1726:28 2.86549e-05 +113 *1482:48 *1755:45 2.69795e-05 +114 *1482:48 *1763:14 0.000500434 +115 *1482:48 *1808:40 4.31122e-06 +116 *1482:48 *2000:8 0.000496133 +117 *1482:48 *2000:17 0.000469273 +118 *1482:48 *2000:53 0.000224553 +119 *1482:48 *2563:20 0.00116816 +120 *1482:48 *2618:18 0 +121 *1482:48 *4798:46 3.14931e-05 +122 *1482:48 *4798:53 8.1243e-05 +123 *1482:48 *4855:24 0.000112301 +124 *1482:48 *5861:179 0.000260643 +125 *1482:50 *1506:99 0.000826111 +126 *1482:50 *1755:45 0.000835819 +127 *1482:52 *1506:99 0.000306518 +128 *1482:52 *1755:45 0.000313615 +129 *1482:69 *1483:52 4.49912e-05 +130 *1482:69 *1483:60 1.66626e-05 +131 *1482:69 *2284:28 0.000493634 +132 *1482:69 *4803:12 1.05862e-05 +133 *1482:69 *5997:10 0.000471686 +134 *1482:69 *5997:12 4.5435e-07 +135 *1482:69 *5998:18 0.000224809 +136 *1482:71 *5997:12 5.1493e-06 +137 *1482:71 *5998:18 0.000234292 +138 *1482:81 *1725:33 0.000353967 +139 *1482:81 *2429:25 0.000195019 +140 *1482:81 *2603:20 1.91391e-05 +141 *1482:81 *5854:148 9.26928e-06 +142 *1482:81 *5998:18 5.38612e-06 +143 *24421:D *1482:34 8.94215e-05 +144 *24422:D *1482:34 0 +145 *24525:D *1482:34 1.22763e-05 +146 *24528:D *1482:34 0.000169415 +147 *24529:D *1482:34 0.000122068 +148 *24792:D *20161:A2 0.000191403 +149 *24795:D *1482:69 0.000400335 +150 *24798:D *20155:A2 5.04829e-06 +151 *576:11 *1482:8 0.00149669 +152 *657:181 *1482:32 0.000354863 +153 *657:224 *19668:B 0.000510762 +154 *657:224 *1482:8 0.000100705 +155 *1066:22 *1482:34 0.000316627 +156 *1459:51 *20155:A2 6.08467e-05 +157 *1459:51 *1482:71 0.000537516 +158 *1459:51 *1482:81 2.01503e-05 +159 *1476:29 *19668:B 0.000153516 +*RES +1 *19666:Y *1482:4 9.24915 +2 *1482:4 *1482:8 49.9685 +3 *1482:8 *1482:10 4.5 +4 *1482:10 *1482:16 36.5173 +5 *1482:16 *1482:29 42.4388 +6 *1482:29 *1482:32 46.1052 +7 *1482:32 *1482:34 98.3781 +8 *1482:34 *1482:48 46.005 +9 *1482:48 *1482:50 15.9506 +10 *1482:50 *1482:52 5.98452 +11 *1482:52 *20158:A2 18.1318 +12 *1482:52 *1482:69 25.2671 +13 *1482:69 *1482:71 10.5523 +14 *1482:71 *1482:81 13.4215 +15 *1482:81 *20159:A2 9.24915 +16 *1482:81 *20160:A2 15.181 +17 *1482:71 *20156:A2 14.4725 +18 *1482:69 *20155:A2 15.0271 +19 *1482:50 *20157:A2 16.1364 +20 *1482:48 *20161:A2 19.9221 +21 *1482:10 *19667:A 12.191 +22 *1482:4 *19668:B 27.6204 +*END + +*D_NET *1483 0.0696011 +*CONN +*I *20226:C1 I *D sky130_fd_sc_hd__o211a_2 +*I *20179:D I *D sky130_fd_sc_hd__or4_1 +*I *19669:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *20161:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20157:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20158:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20155:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20160:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20159:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20156:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19667:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *20226:C1 0.000238031 +2 *20179:D 4.72591e-05 +3 *19669:A2 0 +4 *20161:B2 0 +5 *20157:B2 0 +6 *20158:B2 4.00756e-05 +7 *20155:B2 0 +8 *20160:B2 2.77273e-05 +9 *20159:B2 0.00042302 +10 *20156:B2 0 +11 *19667:Y 0 +12 *1483:139 0.00204281 +13 *1483:137 0.00268118 +14 *1483:123 0.00145048 +15 *1483:77 0.000731416 +16 *1483:65 0.000557866 +17 *1483:60 0.000616698 +18 *1483:52 0.000649092 +19 *1483:48 0.000938938 +20 *1483:38 0.00106573 +21 *1483:37 0.00136331 +22 *1483:26 0.00209805 +23 *1483:25 0.00196007 +24 *1483:20 0.0020591 +25 *1483:19 0.00134538 +26 *1483:14 0.00213579 +27 *1483:13 0.00266808 +28 *1483:4 0.00165047 +29 *20158:B2 *20158:B1 2.65667e-05 +30 *20158:B2 *2284:28 0.000160617 +31 *20158:B2 *5997:10 4.31703e-05 +32 *20159:B2 *6211:DIODE 7.92757e-06 +33 *20159:B2 *20156:B1 0 +34 *20159:B2 *20160:A1 0 +35 *20159:B2 *20160:B1 0.000154145 +36 *20159:B2 *4798:61 5.51483e-06 +37 *20160:B2 *6211:DIODE 6.50586e-05 +38 *20160:B2 *20160:B1 6.08467e-05 +39 *20179:D *20179:C 0.000162739 +40 *20179:D *1872:15 8.90486e-05 +41 *20179:D *4841:22 0.00037796 +42 *20226:C1 *4874:20 0.000168329 +43 *20226:C1 *6001:189 3.13563e-05 +44 *1483:13 *23602:A 0.000383093 +45 *1483:13 *3860:47 0.000107496 +46 *1483:13 *4209:40 0.000601883 +47 *1483:13 *4547:9 0.000535193 +48 *1483:13 *5458:65 0.00019935 +49 *1483:13 *5458:71 9.92046e-06 +50 *1483:14 *20790:A 0.000464886 +51 *1483:14 *20792:A2 0.0001491 +52 *1483:14 *23660:A 0.000153225 +53 *1483:14 *23681:A 0.000212506 +54 *1483:14 *23790:D 0.00012886 +55 *1483:14 *1784:71 0.000684682 +56 *1483:14 *2079:21 2.30271e-05 +57 *1483:14 *2079:23 0.000144406 +58 *1483:14 *2080:12 0.000180706 +59 *1483:14 *2620:57 0.000514493 +60 *1483:14 *4106:126 0.00122303 +61 *1483:14 *4106:131 1.94224e-05 +62 *1483:14 *4371:36 0.000758596 +63 *1483:14 *4538:41 0.000294241 +64 *1483:14 *4625:9 0.000194078 +65 *1483:14 *4797:18 0.00015178 +66 *1483:14 *4797:22 9.22316e-06 +67 *1483:14 *4799:6 5.2504e-06 +68 *1483:14 *5458:65 0.00267321 +69 *1483:14 *5868:77 9.53269e-05 +70 *1483:14 *5868:86 5.19991e-05 +71 *1483:14 *5868:100 0.000204533 +72 *1483:14 *5925:17 0.000357689 +73 *1483:19 *20726:A1 7.12965e-05 +74 *1483:19 *20726:A2 0.000107496 +75 *1483:19 *2660:26 7.55464e-05 +76 *1483:20 *3089:24 0.00299736 +77 *1483:20 *5801:92 0.000185174 +78 *1483:20 *5861:163 0.00160219 +79 *1483:20 *5925:17 0.000134557 +80 *1483:25 *2785:102 5.20546e-06 +81 *1483:26 *24529:CLK 0.000324797 +82 *1483:26 *1485:155 3.0079e-05 +83 *1483:26 *1506:99 0.00189847 +84 *1483:26 *2603:69 0.00153365 +85 *1483:26 *4106:162 0.00111801 +86 *1483:26 *4106:169 0.000222706 +87 *1483:26 *4751:19 0.000236397 +88 *1483:26 *4801:17 0.00025936 +89 *1483:26 *4801:20 1.64597e-05 +90 *1483:26 *4916:14 0.000477164 +91 *1483:37 *1521:62 0.000186692 +92 *1483:37 *1731:93 0.000999261 +93 *1483:37 *2001:27 0.000121369 +94 *1483:37 *3368:65 3.39286e-05 +95 *1483:37 *4801:22 7.48876e-06 +96 *1483:38 *1506:99 0.0010189 +97 *1483:38 *4801:22 0.00101329 +98 *1483:48 *1506:99 0.000655724 +99 *1483:48 *1521:68 0.00024917 +100 *1483:48 *1744:125 0.000252767 +101 *1483:48 *4801:22 0.000650865 +102 *1483:48 *5998:12 7.66983e-06 +103 *1483:52 *1744:125 5.27224e-05 +104 *1483:52 *1763:15 6.11872e-05 +105 *1483:52 *4803:12 0.000345624 +106 *1483:52 *5998:17 1.50924e-05 +107 *1483:52 *5998:18 4.22821e-05 +108 *1483:60 *21351:B1 0 +109 *1483:60 *21683:A1 0.000301128 +110 *1483:60 *4803:12 2.02035e-05 +111 *1483:60 *4878:59 0.000507543 +112 *1483:60 *5475:59 2.7413e-07 +113 *1483:60 *5671:78 0.000477461 +114 *1483:60 *5671:87 3.43813e-05 +115 *1483:65 *20155:B1 6.94589e-05 +116 *1483:65 *24059:A1 0.000336096 +117 *1483:65 *4878:59 0.000567495 +118 *1483:65 *5671:78 5.86541e-05 +119 *1483:77 *20156:A1 0.000117455 +120 *1483:77 *20156:B1 5.51483e-06 +121 *1483:77 *20160:A1 0 +122 *1483:123 *19669:A3 0.000426208 +123 *1483:123 *24876:RESET_B 0.000173729 +124 *1483:123 *2523:31 0 +125 *1483:123 *4034:34 0.00205398 +126 *1483:123 *4547:9 0.000390644 +127 *1483:123 *5671:152 0.000255677 +128 *1483:123 *6021:14 0.000142472 +129 *1483:137 *19669:B1 9.48555e-05 +130 *1483:137 *19669:B2 6.50586e-05 +131 *1483:137 *4138:143 0 +132 *1483:137 *4881:155 6.75302e-05 +133 *1483:137 *4881:169 2.11196e-05 +134 *1483:137 *5671:189 0 +135 *1483:137 *6001:189 0.000253904 +136 *1483:139 *20179:C 0 +137 *1483:139 *24179:RESET_B 3.1218e-05 +138 *1483:139 *24942:A 0 +139 *1483:139 *3089:58 0.000936155 +140 *1483:139 *3089:74 0.000515473 +141 *1483:139 *4138:143 0 +142 *1483:139 *4874:20 0 +143 *1483:139 *5671:225 0 +144 *1483:139 *5671:260 9.14346e-05 +145 *1483:139 *5671:269 0.000133466 +146 *1483:139 *6019:23 0.000144252 +147 *19664:A *1483:139 9.31064e-05 +148 *19665:A *1483:139 5.53934e-05 +149 *19669:A1 *1483:137 2.16355e-05 +150 *20156:A2 *1483:77 6.08467e-05 +151 *20160:A2 *20159:B2 0.000151547 +152 *20226:B1 *20226:C1 1.86327e-05 +153 *22106:A1 *1483:139 0.000118485 +154 *23929:A *1483:139 0.000355651 +155 *24180:D *1483:139 0 +156 *24385:D *1483:14 8.15547e-05 +157 *24426:D *1483:123 0.000127179 +158 *24526:D *1483:37 0.000112434 +159 *24530:D *1483:25 0.000103278 +160 *24757:D *20226:C1 6.92422e-05 +161 *24757:D *1483:137 5.56461e-05 +162 *1438:152 *1483:20 0.000939864 +163 *1459:70 *1483:37 0.000843887 +164 *1477:12 *1483:139 0 +165 *1479:8 *1483:139 7.36625e-05 +166 *1479:36 *1483:139 0 +167 *1480:6 *1483:139 0.000331044 +168 *1480:8 *1483:139 0.00176509 +169 *1482:16 *1483:14 8.67929e-05 +170 *1482:29 *1483:14 9.66168e-05 +171 *1482:69 *1483:52 4.49912e-05 +172 *1482:69 *1483:60 1.66626e-05 +*RES +1 *19667:Y *1483:4 9.24915 +2 *1483:4 *1483:13 32.015 +3 *1483:13 *1483:14 96.5095 +4 *1483:14 *1483:19 12.4964 +5 *1483:19 *1483:20 55.8148 +6 *1483:20 *1483:25 20.2609 +7 *1483:25 *1483:26 58.7215 +8 *1483:26 *1483:37 47.3087 +9 *1483:37 *1483:38 18.8573 +10 *1483:38 *1483:48 34.3637 +11 *1483:48 *1483:52 13.1476 +12 *1483:52 *1483:60 17.0627 +13 *1483:60 *1483:65 15.7757 +14 *1483:65 *20156:B2 9.24915 +15 *1483:65 *1483:77 6.48645 +16 *1483:77 *20159:B2 17.11 +17 *1483:77 *20160:B2 10.5271 +18 *1483:60 *20155:B2 13.7491 +19 *1483:52 *20158:B2 15.5817 +20 *1483:48 *20157:B2 9.24915 +21 *1483:38 *20161:B2 13.7491 +22 *1483:4 *1483:123 49.4883 +23 *1483:123 *19669:A2 9.24915 +24 *1483:123 *1483:137 17.4573 +25 *1483:137 *1483:139 73.8783 +26 *1483:139 *20179:D 17.8002 +27 *1483:137 *20226:C1 20.0243 +*END + +*D_NET *1484 0.00103003 +*CONN +*I *19669:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *20305:C1 I *D sky130_fd_sc_hd__a211o_1 +*I *19668:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19669:B2 9.22834e-05 +2 *20305:C1 0.000249007 +3 *19668:X 0 +4 *1484:4 0.00034129 +5 *19669:B2 *4138:143 5.30033e-05 +6 *19669:B2 *4837:8 8.98943e-05 +7 *19669:B2 *4881:135 1.32509e-05 +8 *19669:B2 *4881:155 6.92705e-05 +9 *20305:C1 *20305:B1 5.69719e-05 +10 *1483:137 *19669:B2 6.50586e-05 +*RES +1 *19668:X *1484:4 9.24915 +2 *1484:4 *20305:C1 13.1796 +3 *1484:4 *19669:B2 21.635 +*END + +*D_NET *1485 0.0948779 +*CONN +*I *19893:A I *D sky130_fd_sc_hd__or2_4 +*I *19983:B I *D sky130_fd_sc_hd__or2_4 +*I *19978:B I *D sky130_fd_sc_hd__or2_4 +*I *19824:A I *D sky130_fd_sc_hd__or2_4 +*I *19796:B I *D sky130_fd_sc_hd__or2_4 +*I *20042:A I *D sky130_fd_sc_hd__or2_4 +*I *20029:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *19671:B I *D sky130_fd_sc_hd__or3_1 +*I *19670:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19893:A 0.000620502 +2 *19983:B 2.03443e-05 +3 *19978:B 7.94013e-05 +4 *19824:A 0.000174508 +5 *19796:B 0 +6 *20042:A 5.61029e-05 +7 *20029:A2 3.91404e-05 +8 *19671:B 0.00102205 +9 *19670:X 4.51842e-05 +10 *1485:230 0.00153906 +11 *1485:187 0.000348022 +12 *1485:185 0.00219133 +13 *1485:170 0.00286197 +14 *1485:155 0.0016713 +15 *1485:142 0.00243619 +16 *1485:140 0.0016974 +17 *1485:126 0.00157816 +18 *1485:125 0.00152206 +19 *1485:123 0.000569532 +20 *1485:115 0.00140226 +21 *1485:112 0.00177235 +22 *1485:105 0.00142976 +23 *1485:84 0.00180546 +24 *1485:80 0.00349052 +25 *1485:66 0.00359864 +26 *1485:54 0.00164545 +27 *1485:50 0.0005079 +28 *1485:21 0.00145774 +29 *1485:18 0.00108013 +30 *1485:8 0.00121582 +31 *1485:7 0.00123938 +32 *19671:B *24983:A 7.83643e-05 +33 *19671:B *2448:184 0.000405372 +34 *19671:B *2586:23 0.000179661 +35 *19671:B *2740:18 0.000179661 +36 *19671:B *2858:8 0.000154145 +37 *19671:B *2858:23 0.000137921 +38 *19671:B *2936:16 0.000252112 +39 *19671:B *2963:102 0.00113886 +40 *19671:B *4922:11 8.29362e-05 +41 *19824:A *19824:B 6.08467e-05 +42 *19824:A *1554:17 1.66098e-06 +43 *19824:A *1776:79 5.58918e-06 +44 *19824:A *3106:149 7.45623e-05 +45 *19824:A *3146:92 0.000187212 +46 *19893:A *19893:B 0.000353672 +47 *19893:A *24515:SET_B 3.82011e-05 +48 *19893:A *1541:23 7.46416e-05 +49 *19893:A *1541:29 0.000168314 +50 *19893:A *1637:9 0.000172489 +51 *19893:A *1762:138 0.000576611 +52 *19893:A *2466:29 3.31745e-05 +53 *19893:A *5073:10 8.83972e-05 +54 *19978:B *20344:B 4.80635e-06 +55 *19978:B *21980:A1 6.50727e-05 +56 *20029:A2 *5481:31 0.000107496 +57 *20042:A *1677:172 6.92705e-05 +58 *20042:A *1723:7 6.50727e-05 +59 *20042:A *2933:40 1.05272e-06 +60 *20042:A *3028:50 4.49767e-05 +61 *1485:8 *19739:B 0.000467911 +62 *1485:8 *1515:130 0.00017393 +63 *1485:8 *2951:28 0.000641841 +64 *1485:18 *19739:B 0.000229361 +65 *1485:18 *1641:10 0.000282808 +66 *1485:18 *2003:8 1.07248e-05 +67 *1485:18 *2003:15 5.41227e-05 +68 *1485:18 *2003:36 0.000451659 +69 *1485:18 *2003:48 0.000325142 +70 *1485:18 *2442:22 0.000590656 +71 *1485:18 *2951:28 0.000226017 +72 *1485:21 *20029:A3 1.03434e-05 +73 *1485:21 *20029:B1 6.50586e-05 +74 *1485:21 *20578:A1 0.000716776 +75 *1485:21 *1783:101 0.00157216 +76 *1485:21 *1785:9 0.000400321 +77 *1485:21 *5481:23 3.01723e-05 +78 *1485:21 *5481:31 5.51483e-06 +79 *1485:50 *1740:14 0.000714657 +80 *1485:50 *2274:22 0.000328579 +81 *1485:50 *2580:16 5.74471e-05 +82 *1485:50 *2992:17 2.65667e-05 +83 *1485:54 *21980:A1 0.000393863 +84 *1485:54 *1735:7 6.08467e-05 +85 *1485:54 *2580:16 1.41976e-05 +86 *1485:54 *2992:17 7.68538e-06 +87 *1485:66 *20344:B 4.58529e-05 +88 *1485:66 *20345:S 0.000258128 +89 *1485:66 *20379:B1 0.000249368 +90 *1485:66 *1755:15 0.000839567 +91 *1485:66 *1926:5 0.000295072 +92 *1485:66 *2993:15 0.00180359 +93 *1485:66 *4926:70 4.20173e-05 +94 *1485:66 *5856:283 0.000108004 +95 *1485:80 *20379:B1 0.000600813 +96 *1485:80 *20379:B2 9.85153e-05 +97 *1485:80 *21127:A 4.43444e-05 +98 *1485:80 *1784:13 0 +99 *1485:80 *2878:25 1.9101e-05 +100 *1485:80 *4894:44 1.72312e-05 +101 *1485:80 *5917:438 3.57844e-05 +102 *1485:84 *24061:A1 0 +103 *1485:84 *5082:43 0.000967278 +104 *1485:84 *5442:39 6.50586e-05 +105 *1485:84 *5485:94 0 +106 *1485:84 *5485:103 0 +107 *1485:84 *5485:110 0 +108 *1485:84 *5485:119 0 +109 *1485:84 *5671:32 0.000144929 +110 *1485:84 *5997:12 0.000582386 +111 *1485:105 *24058:A1 9.35069e-05 +112 *1485:105 *2561:26 0.000252906 +113 *1485:105 *4876:83 0.000451073 +114 *1485:105 *5442:39 0.000186445 +115 *1485:105 *5443:39 0.000248745 +116 *1485:112 *21121:A 0.000448551 +117 *1485:112 *24798:CLK 0.000764141 +118 *1485:112 *1668:69 9.65373e-05 +119 *1485:112 *1801:52 0.000456613 +120 *1485:112 *2561:26 5.2504e-06 +121 *1485:112 *2864:71 0.000222995 +122 *1485:112 *4867:7 0.000558164 +123 *1485:112 *4876:83 0.000554214 +124 *1485:112 *5476:38 9.73797e-06 +125 *1485:115 *24792:CLK 0.000772277 +126 *1485:115 *1699:24 0.0001899 +127 *1485:115 *2561:38 0.000511576 +128 *1485:115 *4878:59 0.00018563 +129 *1485:115 *4878:68 0.000505796 +130 *1485:115 *5671:87 0 +131 *1485:123 *20574:A1 4.50678e-05 +132 *1485:123 *20574:A2 0.000200794 +133 *1485:123 *20574:B1 4.58085e-05 +134 *1485:123 *20574:B2 0.000113968 +135 *1485:123 *1730:97 6.51527e-05 +136 *1485:123 *2000:17 0.000139947 +137 *1485:123 *2406:19 0.000592248 +138 *1485:123 *4936:8 1.4789e-05 +139 *1485:126 *19966:B 7.5301e-06 +140 *1485:126 *20574:A1 6.08467e-05 +141 *1485:126 *20574:B1 0.000667286 +142 *1485:126 *20636:A 8.41339e-05 +143 *1485:126 *1598:11 0.000349336 +144 *1485:126 *1723:7 0.000977336 +145 *1485:126 *2000:17 3.58044e-05 +146 *1485:126 *2021:11 9.99867e-06 +147 *1485:126 *2406:19 5.40039e-05 +148 *1485:126 *2932:12 0.00203369 +149 *1485:126 *3373:33 0.000824402 +150 *1485:126 *5851:141 0.000833108 +151 *1485:126 *5858:141 2.38608e-05 +152 *1485:126 *5860:9 0.000816665 +153 *1485:140 *20574:A1 9.34404e-05 +154 *1485:140 *1730:97 0.000120725 +155 *1485:140 *4936:8 1.05862e-05 +156 *1485:142 *20562:A1 0.000267713 +157 *1485:142 *23165:B1 3.89049e-05 +158 *1485:142 *24523:RESET_B 0.000130555 +159 *1485:142 *1730:97 0.000463203 +160 *1485:142 *2603:69 0.000194426 +161 *1485:142 *4751:19 5.00217e-05 +162 *1485:142 *4855:14 0.000175825 +163 *1485:142 *4936:8 0.00197208 +164 *1485:142 *5682:22 1.9101e-05 +165 *1485:142 *5861:166 0.00113 +166 *1485:142 *5861:179 4.66186e-05 +167 *1485:155 *24531:CLK 0.000837054 +168 *1485:155 *1809:35 0.000154145 +169 *1485:155 *2878:47 0.000158371 +170 *1485:155 *4751:19 0.0012077 +171 *1485:155 *4751:27 0.00032139 +172 *1485:155 *4801:17 0.000413319 +173 *1485:155 *4916:118 0.000224529 +174 *1485:155 *4934:22 0.000308436 +175 *1485:155 *5861:166 9.57557e-06 +176 *1485:170 *24421:RESET_B 6.16428e-05 +177 *1485:170 *2838:67 5.481e-05 +178 *1485:170 *3671:43 0.000429502 +179 *1485:170 *3857:70 0.000473383 +180 *1485:170 *4702:28 2.24817e-05 +181 *1485:170 *4751:27 0.000234763 +182 *1485:170 *4798:39 0.000553036 +183 *1485:170 *4861:19 9.93216e-05 +184 *1485:170 *4934:22 0.000465194 +185 *1485:170 *5453:21 0.000225002 +186 *1485:170 *5924:37 0.000683265 +187 *1485:185 *20529:A 0.00035816 +188 *1485:185 *20529:B 2.07365e-05 +189 *1485:185 *24420:RESET_B 0.000197907 +190 *1485:185 *1689:94 0.000362955 +191 *1485:185 *1732:35 0.000620893 +192 *1485:185 *1776:79 1.41976e-05 +193 *1485:185 *1988:7 0.000112849 +194 *1485:185 *2655:31 0.000213725 +195 *1485:185 *4933:169 0.000209446 +196 *1485:185 *5921:37 1.69103e-05 +197 *1485:187 *20529:A 6.08467e-05 +198 *1485:187 *20529:B 1.41976e-05 +199 *1485:187 *20530:A 0.000111802 +200 *1485:187 *1554:17 6.1996e-05 +201 *1485:187 *1776:79 0.000213785 +202 *1485:187 *1988:7 4.38282e-05 +203 *1485:230 *24515:SET_B 8.19184e-05 +204 *1485:230 *1515:130 0.00012315 +205 *1485:230 *1515:133 3.16817e-05 +206 *1485:230 *1691:17 0.0011926 +207 *1485:230 *1725:17 0.000180983 +208 *1485:230 *1762:138 3.95295e-05 +209 *1485:230 *2951:28 3.55859e-05 +210 *19577:A *1485:230 1.59052e-05 +211 *19624:A2 *1485:80 9.00458e-05 +212 *19653:A *1485:18 0.000588731 +213 *19671:A *19671:B 1.14175e-05 +214 *19671:A *20029:A2 7.92757e-06 +215 *19840:A *1485:126 2.81262e-05 +216 *19879:A *19893:A 0.000750508 +217 *19983:A *19983:B 4.80635e-06 +218 *19983:A *1485:50 7.62219e-05 +219 *20029:A1 *1485:21 9.95922e-06 +220 *24522:D *1485:18 8.86331e-05 +221 *24523:D *1485:123 0.000103269 +222 *24892:D *1485:80 1.5714e-05 +223 *460:8 *1485:84 0.00020462 +224 *460:8 *1485:105 4.13502e-05 +225 *460:39 *1485:115 0.00164077 +226 *466:76 *1485:7 6.08467e-05 +227 *468:54 *1485:230 3.52699e-05 +228 *493:10 *1485:84 0.00144475 +229 *1424:15 *19978:B 7.34948e-06 +230 *1424:15 *19983:B 0 +231 *1437:37 *1485:230 2.54885e-05 +232 *1438:98 *1485:155 3.77605e-05 +233 *1439:333 *1485:80 1.5714e-05 +234 *1442:13 *1485:230 0.000980414 +235 *1461:124 *20029:A2 7.92757e-06 +236 *1461:124 *1485:21 1.61631e-05 +237 *1467:22 *1485:18 1.5714e-05 +238 *1471:200 *1485:126 4.75654e-05 +239 *1483:26 *1485:155 3.0079e-05 +*RES +1 *19670:X *1485:7 14.4725 +2 *1485:7 *1485:8 12.2133 +3 *1485:8 *1485:18 40.5981 +4 *1485:18 *1485:21 20.014 +5 *1485:21 *19671:B 42.0375 +6 *1485:21 *20029:A2 10.5271 +7 *1485:8 *1485:50 16.5084 +8 *1485:50 *1485:54 6.29355 +9 *1485:54 *1485:66 46.1632 +10 *1485:66 *1485:80 47.6431 +11 *1485:80 *1485:84 48.1822 +12 *1485:84 *1485:105 25.7756 +13 *1485:105 *1485:112 44.5081 +14 *1485:112 *1485:115 45.3657 +15 *1485:115 *1485:123 19.9989 +16 *1485:123 *1485:125 4.5 +17 *1485:125 *1485:126 55.0746 +18 *1485:126 *20042:A 19.974 +19 *1485:123 *1485:140 3.18767 +20 *1485:140 *1485:142 59.3444 +21 *1485:142 *1485:155 46.8374 +22 *1485:155 *1485:170 46.3898 +23 *1485:170 *1485:185 45.1399 +24 *1485:185 *1485:187 6.25135 +25 *1485:187 *19796:B 9.24915 +26 *1485:187 *19824:A 23.0677 +27 *1485:54 *19978:B 11.5158 +28 *1485:50 *19983:B 9.82786 +29 *1485:7 *1485:230 39.2919 +30 *1485:230 *19893:A 33.4588 +*END + +*D_NET *1486 0.00301851 +*CONN +*I *19672:S I *D sky130_fd_sc_hd__mux2_1 +*I *19671:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19672:S 0.000554188 +2 *19671:X 0.000554188 +3 *19672:S *19672:A1 6.08467e-05 +4 *19672:S *21842:A 6.08467e-05 +5 *19672:S *1802:206 0.000142805 +6 *19672:S *2450:14 0.000207266 +7 *19672:S *2739:14 0.000389021 +8 *19672:S *4876:56 0.000637807 +9 *19672:S *4878:6 0.000387759 +10 *19672:S *4922:11 2.37827e-05 +*RES +1 *19671:X *19672:S 45.3249 +*END + +*D_NET *1487 0.00158892 +*CONN +*I *19673:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19672:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19673:A 0.000432379 +2 *19672:X 0.000432379 +3 *19673:A *1731:32 1.5714e-05 +4 *19673:A *1802:206 0.000154145 +5 *19673:A *2739:14 3.2914e-05 +6 *19673:A *2740:18 0.000430542 +7 *19673:A *4922:10 9.08436e-05 +*RES +1 *19672:X *19673:A 38.2222 +*END + +*D_NET *1488 0.015445 +*CONN +*I *21958:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19989:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19675:B I *D sky130_fd_sc_hd__or2_1 +*I *19674:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *21958:A2 0 +2 *19989:B2 0 +3 *19675:B 5.78388e-05 +4 *19674:X 0.00101924 +5 *1488:21 0.000202304 +6 *1488:16 0.00229467 +7 *1488:15 0.0024748 +8 *1488:10 0.000324591 +9 *1488:8 0.00101924 +10 *19675:B *19989:A1 0.000169041 +11 *19675:B *21406:A 0 +12 *19675:B *2276:13 3.80872e-05 +13 *1488:8 *20026:A 0.000209895 +14 *1488:8 *21530:A2 4.44554e-05 +15 *1488:8 *21737:A1 0.000148567 +16 *1488:8 *21737:A2 6.08467e-05 +17 *1488:8 *21737:B1 5.61289e-05 +18 *1488:8 *21737:B2 1.85313e-05 +19 *1488:8 *1637:25 0.000352221 +20 *1488:8 *2270:8 2.47881e-05 +21 *1488:8 *2586:23 0.000130126 +22 *1488:8 *2586:31 0.000203756 +23 *1488:8 *2753:67 0.000482862 +24 *1488:8 *2757:7 3.25342e-06 +25 *1488:8 *3063:13 0.000247443 +26 *1488:8 *5745:12 0.000272118 +27 *1488:8 *5860:482 0.000369936 +28 *1488:15 *21958:B2 1.47978e-05 +29 *1488:15 *1764:129 0.000304791 +30 *1488:15 *2972:18 5.04054e-06 +31 *1488:16 *19684:A2 0.000351496 +32 *1488:16 *24675:RESET_B 0.000115058 +33 *1488:16 *24871:SET_B 0 +34 *1488:16 *25293:A 0.000101405 +35 *1488:16 *1669:24 2.53974e-05 +36 *1488:16 *1669:31 1.67271e-05 +37 *1488:16 *2587:13 0.00041108 +38 *1488:16 *2738:22 1.94472e-05 +39 *1488:16 *2739:14 0.000430879 +40 *1488:16 *2740:8 0.000643662 +41 *1488:16 *2740:18 0.000465669 +42 *1488:16 *2839:18 0.000665366 +43 *1488:16 *4845:418 8.6174e-05 +44 *1488:16 *5870:307 7.7321e-05 +45 *1488:16 *5870:327 0.000222083 +46 *1488:16 *5871:12 0 +47 *1488:21 *21406:A 0.00011818 +48 *1488:21 *2276:11 4.33819e-05 +49 *1488:21 *2276:13 0.00052146 +50 *1488:21 *5871:48 0.000110567 +51 *19675:A *19675:B 0.000328363 +52 *19675:A *1488:21 1.80257e-05 +53 *24330:D *1488:8 0.000122068 +54 *24870:D *1488:16 1.77537e-06 +*RES +1 *19674:X *1488:8 49.1179 +2 *1488:8 *1488:10 4.5 +3 *1488:10 *1488:15 10.0943 +4 *1488:15 *1488:16 68.6876 +5 *1488:16 *1488:21 11.9028 +6 *1488:21 *19675:B 13.3243 +7 *1488:21 *19989:B2 9.24915 +8 *1488:10 *21958:A2 9.24915 +*END + +*D_NET *1489 0.0017222 +*CONN +*I *19678:S I *D sky130_fd_sc_hd__mux2_1 +*I *19676:S I *D sky130_fd_sc_hd__mux2_1 +*I *19675:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19678:S 0.00016526 +2 *19676:S 0 +3 *19675:X 5.90231e-05 +4 *1489:5 0.000224283 +5 *19678:S *19989:A1 4.81452e-05 +6 *19678:S *25267:A 0 +7 *19678:S *2276:13 6.36477e-05 +8 *19678:S *5776:11 5.05252e-05 +9 *19678:S *5856:436 4.26935e-05 +10 *19678:S *5860:320 2.01179e-05 +11 *1489:5 *19989:A1 0.00052425 +12 *1489:5 *2276:13 0.00052425 +*RES +1 *19675:X *1489:5 14.964 +2 *1489:5 *19676:S 9.24915 +3 *1489:5 *19678:S 22.8808 +*END + +*D_NET *1490 0.00113471 +*CONN +*I *19677:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19676:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19677:A 0.000170097 +2 *19676:X 0.000170097 +3 *19677:A *19676:A0 0.000271088 +4 *19677:A *21713:B1 0.00015607 +5 *19677:A *25267:A 0.000289706 +6 *19677:A *2270:51 5.9036e-05 +7 *19677:A *5858:383 1.86178e-05 +*RES +1 *19676:X *19677:A 34.9002 +*END + +*D_NET *1491 0.00128295 +*CONN +*I *19679:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19678:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19679:A 0.000336257 +2 *19678:X 0.000336257 +3 *19679:A *25267:A 0.00017472 +4 *19679:A *2420:15 0.000219249 +5 *19679:A *2435:23 0.000216467 +*RES +1 *19678:X *19679:A 37.531 +*END + +*D_NET *1492 0.0448397 +*CONN +*I *21411:A2 I *D sky130_fd_sc_hd__o221a_4 +*I *21234:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21914:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *21506:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *21713:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21887:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19916:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19681:B I *D sky130_fd_sc_hd__or2_1 +*I *21339:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19680:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21411:A2 0.000564607 +2 *21234:A2 0 +3 *21914:B2 0 +4 *21506:A2 0.000271148 +5 *21713:A2 0 +6 *21887:A2 0 +7 *19916:A2 1.1205e-05 +8 *19681:B 0.000142938 +9 *21339:A2 0.000261187 +10 *19680:X 0.000960385 +11 *1492:121 0.0022192 +12 *1492:112 0.00289622 +13 *1492:88 0.00182151 +14 *1492:79 0.00208932 +15 *1492:78 0.00081927 +16 *1492:66 0.000685948 +17 *1492:52 0.000822928 +18 *1492:47 0.000633186 +19 *1492:46 0.00161995 +20 *1492:40 0.00245117 +21 *1492:35 0.00216436 +22 *1492:16 0.00140027 +23 *1492:13 0.00122637 +24 *19681:B *1781:13 3.6455e-05 +25 *19916:A2 *1668:12 4.46284e-06 +26 *19916:A2 *4923:67 7.12632e-06 +27 *21339:A2 *21339:A1 0.000154145 +28 *21339:A2 *21339:B2 0.000203604 +29 *21339:A2 *1587:10 9.50391e-05 +30 *21339:A2 *2401:11 0.000168086 +31 *21411:A2 *1511:23 0 +32 *21411:A2 *1637:9 2.16355e-05 +33 *21411:A2 *2466:29 0.000367884 +34 *21411:A2 *2586:43 4.42033e-05 +35 *21411:A2 *2761:6 4.76283e-05 +36 *21411:A2 *2981:24 2.24648e-05 +37 *21411:A2 *3063:16 0.000143713 +38 *21411:A2 *3063:29 0.000165199 +39 *21411:A2 *4854:16 0.00031726 +40 *21506:A2 *21506:A1 7.9033e-05 +41 *21506:A2 *1669:34 0.000216103 +42 *21506:A2 *2839:14 7.83537e-05 +43 *21506:A2 *2839:18 8.35615e-06 +44 *1492:13 *6162:DIODE 0.000158357 +45 *1492:13 *20013:A 5.79262e-05 +46 *1492:13 *1511:23 6.21391e-05 +47 *1492:13 *1741:115 0.000139947 +48 *1492:13 *1769:6 0.000110649 +49 *1492:13 *2466:29 8.13474e-05 +50 *1492:13 *2809:31 0.000251655 +51 *1492:13 *2810:5 9.05137e-05 +52 *1492:13 *2858:23 8.83446e-05 +53 *1492:13 *2858:27 7.84457e-06 +54 *1492:16 *19990:A1 0.000103304 +55 *1492:16 *1740:36 0.000287195 +56 *1492:16 *3063:16 7.28578e-05 +57 *1492:35 *20913:B2 2.41274e-06 +58 *1492:35 *21737:B1 8.62625e-06 +59 *1492:35 *1497:155 1.99543e-05 +60 *1492:35 *1587:10 7.6719e-06 +61 *1492:35 *1691:63 0.000223203 +62 *1492:35 *1701:54 0.000393863 +63 *1492:35 *1740:36 0.000523388 +64 *1492:35 *2401:11 7.89747e-05 +65 *1492:35 *2450:29 6.3269e-05 +66 *1492:35 *2757:8 0.00042938 +67 *1492:35 *2761:6 0.000424283 +68 *1492:35 *4922:74 4.87835e-05 +69 *1492:40 *21719:D1 0.000601004 +70 *1492:40 *2399:6 0.00206279 +71 *1492:40 *2505:16 0.000128487 +72 *1492:40 *2740:23 0.000108569 +73 *1492:40 *4878:6 6.45663e-05 +74 *1492:46 *19953:A 0.000145498 +75 *1492:46 *20356:A2 1.76994e-05 +76 *1492:46 *21529:A 8.67371e-05 +77 *1492:46 *1711:38 2.20585e-05 +78 *1492:46 *2399:6 0.000640817 +79 *1492:46 *2466:16 0 +80 *1492:46 *2675:28 0.000154145 +81 *1492:46 *5193:8 4.59797e-05 +82 *1492:46 *5201:8 9.8511e-05 +83 *1492:46 *5858:443 3.63743e-05 +84 *1492:47 *21914:A1 7.92757e-06 +85 *1492:47 *5856:415 0.00111805 +86 *1492:52 *21914:A1 8.01837e-05 +87 *1492:52 *1674:13 9.2346e-06 +88 *1492:52 *5851:316 0.000222513 +89 *1492:66 *19682:A 0.000169041 +90 *1492:66 *1668:12 2.01503e-05 +91 *1492:66 *1668:28 8.98943e-05 +92 *1492:66 *1781:13 1.777e-05 +93 *1492:66 *4844:403 0.000107496 +94 *1492:66 *4923:67 0 +95 *1492:78 *19915:A1 0.00170217 +96 *1492:78 *1668:12 0.00170541 +97 *1492:79 *21501:A 6.77678e-05 +98 *1492:79 *21506:A1 2.10772e-05 +99 *1492:79 *2739:13 0.000194259 +100 *1492:79 *2839:14 0.000550099 +101 *1492:88 *19989:A1 0.000275256 +102 *1492:88 *19989:B1 1.75625e-05 +103 *1492:88 *21713:A1 2.95697e-05 +104 *1492:88 *21885:A 7.68538e-06 +105 *1492:88 *21887:A1 4.09471e-05 +106 *1492:88 *24873:CLK 0 +107 *1492:88 *2739:13 3.12828e-05 +108 *1492:88 *2839:14 0.000198424 +109 *1492:88 *5767:27 0.000102632 +110 *1492:88 *5775:13 0.000269619 +111 *1492:88 *5775:17 1.5962e-05 +112 *1492:88 *5860:320 0.00118036 +113 *1492:88 *5871:48 8.47466e-05 +114 *1492:88 *5878:13 0.000321905 +115 *1492:112 *19990:A1 4.76283e-05 +116 *1492:112 *1740:22 3.63593e-05 +117 *1492:112 *1740:36 3.90021e-05 +118 *1492:112 *3063:16 5.18369e-05 +119 *1492:121 *24332:CLK 0.000207266 +120 *1492:121 *1669:130 7.14746e-05 +121 *1492:121 *1741:105 6.50727e-05 +122 *1492:121 *1742:13 7.98171e-06 +123 *1492:121 *1742:30 2.77625e-06 +124 *1492:121 *2528:48 8.62625e-06 +125 *1492:121 *2675:51 0.000669087 +126 *19675:A *1492:88 0.000113217 +127 *19681:A *1492:52 0.000216073 +128 *19985:A *21411:A2 2.78486e-05 +129 *19985:A *1492:112 4.13248e-05 +130 *19985:B *1492:13 0.000300565 +131 *21234:B2 *1492:121 0.000154145 +132 *21914:A2 *1492:47 3.82228e-05 +133 *24332:D *1492:121 0.000143875 +134 *24867:D *1492:88 8.62625e-06 +135 *24872:D *1492:79 0.000119049 +136 *522:18 *1492:121 2.33193e-05 +137 *1419:72 *1492:52 0.000385198 +138 *1424:156 *1492:35 0.000211115 +139 *1439:231 *1492:79 0 +*RES +1 *19680:X *1492:13 42.9391 +2 *1492:13 *1492:16 10.0693 +3 *1492:16 *21339:A2 17.1824 +4 *1492:16 *1492:35 46.1325 +5 *1492:35 *1492:40 49.0352 +6 *1492:40 *1492:46 42.978 +7 *1492:46 *1492:47 12.3701 +8 *1492:47 *1492:52 20.3828 +9 *1492:52 *19681:B 11.6605 +10 *1492:52 *1492:66 11.8852 +11 *1492:66 *19916:A2 14.1278 +12 *1492:66 *1492:78 9.00567 +13 *1492:78 *1492:79 10.7599 +14 *1492:79 *1492:88 41.5117 +15 *1492:88 *21887:A2 9.24915 +16 *1492:79 *21713:A2 13.7491 +17 *1492:78 *21506:A2 21.2359 +18 *1492:47 *21914:B2 9.24915 +19 *1492:13 *1492:112 3.493 +20 *1492:112 *1492:121 47.9759 +21 *1492:121 *21234:A2 9.24915 +22 *1492:112 *21411:A2 38.1075 +*END + +*D_NET *1493 0.000290884 +*CONN +*I *19682:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *19681:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19682:A 2.47967e-05 +2 *19681:X 2.47967e-05 +3 *19682:A *1781:13 7.22498e-05 +4 *1492:66 *19682:A 0.000169041 +*RES +1 *19681:X *19682:A 20.3309 +*END + +*D_NET *1494 0.0144173 +*CONN +*I *19684:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19685:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19683:A I *D sky130_fd_sc_hd__inv_2 +*I *19691:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19690:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19689:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19686:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19688:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19687:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19682:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *19684:A2 0.000343717 +2 *19685:A2 0 +3 *19683:A 0 +4 *19691:A2 0.000244559 +5 *19690:A2 4.2737e-05 +6 *19689:A2 0.000100455 +7 *19686:A2 9.14278e-05 +8 *19688:A2 1.14649e-05 +9 *19687:A2 0.000139951 +10 *19682:X 0.000385091 +11 *1494:91 0.000477544 +12 *1494:70 0.000413722 +13 *1494:61 0.000337577 +14 *1494:40 0.000394262 +15 *1494:31 0.000625434 +16 *1494:28 0.00105177 +17 *1494:14 0.00111219 +18 *1494:11 0.000976657 +19 *19684:A2 *19916:B2 0.000366603 +20 *19684:A2 *21588:A1 0.000519481 +21 *19684:A2 *2393:9 6.99486e-05 +22 *19684:A2 *2839:14 6.4909e-05 +23 *19684:A2 *2839:18 6.61114e-05 +24 *19684:A2 *4844:389 2.65667e-05 +25 *19684:A2 *4845:425 6.50586e-05 +26 *19684:A2 *5773:11 6.08467e-05 +27 *19686:A2 *19689:B1 0 +28 *19686:A2 *5771:8 0.000190013 +29 *19687:A2 *21932:A1 0.000183826 +30 *19687:A2 *1744:77 0.000111404 +31 *19687:A2 *2466:14 5.20179e-05 +32 *19687:A2 *5772:15 5.68994e-05 +33 *19688:A2 *19688:A1 0.000118166 +34 *19688:A2 *4843:576 4.82966e-05 +35 *19689:A2 *19689:A1 3.14978e-05 +36 *19689:A2 *19689:B2 8.71429e-05 +37 *19689:A2 *5860:320 0.000356631 +38 *19690:A2 *19690:B2 6.55312e-05 +39 *19690:A2 *2268:7 6.55312e-05 +40 *19691:A2 *19691:B1 5.05252e-05 +41 *19691:A2 *19909:A 0 +42 *19691:A2 *1495:8 0.000179286 +43 *19691:A2 *5765:11 0.000211492 +44 *19691:A2 *5830:20 0.000136939 +45 *19691:A2 *5917:225 0.000207266 +46 *1494:11 *19915:A1 0.000165749 +47 *1494:11 *20030:C1 7.08723e-06 +48 *1494:11 *1781:13 0.00011818 +49 *1494:11 *4923:67 4.69495e-06 +50 *1494:14 *21588:A1 0.0003004 +51 *1494:14 *1786:11 7.12632e-06 +52 *1494:14 *2466:14 0.000267288 +53 *1494:14 *2466:16 8.62262e-06 +54 *1494:14 *5772:8 3.57291e-06 +55 *1494:14 *5772:15 0.000179288 +56 *1494:31 *19909:A 0 +57 *1494:31 *19916:A1 0.000104779 +58 *1494:31 *25381:A 1.77537e-06 +59 *1494:31 *1495:8 0 +60 *1494:31 *4923:10 0 +61 *1494:31 *4923:12 0 +62 *1494:40 *19688:A1 7.90527e-05 +63 *1494:40 *19688:B1 0 +64 *1494:40 *19689:B2 3.21413e-05 +65 *1494:40 *25381:A 6.08467e-05 +66 *1494:40 *4843:576 0.000869598 +67 *1494:40 *5768:11 0.000213784 +68 *1494:40 *5860:313 2.62595e-05 +69 *1494:40 *5860:320 8.51541e-05 +70 *1494:40 *5871:10 0.000111722 +71 *1494:61 *19690:B2 1.65872e-05 +72 *1494:61 *19916:A1 5.38612e-06 +73 *1494:61 *1495:38 0.000222769 +74 *1494:61 *2268:7 0.000245832 +75 *1494:70 *1495:8 8.31548e-05 +76 *1494:70 *1495:27 0.000243802 +77 *1494:70 *5830:20 0.000153497 +78 *1494:91 *19915:A1 3.34756e-05 +79 *1494:91 *24871:SET_B 1.17108e-05 +80 *1494:91 *5772:8 3.91685e-05 +81 *24870:D *19686:A2 5.82465e-05 +82 *1467:43 *1494:11 0.000148683 +83 *1467:43 *1494:91 1.58546e-05 +84 *1488:16 *19684:A2 0.000351496 +*RES +1 *19682:X *1494:11 19.7423 +2 *1494:11 *1494:14 17.6583 +3 *1494:14 *19687:A2 18.7292 +4 *1494:14 *1494:28 7.78183 +5 *1494:28 *1494:31 14.0142 +6 *1494:31 *19688:A2 10.5271 +7 *1494:31 *1494:40 11.8396 +8 *1494:40 *19686:A2 21.7421 +9 *1494:40 *19689:A2 13.8789 +10 *1494:28 *1494:61 11.148 +11 *1494:61 *19690:A2 11.0817 +12 *1494:61 *1494:70 11.315 +13 *1494:70 *19691:A2 22.9514 +14 *1494:70 *19683:A 13.7491 +15 *1494:11 *1494:91 8.94201 +16 *1494:91 *19685:A2 9.24915 +17 *1494:91 *19684:A2 32.6116 +*END + +*D_NET *1495 0.012039 +*CONN +*I *19684:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19685:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19687:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19689:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19686:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19690:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19688:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19691:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19683:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19684:B2 0.000149637 +2 *19685:B2 0.000385298 +3 *19687:B2 1.15099e-05 +4 *19689:B2 0.000359682 +5 *19686:B2 0.000210226 +6 *19690:B2 0.000331181 +7 *19688:B2 6.08198e-05 +8 *19691:B2 0.000329795 +9 *19683:Y 0 +10 *1495:76 0.000850862 +11 *1495:44 0.00100328 +12 *1495:39 0.000518359 +13 *1495:38 0.000957216 +14 *1495:27 0.0010533 +15 *1495:8 0.000510901 +16 *1495:5 0.000274593 +17 *19684:B2 *2393:9 0.000362741 +18 *19684:B2 *4845:425 0.000859935 +19 *19685:B2 *19685:B1 1.9734e-06 +20 *19685:B2 *20030:A1 4.86112e-05 +21 *19685:B2 *1497:103 4.70652e-05 +22 *19685:B2 *2466:14 6.58631e-05 +23 *19685:B2 *4844:403 0.000195019 +24 *19685:B2 *4923:60 0 +25 *19686:B2 *24867:CLK 3.96379e-06 +26 *19686:B2 *25290:A 0 +27 *19686:B2 *5768:8 3.48767e-05 +28 *19686:B2 *5772:15 0.00025439 +29 *19687:B2 *19687:B1 6.27718e-05 +30 *19687:B2 *21932:A1 6.27718e-05 +31 *19688:B2 *19688:B1 0.00021243 +32 *19689:B2 *5768:11 9.32983e-05 +33 *19689:B2 *5871:10 1.65872e-05 +34 *19690:B2 *2268:7 1.1289e-05 +35 *19690:B2 *5858:372 3.72668e-05 +36 *19691:B2 *19688:B1 0.000470599 +37 *19691:B2 *25284:A 0 +38 *19691:B2 *25286:A 0 +39 *19691:B2 *5917:225 1.79196e-05 +40 *1495:8 *19909:A 0 +41 *1495:38 *24869:CLK 3.64169e-05 +42 *1495:38 *2268:7 5.04423e-06 +43 *1495:38 *2268:11 2.8182e-06 +44 *1495:39 *25290:A 3.00174e-06 +45 *1495:39 *4923:10 1.4426e-05 +46 *1495:39 *5770:8 3.64497e-05 +47 *1495:44 *25290:A 0.000133374 +48 *1495:44 *4923:10 7.54264e-05 +49 *1495:44 *4923:12 6.80864e-05 +50 *1495:44 *5768:11 0.000430366 +51 *1495:76 *2393:9 4.58003e-05 +52 *1495:76 *2466:14 0 +53 *1495:76 *4845:425 0.00011818 +54 *1495:76 *4923:8 4.43331e-05 +55 *1495:76 *4923:10 7.69594e-06 +56 *1495:76 *4923:60 9.88681e-05 +57 *1495:76 *5770:8 3.00174e-06 +58 *19689:A2 *19689:B2 8.71429e-05 +59 *19690:A2 *19690:B2 6.55312e-05 +60 *19691:A2 *1495:8 0.000179286 +61 *24869:D *1495:39 6.93171e-05 +62 *24869:D *1495:76 4.37926e-05 +63 *24871:D *19685:B2 6.12686e-06 +64 *1494:31 *1495:8 0 +65 *1494:40 *19689:B2 3.21413e-05 +66 *1494:61 *19690:B2 1.65872e-05 +67 *1494:61 *1495:38 0.000222769 +68 *1494:70 *1495:8 8.31548e-05 +69 *1494:70 *1495:27 0.000243802 +*RES +1 *19683:Y *1495:5 13.7491 +2 *1495:5 *1495:8 8.82351 +3 *1495:8 *19691:B2 27.3176 +4 *1495:8 *19688:B2 11.6364 +5 *1495:5 *1495:27 9.23876 +6 *1495:27 *19690:B2 14.8434 +7 *1495:27 *1495:38 13.5424 +8 *1495:38 *1495:39 2.24725 +9 *1495:39 *1495:44 15.0901 +10 *1495:44 *19686:B2 24.2659 +11 *1495:44 *19689:B2 15.5668 +12 *1495:39 *19687:B2 14.4725 +13 *1495:38 *1495:76 13.4235 +14 *1495:76 *19685:B2 27.9524 +15 *1495:76 *19684:B2 18.8462 +*END + +*D_NET *1496 0.0469822 +*CONN +*I *19993:B I *D sky130_fd_sc_hd__or2_4 +*I *19860:A I *D sky130_fd_sc_hd__or2_4 +*I *19834:A I *D sky130_fd_sc_hd__or2_4 +*I *19964:A I *D sky130_fd_sc_hd__or2_4 +*I *19799:B I *D sky130_fd_sc_hd__or2_4 +*I *19951:B I *D sky130_fd_sc_hd__or2_4 +*I *19693:B I *D sky130_fd_sc_hd__or2_4 +*I *19692:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19993:B 0 +2 *19860:A 0 +3 *19834:A 0.000399612 +4 *19964:A 0 +5 *19799:B 0.000196226 +6 *19951:B 0.000182301 +7 *19693:B 0.000139266 +8 *19692:X 0.000140435 +9 *1496:141 0.000910743 +10 *1496:130 0.0024701 +11 *1496:127 0.00172372 +12 *1496:111 0.00172515 +13 *1496:106 0.00204104 +14 *1496:84 0.00189063 +15 *1496:75 0.00226431 +16 *1496:68 0.0021042 +17 *1496:48 0.00198375 +18 *1496:31 0.00117843 +19 *1496:16 0.00051258 +20 *1496:9 0.000454492 +21 *19693:B *6177:DIODE 6.62054e-05 +22 *19693:B *21383:A2 0.000129121 +23 *19693:B *21383:B2 0.00031152 +24 *19693:B *1497:17 0.000361399 +25 *19693:B *2443:10 1.00846e-05 +26 *19799:B *1771:7 0.00088623 +27 *19799:B *3016:22 3.31745e-05 +28 *19834:A *19834:B 0.000284201 +29 *19834:A *19852:A 4.44332e-05 +30 *19834:A *1541:173 6.08467e-05 +31 *19834:A *1541:179 4.66492e-05 +32 *1496:9 *1497:17 0.000251669 +33 *1496:9 *1506:17 9.82896e-06 +34 *1496:9 *1802:175 0.000177091 +35 *1496:9 *2442:22 0.00015887 +36 *1496:16 *21383:B2 0.000400321 +37 *1496:16 *1497:17 1.41291e-05 +38 *1496:16 *1506:17 6.50586e-05 +39 *1496:16 *1510:30 1.19726e-05 +40 *1496:16 *1587:16 2.20796e-05 +41 *1496:16 *1689:13 0.000156955 +42 *1496:16 *1749:8 0.000205006 +43 *1496:16 *1754:10 5.68225e-06 +44 *1496:16 *1758:11 0.000268195 +45 *1496:31 *1758:11 0.000133598 +46 *1496:48 *21410:A2 0.000109262 +47 *1496:48 *1544:43 9.25522e-06 +48 *1496:48 *1544:51 0.000464538 +49 *1496:48 *1588:14 6.97218e-05 +50 *1496:48 *1671:17 1.5714e-05 +51 *1496:48 *1704:6 8.67244e-05 +52 *1496:48 *1725:17 3.37422e-05 +53 *1496:48 *1758:11 0.000318307 +54 *1496:48 *2809:31 2.37478e-05 +55 *1496:48 *2936:22 0.000357572 +56 *1496:68 *19929:B2 0.000310535 +57 *1496:68 *20015:B 7.14746e-05 +58 *1496:68 *22800:B1 0.000113968 +59 *1496:68 *1544:51 0.000103952 +60 *1496:68 *1588:14 0.000120662 +61 *1496:68 *1684:45 0.000311235 +62 *1496:68 *1716:68 3.4787e-05 +63 *1496:68 *2515:27 1.41689e-05 +64 *1496:68 *2519:12 0.000258128 +65 *1496:68 *2936:22 0.000206659 +66 *1496:68 *2949:32 0.000264586 +67 *1496:75 *19819:A 4.15661e-05 +68 *1496:75 *21665:B2 3.55859e-05 +69 *1496:75 *21850:A1 0.000158578 +70 *1496:75 *21850:B2 0.000459354 +71 *1496:75 *22464:A1 0.000118435 +72 *1496:75 *22733:A2 0.000229746 +73 *1496:75 *22769:B1 3.42665e-05 +74 *1496:75 *1510:99 0 +75 *1496:75 *1541:69 0.000360744 +76 *1496:75 *1541:90 0.000132548 +77 *1496:75 *1544:98 6.14682e-06 +78 *1496:75 *1563:72 0.000248017 +79 *1496:75 *1639:85 7.85871e-06 +80 *1496:75 *1641:57 0.000118864 +81 *1496:75 *1675:32 2.77472e-05 +82 *1496:75 *1677:108 0.000293996 +83 *1496:75 *2746:43 3.6549e-05 +84 *1496:75 *3204:36 3.55968e-05 +85 *1496:75 *3432:17 0 +86 *1496:84 *19995:B 1.86178e-05 +87 *1496:84 *20032:B 0.000107496 +88 *1496:84 *22733:A2 4.77707e-05 +89 *1496:84 *22743:C 0.000172466 +90 *1496:84 *22743:D 3.37714e-06 +91 *1496:84 *1510:99 9.17735e-05 +92 *1496:84 *1544:98 0.000704409 +93 *1496:84 *1544:108 0.000454416 +94 *1496:84 *1677:187 4.12977e-05 +95 *1496:84 *1684:85 0.000192515 +96 *1496:84 *2999:65 9.40212e-05 +97 *1496:84 *3005:64 0.00014264 +98 *1496:84 *3023:41 0.00012635 +99 *1496:84 *3126:166 6.1578e-06 +100 *1496:84 *3126:189 5.0385e-05 +101 *1496:84 *3722:14 5.36471e-05 +102 *1496:106 *20032:B 9.61086e-05 +103 *1496:106 *21456:B1 0.000252402 +104 *1496:106 *21468:B2 9.64384e-05 +105 *1496:106 *22803:B1 0.00074383 +106 *1496:106 *1721:12 5.80995e-05 +107 *1496:106 *1728:10 3.21482e-05 +108 *1496:106 *1804:64 0.000127019 +109 *1496:106 *2274:63 0.000131362 +110 *1496:106 *2999:65 3.82228e-05 +111 *1496:106 *3519:93 3.93446e-05 +112 *1496:106 *3751:47 0.000244175 +113 *1496:111 *20050:A1 0.000145812 +114 *1496:111 *21468:B1 4.15661e-05 +115 *1496:111 *22803:B1 1.5714e-05 +116 *1496:111 *1510:116 3.58315e-06 +117 *1496:111 *1537:47 0.000486227 +118 *1496:111 *1636:108 0.000731141 +119 *1496:111 *1798:47 9.38827e-06 +120 *1496:111 *1798:62 0.000427325 +121 *1496:111 *2524:8 7.05761e-05 +122 *1496:111 *3685:22 0.000369168 +123 *1496:111 *3736:18 0.000235441 +124 *1496:127 *1541:173 0.000534446 +125 *1496:127 *1542:19 0.000391697 +126 *1496:127 *1636:108 4.42033e-05 +127 *1496:127 *1798:62 3.7061e-05 +128 *1496:127 *2667:122 4.97617e-05 +129 *1496:130 *21467:A2 9.64502e-05 +130 *1496:130 *21695:A1 0.000329167 +131 *1496:130 *21696:C1 0.000272301 +132 *1496:130 *22784:A2 1.6815e-05 +133 *1496:130 *22784:B2 0.000132548 +134 *1496:130 *1751:120 0.000165602 +135 *1496:130 *1771:83 0.00127083 +136 *1496:130 *1773:76 9.18679e-06 +137 *1496:130 *1798:84 8.22431e-05 +138 *1496:130 *2697:26 1.3535e-06 +139 *1496:130 *2697:32 0.00140576 +140 *1496:130 *3722:8 0.000122182 +141 *1496:141 *19822:D 0.000110297 +142 *1496:141 *20053:C 0.000107496 +143 *1496:141 *22054:C1 3.95034e-05 +144 *1496:141 *1538:17 5.97411e-05 +145 *1496:141 *1771:83 0.000583189 +146 *1496:141 *2697:26 0.000703176 +147 *1496:141 *3146:116 0.000158042 +148 *1496:141 *3493:101 0.000640274 +149 *1496:141 *3493:130 7.19039e-05 +150 *19633:A *1496:111 5.39755e-05 +151 *19826:A *1496:111 0.000125289 +152 *19932:A *1496:16 0 +153 *19951:A *19951:B 0.000211949 +154 *19961:A *1496:68 4.42742e-06 +155 *19968:A *1496:48 0.000138635 +156 *19985:B *1496:48 0.00010118 +157 *1424:117 *1496:68 0.000284618 +158 *1427:13 *1496:9 1.12605e-05 +159 *1435:45 *19799:B 0.000979528 +160 *1435:125 *1496:111 6.5231e-05 +161 *1449:27 *1496:16 0.000242119 +162 *1449:27 *1496:31 0.000137143 +163 *1449:27 *1496:48 0.000160384 +164 *1459:18 *1496:9 0.000200794 +165 *1461:94 *19693:B 4.31603e-06 +166 *1461:195 *1496:68 4.80741e-05 +*RES +1 *19692:X *1496:9 24.684 +2 *1496:9 *1496:16 17.606 +3 *1496:16 *19693:B 20.7902 +4 *1496:16 *1496:31 2.6625 +5 *1496:31 *19951:B 18.3668 +6 *1496:31 *1496:48 28.628 +7 *1496:48 *19799:B 25.01 +8 *1496:48 *1496:68 42.6388 +9 *1496:68 *1496:75 34.5514 +10 *1496:75 *1496:84 35.5835 +11 *1496:84 *19964:A 9.24915 +12 *1496:84 *1496:106 33.591 +13 *1496:106 *1496:111 35.0644 +14 *1496:111 *19834:A 20.4526 +15 *1496:111 *1496:127 16.2709 +16 *1496:127 *1496:130 46.9357 +17 *1496:130 *1496:141 41.6601 +18 *1496:141 *19860:A 9.24915 +19 *1496:9 *19993:B 9.24915 +*END + +*D_NET *1497 0.0653194 +*CONN +*I *21365:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *21333:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21980:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21523:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21820:B2 I *D sky130_fd_sc_hd__o221a_4 +*I *20030:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *21211:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *19694:B I *D sky130_fd_sc_hd__or2_1 +*I *21664:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19693:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21365:A2 1.66551e-05 +2 *21333:A2 0.000370756 +3 *21980:A2 0 +4 *21523:B2 9.76199e-05 +5 *21820:B2 0.00019361 +6 *20030:B2 0 +7 *21211:A2 0.0019217 +8 *19694:B 6.19783e-06 +9 *21664:B2 0.000834981 +10 *19693:X 2.06324e-05 +11 *1497:172 0.000579723 +12 *1497:167 0.00208202 +13 *1497:161 0.00249367 +14 *1497:155 0.00204666 +15 *1497:113 0.00296332 +16 *1497:103 0.00162868 +17 *1497:93 0.000769624 +18 *1497:88 0.000438789 +19 *1497:79 0.000113338 +20 *1497:78 0.00212906 +21 *1497:40 0.000841179 +22 *1497:38 0.00270769 +23 *1497:36 0.00224022 +24 *1497:27 0.0021232 +25 *1497:22 0.0018791 +26 *1497:17 0.0029436 +27 *1497:5 0.00294254 +28 *19694:B *2381:11 5.31074e-05 +29 *21211:A2 *2276:13 0.0031138 +30 *21333:A2 *2425:7 0.000307037 +31 *21365:A2 *2273:14 8.52802e-05 +32 *21523:B2 *19936:B1 0.000109614 +33 *21523:B2 *21523:B1 6.08467e-05 +34 *21523:B2 *5898:25 0.000360145 +35 *21664:B2 *19702:B1 0.00035709 +36 *21664:B2 *21932:B1 5.26755e-05 +37 *21664:B2 *25279:A 0.000156152 +38 *21664:B2 *1500:55 0.000165495 +39 *21664:B2 *2381:11 0.000111722 +40 *21664:B2 *2946:7 0.000112361 +41 *21664:B2 *2946:8 0 +42 *21820:B2 *21505:B1 0.000110684 +43 *21820:B2 *2466:16 0 +44 *21820:B2 *2561:91 3.75603e-05 +45 *21820:B2 *2831:39 0 +46 *21820:B2 *2836:5 0.000266832 +47 *1497:5 *21383:A2 0 +48 *1497:17 *21383:A2 0.000164815 +49 *1497:17 *21383:B1 6.14524e-05 +50 *1497:17 *21383:B2 6.63489e-05 +51 *1497:17 *1506:17 8.90311e-06 +52 *1497:17 *1587:16 0.00118553 +53 *1497:17 *2003:48 0.000160479 +54 *1497:22 *20581:A1 0.000440512 +55 *1497:22 *20581:B1 0.00059113 +56 *1497:22 *1515:12 9.96809e-05 +57 *1497:22 *1700:10 2.50362e-05 +58 *1497:22 *1756:105 0.000470187 +59 *1497:22 *2003:48 5.75828e-05 +60 *1497:22 *2004:33 2.77625e-06 +61 *1497:22 *2514:16 0 +62 *1497:22 *5080:9 0.000105652 +63 *1497:22 *5860:110 0 +64 *1497:27 *19936:B1 0.000150255 +65 *1497:27 *21980:B1 5.18062e-06 +66 *1497:27 *1573:18 0 +67 *1497:27 *1755:15 9.19946e-05 +68 *1497:27 *1756:105 0.00046518 +69 *1497:27 *5898:25 0.000393863 +70 *1497:36 *20453:B 2.37478e-05 +71 *1497:36 *20457:A1 0.000194336 +72 *1497:36 *21704:A 6.64455e-05 +73 *1497:36 *21933:B1 2.53019e-05 +74 *1497:36 *1697:97 4.0143e-05 +75 *1497:36 *1731:32 0 +76 *1497:36 *1735:10 0.000830034 +77 *1497:36 *1764:128 1.91246e-05 +78 *1497:36 *1783:100 8.68143e-06 +79 *1497:36 *2561:16 0.000230536 +80 *1497:36 *2833:8 0.000138235 +81 *1497:36 *2835:14 0.000387049 +82 *1497:36 *2992:12 4.34856e-05 +83 *1497:36 *5075:8 5.52321e-05 +84 *1497:38 *19702:B1 0.000134323 +85 *1497:38 *2561:16 0.00114934 +86 *1497:38 *2835:14 0.00136072 +87 *1497:38 *2992:10 7.19e-05 +88 *1497:38 *5856:377 0.000236329 +89 *1497:78 *21820:B1 0.000520764 +90 *1497:78 *21913:A 4.69495e-06 +91 *1497:78 *24675:CLK 0.000269043 +92 *1497:78 *1786:13 0.000376456 +93 *1497:78 *2563:5 0.000121846 +94 *1497:78 *4923:67 0 +95 *1497:88 *24675:CLK 6.31931e-05 +96 *1497:88 *1786:11 7.92757e-06 +97 *1497:88 *2563:5 0.000159666 +98 *1497:88 *4923:67 9.28125e-05 +99 *1497:93 *20030:A2 2.6983e-05 +100 *1497:93 *24872:CLK 0 +101 *1497:93 *2466:16 0 +102 *1497:93 *4923:67 0.000152148 +103 *1497:103 *19916:B2 0.000559686 +104 *1497:103 *21708:A 5.57012e-05 +105 *1497:103 *24871:SET_B 0.000579598 +106 *1497:103 *24872:CLK 0.000563884 +107 *1497:103 *2466:14 0 +108 *1497:103 *2466:16 0 +109 *1497:103 *4923:67 3.46003e-05 +110 *1497:103 *5772:15 0.000289342 +111 *1497:103 *5871:12 0.00017561 +112 *1497:113 *1744:77 0.00108179 +113 *1497:113 *2466:11 0.000599476 +114 *1497:113 *2944:11 0.000338864 +115 *1497:113 *2944:15 8.45165e-05 +116 *1497:113 *5776:11 7.26606e-05 +117 *1497:113 *5856:436 6.93171e-05 +118 *1497:155 *19729:B 2.65831e-05 +119 *1497:155 *20913:A2 4.97617e-05 +120 *1497:155 *20913:B2 0.000253916 +121 *1497:155 *21490:A 6.02377e-05 +122 *1497:155 *2145:35 0.000112149 +123 *1497:155 *2180:13 0.000253916 +124 *1497:155 *2180:22 2.75985e-05 +125 *1497:155 *2547:10 0.000205006 +126 *1497:155 *5854:472 6.04508e-05 +127 *1497:161 *1691:71 0.000875355 +128 *1497:161 *2675:51 5.73392e-05 +129 *1497:161 *5859:352 0.000697453 +130 *1497:161 *5860:498 0.00087878 +131 *1497:161 *5870:474 0.000455489 +132 *1497:167 *21689:B2 0.000277444 +133 *1497:167 *1669:130 0.00145576 +134 *1497:167 *2528:48 0.000799687 +135 *1497:172 *21689:A2 3.82228e-05 +136 *1497:172 *21689:B2 5.04829e-06 +137 *1497:172 *2273:14 0.000151199 +138 *1497:172 *2720:24 2.77564e-05 +139 *1497:172 *3496:13 1.28832e-05 +140 *19685:B2 *1497:103 4.70652e-05 +141 *19693:B *1497:17 0.000361399 +142 *19729:A *1497:155 7.89747e-05 +143 *19805:C *1497:17 7.465e-05 +144 *21334:B2 *21365:A2 7.30564e-05 +145 *21334:B2 *1497:172 0.000113518 +146 *24240:D *1497:155 6.08467e-05 +147 *24329:D *1497:155 0.000491373 +148 *24871:D *1497:93 0.000119049 +149 *468:98 *1497:17 5.04829e-06 +150 *494:44 *21333:A2 2.17381e-05 +151 *522:18 *1497:167 0.000241301 +152 *1419:86 *1497:78 0.000364356 +153 *1419:96 *19694:B 6.50727e-05 +154 *1419:96 *21664:B2 0.000107496 +155 *1428:8 *1497:17 5.93461e-05 +156 *1459:18 *1497:17 0.000250713 +157 *1461:94 *1497:17 5.55683e-06 +158 *1461:94 *1497:155 3.99086e-06 +159 *1467:43 *1497:93 0 +160 *1492:35 *1497:155 1.99543e-05 +161 *1496:9 *1497:17 0.000251669 +162 *1496:16 *1497:17 1.41291e-05 +*RES +1 *19693:X *1497:5 9.82786 +2 *1497:5 *1497:17 43.2427 +3 *1497:17 *1497:22 31.862 +4 *1497:22 *1497:27 17.9969 +5 *1497:27 *1497:36 47.6252 +6 *1497:36 *1497:38 28.6158 +7 *1497:38 *1497:40 4.5 +8 *1497:40 *21664:B2 41.8045 +9 *1497:40 *19694:B 9.97254 +10 *1497:38 *1497:78 34.1472 +11 *1497:78 *1497:79 57.9449 +12 *1497:79 *1497:88 16.8839 +13 *1497:88 *1497:93 5.37385 +14 *1497:93 *1497:103 37.1367 +15 *1497:103 *1497:113 35.181 +16 *1497:113 *21211:A2 43.2488 +17 *1497:93 *20030:B2 13.7491 +18 *1497:88 *21820:B2 19.9322 +19 *1497:27 *21523:B2 13.8789 +20 *1497:22 *21980:A2 13.7491 +21 *1497:5 *1497:155 33.7011 +22 *1497:155 *1497:161 34.9629 +23 *1497:161 *1497:167 49.1845 +24 *1497:167 *1497:172 9.96496 +25 *1497:172 *21333:A2 19.464 +26 *1497:172 *21365:A2 15.1659 +*END + +*D_NET *1498 0.000749332 +*CONN +*I *19695:A I *D sky130_fd_sc_hd__buf_2 +*I *19694:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19695:A 0.000180314 +2 *19694:X 0.000180314 +3 *19695:A *1500:66 6.92705e-05 +4 *19695:A *1668:34 1.07248e-05 +5 *19695:A *2579:8 7.59185e-05 +6 *19695:A *2992:10 4.5648e-05 +7 *19695:A *4844:416 6.50586e-05 +8 *24859:D *19695:A 0.000122083 +*RES +1 *19694:X *19695:A 33.791 +*END + +*D_NET *1499 0.0122963 +*CONN +*I *19698:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19697:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19699:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19702:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19696:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19704:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19700:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19701:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19703:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19695:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *19698:A2 3.5247e-05 +2 *19697:A2 4.6642e-05 +3 *19699:A2 0.0002188 +4 *19702:A2 2.08735e-05 +5 *19696:A 0 +6 *19704:A2 0 +7 *19700:A2 0.0004433 +8 *19701:A2 3.77566e-05 +9 *19703:A2 0 +10 *19695:X 0 +11 *1499:70 0.000694573 +12 *1499:63 0.000580275 +13 *1499:26 0.000743972 +14 *1499:18 0.000563508 +15 *1499:13 0.000333784 +16 *1499:11 0.00018116 +17 *1499:7 0.000198647 +18 *1499:4 0.000145702 +19 *19697:A2 *19697:A1 2.80287e-05 +20 *19697:A2 *21932:A1 2.80287e-05 +21 *19698:A2 *19698:B2 0 +22 *19699:A2 *19699:A1 6.08467e-05 +23 *19699:A2 *24866:SET_B 0 +24 *19699:A2 *4843:576 2.65831e-05 +25 *19699:A2 *4924:26 0.000194992 +26 *19699:A2 *4924:28 0.000200236 +27 *19699:A2 *5917:179 0 +28 *19700:A2 *19700:B2 0.000754173 +29 *19700:A2 *24861:RESET_B 1.86242e-05 +30 *19700:A2 *24861:CLK 1.86242e-05 +31 *19701:A2 *19701:A1 8.25547e-05 +32 *19701:A2 *19701:B1 1.35073e-05 +33 *19701:A2 *5854:262 2.65667e-05 +34 *19702:A2 *25279:A 4.18989e-05 +35 *19702:A2 *2992:10 5.41377e-05 +36 *1499:7 *1500:66 0.000271044 +37 *1499:7 *1511:68 0.000271044 +38 *1499:11 *25279:A 0.000165481 +39 *1499:11 *1500:7 6.50727e-05 +40 *1499:11 *1500:55 0.000518186 +41 *1499:11 *1672:16 0.000668831 +42 *1499:11 *2643:17 1.80122e-05 +43 *1499:11 *2992:10 0.000165481 +44 *1499:13 *1500:7 0.00027329 +45 *1499:13 *1672:5 9.16785e-05 +46 *1499:13 *1672:16 6.50586e-05 +47 *1499:18 *25302:A 0.000701299 +48 *1499:18 *1511:68 0 +49 *1499:18 *5783:10 3.67708e-05 +50 *1499:18 *5860:298 0.000610306 +51 *1499:26 *19701:A1 8.90621e-05 +52 *1499:26 *19701:B1 2.1558e-06 +53 *1499:26 *19703:A1 0.000271044 +54 *1499:26 *4845:443 0.000110684 +55 *1499:26 *5860:298 0 +56 *1499:63 *19698:A1 1.43848e-05 +57 *1499:63 *19915:B2 0.000453457 +58 *1499:63 *1500:66 0.000464127 +59 *1499:63 *1500:77 9.32983e-05 +60 *1499:63 *1511:68 0.000201774 +61 *1499:63 *4844:403 4.91225e-06 +62 *1499:70 *24984:A 5.2771e-05 +63 *1499:70 *1500:77 0.000122958 +64 *1499:70 *4845:432 0 +65 *1499:70 *4924:26 0.00036086 +66 *24860:D *1499:26 0.00012568 +67 *24862:D *19699:A2 5.41377e-05 +68 *24863:D *1499:70 0.000160384 +69 *24864:D *19699:A2 0 +*RES +1 *19695:X *1499:4 9.24915 +2 *1499:4 *1499:7 7.44181 +3 *1499:7 *1499:11 14.9564 +4 *1499:11 *1499:13 2.94181 +5 *1499:13 *1499:18 22.4591 +6 *1499:18 *19703:A2 9.24915 +7 *1499:18 *1499:26 13.634 +8 *1499:26 *19701:A2 15.2664 +9 *1499:26 *19700:A2 22.5543 +10 *1499:13 *19704:A2 9.24915 +11 *1499:11 *19696:A 9.24915 +12 *1499:7 *19702:A2 14.7506 +13 *1499:4 *1499:63 7.37864 +14 *1499:63 *1499:70 18.0323 +15 *1499:70 *19699:A2 21.2876 +16 *1499:70 *19697:A2 14.7954 +17 *1499:63 *19698:A2 10.2378 +*END + +*D_NET *1500 0.0118686 +*CONN +*I *19697:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19699:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19698:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19702:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19704:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19701:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19700:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19703:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19696:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19697:B2 0 +2 *19699:B2 0.00026247 +3 *19698:B2 2.06324e-05 +4 *19702:B2 5.23642e-05 +5 *19704:B2 0 +6 *19701:B2 0 +7 *19700:B2 0.000380413 +8 *19703:B2 4.7703e-05 +9 *19696:Y 0 +10 *1500:77 0.000641327 +11 *1500:66 0.000565173 +12 *1500:55 0.000351158 +13 *1500:26 0.000721056 +14 *1500:12 0.000809774 +15 *1500:7 0.000536184 +16 *1500:4 0.000247867 +17 *19699:B2 *19699:B1 4.80635e-06 +18 *19699:B2 *21522:A 9.75369e-05 +19 *19699:B2 *4843:576 3.072e-06 +20 *19699:B2 *4843:586 1.00937e-05 +21 *19699:B2 *4845:443 0.000168855 +22 *19699:B2 *4924:26 6.01944e-06 +23 *19699:B2 *4924:28 6.80864e-05 +24 *19699:B2 *4924:33 1.84293e-05 +25 *19700:B2 *25279:A 2.02035e-05 +26 *19700:B2 *25302:A 2.01503e-05 +27 *19700:B2 *5860:298 1.66626e-05 +28 *19702:B2 *19702:A1 4.80635e-06 +29 *19702:B2 *1511:68 7.71155e-05 +30 *19702:B2 *4844:416 2.92446e-05 +31 *19703:B2 *4845:443 5.481e-05 +32 *19703:B2 *5782:19 0.000158357 +33 *1500:7 *1672:5 3.55126e-05 +34 *1500:7 *2643:15 0.000370815 +35 *1500:7 *2643:17 6.49003e-05 +36 *1500:12 *19730:B 0.000224429 +37 *1500:12 *25300:A 0.000128732 +38 *1500:12 *1672:5 5.481e-05 +39 *1500:12 *2643:15 4.97617e-05 +40 *1500:12 *2700:8 0.000215826 +41 *1500:12 *5782:10 0.000140359 +42 *1500:12 *5851:229 0.000191556 +43 *1500:26 *24858:CLK 0 +44 *1500:26 *25299:A 0 +45 *1500:26 *25300:A 0.000379538 +46 *1500:26 *5671:10 0 +47 *1500:26 *5782:10 9.2346e-06 +48 *1500:26 *5854:243 0.000119727 +49 *1500:55 *25279:A 0.000165495 +50 *1500:55 *2643:17 0.000228796 +51 *1500:66 *19702:A1 1.62258e-05 +52 *1500:66 *1511:68 5.81881e-05 +53 *1500:66 *4844:416 0.000270764 +54 *1500:77 *1516:20 0.000592376 +55 *1500:77 *4844:416 3.82228e-05 +56 *1500:77 *4845:432 4.53418e-05 +57 *1500:77 *4845:443 1.03594e-05 +58 *1500:77 *4924:26 0.000139183 +59 *19695:A *1500:66 6.92705e-05 +60 *19698:A2 *19698:B2 0 +61 *19700:A2 *19700:B2 0.000754173 +62 *21664:B2 *1500:55 0.000165495 +63 *24858:D *1500:26 0.000127164 +64 *1499:7 *1500:66 0.000271044 +65 *1499:11 *1500:7 6.50727e-05 +66 *1499:11 *1500:55 0.000518186 +67 *1499:13 *1500:7 0.00027329 +68 *1499:63 *1500:66 0.000464127 +69 *1499:63 *1500:77 9.32983e-05 +70 *1499:70 *1500:77 0.000122958 +*RES +1 *19696:Y *1500:4 9.24915 +2 *1500:4 *1500:7 6.29355 +3 *1500:7 *1500:12 19.7917 +4 *1500:12 *19703:B2 15.5817 +5 *1500:12 *1500:26 15.5442 +6 *1500:26 *19700:B2 27.0942 +7 *1500:26 *19701:B2 9.24915 +8 *1500:7 *19704:B2 9.24915 +9 *1500:4 *1500:55 17.7926 +10 *1500:55 *19702:B2 11.1541 +11 *1500:55 *1500:66 9.04245 +12 *1500:66 *19698:B2 9.82786 +13 *1500:66 *1500:77 18.8218 +14 *1500:77 *19699:B2 22.0003 +15 *1500:77 *19697:B2 13.7491 +*END + +*D_NET *1501 0.039216 +*CONN +*I *19913:B I *D sky130_fd_sc_hd__or2_4 +*I *19920:A I *D sky130_fd_sc_hd__or2_4 +*I *19814:B I *D sky130_fd_sc_hd__or2_1 +*I *20020:B I *D sky130_fd_sc_hd__or2_4 +*I *19836:A I *D sky130_fd_sc_hd__or2_4 +*I *19812:A I *D sky130_fd_sc_hd__or2_4 +*I *19706:B I *D sky130_fd_sc_hd__or2_4 +*I *19705:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19913:B 2.40314e-05 +2 *19920:A 0 +3 *19814:B 0 +4 *20020:B 0 +5 *19836:A 0.000615232 +6 *19812:A 0 +7 *19706:B 0.000718036 +8 *19705:X 0.000393013 +9 *1501:125 5.12845e-05 +10 *1501:123 7.27494e-05 +11 *1501:122 0.000127043 +12 *1501:96 0.00102888 +13 *1501:76 0.00124117 +14 *1501:70 0.00224554 +15 *1501:53 0.0027216 +16 *1501:42 0.00164946 +17 *1501:34 0.00169382 +18 *1501:13 0.00351226 +19 *1501:10 0.00192085 +20 *19706:B *19747:A 5.92342e-05 +21 *19706:B *1520:17 0.000615802 +22 *19706:B *1541:15 0.000158371 +23 *19706:B *1698:117 7.25424e-05 +24 *19706:B *4832:118 0.000667909 +25 *19706:B *5917:560 6.89449e-05 +26 *19836:A *19803:B 0.000154145 +27 *19836:A *19836:B 0.000200794 +28 *19836:A *1716:46 0.000364062 +29 *19836:A *1744:179 0.000304652 +30 *19836:A *2709:14 0.000832623 +31 *19836:A *2844:67 1.3308e-05 +32 *19836:A *4849:33 0.000345048 +33 *19913:B *1541:15 2.16355e-05 +34 *1501:10 *1759:13 0.000111708 +35 *1501:10 *2951:28 0.000723233 +36 *1501:10 *4921:14 0.000645065 +37 *1501:10 *4921:23 6.79599e-05 +38 *1501:34 *20411:A1 6.08467e-05 +39 *1501:34 *20685:A2 2.48822e-05 +40 *1501:34 *20685:B1 5.49916e-05 +41 *1501:34 *20687:A2 7.57527e-05 +42 *1501:34 *20687:B1 0.000114237 +43 *1501:34 *20688:A1 0.000153783 +44 *1501:34 *21723:A 0.000165302 +45 *1501:34 *1639:20 1.14055e-05 +46 *1501:34 *1675:15 3.99086e-06 +47 *1501:34 *1689:51 0.000193954 +48 *1501:34 *1698:117 0.000473475 +49 *1501:34 *2036:55 0.000435177 +50 *1501:34 *2514:37 0.000605152 +51 *1501:34 *2994:12 0 +52 *1501:34 *5854:606 5.04829e-06 +53 *1501:34 *5917:560 0.000441056 +54 *1501:42 *19815:A 0.000107496 +55 *1501:42 *21682:A1 1.37385e-05 +56 *1501:42 *2745:11 4.54554e-05 +57 *1501:42 *2974:13 0.000350015 +58 *1501:42 *2994:29 4.69529e-05 +59 *1501:42 *4828:142 7.13655e-06 +60 *1501:42 *4931:44 0.000313693 +61 *1501:42 *5153:10 2.69795e-05 +62 *1501:53 *20410:A1 3.59505e-05 +63 *1501:53 *21447:A 7.52065e-05 +64 *1501:53 *24636:CLK 5.66868e-06 +65 *1501:53 *1716:57 7.50654e-06 +66 *1501:53 *1730:83 0.000135629 +67 *1501:53 *2013:32 0.000235157 +68 *1501:53 *2504:20 4.09154e-05 +69 *1501:53 *2521:27 0.000496491 +70 *1501:53 *2745:11 5.8973e-05 +71 *1501:53 *2971:24 0.00082143 +72 *1501:53 *2974:13 5.61389e-05 +73 *1501:53 *5306:10 9.9063e-05 +74 *1501:53 *5856:526 6.39178e-05 +75 *1501:70 *20605:A 3.96199e-05 +76 *1501:70 *20608:A2 0.000111593 +77 *1501:70 *20608:B1 6.71498e-05 +78 *1501:70 *21571:B1 0.000227061 +79 *1501:70 *1598:11 0.000525538 +80 *1501:70 *1677:150 0.000170627 +81 *1501:70 *1689:71 0.000101646 +82 *1501:70 *1716:57 2.74722e-05 +83 *1501:70 *2013:54 0 +84 *1501:70 *2281:13 9.00314e-05 +85 *1501:70 *2510:73 1.39173e-05 +86 *1501:70 *2521:27 2.50301e-05 +87 *1501:70 *2620:76 0.000284999 +88 *1501:70 *2627:37 0.000101619 +89 *1501:70 *2708:32 0.000360024 +90 *1501:70 *2709:37 8.62625e-06 +91 *1501:70 *2933:40 6.47442e-05 +92 *1501:70 *5297:8 1.5714e-05 +93 *1501:70 *5306:10 1.94327e-05 +94 *1501:70 *5869:409 0 +95 *1501:70 *5869:418 7.85226e-05 +96 *1501:76 *19812:B 0.00020502 +97 *1501:76 *20486:A1 1.92172e-05 +98 *1501:76 *1560:36 0.0014782 +99 *1501:76 *2702:45 0.00127956 +100 *1501:76 *3004:16 5.65074e-05 +101 *1501:76 *5681:7 0.000300565 +102 *1501:76 *5869:421 1.06772e-05 +103 *1501:96 *22479:B1 1.2954e-05 +104 *1501:96 *22791:B1 0.000107496 +105 *1501:96 *1631:10 0.000170592 +106 *1501:96 *2522:32 0.000110297 +107 *1501:96 *2709:14 0.000268611 +108 *1501:96 *2709:24 3.05511e-05 +109 *1501:96 *5681:7 2.15348e-05 +110 *19705:A *1501:10 0.000115934 +111 *19913:A *19913:B 6.08467e-05 +112 *19913:A *1501:13 0.00145251 +113 *19913:A *1501:122 9.1311e-05 +114 *24498:D *1501:53 5.01835e-05 +115 *1424:5 *19706:B 0.000317325 +116 *1424:5 *1501:13 0.00065297 +117 *1454:59 *19836:A 2.11965e-05 +118 *1454:59 *1501:96 0.000459075 +119 *1466:62 *1501:53 0.000272318 +120 *1466:66 *1501:53 1.25981e-05 +*RES +1 *19705:X *1501:10 33.8167 +2 *1501:10 *1501:13 28.5167 +3 *1501:13 *19706:B 46.8067 +4 *1501:13 *1501:34 43.7908 +5 *1501:34 *1501:42 20.0295 +6 *1501:42 *1501:53 42.8137 +7 *1501:53 *1501:70 47.8352 +8 *1501:70 *1501:76 37.3985 +9 *1501:76 *19812:A 9.24915 +10 *1501:76 *1501:96 31.3303 +11 *1501:96 *19836:A 39.4965 +12 *1501:96 *20020:B 9.24915 +13 *1501:42 *19814:B 9.24915 +14 *1501:34 *19920:A 9.24915 +15 *1501:10 *1501:122 10.5271 +16 *1501:122 *1501:123 57.9449 +17 *1501:123 *1501:125 42.9474 +18 *1501:125 *19913:B 19.2217 +*END + +*D_NET *1502 0.0953078 +*CONN +*I *21320:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21690:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21571:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21788:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21952:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19707:B I *D sky130_fd_sc_hd__or2_1 +*I *21206:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *6086:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21377:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20012:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19706:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21320:B2 0.000536691 +2 *21690:B2 5.63682e-06 +3 *21571:A2 0.000103393 +4 *21788:B2 0.000475623 +5 *21952:B2 6.9797e-05 +6 *19707:B 0 +7 *21206:B2 0.000205493 +8 *6086:DIODE 0 +9 *21377:A2 0.000438397 +10 *20012:A2 1.11899e-05 +11 *19706:X 0.000333075 +12 *1502:204 0.00176645 +13 *1502:203 0.00229751 +14 *1502:201 0.00163496 +15 *1502:193 0.00245283 +16 *1502:177 0.00218201 +17 *1502:176 0.00143782 +18 *1502:160 0.000328433 +19 *1502:155 0.00136501 +20 *1502:135 0.00230119 +21 *1502:129 0.00218949 +22 *1502:128 0.00157759 +23 *1502:102 0.000285318 +24 *1502:90 0.00107431 +25 *1502:86 0.00195308 +26 *1502:80 0.00296879 +27 *1502:65 0.00335696 +28 *1502:64 0.00207263 +29 *1502:60 0.000317838 +30 *1502:57 0.00168088 +31 *1502:49 0.00264967 +32 *1502:42 0.00416515 +33 *1502:13 0.00323774 +34 *1502:11 0.000463438 +35 *20012:A2 *20012:B2 5.89344e-05 +36 *21206:B2 *21206:B1 0.000228576 +37 *21206:B2 *4845:412 3.86207e-06 +38 *21320:B2 *21320:A1 0.00012624 +39 *21320:B2 *21321:C1 6.08467e-05 +40 *21320:B2 *1645:69 9.34612e-05 +41 *21320:B2 *2273:18 3.67131e-05 +42 *21320:B2 *2282:88 0.000489972 +43 *21320:B2 *2403:17 0.000111802 +44 *21320:B2 *3124:35 0 +45 *21320:B2 *3139:122 0.000112148 +46 *21320:B2 *3151:40 0.000172156 +47 *21377:A2 *2270:75 3.34025e-05 +48 *21377:A2 *2270:91 6.92705e-05 +49 *21377:A2 *2434:8 7.77309e-06 +50 *21377:A2 *2437:7 6.50727e-05 +51 *21377:A2 *2437:8 0.000614113 +52 *21377:A2 *5871:237 0.000418116 +53 *21377:A2 *5871:530 0.000242399 +54 *21571:A2 *21560:A2 1.41976e-05 +55 *21571:A2 *21571:A1 4.17399e-05 +56 *21571:A2 *1715:67 8.54574e-05 +57 *21571:A2 *2979:20 3.24424e-05 +58 *21690:B2 *21690:C1 6.13007e-06 +59 *21690:B2 *2722:11 1.72399e-05 +60 *21788:B2 *20410:A1 0.000227475 +61 *21788:B2 *20410:B1 0.000174507 +62 *21788:B2 *24633:RESET_B 5.55617e-05 +63 *21788:B2 *1764:32 5.03898e-05 +64 *21788:B2 *2285:16 0.000186931 +65 *21788:B2 *2971:23 0.00083167 +66 *21788:B2 *5869:255 0.000168752 +67 *1502:11 *1520:17 0.000134069 +68 *1502:11 *1764:13 0.000266846 +69 *1502:11 *2810:23 6.04131e-05 +70 *1502:13 *20012:A1 1.88014e-05 +71 *1502:13 *1764:13 0.00027483 +72 *1502:13 *2810:23 6.53567e-05 +73 *1502:42 *20012:B1 1.1573e-05 +74 *1502:42 *20012:B2 2.04025e-05 +75 *1502:42 *21952:B1 1.45041e-05 +76 *1502:42 *23942:A0 0.000116084 +77 *1502:42 *24890:RESET_B 0.000209385 +78 *1502:42 *1698:81 0 +79 *1502:42 *1748:16 3.65454e-05 +80 *1502:42 *1760:8 0.000575054 +81 *1502:42 *1764:13 0.000107496 +82 *1502:42 *1783:100 5.4678e-05 +83 *1502:42 *2514:13 5.60804e-05 +84 *1502:42 *2704:31 1.66626e-05 +85 *1502:42 *2966:14 1.91246e-05 +86 *1502:42 *4843:633 9.54281e-05 +87 *1502:42 *5856:281 0.000114262 +88 *1502:49 *20217:B 0 +89 *1502:49 *20218:A 0 +90 *1502:49 *20220:A2 0 +91 *1502:49 *20220:B1 0.000149846 +92 *1502:49 *20223:A1 8.62625e-06 +93 *1502:49 *21950:A 0.00027591 +94 *1502:49 *21952:B1 7.366e-06 +95 *1502:49 *24506:CLK 0.000123684 +96 *1502:49 *1760:8 1.32514e-05 +97 *1502:49 *1889:6 0 +98 *1502:49 *2398:38 0 +99 *1502:49 *2964:10 0.000610784 +100 *1502:49 *4928:86 5.04829e-06 +101 *1502:49 *4928:142 2.16355e-05 +102 *1502:49 *5671:19 7.36804e-06 +103 *1502:49 *5851:446 2.18041e-06 +104 *1502:57 *21862:A 0.000247216 +105 *1502:57 *24506:CLK 5.30145e-05 +106 *1502:57 *24853:CLK 5.95286e-05 +107 *1502:57 *24855:CLK 0.000182064 +108 *1502:57 *1504:86 2.3339e-05 +109 *1502:57 *2295:8 1.2568e-05 +110 *1502:57 *2719:8 0 +111 *1502:57 *2965:13 0.000635719 +112 *1502:57 *4844:434 4.44163e-05 +113 *1502:57 *4928:8 0 +114 *1502:57 *4928:74 0 +115 *1502:57 *4928:85 0 +116 *1502:57 *5087:8 0.000169093 +117 *1502:57 *5860:147 0.000123582 +118 *1502:57 *5860:163 8.37979e-05 +119 *1502:60 *19715:B1 7.86825e-06 +120 *1502:60 *5854:210 1.56942e-05 +121 *1502:64 *1505:37 1.44467e-05 +122 *1502:64 *5777:29 0.000128881 +123 *1502:64 *5854:210 0.000121842 +124 *1502:65 *19700:B1 0.00026368 +125 *1502:65 *21978:A 0.000118166 +126 *1502:65 *24866:CLK 0.000689459 +127 *1502:65 *24984:A 0.000589689 +128 *1502:65 *2992:7 0.000581012 +129 *1502:65 *4924:23 0.000207266 +130 *1502:65 *5851:233 0.000702644 +131 *1502:65 *5860:298 0.000158357 +132 *1502:80 *24871:SET_B 0.000101118 +133 *1502:80 *25283:A 0.00018668 +134 *1502:80 *2739:14 2.36494e-05 +135 *1502:80 *4845:425 0.00247035 +136 *1502:80 *4845:432 0 +137 *1502:80 *5762:20 4.41736e-05 +138 *1502:86 *20241:B1 0.000134849 +139 *1502:86 *21956:A 0.000984428 +140 *1502:86 *2739:13 0.000453443 +141 *1502:86 *2970:7 0.000169041 +142 *1502:86 *4845:415 2.57654e-05 +143 *1502:86 *4915:62 0.000224395 +144 *1502:86 *5851:330 0.000474834 +145 *1502:90 *20239:A 1.41291e-05 +146 *1502:90 *20241:A1 3.21321e-05 +147 *1502:90 *20241:A2 0.000366603 +148 *1502:90 *20244:A1 0.000459915 +149 *1502:90 *21736:A1 6.92705e-05 +150 *1502:90 *21736:B2 6.92705e-05 +151 *1502:90 *1780:61 3.47066e-05 +152 *1502:90 *1896:5 0.000171288 +153 *1502:90 *2437:8 0.000278094 +154 *1502:90 *2559:9 0.000132036 +155 *1502:90 *2756:8 2.65831e-05 +156 *1502:90 *5871:530 0.000109128 +157 *1502:102 *21206:B1 0.000107496 +158 *1502:129 *20407:B 0.000104731 +159 *1502:129 *24635:RESET_B 7.11636e-05 +160 *1502:129 *1537:12 0.00047281 +161 *1502:129 *1762:41 0.000287671 +162 *1502:129 *1801:38 4.12833e-05 +163 *1502:129 *2702:35 3.57569e-05 +164 *1502:129 *2702:37 0.000478908 +165 *1502:129 *2707:8 0.00219141 +166 *1502:135 *21218:A2 6.63489e-05 +167 *1502:135 *21898:B2 0.000184946 +168 *1502:135 *1689:57 0.000708404 +169 *1502:135 *1725:47 6.22259e-05 +170 *1502:135 *1766:82 1.41976e-05 +171 *1502:155 *21571:A1 0.000982245 +172 *1502:155 *24498:RESET_B 0.000343705 +173 *1502:155 *1537:12 0.000212459 +174 *1502:155 *1689:57 0.000466137 +175 *1502:155 *1689:69 6.31218e-05 +176 *1502:155 *1689:71 4.28608e-06 +177 *1502:155 *2285:19 9.94284e-06 +178 *1502:155 *2616:52 0.00102461 +179 *1502:155 *5869:409 7.94607e-05 +180 *1502:160 *21571:A1 0.000177161 +181 *1502:160 *1560:52 0.000203207 +182 *1502:160 *3208:83 7.77309e-06 +183 *1502:176 *21560:A2 9.32983e-05 +184 *1502:176 *1560:52 4.76248e-05 +185 *1502:176 *2616:52 1.42932e-05 +186 *1502:176 *2979:20 3.82228e-05 +187 *1502:177 *21560:A2 0.00231 +188 *1502:177 *22751:A1 0.000188837 +189 *1502:177 *2628:10 0.00120258 +190 *1502:177 *2932:61 0.00044694 +191 *1502:177 *3128:98 0.000158451 +192 *1502:177 *3155:53 0.000158451 +193 *1502:177 *3463:27 0.000398169 +194 *1502:177 *3751:27 0.00132258 +195 *1502:193 *21909:B2 1.47978e-05 +196 *1502:193 *21909:C1 0.000110306 +197 *1502:193 *22761:A 0.000815846 +198 *1502:193 *1542:127 0.000149783 +199 *1502:193 *1771:47 0.000135973 +200 *1502:193 *2622:9 6.63489e-05 +201 *1502:193 *2641:68 0.00063959 +202 *1502:193 *2751:8 0.000135973 +203 *1502:193 *3406:14 0.000153208 +204 *1502:193 *3491:51 0.00082444 +205 *1502:201 *21219:A1 0.000159953 +206 *1502:201 *21219:A2 8.47152e-05 +207 *1502:201 *21219:B2 0.000111722 +208 *1502:201 *21219:C1 8.29451e-05 +209 *1502:201 *22320:A1 4.31485e-06 +210 *1502:201 *22320:A2 4.15201e-05 +211 *1502:201 *22746:A2 0.000871749 +212 *1502:201 *1552:52 0.000141407 +213 *1502:201 *2282:88 4.19698e-05 +214 *1502:201 *2286:10 1.09075e-05 +215 *1502:201 *2576:85 0.000110306 +216 *1502:201 *3142:98 1.77134e-05 +217 *1502:201 *3151:53 0.000934956 +218 *1502:201 *3485:115 0.000449106 +219 *1502:201 *3491:51 0.000280948 +220 *1502:201 *3500:89 2.57465e-06 +221 *1502:204 *21690:A1 4.1946e-06 +222 *1502:204 *24234:CLK 0.000213925 +223 *1502:204 *1551:47 0.00232711 +224 *1502:204 *1645:69 0.00134039 +225 *1502:204 *1649:80 0.000105909 +226 *1502:204 *1717:45 0.000255408 +227 *1502:204 *1730:48 0.00013521 +228 *1502:204 *2273:18 0.000137126 +229 *1502:204 *2378:41 0 +230 *1502:204 *2407:41 1.91391e-05 +231 *1502:204 *2800:25 3.00213e-05 +232 *1502:204 *3481:16 2.28755e-05 +233 *1502:204 *3496:29 3.88655e-06 +234 *1502:204 *3500:18 4.00504e-05 +235 *19588:A *1502:129 9.20782e-05 +236 *19621:B *1502:42 0 +237 *19707:A *1502:60 3.98327e-05 +238 *19707:A *1502:64 5.22654e-06 +239 *20048:A *1502:129 0.000129056 +240 *20344:A *1502:42 1.92766e-05 +241 *24762:D *1502:57 4.69189e-06 +242 *523:10 *1502:90 2.95757e-05 +243 *523:11 *1502:90 6.08467e-05 +244 *533:8 *21320:B2 0.000112148 +245 *543:10 *1502:193 0.000441147 +246 *1439:213 *1502:86 4.70005e-05 +247 *1448:8 *1502:11 3.14733e-05 +248 *1448:8 *1502:129 0.000385691 +249 *1461:39 *1502:11 0.000174944 +250 *1461:61 *1502:11 2.16355e-05 +251 *1466:62 *1502:135 0.000166418 +252 *1466:66 *1502:135 1.85244e-05 +253 *1466:66 *1502:155 0.0011608 +*RES +1 *19706:X *1502:11 26.7953 +2 *1502:11 *1502:13 4.60562 +3 *1502:13 *20012:A2 9.97254 +4 *1502:13 *1502:42 47.1771 +5 *1502:42 *1502:49 32.463 +6 *1502:49 *1502:57 47.6811 +7 *1502:57 *1502:60 5.91674 +8 *1502:60 *1502:64 13.3913 +9 *1502:64 *1502:65 52.3015 +10 *1502:65 *1502:80 49.6165 +11 *1502:80 *1502:86 47.4653 +12 *1502:86 *1502:90 25.3517 +13 *1502:90 *21377:A2 28.3497 +14 *1502:90 *1502:102 5.778 +15 *1502:102 *6086:DIODE 9.24915 +16 *1502:102 *21206:B2 13.1796 +17 *1502:60 *19707:B 13.7491 +18 *1502:42 *21952:B2 15.0438 +19 *1502:11 *1502:128 4.5 +20 *1502:128 *1502:129 55.3995 +21 *1502:129 *1502:135 25.6768 +22 *1502:135 *21788:B2 40.8306 +23 *1502:135 *1502:155 46.8731 +24 *1502:155 *1502:160 13.3235 +25 *1502:160 *21571:A2 12.3839 +26 *1502:160 *1502:176 11.2795 +27 *1502:176 *1502:177 48.4193 +28 *1502:177 *1502:193 46.5861 +29 *1502:193 *1502:201 46.2462 +30 *1502:201 *1502:203 4.5 +31 *1502:203 *1502:204 55.8148 +32 *1502:204 *21690:B2 13.9541 +33 *1502:203 *21320:B2 36.2325 +*END + +*D_NET *1503 0.000404702 +*CONN +*I *19708:A I *D sky130_fd_sc_hd__buf_2 +*I *19707:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19708:A 4.88602e-05 +2 *19707:X 4.88602e-05 +3 *19708:A *21787:A 5.92342e-05 +4 *19708:A *1760:8 0.000151726 +5 *19708:A *5777:29 9.60216e-05 +*RES +1 *19707:X *19708:A 30.1608 +*END + +*D_NET *1504 0.0103977 +*CONN +*I *19711:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19713:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19715:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19709:A I *D sky130_fd_sc_hd__inv_2 +*I *19716:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19710:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19712:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19714:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19717:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19708:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *19711:A2 9.01969e-05 +2 *19713:A2 2.3639e-05 +3 *19715:A2 7.64888e-05 +4 *19709:A 0 +5 *19716:A2 1.54601e-05 +6 *19710:A2 0.000132439 +7 *19712:A2 0 +8 *19714:A2 1.93962e-05 +9 *19717:A2 0.000164027 +10 *19708:X 0 +11 *1504:86 0.00071805 +12 *1504:45 0.000263643 +13 *1504:33 0.000164842 +14 *1504:21 0.000347179 +15 *1504:16 0.000594786 +16 *1504:13 0.00075561 +17 *1504:8 0.000857573 +18 *1504:4 0.0010401 +19 *19710:A2 *19712:A1 6.50586e-05 +20 *19710:A2 *19712:B1 8.01546e-06 +21 *19710:A2 *21686:A 2.94562e-05 +22 *19710:A2 *4843:586 2.41483e-05 +23 *19710:A2 *4928:12 0 +24 *19710:A2 *5778:18 1.03986e-05 +25 *19711:A2 *19711:A1 6.50586e-05 +26 *19711:A2 *19711:B2 4.03578e-05 +27 *19711:A2 *19713:A1 0.000135595 +28 *19711:A2 *4844:416 0.000113968 +29 *19713:A2 *19711:B2 0.000142844 +30 *19713:A2 *19713:A1 1.88152e-05 +31 *19713:A2 *2445:7 2.05783e-05 +32 *19714:A2 *5854:233 3.01683e-06 +33 *19715:A2 *4844:434 4.58003e-05 +34 *19716:A2 *19716:A1 0.000171273 +35 *19716:A2 *2698:13 0.000171273 +36 *19717:A2 *24849:CLK 0.000213739 +37 *19717:A2 *25289:A 2.97007e-05 +38 *19717:A2 *5777:29 7.03198e-05 +39 *19717:A2 *5854:243 0.000113374 +40 *1504:8 *19715:A1 0.000336806 +41 *1504:8 *4844:434 4.83782e-05 +42 *1504:8 *5777:25 2.33246e-05 +43 *1504:8 *5854:210 0.000141864 +44 *1504:13 *24990:A 0 +45 *1504:13 *1505:8 2.65831e-05 +46 *1504:13 *1505:37 1.92172e-05 +47 *1504:13 *4844:434 2.36813e-05 +48 *1504:13 *5854:210 0.000536407 +49 *1504:16 *19716:B1 0 +50 *1504:16 *20004:A 3.20069e-06 +51 *1504:16 *1512:10 6.87335e-05 +52 *1504:16 *5854:210 0.000146697 +53 *1504:21 *19714:A1 2.41274e-06 +54 *1504:21 *19717:B2 0.000148129 +55 *1504:21 *20004:A 7.52398e-05 +56 *1504:21 *24850:SET_B 0 +57 *1504:21 *4843:591 0.000364342 +58 *1504:21 *5854:223 0.000135503 +59 *1504:21 *5854:233 9.91639e-05 +60 *1504:33 *19714:A1 1.43983e-05 +61 *1504:33 *4843:591 5.08751e-05 +62 *1504:45 *19712:A1 6.92705e-05 +63 *1504:45 *19712:B2 0.000373543 +64 *1504:45 *19714:A1 8.5713e-05 +65 *1504:45 *4843:586 5.07314e-05 +66 *1504:45 *4843:591 0.000579996 +67 *1504:86 *4844:416 1.3262e-05 +68 *1504:86 *4844:434 3.2464e-05 +69 *1504:86 *4928:8 0 +70 *1504:86 *4928:10 0 +71 *24849:D *19717:A2 4.58003e-05 +72 *24851:D *19715:A2 1.43848e-05 +73 *1419:96 *1504:8 1.5966e-05 +74 *1419:96 *1504:86 7.20416e-05 +75 *1439:271 *1504:13 0 +76 *1439:271 *1504:16 0 +77 *1502:57 *1504:86 2.3339e-05 +*RES +1 *19708:X *1504:4 9.24915 +2 *1504:4 *1504:8 12.8773 +3 *1504:8 *1504:13 15.4998 +4 *1504:13 *1504:16 15.0523 +5 *1504:16 *1504:21 12.044 +6 *1504:21 *19717:A2 24.1294 +7 *1504:21 *1504:33 0.723396 +8 *1504:33 *19714:A2 9.82786 +9 *1504:33 *1504:45 8.68075 +10 *1504:45 *19712:A2 9.24915 +11 *1504:45 *19710:A2 22.0503 +12 *1504:16 *19716:A2 15.5817 +13 *1504:13 *19709:A 9.24915 +14 *1504:8 *19715:A2 15.8591 +15 *1504:4 *1504:86 19.9077 +16 *1504:86 *19713:A2 10.8044 +17 *1504:86 *19711:A2 13.5172 +*END + +*D_NET *1505 0.0097919 +*CONN +*I *19710:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19712:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19717:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19716:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19714:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19715:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19711:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19713:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19709:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19710:B2 0.000139477 +2 *19712:B2 0.00014845 +3 *19717:B2 0.000215997 +4 *19716:B2 0.00022404 +5 *19714:B2 5.00947e-05 +6 *19715:B2 8.82198e-05 +7 *19711:B2 0.00014712 +8 *19713:B2 0 +9 *19709:Y 0 +10 *1505:72 0.000346362 +11 *1505:49 0.000609913 +12 *1505:39 0.000248868 +13 *1505:37 0.000566386 +14 *1505:11 0.0004523 +15 *1505:8 0.000847666 +16 *1505:4 0.000933319 +17 *19710:B2 *2945:5 0.000174919 +18 *19710:B2 *5769:11 4.66492e-05 +19 *19711:B2 *19713:B1 6.08467e-05 +20 *19711:B2 *2445:7 1.94204e-05 +21 *19711:B2 *5851:236 7.6719e-06 +22 *19712:B2 *19714:A1 0.000159535 +23 *19712:B2 *4928:12 3.51249e-05 +24 *19712:B2 *5769:11 2.95757e-05 +25 *19712:B2 *5777:29 7.09395e-05 +26 *19714:B2 *20004:A 7.77309e-06 +27 *19714:B2 *25289:A 0.000122083 +28 *19714:B2 *5854:210 9.75356e-05 +29 *19715:B2 *19715:B1 1.07248e-05 +30 *19715:B2 *5870:29 5.302e-05 +31 *19715:B2 *5870:40 1.44611e-05 +32 *19716:B2 *19716:A1 0.000171273 +33 *19716:B2 *19716:B1 4.03114e-05 +34 *19716:B2 *2698:13 2.90626e-05 +35 *19717:B2 *20004:A 3.47202e-05 +36 *19717:B2 *24850:SET_B 0 +37 *19717:B2 *25278:A 3.67528e-06 +38 *19717:B2 *5757:8 9.98029e-06 +39 *19717:B2 *5917:86 0 +40 *1505:8 *5870:29 0.000143598 +41 *1505:11 *24990:A 0.000164843 +42 *1505:11 *5851:236 0.00039182 +43 *1505:37 *2698:13 0.000114403 +44 *1505:37 *5777:29 0 +45 *1505:37 *5854:210 0.000616296 +46 *1505:39 *25289:A 2.95757e-05 +47 *1505:39 *5854:210 4.18989e-05 +48 *1505:49 *19716:A1 0.000253916 +49 *1505:72 *19716:A1 6.08467e-05 +50 *1505:72 *2698:13 6.50727e-05 +51 *1505:72 *2945:5 0.000132414 +52 *1505:72 *5769:11 0.000319954 +53 *19711:A2 *19711:B2 4.03578e-05 +54 *19713:A2 *19711:B2 0.000142844 +55 *24853:D *19711:B2 0.000267328 +56 *1439:271 *19715:B2 7.06474e-05 +57 *1439:271 *1505:8 0.000136653 +58 *1502:64 *1505:37 1.44467e-05 +59 *1504:13 *1505:8 2.65831e-05 +60 *1504:13 *1505:37 1.92172e-05 +61 *1504:21 *19717:B2 0.000148129 +62 *1504:45 *19712:B2 0.000373543 +*RES +1 *19709:Y *1505:4 9.24915 +2 *1505:4 *1505:8 14.5355 +3 *1505:8 *1505:11 14.6517 +4 *1505:11 *19713:B2 9.24915 +5 *1505:11 *19711:B2 15.0122 +6 *1505:8 *19715:B2 16.8269 +7 *1505:4 *1505:37 17.7154 +8 *1505:37 *1505:39 1.00149 +9 *1505:39 *19714:B2 15.9964 +10 *1505:39 *1505:49 7.44181 +11 *1505:49 *19716:B2 14.0718 +12 *1505:49 *19717:B2 24.2337 +13 *1505:37 *1505:72 8.55102 +14 *1505:72 *19712:B2 25.3779 +15 *1505:72 *19710:B2 13.8548 +*END + +*D_NET *1506 0.0864985 +*CONN +*I *21570:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19719:B I *D sky130_fd_sc_hd__or2_1 +*I *21908:A2 I *D sky130_fd_sc_hd__o22a_4 +*I *21772:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21383:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21717:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *19998:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19718:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21570:B2 0 +2 *19719:B 0 +3 *21908:A2 0.000529345 +4 *21772:B2 0.000170358 +5 *21383:B2 0.00054313 +6 *21717:B2 0.000530576 +7 *19998:A2 0 +8 *19718:X 6.29497e-05 +9 *1506:158 0.00114137 +10 *1506:146 0.000622624 +11 *1506:143 0.00133293 +12 *1506:142 0.00132233 +13 *1506:129 0.000247695 +14 *1506:128 0.00235016 +15 *1506:125 0.00271541 +16 *1506:114 0.00132048 +17 *1506:99 0.00391044 +18 *1506:98 0.00331348 +19 *1506:87 0.00213217 +20 *1506:76 0.00214394 +21 *1506:75 0.00101899 +22 *1506:46 0.0018842 +23 *1506:45 0.00139231 +24 *1506:35 0.00101747 +25 *1506:17 0.00284722 +26 *1506:16 0.00200233 +27 *1506:6 0.00146626 +28 *21383:B2 *6177:DIODE 1.96041e-05 +29 *21383:B2 *21383:B1 0.000440512 +30 *21383:B2 *1510:16 5.74984e-05 +31 *21383:B2 *1544:43 5.05252e-05 +32 *21383:B2 *1689:35 0.000237557 +33 *21383:B2 *1749:8 4.79289e-05 +34 *21717:B2 *21717:A1_N 0.000219668 +35 *21717:B2 *21717:B1 0.000251182 +36 *21772:B2 *21772:A1 7.92757e-06 +37 *21772:B2 *21772:B1 3.31745e-05 +38 *21772:B2 *21772:C1 0.000218854 +39 *21772:B2 *1658:53 6.3657e-05 +40 *21772:B2 *1658:57 0.000312311 +41 *21772:B2 *1740:139 0.000154974 +42 *21908:A2 *1744:99 5.46286e-05 +43 *21908:A2 *2516:8 0.000159888 +44 *21908:A2 *2803:27 9.3002e-05 +45 *21908:A2 *2864:19 9.14669e-05 +46 *21908:A2 *5743:8 2.65192e-05 +47 *21908:A2 *5743:10 0.000268554 +48 *1506:6 *1756:105 8.6931e-05 +49 *1506:6 *2976:18 2.8323e-05 +50 *1506:16 *1688:118 1.90786e-05 +51 *1506:16 *1739:22 0.000140472 +52 *1506:16 *1756:105 7.5108e-05 +53 *1506:16 *2003:61 0.000338128 +54 *1506:16 *2947:12 8.45161e-05 +55 *1506:16 *2947:20 6.1479e-05 +56 *1506:16 *2976:18 3.38919e-05 +57 *1506:17 *2003:48 0.000397397 +58 *1506:35 *19947:A 8.54035e-05 +59 *1506:35 *19998:A1 0.000467927 +60 *1506:35 *20002:B 1.65907e-05 +61 *1506:35 *1563:10 4.08688e-05 +62 *1506:35 *1686:8 0.000403863 +63 *1506:35 *1697:110 0.000297071 +64 *1506:35 *1753:37 2.93863e-05 +65 *1506:35 *1792:76 1.5714e-05 +66 *1506:35 *2274:10 0.0003258 +67 *1506:35 *5830:41 0.000102045 +68 *1506:45 *19998:A1 3.99086e-06 +69 *1506:46 *24674:CLK 0.000551352 +70 *1506:46 *1696:19 0.000500855 +71 *1506:46 *1884:307 0.00033835 +72 *1506:46 *4922:38 5.44896e-05 +73 *1506:46 *4922:40 0.000175574 +74 *1506:75 *20377:A 8.62625e-06 +75 *1506:75 *2469:23 0.000371551 +76 *1506:75 *2719:16 5.481e-05 +77 *1506:75 *2785:14 9.75356e-05 +78 *1506:76 *2469:23 0.000459915 +79 *1506:87 *20380:A1 2.04806e-05 +80 *1506:87 *24054:S 6.79169e-05 +81 *1506:87 *24655:SET_B 0.000149408 +82 *1506:87 *1515:34 8.25814e-05 +83 *1506:87 *1725:17 0.000309484 +84 *1506:87 *1744:110 0.00129572 +85 *1506:87 *2469:23 0.000487161 +86 *1506:87 *2603:16 0.00128755 +87 *1506:87 *5860:260 0.00012448 +88 *1506:87 *5908:9 0.000172671 +89 *1506:87 *6001:121 0.000283139 +90 *1506:98 *2623:18 0.00023936 +91 *1506:98 *2937:11 0.000154145 +92 *1506:98 *5994:8 0.000242958 +93 *1506:99 *20573:A2 1.80208e-05 +94 *1506:99 *24524:CLK 0.0004313 +95 *1506:99 *1755:45 5.26299e-05 +96 *1506:99 *2623:18 0.00250908 +97 *1506:99 *3368:65 0.00104195 +98 *1506:99 *4801:20 0.0010305 +99 *1506:99 *4801:22 0.000513948 +100 *1506:99 *4916:14 8.26958e-05 +101 *1506:99 *4916:16 0.00098781 +102 *1506:99 *4916:18 6.42568e-05 +103 *1506:99 *4916:20 0.000414774 +104 *1506:99 *5475:38 0.0019154 +105 *1506:114 *23277:A 9.17297e-05 +106 *1506:114 *23279:A 0.000696141 +107 *1506:114 *23280:B 1.97857e-05 +108 *1506:114 *1587:106 0.000602041 +109 *1506:114 *4074:8 0.00031473 +110 *1506:114 *4106:169 0.000127654 +111 *1506:114 *4187:10 0.000144531 +112 *1506:114 *4601:10 0.000104593 +113 *1506:114 *4601:35 0.000232962 +114 *1506:125 *2852:19 9.24241e-05 +115 *1506:125 *3671:51 0.000148144 +116 *1506:125 *4106:162 0.000144531 +117 *1506:125 *4702:21 3.37106e-05 +118 *1506:125 *4798:39 0.000207892 +119 *1506:125 *4799:10 9.60216e-05 +120 *1506:125 *5861:163 0.000177159 +121 *1506:125 *5904:114 0.00121485 +122 *1506:128 *21812:A 2.4442e-05 +123 *1506:128 *1732:67 2.92718e-05 +124 *1506:128 *1740:131 0.00188945 +125 *1506:128 *1740:139 5.41399e-05 +126 *1506:128 *2785:102 8.23181e-05 +127 *1506:143 *19626:B1 3.18627e-05 +128 *1506:143 *20381:A1 0.000265168 +129 *1506:143 *21666:A 0.000136695 +130 *1506:143 *2836:8 0.00255916 +131 *1506:143 *5179:8 0.000160222 +132 *1506:143 *5854:180 0.000255891 +133 *1506:143 *5854:185 3.92029e-05 +134 *1506:143 *5856:243 1.20172e-05 +135 *1506:146 *21570:A1 2.41483e-05 +136 *1506:158 *21570:A1 0.000221836 +137 *1506:158 *21570:B1 0.000160617 +138 *1506:158 *2878:14 0.000797997 +139 *1506:158 *4844:441 0.00078941 +140 *19624:B2 *1506:143 3.44041e-05 +141 *19693:B *21383:B2 0.00031152 +142 *19719:A *1506:158 0.000158371 +143 *19993:A *1506:35 1.9101e-05 +144 *19998:B1 *1506:35 2.76088e-05 +145 *19998:B1 *1506:45 1.64789e-05 +146 *20158:A2 *1506:99 6.51527e-05 +147 *21820:A2 *1506:46 0.000259779 +148 *24608:D *1506:99 8.37812e-05 +149 *460:63 *1506:143 0.000794997 +150 *462:7 *1506:16 3.47031e-05 +151 *462:7 *1506:75 0.00281966 +152 *462:11 *1506:16 8.65522e-05 +153 *462:22 *1506:16 0.000140275 +154 *466:25 *1506:16 0.000493634 +155 *468:17 *1506:75 0.000801457 +156 *472:7 *1506:98 0.000111722 +157 *496:31 *1506:35 1.5006e-05 +158 *496:31 *1506:45 0.000111708 +159 *514:85 *1506:158 2.20702e-05 +160 *1428:8 *1506:35 5.32229e-05 +161 *1428:24 *1506:46 0.00142027 +162 *1438:92 *1506:114 0.000343703 +163 *1438:98 *1506:125 4.16531e-05 +164 *1439:333 *1506:75 2.18741e-05 +165 *1458:18 *1506:143 5.4348e-05 +166 *1459:18 *1506:17 0.000260855 +167 *1467:30 *1506:35 0 +168 *1467:36 *1506:46 0.000113852 +169 *1467:43 *1506:46 0.00165228 +170 *1471:40 *21383:B2 3.42041e-05 +171 *1471:68 *1506:35 0.0001308 +172 *1471:84 *1506:146 2.65667e-05 +173 *1471:84 *1506:158 7.68538e-06 +174 *1482:48 *1506:99 0.00021618 +175 *1482:50 *1506:99 0.000826111 +176 *1482:52 *1506:99 0.000306518 +177 *1483:26 *1506:99 0.00189847 +178 *1483:38 *1506:99 0.0010189 +179 *1483:48 *1506:99 0.000655724 +180 *1496:9 *1506:17 9.82896e-06 +181 *1496:16 *21383:B2 0.000400321 +182 *1496:16 *1506:17 6.50586e-05 +183 *1497:17 *21383:B2 6.63489e-05 +184 *1497:17 *1506:17 8.90311e-06 +*RES +1 *19718:X *1506:6 15.5811 +2 *1506:6 *1506:16 33.9625 +3 *1506:16 *1506:17 20.6891 +4 *1506:17 *1506:35 40.6969 +5 *1506:35 *19998:A2 9.24915 +6 *1506:35 *1506:45 6.35672 +7 *1506:45 *1506:46 53.3233 +8 *1506:46 *21717:B2 27.2284 +9 *1506:17 *21383:B2 33.8871 +10 *1506:6 *1506:75 47.1132 +11 *1506:75 *1506:76 5.16022 +12 *1506:76 *1506:87 46.827 +13 *1506:87 *1506:98 25.8494 +14 *1506:98 *1506:99 138.865 +15 *1506:99 *1506:114 45.8229 +16 *1506:114 *1506:125 36.5284 +17 *1506:125 *1506:128 44.358 +18 *1506:128 *1506:129 104.301 +19 *1506:129 *21772:B2 25.5152 +20 *1506:76 *1506:142 4.5 +21 *1506:142 *1506:143 49.1707 +22 *1506:143 *1506:146 5.2234 +23 *1506:146 *1506:158 30.6065 +24 *1506:158 *21908:A2 34.5107 +25 *1506:158 *19719:B 9.24915 +26 *1506:146 *21570:B2 9.24915 +*END + +*D_NET *1507 0.000148844 +*CONN +*I *19720:A I *D sky130_fd_sc_hd__buf_2 +*I *19719:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19720:A 9.34923e-06 +2 *19719:X 9.34923e-06 +3 *19719:A *19720:A 6.50727e-05 +4 *514:85 *19720:A 6.50727e-05 +*RES +1 *19719:X *19720:A 19.2217 +*END + +*D_NET *1508 0.0110422 +*CONN +*I *19722:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19723:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19721:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19727:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19724:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19726:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19725:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19720:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *19722:A2 1.51828e-05 +2 *19723:A2 0.000254894 +3 *19721:A 0 +4 *19727:A2 0.000146151 +5 *19724:A2 0.000244127 +6 *19726:A2 4.45089e-05 +7 *19725:A2 0.000100949 +8 *19720:X 0.000465312 +9 *1508:50 0.000447612 +10 *1508:26 0.000541974 +11 *1508:23 0.000554799 +12 *1508:15 0.000232587 +13 *1508:11 0.000429857 +14 *1508:9 0.000523819 +15 *19722:A2 *19722:A1 1.09551e-05 +16 *19723:A2 *19723:A1 1.15117e-05 +17 *19723:A2 *21768:A 0.000288295 +18 *19723:A2 *3060:19 3.62822e-05 +19 *19723:A2 *4843:616 6.92291e-05 +20 *19723:A2 *5756:8 8.62625e-06 +21 *19724:A2 *19724:A1 2.65667e-05 +22 *19724:A2 *19724:B2 0.0002646 +23 *19724:A2 *19726:A1 0.000213725 +24 *19724:A2 *24844:SET_B 0.000464412 +25 *19724:A2 *5755:19 0.000191541 +26 *19724:A2 *5756:24 5.7995e-05 +27 *19724:A2 *5858:316 0.000201774 +28 *19725:A2 *19722:B2 0.000200794 +29 *19725:A2 *19725:A1 0.000152768 +30 *19725:A2 *5856:334 8.90486e-05 +31 *19726:A2 *19726:A1 0.000423908 +32 *19726:A2 *5858:316 0.000428134 +33 *19727:A2 *19723:B2 1.41976e-05 +34 *19727:A2 *19727:B2 3.82228e-05 +35 *19727:A2 *1509:5 5.9852e-05 +36 *19727:A2 *1744:100 0.00015602 +37 *19727:A2 *2922:13 6.29991e-05 +38 *1508:9 *19722:A1 0.000236918 +39 *1508:9 *2836:8 0 +40 *1508:9 *4844:441 0.000273855 +41 *1508:11 *19722:A1 3.14978e-05 +42 *1508:11 *5856:334 7.68538e-06 +43 *1508:15 *19722:B2 0.000146388 +44 *1508:15 *19725:A1 6.08467e-05 +45 *1508:15 *5756:11 0.000352405 +46 *1508:15 *5856:334 1.58551e-05 +47 *1508:26 *2808:15 0.000745324 +48 *1508:26 *5756:24 0.000745324 +49 *1508:50 *19723:B2 0.000154145 +50 *1508:50 *2808:15 0.000156869 +51 *1508:50 *3060:19 1.00846e-05 +52 *1508:50 *4929:25 6.54395e-05 +53 *1508:50 *5756:24 5.05252e-05 +54 *514:69 *19727:A2 0.000264586 +55 *514:69 *1508:50 9.32983e-05 +56 *514:85 *19723:A2 0.000133592 +57 *514:85 *1508:50 2.43314e-05 +58 *1467:93 *1508:50 0 +*RES +1 *19720:X *1508:9 30.2272 +2 *1508:9 *1508:11 1.278 +3 *1508:11 *1508:15 5.73894 +4 *1508:15 *19725:A2 13.8548 +5 *1508:15 *1508:23 4.5 +6 *1508:23 *1508:26 17.9591 +7 *1508:26 *19726:A2 13.8548 +8 *1508:26 *19724:A2 29.8683 +9 *1508:23 *1508:50 13.1476 +10 *1508:50 *19727:A2 24.2687 +11 *1508:50 *19721:A 9.24915 +12 *1508:11 *19723:A2 26.8084 +13 *1508:9 *19722:A2 9.82786 +*END + +*D_NET *1509 0.0094739 +*CONN +*I *19723:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19722:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19725:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19726:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19724:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19727:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19721:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19723:B2 0.000118344 +2 *19722:B2 0.000266534 +3 *19725:B2 1.26553e-05 +4 *19726:B2 2.7855e-05 +5 *19724:B2 0.000813377 +6 *19727:B2 0.000293832 +7 *19721:Y 0 +8 *1509:30 0.0012151 +9 *1509:28 0.000712663 +10 *1509:20 0.000770647 +11 *1509:5 0.000578537 +12 *1509:4 0.000225077 +13 *19722:B2 *19722:A1 1.03434e-05 +14 *19722:B2 *1744:100 3.37543e-05 +15 *19722:B2 *2563:8 0.000139435 +16 *19722:B2 *5856:334 0.000557411 +17 *19722:B2 *5860:163 0.000113968 +18 *19723:B2 *19723:A1 1.05954e-05 +19 *19723:B2 *3060:19 2.81717e-05 +20 *19724:B2 *24844:SET_B 4.21765e-05 +21 *19724:B2 *2563:8 0 +22 *19724:B2 *4929:8 8.88627e-05 +23 *19724:B2 *4929:17 0.000205317 +24 *19724:B2 *5755:7 5.52609e-05 +25 *19725:B2 *5856:336 2.65667e-05 +26 *19725:B2 *5860:169 6.50586e-05 +27 *19726:B2 *5858:308 6.08467e-05 +28 *19727:B2 *1726:6 5.19347e-05 +29 *19727:B2 *5860:222 0.000120548 +30 *1509:20 *1744:100 0.000286092 +31 *1509:20 *2563:8 6.36097e-05 +32 *1509:28 *2922:13 2.90214e-05 +33 *1509:28 *2951:21 7.92757e-06 +34 *1509:28 *5807:14 0 +35 *1509:28 *5860:163 0.000260374 +36 *1509:28 *5860:169 7.50722e-05 +37 *1509:30 *1744:100 0 +38 *1509:30 *2563:8 0 +39 *1509:30 *2922:13 0.000357105 +40 *1509:30 *4929:17 0.00056402 +41 *1509:30 *5807:14 0 +42 *19724:A2 *19724:B2 0.0002646 +43 *19725:A2 *19722:B2 0.000200794 +44 *19727:A2 *19723:B2 1.41976e-05 +45 *19727:A2 *19727:B2 3.82228e-05 +46 *19727:A2 *1509:5 5.9852e-05 +47 *24844:D *19724:B2 3.90891e-05 +48 *514:69 *19727:B2 4.41269e-05 +49 *514:85 *19723:B2 0.000224395 +50 *1508:15 *19722:B2 0.000146388 +51 *1508:50 *19723:B2 0.000154145 +*RES +1 *19721:Y *1509:4 9.24915 +2 *1509:4 *1509:5 1.8326 +3 *1509:5 *19727:B2 23.9928 +4 *1509:5 *1509:20 14.5693 +5 *1509:20 *1509:28 11.1474 +6 *1509:28 *1509:30 13.4591 +7 *1509:30 *19724:B2 30.9062 +8 *1509:30 *19726:B2 14.4725 +9 *1509:28 *19725:B2 14.4725 +10 *1509:20 *19722:B2 29.0378 +11 *1509:4 *19723:B2 13.903 +*END + +*D_NET *1510 0.0410747 +*CONN +*I *19793:B I *D sky130_fd_sc_hd__or2_4 +*I *19852:A I *D sky130_fd_sc_hd__or2_4 +*I *19891:A I *D sky130_fd_sc_hd__or2_4 +*I *19995:A I *D sky130_fd_sc_hd__or2_4 +*I *21275:B I *D sky130_fd_sc_hd__or2_4 +*I *19729:B I *D sky130_fd_sc_hd__or2_4 +*I *19932:B I *D sky130_fd_sc_hd__or2_4 +*I *19728:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19793:B 0.000497837 +2 *19852:A 0.00044668 +3 *19891:A 0.000343072 +4 *19995:A 0.000141605 +5 *21275:B 3.4534e-05 +6 *19729:B 2.04709e-05 +7 *19932:B 0.000137677 +8 *19728:X 0.00026285 +9 *1510:117 0.00181577 +10 *1510:116 0.00147581 +11 *1510:99 0.00241009 +12 *1510:85 0.00322883 +13 *1510:64 0.00272803 +14 *1510:45 0.00292112 +15 *1510:30 0.002005 +16 *1510:16 0.00124513 +17 *19793:B *21968:A1 0.000107496 +18 *19793:B *22004:A1 4.81015e-05 +19 *19793:B *2757:8 0.000135188 +20 *19793:B *2761:6 0.000131727 +21 *19793:B *2983:11 0.000593404 +22 *19852:A *19834:B 0.000205101 +23 *19852:A *19852:B 0.000107496 +24 *19852:A *19891:B 3.88655e-06 +25 *19852:A *1542:19 0.000111802 +26 *19852:A *1542:126 4.66492e-05 +27 *19852:A *1544:133 8.71666e-05 +28 *19852:A *1592:10 0.000294093 +29 *19852:A *1636:108 4.17069e-06 +30 *19852:A *1778:10 2.31718e-05 +31 *19852:A *1800:47 0.000107496 +32 *19852:A *2667:122 0.000186713 +33 *19891:A *19891:B 0.000721052 +34 *19891:A *21624:B1 3.53886e-05 +35 *19891:A *1662:63 0.00025175 +36 *19932:B *1749:8 7.92757e-06 +37 *19995:A *21456:C1 0.000110296 +38 *19995:A *1800:8 0.000110296 +39 *19995:A *2285:23 0.000247443 +40 *19995:A *2641:68 0.000250254 +41 *21275:B *1714:42 2.40736e-05 +42 *21275:B *2960:16 0.000107496 +43 *1510:16 *1544:43 0.000154145 +44 *1510:16 *1689:35 1.5714e-05 +45 *1510:16 *1725:17 3.50469e-05 +46 *1510:30 *1689:13 1.20087e-05 +47 *1510:30 *2399:6 0.000115451 +48 *1510:30 *2443:17 3.07137e-05 +49 *1510:30 *2740:23 1.45398e-05 +50 *1510:45 *21339:B2 0.000136838 +51 *1510:45 *21411:C1 0.000118485 +52 *1510:45 *21963:B2 0.000135887 +53 *1510:45 *21969:B1 7.14746e-05 +54 *1510:45 *1708:27 0.00102126 +55 *1510:45 *1710:8 0.000359176 +56 *1510:45 *2399:6 0.000364704 +57 *1510:45 *2740:23 0.00010545 +58 *1510:45 *2740:27 0.000131752 +59 *1510:45 *3016:22 3.76925e-05 +60 *1510:64 *20015:B 0.000152164 +61 *1510:64 *21864:C1 3.21156e-06 +62 *1510:64 *21927:A1 5.26782e-05 +63 *1510:64 *21938:A2 0.000213458 +64 *1510:64 *1563:46 1.5714e-05 +65 *1510:64 *1641:26 3.88655e-06 +66 *1510:64 *1677:64 0.000455681 +67 *1510:64 *1715:99 2.39277e-06 +68 *1510:64 *1766:67 0.000363191 +69 *1510:64 *1804:17 8.20833e-07 +70 *1510:64 *2949:32 8.62625e-06 +71 *1510:64 *2983:11 0.000207266 +72 *1510:64 *5452:11 7.20648e-06 +73 *1510:85 *1541:108 0.000190042 +74 *1510:85 *1641:26 2.07321e-05 +75 *1510:85 *1802:8 0.000196864 +76 *1510:85 *2515:27 0.000205006 +77 *1510:85 *2745:19 0.000289082 +78 *1510:85 *2959:48 0.000995457 +79 *1510:85 *2979:42 6.39754e-06 +80 *1510:85 *2979:46 0.0007827 +81 *1510:99 *21850:A1 1.31391e-05 +82 *1510:99 *22743:C 0.000172466 +83 *1510:99 *22767:A1 3.65454e-05 +84 *1510:99 *22769:B1 6.80304e-05 +85 *1510:99 *22779:D 2.7257e-05 +86 *1510:99 *1544:98 0.000331952 +87 *1510:99 *1544:108 0.000476982 +88 *1510:99 *1563:81 6.09866e-05 +89 *1510:99 *1642:39 0.00126784 +90 *1510:99 *1708:70 0.000846929 +91 *1510:99 *2281:13 0.000350781 +92 *1510:99 *2505:75 5.87358e-05 +93 *1510:99 *2746:43 6.68036e-06 +94 *1510:99 *2922:58 0.000353163 +95 *1510:99 *3131:41 1.85963e-05 +96 *1510:99 *3427:28 0.000853275 +97 *1510:99 *3432:17 1.5714e-05 +98 *1510:99 *3722:14 1.58517e-05 +99 *1510:116 *21456:A2 2.91863e-05 +100 *1510:116 *21456:C1 0.000343557 +101 *1510:116 *21468:A1 3.56511e-05 +102 *1510:116 *21469:D 3.41459e-05 +103 *1510:116 *22803:B1 1.60518e-05 +104 *1510:116 *1636:82 2.3407e-05 +105 *1510:116 *1636:108 8.69165e-05 +106 *1510:116 *1800:8 0.000245304 +107 *1510:116 *3523:19 7.98171e-06 +108 *1510:116 *3685:22 0.000209787 +109 *1510:117 *1515:101 0.000334699 +110 *1510:117 *1537:71 0.000148875 +111 *1510:117 *1544:133 0.000520801 +112 *1510:117 *1636:108 0.000247834 +113 *1510:117 *2583:61 6.51527e-05 +114 *1510:117 *3190:45 0.000269707 +115 *1510:117 *3406:14 0.000187226 +116 *1510:117 *3466:47 0.000252173 +117 *1510:117 *3519:93 0.00012277 +118 *19834:A *19852:A 4.44332e-05 +119 *19927:A *1510:85 0.00012774 +120 *19932:A *19932:B 8.18707e-05 +121 *19980:B2 *1510:45 3.49097e-05 +122 *19993:A *1510:16 2.63153e-05 +123 *21383:B2 *1510:16 5.74984e-05 +124 *516:19 *1510:64 0 +125 *1443:18 *1510:64 0.000908465 +126 *1448:79 *1510:16 1.9101e-05 +127 *1449:27 *1510:30 4.82656e-05 +128 *1449:59 *1510:64 0.000169535 +129 *1449:59 *1510:99 0.000157706 +130 *1450:20 *1510:45 7.13655e-06 +131 *1459:110 *19852:A 0.000134877 +132 *1459:129 *19852:A 9.12416e-06 +133 *1461:94 *19729:B 2.16355e-05 +134 *1461:94 *1510:30 7.14746e-05 +135 *1471:40 *1510:16 5.74984e-05 +136 *1496:16 *1510:30 1.19726e-05 +137 *1496:75 *1510:99 0 +138 *1496:84 *1510:99 9.17735e-05 +139 *1496:111 *1510:116 3.58315e-06 +140 *1497:155 *19729:B 2.65831e-05 +*RES +1 *19728:X *1510:16 28.6864 +2 *1510:16 *19932:B 11.8473 +3 *1510:16 *1510:30 17.4535 +4 *1510:30 *19729:B 14.4725 +5 *1510:30 *1510:45 41.2865 +6 *1510:45 *1510:64 31.9634 +7 *1510:64 *21275:B 15.0271 +8 *1510:64 *1510:85 48.8017 +9 *1510:85 *1510:99 48.5244 +10 *1510:99 *19995:A 19.1458 +11 *1510:99 *1510:116 22.1712 +12 *1510:116 *1510:117 30.0691 +13 *1510:117 *19891:A 23.9249 +14 *1510:117 *19852:A 28.5124 +15 *1510:45 *19793:B 29.0137 +*END + +*D_NET *1511 0.0403134 +*CONN +*I *21794:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21933:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19915:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21664:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *19730:B I *D sky130_fd_sc_hd__or2_1 +*I *21461:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19729:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21794:A2 6.17533e-05 +2 *21933:A2 2.64699e-05 +3 *19915:B2 0.00154251 +4 *21664:A2 0.000483626 +5 *19730:B 0.000648474 +6 *21461:A2 0.000590605 +7 *19729:X 0.000375703 +8 *1511:68 0.00229105 +9 *1511:58 0.00270146 +10 *1511:56 0.00159557 +11 *1511:54 0.00217823 +12 *1511:50 0.00415238 +13 *1511:23 0.00613992 +14 *1511:6 0.00244352 +15 *19730:B *21664:A1 0.00053618 +16 *19730:B *25300:A 0.000221086 +17 *19730:B *1516:38 0.000497379 +18 *19915:B2 *19698:A1 0.000131909 +19 *19915:B2 *19916:C1 6.73351e-05 +20 *19915:B2 *1674:7 6.73351e-05 +21 *19915:B2 *4844:403 5.78582e-05 +22 *21461:A2 *21461:A1 0.000154145 +23 *21461:A2 *21461:B2 2.16355e-05 +24 *21461:A2 *2469:35 0.00137938 +25 *21461:A2 *2514:16 4.3648e-05 +26 *21461:A2 *2517:5 7.34948e-06 +27 *21461:A2 *2517:13 3.55859e-05 +28 *21461:A2 *2518:8 1.77565e-05 +29 *21461:A2 *2964:35 2.87885e-05 +30 *21461:A2 *5082:34 0.000205421 +31 *21664:A2 *21664:A1 0.00074531 +32 *21794:A2 *24332:CLK 7.08723e-06 +33 *21933:A2 *2942:15 2.65667e-05 +34 *1511:6 *19990:C1 0.000448484 +35 *1511:6 *24332:CLK 6.52742e-05 +36 *1511:6 *2586:31 7.86847e-05 +37 *1511:6 *2740:23 0.000121868 +38 *1511:6 *4878:6 0.000444294 +39 *1511:6 *4922:74 3.8079e-05 +40 *1511:23 *21794:B1 7.77744e-05 +41 *1511:23 *21794:B2 0.000107496 +42 *1511:23 *24332:CLK 4.96469e-06 +43 *1511:23 *1515:133 5.22023e-05 +44 *1511:23 *1641:10 0.000208035 +45 *1511:23 *1698:38 0.000111708 +46 *1511:23 *1740:98 7.09666e-06 +47 *1511:23 *1768:27 0.000192566 +48 *1511:23 *1792:17 0.000744036 +49 *1511:23 *2469:35 0.00121033 +50 *1511:23 *2740:23 0.000118935 +51 *1511:23 *2808:32 2.02035e-05 +52 *1511:23 *2809:31 0.00010217 +53 *1511:23 *2810:5 3.79844e-06 +54 *1511:23 *4821:28 0.000160617 +55 *1511:50 *1739:8 0.000138879 +56 *1511:50 *1791:14 6.2476e-05 +57 *1511:50 *2578:8 0.000463747 +58 *1511:50 *2947:12 0.000422421 +59 *1511:50 *2971:41 7.89747e-05 +60 *1511:50 *2971:44 5.30254e-05 +61 *1511:54 *21523:B1 0 +62 *1511:54 *1791:14 2.12706e-05 +63 *1511:54 *2578:8 0.000201627 +64 *1511:54 *2579:16 0.000104991 +65 *1511:54 *2753:49 0 +66 *1511:56 *21080:B2 3.4475e-05 +67 *1511:56 *24219:RESET_B 0 +68 *1511:56 *1739:8 0 +69 *1511:56 *1744:88 0.000384696 +70 *1511:56 *2206:43 0.000161213 +71 *1511:56 *2579:8 0.000805039 +72 *1511:56 *2579:16 0 +73 *1511:56 *2753:8 1.20291e-05 +74 *1511:56 *2753:49 9.48516e-05 +75 *1511:56 *4925:78 3.66453e-05 +76 *1511:56 *5870:200 0 +77 *1511:68 *19700:B1 0.000103435 +78 *1511:68 *20025:A 2.95757e-05 +79 *1511:68 *21978:A 0 +80 *1511:68 *2946:8 0 +81 *1511:68 *4844:416 7.68784e-05 +82 *1511:68 *5783:5 0.000144734 +83 *1511:68 *5783:10 6.08467e-05 +84 *1511:68 *5858:372 0.0001195 +85 *1511:68 *5860:298 0 +86 *19654:A *1511:56 0.000181002 +87 *19680:A *1511:23 0.000343729 +88 *19702:B2 *1511:68 7.71155e-05 +89 *19730:A *19730:B 0.000114271 +90 *19985:B *21794:A2 1.03403e-05 +91 *19985:B *1511:23 2.77625e-06 +92 *21411:A2 *1511:23 0 +93 *24219:D *1511:56 9.96342e-05 +94 *24222:D *1511:56 0.000177787 +95 *24520:D *1511:50 0.000170577 +96 *24857:D *1511:68 2.55493e-05 +97 *24863:D *19915:B2 6.08467e-05 +98 *516:19 *1511:23 0.000116217 +99 *516:19 *1511:50 0 +100 *1427:13 *1511:50 1.29141e-05 +101 *1438:78 *21461:A2 7.85947e-05 +102 *1438:78 *1511:23 9.24501e-05 +103 *1461:77 *1511:23 7.92757e-06 +104 *1467:22 *1511:50 5.76913e-05 +105 *1492:13 *1511:23 6.21391e-05 +106 *1499:7 *1511:68 0.000271044 +107 *1499:18 *1511:68 0 +108 *1499:63 *19915:B2 0.000453457 +109 *1499:63 *1511:68 0.000201774 +110 *1500:12 *19730:B 0.000224429 +111 *1500:66 *1511:68 5.81881e-05 +*RES +1 *19729:X *1511:6 28.2463 +2 *1511:6 *1511:23 47.7948 +3 *1511:23 *21461:A2 21.8978 +4 *1511:23 *1511:50 38.4084 +5 *1511:50 *1511:54 13.1537 +6 *1511:54 *1511:56 48.9631 +7 *1511:56 *1511:58 4.5 +8 *1511:58 *1511:68 35.9919 +9 *1511:68 *19730:B 34.5569 +10 *1511:68 *21664:A2 17.737 +11 *1511:58 *19915:B2 35.5566 +12 *1511:50 *21933:A2 14.4725 +13 *1511:6 *21794:A2 14.8512 +*END + +*D_NET *1512 0.00735274 +*CONN +*I *19731:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *19730:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19731:A 0.00132855 +2 *19730:X 0.00066436 +3 *1512:10 0.00199291 +4 *19731:A *24850:CLK 0.000230504 +5 *19731:A *5858:260 0.000157893 +6 *1512:10 *1516:38 0.00173939 +7 *1512:10 *1517:10 0.000500304 +8 *1512:10 *2965:7 0.000565745 +9 *1439:271 *1512:10 3.82145e-05 +10 *1439:274 *1512:10 6.61278e-05 +11 *1504:16 *1512:10 6.87335e-05 +*RES +1 *19730:X *1512:10 44.2344 +2 *1512:10 *19731:A 29.9624 +*END + +*D_NET *1513 0.00526146 +*CONN +*I *19734:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19732:A I *D sky130_fd_sc_hd__inv_2 +*I *19735:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19736:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19737:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19733:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19731:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *19734:A2 0.000286017 +2 *19732:A 0 +3 *19735:A2 0 +4 *19736:A2 0.00019529 +5 *19737:A2 7.88252e-05 +6 *19733:A2 8.88515e-05 +7 *19731:X 5.47815e-05 +8 *1513:34 0.000547806 +9 *1513:28 0.000324607 +10 *1513:26 0.000221988 +11 *1513:24 0.000477052 +12 *1513:21 8.57306e-05 +13 *1513:20 0.000385428 +14 *1513:6 0.000463294 +15 *19733:A2 *19733:B1 0 +16 *19733:A2 *21792:A 3.11278e-05 +17 *19733:A2 *2945:5 7.48633e-05 +18 *19734:A2 *19733:A1 2.5131e-05 +19 *19734:A2 *19734:B2 0 +20 *19734:A2 *25273:A 7.55575e-05 +21 *19734:A2 *4929:77 0 +22 *19734:A2 *5750:8 8.01837e-05 +23 *19736:A2 *19735:A1 1.44611e-05 +24 *19736:A2 *19736:B1 2.59855e-05 +25 *19736:A2 *19736:B2 0 +26 *19736:A2 *19737:B2 0 +27 *19736:A2 *19741:A0 3.21615e-05 +28 *19736:A2 *25270:A 0 +29 *19736:A2 *5856:353 0 +30 *19737:A2 *19735:A1 2.47663e-05 +31 *19737:A2 *19737:B2 0 +32 *19737:A2 *25271:A 2.57071e-05 +33 *19737:A2 *5860:192 5.22654e-06 +34 *1513:6 *21792:A 2.83365e-05 +35 *1513:6 *1517:10 8.36586e-06 +36 *1513:20 *2945:5 0.00052744 +37 *1513:24 *19733:B2 6.2381e-05 +38 *1513:26 *19733:B2 0.000205985 +39 *1513:26 *1514:7 6.08467e-05 +40 *1513:26 *1514:30 0.000252635 +41 *1513:28 *1514:7 0.000171273 +42 *1513:34 *19735:A1 0.000220183 +43 *1513:34 *1514:7 7.68538e-06 +44 *1513:34 *1514:11 4.0752e-05 +45 *24842:D *19733:A2 5.07314e-05 +*RES +1 *19731:X *1513:6 15.1659 +2 *1513:6 *19733:A2 16.9985 +3 *1513:6 *1513:20 19.464 +4 *1513:20 *1513:21 81.1229 +5 *1513:21 *1513:24 9.97254 +6 *1513:24 *1513:26 5.71483 +7 *1513:26 *1513:28 1.8326 +8 *1513:28 *1513:34 9.53966 +9 *1513:34 *19737:A2 15.9964 +10 *1513:34 *19736:A2 18.4879 +11 *1513:28 *19735:A2 9.24915 +12 *1513:26 *19732:A 9.24915 +13 *1513:24 *19734:A2 25.0642 +*END + +*D_NET *1514 0.00413004 +*CONN +*I *19733:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19734:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19735:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19736:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19737:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19732:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19733:B2 8.3322e-05 +2 *19734:B2 0.000318506 +3 *19735:B2 0 +4 *19736:B2 0.000159344 +5 *19737:B2 0.000125974 +6 *19732:Y 0 +7 *1514:30 0.000435305 +8 *1514:11 0.000385059 +9 *1514:7 0.000181669 +10 *1514:4 0.000115406 +11 *19733:B2 *19733:A1 2.65831e-05 +12 *19733:B2 *2945:5 0.000432706 +13 *19734:B2 *19914:A 0 +14 *19734:B2 *25269:A 0 +15 *19734:B2 *5747:19 0 +16 *19734:B2 *5750:8 2.58518e-05 +17 *19736:B2 *19736:B1 2.44579e-05 +18 *19736:B2 *19741:A0 3.56264e-05 +19 *19736:B2 *19741:S 0 +20 *19736:B2 *25274:A 0 +21 *19737:B2 *25274:A 0 +22 *19737:B2 *5746:19 0 +23 *19737:B2 *5860:192 5.22654e-06 +24 *1514:7 *19735:A1 6.75138e-05 +25 *1514:7 *2945:5 0.00041745 +26 *1514:11 *19735:A1 6.50727e-05 +27 *1514:11 *2945:5 0.000156579 +28 *1514:30 *2945:5 0.000266832 +29 *19734:A2 *19734:B2 0 +30 *19736:A2 *19736:B2 0 +31 *19736:A2 *19737:B2 0 +32 *19737:A2 *19737:B2 0 +33 *1513:24 *19733:B2 6.2381e-05 +34 *1513:26 *19733:B2 0.000205985 +35 *1513:26 *1514:7 6.08467e-05 +36 *1513:26 *1514:30 0.000252635 +37 *1513:28 *1514:7 0.000171273 +38 *1513:34 *1514:7 7.68538e-06 +39 *1513:34 *1514:11 4.0752e-05 +*RES +1 *19732:Y *1514:4 9.24915 +2 *1514:4 *1514:7 5.18434 +3 *1514:7 *1514:11 8.55102 +4 *1514:11 *19737:B2 16.8269 +5 *1514:11 *19736:B2 17.6574 +6 *1514:7 *19735:B2 9.24915 +7 *1514:4 *1514:30 2.94181 +8 *1514:30 *19734:B2 25.4794 +9 *1514:30 *19733:B2 14.4335 +*END + +*D_NET *1515 0.0821775 +*CONN +*I *20010:B I *D sky130_fd_sc_hd__or2_4 +*I *19973:B I *D sky130_fd_sc_hd__or2_4 +*I *20034:A I *D sky130_fd_sc_hd__or2_4 +*I *19819:A I *D sky130_fd_sc_hd__or2_4 +*I *19739:B I *D sky130_fd_sc_hd__or2_2 +*I *19850:B I *D sky130_fd_sc_hd__or2_4 +*I *19838:A I *D sky130_fd_sc_hd__or2_4 +*I *19738:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *20010:B 0.001259 +2 *19973:B 0.000553999 +3 *20034:A 0.00063123 +4 *19819:A 8.96786e-05 +5 *19739:B 0.000554801 +6 *19850:B 0.000152781 +7 *19838:A 0.000101537 +8 *19738:X 0.000102347 +9 *1515:142 0.00119843 +10 *1515:133 0.00262987 +11 *1515:130 0.00326995 +12 *1515:117 0.000967407 +13 *1515:101 0.00113408 +14 *1515:91 0.00334376 +15 *1515:85 0.00425893 +16 *1515:81 0.00181557 +17 *1515:78 0.00158449 +18 *1515:60 0.00442835 +19 *1515:47 0.00432978 +20 *1515:34 0.00245815 +21 *1515:20 0.00272815 +22 *1515:12 0.00284722 +23 *1515:5 0.00121428 +24 *19739:B *1516:17 1.53777e-06 +25 *19739:B *1739:8 0 +26 *19739:B *1739:22 0 +27 *19739:B *2951:28 3.88386e-05 +28 *19739:B *5080:9 5.82465e-05 +29 *19819:A *19819:B 1.47978e-05 +30 *19819:A *19980:C1 0.000107496 +31 *19819:A *1563:72 4.15661e-05 +32 *19819:A *1685:26 6.4697e-05 +33 *19838:A *1542:127 0.000142523 +34 *19838:A *3406:14 0.000138911 +35 *19850:B *1627:13 0.000234742 +36 *19850:B *3476:39 0.000124702 +37 *19973:B *19795:B 8.62625e-06 +38 *19973:B *19979:B1 0.000146065 +39 *19973:B *1730:8 0.000107496 +40 *19973:B *2697:65 8.6953e-05 +41 *19973:B *2700:26 0.000305784 +42 *19973:B *2701:17 1.91246e-05 +43 *19973:B *2718:8 0.000137746 +44 *19973:B *2999:38 8.87477e-05 +45 *19973:B *3442:14 1.89644e-05 +46 *20010:B *20003:C1 5.95727e-05 +47 *20010:B *1563:46 7.40684e-06 +48 *20010:B *1725:17 0.000196606 +49 *20010:B *1725:267 0.000210221 +50 *20010:B *1758:11 0.000400335 +51 *20010:B *1780:11 0.000270367 +52 *20010:B *1802:175 4.64438e-05 +53 *20010:B *5908:9 0.000294825 +54 *20034:A *6089:DIODE 5.65354e-05 +55 *20034:A *19980:C1 0.000495726 +56 *20034:A *19981:D 8.62625e-06 +57 *20034:A *1730:20 7.77937e-05 +58 *20034:A *1735:91 3.06835e-05 +59 *1515:12 *1756:105 2.47663e-05 +60 *1515:12 *2514:16 0 +61 *1515:12 *2976:18 8.62625e-06 +62 *1515:20 *20581:B1 0.000170979 +63 *1515:20 *21546:A 5.481e-05 +64 *1515:20 *21769:A 1.43848e-05 +65 *1515:20 *24894:RESET_B 0.000150773 +66 *1515:20 *2274:30 0.000407071 +67 *1515:20 *2785:14 1.1495e-05 +68 *1515:20 *4863:11 0.00154642 +69 *1515:20 *5917:460 0.000122202 +70 *1515:20 *5917:502 7.58507e-05 +71 *1515:34 *20377:A 4.73598e-05 +72 *1515:34 *20380:A1 1.07248e-05 +73 *1515:34 *21667:A 0.000303048 +74 *1515:34 *1765:15 0.000945796 +75 *1515:34 *1939:8 0.000393863 +76 *1515:34 *2469:23 0.000737564 +77 *1515:34 *2563:8 7.14746e-05 +78 *1515:34 *3177:120 8.62625e-06 +79 *1515:34 *4894:76 0.000176045 +80 *1515:34 *5860:260 1.41706e-05 +81 *1515:34 *6001:116 2.33193e-05 +82 *1515:47 *1765:15 0.0008143 +83 *1515:47 *2510:21 0.000805876 +84 *1515:47 *2561:26 1.40578e-05 +85 *1515:47 *4876:74 0.00153853 +86 *1515:60 *22836:B 3.74883e-05 +87 *1515:60 *22837:A2 3.77659e-05 +88 *1515:60 *23041:B 5.57532e-05 +89 *1515:60 *24060:A1 0.000112467 +90 *1515:60 *24060:S 2.07556e-06 +91 *1515:60 *1587:137 3.42029e-05 +92 *1515:60 *1697:52 0.000105816 +93 *1515:60 *1714:24 6.23101e-05 +94 *1515:60 *1725:33 0.00138837 +95 *1515:60 *1756:60 0.000266639 +96 *1515:60 *2561:26 4.84497e-05 +97 *1515:60 *2704:53 0.00233772 +98 *1515:60 *2839:27 0 +99 *1515:60 *2878:44 0.000519608 +100 *1515:60 *4802:18 0 +101 *1515:60 *4828:139 5.60304e-06 +102 *1515:60 *5476:26 0.000790028 +103 *1515:60 *5476:51 0.00035186 +104 *1515:60 *6001:62 1.2366e-05 +105 *1515:78 *23041:B 9.71323e-06 +106 *1515:78 *23378:B 0.000505094 +107 *1515:78 *23485:D 0.000130555 +108 *1515:78 *23695:A 7.92757e-06 +109 *1515:78 *23695:B 0 +110 *1515:78 *23791:A 3.92275e-05 +111 *1515:78 *23792:B 7.86847e-05 +112 *1515:78 *1521:62 8.00004e-05 +113 *1515:78 *1699:32 4.43257e-06 +114 *1515:78 *1744:131 0.000174104 +115 *1515:78 *2001:22 0.000362591 +116 *1515:78 *2561:26 0.000154739 +117 *1515:78 *3951:13 0.000245742 +118 *1515:78 *4600:10 1.19726e-05 +119 *1515:78 *4803:12 0.000287792 +120 *1515:78 *6001:62 2.17582e-05 +121 *1515:85 *20608:A1 9.51479e-06 +122 *1515:85 *20608:B1 2.33978e-05 +123 *1515:85 *20608:B2 6.98337e-06 +124 *1515:85 *21541:A 0.00025175 +125 *1515:85 *21672:A 0.000258142 +126 *1515:85 *1762:63 0.000350001 +127 *1515:85 *2632:47 0.000396002 +128 *1515:85 *2708:7 0.000513916 +129 *1515:85 *2710:7 0.00141296 +130 *1515:85 *4182:8 1.61631e-05 +131 *1515:85 *5851:131 2.137e-05 +132 *1515:91 *21571:B1 0.000340513 +133 *1515:91 *1569:78 0.000240558 +134 *1515:91 *1762:63 8.62625e-06 +135 *1515:91 *2561:47 0.000740063 +136 *1515:91 *2844:53 3.32923e-05 +137 *1515:91 *3002:26 1.25417e-05 +138 *1515:91 *3002:33 0.000134073 +139 *1515:91 *3428:23 0.000253916 +140 *1515:101 *1627:13 6.3657e-05 +141 *1515:101 *1636:108 0.000334699 +142 *1515:101 *3196:108 0.000254551 +143 *1515:101 *3198:33 0.00116884 +144 *1515:101 *3453:8 0.000708868 +145 *1515:101 *3476:39 5.73392e-05 +146 *1515:101 *3517:121 0.00108072 +147 *1515:130 *1725:17 1.18923e-05 +148 *1515:130 *1739:22 0 +149 *1515:130 *2951:28 4.29817e-05 +150 *1515:130 *5908:9 0.000229926 +151 *1515:133 *19979:B1 6.6187e-05 +152 *1515:133 *20585:B1 0.000157233 +153 *1515:133 *21927:B1 8.16304e-05 +154 *1515:133 *21946:B 0.000158589 +155 *1515:133 *1641:10 0 +156 *1515:133 *1677:49 6.45209e-05 +157 *1515:133 *1677:93 0.000262116 +158 *1515:133 *1691:17 4.14516e-05 +159 *1515:133 *1711:65 0 +160 *1515:133 *1735:67 9.39114e-06 +161 *1515:133 *1740:16 8.43122e-05 +162 *1515:133 *1740:98 6.02693e-05 +163 *1515:133 *2700:26 0.000309813 +164 *1515:142 *19980:C1 0.000347214 +165 *1515:142 *1802:8 0.000212476 +166 *1515:142 *1808:11 0.000593376 +167 *1515:142 *2749:38 0.000601909 +168 *1515:142 *3206:37 0.000209051 +169 *19738:B *1515:130 8.86181e-05 +170 *19805:A *20010:B 2.18914e-05 +171 *20010:A *20010:B 4.24448e-06 +172 *21665:B1 *19973:B 1.9101e-05 +173 *24054:A0 *1515:47 0.000117746 +174 *24515:D *1515:133 0.0001107 +175 *448:15 *1515:60 0.000584387 +176 *460:43 *1515:78 2.60704e-05 +177 *462:22 *1515:130 0 +178 *466:15 *1515:47 7.88576e-05 +179 *466:44 *1515:130 0.000174353 +180 *468:17 *1515:34 0.000211478 +181 *468:54 *1515:130 5.09278e-05 +182 *1424:140 *20010:B 4.74319e-05 +183 *1427:36 *1515:60 0.000156314 +184 *1435:42 *1515:133 0 +185 *1435:133 *19850:B 0.000578294 +186 *1435:133 *1515:101 0.000207266 +187 *1437:33 *1515:133 0.000131823 +188 *1437:37 *1515:133 4.40531e-05 +189 *1439:333 *1515:20 0.000156104 +190 *1442:13 *1515:133 0.00111 +191 *1443:9 *1515:133 0.000146343 +192 *1448:64 *20010:B 6.87762e-05 +193 *1449:12 *20010:B 0 +194 *1449:45 *20010:B 0 +195 *1459:18 *20010:B 7.13655e-06 +196 *1459:21 *1515:5 0.000158371 +197 *1459:21 *1515:12 0.00147616 +198 *1459:42 *1515:47 2.72866e-05 +199 *1459:70 *1515:78 1.82679e-05 +200 *1466:84 *20010:B 1.04568e-05 +201 *1472:12 *19739:B 0 +202 *1485:8 *19739:B 0.000467911 +203 *1485:8 *1515:130 0.00017393 +204 *1485:18 *19739:B 0.000229361 +205 *1485:230 *1515:130 0.00012315 +206 *1485:230 *1515:133 3.16817e-05 +207 *1496:75 *19819:A 4.15661e-05 +208 *1497:22 *1515:12 9.96809e-05 +209 *1506:87 *1515:34 8.25814e-05 +210 *1510:117 *1515:101 0.000334699 +211 *1511:23 *1515:133 5.22023e-05 +*RES +1 *19738:X *1515:5 11.0817 +2 *1515:5 *1515:12 28.8847 +3 *1515:12 *1515:20 46.8926 +4 *1515:20 *1515:34 49.0954 +5 *1515:34 *1515:47 47.081 +6 *1515:47 *1515:60 49.7543 +7 *1515:60 *1515:78 49.5161 +8 *1515:78 *1515:81 5.07872 +9 *1515:81 *1515:85 48.4434 +10 *1515:85 *1515:91 49.8146 +11 *1515:91 *1515:101 45.426 +12 *1515:101 *19838:A 21.3269 +13 *1515:101 *19850:B 16.0732 +14 *1515:5 *1515:117 4.5 +15 *1515:117 *19739:B 29.602 +16 *1515:117 *1515:130 10.8675 +17 *1515:130 *1515:133 48.1794 +18 *1515:133 *1515:142 28.6986 +19 *1515:142 *19819:A 21.2822 +20 *1515:142 *20034:A 29.3995 +21 *1515:133 *19973:B 28.3941 +22 *1515:130 *20010:B 37.4 +*END + +*D_NET *1516 0.0217975 +*CONN +*I *19936:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19740:B I *D sky130_fd_sc_hd__or2_1 +*I *21932:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19739:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *19936:B2 0 +2 *19740:B 0 +3 *21932:B2 7.96561e-05 +4 *19739:X 0.000455912 +5 *1516:38 0.000869102 +6 *1516:25 0.0011727 +7 *1516:20 0.00251361 +8 *1516:19 0.00228967 +9 *1516:17 0.000455912 +10 *21932:B2 *1744:88 4.78409e-05 +11 *21932:B2 *2579:8 2.83365e-05 +12 *1516:17 *19936:A1 3.31882e-05 +13 *1516:17 *19936:B1 3.75603e-05 +14 *1516:17 *1792:76 3.82228e-05 +15 *1516:17 *2732:17 0.000111722 +16 *1516:17 *2758:35 0.000211492 +17 *1516:17 *2951:28 7.08723e-06 +18 *1516:20 *24219:RESET_B 0.000113605 +19 *1516:20 *24984:A 0 +20 *1516:20 *1739:8 0.00255866 +21 *1516:20 *1744:88 0 +22 *1516:20 *4863:61 0 +23 *1516:20 *4924:18 0.000419953 +24 *1516:20 *4924:23 0.000190042 +25 *1516:20 *5870:174 0.000112002 +26 *1516:20 *5870:191 0.000131747 +27 *1516:20 *5870:200 0.000155715 +28 *1516:25 *5858:372 8.62115e-05 +29 *1516:38 *21201:A 0.000110458 +30 *1516:38 *1744:88 2.97532e-05 +31 *1516:38 *2268:7 0.0013268 +32 *1516:38 *2579:8 2.82255e-05 +33 *1516:38 *5858:372 0.00113136 +34 *19730:A *1516:38 0.000629373 +35 *19730:B *1516:38 0.000497379 +36 *19739:B *1516:17 1.53777e-06 +37 *1439:274 *1516:38 4.89392e-05 +38 *1472:14 *1516:17 0.000147379 +39 *1472:14 *1516:20 0.00339455 +40 *1500:77 *1516:20 0.000592376 +41 *1512:10 *1516:38 0.00173939 +*RES +1 *19739:X *1516:17 27.5982 +2 *1516:17 *1516:19 4.5 +3 *1516:19 *1516:20 87.3739 +4 *1516:20 *1516:25 12.4964 +5 *1516:25 *21932:B2 15.9964 +6 *1516:25 *1516:38 47.7049 +7 *1516:38 *19740:B 9.24915 +8 *1516:17 *19936:B2 9.24915 +*END + +*D_NET *1517 0.00928441 +*CONN +*I *19743:S I *D sky130_fd_sc_hd__mux2_1 +*I *19741:S I *D sky130_fd_sc_hd__mux2_1 +*I *19740:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19743:S 0 +2 *19741:S 0.000107734 +3 *19740:X 0.00197519 +4 *1517:15 0.00150421 +5 *1517:10 0.00337167 +6 *19741:S *25274:A 7.65913e-05 +7 *19741:S *5753:8 5.03545e-06 +8 *1517:10 *21792:A 1.92656e-05 +9 *1517:10 *24884:RESET_B 6.60114e-05 +10 *1517:10 *5810:8 6.08467e-05 +11 *1517:15 *5858:260 0.000388945 +12 *1517:15 *5858:264 0.00118575 +13 *19736:B2 *19741:S 0 +14 *1439:274 *1517:10 1.44764e-05 +15 *1512:10 *1517:10 0.000500304 +16 *1513:6 *1517:10 8.36586e-06 +*RES +1 *19740:X *1517:10 49.499 +2 *1517:10 *1517:15 24.6196 +3 *1517:15 *19741:S 21.3269 +4 *1517:15 *19743:S 9.24915 +*END + +*D_NET *1518 0.000468132 +*CONN +*I *19742:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19741:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19742:A 0.000204633 +2 *19741:X 0.000204633 +3 *19742:A *24837:CLK 5.88662e-05 +4 *19742:A *5860:187 0 +*RES +1 *19741:X *19742:A 31.5781 +*END + +*D_NET *1519 0.000179549 +*CONN +*I *19744:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19743:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19744:A 8.97743e-05 +2 *19743:X 8.97743e-05 +*RES +1 *19743:X *19744:A 20.7649 +*END + +*D_NET *1520 0.0545703 +*CONN +*I *19747:A I *D sky130_fd_sc_hd__nor2_8 +*I *21169:B I *D sky130_fd_sc_hd__or3_2 +*I *6148:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19745:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19747:A 0.000115194 +2 *21169:B 0.000109361 +3 *6148:DIODE 0 +4 *19745:Y 8.95194e-05 +5 *1520:52 0.000756682 +6 *1520:42 0.00255292 +7 *1520:41 0.0019056 +8 *1520:39 0.00228124 +9 *1520:37 0.00230428 +10 *1520:35 0.0032329 +11 *1520:34 0.00330269 +12 *1520:29 0.00111863 +13 *1520:28 0.00133263 +14 *1520:21 0.00131961 +15 *1520:17 0.00223361 +16 *1520:7 0.00142554 +17 *19747:A *19747:B 1.07248e-05 +18 *19747:A *1947:215 9.91565e-05 +19 *19747:A *4832:118 6.99765e-05 +20 *21169:B *21169:C 7.77309e-06 +21 *21169:B *1742:67 3.20069e-06 +22 *21169:B *2063:82 5.41377e-05 +23 *21169:B *3177:37 2.94426e-05 +24 *21169:B *4876:119 6.50727e-05 +25 *21169:B *5949:41 9.57557e-06 +26 *1520:17 *1755:15 0.000111722 +27 *1520:17 *1947:215 5.93461e-05 +28 *1520:17 *2295:8 0 +29 *1520:17 *2719:8 0 +30 *1520:17 *4832:116 0.000220988 +31 *1520:17 *4832:118 0.000314744 +32 *1520:17 *4863:18 6.53205e-05 +33 *1520:17 *4863:99 5.79287e-05 +34 *1520:17 *5851:207 0.000205578 +35 *1520:17 *5851:502 2.764e-05 +36 *1520:21 *1587:30 7.92757e-06 +37 *1520:21 *2445:23 0.00134918 +38 *1520:21 *2719:8 0.000205201 +39 *1520:21 *2943:7 0.000629227 +40 *1520:21 *4843:641 0.000118485 +41 *1520:21 *4863:18 0.000159274 +42 *1520:21 *4863:20 0.00106379 +43 *1520:28 *1587:30 0.000355556 +44 *1520:28 *2946:8 4.86475e-05 +45 *1520:28 *5854:322 2.51444e-05 +46 *1520:28 *5856:293 0.000413095 +47 *1520:29 *24502:RESET_B 0.000845398 +48 *1520:29 *1764:129 0.00450569 +49 *1520:29 *2971:47 0.00147949 +50 *1520:34 *1740:48 3.583e-05 +51 *1520:34 *2270:8 9.96222e-05 +52 *1520:35 *20235:B1 0.000659428 +53 *1520:35 *24241:RESET_B 0.000207266 +54 *1520:35 *1884:294 0.000357439 +55 *1520:35 *1884:307 0.000183657 +56 *1520:35 *2446:27 0.0018387 +57 *1520:39 *1884:294 0.00027724 +58 *1520:39 *1893:62 1.67988e-05 +59 *1520:39 *1893:74 0.000332917 +60 *1520:39 *1894:25 0.000258128 +61 *1520:39 *4952:23 0.000776313 +62 *1520:42 *20926:A1 1.32509e-05 +63 *1520:42 *21013:B1 9.92353e-05 +64 *1520:42 *22109:A1_N 0.000133604 +65 *1520:42 *2062:8 0.000235539 +66 *1520:42 *2062:15 0.000208538 +67 *1520:42 *2163:86 7.4044e-05 +68 *1520:42 *2278:24 0 +69 *1520:42 *2278:28 0.000381375 +70 *1520:42 *2398:71 3.83819e-05 +71 *1520:42 *2896:10 0.000384976 +72 *1520:42 *2900:60 0.000529716 +73 *1520:42 *5864:207 0.000737913 +74 *1520:42 *5871:787 7.85485e-05 +75 *1520:42 *5871:799 0.000568995 +76 *1520:42 *6028:43 0.000549448 +77 *1520:42 *6028:60 0.000846901 +78 *1520:52 *20817:A2 0.00065935 +79 *1520:52 *20846:A2 0.000352419 +80 *1520:52 *2085:41 0.000213725 +81 *1520:52 *2085:50 0.000612673 +82 *1520:52 *2468:127 0.000129116 +83 *1520:52 *2471:28 0.000172731 +84 *1520:52 *3177:37 2.22923e-05 +85 *1520:52 *4845:344 0.000801628 +86 *1520:52 *5949:41 2.55493e-05 +87 *19706:B *19747:A 5.92342e-05 +88 *19706:B *1520:17 0.000615802 +89 *20001:B *1520:17 8.94611e-05 +90 *20974:A2 *1520:39 0.000215704 +91 *20976:A2 *1520:39 0.000169041 +92 *20976:B1 *1520:39 0.000174436 +93 *23977:A0 *1520:39 0.000710275 +94 *24676:D *1520:17 0.000152987 +95 *439:212 *1520:39 1.65872e-05 +96 *439:224 *1520:39 0.000755496 +97 *501:32 *1520:42 6.85778e-05 +98 *524:23 *1520:39 0.000559658 +99 *524:35 *1520:39 1.15389e-05 +100 *537:33 *1520:39 0.000513074 +101 *1424:5 *1520:7 1.53125e-05 +102 *1424:56 *1520:17 2.27135e-05 +103 *1427:22 *1520:21 0.000604162 +104 *1448:8 *1520:17 0 +105 *1466:16 *1520:17 7.00554e-05 +106 *1502:11 *1520:17 0.000134069 +*RES +1 *19745:Y *1520:7 15.0271 +2 *1520:7 *1520:17 44.1577 +3 *1520:17 *1520:21 45.8412 +4 *1520:21 *1520:28 19.4546 +5 *1520:28 *1520:29 53.9653 +6 *1520:29 *1520:34 11.2472 +7 *1520:34 *1520:35 52.5788 +8 *1520:35 *1520:37 0.578717 +9 *1520:37 *1520:39 64.7801 +10 *1520:39 *1520:41 4.5 +11 *1520:41 *1520:42 69.5181 +12 *1520:42 *1520:52 36.7055 +13 *1520:52 *6148:DIODE 13.7491 +14 *1520:52 *21169:B 16.7198 +15 *1520:7 *19747:A 18.0727 +*END + +*D_NET *1521 0.0639989 +*CONN +*I *22139:B I *D sky130_fd_sc_hd__nor2_2 +*I *20249:A I *D sky130_fd_sc_hd__inv_8 +*I *19747:B I *D sky130_fd_sc_hd__nor2_8 +*I *19746:X O *D sky130_fd_sc_hd__or3_4 +*CAP +1 *22139:B 1.81917e-05 +2 *20249:A 0.000208484 +3 *19747:B 8.23824e-05 +4 *19746:X 0.000865162 +5 *1521:78 0.000470095 +6 *1521:75 0.0029346 +7 *1521:74 0.00354694 +8 *1521:68 0.00196607 +9 *1521:62 0.0025529 +10 *1521:61 0.00206803 +11 *1521:55 0.00149338 +12 *1521:46 0.00187648 +13 *1521:36 0.00234649 +14 *1521:28 0.00249147 +15 *1521:25 0.00165769 +16 *1521:21 0.00263425 +17 *1521:11 0.00304125 +18 *19747:B *1947:215 6.3885e-05 +19 *19747:B *4832:118 0.000223644 +20 *22139:B *22139:A 1.09551e-05 +21 *1521:11 *19594:A1 9.80747e-05 +22 *1521:11 *19746:B 0 +23 *1521:11 *19874:B1 0.000162583 +24 *1521:11 *5352:19 1.92336e-05 +25 *1521:11 *5861:79 0.000227352 +26 *1521:11 *5861:89 0.000271521 +27 *1521:21 *21603:A 0.000253916 +28 *1521:21 *22139:A 7.62036e-05 +29 *1521:21 *24454:RESET_B 2.8182e-06 +30 *1521:21 *24454:CLK 0.000142691 +31 *1521:21 *1550:53 3.33502e-05 +32 *1521:21 *2655:19 5.5951e-05 +33 *1521:21 *4932:11 0.000128736 +34 *1521:21 *5866:54 4.27677e-05 +35 *1521:25 *20793:B1 0.000113894 +36 *1521:25 *22139:A 2.57847e-05 +37 *1521:25 *1550:53 5.95459e-05 +38 *1521:25 *4137:69 0.000258128 +39 *1521:28 *21751:A 0.000352924 +40 *1521:28 *1658:68 6.06026e-05 +41 *1521:28 *1658:73 0 +42 *1521:28 *1729:58 1.39703e-05 +43 *1521:28 *2531:24 2.30032e-05 +44 *1521:28 *2531:30 0.000152573 +45 *1521:28 *3857:49 0.000330736 +46 *1521:28 *4547:19 0.000127232 +47 *1521:28 *4547:35 1.21032e-05 +48 *1521:28 *6001:8 0.000693919 +49 *1521:28 *6001:26 0.00123736 +50 *1521:36 *20892:A2 6.25467e-05 +51 *1521:36 *1575:19 0.000452335 +52 *1521:36 *1658:60 8.94244e-05 +53 *1521:36 *1658:68 0.00028593 +54 *1521:36 *1689:94 5.04734e-05 +55 *1521:36 *1729:64 0.000185381 +56 *1521:36 *1947:115 2.57465e-06 +57 *1521:36 *2531:30 0 +58 *1521:36 *2655:31 0.00115642 +59 *1521:36 *2672:21 0.000348411 +60 *1521:36 *5851:83 0.000315454 +61 *1521:46 *20889:A2 3.8122e-05 +62 *1521:46 *20889:B1 4.15662e-05 +63 *1521:46 *20889:B2 2.16355e-05 +64 *1521:46 *21824:A 5.23916e-05 +65 *1521:46 *24421:RESET_B 0 +66 *1521:46 *24421:CLK 0.000398892 +67 *1521:46 *1732:50 1.5613e-05 +68 *1521:46 *1763:59 0.00043404 +69 *1521:46 *2531:35 0.000200794 +70 *1521:46 *2878:51 0.00182347 +71 *1521:46 *4798:39 0.000157793 +72 *1521:46 *5801:92 0.000929646 +73 *1521:46 *5854:45 0.000219255 +74 *1521:46 *5868:23 3.84199e-05 +75 *1521:46 *5868:27 5.61204e-05 +76 *1521:55 *23489:C 0.000154145 +77 *1521:55 *23696:A 0.000160617 +78 *1521:55 *23696:B 3.72666e-05 +79 *1521:55 *1690:95 0.000264834 +80 *1521:55 *1690:108 5.481e-05 +81 *1521:55 *4396:11 6.08467e-05 +82 *1521:55 *4801:17 0.000154145 +83 *1521:61 *23382:B 2.67514e-05 +84 *1521:61 *23384:A 0.000495003 +85 *1521:61 *23386:A 0.000513959 +86 *1521:61 *23487:B 0.000388232 +87 *1521:61 *1587:106 0.000404642 +88 *1521:61 *4009:47 0.0004209 +89 *1521:61 *4491:28 0.000301659 +90 *1521:61 *4575:19 9.33103e-05 +91 *1521:61 *4650:6 0.000213009 +92 *1521:61 *4751:13 0.00040884 +93 *1521:62 *23161:B 0.000116986 +94 *1521:62 *23277:A 6.53632e-05 +95 *1521:62 *1731:93 6.3082e-06 +96 *1521:62 *2001:22 0.000423923 +97 *1521:62 *2623:26 1.49636e-05 +98 *1521:62 *3368:53 0 +99 *1521:62 *3368:65 0 +100 *1521:62 *3999:84 0.000200267 +101 *1521:62 *4182:8 0.000201334 +102 *1521:62 *4601:14 0.00185013 +103 *1521:62 *4803:12 0 +104 *1521:68 *20158:A1 3.64497e-06 +105 *1521:68 *1744:119 0.000525034 +106 *1521:68 *1744:125 0.000323672 +107 *1521:68 *1755:50 0.000102632 +108 *1521:68 *2001:10 4.70005e-05 +109 *1521:68 *2001:22 0.000118309 +110 *1521:68 *2623:26 0.000205649 +111 *1521:68 *3368:70 0.0010337 +112 *1521:68 *5475:59 8.21849e-06 +113 *1521:68 *5858:571 0.000175689 +114 *1521:68 *5994:8 1.64102e-05 +115 *1521:68 *5998:12 0.000230853 +116 *1521:68 *5998:18 0.000587859 +117 *1521:74 *2623:18 0.00174149 +118 *1521:74 *2785:41 0.000211478 +119 *1521:74 *5994:8 0.00173513 +120 *1521:75 *1714:25 0.00325748 +121 *1521:75 *2939:35 5.99529e-06 +122 *1521:78 *1947:215 6.39019e-05 +123 *1521:78 *4832:118 0.000282119 +124 *19595:A *1521:11 0.000162663 +125 *19747:A *19747:B 1.07248e-05 +126 *24344:D *1521:36 6.70429e-05 +127 *24454:D *1521:21 1.31872e-05 +128 *24530:D *1521:46 0.000112952 +129 *823:20 *1521:28 9.60216e-05 +130 *1427:87 *1521:62 0.000144394 +131 *1438:168 *1521:28 0.000428165 +132 *1448:47 *20249:A 0.000550967 +133 *1448:213 *1521:75 0.000328737 +134 *1459:70 *1521:62 0.000953651 +135 *1483:37 *1521:62 0.000186692 +136 *1483:48 *1521:68 0.00024917 +137 *1515:78 *1521:62 8.00004e-05 +*RES +1 *19746:X *1521:11 30.7099 +2 *1521:11 *1521:21 35.7599 +3 *1521:21 *1521:25 15.2063 +4 *1521:25 *1521:28 45.2747 +5 *1521:28 *1521:36 47.4542 +6 *1521:36 *1521:46 47.63 +7 *1521:46 *1521:55 26.9643 +8 *1521:55 *1521:61 40.768 +9 *1521:61 *1521:62 54.4347 +10 *1521:62 *1521:68 49.5549 +11 *1521:68 *1521:74 43.5326 +12 *1521:74 *1521:75 46.2009 +13 *1521:75 *1521:78 10.0693 +14 *1521:78 *19747:B 17.6574 +15 *1521:78 *20249:A 20.0186 +16 *1521:21 *22139:B 9.82786 +*END + +*D_NET *1522 0.0344728 +*CONN +*I *21167:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21163:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19748:A I *D sky130_fd_sc_hd__buf_12 +*I *21161:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20115:A I *D sky130_fd_sc_hd__buf_8 +*I *21165:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21159:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19747:Y O *D sky130_fd_sc_hd__nor2_8 +*CAP +1 *21167:A 0.000161817 +2 *21163:A 0 +3 *19748:A 0 +4 *21161:A 0 +5 *20115:A 0 +6 *21165:A 3.5247e-05 +7 *21159:A 0.00100411 +8 *19747:Y 0.00129692 +9 *1522:52 0.00124295 +10 *1522:46 0.00088899 +11 *1522:42 0.00105481 +12 *1522:40 0.00173494 +13 *1522:32 0.002285 +14 *1522:26 0.00203175 +15 *1522:21 0.0028099 +16 *1522:13 0.00315635 +17 *21159:A *1862:47 1.21461e-06 +18 *21167:A *21168:A 0.000107496 +19 *21167:A *1765:9 0.000207266 +20 *21167:A *2510:11 0.000111722 +21 *21167:A *4864:30 4.98393e-05 +22 *21167:A *4867:28 5.05252e-05 +23 *21167:A *4867:159 9.60366e-05 +24 *21167:A *5474:73 7.89747e-05 +25 *1522:13 *19783:A 2.16355e-05 +26 *1522:13 *20012:B1 0.000161243 +27 *1522:13 *24057:A1 5.50662e-05 +28 *1522:13 *1541:10 0.000205006 +29 *1522:13 *1762:13 2.18523e-06 +30 *1522:13 *1764:31 0.000136768 +31 *1522:13 *5175:8 0.000114018 +32 *1522:21 *20370:A 2.42138e-05 +33 *1522:21 *24021:S 2.81262e-05 +34 *1522:21 *24055:A1 0.000263561 +35 *1522:21 *24055:S 4.31539e-05 +36 *1522:21 *1937:20 1.19751e-05 +37 *1522:21 *3761:7 0.000540283 +38 *1522:21 *5485:172 8.19046e-05 +39 *1522:21 *6001:109 0.000451196 +40 *1522:26 *20063:A1 0 +41 *1522:26 *21117:A 0 +42 *1522:26 *1714:11 3.948e-05 +43 *1522:26 *1786:34 0.000925662 +44 *1522:26 *1845:144 0 +45 *1522:26 *2510:21 9.42281e-05 +46 *1522:32 *20333:A2 1.05601e-05 +47 *1522:32 *20333:B2 0.000118485 +48 *1522:32 *1697:28 0.000751057 +49 *1522:32 *1783:71 0.000987803 +50 *1522:32 *1786:34 0.000228062 +51 *1522:32 *2510:21 6.74667e-05 +52 *1522:32 *4864:30 0 +53 *1522:32 *5473:73 7.45404e-05 +54 *1522:32 *5474:70 0.000190627 +55 *1522:40 *1845:92 6.10572e-05 +56 *1522:40 *3177:111 0 +57 *1522:40 *4828:139 0.000530988 +58 *1522:40 *5473:73 0.000243187 +59 *1522:40 *5474:70 6.57998e-05 +60 *1522:40 *5485:20 0.00103086 +61 *1522:40 *5916:35 0.00126876 +62 *1522:42 *1845:81 0.000216337 +63 *1522:42 *4828:139 0.000443736 +64 *1522:42 *5485:20 0.00093817 +65 *1522:46 *21162:A 0 +66 *1522:46 *24877:CLK 6.08076e-05 +67 *1522:46 *1845:81 0.000513273 +68 *1522:46 *2955:17 5.29763e-05 +69 *1522:46 *4937:8 0 +70 *1522:46 *5485:20 0.000127179 +71 *1522:52 *20154:B1 0.000419724 +72 *1522:52 *4937:8 2.33334e-05 +73 *1522:52 *5814:18 0.000116971 +74 *19587:B *1522:13 1.10297e-05 +75 *19656:A *1522:46 0.000125695 +76 *19658:A *1522:46 0.000148129 +77 *21119:B1 *1522:26 0.000347214 +78 *24166:RESET_B *21159:A 2.7823e-05 +79 *24799:D *1522:52 1.43848e-05 +80 *24877:D *21165:A 0 +81 *74:32 *1522:32 0.000207885 +82 *470:5 *1522:13 0.000172001 +83 *470:5 *1522:21 0.00202157 +84 *470:21 *1522:13 0.000208694 +85 *1422:13 *1522:21 1.69808e-05 +86 *1422:31 *1522:21 0.000862156 +87 *1432:15 *1522:13 0.000161911 +88 *1438:81 *1522:26 0 +*RES +1 *19747:Y *1522:13 44.0121 +2 *1522:13 *1522:21 47.5271 +3 *1522:21 *1522:26 32.5419 +4 *1522:26 *1522:32 35.0033 +5 *1522:32 *1522:40 46.2147 +6 *1522:40 *1522:42 17.1963 +7 *1522:42 *1522:46 27.5099 +8 *1522:46 *1522:52 15.8529 +9 *1522:52 *21159:A 23.7171 +10 *1522:52 *21165:A 10.2378 +11 *1522:46 *20115:A 9.24915 +12 *1522:42 *21161:A 13.7491 +13 *1522:40 *19748:A 13.7491 +14 *1522:32 *21163:A 13.7491 +15 *1522:26 *21167:A 29.0769 +*END + +*D_NET *1523 0.00260578 +*CONN +*I *20081:B I *D sky130_fd_sc_hd__or3_1 +*I *19751:B I *D sky130_fd_sc_hd__or2_1 +*I *22121:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *19750:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20081:B 4.82117e-05 +2 *19751:B 5.75133e-05 +3 *22121:A1 0.000289082 +4 *19750:Y 0 +5 *1523:7 0.000527922 +6 *1523:4 0.000229538 +7 *19751:B *20129:B2 0.000194813 +8 *19751:B *3098:16 0.000187671 +9 *20081:B *5482:18 5.54078e-05 +10 *22121:A1 *20076:A 9.08028e-06 +11 *22121:A1 *20129:B2 0.000144531 +12 *22121:A1 *20332:B 0.000156978 +13 *22121:A1 *22121:A2 3.16631e-05 +14 *22121:A1 *22121:A3 4.02276e-05 +15 *22121:A1 *2216:13 1.03594e-05 +16 *22121:A1 *3098:16 0.000199667 +17 *1523:7 *19750:A 2.65831e-05 +18 *20128:A *22121:A1 0.000205152 +19 *484:10 *22121:A1 1.22684e-05 +20 *484:73 *22121:A1 2.57465e-06 +21 *514:58 *22121:A1 1.98271e-05 +22 *551:7 *1523:7 1.82679e-05 +23 *551:13 *22121:A1 1.1246e-05 +24 *1471:128 *20081:B 0.000127194 +*RES +1 *19750:Y *1523:4 9.24915 +2 *1523:4 *1523:7 7.99641 +3 *1523:7 *22121:A1 24.4351 +4 *1523:7 *19751:B 17.2421 +5 *1523:4 *20081:B 20.4964 +*END + +*D_NET *1524 0.0033555 +*CONN +*I *19752:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *19751:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19752:A 0 +2 *19751:X 0.000830298 +3 *1524:10 0.000830298 +4 *1524:10 *20129:B2 0.000144461 +5 *1524:10 *1756:31 0 +6 *1524:10 *1756:40 4.09756e-05 +7 *1524:10 *1783:67 0 +8 *1524:10 *3098:16 0.000120868 +9 *1524:10 *5898:120 0.000304871 +10 *24813:RESET_B *1524:10 7.58595e-05 +11 *459:21 *1524:10 0.000724986 +12 *459:31 *1524:10 0.000211407 +13 *1455:73 *1524:10 7.14746e-05 +*RES +1 *19751:X *1524:10 43.5097 +2 *1524:10 *19752:A 9.24915 +*END + +*D_NET *1525 0.0154085 +*CONN +*I *19754:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19753:A I *D sky130_fd_sc_hd__inv_2 +*I *19757:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19766:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19763:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *19760:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19769:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *19772:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20054:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *19752:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *19754:A2 0.000140218 +2 *19753:A 0 +3 *19757:A2 0.000150354 +4 *19766:A2 0.000466772 +5 *19763:A2 0.000161458 +6 *19760:A2 0.000114485 +7 *19769:A2 0.000152835 +8 *19772:A2 3.20361e-05 +9 *20054:B2 1.2055e-05 +10 *19752:X 0.000363094 +11 *1525:98 0.000185975 +12 *1525:97 6.96349e-05 +13 *1525:64 0.000936211 +14 *1525:51 0.000606943 +15 *1525:42 0.000696879 +16 *1525:38 0.000807313 +17 *1525:24 0.000605869 +18 *1525:13 0.000340122 +19 *1525:11 6.76924e-05 +20 *1525:10 0.00040304 +21 *19754:A2 *19754:A1 6.78596e-05 +22 *19754:A2 *19754:B2 7.39571e-05 +23 *19754:A2 *1526:5 0 +24 *19760:A2 *19760:A1 6.89706e-05 +25 *19760:A2 *1526:63 0 +26 *19760:A2 *2612:22 0.00016491 +27 *19760:A2 *2612:32 0 +28 *19766:A2 *19763:A1 1.44611e-05 +29 *19766:A2 *19766:A1 0.000349354 +30 *19766:A2 *19766:B2 0.000396003 +31 *19766:A2 *1526:81 4.84944e-05 +32 *19766:A2 *2948:7 0.000178863 +33 *19766:A2 *3868:233 4.67919e-05 +34 *19766:A2 *5456:82 0 +35 *19766:A2 *5462:11 9.81698e-05 +36 *19769:A2 *19769:A1 0.000247443 +37 *19769:A2 *2955:35 0.000131266 +38 *19769:A2 *4870:55 0.000466492 +39 *19769:A2 *4870:60 0.000107496 +40 *19772:A2 *19772:A1 0.000164829 +41 *19772:A2 *24834:CLK_N 6.73186e-05 +42 *20054:B2 *20054:A1 6.08467e-05 +43 *20054:B2 *20054:B1 6.50727e-05 +44 *1525:10 *24069:A0 2.09495e-05 +45 *1525:10 *24828:CLK_N 0.000523562 +46 *1525:10 *4867:169 0.000135206 +47 *1525:10 *5459:8 0.000344093 +48 *1525:11 *19754:B2 4.88955e-05 +49 *1525:11 *20054:A1 6.50727e-05 +50 *1525:11 *20054:B1 9.97706e-05 +51 *1525:13 *20054:A1 0.000307023 +52 *1525:13 *20054:B1 0.000295072 +53 *1525:24 *1731:70 0.000745269 +54 *1525:24 *1734:18 0.000275467 +55 *1525:24 *5928:30 0.000107004 +56 *1525:38 *19772:A1 0.000239718 +57 *1525:38 *24834:CLK_N 0.000112149 +58 *1525:38 *1526:28 5.02335e-05 +59 *1525:38 *1526:41 2.33193e-05 +60 *1525:38 *2864:58 7.65861e-05 +61 *1525:42 *1526:50 0.000136313 +62 *1525:42 *2864:58 0.000208961 +63 *1525:42 *4870:54 0 +64 *1525:51 *19760:A1 0 +65 *1525:51 *24072:A1 7.50722e-05 +66 *1525:51 *1526:50 0.00036936 +67 *1525:51 *1526:81 5.05252e-05 +68 *1525:51 *2429:11 0.000101148 +69 *1525:51 *2612:22 3.93117e-06 +70 *1525:64 *19762:A 0 +71 *1525:64 *19763:A1 2.99929e-05 +72 *1525:64 *1526:81 0.000656572 +73 *1525:97 *19754:B2 0.00010795 +74 *1525:97 *20054:B1 4.66492e-05 +75 *19760:B1 *1525:51 0 +76 *19760:B1 *1525:64 0 +77 *19763:B1 *19766:A2 0.000134312 +78 *20054:A2 *19754:A2 2.62866e-05 +79 *20054:A2 *1525:97 2.07503e-05 +80 *24829:D *1525:24 0.000200236 +81 *24832:D *19766:A2 0 +82 *24832:D *1525:64 0 +83 *24833:D *19760:A2 1.65351e-05 +84 *24833:D *1525:42 1.07248e-05 +85 *24833:D *1525:51 6.56786e-05 +86 *24834:D *1525:38 0.000182869 +87 *459:72 *1525:38 0 +88 *459:72 *1525:42 0 +89 *459:97 *19763:A2 3.69465e-05 +90 *657:111 *19760:A2 0.000177763 +91 *657:111 *1525:64 0.000137287 +92 *1467:124 *19766:A2 1.16845e-05 +*RES +1 *19752:X *1525:10 30.5031 +2 *1525:10 *1525:11 1.278 +3 *1525:11 *1525:13 3.49641 +4 *1525:13 *20054:B2 9.97254 +5 *1525:13 *1525:24 22.4591 +6 *1525:24 *19772:A2 11.0817 +7 *1525:24 *1525:38 14.186 +8 *1525:38 *1525:42 10.4546 +9 *1525:42 *19769:A2 20.5732 +10 *1525:42 *1525:51 7.64553 +11 *1525:51 *19760:A2 18.403 +12 *1525:51 *1525:64 11.798 +13 *1525:64 *19763:A2 16.6005 +14 *1525:64 *19766:A2 28.6396 +15 *1525:38 *19757:A2 16.1674 +16 *1525:11 *19753:A 9.24915 +17 *1525:10 *1525:97 10.5271 +18 *1525:97 *1525:98 57.9449 +19 *1525:98 *19754:A2 22.5975 +*END + +*D_NET *1526 0.0149765 +*CONN +*I *19763:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *19766:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19760:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19769:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19757:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19772:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *19754:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20054:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *19753:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19763:B2 0 +2 *19766:B2 0.000391479 +3 *19760:B2 4.69341e-05 +4 *19769:B2 1.61618e-05 +5 *19757:B2 4.42171e-05 +6 *19772:B2 0.000501349 +7 *19754:B2 8.28334e-05 +8 *20054:A1 0.000254384 +9 *19753:Y 0 +10 *1526:81 0.000697042 +11 *1526:64 8.71832e-05 +12 *1526:63 0.000552874 +13 *1526:52 0.000528787 +14 *1526:50 0.000677793 +15 *1526:41 0.000480005 +16 *1526:28 0.00098995 +17 *1526:5 0.000357849 +18 *1526:4 0.000445676 +19 *19757:B2 *2877:11 3.82228e-05 +20 *19760:B2 *24072:A1 3.31745e-05 +21 *19760:B2 *2612:22 0.000163414 +22 *19766:B2 *19766:A1 2.08133e-05 +23 *19766:B2 *24070:A0 0.000164815 +24 *19766:B2 *2505:54 0.000182458 +25 *19766:B2 *2948:7 0.000585562 +26 *19769:B2 *2955:35 2.57986e-05 +27 *19769:B2 *4870:60 6.17774e-05 +28 *19772:B2 *19772:A1 6.12686e-06 +29 *19772:B2 *24834:CLK_N 0.000453443 +30 *20054:A1 *20054:B1 2.60315e-05 +31 *1526:28 *24068:A0 9.75356e-05 +32 *1526:28 *24069:A0 9.90494e-05 +33 *1526:28 *1756:40 0 +34 *1526:28 *4867:169 0.000589375 +35 *1526:28 *5460:8 5.80207e-05 +36 *1526:41 *24068:A0 0.000134406 +37 *1526:41 *2864:58 7.65861e-05 +38 *1526:50 *24068:A0 0.000744628 +39 *1526:50 *2864:58 0.0002212 +40 *1526:63 *24072:A1 0.000544589 +41 *1526:63 *2405:26 0.000278952 +42 *1526:63 *2429:11 0.000245462 +43 *1526:63 *2505:54 0.000275339 +44 *1526:63 *2612:22 0.000103026 +45 *19754:A2 *19754:B2 7.39571e-05 +46 *19754:A2 *1526:5 0 +47 *19754:B1 *1526:28 0 +48 *19760:A2 *1526:63 0 +49 *19766:A2 *19766:B2 0.000396003 +50 *19766:A2 *1526:81 4.84944e-05 +51 *19767:A *1526:63 5.04829e-06 +52 *19772:B1 *19772:B2 2.85531e-06 +53 *20054:A2 *19754:B2 1.37189e-05 +54 *20054:A2 *20054:A1 1.71627e-05 +55 *20054:B2 *20054:A1 6.08467e-05 +56 *24067:S *19766:B2 0.000157911 +57 *24070:S *19766:B2 6.50727e-05 +58 *24831:D *19766:B2 1.80176e-05 +59 *24833:D *19760:B2 5.04829e-06 +60 *459:97 *19766:B2 0.000392691 +61 *657:85 *1526:28 8.07939e-05 +62 *1427:47 *19757:B2 3.82228e-05 +63 *1427:48 *19766:B2 7.77309e-06 +64 *1467:124 *1526:50 6.42805e-05 +65 *1467:124 *1526:81 0.000329035 +66 *1525:11 *19754:B2 4.88955e-05 +67 *1525:11 *20054:A1 6.50727e-05 +68 *1525:13 *20054:A1 0.000307023 +69 *1525:38 *1526:28 5.02335e-05 +70 *1525:38 *1526:41 2.33193e-05 +71 *1525:42 *1526:50 0.000136313 +72 *1525:51 *1526:50 0.00036936 +73 *1525:51 *1526:81 5.05252e-05 +74 *1525:64 *1526:81 0.000656572 +75 *1525:97 *19754:B2 0.00010795 +*RES +1 *19753:Y *1526:4 9.24915 +2 *1526:4 *1526:5 0.578717 +3 *1526:5 *20054:A1 16.0973 +4 *1526:5 *19754:B2 12.2151 +5 *1526:4 *1526:28 19.2781 +6 *1526:28 *19772:B2 22.6951 +7 *1526:28 *1526:41 2.6625 +8 *1526:41 *19757:B2 15.0271 +9 *1526:41 *1526:50 16.3658 +10 *1526:50 *1526:52 4.5 +11 *1526:52 *1526:63 33.1931 +12 *1526:63 *1526:64 81.1229 +13 *1526:64 *19769:B2 19.2217 +14 *1526:52 *19760:B2 11.0817 +15 *1526:50 *1526:81 17.9591 +16 *1526:81 *19766:B2 34.3051 +17 *1526:81 *19763:B2 9.24915 +*END + +*D_NET *1527 0.00051939 +*CONN +*I *19756:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19755:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19756:A 0.000146422 +2 *19755:X 0.000146422 +3 *657:85 *19756:A 1.64789e-05 +4 *657:296 *19756:A 0.000114523 +5 *657:310 *19756:A 9.55447e-05 +*RES +1 *19755:X *19756:A 22.5975 +*END + +*D_NET *1528 0.000922264 +*CONN +*I *19759:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19758:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19759:A 0.000208264 +2 *19758:X 0.000208264 +3 *19759:A *2412:12 0.000120685 +4 *19759:A *4870:30 2.71542e-05 +5 *19759:A *4870:54 0.000357898 +6 *460:21 *19759:A 0 +*RES +1 *19758:X *19759:A 33.7966 +*END + +*D_NET *1529 0.00175352 +*CONN +*I *19762:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19761:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19762:A 0.000445084 +2 *19761:X 0.000445084 +3 *19762:A *2911:10 0.000423805 +4 *19762:A *5456:82 0.000144531 +5 *19760:B1 *19762:A 7.50872e-05 +6 *24832:D *19762:A 3.06815e-05 +7 *24832:RESET_B *19762:A 8.92089e-05 +8 *459:85 *19762:A 2.19131e-05 +9 *459:97 *19762:A 7.81266e-05 +10 *1525:64 *19762:A 0 +*RES +1 *19761:X *19762:A 38.3966 +*END + +*D_NET *1530 0.00106237 +*CONN +*I *19765:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19764:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19765:A 0.000255854 +2 *19764:X 0.000255854 +3 *19765:A *2714:10 7.55339e-06 +4 *19765:A *5926:42 0.000339738 +5 *19765:A *5927:48 0.000105832 +6 *24831:D *19765:A 9.75356e-05 +*RES +1 *19764:X *19765:A 35.3154 +*END + +*D_NET *1531 0.00123361 +*CONN +*I *19768:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19767:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19768:A 0.000193308 +2 *19767:X 0.000193308 +3 *19768:A *2283:13 0.000116689 +4 *19768:A *2426:8 3.0757e-05 +5 *19768:A *2612:22 4.09467e-05 +6 *24830:RESET_B *19768:A 0.00034459 +7 *1471:169 *19768:A 0.000314009 +*RES +1 *19767:X *19768:A 36.3896 +*END + +*D_NET *1532 0.000496026 +*CONN +*I *19771:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19770:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19771:A 0.000216995 +2 *19770:X 0.000216995 +3 *19770:A *19771:A 2.39151e-05 +4 *657:85 *19771:A 3.8122e-05 +*RES +1 *19770:X *19771:A 22.7663 +*END + +*D_NET *1533 0.000472252 +*CONN +*I *19774:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *19773:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19774:A 0.000182378 +2 *19773:X 0.000182378 +3 *657:51 *19774:A 0.000107496 +*RES +1 *19773:X *19774:A 22.5975 +*END + +*D_NET *1534 0.0062233 +*CONN +*I *19776:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20128:B I *D sky130_fd_sc_hd__or2_1 +*I *22120:C I *D sky130_fd_sc_hd__and4bb_1 +*I *19775:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *19776:A 0 +2 *20128:B 0.000235461 +3 *22120:C 5.91603e-05 +4 *19775:X 0.000110084 +5 *1534:22 0.00107295 +6 *1534:8 0.00100674 +7 *20128:B *1731:65 5.481e-05 +8 *20128:B *1756:25 0.000154145 +9 *20128:B *1756:31 0 +10 *22120:C *20122:B 0.000304777 +11 *22120:C *22120:B_N 0.000258128 +12 *1534:8 *1839:12 5.39463e-05 +13 *1534:8 *1862:15 4.37999e-05 +14 *1534:8 *5448:64 1.84293e-05 +15 *1534:22 *20123:A 0.000133828 +16 *1534:22 *1731:65 2.16355e-05 +17 *1534:22 *1756:25 4.66492e-05 +18 *1534:22 *1839:12 0.000309158 +19 *1534:22 *1861:18 4.37999e-05 +20 *1534:22 *1862:15 0.000628784 +21 *1534:22 *2216:13 9.42598e-05 +22 *1534:22 *2426:28 1.9101e-05 +23 *20107:B1 *1534:22 0.000115448 +24 *20122:D *22120:C 1.92336e-05 +25 *20124:S *20128:B 0.000356877 +26 *20124:S *1534:22 4.3116e-06 +27 *484:10 *1534:22 0.000222253 +28 *484:20 *1534:22 0.000235037 +29 *484:26 *1534:22 0.000343629 +30 *486:10 *1534:22 5.86585e-05 +31 *514:58 *20128:B 0.000198204 +*RES +1 *19775:X *1534:8 16.7198 +2 *1534:8 *22120:C 17.2456 +3 *1534:8 *1534:22 36.4406 +4 *1534:22 *20128:B 26.8968 +5 *1534:22 *19776:A 9.24915 +*END + +*D_NET *1535 0.0327949 +*CONN +*I *22418:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22736:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19791:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19777:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22418:B1 0.000267168 +2 *22736:A1 0.000260536 +3 *19791:A1 1.98947e-05 +4 *19777:Y 0.000868071 +5 *1535:56 0.0017528 +6 *1535:54 0.00219507 +7 *1535:51 0.00194623 +8 *1535:31 0.00176407 +9 *1535:22 0.00193128 +10 *1535:15 0.00221645 +11 *1535:8 0.00192115 +12 *19791:A1 *19791:C1 2.16355e-05 +13 *19791:A1 *1538:17 6.08467e-05 +14 *22418:B1 *22418:A2 1.78868e-05 +15 *22418:B1 *22418:B2 6.42013e-05 +16 *22418:B1 *22418:C1 0.000180519 +17 *22418:B1 *22730:B1 0.000110458 +18 *22418:B1 *1593:31 4.66492e-05 +19 *22418:B1 *3153:225 1.65872e-05 +20 *22418:B1 *3153:244 7.92757e-06 +21 *22418:B1 *3192:181 0.000109421 +22 *22418:B1 *3192:185 0.000123776 +23 *22418:B1 *3373:15 0.000110458 +24 *22736:A1 *21468:B2 1.41307e-05 +25 *22736:A1 *22736:B2 8.67924e-06 +26 *22736:A1 *22737:B1 1.57527e-05 +27 *22736:A1 *22737:B2 0.000111625 +28 *22736:A1 *22737:C1 4.1554e-05 +29 *22736:A1 *1751:23 0.000195399 +30 *22736:A1 *2521:41 2.77625e-06 +31 *22736:A1 *2932:61 0.000188869 +32 *22736:A1 *3517:121 7.13972e-05 +33 *22736:A1 *3523:19 0.000302686 +34 *1535:8 *2783:86 8.8927e-06 +35 *1535:8 *2850:42 0.000637102 +36 *1535:8 *3106:187 0.000502152 +37 *1535:8 *3128:162 5.87688e-05 +38 *1535:8 *3541:110 0.000145716 +39 *1535:8 *3543:149 2.32756e-05 +40 *1535:8 *5908:95 0.000102062 +41 *1535:15 *2850:42 0.000103413 +42 *1535:15 *3190:103 0.00101251 +43 *1535:15 *3541:110 0.000112837 +44 *1535:22 *19908:A 7.97981e-05 +45 *1535:22 *20040:A1 0.000109788 +46 *1535:22 *21766:A 2.26985e-05 +47 *1535:22 *22566:C1 0.000322141 +48 *1535:22 *22596:C1 1.75682e-05 +49 *1535:22 *1538:17 0.00022884 +50 *1535:22 *1590:10 0.000719804 +51 *1535:22 *1595:101 1.9101e-05 +52 *1535:22 *1634:70 0.000409823 +53 *1535:22 *1646:35 0.000131847 +54 *1535:22 *1666:12 4.60375e-07 +55 *1535:22 *1787:40 0.000343008 +56 *1535:22 *1796:10 0.000215095 +57 *1535:22 *1796:12 3.95634e-05 +58 *1535:22 *3209:6 0.000908275 +59 *1535:22 *3513:156 0.000146644 +60 *1535:31 *19791:C1 5.04829e-06 +61 *1535:31 *22236:B2 2.53145e-06 +62 *1535:31 *22237:C1 2.36575e-05 +63 *1535:31 *1538:17 0.000237552 +64 *1535:31 *1542:65 7.5301e-06 +65 *1535:31 *1796:12 0.00039995 +66 *1535:31 *2674:8 0.00039995 +67 *1535:31 *2783:68 0.000158357 +68 *1535:31 *3199:7 5.88657e-05 +69 *1535:51 *20022:A1 0.000435459 +70 *1535:51 *21813:B1 0.000198544 +71 *1535:51 *21833:D 0.000385275 +72 *1535:51 *21845:B2 3.54436e-05 +73 *1535:51 *21902:A 0.000125611 +74 *1535:51 *1542:40 0.000237867 +75 *1535:51 *1547:114 5.88009e-05 +76 *1535:51 *1625:83 2.92163e-05 +77 *1535:51 *1658:43 0.00037669 +78 *1535:51 *1751:145 6.22732e-06 +79 *1535:51 *2815:48 0.000407274 +80 *1535:51 *3209:6 0.000396187 +81 *1535:51 *3527:70 0.000240938 +82 *1535:54 *20022:A1 3.78901e-05 +83 *1535:54 *1542:25 5.97866e-05 +84 *1535:54 *1561:50 0.000410018 +85 *1535:54 *1771:70 6.09781e-05 +86 *1535:54 *1771:82 0.000105098 +87 *1535:54 *1772:71 0.000178777 +88 *1535:54 *1773:76 6.66118e-05 +89 *1535:56 *1542:19 0.000225925 +90 *1535:56 *1751:26 0.000221283 +91 *1535:56 *1751:102 0.000250744 +92 *1535:56 *1751:120 0.000367301 +93 *1535:56 *1771:60 0.000656965 +94 *1535:56 *1771:70 1.37385e-05 +95 *1535:56 *1772:71 9.18679e-06 +96 *1535:56 *1786:71 3.65454e-05 +97 *1535:56 *1805:12 4.70035e-05 +98 *1535:56 *2524:8 0.00137754 +99 *1535:56 *3719:10 2.47967e-05 +100 *1535:56 *4808:76 8.17829e-06 +101 *1535:56 *4808:90 0.000764656 +102 *24910:A *1535:54 0.000257731 +103 *1435:318 *1535:8 0 +*RES +1 *19777:Y *1535:8 33.7563 +2 *1535:8 *1535:15 23.0627 +3 *1535:15 *1535:22 48.761 +4 *1535:22 *1535:31 23.5231 +5 *1535:31 *19791:A1 9.97254 +6 *1535:31 *1535:51 38.8281 +7 *1535:51 *1535:54 18.1368 +8 *1535:54 *1535:56 45.8487 +9 *1535:56 *22736:A1 32.5892 +10 *1535:8 *22418:B1 23.5934 +*END + +*D_NET *1536 0.00233824 +*CONN +*I *19779:A I *D sky130_fd_sc_hd__buf_8 +*I *19778:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19779:A 0.000524688 +2 *19778:X 0.000524688 +3 *19779:A *19919:A 3.4123e-05 +4 *19779:A *1683:17 5.49582e-05 +5 *19779:A *1801:38 0.000418509 +6 *19779:A *2785:30 4.36466e-06 +7 *19779:A *2999:20 1.65117e-05 +8 *19779:A *5452:11 0.000569967 +9 *19779:A *5851:196 4.23622e-05 +10 *470:49 *19779:A 1.61631e-05 +11 *1420:33 *19779:A 2.44976e-05 +12 *1432:8 *19779:A 6.85742e-05 +13 *1442:55 *19779:A 0 +14 *1461:228 *19779:A 3.88358e-05 +*RES +1 *19778:X *19779:A 42.0056 +*END + +*D_NET *1537 0.0831866 +*CONN +*I *19830:B I *D sky130_fd_sc_hd__or2_4 +*I *19858:B I *D sky130_fd_sc_hd__or2_4 +*I *19838:B I *D sky130_fd_sc_hd__or2_4 +*I *19844:B I *D sky130_fd_sc_hd__or2_4 +*I *19877:B I *D sky130_fd_sc_hd__or2_4 +*I *19828:B I *D sky130_fd_sc_hd__or2_4 +*I *19860:B I *D sky130_fd_sc_hd__or2_4 +*I *19780:B I *D sky130_fd_sc_hd__or2_4 +*I *19824:B I *D sky130_fd_sc_hd__or2_4 +*I *19847:B I *D sky130_fd_sc_hd__or2_4 +*I *19803:B I *D sky130_fd_sc_hd__or2_4 +*I *19836:B I *D sky130_fd_sc_hd__or2_4 +*I *19852:B I *D sky130_fd_sc_hd__or2_4 +*I *19897:B I *D sky130_fd_sc_hd__or2_4 +*I *19826:B I *D sky130_fd_sc_hd__or2_4 +*I *19840:B I *D sky130_fd_sc_hd__or2_4 +*I *19779:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *19830:B 3.93949e-05 +2 *19858:B 0.000506206 +3 *19838:B 0 +4 *19844:B 8.19545e-05 +5 *19877:B 0.000317787 +6 *19828:B 2.05036e-05 +7 *19860:B 0.000184641 +8 *19780:B 2.3034e-05 +9 *19824:B 9.17093e-05 +10 *19847:B 0 +11 *19803:B 0.000114195 +12 *19836:B 0.000155584 +13 *19852:B 5.42586e-05 +14 *19897:B 3.73941e-05 +15 *19826:B 0 +16 *19840:B 6.78992e-05 +17 *19779:X 6.82056e-05 +18 *1537:272 6.6648e-05 +19 *1537:270 7.79826e-05 +20 *1537:269 5.07295e-05 +21 *1537:267 0.00145684 +22 *1537:208 0.000583937 +23 *1537:180 0.000867436 +24 *1537:171 0.00200027 +25 *1537:150 0.00164876 +26 *1537:144 0.000478036 +27 *1537:125 0.000898471 +28 *1537:117 0.00128266 +29 *1537:113 0.00119777 +30 *1537:101 0.00165929 +31 *1537:80 0.00113561 +32 *1537:71 0.00117569 +33 *1537:52 0.00101599 +34 *1537:47 0.000379327 +35 *1537:42 0.0010172 +36 *1537:36 0.00296901 +37 *1537:12 0.00497727 +38 *1537:11 0.00215736 +39 *1537:9 0.00152504 +40 *19803:B *4849:33 1.65872e-05 +41 *19824:B *25365:A 4.80635e-06 +42 *19824:B *3146:92 4.2372e-05 +43 *19828:B *4849:33 0.000110306 +44 *19830:B *21963:B1 0.000104408 +45 *19836:B *20488:A2 0 +46 *19836:B *4849:33 3.95516e-05 +47 *19840:B *1794:7 0.000247443 +48 *19840:B *3373:33 3.31745e-05 +49 *19844:B *19885:B2 4.90748e-05 +50 *19844:B *1643:14 6.3657e-05 +51 *19844:B *1721:88 0.000298399 +52 *19858:B *21592:A 5.481e-05 +53 *19858:B *22502:B1 6.11872e-05 +54 *19858:B *1563:103 1.1696e-06 +55 *19858:B *1563:105 6.62095e-05 +56 *19858:B *1598:15 0.00080531 +57 *19858:B *2519:38 1.05272e-06 +58 *19858:B *2652:28 3.83986e-05 +59 *19858:B *3198:29 1.34424e-05 +60 *19858:B *3198:33 0.000584766 +61 *19860:B *1538:7 2.16355e-05 +62 *19860:B *1538:17 0.000107496 +63 *19860:B *3002:82 8.233e-05 +64 *19860:B *3146:116 0.000109731 +65 *19877:B *19877:A 4.66492e-05 +66 *19877:B *19885:A2 0 +67 *19877:B *19885:B2 4.17531e-06 +68 *19877:B *21813:B2 8.03667e-05 +69 *19877:B *1544:151 0.000218787 +70 *19877:B *1561:154 0.000288515 +71 *19877:B *1658:43 9.12416e-06 +72 *19877:B *1721:88 0.000122527 +73 *19877:B *1778:10 1.39895e-05 +74 *19877:B *3531:73 3.40635e-05 +75 *19897:B *1771:60 0.000154145 +76 *19897:B *3111:63 0.000154145 +77 *1537:9 *1801:38 0.000202947 +78 *1537:9 *2702:18 4.70612e-05 +79 *1537:9 *2707:8 4.23622e-05 +80 *1537:9 *5452:11 0.000262449 +81 *1537:12 *20407:B 0.000101133 +82 *1537:12 *21898:B2 3.27475e-05 +83 *1537:12 *24498:RESET_B 0.000111631 +84 *1537:12 *1675:8 0.000340348 +85 *1537:12 *1677:10 0.000113374 +86 *1537:12 *1689:57 0.00150969 +87 *1537:12 *1762:41 0.00214002 +88 *1537:12 *1801:38 3.77568e-05 +89 *1537:12 *1947:215 0.000161256 +90 *1537:12 *3177:148 0.000476312 +91 *1537:36 *20608:A2 0.000203701 +92 *1537:36 *22498:B1 0.000495159 +93 *1537:36 *22508:A2 0.000400272 +94 *1537:36 *22508:B1 3.95516e-05 +95 *1537:36 *22513:B 0.000449741 +96 *1537:36 *22806:B1 0.00030368 +97 *1537:36 *24498:RESET_B 0.000183963 +98 *1537:36 *1560:42 0.000774597 +99 *1537:36 *1722:40 0.0002891 +100 *1537:36 *1740:110 0.000759498 +101 *1537:36 *1762:41 0.000284072 +102 *1537:36 *1773:18 7.08288e-05 +103 *1537:36 *1795:29 0.000107998 +104 *1537:36 *2521:27 0.000897804 +105 *1537:36 *2563:26 0.000198512 +106 *1537:36 *2632:67 0.00135598 +107 *1537:36 *2713:27 0.000153427 +108 *1537:36 *2833:57 0.000547469 +109 *1537:36 *3382:8 5.90952e-05 +110 *1537:36 *3407:23 0.000636031 +111 *1537:36 *3421:32 0.000145164 +112 *1537:36 *3517:121 4.58907e-05 +113 *1537:36 *5455:12 3.25008e-05 +114 *1537:36 *5475:83 9.29815e-06 +115 *1537:42 *22486:A2 3.14432e-05 +116 *1537:42 *22508:A2 1.32841e-05 +117 *1537:42 *1598:15 0.000636347 +118 *1537:42 *2746:43 0.000105837 +119 *1537:42 *3198:33 0.00062923 +120 *1537:47 *2524:8 0.000489825 +121 *1537:52 *1584:9 6.08467e-05 +122 *1537:52 *2571:67 0.000112278 +123 *1537:52 *3208:90 0.00035358 +124 *1537:71 *19855:B 3.17436e-05 +125 *1537:71 *19897:A 0.000124666 +126 *1537:71 *19997:A1 0.000174834 +127 *1537:71 *21599:C1 0.000123742 +128 *1537:71 *22005:A1 1.22057e-05 +129 *1537:71 *1563:105 0.000243442 +130 *1537:71 *1596:14 2.82027e-05 +131 *1537:71 *1662:63 0.000371721 +132 *1537:71 *3406:14 0.000145263 +133 *1537:71 *3521:41 4.03021e-05 +134 *1537:71 *3719:26 0.000119263 +135 *1537:80 *19897:A 1.9101e-05 +136 *1537:80 *1662:63 0.000166407 +137 *1537:80 *1762:132 5.89785e-05 +138 *1537:80 *1800:47 7.09666e-06 +139 *1537:80 *3739:8 0.000251514 +140 *1537:80 *3739:14 2.74292e-05 +141 *1537:101 *6088:DIODE 5.45571e-05 +142 *1537:101 *19885:B1 1.01851e-05 +143 *1537:101 *21696:A1 8.3897e-06 +144 *1537:101 *21707:A1 5.04829e-06 +145 *1537:101 *1642:46 0.000201147 +146 *1537:101 *1688:98 0.000215539 +147 *1537:101 *1762:132 0.000127536 +148 *1537:101 *1778:10 0.000221602 +149 *1537:101 *1788:86 9.80242e-07 +150 *1537:101 *1798:84 0.000193274 +151 *1537:101 *1800:47 0.000120643 +152 *1537:101 *1800:75 7.92757e-06 +153 *1537:101 *2680:52 0.000186695 +154 *1537:101 *3209:6 0.000120976 +155 *1537:101 *3739:8 0.00012774 +156 *1537:113 *22481:A1 0.00014348 +157 *1537:113 *2093:79 0.000776285 +158 *1537:113 *2649:64 0.000318055 +159 *1537:113 *2655:64 0.000203717 +160 *1537:113 *2680:44 0.00116906 +161 *1537:113 *2724:24 3.47634e-05 +162 *1537:117 *1776:26 0.000591873 +163 *1537:117 *1777:15 4.97617e-05 +164 *1537:117 *1798:92 0.0014991 +165 *1537:117 *2685:47 0.000345048 +166 *1537:117 *2750:28 6.63489e-05 +167 *1537:117 *3509:136 0.00025317 +168 *1537:117 *3513:121 0.00024976 +169 *1537:125 *1603:48 0.000498374 +170 *1537:125 *1764:94 0.000525715 +171 *1537:125 *2614:38 6.46333e-05 +172 *1537:125 *2844:67 5.99155e-05 +173 *1537:125 *3066:26 6.66052e-05 +174 *1537:125 *5454:15 0.00129494 +175 *1537:144 *3509:136 6.25233e-05 +176 *1537:144 *3513:121 6.96199e-05 +177 *1537:150 *1776:44 0.000139783 +178 *1537:150 *1776:60 4.62301e-05 +179 *1537:150 *3452:6 6.50984e-05 +180 *1537:150 *3452:21 9.47867e-05 +181 *1537:150 *4849:33 0.000154145 +182 *1537:171 *21548:B1 0.000658435 +183 *1537:171 *22053:B1 3.57218e-06 +184 *1537:171 *22757:A1 0.000150353 +185 *1537:171 *1689:168 0.000109247 +186 *1537:171 *1776:60 5.35941e-05 +187 *1537:171 *2593:14 5.65463e-05 +188 *1537:171 *2604:28 0.00122017 +189 *1537:171 *2662:14 0.00221882 +190 *1537:171 *2779:41 2.05082e-05 +191 *1537:171 *3452:6 7.15882e-06 +192 *1537:171 *3533:66 0.000109247 +193 *1537:171 *3533:79 3.17436e-05 +194 *1537:171 *3750:22 6.09999e-05 +195 *1537:180 *3002:74 0.000767311 +196 *1537:180 *3146:92 5.04829e-06 +197 *1537:180 *3146:116 0.000630381 +198 *1537:208 *19885:A2 2.77419e-05 +199 *1537:208 *19885:B1 6.61624e-05 +200 *1537:208 *1721:88 6.14756e-06 +201 *1537:208 *2680:52 3.84752e-05 +202 *1537:208 *3209:6 0.00013961 +203 *1537:267 *20036:B 0.000200794 +204 *1537:267 *20687:A1 6.46887e-05 +205 *1537:267 *21963:B1 0.000394062 +206 *1537:267 *21968:B1 4.55972e-05 +207 *1537:267 *21988:A 6.08467e-05 +208 *1537:267 *24446:RESET_B 1.91246e-05 +209 *1537:267 *24446:CLK 1.5714e-05 +210 *1537:267 *1757:57 7.92757e-06 +211 *1537:267 *1801:17 0.00295896 +212 *1537:267 *1804:17 0 +213 *1537:267 *2036:44 0.000535148 +214 *1537:267 *2949:32 0.00026994 +215 *1537:267 *3016:7 0.000460113 +216 *1537:267 *5452:11 0.00167166 +217 *1537:267 *5917:577 7.6719e-06 +218 *19803:A *19803:B 0 +219 *19824:A *19824:B 6.08467e-05 +220 *19830:A *19830:B 6.08467e-05 +221 *19836:A *19803:B 0.000154145 +222 *19836:A *19836:B 0.000200794 +223 *19840:A *19840:B 0.000154145 +224 *19852:A *19852:B 0.000107496 +225 *20048:A *1537:12 0.000129056 +226 *1427:165 *19830:B 6.08467e-05 +227 *1435:197 *1537:113 0.000964387 +228 *1435:197 *1537:117 9.88391e-05 +229 *1435:205 *19828:B 9.61086e-05 +230 *1435:205 *1537:150 0.000158357 +231 *1438:134 *19824:B 0.000254551 +232 *1438:134 *19860:B 9.32983e-05 +233 *1438:134 *1537:180 0.0024737 +234 *1442:39 *1537:9 0 +235 *1442:39 *1537:267 0 +236 *1448:52 *1537:267 0.000130174 +237 *1448:213 *1537:267 0.000104269 +238 *1459:129 *1537:101 0.000156946 +239 *1496:111 *1537:47 0.000486227 +240 *1502:129 *1537:12 0.00047281 +241 *1502:155 *1537:12 0.000212459 +242 *1510:117 *1537:71 0.000148875 +*RES +1 *19779:X *1537:9 18.2676 +2 *1537:9 *1537:11 3.36879 +3 *1537:11 *1537:12 72.2172 +4 *1537:12 *19840:B 16.691 +5 *1537:12 *1537:36 49.6499 +6 *1537:36 *1537:42 18.6259 +7 *1537:42 *1537:47 19.137 +8 *1537:47 *19826:B 9.24915 +9 *1537:47 *1537:52 4.05102 +10 *1537:52 *1537:71 38.3824 +11 *1537:71 *19897:B 15.5817 +12 *1537:71 *1537:80 6.81502 +13 *1537:80 *19852:B 15.0271 +14 *1537:80 *1537:101 39.6244 +15 *1537:101 *1537:113 40.2328 +16 *1537:113 *1537:117 28.887 +17 *1537:117 *1537:125 14.4088 +18 *1537:125 *19836:B 13.3243 +19 *1537:125 *19803:B 12.2151 +20 *1537:117 *1537:144 6.12437 +21 *1537:144 *1537:150 13.8804 +22 *1537:150 *19847:B 13.7491 +23 *1537:150 *1537:171 19.8559 +24 *1537:171 *19824:B 12.7697 +25 *1537:171 *1537:180 29.0082 +26 *1537:180 *19780:B 9.82786 +27 *1537:180 *19860:B 14.9881 +28 *1537:144 *19828:B 10.5271 +29 *1537:101 *1537:208 9.31204 +30 *1537:208 *19877:B 28.2077 +31 *1537:208 *19844:B 12.7456 +32 *1537:52 *19838:B 9.24915 +33 *1537:42 *19858:B 34.2221 +34 *1537:9 *1537:267 48.6667 +35 *1537:267 *1537:269 9.24915 +36 *1537:269 *1537:270 57.9449 +37 *1537:270 *1537:272 42.9474 +38 *1537:272 *19830:B 19.7763 +*END + +*D_NET *1538 0.024503 +*CONN +*I *21630:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20673:B I *D sky130_fd_sc_hd__or2_1 +*I *21783:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21481:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22016:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19791:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19780:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21630:A2 0.000728928 +2 *20673:B 0.00060126 +3 *21783:B2 0.000861018 +4 *21481:B2 0 +5 *22016:B2 0.000126972 +6 *19791:A2 0 +7 *19780:X 1.98947e-05 +8 *1538:45 0.00168217 +9 *1538:38 0.000537291 +10 *1538:28 0.000766018 +11 *1538:25 0.00148375 +12 *1538:17 0.000973036 +13 *1538:7 0.0014261 +14 *20673:B *21764:B1 1.66771e-05 +15 *20673:B *2779:14 0.000408254 +16 *20673:B *2956:48 0.000200794 +17 *20673:B *3029:8 1.8411e-05 +18 *20673:B *3128:159 0.000488086 +19 *20673:B *3153:260 1.04747e-05 +20 *21630:A2 *21512:B2 0.000438252 +21 *21630:A2 *21630:A1 7.9371e-05 +22 *21630:A2 *1584:95 5.8353e-05 +23 *21630:A2 *1721:154 0.000307939 +24 *21630:A2 *1771:94 0.000343552 +25 *21630:A2 *1796:10 5.94555e-05 +26 *21630:A2 *2288:24 6.74182e-05 +27 *21630:A2 *2567:41 0.00133115 +28 *21630:A2 *2672:64 0.000193811 +29 *21630:A2 *2674:7 6.98337e-06 +30 *21630:A2 *3688:22 1.66626e-05 +31 *21783:B2 *21783:B1 7.8855e-05 +32 *21783:B2 *21783:C1 5.69429e-05 +33 *21783:B2 *22418:A1 6.42311e-06 +34 *21783:B2 *22813:B1 0.000854128 +35 *21783:B2 *1561:111 5.69128e-05 +36 *21783:B2 *1602:74 0.000102734 +37 *21783:B2 *2117:143 0 +38 *21783:B2 *2780:25 0.000691238 +39 *21783:B2 *2798:11 9.51757e-06 +40 *21783:B2 *2987:50 5.63459e-06 +41 *21783:B2 *3192:211 5.2761e-05 +42 *21783:B2 *3457:20 1.30143e-05 +43 *21783:B2 *3513:143 0 +44 *21783:B2 *3539:157 0.000250254 +45 *22016:B2 *22017:C1 6.3657e-05 +46 *22016:B2 *22048:B1 6.08467e-05 +47 *22016:B2 *3128:155 7.72394e-06 +48 *22016:B2 *3128:159 0.000133663 +49 *22016:B2 *3153:260 0.000165577 +50 *1538:7 *3146:116 6.08467e-05 +51 *1538:17 *19791:B2 0.000170732 +52 *1538:17 *19791:C1 1.58551e-05 +53 *1538:17 *1542:65 7.55603e-05 +54 *1538:17 *1618:18 0.000179233 +55 *1538:17 *1634:70 9.18679e-06 +56 *1538:17 *1641:158 6.54358e-05 +57 *1538:17 *1643:21 0.000357161 +58 *1538:17 *1796:12 0.000612815 +59 *1538:17 *2661:30 6.3609e-05 +60 *1538:17 *3002:82 0.00123849 +61 *1538:17 *3146:116 1.64458e-05 +62 *1538:17 *3523:127 6.70195e-05 +63 *1538:25 *22017:B1 0.000240121 +64 *1538:25 *22047:A2 1.5714e-05 +65 *1538:25 *2661:30 0.000592883 +66 *1538:25 *2778:28 4.26876e-05 +67 *1538:25 *3062:18 0.000105599 +68 *1538:25 *3523:127 0.000103224 +69 *1538:28 *1550:82 0.000491468 +70 *1538:28 *2567:41 0.000211801 +71 *1538:28 *2672:64 0.00120841 +72 *1538:38 *3128:159 0.000484125 +73 *1538:38 *3153:260 0.000470128 +74 *1538:45 *3128:159 0.000464568 +75 *1538:45 *3153:260 0.000462649 +76 *19791:A1 *1538:17 6.08467e-05 +77 *19860:B *1538:7 2.16355e-05 +78 *19860:B *1538:17 0.000107496 +79 *1435:318 *21783:B2 9.91931e-05 +80 *1496:141 *1538:17 5.97411e-05 +81 *1535:22 *1538:17 0.00022884 +82 *1535:31 *1538:17 0.000237552 +*RES +1 *19780:X *1538:7 14.4725 +2 *1538:7 *1538:17 47.1547 +3 *1538:17 *19791:A2 9.24915 +4 *1538:7 *1538:25 17.1286 +5 *1538:25 *1538:28 18.5339 +6 *1538:28 *22016:B2 17.9655 +7 *1538:28 *1538:38 10.137 +8 *1538:38 *21481:B2 13.7491 +9 *1538:38 *1538:45 9.09891 +10 *1538:45 *21783:B2 28.4106 +11 *1538:45 *20673:B 28.8923 +12 *1538:25 *21630:A2 43.3125 +*END + +*D_NET *1539 0.0336321 +*CONN +*I *22209:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19791:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22555:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19781:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22209:B1 0 +2 *19791:B1 0.00163444 +3 *22555:A1 1.47608e-05 +4 *19781:Y 0.0041797 +5 *1539:30 0.00239517 +6 *1539:25 0.000822197 +7 *1539:18 0.00425594 +8 *19791:B1 *19791:B2 3.41459e-05 +9 *19791:B1 *19791:C1 2.61886e-05 +10 *19791:B1 *19810:A2 7.86057e-05 +11 *19791:B1 *21549:A2 0.000230382 +12 *19791:B1 *21808:C 3.77568e-05 +13 *19791:B1 *21832:B1 0.000102058 +14 *19791:B1 *21833:A 9.17209e-05 +15 *19791:B1 *21858:D 0.000305934 +16 *19791:B1 *21901:D 0.000406879 +17 *19791:B1 *1547:114 0.000154145 +18 *19791:B1 *1586:33 0.000397751 +19 *19791:B1 *1647:35 7.99024e-05 +20 *19791:B1 *1720:52 0.000109247 +21 *19791:B1 *1788:102 0.000166304 +22 *19791:B1 *2539:12 8.56161e-05 +23 *19791:B1 *2556:19 0.00100259 +24 *19791:B1 *2805:22 0.000188484 +25 *19791:B1 *2811:26 0.000160495 +26 *19791:B1 *2815:48 3.11366e-05 +27 *19791:B1 *2847:8 0.000149714 +28 *22555:A1 *22555:A2 6.08467e-05 +29 *22555:A1 *2605:29 6.08467e-05 +30 *1539:18 *21658:B2 0.000275362 +31 *1539:18 *22209:B2 4.32797e-05 +32 *1539:18 *22672:A1 0.000441451 +33 *1539:18 *1614:30 3.29488e-05 +34 *1539:18 *1616:71 6.21488e-06 +35 *1539:18 *1625:83 0.000769071 +36 *1539:18 *1649:143 4.43994e-05 +37 *1539:18 *1649:162 2.60521e-06 +38 *1539:18 *2333:60 0.00030449 +39 *1539:18 *2777:60 6.77316e-05 +40 *1539:18 *2791:50 0.00817801 +41 *1539:18 *2919:42 5.60804e-05 +42 *1539:18 *3117:204 0.000117376 +43 *1539:18 *3124:210 0.00022626 +44 *1539:18 *3204:165 0.000157659 +45 *1539:18 *3527:54 6.22732e-06 +46 *1539:18 *3529:39 1.21355e-05 +47 *1539:18 *3613:10 0 +48 *1539:18 *4817:145 0.000280153 +49 *1539:18 *4817:161 0.000114584 +50 *1539:25 *22209:A1 1.42512e-05 +51 *1539:25 *22209:B2 6.3657e-05 +52 *1539:25 *3539:60 1.41976e-05 +53 *1539:25 *4817:161 3.57578e-05 +54 *1539:30 *22209:A1 0.000349336 +55 *1539:30 *1602:30 0.000298399 +56 *1539:30 *1647:35 0.000469169 +57 *1539:30 *2539:12 0.000462341 +58 *1539:30 *3019:23 0.000110306 +59 *1539:30 *3531:63 0.000215964 +60 *1539:30 *3539:60 5.32857e-05 +61 *1539:30 *3539:70 1.54577e-05 +62 *1539:30 *4850:17 0.000316256 +63 *324:27 *19791:B1 1.82146e-05 +64 *490:114 *1539:18 0.000303798 +65 *537:29 *1539:18 0.000179291 +66 *1418:63 *1539:18 1.91246e-05 +67 *1450:176 *1539:18 0.00229431 +*RES +1 *19781:Y *1539:18 45.5966 +2 *1539:18 *1539:25 6.93543 +3 *1539:25 *1539:30 29.0862 +4 *1539:30 *22555:A1 14.4725 +5 *1539:30 *19791:B1 45.3058 +6 *1539:25 *22209:B1 9.24915 +*END + +*D_NET *1540 0.00045638 +*CONN +*I *19783:A I *D sky130_fd_sc_hd__buf_8 +*I *19782:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19783:A 6.48663e-05 +2 *19782:X 6.48663e-05 +3 *19587:B *19783:A 6.08467e-05 +4 *19782:B *19783:A 0.000122083 +5 *1461:16 *19783:A 0.000122083 +6 *1522:13 *19783:A 2.16355e-05 +*RES +1 *19782:X *19783:A 30.4689 +*END + +*D_NET *1541 0.0877454 +*CONN +*I *19883:B I *D sky130_fd_sc_hd__or2_4 +*I *19893:B I *D sky130_fd_sc_hd__or2_4 +*I *19806:B I *D sky130_fd_sc_hd__or2_4 +*I *19887:B I *D sky130_fd_sc_hd__or2_4 +*I *19902:B I *D sky130_fd_sc_hd__or2_4 +*I *19812:B I *D sky130_fd_sc_hd__or2_4 +*I *19808:B I *D sky130_fd_sc_hd__or2_4 +*I *19834:B I *D sky130_fd_sc_hd__or2_4 +*I *19891:B I *D sky130_fd_sc_hd__or2_4 +*I *19784:B I *D sky130_fd_sc_hd__or2_4 +*I *19817:B I *D sky130_fd_sc_hd__or2_4 +*I *19795:B I *D sky130_fd_sc_hd__or2_4 +*I *19819:B I *D sky130_fd_sc_hd__or2_4 +*I *19787:B I *D sky130_fd_sc_hd__or2_4 +*I *19960:B I *D sky130_fd_sc_hd__or2_4 +*I *19879:B I *D sky130_fd_sc_hd__or2_4 +*I *19783:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *19883:B 0 +2 *19893:B 0.000125786 +3 *19806:B 0.000209303 +4 *19887:B 0 +5 *19902:B 0 +6 *19812:B 7.16506e-05 +7 *19808:B 0.00213141 +8 *19834:B 7.15922e-05 +9 *19891:B 0.000288427 +10 *19784:B 0 +11 *19817:B 0.00165546 +12 *19795:B 0.000557338 +13 *19819:B 7.76933e-05 +14 *19787:B 0.000774791 +15 *19960:B 0 +16 *19879:B 0 +17 *19783:X 0.00071498 +18 *1541:179 0.000378191 +19 *1541:173 0.000604813 +20 *1541:169 0.00447076 +21 *1541:150 0.00353272 +22 *1541:145 0.000469192 +23 *1541:142 0.00202683 +24 *1541:139 0.00179385 +25 *1541:136 0.00116663 +26 *1541:128 0.00281608 +27 *1541:115 0.00189452 +28 *1541:108 0.000359982 +29 *1541:91 0.00119583 +30 *1541:90 0.00116233 +31 *1541:69 0.00122409 +32 *1541:41 0.000814045 +33 *1541:38 0.00124352 +34 *1541:29 0.000782004 +35 *1541:23 0.000995367 +36 *1541:15 0.00247504 +37 *1541:10 0.00232353 +38 *19787:B *19787:A 6.50586e-05 +39 *19787:B *21744:A 0.000279354 +40 *19787:B *21744:B 0.000136179 +41 *19787:B *1717:5 0.000158451 +42 *19787:B *1730:43 0.000191623 +43 *19787:B *2338:14 0.000227445 +44 *19787:B *2338:41 0 +45 *19787:B *2744:23 0.000544589 +46 *19787:B *2751:8 1.73781e-05 +47 *19787:B *2757:8 7.94607e-05 +48 *19787:B *2761:6 9.9928e-06 +49 *19787:B *3063:29 0.000127199 +50 *19787:B *3208:9 0.000491373 +51 *19787:B *4819:72 1.54795e-05 +52 *19795:B *1678:37 0.000251669 +53 *19795:B *2697:65 9.96375e-05 +54 *19795:B *2718:8 3.58208e-05 +55 *19795:B *2999:38 0.00026031 +56 *19806:B *1563:72 6.50727e-05 +57 *19806:B *1678:37 0.000210197 +58 *19806:B *1678:69 7.08723e-06 +59 *19806:B *2895:21 6.50727e-05 +60 *19808:B *21851:A1 8.05753e-05 +61 *19808:B *22481:A1 0 +62 *19808:B *22491:A 0.000252792 +63 *19808:B *1561:50 0.000153427 +64 *19808:B *1625:83 0.000608354 +65 *19808:B *1668:85 1.70059e-05 +66 *19808:B *1708:70 0.000805514 +67 *19808:B *1716:45 0.00240437 +68 *19808:B *2750:56 9.84424e-06 +69 *19808:B *2791:50 0.00027325 +70 *19808:B *2812:18 0.00160995 +71 *19808:B *3190:55 0.000311364 +72 *19808:B *3461:24 8.53582e-05 +73 *19808:B *3539:70 0.000485701 +74 *19808:B *3688:22 5.51746e-06 +75 *19812:B *20486:A1 0.000353561 +76 *19812:B *5681:7 5.37205e-05 +77 *19817:B *21777:A1 2.95062e-05 +78 *19817:B *21825:A1 0.000607134 +79 *19817:B *1569:71 0.000932508 +80 *19817:B *1587:121 0.00035464 +81 *19817:B *1689:88 1.13978e-06 +82 *19817:B *1772:22 0.00087643 +83 *19817:B *2753:21 3.77034e-05 +84 *19817:B *3131:41 0.000450399 +85 *19819:B *19980:C1 6.50727e-05 +86 *19819:B *1685:26 5.04829e-06 +87 *19819:B *1716:68 7.92757e-06 +88 *19834:B *1542:19 3.31745e-05 +89 *19891:B *21624:B1 0.000533582 +90 *19891:B *1636:108 0.000133006 +91 *19893:B *2466:29 0.000107496 +92 *1541:10 *1762:13 0.000159643 +93 *1541:10 *2994:12 0.000187498 +94 *1541:15 *24982:A 3.82228e-05 +95 *1541:15 *1641:9 7.13972e-05 +96 *1541:15 *1671:17 0.000307578 +97 *1541:15 *2976:18 0.000253916 +98 *1541:15 *4921:7 6.08467e-05 +99 *1541:23 *1640:12 2.04806e-05 +100 *1541:23 *1641:26 7.14746e-05 +101 *1541:38 *1588:14 0.000158371 +102 *1541:38 *1704:6 0.000187299 +103 *1541:38 *1769:6 0.000728466 +104 *1541:38 *2858:27 0.000178726 +105 *1541:38 *4821:41 0.000730007 +106 *1541:41 *19981:B 2.16355e-05 +107 *1541:41 *1717:5 0.000107496 +108 *1541:41 *2338:14 3.99086e-06 +109 *1541:69 *19962:A1 0.00100687 +110 *1541:69 *21472:B1 0.000424826 +111 *1541:69 *21938:A2 0.000718456 +112 *1541:69 *1563:72 1.14636e-05 +113 *1541:69 *1639:69 1.47773e-05 +114 *1541:69 *1641:26 1.02264e-05 +115 *1541:69 *1677:108 1.31737e-05 +116 *1541:69 *1685:26 0.000370759 +117 *1541:69 *1706:118 1.16562e-05 +118 *1541:69 *2519:33 5.57889e-05 +119 *1541:69 *2960:16 4.69495e-06 +120 *1541:90 *19929:A2 0 +121 *1541:90 *21665:B2 7.77744e-05 +122 *1541:90 *1563:72 0.0004091 +123 *1541:90 *1577:13 5.52521e-05 +124 *1541:90 *1639:69 2.81881e-05 +125 *1541:90 *1639:85 1.48503e-05 +126 *1541:90 *1685:26 1.26168e-05 +127 *1541:91 *1678:37 0.000666113 +128 *1541:108 *1660:13 2.95757e-05 +129 *1541:108 *1732:92 3.53738e-05 +130 *1541:108 *2864:93 7.90714e-05 +131 *1541:108 *2959:48 1.81846e-05 +132 *1541:108 *2979:42 0.000186445 +133 *1541:115 *19902:A 5.23473e-05 +134 *1541:115 *1660:13 0.000203595 +135 *1541:115 *2864:93 9.84502e-05 +136 *1541:115 *2915:8 0.000156561 +137 *1541:128 *19902:A 0.000122378 +138 *1541:128 *20612:A2 5.4678e-05 +139 *1541:128 *22429:C1 0.000771026 +140 *1541:128 *22432:A1 0.000101472 +141 *1541:128 *22437:A1 0.00120621 +142 *1541:128 *22468:A2 0.00027103 +143 *1541:128 *22476:A1 0.000160176 +144 *1541:128 *1697:52 0.00151057 +145 *1541:128 *1730:75 0.000229589 +146 *1541:128 *1733:14 9.55496e-05 +147 *1541:128 *2514:45 4.91323e-05 +148 *1541:128 *2713:27 3.52699e-05 +149 *1541:128 *2864:93 2.18145e-05 +150 *1541:128 *2915:8 0.000349163 +151 *1541:128 *2974:34 0.000213594 +152 *1541:128 *3148:253 0.000159672 +153 *1541:128 *3177:183 3.3239e-06 +154 *1541:128 *3177:192 1.25575e-05 +155 *1541:128 *3421:17 1.48603e-05 +156 *1541:128 *3463:12 0 +157 *1541:136 *20635:A 0 +158 *1541:136 *1706:54 0.00110438 +159 *1541:136 *1732:81 7.39264e-05 +160 *1541:136 *1756:67 0.00130025 +161 *1541:136 *1794:25 0 +162 *1541:136 *2713:27 7.70388e-05 +163 *1541:136 *2922:54 1.71787e-05 +164 *1541:136 *3208:77 0.000780981 +165 *1541:136 *3671:76 0 +166 *1541:139 *21571:A1 0.000318331 +167 *1541:139 *2285:19 0.000315549 +168 *1541:142 *20421:A1 0.000162873 +169 *1541:142 *20490:B2 0 +170 *1541:142 *21677:A2 1.84334e-05 +171 *1541:142 *1762:63 0 +172 *1541:142 *1794:25 0.000264005 +173 *1541:142 *2571:15 1.7182e-05 +174 *1541:142 *2709:37 0.000369097 +175 *1541:142 *2710:29 0.00031151 +176 *1541:142 *2710:36 0.000528984 +177 *1541:142 *2713:27 0 +178 *1541:142 *4927:6 0 +179 *1541:142 *4927:11 0 +180 *1541:142 *4927:103 0 +181 *1541:142 *4927:123 0 +182 *1541:142 *5682:16 0 +183 *1541:142 *5869:588 2.7645e-05 +184 *1541:145 *20486:A1 0.00019786 +185 *1541:145 *20486:B1 0.000258349 +186 *1541:145 *20486:B2 6.08467e-05 +187 *1541:145 *5681:7 0.00102386 +188 *1541:150 *3004:16 0.000277453 +189 *1541:150 *3388:14 0.000287362 +190 *1541:169 *22473:B1 5.43681e-05 +191 *1541:169 *1570:15 9.03991e-06 +192 *1541:169 *1603:48 0.000363737 +193 *1541:169 *1772:22 0.00027858 +194 *1541:169 *2753:21 0.000446752 +195 *1541:169 *3148:226 1.98642e-05 +196 *1541:169 *3168:78 6.23101e-05 +197 *1541:169 *3175:71 0.000713425 +198 *1541:169 *3175:219 0.00162684 +199 *1541:169 *3427:28 0.000494737 +200 *1541:169 *3734:18 0.000511407 +201 *1541:173 *1542:19 1.65872e-05 +202 *1541:173 *2523:65 0.00125786 +203 *1541:173 *2667:122 9.83088e-05 +204 *1541:173 *2708:44 0.000632041 +205 *1541:173 *3190:55 0.000137189 +206 *1541:179 *1542:19 2.16355e-05 +207 *19706:B *1541:15 0.000158371 +208 *19795:A *19795:B 0.000344954 +209 *19819:A *19819:B 1.47978e-05 +210 *19834:A *19834:B 0.000284201 +211 *19834:A *1541:173 6.08467e-05 +212 *19834:A *1541:179 4.66492e-05 +213 *19852:A *19834:B 0.000205101 +214 *19852:A *19891:B 3.88655e-06 +215 *19879:A *1541:23 0.000245944 +216 *19879:A *1541:29 0.00011818 +217 *19891:A *19891:B 0.000721052 +218 *19893:A *19893:B 0.000353672 +219 *19893:A *1541:23 7.46416e-05 +220 *19893:A *1541:29 0.000168314 +221 *19913:A *1541:15 0.000288474 +222 *19913:B *1541:15 2.16355e-05 +223 *19973:B *19795:B 8.62625e-06 +224 *21665:B1 *1541:90 0.000209326 +225 *24498:D *1541:136 0.000136838 +226 *24501:D *1541:142 0 +227 *74:36 *1541:136 5.83078e-05 +228 *74:36 *1541:142 0 +229 *476:18 *1541:10 0.0001839 +230 *476:46 *1541:23 0.000122098 +231 *1424:5 *1541:15 0.000136277 +232 *1435:45 *1541:38 0.000154145 +233 *1442:97 *19817:B 3.77699e-05 +234 *1449:59 *19806:B 8.11083e-05 +235 *1454:46 *1541:128 1.38817e-05 +236 *1459:110 *19891:B 0.000111479 +237 *1461:275 *19808:B 0.00021508 +238 *1461:275 *1541:173 0.000133663 +239 *1496:75 *1541:69 0.000360744 +240 *1496:75 *1541:90 0.000132548 +241 *1496:127 *1541:173 0.000534446 +242 *1501:76 *19812:B 0.00020502 +243 *1510:85 *1541:108 0.000190042 +244 *1522:13 *1541:10 0.000205006 +*RES +1 *19783:X *1541:10 35.776 +2 *1541:10 *1541:15 35.7117 +3 *1541:15 *1541:23 23.6414 +4 *1541:23 *19879:B 9.24915 +5 *1541:23 *1541:29 3.49641 +6 *1541:29 *1541:38 33.5304 +7 *1541:38 *1541:41 5.778 +8 *1541:41 *19960:B 9.24915 +9 *1541:41 *19787:B 43.9107 +10 *1541:38 *1541:69 18.3232 +11 *1541:69 *19819:B 15.7841 +12 *1541:69 *1541:90 27.0257 +13 *1541:90 *1541:91 7.93324 +14 *1541:91 *19795:B 31.5052 +15 *1541:91 *1541:108 14.9845 +16 *1541:108 *1541:115 7.59566 +17 *1541:115 *1541:128 48.6037 +18 *1541:128 *1541:136 49.1614 +19 *1541:136 *1541:139 7.99641 +20 *1541:139 *1541:142 47.442 +21 *1541:142 *1541:145 12.3942 +22 *1541:145 *1541:150 13.2304 +23 *1541:150 *19817:B 23.8111 +24 *1541:150 *1541:169 8.39053 +25 *1541:169 *1541:173 26.95 +26 *1541:173 *19784:B 9.24915 +27 *1541:173 *1541:179 0.723396 +28 *1541:179 *19891:B 29.9541 +29 *1541:179 *19834:B 12.7456 +30 *1541:169 *19808:B 39.8475 +31 *1541:145 *19812:B 13.3002 +32 *1541:115 *19902:B 9.24915 +33 *1541:108 *19887:B 9.24915 +34 *1541:90 *19806:B 23.1917 +35 *1541:29 *19893:B 13.3002 +36 *1541:15 *19883:B 9.24915 +*END + +*D_NET *1542 0.0640019 +*CONN +*I *21022:B I *D sky130_fd_sc_hd__or2_1 +*I *21346:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21921:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21455:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21617:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21241:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21422:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21875:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19791:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19784:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21022:B 0 +2 *21346:A2 0 +3 *21921:A2 0.000313141 +4 *21455:B2 5.88183e-05 +5 *21617:B2 8.09708e-05 +6 *21241:B2 0.000183196 +7 *21422:B2 0 +8 *21875:B2 2.0864e-05 +9 *19791:B2 0.000146892 +10 *19784:X 0 +11 *1542:201 0.00178722 +12 *1542:174 0.00330233 +13 *1542:150 0.0032094 +14 *1542:127 0.00304723 +15 *1542:126 0.0016203 +16 *1542:101 0.00125158 +17 *1542:89 0.00306641 +18 *1542:86 0.00217023 +19 *1542:65 0.002968 +20 *1542:40 0.00326502 +21 *1542:34 0.00169321 +22 *1542:28 0.00106459 +23 *1542:26 5.07295e-05 +24 *1542:25 0.000449694 +25 *1542:19 0.00113996 +26 *1542:4 0.000754037 +27 *19791:B2 *19791:C1 3.99086e-06 +28 *19791:B2 *1547:114 0.000154145 +29 *21241:B2 *21241:A2 3.41459e-05 +30 *21241:B2 *21241:B1 0.000221661 +31 *21241:B2 *21242:C1 6.36477e-05 +32 *21241:B2 *22343:A2 1.1718e-05 +33 *21241:B2 *22398:C1 0.000161262 +34 *21241:B2 *2477:34 2.59443e-05 +35 *21241:B2 *3342:12 7.86837e-05 +36 *21455:B2 *2506:10 0.000114664 +37 *21455:B2 *2999:65 0.000111726 +38 *21617:B2 *21617:C1 0.000105515 +39 *21617:B2 *1586:11 0.000234955 +40 *21617:B2 *1586:33 1.41307e-05 +41 *21875:B2 *1620:60 9.95922e-06 +42 *21921:A2 *21921:A1 9.50386e-05 +43 *21921:A2 *21921:B2 2.41274e-06 +44 *21921:A2 *21994:B 6.98337e-06 +45 *21921:A2 *22747:B1 4.31603e-06 +46 *1542:19 *21467:A2 0.000385414 +47 *1542:19 *21696:A1 4.87439e-05 +48 *1542:19 *1762:119 1.5714e-05 +49 *1542:19 *1771:60 7.5909e-06 +50 *1542:19 *1772:71 6.99863e-05 +51 *1542:19 *2649:64 0.000107496 +52 *1542:19 *2667:122 0.000688799 +53 *1542:19 *3719:10 0.00028042 +54 *1542:25 *21467:A2 0.000178777 +55 *1542:25 *1586:11 5.88594e-05 +56 *1542:25 *1772:71 0.000214644 +57 *1542:25 *1773:76 0.000296019 +58 *1542:34 *21617:C1 0.000105509 +59 *1542:34 *21776:B1 0.000135973 +60 *1542:34 *21858:A 0.000413829 +61 *1542:34 *22784:A2 1.6457e-05 +62 *1542:34 *1586:11 0.000180414 +63 *1542:34 *1771:83 0.00135023 +64 *1542:34 *2791:50 1.91246e-05 +65 *1542:34 *3493:101 0.000555266 +66 *1542:34 *5456:29 1.91753e-05 +67 *1542:40 *3209:6 0.000230081 +68 *1542:40 *3474:130 0.000828647 +69 *1542:65 *19790:A2 4.679e-05 +70 *1542:65 *19801:A1 0.000363401 +71 *1542:65 *21874:A1 3.96379e-05 +72 *1542:65 *21874:B1 9.95542e-06 +73 *1542:65 *21874:B2 7.15838e-05 +74 *1542:65 *21875:C1 1.09231e-05 +75 *1542:65 *21876:C 0.000203604 +76 *1542:65 *22236:A2 0.000158371 +77 *1542:65 *22237:A1 3.16065e-06 +78 *1542:65 *22237:C1 0.000271882 +79 *1542:65 *22529:A1 0.000620108 +80 *1542:65 *22529:A2 7.10483e-06 +81 *1542:65 *22598:A 6.08467e-05 +82 *1542:65 *1552:81 0.000314731 +83 *1542:65 *1559:21 6.36477e-05 +84 *1542:65 *1620:60 8.97566e-06 +85 *1542:65 *3476:154 0.000159806 +86 *1542:86 *21236:A 5.88657e-05 +87 *1542:86 *21876:C 0.000239048 +88 *1542:86 *22619:B2 0.000207266 +89 *1542:86 *1558:42 0.000362569 +90 *1542:86 *1620:35 0.000302705 +91 *1542:86 *1620:60 7.74853e-06 +92 *1542:86 *2286:63 0.000707467 +93 *1542:86 *2857:21 0.000107496 +94 *1542:86 *2857:32 6.79868e-05 +95 *1542:86 *3144:158 8.08437e-05 +96 *1542:86 *3327:8 8.42542e-05 +97 *1542:86 *3566:11 0.000212333 +98 *1542:89 *21273:B1 0.000335633 +99 *1542:89 *3466:185 2.77625e-06 +100 *1542:89 *3474:195 0.000357094 +101 *1542:89 *3476:184 0.000629249 +102 *1542:89 *3543:50 0.000775575 +103 *1542:101 *21422:A2 4.75845e-05 +104 *1542:101 *21422:B1 0.000252202 +105 *1542:101 *21423:C1 0.000164933 +106 *1542:101 *21424:D 2.07503e-05 +107 *1542:101 *22715:C1 0.000288972 +108 *1542:101 *2304:65 8.84648e-05 +109 *1542:101 *2307:30 0.000107503 +110 *1542:101 *2463:11 2.77419e-05 +111 *1542:101 *2477:34 8.3418e-05 +112 *1542:101 *2908:29 0.00029676 +113 *1542:101 *3142:211 0.000316857 +114 *1542:101 *3185:184 0.000234061 +115 *1542:101 *3196:163 1.5714e-05 +116 *1542:101 *3342:12 0.000677664 +117 *1542:126 *2667:122 6.08467e-05 +118 *1542:127 *21469:B 6.22259e-05 +119 *1542:127 *21518:C1 0.000109025 +120 *1542:127 *1642:46 0.000752142 +121 *1542:127 *1778:10 0.000469451 +122 *1542:127 *1800:14 0.000110505 +123 *1542:127 *1800:33 0.00102372 +124 *1542:127 *2506:10 0.000513019 +125 *1542:127 *2999:65 4.2266e-05 +126 *1542:127 *3406:14 0.000254039 +127 *1542:127 *3519:93 0.000207272 +128 *1542:127 *3668:18 9.52394e-05 +129 *1542:150 *21219:C1 4.79321e-06 +130 *1542:150 *22746:B2 0.000449853 +131 *1542:150 *22747:C1 0.000347849 +132 *1542:150 *2641:68 0.000154145 +133 *1542:150 *2667:93 5.88009e-05 +134 *1542:150 *2999:65 0.000798341 +135 *1542:150 *3001:22 5.88009e-05 +136 *1542:174 *21921:A1 0.000747427 +137 *1542:174 *21994:B 0.000249969 +138 *1542:174 *1788:32 3.27606e-06 +139 *1542:174 *2381:38 2.02035e-05 +140 *1542:174 *2403:17 5.39635e-06 +141 *1542:174 *2407:41 0.000376951 +142 *1542:174 *2577:58 0.000104017 +143 *1542:174 *2610:83 0.000701383 +144 *1542:174 *2637:29 4.15661e-05 +145 *1542:174 *3166:15 0.00117107 +146 *1542:174 *3485:104 0.000163249 +147 *1542:174 *5475:83 0.000107289 +148 *1542:201 *21321:B1 0.000396932 +149 *1542:201 *21346:B2 3.25035e-05 +150 *1542:201 *22562:A 1.91391e-05 +151 *1542:201 *22573:C 5.04829e-06 +152 *1542:201 *2089:53 1.5714e-05 +153 *1542:201 *2282:88 5.57927e-05 +154 *1542:201 *2403:7 0.000114622 +155 *1542:201 *2403:9 0.000916352 +156 *1542:201 *2404:101 5.60479e-05 +157 *1542:201 *2610:83 0.0016161 +158 *1542:201 *3117:37 0.000180423 +159 *1542:201 *3507:19 0.000112924 +160 *1542:201 *3509:24 1.49935e-05 +161 *1542:201 *4827:32 5.46889e-05 +162 *19791:B1 *19791:B2 3.41459e-05 +163 *19834:B *1542:19 3.31745e-05 +164 *19838:A *1542:127 0.000142523 +165 *19852:A *1542:19 0.000111802 +166 *19852:A *1542:126 4.66492e-05 +167 *24910:A *1542:25 8.94611e-05 +168 *490:114 *1542:101 0.000435065 +169 *1454:104 *1542:34 1.45891e-05 +170 *1496:127 *1542:19 0.000391697 +171 *1502:193 *1542:127 0.000149783 +172 *1535:31 *1542:65 7.5301e-06 +173 *1535:51 *1542:40 0.000237867 +174 *1535:54 *1542:25 5.97866e-05 +175 *1535:56 *1542:19 0.000225925 +176 *1538:17 *19791:B2 0.000170732 +177 *1538:17 *1542:65 7.55603e-05 +178 *1541:173 *1542:19 1.65872e-05 +179 *1541:179 *1542:19 2.16355e-05 +*RES +1 *19784:X *1542:4 9.24915 +2 *1542:4 *1542:19 45.3735 +3 *1542:19 *1542:25 24.6096 +4 *1542:25 *1542:26 57.9449 +5 *1542:26 *1542:28 9.24915 +6 *1542:28 *1542:34 40.4893 +7 *1542:34 *1542:40 23.3358 +8 *1542:40 *19791:B2 13.5172 +9 *1542:40 *1542:65 43.7172 +10 *1542:65 *21875:B2 9.82786 +11 *1542:65 *1542:86 29.1339 +12 *1542:86 *1542:89 30.7352 +13 *1542:89 *1542:101 43.4937 +14 *1542:101 *21422:B2 9.24915 +15 *1542:89 *21241:B2 20.0662 +16 *1542:28 *21617:B2 12.191 +17 *1542:4 *1542:126 5.2234 +18 *1542:126 *1542:127 47.925 +19 *1542:127 *21455:B2 15.9964 +20 *1542:127 *1542:150 39.3193 +21 *1542:150 *21921:A2 14.2888 +22 *1542:150 *1542:174 47.1366 +23 *1542:174 *21346:A2 9.24915 +24 *1542:174 *1542:201 40.9377 +25 *1542:201 *21022:B 9.24915 +*END + +*D_NET *1543 0.036591 +*CONN +*I *19790:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22230:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22596:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19785:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19790:A1 0.000170102 +2 *22230:B1 0.000310926 +3 *22596:A1 3.73941e-05 +4 *19785:Y 0.00166904 +5 *1543:40 0.0015658 +6 *1543:39 0.00177112 +7 *1543:29 0.00289323 +8 *1543:17 0.00330676 +9 *1543:15 0.00154279 +10 *1543:11 0.00207457 +11 *19790:A1 *19790:A2 0.000110306 +12 *19790:A1 *21874:B2 0.000110306 +13 *19790:A1 *22237:B2 9.12416e-06 +14 *19790:A1 *22269:A1 5.01835e-05 +15 *19790:A1 *1602:37 7.54986e-05 +16 *19790:A1 *2539:12 2.03404e-05 +17 *22230:B1 *22231:B1 0.000133947 +18 *22230:B1 *22231:B2 0.000158451 +19 *22230:B1 *22231:C1 5.33711e-05 +20 *22230:B1 *22576:C1 2.44118e-05 +21 *22230:B1 *1790:130 0.000126851 +22 *22230:B1 *3153:225 0.000523163 +23 *22596:A1 *22566:B2 0.000154145 +24 *22596:A1 *3537:164 0.000154145 +25 *1543:11 *20942:B1 0.000814547 +26 *1543:11 *5417:30 7.50722e-05 +27 *1543:11 *5855:244 7.50722e-05 +28 *1543:15 *20941:B2 2.16355e-05 +29 *1543:15 *20942:B1 1.55025e-05 +30 *1543:15 *2155:37 4.79321e-06 +31 *1543:15 *2156:23 3.55208e-05 +32 *1543:15 *2301:57 0.000739784 +33 *1543:15 *2319:55 0.00108986 +34 *1543:15 *2326:16 0.000253916 +35 *1543:15 *3020:21 1.5613e-05 +36 *1543:17 *22353:A1 0.00043685 +37 *1543:17 *22353:B2 0.000184953 +38 *1543:17 *22354:C1 0.000158371 +39 *1543:17 *1635:47 0.000627128 +40 *1543:17 *1635:69 0.0018484 +41 *1543:17 *2326:16 0.00113466 +42 *1543:17 *3020:21 9.79047e-05 +43 *1543:17 *3020:26 2.40505e-05 +44 *1543:17 *3126:47 0.00171973 +45 *1543:17 *3126:51 9.21845e-05 +46 *1543:17 *3198:190 0.000556392 +47 *1543:17 *3206:193 0.000107101 +48 *1543:17 *3348:27 0.000154145 +49 *1543:29 *19801:A2 0.00129435 +50 *1543:29 *21869:A1 1.5714e-05 +51 *1543:29 *2613:48 3.29488e-05 +52 *1543:29 *3126:82 1.65872e-05 +53 *1543:29 *3198:197 0.000349354 +54 *1543:29 *3206:197 2.77625e-06 +55 *1543:29 *3206:207 0.00182983 +56 *1543:29 *4848:30 3.74542e-05 +57 *1543:29 *5458:10 1.5714e-05 +58 *1543:29 *5458:13 0.00131208 +59 *1543:39 *22270:B2 0.000202947 +60 *1543:39 *1564:134 0.000202947 +61 *1543:39 *1576:86 1.76282e-05 +62 *1543:39 *1716:35 0.00038709 +63 *1543:39 *1720:20 0.00036249 +64 *1543:39 *1720:36 6.3657e-05 +65 *1543:39 *3206:207 0.000205006 +66 *1543:39 *3739:8 7.01586e-06 +67 *1543:40 *19841:B2 5.80112e-05 +68 *1543:40 *21759:A1 0 +69 *1543:40 *21759:C1 0.000187084 +70 *1543:40 *22054:C1 1.91246e-05 +71 *1543:40 *22231:B1 0.000123779 +72 *1543:40 *22239:A1 0.000123496 +73 *1543:40 *22565:A2 0.000204361 +74 *1543:40 *22627:C1 0.00011445 +75 *1543:40 *1598:85 0.000415081 +76 *1543:40 *1598:133 0.000429805 +77 *1543:40 *1602:45 3.09665e-05 +78 *1543:40 *1720:20 0.00010747 +79 *1543:40 *1790:130 0.000287734 +80 *1543:40 *2117:143 1.27831e-06 +81 *1543:40 *2900:140 5.41227e-05 +82 *1543:40 *3739:8 0.000730159 +83 *1543:40 *4942:22 1.93135e-05 +*RES +1 *19785:Y *1543:11 44.816 +2 *1543:11 *1543:15 16.831 +3 *1543:15 *1543:17 51.7469 +4 *1543:17 *1543:29 45.1073 +5 *1543:29 *1543:39 23.8363 +6 *1543:39 *1543:40 36.0903 +7 *1543:40 *22596:A1 15.5817 +8 *1543:40 *22230:B1 24.2538 +9 *1543:29 *19790:A1 22.9224 +*END + +*D_NET *1544 0.0583659 +*CONN +*I *19855:B I *D sky130_fd_sc_hd__or2_1 +*I *19877:A I *D sky130_fd_sc_hd__or2_4 +*I *20021:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *20044:A I *D sky130_fd_sc_hd__or2_4 +*I *19787:A I *D sky130_fd_sc_hd__or2_4 +*I *19934:B I *D sky130_fd_sc_hd__or2_4 +*I *19943:B I *D sky130_fd_sc_hd__or2_1 +*I *19786:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19855:B 0.000599953 +2 *19877:A 4.35439e-05 +3 *20021:A2 0.00100496 +4 *20044:A 0 +5 *19787:A 1.2064e-05 +6 *19934:B 0 +7 *19943:B 0.000544905 +8 *19786:X 4.51842e-05 +9 *1544:151 0.0020856 +10 *1544:133 0.0024499 +11 *1544:108 0.00392337 +12 *1544:98 0.00273721 +13 *1544:76 0.0021478 +14 *1544:54 0.00143543 +15 *1544:51 0.00205948 +16 *1544:43 0.00313656 +17 *1544:13 0.000852501 +18 *1544:5 0.00144495 +19 *19787:A *1730:43 6.08467e-05 +20 *19855:B *21599:C1 1.91391e-05 +21 *19855:B *22005:A1 2.94405e-05 +22 *19855:B *1596:14 1.61821e-05 +23 *19855:B *1642:39 5.60804e-05 +24 *19855:B *1807:20 5.60804e-05 +25 *19855:B *2572:67 0.00011585 +26 *19855:B *3521:41 0.000105737 +27 *19855:B *3719:26 7.65608e-05 +28 *19877:A *19885:A2 0.000154145 +29 *19877:A *1561:154 3.31745e-05 +30 *19943:B *19936:A2 0.000115924 +31 *19943:B *19945:A2 0.000299044 +32 *19943:B *1691:17 6.79599e-05 +33 *19943:B *1755:5 4.76794e-05 +34 *19943:B *1755:15 0.000392284 +35 *19943:B *2442:17 2.16355e-05 +36 *19943:B *2442:22 7.67734e-06 +37 *19943:B *3003:12 0.000188981 +38 *20021:A2 *20018:A 5.481e-05 +39 *20021:A2 *20021:A3 0.000247443 +40 *20021:A2 *20021:B1 8.19046e-05 +41 *20021:A2 *22487:B 3.26905e-05 +42 *20021:A2 *22794:A2 0.000205006 +43 *20021:A2 *22794:B1 2.36818e-05 +44 *20021:A2 *22795:C1 0.000354312 +45 *20021:A2 *1631:34 0.0013402 +46 *20021:A2 *2660:94 0.000122865 +47 *20021:A2 *2660:97 6.36477e-05 +48 *20021:A2 *2672:54 0.000117173 +49 *20021:A2 *3155:107 7.01586e-06 +50 *20021:A2 *3166:82 0.000138994 +51 *20021:A2 *5454:15 0.00135027 +52 *1544:13 *1641:10 0.000152214 +53 *1544:13 *1740:14 0.000114764 +54 *1544:13 *2003:48 0.000371805 +55 *1544:13 *2274:22 0.000317707 +56 *1544:43 *21383:B1 0.000604943 +57 *1544:43 *1689:35 0.000124392 +58 *1544:43 *1698:38 6.50727e-05 +59 *1544:43 *1758:11 0.000108383 +60 *1544:51 *19929:B2 0.000491034 +61 *1544:51 *19956:A1 0.00011793 +62 *1544:51 *19956:B2 1.89527e-06 +63 *1544:51 *19962:A2 4.61004e-06 +64 *1544:51 *19980:B1 1.87593e-05 +65 *1544:51 *19981:C 0.000135192 +66 *1544:51 *20015:B 0.00025585 +67 *1544:51 *21472:B1 7.72394e-06 +68 *1544:51 *22728:B1 0.000195584 +69 *1544:51 *1588:14 6.84248e-05 +70 *1544:51 *1671:17 7.08723e-06 +71 *1544:51 *1677:108 5.60269e-05 +72 *1544:51 *1680:8 4.05896e-05 +73 *1544:51 *1698:6 4.31485e-06 +74 *1544:51 *1698:38 5.74984e-05 +75 *1544:51 *1711:87 0.000140882 +76 *1544:51 *1729:80 0.000611474 +77 *1544:51 *1790:19 0.000551807 +78 *1544:51 *2429:25 5.18861e-05 +79 *1544:51 *2936:22 0 +80 *1544:51 *4854:16 0.000331807 +81 *1544:54 *2586:59 1.5714e-05 +82 *1544:54 *2740:27 1.5714e-05 +83 *1544:76 *19981:C 8.98668e-05 +84 *1544:76 *21598:B1 0.000375111 +85 *1544:76 *22458:B1 0 +86 *1544:76 *22767:A1 1.5714e-05 +87 *1544:76 *22769:C1 2.83631e-05 +88 *1544:76 *1545:11 0.000141562 +89 *1544:76 *1639:85 1.37563e-05 +90 *1544:76 *1730:20 0.00025643 +91 *1544:76 *1735:91 4.00811e-05 +92 *1544:76 *2586:61 2.10569e-05 +93 *1544:76 *2652:14 0.000203595 +94 *1544:76 *2740:27 0.000199244 +95 *1544:76 *2858:34 7.17285e-05 +96 *1544:76 *2865:32 3.95516e-05 +97 *1544:76 *3162:27 0.000111802 +98 *1544:76 *3498:44 0 +99 *1544:76 *3500:65 0.000141639 +100 *1544:76 *3702:20 1.54479e-05 +101 *1544:76 *3704:8 0.000131599 +102 *1544:98 *22731:A1 0.000110297 +103 *1544:98 *22743:D 1.92974e-05 +104 *1544:98 *22769:B1 3.63593e-05 +105 *1544:98 *2865:32 0.000496556 +106 *1544:98 *3005:64 3.82228e-05 +107 *1544:98 *3162:27 0.000189331 +108 *1544:98 *3385:19 0.000110306 +109 *1544:98 *3390:11 0.00039442 +110 *1544:108 *21565:A2 3.46002e-05 +111 *1544:108 *22005:A1 0.000204357 +112 *1544:108 *1798:36 5.69128e-05 +113 *1544:108 *2505:75 0.000108463 +114 *1544:108 *2746:43 0.000494853 +115 *1544:108 *3131:41 6.14629e-06 +116 *1544:108 *3427:28 0.0021223 +117 *1544:108 *3521:41 0.00014695 +118 *1544:108 *3705:17 0.00254139 +119 *1544:108 *3722:14 6.52046e-06 +120 *1544:133 *20050:B1 0.000127042 +121 *1544:133 *23924:B 1.86819e-05 +122 *1544:133 *1634:70 5.01835e-05 +123 *1544:133 *1643:14 5.01835e-05 +124 *1544:133 *1658:27 0.000107025 +125 *1544:133 *1751:131 0.000816778 +126 *1544:133 *1778:10 0.000121022 +127 *1544:133 *2726:22 0.000206449 +128 *1544:133 *3114:96 0.000104754 +129 *1544:133 *3406:14 0.000448103 +130 *1544:133 *3688:22 0.000354209 +131 *1544:133 *5593:46 2.49093e-05 +132 *1544:151 *19885:A2 0.000154145 +133 *1544:151 *21616:A1 5.88594e-05 +134 *1544:151 *21617:A1 0.000155387 +135 *1544:151 *21617:C1 5.51483e-06 +136 *1544:151 *21776:C1 0.000339937 +137 *1544:151 *22483:A1 7.97785e-05 +138 *1544:151 *22483:A2 0.000134457 +139 *1544:151 *1561:39 4.12009e-05 +140 *1544:151 *1561:154 9.47862e-07 +141 *1544:151 *1605:11 6.3657e-05 +142 *1544:151 *1658:43 4.61987e-05 +143 *1544:151 *2661:50 0.000206162 +144 *1544:151 *2793:17 2.15011e-05 +145 *1544:151 *2851:26 1.37385e-05 +146 *1544:151 *2851:48 0.000203604 +147 *1544:151 *2867:11 7.23857e-05 +148 *1544:151 *3531:73 0.00034061 +149 *1544:151 *3539:70 0.000534446 +150 *1544:151 *4849:23 0.000329928 +151 *1544:151 *4850:17 9.94284e-06 +152 *19653:A *19943:B 0.00138888 +153 *19728:D *1544:43 0.000451182 +154 *19787:B *19787:A 6.50586e-05 +155 *19852:A *1544:133 8.71666e-05 +156 *19877:B *19877:A 4.66492e-05 +157 *19877:B *1544:151 0.000218787 +158 *19899:A *1544:133 7.40684e-06 +159 *19934:A *1544:13 0.000122378 +160 *19943:A *19943:B 4.24488e-05 +161 *20015:A *1544:43 2.77564e-05 +162 *20015:A *1544:51 8.74736e-06 +163 *21383:B2 *1544:43 5.05252e-05 +164 *462:33 *1544:43 3.07726e-05 +165 *1424:117 *1544:51 0.000214898 +166 *1424:121 *1544:51 5.1493e-06 +167 *1435:182 *1544:133 0.000570358 +168 *1435:229 *1544:133 0.000145011 +169 *1435:251 *1544:133 4.86383e-05 +170 *1448:97 *1544:13 3.58044e-05 +171 *1454:104 *1544:151 0.000345048 +172 *1459:18 *1544:13 0.000143017 +173 *1459:21 *1544:5 6.08467e-05 +174 *1459:21 *1544:43 6.08467e-05 +175 *1459:110 *1544:133 3.17436e-05 +176 *1459:147 *1544:43 0.000186908 +177 *1459:150 *1544:43 0.000116764 +178 *1471:40 *1544:43 0.000231133 +179 *1472:12 *19943:B 0.000539142 +180 *1496:48 *1544:43 9.25522e-06 +181 *1496:48 *1544:51 0.000464538 +182 *1496:68 *1544:51 0.000103952 +183 *1496:75 *1544:98 6.14682e-06 +184 *1496:84 *1544:98 0.000704409 +185 *1496:84 *1544:108 0.000454416 +186 *1510:16 *1544:43 0.000154145 +187 *1510:99 *1544:98 0.000331952 +188 *1510:99 *1544:108 0.000476982 +189 *1510:117 *1544:133 0.000520801 +190 *1537:71 *19855:B 3.17436e-05 +*RES +1 *19786:X *1544:5 9.97254 +2 *1544:5 *1544:13 22.3817 +3 *1544:13 *19943:B 42.4554 +4 *1544:13 *19934:B 9.24915 +5 *1544:5 *1544:43 40.9422 +6 *1544:43 *1544:51 48.0184 +7 *1544:51 *1544:54 3.7474 +8 *1544:54 *19787:A 14.4725 +9 *1544:54 *1544:76 47.0548 +10 *1544:76 *20044:A 9.24915 +11 *1544:76 *1544:98 47.4711 +12 *1544:98 *1544:108 20.5388 +13 *1544:108 *1544:133 33.5938 +14 *1544:133 *1544:151 47.4947 +15 *1544:151 *20021:A2 37.2645 +16 *1544:133 *19877:A 11.0817 +17 *1544:108 *19855:B 24.0902 +*END + +*D_NET *1545 0.0900179 +*CONN +*I *21247:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21423:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21653:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19790:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21874:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22011:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20989:B I *D sky130_fd_sc_hd__or2_1 +*I *21589:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21334:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19787:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21247:A2 0.000404096 +2 *21423:B2 4.08687e-05 +3 *21653:B2 0.000493716 +4 *19790:A2 0.000499866 +5 *21874:A2 0 +6 *22011:A2 0.000529397 +7 *20989:B 2.9738e-05 +8 *21589:B2 0.000115604 +9 *21334:A2 0.000136429 +10 *19787:X 0.000847569 +11 *1545:138 0.000540846 +12 *1545:118 0.00829135 +13 *1545:92 0.00925178 +14 *1545:80 0.00175285 +15 *1545:78 0.00192793 +16 *1545:66 0.00210245 +17 *1545:54 0.00666275 +18 *1545:32 0.00738641 +19 *1545:17 0.00246883 +20 *1545:11 0.00501179 +21 *19790:A2 *19822:B 0.000247397 +22 *19790:A2 *21874:B1 6.08467e-05 +23 *19790:A2 *21874:B2 0.000105427 +24 *19790:A2 *21875:C1 0.00025175 +25 *19790:A2 *22060:C1 6.29351e-05 +26 *19790:A2 *22237:B2 0.000531645 +27 *19790:A2 *22529:A2 8.78153e-05 +28 *19790:A2 *1588:131 0.000111722 +29 *19790:A2 *2822:12 7.86906e-05 +30 *19790:A2 *3494:25 0.00013396 +31 *19790:A2 *3546:26 1.84769e-05 +32 *20989:B *4908:193 2.57847e-05 +33 *21247:A2 *21248:A2 1.41429e-05 +34 *21247:A2 *1610:54 1.83055e-05 +35 *21247:A2 *1649:162 0.00021804 +36 *21247:A2 *1649:189 1.24878e-05 +37 *21247:A2 *2479:17 6.36477e-05 +38 *21247:A2 *2570:94 0.00025166 +39 *21247:A2 *3120:82 1.58101e-05 +40 *21334:A2 *21333:B2 2.26985e-05 +41 *21334:A2 *1577:165 9.92046e-06 +42 *21334:A2 *2396:23 0.000241331 +43 *21334:A2 *2410:8 0.000284744 +44 *21423:B2 *21423:C1 2.53145e-06 +45 *21589:B2 *21589:B1 0.000286272 +46 *21589:B2 *2577:58 7.13655e-06 +47 *21589:B2 *2645:50 3.03456e-06 +48 *21589:B2 *3166:36 8.88018e-05 +49 *21653:B2 *21658:B1 9.28716e-05 +50 *21653:B2 *2361:29 3.79135e-05 +51 *21653:B2 *3124:174 3.20069e-06 +52 *21653:B2 *3139:177 5.94306e-05 +53 *21653:B2 *3208:150 0.000154591 +54 *21653:B2 *3543:33 8.80434e-05 +55 *21653:B2 *3636:11 3.93219e-05 +56 *22011:A2 *22011:B1 8.46935e-05 +57 *22011:A2 *22011:C1 4.64942e-05 +58 *22011:A2 *22060:C1 9.76797e-05 +59 *22011:A2 *1614:55 7.60356e-05 +60 *22011:A2 *1721:118 0.000161736 +61 *22011:A2 *2822:12 0.000173715 +62 *22011:A2 *3106:68 0.000301167 +63 *22011:A2 *3466:228 0.000107496 +64 *22011:A2 *3541:180 0.000110297 +65 *1545:11 *19929:B1 0.00205006 +66 *1545:11 *21665:B2 0.00203997 +67 *1545:11 *1730:43 5.97411e-05 +68 *1545:11 *2586:61 0.00017192 +69 *1545:11 *2740:27 7.72394e-06 +70 *1545:17 *22474:A1 1.49935e-05 +71 *1545:17 *1564:17 0.000833722 +72 *1545:17 *1564:206 0.000671755 +73 *1545:17 *1730:48 0.000127631 +74 *1545:17 *4865:24 0.00141652 +75 *1545:32 *19929:B1 0.000266123 +76 *1545:32 *21665:B2 0.000244 +77 *1545:32 *2529:19 1.5355e-05 +78 *1545:32 *2645:50 0.00338353 +79 *1545:32 *2667:72 0.000521499 +80 *1545:32 *2815:32 0.002556 +81 *1545:32 *3136:84 0.00016514 +82 *1545:54 *21589:B1 0.00178751 +83 *1545:54 *22638:B2 3.81068e-05 +84 *1545:54 *22657:A2 0.00132188 +85 *1545:54 *1652:34 0 +86 *1545:54 *1680:86 5.82009e-06 +87 *1545:54 *2117:20 8.46249e-05 +88 *1545:54 *2281:13 0.000129793 +89 *1545:54 *2380:84 0.00104518 +90 *1545:54 *2511:30 0.000693857 +91 *1545:54 *2520:91 0 +92 *1545:54 *2636:28 9.29996e-05 +93 *1545:54 *2950:92 0.000876256 +94 *1545:54 *2950:96 0.000678703 +95 *1545:54 *3041:31 5.60804e-05 +96 *1545:54 *3076:32 0 +97 *1545:54 *3166:36 0.000193114 +98 *1545:54 *3198:18 0 +99 *1545:54 *3469:52 4.81186e-05 +100 *1545:54 *3483:124 3.35866e-05 +101 *1545:54 *3487:118 1.22036e-05 +102 *1545:54 *3498:132 1.52978e-05 +103 *1545:54 *3523:16 0.00204037 +104 *1545:54 *4813:56 0.00148344 +105 *1545:54 *4827:51 1.00773e-05 +106 *1545:66 *1551:86 1.87181e-05 +107 *1545:66 *2397:10 4.04556e-05 +108 *1545:66 *2474:14 0.000111938 +109 *1545:66 *2629:37 0.00126688 +110 *1545:66 *3041:31 0.000171747 +111 *1545:66 *3153:102 4.87595e-05 +112 *1545:66 *4816:124 0.000327867 +113 *1545:66 *4830:71 0.000424579 +114 *1545:66 *4830:111 0.000113478 +115 *1545:66 *5919:72 0.00121663 +116 *1545:78 *24494:RESET_B 6.07304e-07 +117 *1545:78 *24494:CLK 1.78704e-05 +118 *1545:78 *2397:10 0.000225519 +119 *1545:78 *2425:48 3.25317e-05 +120 *1545:78 *3153:102 0.000223351 +121 *1545:80 *21248:A2 9.28511e-05 +122 *1545:80 *21423:A2 1.65872e-05 +123 *1545:80 *21423:B1 0.00025943 +124 *1545:80 *22673:A2 0.000107496 +125 *1545:80 *24494:CLK 3.91558e-05 +126 *1545:80 *3466:145 0.000107496 +127 *1545:92 *21247:B1 7.57403e-05 +128 *1545:92 *21423:B1 1.03403e-05 +129 *1545:92 *21423:C1 2.57847e-05 +130 *1545:92 *21424:D 6.7671e-06 +131 *1545:92 *22357:A2 0.000205101 +132 *1545:92 *22386:B1 0.000261648 +133 *1545:92 *22673:B1 0 +134 *1545:92 *2307:19 0.00047727 +135 *1545:92 *2418:38 7.5032e-05 +136 *1545:92 *3342:12 1.37563e-05 +137 *1545:92 *3491:178 2.40706e-05 +138 *1545:118 *21352:A1 8.21742e-05 +139 *1545:118 *22773:A2 3.58821e-05 +140 *1545:118 *1576:59 3.59294e-05 +141 *1545:118 *1592:93 8.21742e-05 +142 *1545:118 *2354:55 0.000163208 +143 *1545:118 *2601:58 0.00173617 +144 *1545:118 *2679:41 3.00116e-05 +145 *1545:118 *2777:60 0.000136401 +146 *1545:118 *3500:105 0.000167582 +147 *1545:118 *3531:43 0.000116391 +148 *1545:118 *3533:38 7.40684e-06 +149 *1545:118 *5924:37 0.000323785 +150 *1545:138 *22060:C1 7.12677e-05 +151 *1545:138 *2822:12 8.20569e-05 +152 *19790:A1 *19790:A2 0.000110306 +153 *24490:D *1545:54 0 +154 *504:14 *1545:54 0.000929466 +155 *527:22 *1545:66 1.14132e-05 +156 *537:29 *21247:A2 1.30473e-05 +157 *1419:239 *1545:66 9.38063e-05 +158 *1450:177 *1545:92 0.000396003 +159 *1450:177 *1545:118 0.000586906 +160 *1542:65 *19790:A2 4.679e-05 +161 *1544:76 *1545:11 0.000141562 +*RES +1 *19787:X *1545:11 25.6077 +2 *1545:11 *1545:17 46.4051 +3 *1545:17 *21334:A2 23.8184 +4 *1545:11 *1545:32 9.65628 +5 *1545:32 *21589:B2 17.8906 +6 *1545:32 *1545:54 47.5586 +7 *1545:54 *1545:66 13.313 +8 *1545:66 *20989:B 14.4725 +9 *1545:66 *1545:78 18.9752 +10 *1545:78 *1545:80 10.7063 +11 *1545:80 *1545:92 33.1408 +12 *1545:92 *1545:118 27.5876 +13 *1545:118 *22011:A2 35.7355 +14 *1545:118 *1545:138 1.62437 +15 *1545:138 *21874:A2 13.7491 +16 *1545:138 *19790:A2 40.3371 +17 *1545:92 *21653:B2 29.9429 +18 *1545:80 *21423:B2 10.2378 +19 *1545:78 *21247:A2 27.9371 +*END + +*D_NET *1546 0.0469054 +*CONN +*I *19790:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22406:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19788:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19790:B1 0.00233804 +2 *22406:B1 0.000408323 +3 *19788:Y 0.00421489 +4 *1546:42 0.00164828 +5 *1546:32 0.00251914 +6 *1546:29 0.00272337 +7 *1546:14 0.00799711 +8 *19790:B1 *19801:A1 0.000186829 +9 *19790:B1 *19810:B1 0.000415342 +10 *19790:B1 *22236:A2 7.40684e-06 +11 *19790:B1 *22269:A1 4.09379e-05 +12 *19790:B1 *22773:A2 0.00209914 +13 *19790:B1 *22773:B1 0.000484344 +14 *19790:B1 *1550:101 0.000116272 +15 *19790:B1 *1586:33 5.39404e-06 +16 *19790:B1 *1588:131 0.000167672 +17 *19790:B1 *1596:65 0.000159964 +18 *19790:B1 *1716:35 1.91391e-05 +19 *19790:B1 *1720:36 2.27135e-05 +20 *19790:B1 *2778:28 0.000122784 +21 *19790:B1 *2863:27 0.000739176 +22 *19790:B1 *2954:74 0.000895604 +23 *19790:B1 *3415:22 0.000127577 +24 *19790:B1 *3521:64 4.96914e-05 +25 *19790:B1 *5453:21 0.00108089 +26 *19790:B1 *5924:37 0.000934791 +27 *22406:B1 *22405:A2 1.19e-05 +28 *22406:B1 *22406:B2 3.52157e-05 +29 *22406:B1 *22406:C1 2.68152e-05 +30 *22406:B1 *22791:B1 4.85871e-05 +31 *22406:B1 *2523:59 6.31727e-05 +32 *22406:B1 *3058:22 0.000168614 +33 *22406:B1 *3155:104 1.07248e-05 +34 *22406:B1 *3157:75 1.97624e-05 +35 *22406:B1 *3157:91 3.88655e-06 +36 *22406:B1 *3511:104 2.0633e-05 +37 *1546:14 *22472:B1 0.00186126 +38 *1546:14 *2288:14 0 +39 *1546:14 *2685:42 0.00286706 +40 *1546:14 *2837:49 0.00221685 +41 *1546:14 *5853:46 7.86825e-06 +42 *1546:14 *5866:103 2.26985e-05 +43 *1546:29 *22740:A1 2.43314e-05 +44 *1546:29 *1569:54 0.000587524 +45 *1546:29 *1755:77 0.00143519 +46 *1546:29 *1775:12 7.00663e-05 +47 *1546:29 *1776:61 7.36639e-05 +48 *1546:29 *2863:27 6.47951e-05 +49 *1546:29 *3155:125 1.35644e-05 +50 *1546:29 *3543:192 7.2962e-05 +51 *1546:29 *5453:21 0.000648104 +52 *1546:32 *20533:A2 1.88148e-05 +53 *1546:32 *22770:B1 0.000419951 +54 *1546:32 *24552:RESET_B 0.000301569 +55 *1546:32 *1560:8 3.29488e-05 +56 *1546:32 *1560:24 0.000442843 +57 *1546:32 *1689:71 0.000297365 +58 *1546:32 *2597:30 0.00217709 +59 *1546:32 *2603:100 0.000114417 +60 *1546:32 *3388:14 0.000123928 +61 *1546:42 *22478:A2 2.58616e-05 +62 *1546:42 *22478:B1 0.000113107 +63 *1546:42 *22479:C1 0.000110297 +64 *1546:42 *1794:67 0.000394614 +65 *1546:42 *2543:31 0.000391697 +66 *1546:42 *2543:38 0.000172056 +67 *1546:42 *2543:79 0.000154145 +68 *1546:42 *2709:14 9.19632e-06 +69 *1546:42 *2724:13 2.77625e-06 +70 *1546:42 *2724:24 0.00067886 +71 *1546:42 *2844:67 0.000343543 +72 *1546:42 *3058:8 4.76248e-05 +73 *1546:42 *3168:78 8.56161e-05 +74 *1546:42 *3175:74 0.000147605 +75 *1546:42 *3175:87 0.000242426 +76 *1546:42 *3509:74 0.000156955 +*RES +1 *19788:Y *1546:14 33.1336 +2 *1546:14 *1546:29 40.1414 +3 *1546:29 *1546:32 48.0648 +4 *1546:32 *1546:42 47.4362 +5 *1546:42 *22406:B1 31.6167 +6 *1546:14 *19790:B1 34.6763 +*END + +*D_NET *1547 0.0445765 +*CONN +*I *21874:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19790:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22023:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21701:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *20643:B I *D sky130_fd_sc_hd__or2_1 +*I *21559:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *19789:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21874:B2 0.000599963 +2 *19790:B2 2.3034e-05 +3 *22023:A2 0.000478513 +4 *21701:B2 0.000618422 +5 *20643:B 5.93323e-05 +6 *21559:A2 0.000246836 +7 *19789:X 0 +8 *1547:114 0.00195608 +9 *1547:66 0.00332369 +10 *1547:49 0.0024622 +11 *1547:43 0.00193629 +12 *1547:34 0.00314198 +13 *1547:26 0.00246135 +14 *1547:4 0.00265946 +15 *20643:B *20749:B 1.03403e-05 +16 *20643:B *2024:7 1.65872e-05 +17 *21559:A2 *21559:A1 0.000347858 +18 *21701:B2 *21701:B1 2.764e-05 +19 *21701:B2 *21712:B1 1.65872e-05 +20 *21701:B2 *1607:29 0 +21 *21701:B2 *1644:10 3.39118e-05 +22 *21701:B2 *1770:31 9.83848e-05 +23 *21701:B2 *2566:28 0.000512026 +24 *21701:B2 *2602:32 6.57652e-05 +25 *21701:B2 *2729:20 0.000160882 +26 *21701:B2 *2738:13 5.76799e-05 +27 *21701:B2 *2978:20 0 +28 *21874:B2 *19822:B 7.92218e-05 +29 *21874:B2 *21874:B1 5.76477e-05 +30 *21874:B2 *22210:B1 8.51781e-05 +31 *21874:B2 *22237:A2 0.000627753 +32 *21874:B2 *22237:B2 0.000657214 +33 *21874:B2 *22529:A1 0 +34 *21874:B2 *3186:16 0.000191773 +35 *21874:B2 *3546:26 8.2996e-05 +36 *22023:A2 *19851:A 2.30286e-05 +37 *22023:A2 *1654:12 0.000115313 +38 *22023:A2 *2520:21 0 +39 *22023:A2 *2601:23 0.000106696 +40 *22023:A2 *2826:19 2.04539e-05 +41 *22023:A2 *2950:76 0.000513062 +42 *1547:26 *22459:B1 5.57273e-05 +43 *1547:26 *22469:C 0.000105837 +44 *1547:26 *22772:B1 4.15661e-05 +45 *1547:26 *1560:76 0.000391697 +46 *1547:26 *1587:121 0.00064143 +47 *1547:26 *1690:124 0.000150315 +48 *1547:26 *1809:17 0.000352136 +49 *1547:26 *2771:33 0.000101489 +50 *1547:26 *3153:266 0.000207135 +51 *1547:26 *3162:106 6.03356e-05 +52 *1547:26 *3192:230 1.5714e-05 +53 *1547:26 *3519:174 1.91246e-05 +54 *1547:26 *3537:88 1.5714e-05 +55 *1547:26 *3537:103 0.000162583 +56 *1547:26 *5453:21 0.000645673 +57 *1547:34 *19832:A1 0.000125482 +58 *1547:34 *1575:39 8.56016e-05 +59 *1547:34 *1582:81 0.000301942 +60 *1547:34 *1801:75 0.000787826 +61 *1547:34 *2889:22 9.36218e-05 +62 *1547:34 *2956:62 0.000765113 +63 *1547:34 *3153:266 9.00364e-06 +64 *1547:34 *3162:106 0.00129941 +65 *1547:34 *3750:13 0.000787016 +66 *1547:43 *19845:A 0.000351908 +67 *1547:43 *21559:B2 1.10793e-05 +68 *1547:43 *21614:A 0.000141509 +69 *1547:43 *1575:39 8.59228e-05 +70 *1547:43 *1603:8 9.60366e-05 +71 *1547:43 *2889:20 7.77578e-05 +72 *1547:43 *2889:22 0.0011968 +73 *1547:43 *3065:8 0.000582422 +74 *1547:43 *3155:127 1.35926e-05 +75 *1547:43 *3164:121 1.84082e-05 +76 *1547:43 *3168:119 0.000403792 +77 *1547:43 *3527:156 0.000522928 +78 *1547:43 *4997:8 0.000488539 +79 *1547:43 *5006:6 0.000171232 +80 *1547:43 *5866:59 3.37499e-05 +81 *1547:43 *5866:68 0.00037298 +82 *1547:43 *5866:74 0.000161966 +83 *1547:43 *5866:103 0.000377804 +84 *1547:49 *20643:A 0.000134421 +85 *1547:49 *1947:8 0.000143032 +86 *1547:49 *3065:8 0.000353774 +87 *1547:49 *5866:103 3.18336e-05 +88 *1547:66 *20644:A 2.61012e-05 +89 *1547:66 *20749:B 1.2894e-05 +90 *1547:66 *22024:A1 7.68163e-05 +91 *1547:66 *1585:13 0.000943563 +92 *1547:66 *1593:20 0.000233159 +93 *1547:66 *2024:7 0.000317693 +94 *1547:66 *2520:21 0 +95 *1547:66 *2728:41 0.000646512 +96 *1547:66 *2950:76 0.00263519 +97 *1547:66 *3033:36 0.000220413 +98 *1547:66 *5855:25 0.000228441 +99 *1547:66 *5866:144 0 +100 *1547:114 *19791:C1 0.00012698 +101 *1547:114 *19801:A1 3.17436e-05 +102 *1547:114 *19810:A1 1.07214e-06 +103 *1547:114 *19810:A2 6.03248e-05 +104 *1547:114 *19810:B1 0.00030449 +105 *1547:114 *19810:B2 4.82988e-05 +106 *1547:114 *19810:C1 3.45694e-05 +107 *1547:114 *19822:A 1.68151e-05 +108 *1547:114 *19822:B 7.77744e-05 +109 *1547:114 *21902:A 0.000193649 +110 *1547:114 *22236:B2 1.66771e-05 +111 *1547:114 *22269:A1 5.60458e-05 +112 *1547:114 *1550:101 0.000518479 +113 *1547:114 *1560:76 0.000200794 +114 *1547:114 *2674:8 2.02035e-05 +115 *1547:114 *3209:6 2.27901e-06 +116 *19790:A1 *21874:B2 0.000110306 +117 *19790:A2 *21874:B2 0.000105427 +118 *19791:B1 *1547:114 0.000154145 +119 *19791:B2 *1547:114 0.000154145 +120 *489:78 *21701:B2 3.01683e-06 +121 *1535:51 *1547:114 5.88009e-05 +122 *1542:65 *21874:B2 7.15838e-05 +*RES +1 *19789:X *1547:4 9.24915 +2 *1547:4 *1547:26 44.2615 +3 *1547:26 *1547:34 35.8911 +4 *1547:34 *21559:A2 17.8002 +5 *1547:34 *1547:43 64.7427 +6 *1547:43 *1547:49 11.8402 +7 *1547:49 *20643:B 10.9612 +8 *1547:49 *1547:66 31.4086 +9 *1547:66 *21701:B2 25.1792 +10 *1547:66 *22023:A2 22.7432 +11 *1547:4 *1547:114 35.2495 +12 *1547:114 *19790:B2 9.82786 +13 *1547:114 *21874:B2 46.825 +*END + +*D_NET *1548 0.00202231 +*CONN +*I *19791:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19790:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19791:C1 0.000756661 +2 *19790:X 0.000756661 +3 *19791:C1 *19810:A2 6.12239e-06 +4 *19791:C1 *19822:A 6.51527e-05 +5 *19791:C1 *19822:B 3.72251e-05 +6 *19791:C1 *20053:A 0.000200794 +7 *19791:A1 *19791:C1 2.16355e-05 +8 *19791:B1 *19791:C1 2.61886e-05 +9 *19791:B2 *19791:C1 3.99086e-06 +10 *1535:31 *19791:C1 5.04829e-06 +11 *1538:17 *19791:C1 1.58551e-05 +12 *1547:114 *19791:C1 0.00012698 +*RES +1 *19790:X *19791:C1 39.6575 +*END + +*D_NET *1549 0.00180626 +*CONN +*I *19822:A I *D sky130_fd_sc_hd__and4_1 +*I *19791:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19822:A 0.000302212 +2 *19791:X 0.000302212 +3 *19822:A *19822:B 0.000167264 +4 *19822:A *20053:C 0.000345048 +5 *19822:A *21902:A 7.8756e-07 +6 *19822:A *1666:12 4.49767e-05 +7 *19822:A *1809:17 0.000395985 +8 *19822:A *3209:6 0.000165811 +9 *19791:C1 *19822:A 6.51527e-05 +10 *1547:114 *19822:A 1.68151e-05 +*RES +1 *19791:X *19822:A 37.8153 +*END + +*D_NET *1550 0.0673223 +*CONN +*I *19801:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22236:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19792:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19801:A1 0.00129591 +2 *22236:B1 6.00509e-05 +3 *19792:Y 6.85653e-05 +4 *1550:101 0.00306072 +5 *1550:82 0.00325614 +6 *1550:78 0.00197515 +7 *1550:73 0.00162561 +8 *1550:64 0.00211078 +9 *1550:53 0.00181115 +10 *1550:45 0.00259222 +11 *1550:41 0.00373201 +12 *1550:32 0.00290266 +13 *1550:16 0.00232484 +14 *1550:10 0.00257706 +15 *1550:8 0.00118144 +16 *19801:A1 *19810:B1 0.000146021 +17 *19801:A1 *21874:A1 0.000412937 +18 *19801:A1 *21875:C1 2.054e-05 +19 *19801:A1 *22210:B2 2.1203e-06 +20 *19801:A1 *22236:A2 2.91557e-05 +21 *19801:A1 *22269:A1 1.27224e-05 +22 *19801:A1 *22773:A2 0.000519036 +23 *19801:A1 *1552:81 0.000116871 +24 *19801:A1 *1558:42 9.98511e-06 +25 *19801:A1 *1588:131 0.000547746 +26 *19801:A1 *2825:92 4.0605e-06 +27 *19801:A1 *2857:52 0.000792328 +28 *19801:A1 *3172:6 0.000112916 +29 *22236:B1 *22236:B2 8.68321e-05 +30 *22236:B1 *1720:36 7.61444e-07 +31 *22236:B1 *1720:52 3.5534e-06 +32 *22236:B1 *2674:8 9.79114e-05 +33 *1550:8 *1789:23 0 +34 *1550:8 *2542:8 2.20056e-05 +35 *1550:8 *3045:12 2.56404e-05 +36 *1550:10 *1790:188 7.28994e-06 +37 *1550:10 *2542:8 0.00261977 +38 *1550:10 *3045:12 0.000424065 +39 *1550:10 *3046:11 0.000968295 +40 *1550:16 *24429:SET_B 6.87503e-05 +41 *1550:16 *24613:CLK 0.000196024 +42 *1550:16 *1607:18 0.000843506 +43 *1550:16 *1607:29 0.000113287 +44 *1550:16 *1770:31 0.000152541 +45 *1550:16 *1790:180 0.000392284 +46 *1550:16 *2764:29 2.01653e-05 +47 *1550:16 *3046:11 2.30636e-05 +48 *1550:16 *4126:57 0.000208152 +49 *1550:16 *5867:251 1.03403e-05 +50 *1550:16 *5867:263 6.96795e-05 +51 *1550:32 *19959:A 0.000111708 +52 *1550:32 *21834:A 6.51637e-05 +53 *1550:32 *1607:29 0.00112976 +54 *1550:32 *1619:62 0.000107496 +55 *1550:32 *1658:86 7.09666e-06 +56 *1550:32 *1770:31 0.000102749 +57 *1550:32 *2737:29 0.000767716 +58 *1550:32 *2819:58 1.54479e-05 +59 *1550:32 *2837:24 0.000116522 +60 *1550:41 *1716:16 0.00025175 +61 *1550:41 *1721:167 0.000613915 +62 *1550:41 *3035:40 0.00248804 +63 *1550:45 *24279:CLK 0.000200794 +64 *1550:45 *2765:21 0.000476975 +65 *1550:45 *2883:20 0.000110069 +66 *1550:45 *2918:16 0.000209528 +67 *1550:45 *3157:148 0.000646512 +68 *1550:45 *3164:131 9.75115e-05 +69 *1550:45 *3166:112 0.000835919 +70 *1550:45 *3168:132 7.09666e-06 +71 *1550:53 *20793:B1 9.5562e-05 +72 *1550:53 *22139:A 4.97617e-05 +73 *1550:53 *4538:31 0.00033417 +74 *1550:53 *5858:26 0.00032546 +75 *1550:64 *20794:A1 9.24241e-05 +76 *1550:64 *20794:B1 0.000189453 +77 *1550:64 *24317:SET_B 0.000416423 +78 *1550:64 *1947:70 9.15115e-06 +79 *1550:64 *2684:8 0.000148379 +80 *1550:64 *4137:69 8.01837e-05 +81 *1550:64 *4547:35 0.000127866 +82 *1550:64 *4940:6 0.00103251 +83 *1550:64 *5866:21 8.62625e-06 +84 *1550:73 *20892:B2 0.000110297 +85 *1550:73 *21014:B 1.22289e-05 +86 *1550:73 *1581:10 0.000179657 +87 *1550:73 *1597:15 0.00066437 +88 *1550:73 *1597:92 0.00134252 +89 *1550:73 *1947:70 1.66771e-05 +90 *1550:73 *3857:52 0.000499651 +91 *1550:73 *4547:35 0.000233971 +92 *1550:73 *4798:8 0.000327065 +93 *1550:73 *5815:64 0.000214369 +94 *1550:73 *5858:59 0.000502152 +95 *1550:78 *1594:27 4.69495e-06 +96 *1550:78 *1624:62 5.33268e-05 +97 *1550:78 *2592:28 0.000156639 +98 *1550:78 *2608:26 3.35268e-05 +99 *1550:78 *2614:28 0.00033781 +100 *1550:82 *22439:A1 0.00010503 +101 *1550:82 *1598:96 0.00169495 +102 *1550:82 *1605:46 7.39223e-06 +103 *1550:82 *2567:12 0.000928467 +104 *1550:82 *2672:21 9.5562e-05 +105 *1550:82 *2672:64 0.000187331 +106 *1550:82 *3069:18 0.000166112 +107 *1550:82 *3192:230 0.000168132 +108 *1550:82 *3519:174 0.000494921 +109 *1550:101 *19810:B1 0.000281911 +110 *1550:101 *21944:A2 5.76913e-05 +111 *1550:101 *22047:B1 8.92434e-06 +112 *1550:101 *22762:B1 0.000113478 +113 *1550:101 *1561:88 0.000657026 +114 *1550:101 *1570:106 0.000113478 +115 *1550:101 *1596:65 0.000324617 +116 *1550:101 *1605:46 9.58561e-05 +117 *1550:101 *1618:18 0.000114488 +118 *1550:101 *1641:158 0.000754944 +119 *1550:101 *1730:150 9.7608e-05 +120 *1550:101 *1732:34 0.000450794 +121 *1550:101 *2671:38 1.22804e-05 +122 *1550:101 *3029:33 0.000746223 +123 *1550:101 *3493:130 5.60804e-05 +124 *1550:101 *3688:22 0.000651503 +125 *19790:B1 *19801:A1 0.000186829 +126 *19790:B1 *1550:101 0.000116272 +127 *24279:D *1550:45 0.000107496 +128 *24343:D *1550:73 0.000311249 +129 *24482:D *1550:10 4.70005e-05 +130 *495:7 *1550:53 0.000107496 +131 *657:199 *1550:64 5.37623e-05 +132 *657:203 *1550:45 0.000265477 +133 *1418:195 *1550:32 2.72743e-05 +134 *1438:159 *1550:64 5.23101e-05 +135 *1438:168 *1550:64 0.000548993 +136 *1521:21 *1550:53 3.33502e-05 +137 *1521:25 *1550:53 5.95459e-05 +138 *1538:28 *1550:82 0.000491468 +139 *1542:65 *19801:A1 0.000363401 +140 *1547:114 *19801:A1 3.17436e-05 +141 *1547:114 *1550:101 0.000518479 +*RES +1 *19792:Y *1550:8 15.6422 +2 *1550:8 *1550:10 47.7662 +3 *1550:10 *1550:16 49.8605 +4 *1550:16 *1550:32 46.3727 +5 *1550:32 *1550:41 46.5201 +6 *1550:41 *1550:45 49.7487 +7 *1550:45 *1550:53 31.1606 +8 *1550:53 *1550:64 43.1521 +9 *1550:64 *1550:73 49.316 +10 *1550:73 *1550:78 20.3828 +11 *1550:78 *1550:82 49.2595 +12 *1550:82 *1550:101 10.4953 +13 *1550:101 *22236:B1 19.1576 +14 *1550:101 *19801:A1 28.6416 +*END + +*D_NET *1551 0.126138 +*CONN +*I *20624:B I *D sky130_fd_sc_hd__or2_1 +*I *21186:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22034:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21806:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21441:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19801:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21659:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *21554:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21327:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *19793:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20624:B 0.000841812 +2 *21186:B2 0.000158642 +3 *22034:A2 5.39662e-06 +4 *21806:B2 0.000384073 +5 *21441:B2 0.000164805 +6 *19801:A2 0.00440212 +7 *21659:A2 0.00131292 +8 *21554:B2 0.000498728 +9 *21327:A2 0.00103733 +10 *19793:X 0.00183928 +11 *1551:190 0.00136414 +12 *1551:172 0.00264786 +13 *1551:162 0.00304036 +14 *1551:154 0.00184202 +15 *1551:136 0.00404813 +16 *1551:109 0.00817012 +17 *1551:86 0.00370796 +18 *1551:83 0.00270641 +19 *1551:75 0.0030471 +20 *1551:72 0.00276276 +21 *1551:67 0.00295822 +22 *1551:49 0.0021215 +23 *1551:47 0.00157879 +24 *1551:45 0.0020245 +25 *1551:28 0.00244 +26 *1551:17 0.00368767 +27 *19801:A2 *19820:B2 1.77232e-05 +28 *19801:A2 *21272:B1 0.000120627 +29 *19801:A2 *21658:B1 0.000541352 +30 *19801:A2 *21875:A1 0.000104754 +31 *19801:A2 *22210:A2 0.000101888 +32 *19801:A2 *22236:A2 0.000109113 +33 *19801:A2 *22236:B2 0.000372458 +34 *19801:A2 *22397:A1 5.60804e-05 +35 *19801:A2 *1559:21 5.1493e-06 +36 *19801:A2 *1577:103 0.000257237 +37 *19801:A2 *1608:65 0.000307712 +38 *19801:A2 *1608:98 0.000156492 +39 *19801:A2 *2293:44 0.000759969 +40 *19801:A2 *2825:92 0 +41 *19801:A2 *2857:52 6.4064e-05 +42 *19801:A2 *2882:74 2.3014e-05 +43 *19801:A2 *2919:20 1.43499e-05 +44 *19801:A2 *2985:44 0.000197375 +45 *19801:A2 *3124:242 0.00152884 +46 *19801:A2 *3173:23 1.9101e-05 +47 *19801:A2 *3476:154 0.000146741 +48 *19801:A2 *3476:172 0.00113587 +49 *19801:A2 *4815:91 0.00271817 +50 *19801:A2 *5458:13 0.000108465 +51 *20624:B *21090:B2 0.000290983 +52 *20624:B *22347:A1 0.000131828 +53 *20624:B *2317:20 8.50988e-05 +54 *20624:B *2473:8 0.00104494 +55 *20624:B *2620:31 0.000541117 +56 *20624:B *4845:212 0.000205632 +57 *20624:B *5853:146 0.000118427 +58 *21186:B2 *20738:B 2.95631e-05 +59 *21186:B2 *20874:B 5.88657e-05 +60 *21186:B2 *21186:B1 0.000108335 +61 *21186:B2 *1608:117 3.82228e-05 +62 *21186:B2 *1655:238 0.000105515 +63 *21327:A2 *6172:DIODE 7.39625e-05 +64 *21327:A2 *21327:A1 3.58602e-05 +65 *21327:A2 *21327:B1 0.000445733 +66 *21327:A2 *21384:A1 4.97617e-05 +67 *21327:A2 *21384:B1 0.000158357 +68 *21327:A2 *21384:B2 1.5006e-05 +69 *21327:A2 *21384:C1 0.000160462 +70 *21441:B2 *20689:B 5.51483e-06 +71 *21441:B2 *21441:A1 0.000147075 +72 *21441:B2 *21441:A2 6.36477e-05 +73 *21441:B2 *1618:206 9.68627e-06 +74 *21441:B2 *2498:23 7.34948e-06 +75 *21554:B2 *21554:A2 4.15537e-05 +76 *21554:B2 *21554:B1 6.25461e-07 +77 *21554:B2 *21981:A1 0.000252987 +78 *21554:B2 *1669:181 9.12573e-05 +79 *21659:A2 *21372:C1 5.20546e-06 +80 *21659:A2 *21658:A1 0.00135658 +81 *21659:A2 *1631:57 0.00155254 +82 *21659:A2 *2813:47 0.00118267 +83 *21659:A2 *3139:176 5.11321e-05 +84 *21659:A2 *3621:10 5.57273e-05 +85 *21806:B2 *19853:A2 2.41483e-05 +86 *21806:B2 *22023:A1 0.000158371 +87 *21806:B2 *22024:A2 0.000190521 +88 *21806:B2 *1566:95 7.92757e-06 +89 *21806:B2 *1608:158 0.000283248 +90 *21806:B2 *2984:20 9.79452e-05 +91 *21806:B2 *2985:20 0.000102511 +92 *22034:A2 *2984:20 1.91391e-05 +93 *22034:A2 *2985:20 1.3023e-05 +94 *1551:17 *21864:C1 0.00150788 +95 *1551:17 *21915:B2 3.8616e-05 +96 *1551:17 *21968:A1 6.56617e-05 +97 *1551:17 *21995:D 0.000286971 +98 *1551:17 *1637:42 5.74984e-05 +99 *1551:17 *1680:52 0.00018667 +100 *1551:17 *2719:22 0 +101 *1551:17 *2880:20 0.00170557 +102 *1551:17 *2949:32 6.50586e-05 +103 *1551:17 *2975:33 1.15032e-05 +104 *1551:17 *2975:50 5.60804e-05 +105 *1551:17 *3017:26 0.00035965 +106 *1551:17 *5452:11 0.000117931 +107 *1551:28 *6176:DIODE 2.02035e-05 +108 *1551:28 *19611:B1 0.000169943 +109 *1551:28 *21234:B1 0.000352635 +110 *1551:28 *21378:B1 6.93579e-05 +111 *1551:28 *24900:CLK 0.000168712 +112 *1551:28 *2420:34 0.000245536 +113 *1551:28 *2424:8 0 +114 *1551:28 *2437:32 5.49913e-05 +115 *1551:28 *2577:28 0 +116 *1551:28 *2609:17 0.000162038 +117 *1551:28 *2675:46 8.21963e-05 +118 *1551:28 *2719:22 6.25178e-05 +119 *1551:28 *4919:29 0.000117007 +120 *1551:28 *4919:63 7.25274e-05 +121 *1551:28 *5853:421 0.000115768 +122 *1551:28 *5864:49 3.56601e-05 +123 *1551:45 *21370:A1_N 0.000532765 +124 *1551:45 *21690:C1 1.26119e-05 +125 *1551:45 *2719:22 0.00067106 +126 *1551:45 *5853:421 4.21602e-05 +127 *1551:47 *21365:B2 7.5909e-06 +128 *1551:47 *21690:C1 0.00026462 +129 *1551:47 *1680:59 0 +130 *1551:47 *1706:157 0.000118806 +131 *1551:47 *1715:151 8.3506e-05 +132 *1551:47 *1730:48 4.02726e-06 +133 *1551:47 *2273:18 0.0017174 +134 *1551:47 *2719:22 0.000112626 +135 *1551:67 *22162:A 0.000101998 +136 *1551:67 *22202:A 2.5798e-05 +137 *1551:67 *22202:B 2.16355e-05 +138 *1551:67 *22203:A 2.57986e-05 +139 *1551:67 *22226:A 0.000396003 +140 *1551:67 *22233:A 0.000120985 +141 *1551:67 *22559:B 0.00068011 +142 *1551:67 *22559:D 4.31703e-05 +143 *1551:67 *1669:181 1.19726e-05 +144 *1551:67 *3091:52 2.99978e-05 +145 *1551:67 *3091:66 0.000154145 +146 *1551:67 *3131:13 0.000634421 +147 *1551:67 *3151:37 3.42658e-05 +148 *1551:67 *3469:19 0.000412098 +149 *1551:67 *3469:24 6.50586e-05 +150 *1551:67 *3491:8 9.22013e-06 +151 *1551:72 *2459:10 0.000161252 +152 *1551:72 *2637:7 2.01098e-05 +153 *1551:72 *2637:11 8.14087e-05 +154 *1551:72 *2906:82 0.000339328 +155 *1551:72 *3126:7 0.000114523 +156 *1551:72 *3153:7 7.89747e-05 +157 *1551:75 *21029:B1 0.000116971 +158 *1551:75 *2381:26 4.88764e-06 +159 *1551:75 *2459:10 5.39889e-05 +160 *1551:75 *2474:14 0.000370613 +161 *1551:75 *2906:63 0.00118017 +162 *1551:75 *2906:74 0 +163 *1551:75 *4833:77 0.000179353 +164 *1551:75 *4844:255 0 +165 *1551:75 *5067:14 7.50872e-05 +166 *1551:75 *5853:304 0 +167 *1551:75 *5853:365 0 +168 *1551:83 *21070:B1 6.22259e-05 +169 *1551:83 *21071:A1 0.000199926 +170 *1551:83 *21074:B1 0.000594683 +171 *1551:83 *21432:A 0.000115313 +172 *1551:83 *21892:A 8.35235e-06 +173 *1551:83 *24247:RESET_B 0.00011594 +174 *1551:83 *2202:25 0 +175 *1551:83 *2202:27 0 +176 *1551:83 *2203:41 2.27901e-06 +177 *1551:83 *2203:50 3.3239e-06 +178 *1551:83 *2584:66 0.000400877 +179 *1551:83 *2610:63 0.000353686 +180 *1551:83 *2814:24 5.1493e-06 +181 *1551:83 *4811:18 0.000122784 +182 *1551:83 *4824:142 8.64501e-05 +183 *1551:83 *4843:385 0.000135938 +184 *1551:83 *4908:10 1.99368e-05 +185 *1551:83 *4908:132 2.7764e-05 +186 *1551:83 *4908:146 8.20196e-05 +187 *1551:83 *5364:8 1.02986e-05 +188 *1551:83 *5857:151 0.000384994 +189 *1551:83 *5861:434 0.000156065 +190 *1551:86 *1631:57 3.54099e-05 +191 *1551:86 *2813:47 0.00181919 +192 *1551:109 *2274:95 0.000128123 +193 *1551:109 *2310:37 7.8489e-05 +194 *1551:109 *2423:93 0.00109527 +195 *1551:109 *2467:104 0.000638563 +196 *1551:109 *2467:114 0.000517916 +197 *1551:109 *4826:117 0.000587899 +198 *1551:109 *5857:138 8.3051e-06 +199 *1551:136 *21442:C 5.81031e-05 +200 *1551:136 *1596:102 8.20799e-06 +201 *1551:136 *1617:21 0.000203475 +202 *1551:136 *1652:65 0 +203 *1551:136 *1652:87 6.14756e-06 +204 *1551:136 *2404:60 0 +205 *1551:136 *2417:53 0.000155412 +206 *1551:136 *2423:93 0.00153472 +207 *1551:136 *2446:145 8.33903e-05 +208 *1551:136 *3010:50 0.000653496 +209 *1551:136 *3051:14 3.54157e-05 +210 *1551:136 *3299:21 7.22263e-05 +211 *1551:154 *21431:A 3.50326e-05 +212 *1551:154 *22340:A1 9.79259e-05 +213 *1551:154 *1596:134 0.000260524 +214 *1551:154 *1652:87 0.000114973 +215 *1551:154 *1886:8 5.13937e-05 +216 *1551:154 *1886:19 0.000266224 +217 *1551:154 *2305:8 0.00102121 +218 *1551:154 *2337:22 0.000944286 +219 *1551:154 *2452:14 0.00115517 +220 *1551:154 *2989:32 0.000123731 +221 *1551:154 *3299:21 0.000568566 +222 *1551:154 *4812:18 3.93689e-05 +223 *1551:154 *5091:22 0.000127428 +224 *1551:162 *1614:120 0.000151225 +225 *1551:162 *1655:226 0.000219647 +226 *1551:162 *1725:192 1.00846e-05 +227 *1551:162 *2306:37 0.000158371 +228 *1551:162 *2317:20 0.000441115 +229 *1551:172 *22023:B2 0.000350781 +230 *1551:172 *1655:226 0.000438266 +231 *1551:172 *1658:99 0.000139826 +232 *1551:172 *1658:119 7.43852e-05 +233 *1551:172 *1717:163 0.000223413 +234 *1551:172 *1725:181 0.00056423 +235 *1551:172 *1725:192 3.93822e-05 +236 *1551:172 *2257:17 0.000204295 +237 *1551:172 *2263:77 0.000200819 +238 *1551:172 *2306:37 0.000200794 +239 *1551:172 *2372:60 0.000605629 +240 *1551:172 *2374:26 0.000626629 +241 *1551:172 *2375:28 0.00055005 +242 *1551:172 *2738:13 0.00102537 +243 *1551:172 *3146:233 0.000533811 +244 *1551:172 *4822:66 0.000658437 +245 *1551:172 *4956:27 9.54612e-05 +246 *1551:190 *21430:C1 1.91391e-05 +247 *1551:190 *22347:A1 0.000181289 +248 *1551:190 *2294:44 0.000179296 +249 *1551:190 *2317:20 7.61362e-05 +250 *1551:190 *3305:8 0.000290175 +251 *20874:A *20624:B 0.000163285 +252 *22303:A1 *1551:190 4.53566e-05 +253 *24225:D *1551:83 7.09666e-06 +254 *24227:D *1551:75 0.000266154 +255 *24228:D *1551:83 3.90198e-05 +256 *24229:D *1551:83 9.32927e-05 +257 *24245:D *1551:75 0 +258 *24901:D *1551:28 5.68515e-05 +259 *24902:D *1551:28 1.28551e-05 +260 *512:38 *1551:109 0.00073768 +261 *512:38 *1551:136 0.00308356 +262 *518:44 *1551:109 0.000777008 +263 *527:22 *1551:86 0 +264 *535:19 *21659:A2 0.000780298 +265 *535:19 *1551:86 0.000323785 +266 *1439:128 *1551:75 6.3619e-05 +267 *1502:204 *1551:47 0.00232711 +268 *1543:29 *19801:A2 0.00129435 +269 *1545:66 *1551:86 1.87181e-05 +*RES +1 *19793:X *1551:17 28.3422 +2 *1551:17 *1551:28 43.6436 +3 *1551:28 *21327:A2 27.0688 +4 *1551:17 *1551:45 16.549 +5 *1551:45 *1551:47 52.908 +6 *1551:47 *1551:49 4.5 +7 *1551:49 *21554:B2 20.7029 +8 *1551:49 *1551:67 46.751 +9 *1551:67 *1551:72 38.5628 +10 *1551:72 *1551:75 46.1962 +11 *1551:75 *1551:83 47.6214 +12 *1551:83 *1551:86 6.13407 +13 *1551:86 *21659:A2 23.1759 +14 *1551:86 *1551:109 6.74067 +15 *1551:109 *19801:A2 34.2337 +16 *1551:109 *1551:136 14.4284 +17 *1551:136 *21441:B2 17.9931 +18 *1551:136 *1551:154 42.7466 +19 *1551:154 *1551:162 16.8899 +20 *1551:162 *1551:172 35.327 +21 *1551:172 *21806:B2 26.495 +22 *1551:172 *22034:A2 14.1278 +23 *1551:162 *1551:190 15.0523 +24 *1551:190 *21186:B2 18.5477 +25 *1551:190 *20624:B 43.0284 +*END + +*D_NET *1552 0.0400372 +*CONN +*I *19801:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22408:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22728:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19794:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19801:B1 0 +2 *22408:A1 0.000235139 +3 *22728:A1 0.00115281 +4 *19794:Y 0.00104162 +5 *1552:81 0.00110304 +6 *1552:70 0.00276589 +7 *1552:65 0.0030787 +8 *1552:52 0.0030051 +9 *1552:37 0.00250634 +10 *1552:35 0.00162548 +11 *1552:8 0.00313795 +12 *22408:A1 *22408:B2 0.000343543 +13 *22408:A1 *3175:37 2.15348e-05 +14 *22728:A1 *20201:B2 5.75508e-05 +15 *22728:A1 *21472:B1 0.000640409 +16 *22728:A1 *21472:C1 5.99529e-06 +17 *22728:A1 *22728:A2 0.000163418 +18 *22728:A1 *22782:B1 3.99701e-05 +19 *22728:A1 *22800:A1 9.80242e-07 +20 *22728:A1 *24774:CLK 9.76046e-05 +21 *22728:A1 *1671:126 1.1718e-05 +22 *22728:A1 *1883:28 4.31703e-05 +23 *22728:A1 *2650:22 6.50586e-05 +24 *22728:A1 *2935:13 0.000366931 +25 *22728:A1 *4920:35 0.000158357 +26 *1552:8 *21581:A 0.000127711 +27 *1552:8 *24775:CLK 4.17156e-05 +28 *1552:8 *1742:60 0.000254723 +29 *1552:8 *1749:65 0.000268413 +30 *1552:8 *1749:80 0.000149599 +31 *1552:8 *1882:18 5.01835e-05 +32 *1552:8 *2876:34 8.62262e-06 +33 *1552:8 *2904:8 0.000236852 +34 *1552:35 *20201:B1 0.000136381 +35 *1552:35 *21849:A 0.000148833 +36 *1552:35 *22452:B1 0.000144196 +37 *1552:35 *24775:CLK 5.1493e-06 +38 *1552:35 *1553:27 0.000294093 +39 *1552:35 *1691:72 2.22492e-05 +40 *1552:35 *1730:48 0.000104572 +41 *1552:35 *1882:8 0.000235097 +42 *1552:35 *1882:10 0.000208261 +43 *1552:35 *1882:18 4.49912e-05 +44 *1552:35 *2638:35 0.000341301 +45 *1552:35 *2865:11 0.000113466 +46 *1552:35 *2876:34 2.96449e-05 +47 *1552:35 *2904:8 8.77114e-05 +48 *1552:35 *3053:14 0.000200524 +49 *1552:35 *3487:30 5.1493e-06 +50 *1552:37 *21881:A2 2.57465e-06 +51 *1552:37 *21881:B1 0.000255009 +52 *1552:37 *21881:B2 4.42033e-05 +53 *1552:37 *21882:C1 0.000291965 +54 *1552:37 *21900:A 6.11447e-06 +55 *1552:37 *22452:B1 4.97888e-05 +56 *1552:37 *1691:72 6.09999e-05 +57 *1552:37 *2800:38 9.4385e-05 +58 *1552:37 *3053:14 0.000451693 +59 *1552:37 *3136:84 3.18581e-05 +60 *1552:37 *5860:511 7.37253e-05 +61 *1552:52 *21554:A2 0.000100002 +62 *1552:52 *21554:B1 2.37478e-05 +63 *1552:52 *21582:A1 1.30502e-05 +64 *1552:52 *21582:A2 9.40178e-05 +65 *1552:52 *22040:B1 0.000190313 +66 *1552:52 *22320:A1 2.29482e-05 +67 *1552:52 *24235:CLK 0.000107971 +68 *1552:52 *1577:44 0.000109583 +69 *1552:52 *1641:77 3.99005e-05 +70 *1552:52 *1649:67 6.75029e-05 +71 *1552:52 *2407:41 0.00017124 +72 *1552:52 *2576:76 5.75945e-05 +73 *1552:52 *2800:38 0.000160227 +74 *1552:52 *3053:14 0.000132855 +75 *1552:52 *3054:18 3.63738e-05 +76 *1552:52 *3126:152 6.97611e-05 +77 *1552:52 *3126:154 1.63604e-05 +78 *1552:52 *3136:71 7.48876e-06 +79 *1552:52 *3155:31 0.000545589 +80 *1552:52 *3500:89 0.000618292 +81 *1552:65 *21583:B1 8.64021e-05 +82 *1552:65 *21981:A2 0.000295603 +83 *1552:65 *22321:A1 0.000144975 +84 *1552:65 *22321:C1 2.67612e-05 +85 *1552:65 *22337:D 0.000343543 +86 *1552:65 *22660:C1 0.000281486 +87 *1552:65 *1691:87 0.000396424 +88 *1552:65 *1751:46 0.000313992 +89 *1552:65 *2511:30 3.06917e-06 +90 *1552:65 *2527:34 0.00067749 +91 *1552:65 *2919:51 2.45452e-05 +92 *1552:65 *3124:47 0.000389304 +93 *1552:65 *3166:36 0.000115313 +94 *1552:70 *21577:A1 0.000154573 +95 *1552:70 *22323:B1 0.000108161 +96 *1552:70 *22664:A2 0 +97 *1552:70 *22665:B1 2.58521e-05 +98 *1552:70 *22669:A1 6.74182e-05 +99 *1552:70 *22670:B 0.000118854 +100 *1552:70 *22670:C 1.26094e-05 +101 *1552:70 *1592:16 0.000140852 +102 *1552:70 *2542:35 0.00034623 +103 *1552:70 *2542:50 0.000660457 +104 *1552:70 *2550:9 0.000671343 +105 *1552:70 *2589:54 5.77814e-05 +106 *1552:70 *2589:71 0.000686644 +107 *1552:70 *2613:85 0.000156979 +108 *1552:70 *2613:101 4.3116e-06 +109 *1552:70 *2634:29 6.22259e-05 +110 *1552:70 *3117:204 7.22263e-05 +111 *1552:70 *3148:206 3.58315e-06 +112 *1552:70 *3615:12 0.000138597 +113 *1552:81 *21875:C1 0.000324055 +114 *1552:81 *1559:21 6.08467e-05 +115 *1552:81 *2542:35 7.47721e-06 +116 *1552:81 *2589:54 0.00133587 +117 *1552:81 *2919:29 0.000595935 +118 *1552:81 *2919:42 0.000711106 +119 *1552:81 *3476:154 0.000205101 +120 *19801:A1 *1552:81 0.000116871 +121 *24773:D *1552:35 2.52921e-05 +122 *506:16 *1552:65 0.000604742 +123 *543:12 *22728:A1 0.00013128 +124 *1502:201 *1552:52 0.000141407 +125 *1542:65 *1552:81 0.000314731 +*RES +1 *19794:Y *1552:8 35.8326 +2 *1552:8 *22728:A1 46.2612 +3 *1552:8 *1552:35 36.691 +4 *1552:35 *1552:37 18.6497 +5 *1552:37 *22408:A1 19.464 +6 *1552:37 *1552:52 47.2444 +7 *1552:52 *1552:65 45.8087 +8 *1552:65 *1552:70 48.9931 +9 *1552:70 *1552:81 42.0351 +10 *1552:81 *19801:B1 9.24915 +*END + +*D_NET *1553 0.0471799 +*CONN +*I *21652:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19801:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22059:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21582:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20197:B I *D sky130_fd_sc_hd__or2_1 +*I *21850:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19795:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21652:A2 0.00193342 +2 *19801:B2 0.00087277 +3 *22059:A2 5.43315e-05 +4 *21582:B2 0.000144987 +5 *20197:B 0.000169737 +6 *21850:B2 0.000244316 +7 *19795:X 0 +8 *1553:64 0.00418899 +9 *1553:36 0.00542144 +10 *1553:27 0.00431715 +11 *1553:13 0.0014395 +12 *1553:4 0.00114492 +13 *19801:B2 *21795:A1 1.27831e-06 +14 *19801:B2 *22011:B2 2.5777e-05 +15 *19801:B2 *22260:B2 0.000169273 +16 *19801:B2 *22605:B1 1.37385e-05 +17 *19801:B2 *22668:A1 0.000298575 +18 *19801:B2 *1584:49 2.19776e-05 +19 *19801:B2 *1627:49 0 +20 *19801:B2 *1627:79 0.000286443 +21 *19801:B2 *1655:77 0.000237492 +22 *19801:B2 *2825:57 0.000109104 +23 *19801:B2 *2825:92 1.60717e-05 +24 *19801:B2 *2985:44 9.04747e-06 +25 *19801:B2 *3071:67 4.4196e-06 +26 *19801:B2 *3106:42 5.4678e-05 +27 *19801:B2 *3222:8 2.1362e-05 +28 *20197:B *21703:A 0.000207266 +29 *20197:B *21849:A 0.000155093 +30 *20197:B *1691:72 0.000158504 +31 *20197:B *3204:26 5.65573e-05 +32 *21582:B2 *21582:A1 6.08467e-05 +33 *21582:B2 *21582:A2 0.000110306 +34 *21582:B2 *21582:B1 5.97209e-05 +35 *21582:B2 *21981:B2 3.31745e-05 +36 *21582:B2 *1691:80 3.88655e-06 +37 *21582:B2 *1691:87 4.82351e-05 +38 *21582:B2 *2611:16 6.03237e-05 +39 *21582:B2 *3136:42 5.93953e-05 +40 *21582:B2 *3142:46 5.49916e-05 +41 *21652:A2 *21351:B2 0.000730011 +42 *21652:A2 *21652:A1 7.39264e-05 +43 *21652:A2 *21690:A1 0.000404911 +44 *21652:A2 *1577:165 0.000224247 +45 *21652:A2 *1717:45 3.39779e-05 +46 *21652:A2 *1730:48 0.000138606 +47 *21652:A2 *1735:91 3.71926e-05 +48 *21652:A2 *2421:138 5.01835e-05 +49 *21652:A2 *2907:6 1.5714e-05 +50 *21652:A2 *3485:90 3.52699e-05 +51 *21652:A2 *3487:81 1.9101e-05 +52 *21652:A2 *4876:7 0.000869404 +53 *21850:B2 *21850:B1 1.07248e-05 +54 *21850:B2 *1641:57 5.92342e-05 +55 *21850:B2 *1675:32 0.000511269 +56 *22059:A2 *22059:A1 6.89706e-05 +57 *22059:A2 *22223:A2 0.000161234 +58 *22059:A2 *22555:A2 3.03403e-05 +59 *1553:13 *1564:11 0.00188817 +60 *1553:13 *1683:41 5.32995e-05 +61 *1553:13 *1730:43 5.51483e-06 +62 *1553:13 *1730:48 0.00108034 +63 *1553:13 *2651:29 0.00094577 +64 *1553:13 *3206:33 0.00272768 +65 *1553:27 *1730:48 2.5428e-05 +66 *1553:36 *21554:A2 0 +67 *1553:36 *21725:A1 0.000175826 +68 *1553:36 *21882:A1 0 +69 *1553:36 *21900:B 4.77993e-05 +70 *1553:36 *21995:D 0.000660119 +71 *1553:36 *22764:A2 1.9101e-05 +72 *1553:36 *1717:38 7.50872e-05 +73 *1553:36 *1790:43 0.000412916 +74 *1553:36 *2638:35 1.81797e-05 +75 *1553:36 *2638:37 4.97207e-06 +76 *1553:36 *2729:121 6.21488e-06 +77 *1553:36 *2904:8 8.98169e-05 +78 *1553:36 *2991:25 0.000344737 +79 *1553:36 *3052:66 8.18738e-05 +80 *1553:36 *3117:48 2.97235e-05 +81 *1553:36 *3185:25 0.000105762 +82 *1553:64 *21636:B2 0.000610261 +83 *1553:64 *21800:A2 0.00040526 +84 *1553:64 *22223:A2 1.15389e-05 +85 *1553:64 *22260:A2 4.15661e-05 +86 *1553:64 *22331:B1 2.78458e-05 +87 *1553:64 *22555:A2 0.000387391 +88 *1553:64 *22665:A2 4.09154e-05 +89 *1553:64 *22665:B2 0.000135775 +90 *1553:64 *22667:B1 0.000915863 +91 *1553:64 *1577:97 0.000205001 +92 *1553:64 *1655:61 1.88148e-05 +93 *1553:64 *1790:43 4.32242e-05 +94 *1553:64 *1790:45 0.00165169 +95 *1553:64 *1790:73 0.00245604 +96 *1553:64 *2508:23 8.12384e-05 +97 *1553:64 *2542:50 4.31644e-05 +98 *1553:64 *2589:54 0.000766725 +99 *1553:64 *3052:66 0.000183204 +100 *1553:64 *3117:48 0.000684106 +101 *1553:64 *3117:204 0.000132646 +102 *1553:64 *3142:108 2.33296e-05 +103 *1553:64 *3142:158 8.08437e-05 +104 *1553:64 *3185:87 0.00248233 +105 *1553:64 *3185:116 0.000340742 +106 *6146:DIODE *20197:B 6.50586e-05 +107 *533:8 *21652:A2 5.01835e-05 +108 *1496:75 *21850:B2 0.000459354 +109 *1552:35 *1553:27 0.000294093 +*RES +1 *19795:X *1553:4 9.24915 +2 *1553:4 *21850:B2 28.3862 +3 *1553:4 *1553:13 43.9825 +4 *1553:13 *20197:B 24.1294 +5 *1553:13 *1553:27 6.14887 +6 *1553:27 *1553:36 20.0931 +7 *1553:36 *21582:B2 21.7577 +8 *1553:36 *1553:64 47.0837 +9 *1553:64 *22059:A2 11.6605 +10 *1553:64 *19801:B2 41.3811 +11 *1553:27 *21652:A2 49.3014 +*END + +*D_NET *1554 0.0503799 +*CONN +*I *20295:B I *D sky130_fd_sc_hd__or2_2 +*I *19797:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21658:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21771:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19796:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20295:B 1.47608e-05 +2 *19797:A 0.00033575 +3 *21658:B2 0.00188932 +4 *21771:B2 0 +5 *19796:X 0 +6 *1554:113 0.00105648 +7 *1554:85 0.00230596 +8 *1554:49 0.00376866 +9 *1554:48 0.00146795 +10 *1554:42 0.0010492 +11 *1554:36 0.00154733 +12 *1554:17 0.00149109 +13 *1554:4 0.00250632 +14 *19797:A *21242:B2 0.000207266 +15 *19797:A *21261:A 9.03922e-05 +16 *19797:A *21261:D 2.64684e-05 +17 *19797:A *2312:22 8.48495e-06 +18 *19797:A *2315:10 0.000193351 +19 *19797:A *2462:8 6.83757e-05 +20 *19797:A *2473:57 2.43314e-05 +21 *19797:A *4815:91 5.49916e-05 +22 *20295:B *2888:19 6.08467e-05 +23 *20295:B *5863:27 6.08467e-05 +24 *21658:B2 *21372:C1 6.2497e-05 +25 *21658:B2 *21658:C1 0.000184696 +26 *21658:B2 *22372:A 0.00026482 +27 *21658:B2 *22690:B1 3.17436e-05 +28 *21658:B2 *1558:24 5.81675e-05 +29 *21658:B2 *1577:103 6.03122e-05 +30 *21658:B2 *1717:106 6.03779e-05 +31 *21658:B2 *2354:50 6.96688e-05 +32 *21658:B2 *2361:54 0.000281938 +33 *21658:B2 *2361:74 0.000190864 +34 *21658:B2 *2409:33 0.0002092 +35 *21658:B2 *2416:53 0.000787397 +36 *21658:B2 *2696:11 0.000305487 +37 *21658:B2 *3124:242 1.43499e-05 +38 *21658:B2 *3126:34 5.45571e-05 +39 *21658:B2 *3151:216 0.000153427 +40 *21658:B2 *3179:202 9.18679e-06 +41 *21658:B2 *3322:11 5.67809e-05 +42 *21658:B2 *3333:33 3.17436e-05 +43 *21658:B2 *4812:38 0.00030524 +44 *21658:B2 *4815:98 0.00169372 +45 *21658:B2 *4831:25 0.000252934 +46 *1554:17 *21771:A1 0.000118985 +47 *1554:17 *22457:C1 3.90779e-05 +48 *1554:17 *1776:79 1.74855e-05 +49 *1554:17 *2672:40 0.000227475 +50 *1554:17 *2750:13 5.04829e-06 +51 *1554:17 *2750:18 5.51483e-06 +52 *1554:17 *2767:27 5.9108e-05 +53 *1554:17 *2863:14 0.000142544 +54 *1554:17 *2863:27 0.000110106 +55 *1554:17 *3106:129 0.000161406 +56 *1554:17 *3106:149 0.000241102 +57 *1554:17 *3122:248 0.000204321 +58 *1554:17 *3131:66 1.66626e-05 +59 *1554:17 *3134:72 0.000482957 +60 *1554:17 *3134:84 6.15894e-05 +61 *1554:17 *3146:67 4.42742e-06 +62 *1554:17 *3146:78 0.000707444 +63 *1554:17 *3146:92 8.36691e-05 +64 *1554:36 *22421:A 6.08467e-05 +65 *1554:36 *22421:B 0.000200794 +66 *1554:36 *22422:A2 0.000247443 +67 *1554:36 *22422:B1 7.23277e-06 +68 *1554:36 *22422:C1 2.16355e-05 +69 *1554:36 *22741:B1 2.91329e-05 +70 *1554:36 *1566:55 0.000191722 +71 *1554:36 *1732:35 3.04247e-05 +72 *1554:36 *1776:79 3.14681e-05 +73 *1554:36 *3122:248 0.00151411 +74 *1554:36 *3377:20 1.91391e-05 +75 *1554:36 *3415:22 7.3964e-05 +76 *1554:36 *3537:103 0.000545205 +77 *1554:36 *3543:188 0.00010997 +78 *1554:36 *5904:70 1.63536e-05 +79 *1554:42 *22422:A2 3.31745e-05 +80 *1554:42 *1595:101 4.49767e-05 +81 *1554:42 *1690:133 0.00165911 +82 *1554:42 *1716:35 3.02354e-05 +83 *1554:42 *2674:8 0.000137472 +84 *1554:42 *5904:70 0.0017567 +85 *1554:48 *22018:B 8.43846e-05 +86 *1554:48 *22269:B2 0.000173578 +87 *1554:48 *22291:B1 6.3657e-05 +88 *1554:48 *1576:86 0.00116118 +89 *1554:48 *2783:68 0.00172366 +90 *1554:48 *3019:28 2.55661e-06 +91 *1554:49 *22291:A1 0.00043404 +92 *1554:49 *1616:81 0.000636328 +93 *1554:49 *1655:88 0.00162527 +94 *1554:49 *2288:38 0.000298128 +95 *1554:49 *3126:75 0.00195263 +96 *1554:49 *3217:25 0.000531645 +97 *1554:49 *3493:78 0.000691553 +98 *1554:49 *5904:45 7.54269e-06 +99 *1554:49 *5904:64 5.2142e-05 +100 *1554:85 *21417:A1 0.00153294 +101 *1554:85 *2244:73 0.000698609 +102 *1554:85 *2288:38 0.000634225 +103 *1554:85 *2452:36 0.000154145 +104 *1554:85 *2452:51 0.000528925 +105 *1554:85 *3198:170 0.000719343 +106 *1554:85 *5904:45 0.000341668 +107 *1554:113 *21259:A1 9.96332e-05 +108 *1554:113 *21259:A2 0.000325259 +109 *1554:113 *21998:A1 7.08433e-05 +110 *1554:113 *22028:A1_N 0.000110297 +111 *1554:113 *24314:CLK 2.60879e-06 +112 *1554:113 *1610:74 1.73728e-05 +113 *1554:113 *1649:189 3.05764e-05 +114 *1554:113 *2452:36 0.000161882 +115 *1554:113 *2473:57 6.62712e-05 +116 *1554:113 *2777:7 0.000107496 +117 *1554:113 *4815:91 0.000708632 +118 *1554:113 *5904:45 0.000586812 +119 *19796:A *1554:17 0.000207122 +120 *19796:A *1554:36 0.000247443 +121 *19824:A *1554:17 1.66098e-06 +122 *21548:A2 *1554:17 0.000100741 +123 *21771:A2 *1554:17 2.16355e-05 +124 *490:114 *21658:B2 0.000264394 +125 *1455:108 *1554:17 4.63742e-05 +126 *1485:187 *1554:17 6.1996e-05 +127 *1539:18 *21658:B2 0.000275362 +*RES +1 *19796:X *1554:4 9.24915 +2 *1554:4 *1554:17 49.7645 +3 *1554:17 *21771:B2 9.24915 +4 *1554:4 *1554:36 40.2207 +5 *1554:36 *1554:42 32.7669 +6 *1554:42 *1554:48 31.3818 +7 *1554:48 *1554:49 41.7641 +8 *1554:49 *21658:B2 45.6995 +9 *1554:49 *1554:85 40.9109 +10 *1554:85 *19797:A 22.9514 +11 *1554:85 *1554:113 49.6059 +12 *1554:113 *20295:B 9.97254 +*END + +*D_NET *1555 0.0617469 +*CONN +*I *21440:A2 I *D sky130_fd_sc_hd__a22oi_4 +*I *21563:B2 I *D sky130_fd_sc_hd__a22oi_4 +*I *22028:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *19800:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *19797:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21440:A2 0 +2 *21563:B2 0.00041155 +3 *22028:A2_N 0 +4 *19800:A2_N 0.000322674 +5 *19797:Y 0 +6 *1555:83 0.00119277 +7 *1555:79 0.00160625 +8 *1555:74 0.00172027 +9 *1555:72 0.000921021 +10 *1555:70 0.00136632 +11 *1555:69 0.00238435 +12 *1555:58 0.00374208 +13 *1555:38 0.00448894 +14 *1555:19 0.00233116 +15 *1555:5 0.000863172 +16 *19800:A2_N *22712:B1 0.00034263 +17 *19800:A2_N *1558:24 1.07248e-05 +18 *19800:A2_N *1610:54 1.97802e-06 +19 *19800:A2_N *2275:71 6.26221e-05 +20 *19800:A2_N *2312:22 0.000443606 +21 *21563:B2 *2620:10 4.58003e-05 +22 *21563:B2 *4839:339 5.17988e-05 +23 *21563:B2 *4845:794 0.000978115 +24 *21563:B2 *5507:12 0.00024873 +25 *21563:B2 *5896:9 6.50727e-05 +26 *21563:B2 *5897:12 0.000118858 +27 *21563:B2 *5907:21 2.59533e-05 +28 *1555:19 *21260:B2 0.000130663 +29 *1555:19 *21261:D 4.1813e-05 +30 *1555:19 *22028:B2 7.12788e-06 +31 *1555:19 *22395:A1 0.000201539 +32 *1555:19 *22712:B1 0.00058833 +33 *1555:19 *1610:74 7.21868e-05 +34 *1555:19 *2312:22 0.000445355 +35 *1555:19 *3359:10 0.000156355 +36 *1555:38 *21998:A1 3.97964e-05 +37 *1555:38 *1610:74 0.000172148 +38 *1555:38 *2610:48 0.000102058 +39 *1555:38 *2924:46 0.000129091 +40 *1555:38 *3026:5 0.0020911 +41 *1555:38 *3359:10 0.000170075 +42 *1555:38 *4805:36 9.84452e-05 +43 *1555:38 *4845:252 6.03216e-05 +44 *1555:38 *4845:254 7.09666e-06 +45 *1555:38 *5861:363 7.19237e-05 +46 *1555:38 *5903:18 1.29721e-05 +47 *1555:58 *20997:B1 2.09449e-06 +48 *1555:58 *21412:C1 2.07556e-06 +49 *1555:58 *21437:A 1.91391e-05 +50 *1555:58 *21998:A1 9.00714e-07 +51 *1555:58 *2287:90 3.6549e-05 +52 *1555:58 *2384:32 0.000383337 +53 *1555:58 *2679:37 0.00241854 +54 *1555:58 *4818:13 9.70896e-05 +55 *1555:58 *4825:95 0.00206021 +56 *1555:58 *4827:100 4.11147e-05 +57 *1555:58 *4832:60 0.000173629 +58 *1555:58 *5528:8 1.5714e-05 +59 *1555:58 *5859:181 0.00178758 +60 *1555:69 *24440:RESET_B 7.40824e-05 +61 *1555:69 *2287:74 0.000412493 +62 *1555:69 *4823:63 1.83795e-06 +63 *1555:69 *4825:95 0.000192886 +64 *1555:70 *2451:36 9.3612e-05 +65 *1555:70 *2545:18 0.000104039 +66 *1555:70 *2938:30 0.00365156 +67 *1555:70 *4823:63 0.000432739 +68 *1555:70 *4823:69 0.000133775 +69 *1555:70 *4825:64 0.00335797 +70 *1555:70 *4825:70 1.23804e-05 +71 *1555:70 *5493:34 0.00316635 +72 *1555:70 *5902:18 7.43738e-05 +73 *1555:70 *5904:18 0.00390307 +74 *1555:74 *2545:18 0.00207046 +75 *1555:74 *4829:171 0.000870913 +76 *1555:74 *5904:16 7.26112e-05 +77 *1555:74 *5904:18 0.00171155 +78 *1555:79 *5907:17 0.000735405 +79 *1555:83 *20277:A2 0.000464127 +80 *1555:83 *20277:B1 4.82966e-05 +81 *1555:83 *20277:B2 2.20702e-05 +82 *1555:83 *1907:66 0.000167076 +83 *1555:83 *5907:17 0.00056613 +84 *1555:83 *5907:21 0.00142514 +85 *1555:83 *5907:31 0 +86 *21563:A2 *21563:B2 6.50727e-05 +87 *24045:S *1555:79 0.000685261 +88 *24045:S *1555:83 1.65872e-05 +89 *478:23 *21563:B2 6.46887e-05 +90 *478:23 *1555:83 0.00176758 +*RES +1 *19797:Y *1555:5 13.7491 +2 *1555:5 *19800:A2_N 24.2676 +3 *1555:5 *1555:19 18.0268 +4 *1555:19 *22028:A2_N 13.7491 +5 *1555:19 *1555:38 47.2672 +6 *1555:38 *1555:58 49.2163 +7 *1555:58 *1555:69 24.5622 +8 *1555:69 *1555:70 121.425 +9 *1555:70 *1555:72 0.732798 +10 *1555:72 *1555:74 62.0436 +11 *1555:74 *1555:79 30.7593 +12 *1555:79 *1555:83 42.8974 +13 *1555:83 *21563:B2 35.6902 +14 *1555:83 *21440:A2 9.24915 +*END + +*D_NET *1556 0.0572006 +*CONN +*I *6150:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6149:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6153:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6151:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6154:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19800:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *19798:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *6150:DIODE 4.3036e-05 +2 *6149:DIODE 0 +3 *6153:DIODE 0 +4 *6151:DIODE 0 +5 *6154:DIODE 7.98254e-05 +6 *19800:B1 1.10382e-05 +7 *19798:Y 0.00068534 +8 *1556:47 0.000382087 +9 *1556:46 0.000401635 +10 *1556:35 0.000678101 +11 *1556:30 0.00159001 +12 *1556:20 0.00674383 +13 *1556:19 0.00691945 +14 *1556:16 0.00282914 +15 *1556:11 0.00170075 +16 *1556:10 0.000797929 +17 *6150:DIODE *21175:B2 3.14978e-05 +18 *6154:DIODE *1573:231 0.000107496 +19 *19800:B1 *1557:113 6.36477e-05 +20 *19800:B1 *5902:33 2.07365e-05 +21 *1556:10 *21223:A 0.000373061 +22 *1556:10 *24161:CLK 7.2401e-05 +23 *1556:10 *4913:49 1.09738e-05 +24 *1556:10 *5787:5 1.62073e-05 +25 *1556:10 *5798:11 0 +26 *1556:11 *4913:65 0.000277488 +27 *1556:11 *5959:59 0.000324166 +28 *1556:16 *24174:D 0 +29 *1556:16 *24186:RESET_B 0.000128879 +30 *1556:16 *2333:28 0 +31 *1556:16 *4913:47 0 +32 *1556:16 *4913:49 0 +33 *1556:16 *4939:12 0 +34 *1556:16 *5793:6 0 +35 *1556:16 *5857:215 0 +36 *1556:16 *5857:226 0 +37 *1556:19 *23936:A0 0.000153721 +38 *1556:19 *23936:S 7.25614e-05 +39 *1556:19 *5793:22 1.00846e-05 +40 *1556:19 *5871:650 0.000825237 +41 *1556:20 *19629:B1 0.000207776 +42 *1556:20 *20165:B1 0.000324819 +43 *1556:20 *20828:A 0.000280436 +44 *1556:20 *22109:A2_N 0.000202282 +45 *1556:20 *22115:A1 2.44579e-05 +46 *1556:20 *24696:CLK 0.00035838 +47 *1556:20 *1557:90 0.000625725 +48 *1556:20 *1557:96 0.000437534 +49 *1556:20 *2129:27 0.000116652 +50 *1556:20 *2278:24 0.00393762 +51 *1556:20 *2278:28 0 +52 *1556:20 *2425:21 7.22843e-05 +53 *1556:20 *2425:24 0.00445015 +54 *1556:20 *2426:78 0.000226389 +55 *1556:20 *2426:82 9.35896e-05 +56 *1556:20 *2610:56 0.000276221 +57 *1556:20 *2610:63 1.20058e-05 +58 *1556:20 *2738:14 0.000216496 +59 *1556:20 *4804:109 0.00304155 +60 *1556:20 *4806:81 0.00364934 +61 *1556:20 *4820:67 0.001025 +62 *1556:20 *4829:108 0.000396579 +63 *1556:20 *4832:104 0.00126053 +64 *1556:20 *4911:16 0.000913421 +65 *1556:20 *4911:18 0.000731394 +66 *1556:20 *4911:95 0.000390407 +67 *1556:20 *5853:392 0 +68 *1556:30 *2202:12 0.000550361 +69 *1556:30 *2572:10 0.00136516 +70 *1556:30 *2610:56 0.000342852 +71 *1556:30 *4805:36 0.00116908 +72 *1556:30 *4817:104 4.2372e-05 +73 *1556:30 *5861:451 0.000107496 +74 *1556:35 *19800:A1_N 3.5748e-06 +75 *1556:35 *1557:113 0.000118741 +76 *1556:35 *2415:40 0.000158357 +77 *1556:35 *2631:34 0.000119582 +78 *1556:35 *5592:102 0.000457763 +79 *1556:35 *5902:33 0.00199572 +80 *1556:46 *1649:189 4.59944e-05 +81 *1556:46 *5857:125 0.000125405 +82 *1556:47 *1573:231 0.00044694 +83 *21010:A2 *1556:20 0.000712171 +84 *24161:D *1556:10 0.000119626 +85 *24170:D *1556:20 0.000516374 +86 *24224:D *1556:30 6.51527e-05 +87 *24226:D *1556:20 0.000116504 +*RES +1 *19798:Y *1556:10 31.0605 +2 *1556:10 *1556:11 4.05102 +3 *1556:11 *1556:16 48.2047 +4 *1556:16 *1556:19 24.0799 +5 *1556:19 *1556:20 253.89 +6 *1556:20 *1556:30 47.0645 +7 *1556:30 *1556:35 27.9863 +8 *1556:35 *19800:B1 9.97254 +9 *1556:35 *1556:46 11.6625 +10 *1556:46 *1556:47 5.16022 +11 *1556:47 *6154:DIODE 10.5271 +12 *1556:47 *6151:DIODE 9.24915 +13 *1556:46 *6153:DIODE 9.24915 +14 *1556:11 *6149:DIODE 9.24915 +15 *1556:10 *6150:DIODE 10.5271 +*END + +*D_NET *1557 0.073238 +*CONN +*I *19800:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21869:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21169:C I *D sky130_fd_sc_hd__or3_2 +*I *21652:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22004:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19799:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *19800:B2 0 +2 *21869:B2 0 +3 *21169:C 0.000362699 +4 *21652:B2 0.000671152 +5 *22004:B2 7.38041e-06 +6 *19799:X 0.000624789 +7 *1557:133 0.00193225 +8 *1557:126 0.00346442 +9 *1557:113 0.00185615 +10 *1557:107 0.00179827 +11 *1557:96 0.00379078 +12 *1557:95 0.00236248 +13 *1557:90 0.0013798 +14 *1557:89 0.00156831 +15 *1557:83 0.00094045 +16 *1557:62 0.00211613 +17 *1557:42 0.00130165 +18 *1557:34 0.00215535 +19 *1557:22 0.00372151 +20 *1557:21 0.00330935 +21 *1557:10 0.000697367 +22 *21169:C *21926:A1 2.4469e-05 +23 *21169:C *2063:82 0.000328401 +24 *21169:C *2256:13 0.000200003 +25 *21169:C *4830:79 0.00033678 +26 *21169:C *4845:349 0.000169041 +27 *21169:C *4876:119 4.0752e-05 +28 *21169:C *5949:41 0.000287522 +29 *21169:C *5952:14 5.01835e-05 +30 *21169:C *5952:23 0.00013773 +31 *21652:B2 *21652:A1 4.12396e-05 +32 *21652:B2 *2093:10 0 +33 *21652:B2 *2576:57 2.73319e-05 +34 *21652:B2 *2689:46 0.000819301 +35 *21652:B2 *4856:30 1.41976e-05 +36 *21652:B2 *4865:24 5.86949e-05 +37 *21652:B2 *5859:258 0.000448255 +38 *22004:B2 *22004:A1 3.04234e-05 +39 *22004:B2 *22004:B1 3.04234e-05 +40 *1557:10 *20907:A 0.000111722 +41 *1557:10 *1588:17 6.76539e-05 +42 *1557:10 *1650:8 0.000101503 +43 *1557:10 *1731:136 9.80784e-05 +44 *1557:21 *1650:8 0.000129676 +45 *1557:21 *1731:136 0.000131727 +46 *1557:22 *19613:B1 0.00113886 +47 *1557:22 *21864:B2 1.96503e-05 +48 *1557:22 *21915:B1 0.00128519 +49 *1557:22 *1680:52 8.92156e-05 +50 *1557:22 *2928:35 1.03403e-05 +51 *1557:22 *2983:11 0.000200794 +52 *1557:22 *3076:67 5.37817e-06 +53 *1557:34 *21317:A 6.99486e-05 +54 *1557:34 *24565:RESET_B 0.000123274 +55 *1557:34 *24565:CLK 7.51959e-05 +56 *1557:34 *5172:10 0 +57 *1557:34 *5264:5 0.00016347 +58 *1557:34 *5859:258 0 +59 *1557:34 *5870:712 0.000118166 +60 *1557:34 *5870:779 9.49244e-05 +61 *1557:42 *21358:A 2.65667e-05 +62 *1557:42 *2093:9 0.000169956 +63 *1557:42 *2100:12 0 +64 *1557:42 *2418:10 0.00109652 +65 *1557:42 *4844:303 7.08288e-05 +66 *1557:62 *21189:A 2.16355e-05 +67 *1557:62 *24565:RESET_B 1.84803e-05 +68 *1557:62 *2085:50 0.000101503 +69 *1557:62 *2088:84 3.13066e-05 +70 *1557:62 *4843:488 0.000608461 +71 *1557:62 *5264:5 0.000262495 +72 *1557:62 *5870:733 0.000138825 +73 *1557:62 *5956:52 0.000608461 +74 *1557:83 *2425:17 0.00214427 +75 *1557:83 *2978:93 5.10428e-05 +76 *1557:83 *2978:97 0.000641688 +77 *1557:83 *4830:79 0.000425945 +78 *1557:83 *5862:8 5.97576e-05 +79 *1557:83 *5952:23 0.000429501 +80 *1557:89 *2278:28 7.06329e-05 +81 *1557:89 *2896:10 0.000563414 +82 *1557:89 *4804:21 0.000207266 +83 *1557:89 *4911:100 0.000210077 +84 *1557:89 *5853:383 0.000401434 +85 *1557:90 *20165:B1 0.000328296 +86 *1557:90 *24789:CLK 0.000220496 +87 *1557:90 *2278:28 0.000376817 +88 *1557:90 *2425:24 0.00124823 +89 *1557:90 *2738:14 0.000150552 +90 *1557:90 *2896:45 0.00109394 +91 *1557:90 *4804:109 2.69685e-05 +92 *1557:90 *4911:147 0.000197253 +93 *1557:90 *4911:156 0.000154979 +94 *1557:90 *5853:379 0.000523329 +95 *1557:95 *20136:B1 0.000200794 +96 *1557:95 *2304:11 0.00020502 +97 *1557:96 *21299:A 0.000179724 +98 *1557:96 *2415:22 1.25165e-05 +99 *1557:96 *2415:26 0.000138748 +100 *1557:96 *2425:40 0.000494981 +101 *1557:96 *4805:47 3.03814e-05 +102 *1557:96 *4806:80 0.000356455 +103 *1557:96 *4806:81 0.000855737 +104 *1557:96 *4820:67 0.00206423 +105 *1557:96 *4829:108 0.000396579 +106 *1557:96 *4845:281 0.000226625 +107 *1557:96 *5363:10 0.000131508 +108 *1557:96 *5391:10 0.000188997 +109 *1557:96 *5853:260 0.00015327 +110 *1557:96 *5862:147 7.83643e-05 +111 *1557:96 *5862:153 0.000193456 +112 *1557:96 *5862:168 0.000537177 +113 *1557:96 *5862:177 0.000257203 +114 *1557:96 *5862:190 0.000130539 +115 *1557:96 *5862:662 0.000119085 +116 *1557:107 *19804:A 4.28856e-07 +117 *1557:107 *21269:A 6.5353e-05 +118 *1557:107 *2415:32 0.000555413 +119 *1557:107 *2906:56 0.000563971 +120 *1557:107 *3050:13 0.000820813 +121 *1557:113 *21470:A 3.41459e-05 +122 *1557:113 *2527:13 0.000391697 +123 *1557:113 *2631:34 2.07503e-05 +124 *1557:113 *3306:22 0.000256923 +125 *1557:113 *4906:115 0.000256923 +126 *1557:113 *5902:33 7.54269e-06 +127 *1557:126 *19800:A1_N 5.04829e-06 +128 *1557:126 *21658:B1 0.000504597 +129 *1557:126 *22354:A1 0.000625104 +130 *1557:126 *1610:54 0.000365347 +131 *1557:126 *1610:74 5.99155e-05 +132 *1557:126 *1649:189 0.000419543 +133 *1557:126 *2306:75 0.00013899 +134 *1557:126 *2452:51 1.9101e-05 +135 *1557:126 *2527:13 6.08467e-05 +136 *1557:126 *2882:74 0.00133918 +137 *1557:126 *3124:242 0.000258866 +138 *1557:126 *3198:154 6.21462e-05 +139 *1557:126 *3343:19 0.000368316 +140 *1557:126 *3493:45 1.5714e-05 +141 *1557:126 *4836:21 0.00044433 +142 *1557:126 *5902:33 7.92757e-06 +143 *1557:133 *21417:B2 0.000156955 +144 *1557:133 *21869:A1 2.16355e-05 +145 *1557:133 *21869:A2 3.1341e-05 +146 *1557:133 *22619:B1 4.63742e-05 +147 *1557:133 *1573:183 0.00130894 +148 *1557:133 *3474:175 4.69414e-05 +149 *1557:133 *3569:13 4.99151e-05 +150 *1557:133 *5458:10 0.000767056 +151 *19613:B2 *1557:22 0.000163964 +152 *19793:A *1557:10 0.000103139 +153 *19800:B1 *1557:113 6.36477e-05 +154 *21169:B *21169:C 7.77309e-06 +155 *24226:D *1557:96 0.000112892 +156 *494:44 *21652:B2 1.63131e-05 +157 *506:32 *1557:42 0.000105954 +158 *1439:128 *1557:96 0.00039403 +159 *1439:145 *21652:B2 0.000128739 +160 *1450:136 *1557:96 8.93334e-05 +161 *1556:20 *1557:90 0.000625725 +162 *1556:20 *1557:96 0.000437534 +163 *1556:35 *1557:113 0.000118741 +*RES +1 *19799:X *1557:10 24.5084 +2 *1557:10 *22004:B2 14.1108 +3 *1557:10 *1557:21 7.1625 +4 *1557:21 *1557:22 50.6377 +5 *1557:22 *1557:34 22.3099 +6 *1557:34 *1557:42 32.6851 +7 *1557:42 *21652:B2 34.4632 +8 *1557:34 *1557:62 40.4874 +9 *1557:62 *21169:C 32.4915 +10 *1557:62 *1557:83 41.6321 +11 *1557:83 *1557:89 21.5242 +12 *1557:89 *1557:90 55.8148 +13 *1557:90 *1557:95 11.3872 +14 *1557:95 *1557:96 87.3739 +15 *1557:96 *1557:107 49.4102 +16 *1557:107 *1557:113 15.8082 +17 *1557:113 *1557:126 28.7201 +18 *1557:126 *1557:133 40.8423 +19 *1557:133 *21869:B2 9.24915 +20 *1557:113 *19800:B2 9.24915 +*END + +*D_NET *1558 0.019074 +*CONN +*I *19801:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19800:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *19801:C1 0 +2 *19800:X 0.00236402 +3 *1558:42 0.00204991 +4 *1558:24 0.00441393 +5 *1558:24 *21241:B1 8.05519e-05 +6 *1558:24 *21262:B 1.9101e-05 +7 *1558:24 *21272:B1 1.5714e-05 +8 *1558:24 *22378:A1 0.000114078 +9 *1558:24 *22690:B1 0.000255006 +10 *1558:24 *22712:B1 2.38165e-05 +11 *1558:24 *1573:166 0.000841784 +12 *1558:24 *1717:106 2.44032e-05 +13 *1558:24 *2275:71 0 +14 *1558:24 *2312:22 6.01588e-05 +15 *1558:24 *2379:63 0.000194694 +16 *1558:24 *2409:33 0.000179865 +17 *1558:24 *2527:13 0.00110788 +18 *1558:24 *2687:68 0.000190868 +19 *1558:24 *3124:242 1.2128e-05 +20 *1558:24 *3151:216 1.37602e-05 +21 *1558:24 *3196:185 0.000182245 +22 *1558:24 *3333:33 9.744e-05 +23 *1558:24 *3474:195 0.000323785 +24 *1558:24 *3493:26 5.01835e-05 +25 *1558:24 *3493:45 3.06602e-05 +26 *1558:24 *3547:17 0.00112606 +27 *1558:24 *3666:13 0.000155539 +28 *1558:24 *4826:117 1.66325e-05 +29 *1558:24 *5924:37 0.000961026 +30 *1558:42 *21236:A 1.94584e-05 +31 *1558:42 *21236:B 2.20583e-05 +32 *1558:42 *21262:C 1.80257e-05 +33 *1558:42 *21273:A1 4.17605e-05 +34 *1558:42 *21874:A1 7.09666e-06 +35 *1558:42 *22773:A2 0.00126411 +36 *1558:42 *1620:35 2.36416e-05 +37 *1558:42 *1655:77 0.000705009 +38 *1558:42 *2336:15 0.000111722 +39 *1558:42 *2777:28 8.06912e-05 +40 *1558:42 *2825:92 4.12977e-05 +41 *1558:42 *2857:21 3.31745e-05 +42 *1558:42 *2857:32 0.000559387 +43 *1558:42 *3124:242 3.60679e-05 +44 *1558:42 *3139:200 0.000342557 +45 *1558:42 *3172:6 4.46284e-06 +46 *1558:42 *3196:185 1.26672e-05 +47 *1558:42 *3217:25 0.000129091 +48 *1558:42 *3476:172 0.000159265 +49 *1558:42 *5924:37 0.000115772 +50 *19800:A2_N *1558:24 1.07248e-05 +51 *19801:A1 *1558:42 9.98511e-06 +52 *21658:B2 *1558:24 5.81675e-05 +53 *1542:86 *1558:42 0.000362569 +*RES +1 *19800:X *1558:24 46.761 +2 *1558:24 *1558:42 45.3444 +3 *1558:42 *19801:C1 9.24915 +*END + +*D_NET *1559 0.0069236 +*CONN +*I *19822:B I *D sky130_fd_sc_hd__and4_1 +*I *19801:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19822:B 0.00155191 +2 *19801:X 0.000566877 +3 *1559:21 0.00211878 +4 *19822:B *19822:D 0.000107496 +5 *19822:B *20053:A 0.000268571 +6 *19822:B *20053:C 0.000102575 +7 *19822:B *22210:B1 3.72251e-05 +8 *19822:B *22237:B2 5.51483e-06 +9 *19822:B *1588:131 0.000107496 +10 *19822:B *1720:36 0.000110297 +11 *1559:21 *22210:A2 0.000122458 +12 *1559:21 *22210:B1 0.000224042 +13 *1559:21 *22237:A2 0.000209566 +14 *1559:21 *2825:92 2.96378e-05 +15 *1559:21 *2985:44 0.000136074 +16 *1559:21 *3196:209 0.000354006 +17 *1559:21 *3222:8 0.000132548 +18 *19790:A2 *19822:B 0.000247397 +19 *19791:C1 *19822:B 3.72251e-05 +20 *19801:A2 *1559:21 5.1493e-06 +21 *19822:A *19822:B 0.000167264 +22 *21874:B2 *19822:B 7.92218e-05 +23 *1542:65 *1559:21 6.36477e-05 +24 *1547:114 *19822:B 7.77744e-05 +25 *1552:81 *1559:21 6.08467e-05 +*RES +1 *19801:X *1559:21 41.1866 +2 *1559:21 *19822:B 38.9084 +*END + +*D_NET *1560 0.047217 +*CONN +*I *19810:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22738:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22415:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19802:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *19810:A1 0.00034577 +2 *22738:A1 0 +3 *22415:A1 0 +4 *19802:Y 1.98947e-05 +5 *1560:79 0.000424509 +6 *1560:78 7.87389e-05 +7 *1560:76 0.00274986 +8 *1560:62 0.00092676 +9 *1560:52 0.00200175 +10 *1560:42 0.00146313 +11 *1560:36 0.00168878 +12 *1560:35 0.00190588 +13 *1560:24 0.00167223 +14 *1560:10 0.00381685 +15 *1560:8 0.00160339 +16 *1560:7 0.00162329 +17 *19810:A1 *19810:A2 6.76803e-05 +18 *19810:A1 *19810:B2 0.000132946 +19 *19810:A1 *19810:C1 8.62404e-06 +20 *1560:7 *1603:25 6.08467e-05 +21 *1560:7 *5858:668 2.16355e-05 +22 *1560:8 *1582:8 0.00248064 +23 *1560:8 *1624:23 0.00101367 +24 *1560:8 *2597:30 0.000242141 +25 *1560:8 *2603:100 0.00163462 +26 *1560:8 *2604:28 0.000190584 +27 *1560:24 *20533:B2 0.000179985 +28 *1560:24 *21771:A1 0.000113409 +29 *1560:24 *1808:79 0.000154145 +30 *1560:24 *1988:15 0.000476675 +31 *1560:24 *2592:34 0.000106161 +32 *1560:24 *2597:30 0.000659864 +33 *1560:24 *2608:32 0.000459448 +34 *1560:24 *2750:13 0.000200794 +35 *1560:24 *2833:73 7.2465e-05 +36 *1560:24 *2840:24 0.000104368 +37 *1560:24 *3388:14 0.000431525 +38 *1560:35 *2543:28 0.00089069 +39 *1560:35 *2660:94 0.000181911 +40 *1560:35 *2812:18 0.000104754 +41 *1560:35 *3028:30 0.000766688 +42 *1560:35 *5454:15 6.77424e-05 +43 *1560:36 *20487:A1 9.32704e-05 +44 *1560:36 *1716:46 0.00239272 +45 *1560:36 *2522:16 0.000363582 +46 *1560:36 *2614:38 4.74461e-05 +47 *1560:36 *2649:37 3.29488e-05 +48 *1560:36 *2933:40 0.000120908 +49 *1560:36 *3004:16 3.22564e-05 +50 *1560:36 *5869:421 0 +51 *1560:42 *22738:B2 2.57465e-06 +52 *1560:42 *22757:C1 2.02035e-05 +53 *1560:42 *2563:26 0.00077193 +54 *1560:52 *21571:A1 7.77309e-06 +55 *1560:52 *22435:C1 0.000111504 +56 *1560:52 *22738:A2 6.64392e-05 +57 *1560:52 *22738:B2 2.1203e-06 +58 *1560:52 *22739:C1 0.000111802 +59 *1560:52 *22757:C1 8.1669e-05 +60 *1560:52 *1604:91 7.22263e-05 +61 *1560:52 *1756:83 0.00100343 +62 *1560:52 *1761:40 0.000132366 +63 *1560:52 *1761:42 0.000263452 +64 *1560:52 *2509:15 8.71956e-05 +65 *1560:52 *2616:52 1.07161e-05 +66 *1560:52 *3208:83 6.33897e-05 +67 *1560:62 *22433:A1 9.19597e-05 +68 *1560:62 *22438:C 0.000263289 +69 *1560:62 *22743:D 0.000646378 +70 *1560:62 *2504:20 0.000504318 +71 *1560:62 *2959:43 0.000177081 +72 *1560:62 *3128:99 0.00017197 +73 *1560:62 *3385:19 0.000283079 +74 *1560:62 *3671:83 0.00140217 +75 *1560:76 *19810:C1 0.000692074 +76 *1560:76 *22450:B2 0.000396003 +77 *1560:76 *22773:A1 1.03403e-05 +78 *1560:76 *22773:A2 1.0167e-05 +79 *1560:76 *22773:B1 3.82228e-05 +80 *1560:76 *1808:79 0.00150937 +81 *1560:76 *1809:17 3.28521e-05 +82 *1560:76 *1809:19 0.000378024 +83 *1501:76 *1560:36 0.0014782 +84 *1502:160 *1560:52 0.000203207 +85 *1502:176 *1560:52 4.76248e-05 +86 *1537:36 *1560:42 0.000774597 +87 *1546:32 *1560:8 3.29488e-05 +88 *1546:32 *1560:24 0.000442843 +89 *1547:26 *1560:76 0.000391697 +90 *1547:114 *19810:A1 1.07214e-06 +91 *1547:114 *1560:76 0.000200794 +*RES +1 *19802:Y *1560:7 14.4725 +2 *1560:7 *1560:8 60.7978 +3 *1560:8 *1560:10 4.5 +4 *1560:10 *1560:24 49.9019 +5 *1560:24 *1560:35 9.92884 +6 *1560:35 *1560:36 47.5097 +7 *1560:36 *1560:42 8.31342 +8 *1560:42 *1560:52 44.6029 +9 *1560:52 *1560:62 41.1395 +10 *1560:62 *22415:A1 9.24915 +11 *1560:42 *22738:A1 13.7491 +12 *1560:10 *1560:76 48.6122 +13 *1560:76 *1560:78 9.24915 +14 *1560:78 *1560:79 104.301 +15 *1560:79 *19810:A1 24.6652 +*END + +*D_NET *1561 0.0470443 +*CONN +*I *21487:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21764:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20927:B I *D sky130_fd_sc_hd__or2_1 +*I *22016:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19810:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21616:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19803:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21487:B2 0.000390286 +2 *21764:B2 8.50886e-05 +3 *20927:B 0 +4 *22016:A2 3.36818e-05 +5 *19810:A2 0.000306328 +6 *21616:B2 0 +7 *19803:X 0.00182768 +8 *1561:154 0.00197973 +9 *1561:125 0.00122674 +10 *1561:111 0.00212152 +11 *1561:88 0.00239581 +12 *1561:50 0.00292489 +13 *1561:39 0.0036695 +14 *1561:21 0.00284161 +15 *19810:A2 *1586:33 0.000121316 +16 *19810:A2 *2847:8 1.45729e-05 +17 *19810:A2 *5924:37 0.000108986 +18 *21487:B2 *21487:A2 1.08183e-05 +19 *21487:B2 *22322:B1 5.01835e-05 +20 *21487:B2 *1655:61 7.17578e-06 +21 *21487:B2 *2523:123 8.4653e-05 +22 *21487:B2 *2772:97 0.000135067 +23 *21487:B2 *3509:151 0.000144614 +24 *21487:B2 *4815:122 2.77419e-05 +25 *21764:B2 *21764:B1 0.000254697 +26 *21764:B2 *2778:13 0.000288626 +27 *21764:B2 *2780:25 2.13488e-05 +28 *22016:A2 *22048:B1 0.000114523 +29 *22016:A2 *1605:50 6.08467e-05 +30 *1561:21 *21616:A1 6.01107e-06 +31 *1561:21 *21616:B1 0.000256056 +32 *1561:21 *21617:C1 1.15389e-05 +33 *1561:21 *21777:A1 6.11139e-05 +34 *1561:21 *21945:B1 5.83451e-05 +35 *1561:21 *22472:A1 0.000115812 +36 *1561:21 *22472:B1 0.000106365 +37 *1561:21 *1631:10 7.08444e-05 +38 *1561:21 *2662:37 0.00167224 +39 *1561:21 *2672:40 1.26098e-05 +40 *1561:21 *2793:17 0.000442572 +41 *1561:21 *3106:100 0.000215725 +42 *1561:21 *3128:142 0.000136063 +43 *1561:21 *3190:58 0.000132652 +44 *1561:21 *3533:79 0.000151677 +45 *1561:21 *3539:70 0.000106597 +46 *1561:39 *21604:B1 6.39153e-06 +47 *1561:39 *21617:C1 0.000111802 +48 *1561:39 *22483:A1 8.9928e-05 +49 *1561:39 *22483:A2 5.21758e-06 +50 *1561:39 *22784:A2 9.18912e-05 +51 *1561:39 *1605:11 5.51483e-06 +52 *1561:39 *1771:82 0.000345048 +53 *1561:39 *1771:83 8.83647e-05 +54 *1561:39 *2660:113 7.09666e-06 +55 *1561:39 *2671:42 0 +56 *1561:39 *2851:26 0.000246982 +57 *1561:39 *2867:11 0.000110297 +58 *1561:39 *3537:67 0.000835318 +59 *1561:50 *20022:A1 0.000410018 +60 *1561:50 *1625:83 0.000153427 +61 *1561:50 *1708:70 0.000401879 +62 *1561:50 *1716:45 0.00140031 +63 *1561:50 *2556:19 0.000976058 +64 *1561:50 *3523:98 7.07018e-05 +65 *1561:50 *3688:22 5.97376e-05 +66 *1561:88 *21629:A2 0.000527766 +67 *1561:88 *21944:A2 0.000101713 +68 *1561:88 *22048:B1 0.000623756 +69 *1561:88 *22048:B2 0.000144254 +70 *1561:88 *1582:101 0.000425375 +71 *1561:88 *1596:65 0.000113478 +72 *1561:88 *1605:50 0.000491468 +73 *1561:88 *1618:18 0.000333109 +74 *1561:88 *2556:19 0.00102813 +75 *1561:88 *2771:33 0.000328219 +76 *1561:88 *3419:12 0.000323501 +77 *1561:88 *3493:130 0.000118843 +78 *1561:88 *3523:127 0.000882497 +79 *1561:88 *3688:22 0.000144948 +80 *1561:111 *22029:B1 6.23101e-05 +81 *1561:111 *22418:A1 5.74683e-06 +82 *1561:111 *1575:39 5.60804e-05 +83 *1561:111 *1605:50 0.000267695 +84 *1561:111 *2883:32 2.33103e-06 +85 *1561:111 *3122:215 5.60705e-05 +86 *1561:111 *3122:238 7.03807e-05 +87 *1561:111 *3128:159 2.46822e-05 +88 *1561:111 *3190:77 0.00100118 +89 *1561:111 *3457:20 0.000406009 +90 *1561:125 *20928:A 0.000203756 +91 *1561:125 *20931:A1 0.000110649 +92 *1561:125 *21615:A 8.37812e-05 +93 *1561:125 *21764:B1 0.000156946 +94 *1561:125 *24358:CLK 0.000183706 +95 *1561:125 *2604:21 0.000156363 +96 *1561:125 *2778:13 0.000556646 +97 *1561:125 *3059:11 0.000390353 +98 *1561:125 *3539:157 9.55272e-05 +99 *1561:154 *19885:A2 5.51483e-06 +100 *1561:154 *21636:B2 0.000127073 +101 *1561:154 *21643:B 1.41976e-05 +102 *1561:154 *21730:A1 0.000361847 +103 *1561:154 *1637:87 0.0005826 +104 *1561:154 *1720:62 0.000179105 +105 *1561:154 *1721:88 6.51637e-05 +106 *1561:154 *1788:89 6.69861e-06 +107 *1561:154 *2675:99 0.000105887 +108 *1561:154 *2774:8 1.58815e-05 +109 *1561:154 *2867:11 1.67533e-05 +110 *1561:154 *3015:28 0.000242958 +111 *1561:154 *3208:118 0.000146451 +112 *1561:154 *3483:163 0.000107496 +113 *1561:154 *3507:39 0.000934387 +114 *1561:154 *3537:67 4.46136e-05 +115 *1561:154 *5909:38 0.000934854 +116 *19791:B1 *19810:A2 7.86057e-05 +117 *19791:C1 *19810:A2 6.12239e-06 +118 *19808:B *1561:50 0.000153427 +119 *19810:A1 *19810:A2 6.76803e-05 +120 *19872:A *1561:21 6.14756e-06 +121 *19877:A *1561:154 3.31745e-05 +122 *19877:B *1561:154 0.000288515 +123 *21777:A2 *1561:21 0.000134011 +124 *21783:B2 *1561:111 5.69128e-05 +125 *1427:111 *1561:21 0.0012368 +126 *1427:116 *1561:21 9.60806e-05 +127 *1435:251 *19810:A2 0.000104754 +128 *1459:129 *1561:154 2.35827e-05 +129 *1535:54 *1561:50 0.000410018 +130 *1544:151 *1561:39 4.12009e-05 +131 *1544:151 *1561:154 9.47862e-07 +132 *1547:114 *19810:A2 6.03248e-05 +133 *1550:101 *1561:88 0.000657026 +*RES +1 *19803:X *1561:21 42.0612 +2 *1561:21 *21616:B2 9.24915 +3 *1561:21 *1561:39 40.8819 +4 *1561:39 *1561:50 21.758 +5 *1561:50 *19810:A2 24.5626 +6 *1561:50 *1561:88 28.1036 +7 *1561:88 *22016:A2 10.5271 +8 *1561:88 *1561:111 25.8753 +9 *1561:111 *1561:125 41.887 +10 *1561:125 *20927:B 9.24915 +11 *1561:111 *21764:B2 13.3243 +12 *1561:39 *1561:154 46.1682 +13 *1561:154 *21487:B2 27.2626 +*END + +*D_NET *1562 0.0317899 +*CONN +*I *22556:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *19810:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22237:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19804:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22556:A1 0.000157187 +2 *19810:B1 0.00119854 +3 *22237:A1 0.000401341 +4 *19804:Y 0.0016735 +5 *1562:50 0.00244947 +6 *1562:41 0.00263371 +7 *1562:39 0.00312284 +8 *1562:21 0.00329605 +9 *1562:9 0.00347364 +10 *19810:B1 *22269:A1 0.000547858 +11 *19810:B1 *1583:113 2.84833e-05 +12 *19810:B1 *1586:33 0.000659108 +13 *19810:B1 *1716:35 1.5714e-05 +14 *19810:B1 *1720:36 1.00004e-05 +15 *19810:B1 *1725:144 1.87593e-05 +16 *19810:B1 *1770:59 0.000166294 +17 *19810:B1 *1771:83 8.90266e-05 +18 *19810:B1 *1799:34 0.000159964 +19 *19810:B1 *2778:28 4.26915e-05 +20 *19810:B1 *2783:56 8.02066e-05 +21 *19810:B1 *2954:74 0.000207143 +22 *19810:B1 *3493:101 9.39505e-05 +23 *22237:A1 *22236:A1 2.17535e-05 +24 *22237:A1 *22237:B2 2.16608e-05 +25 *22237:A1 *22237:C1 1.58551e-05 +26 *22237:A1 *22529:A1 5.99529e-06 +27 *22237:A1 *22529:B1 0 +28 *22237:A1 *1750:73 1.03434e-05 +29 *22237:A1 *1750:75 2.16355e-05 +30 *22556:A1 *21795:A2 3.01683e-06 +31 *22556:A1 *22598:C 2.57847e-05 +32 *22556:A1 *1744:219 6.36477e-05 +33 *22556:A1 *2807:39 0 +34 *22556:A1 *2811:26 2.57986e-05 +35 *1562:9 *21395:A2 0.000205101 +36 *1562:9 *2384:45 0.00209817 +37 *1562:9 *2906:56 8.69672e-05 +38 *1562:9 *4843:345 8.69672e-05 +39 *1562:21 *21395:B2 0.000627753 +40 *1562:21 *22375:A1 0.000160396 +41 *1562:21 *22400:A1 5.88009e-05 +42 *1562:21 *22707:D 0.000141877 +43 *1562:21 *22712:B2 0.000107496 +44 *1562:21 *2093:101 0.00105556 +45 *1562:21 *2293:65 6.50586e-05 +46 *1562:21 *2480:25 5.88009e-05 +47 *1562:21 *2631:38 3.45034e-05 +48 *1562:21 *3050:35 8.6493e-05 +49 *1562:21 *3179:202 1.58551e-05 +50 *1562:21 *3498:231 0.000593951 +51 *1562:39 *21229:A1 0.000107538 +52 *1562:39 *21272:A2 0.000392927 +53 *1562:39 *21272:B1 5.79492e-05 +54 *1562:39 *22266:B1 1.83048e-06 +55 *1562:39 *22375:A1 0.000107496 +56 *1562:39 *22601:A2 0.00010072 +57 *1562:39 *22601:C1 3.31745e-05 +58 *1562:39 *22617:A 6.7671e-06 +59 *1562:39 *22695:A1 5.1493e-06 +60 *1562:39 *1608:43 1.65872e-05 +61 *1562:39 *1744:219 0.000283 +62 *1562:39 *2350:57 0.000160617 +63 *1562:39 *2880:45 0.000343543 +64 *1562:39 *2990:66 4.42887e-05 +65 *1562:39 *3471:227 0.000107103 +66 *1562:39 *3564:28 0.000352281 +67 *1562:41 *22598:C 6.08467e-05 +68 *1562:41 *1744:219 0.00035641 +69 *1562:41 *2892:21 0.000780078 +70 *1562:41 *3489:17 0.000158451 +71 *1562:50 *21795:A2 1.4091e-06 +72 *1562:50 *21795:B2 3.99086e-06 +73 *1562:50 *1716:35 0.000244574 +74 *1562:50 *1720:36 4.99151e-05 +75 *1562:50 *1720:52 0.000101888 +76 *1562:50 *2674:8 0 +77 *1562:50 *2807:39 3.31745e-05 +78 *1562:50 *2810:61 0.000156946 +79 *1562:50 *2811:26 1.4091e-06 +80 *1562:50 *3476:116 4.03746e-05 +81 *1562:50 *3476:127 3.29488e-05 +82 *1562:50 *3739:8 0.000161628 +83 *19790:B1 *19810:B1 0.000415342 +84 *19801:A1 *19810:B1 0.000146021 +85 *518:15 *1562:50 0.000109248 +86 *1542:65 *22237:A1 3.16065e-06 +87 *1547:114 *19810:B1 0.00030449 +88 *1550:101 *19810:B1 0.000281911 +*RES +1 *19804:Y *1562:9 46.8709 +2 *1562:9 *1562:21 48.8199 +3 *1562:21 *1562:39 34.9551 +4 *1562:39 *1562:41 25.144 +5 *1562:41 *1562:50 21.2557 +6 *1562:50 *22237:A1 19.898 +7 *1562:50 *19810:B1 27.0014 +8 *1562:41 *22556:A1 13.5895 +*END + +*D_NET *1563 0.03679 +*CONN +*I *19806:A I *D sky130_fd_sc_hd__or2_4 +*I *19897:A I *D sky130_fd_sc_hd__or2_4 +*I *19889:B I *D sky130_fd_sc_hd__or2_4 +*I *20032:A I *D sky130_fd_sc_hd__or2_4 +*I *19949:B I *D sky130_fd_sc_hd__or2_4 +*I *21203:B I *D sky130_fd_sc_hd__or2_4 +*I *20028:B I *D sky130_fd_sc_hd__or2_4 +*I *20002:B I *D sky130_fd_sc_hd__or3b_1 +*I *19805:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19806:A 6.98419e-06 +2 *19897:A 0.000433564 +3 *19889:B 4.44436e-05 +4 *20032:A 0 +5 *19949:B 0.000381625 +6 *21203:B 0.00021244 +7 *20028:B 0 +8 *20002:B 0.000431363 +9 *19805:X 0.000426365 +10 *1563:105 0.00131649 +11 *1563:103 0.00166633 +12 *1563:95 0.000892575 +13 *1563:94 0.000237981 +14 *1563:86 0.000396554 +15 *1563:81 0.00166945 +16 *1563:72 0.00266415 +17 *1563:46 0.0030638 +18 *1563:22 0.000417904 +19 *1563:10 0.0010235 +20 *1563:7 0.0022842 +21 *19806:A *2745:19 6.64392e-05 +22 *19806:A *2895:21 2.29454e-05 +23 *19889:B *2531:65 5.8973e-05 +24 *19897:A *22246:A2 0.000404603 +25 *19897:A *1647:10 4.69495e-06 +26 *19897:A *2773:41 1.91246e-05 +27 *19897:A *3111:63 0.000194166 +28 *19897:A *3128:64 8.41516e-05 +29 *19897:A *3517:53 0.000117822 +30 *19897:A *3739:14 0.000130333 +31 *19897:A *4808:76 9.29815e-06 +32 *19949:B *21926:B2 0.000154145 +33 *19949:B *1677:49 6.08467e-05 +34 *19949:B *1677:52 0.000107052 +35 *19949:B *1706:21 0.000112259 +36 *19949:B *1802:8 6.08467e-05 +37 *19949:B *1802:172 6.08467e-05 +38 *19949:B *1804:17 6.1996e-05 +39 *19949:B *2979:46 0.000114074 +40 *20002:B *1711:16 0.000214224 +41 *20002:B *2274:22 0.000360145 +42 *20002:B *5830:41 2.93023e-05 +43 *21203:B *1784:13 0.000139947 +44 *21203:B *1784:194 0.000154145 +45 *21203:B *2580:17 0.000187028 +46 *1563:10 *1711:16 0.000362562 +47 *1563:10 *1802:175 8.72537e-06 +48 *1563:22 *1699:55 0.000746268 +49 *1563:22 *1784:13 0.000107496 +50 *1563:22 *2580:17 0.000119821 +51 *1563:46 *19946:B2 0 +52 *1563:46 *21963:B1 5.49916e-05 +53 *1563:46 *1641:26 1.92749e-05 +54 *1563:46 *1740:22 0.000116268 +55 *1563:46 *1766:21 8.54206e-05 +56 *1563:46 *1768:27 5.4678e-05 +57 *1563:46 *1792:16 0.00027329 +58 *1563:46 *1801:16 0.000100369 +59 *1563:46 *1802:175 2.1558e-06 +60 *1563:46 *2808:32 3.91528e-05 +61 *1563:46 *2949:32 3.10924e-05 +62 *1563:46 *5073:10 0.000174759 +63 *1563:46 *5908:9 5.39404e-06 +64 *1563:72 *21927:A1 2.43987e-05 +65 *1563:72 *1641:26 2.1953e-05 +66 *1563:72 *1677:108 0.000326638 +67 *1563:72 *1685:26 4.82779e-06 +68 *1563:72 *1686:8 0.000771113 +69 *1563:72 *1804:17 4.69495e-06 +70 *1563:72 *2745:19 0.000362969 +71 *1563:72 *2895:21 7.82682e-05 +72 *1563:72 *2960:16 0.000134727 +73 *1563:72 *3432:17 0.000124942 +74 *1563:81 *20049:B1 1.88148e-05 +75 *1563:81 *22732:C1 9.54888e-05 +76 *1563:81 *22779:D 8.10824e-05 +77 *1563:81 *22785:B2 6.62349e-05 +78 *1563:81 *1642:39 1.63296e-05 +79 *1563:81 *1678:69 0.000311542 +80 *1563:81 *1728:10 0.000120695 +81 *1563:81 *1803:22 2.34497e-05 +82 *1563:81 *1804:37 3.64103e-05 +83 *1563:81 *1804:64 0.000619606 +84 *1563:81 *1805:10 0.000103246 +85 *1563:81 *1805:12 7.13291e-05 +86 *1563:81 *2505:75 0.000140749 +87 *1563:81 *2913:30 0.000279275 +88 *1563:81 *3502:58 5.0905e-05 +89 *1563:81 *3670:11 0.000129307 +90 *1563:81 *3722:14 0.000361214 +91 *1563:86 *20032:B 0.000158451 +92 *1563:86 *2999:65 1.28832e-05 +93 *1563:94 *1788:21 0.000451246 +94 *1563:94 *2999:65 7.50038e-05 +95 *1563:94 *3751:47 0.000396817 +96 *1563:103 *21450:B1 1.11638e-05 +97 *1563:103 *21469:A 5.74984e-05 +98 *1563:103 *2502:42 6.74182e-05 +99 *1563:103 *2519:38 7.9498e-05 +100 *1563:103 *2773:35 0.000782096 +101 *1563:103 *3690:14 0.000437893 +102 *1563:103 *3739:14 1.41629e-05 +103 *1563:103 *3751:47 5.10641e-05 +104 *1563:105 *19997:A1 7.74848e-05 +105 *1563:105 *21469:A 1.04624e-05 +106 *1563:105 *24912:A 0.00042587 +107 *1563:105 *1662:63 2.78057e-05 +108 *1563:105 *2652:28 0 +109 *1563:105 *3739:14 0.00117605 +110 *19805:A *1563:46 1.10565e-05 +111 *19805:D *1563:7 0.000253916 +112 *19806:B *1563:72 6.50727e-05 +113 *19819:A *1563:72 4.15661e-05 +114 *19858:B *1563:103 1.1696e-06 +115 *19858:B *1563:105 6.62095e-05 +116 *19927:A *1563:46 0.000199676 +117 *19951:A *1563:7 0.000211492 +118 *19993:A *1563:10 0 +119 *20010:B *1563:46 7.40684e-06 +120 *20028:A *21203:B 0.000107496 +121 *20028:A *1563:22 0.000487161 +122 *20036:A *1563:46 2.10515e-05 +123 *20046:A *19949:B 7.46136e-05 +124 *468:93 *1563:10 7.14746e-05 +125 *468:98 *1563:10 0.000134103 +126 *1424:104 *19949:B 0.000167354 +127 *1428:13 *1563:22 0.000164829 +128 *1428:51 *21203:B 6.17774e-05 +129 *1435:182 *19889:B 0.000156955 +130 *1438:14 *1563:46 0.000112006 +131 *1438:29 *1563:46 0.000498441 +132 *1443:18 *19949:B 0.000442558 +133 *1448:97 *20002:B 7.98425e-06 +134 *1449:45 *1563:46 0.000198681 +135 *1449:45 *1563:72 8.11463e-06 +136 *1449:59 *1563:72 0 +137 *1449:59 *1563:81 9.29435e-05 +138 *1459:18 *1563:10 0.00037556 +139 *1459:18 *1563:46 1.5714e-05 +140 *1471:5 *1563:7 3.31745e-05 +141 *1471:40 *1563:7 1.65872e-05 +142 *1496:75 *1563:72 0.000248017 +143 *1506:35 *20002:B 1.65907e-05 +144 *1506:35 *1563:10 4.08688e-05 +145 *1510:64 *1563:46 1.5714e-05 +146 *1510:99 *1563:81 6.09866e-05 +147 *1537:71 *19897:A 0.000124666 +148 *1537:71 *1563:105 0.000243442 +149 *1537:80 *19897:A 1.9101e-05 +150 *1541:69 *1563:72 1.14636e-05 +151 *1541:90 *1563:72 0.0004091 +*RES +1 *19805:X *1563:7 20.5732 +2 *1563:7 *1563:10 12.5308 +3 *1563:10 *20002:B 22.8176 +4 *1563:10 *1563:22 15.2063 +5 *1563:22 *20028:B 9.24915 +6 *1563:22 *21203:B 16.0732 +7 *1563:7 *1563:46 31.9313 +8 *1563:46 *19949:B 35.4917 +9 *1563:46 *1563:72 40.2323 +10 *1563:72 *1563:81 45.9031 +11 *1563:81 *1563:86 8.43045 +12 *1563:86 *20032:A 9.24915 +13 *1563:86 *1563:94 16.6278 +14 *1563:94 *1563:95 81.1229 +15 *1563:95 *1563:103 34.6884 +16 *1563:103 *1563:105 24.8785 +17 *1563:105 *19889:B 15.5817 +18 *1563:105 *19897:A 27.3632 +19 *1563:72 *19806:A 9.97254 +*END + +*D_NET *1564 0.103006 +*CONN +*I *21340:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21893:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21577:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21066:B I *D sky130_fd_sc_hd__or2_1 +*I *21436:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22041:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19810:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21248:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21658:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19806:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21340:A2 0.000508874 +2 *21893:B2 4.49536e-05 +3 *21577:B2 0.000164674 +4 *21066:B 0.000295737 +5 *21436:B2 0.00117085 +6 *22041:A2 2.0864e-05 +7 *19810:B2 0.000997435 +8 *21248:B2 0 +9 *21658:A2 4.25375e-05 +10 *19806:X 0.00105944 +11 *1564:206 0.00082055 +12 *1564:171 0.00283181 +13 *1564:134 0.00245161 +14 *1564:119 0.00188265 +15 *1564:117 0.00311784 +16 *1564:114 0.00315766 +17 *1564:106 0.00347796 +18 *1564:89 0.00159332 +19 *1564:86 0.000730063 +20 *1564:84 0.00119639 +21 *1564:62 0.00381795 +22 *1564:50 0.00418451 +23 *1564:37 0.0026224 +24 *1564:31 0.0021558 +25 *1564:17 0.00133401 +26 *1564:14 0.000374665 +27 *1564:13 7.10213e-05 +28 *1564:11 0.00105944 +29 *19810:B2 *19810:C1 8.10963e-05 +30 *19810:B2 *1586:36 0.000289868 +31 *19810:B2 *1771:83 3.38819e-05 +32 *19810:B2 *2117:200 0.000860355 +33 *19810:B2 *2799:8 0.000404613 +34 *21066:B *2267:80 4.47134e-05 +35 *21066:B *2468:80 0.00132919 +36 *21066:B *2570:94 0.00106199 +37 *21340:A2 *21063:B1 2.35827e-05 +38 *21340:A2 *21340:A1 9.95922e-06 +39 *21340:A2 *21351:B1 0.000993503 +40 *21340:A2 *21893:B1 0.000592203 +41 *21340:A2 *1680:59 1.91246e-05 +42 *21340:A2 *1706:157 1.5714e-05 +43 *21340:A2 *1715:151 3.08827e-05 +44 *21436:B2 *20206:B 1.62078e-05 +45 *21436:B2 *20651:A 3.95165e-05 +46 *21436:B2 *21436:B1 9.12416e-06 +47 *21436:B2 *21556:A 0.000276909 +48 *21436:B2 *22349:B2 6.78777e-05 +49 *21436:B2 *2257:46 0.00100702 +50 *21436:B2 *2305:40 0.000822737 +51 *21436:B2 *2461:12 0.00017415 +52 *21436:B2 *3051:14 0.0001446 +53 *21436:B2 *4873:17 0.00146048 +54 *21577:B2 *21537:A1 0.000243966 +55 *21577:B2 *2613:101 0.00024885 +56 *21658:A2 *21372:C1 5.89592e-05 +57 *21658:A2 *2696:11 0.000159756 +58 *21893:B2 *21340:C1 0.000111802 +59 *21893:B2 *21893:B1 6.61884e-05 +60 *21893:B2 *3144:23 1.88014e-05 +61 *22041:A2 *22041:B2 9.95922e-06 +62 *1564:11 *21724:B1 0.000125405 +63 *1564:11 *21725:B1 9.13616e-06 +64 *1564:11 *1683:41 0.000298399 +65 *1564:11 *1710:26 2.60717e-05 +66 *1564:11 *2745:19 0.000356424 +67 *1564:11 *2858:34 5.17451e-05 +68 *1564:11 *2895:21 0.000356424 +69 *1564:11 *4821:41 0.000104166 +70 *1564:17 *1730:48 0.00117475 +71 *1564:31 *1577:17 4.55258e-05 +72 *1564:31 *1577:26 0.000962124 +73 *1564:31 *1577:165 4.06478e-05 +74 *1564:31 *1645:49 0.000114262 +75 *1564:31 *1735:91 6.41684e-05 +76 *1564:31 *2428:117 9.72199e-05 +77 *1564:31 *2529:19 0.000984948 +78 *1564:31 *2638:35 9.72199e-05 +79 *1564:31 *3164:15 0.000111618 +80 *1564:37 *21995:D 6.74182e-05 +81 *1564:37 *22320:B2 0 +82 *1564:37 *22746:B2 0.000200794 +83 *1564:37 *1577:26 9.89302e-05 +84 *1564:37 *1577:44 8.02269e-05 +85 *1564:37 *1641:77 0.00144018 +86 *1564:37 *1800:249 0.000111454 +87 *1564:37 *2428:117 0.000255272 +88 *1564:37 *2577:58 4.59975e-05 +89 *1564:37 *2950:125 9.04347e-05 +90 *1564:37 *3053:14 4.20175e-05 +91 *1564:37 *3146:26 0.000215019 +92 *1564:50 *21476:A2 9.21233e-05 +93 *1564:50 *21476:B1 2.51266e-05 +94 *1564:50 *21483:C1 6.22259e-05 +95 *1564:50 *21537:A1 0.000218368 +96 *1564:50 *1577:74 8.13888e-05 +97 *1564:50 *1802:116 2.57465e-06 +98 *1564:50 *2274:71 2.41701e-05 +99 *1564:50 *2520:119 9.25476e-05 +100 *1564:50 *2532:18 0.000279492 +101 *1564:50 *2613:101 0.000364293 +102 *1564:50 *2639:19 0.000569938 +103 *1564:50 *2919:51 4.69495e-06 +104 *1564:50 *3519:59 1.5714e-05 +105 *1564:62 *21493:A1 0.00143012 +106 *1564:62 *21576:A2 6.77316e-05 +107 *1564:62 *21658:A1 1.5714e-05 +108 *1564:62 *1631:57 0.000308082 +109 *1564:62 *2545:67 0.00199614 +110 *1564:62 *2584:77 4.1953e-05 +111 *1564:62 *3111:28 0.000159964 +112 *1564:62 *3206:173 0.000992086 +113 *1564:62 *3496:142 0.000196339 +114 *1564:62 *3517:15 0.00107913 +115 *1564:62 *3517:36 0.00258578 +116 *1564:62 *4804:96 0.00126375 +117 *1564:62 *4812:38 6.75269e-05 +118 *1564:62 *4815:98 0.000448364 +119 *1564:62 *4827:51 0.00123241 +120 *1564:84 *21658:A1 7.5909e-06 +121 *1564:84 *2258:64 1.92974e-05 +122 *1564:84 *2418:38 0.000301209 +123 *1564:84 *2468:80 1.58437e-05 +124 *1564:84 *2477:34 9.96222e-05 +125 *1564:84 *2480:38 0.000107496 +126 *1564:84 *2687:68 0.00012998 +127 *1564:84 *3142:196 0.000484995 +128 *1564:84 *3142:211 0.000298399 +129 *1564:84 *3206:173 0.000270582 +130 *1564:84 *3206:190 9.20893e-05 +131 *1564:84 *3543:33 0.000199911 +132 *1564:84 *3621:10 3.88655e-06 +133 *1564:86 *2267:80 3.54024e-05 +134 *1564:86 *2468:80 0.000967354 +135 *1564:89 *21248:A2 0.000348059 +136 *1564:89 *21403:C1 0.000163391 +137 *1564:89 *2462:8 0.000149475 +138 *1564:89 *3466:172 1.3706e-05 +139 *1564:106 *21248:A2 4.75441e-05 +140 *1564:106 *21328:C 0.000146048 +141 *1564:106 *1884:45 0.000367383 +142 *1564:106 *2312:22 0.000787924 +143 *1564:106 *2315:10 0.000757961 +144 *1564:106 *2379:63 0.000640214 +145 *1564:106 *2462:8 2.81982e-05 +146 *1564:106 *3343:19 3.38944e-05 +147 *1564:106 *4836:21 0.00035323 +148 *1564:106 *5924:37 0.000641434 +149 *1564:114 *21630:B1 0.000844673 +150 *1564:114 *22719:A1 0.00021527 +151 *1564:114 *2306:75 3.19105e-05 +152 *1564:114 *2389:96 0.000846355 +153 *1564:114 *3358:11 8.08437e-05 +154 *1564:114 *3521:191 2.57465e-06 +155 *1564:114 *3666:13 2.78219e-06 +156 *1564:117 *21235:A1 0.00108466 +157 *1564:117 *21235:B1 9.45056e-06 +158 *1564:117 *21354:A 5.48795e-06 +159 *1564:117 *21354:B 1.03403e-05 +160 *1564:117 *22402:B1 4.47134e-05 +161 *1564:117 *22604:B2 0.000522201 +162 *1564:117 *22716:A1 0.000259415 +163 *1564:117 *22716:A2 5.8334e-05 +164 *1564:117 *22717:A1 2.41916e-05 +165 *1564:117 *22717:C1 4.91225e-06 +166 *1564:117 *22719:A1 0.000125308 +167 *1564:117 *22719:B1 7.92757e-06 +168 *1564:117 *3513:212 0.000443323 +169 *1564:119 *22041:B2 0.000319292 +170 *1564:119 *22271:A 1.65872e-05 +171 *1564:119 *22271:B 6.36477e-05 +172 *1564:119 *22271:D 2.16355e-05 +173 *1564:119 *22604:A2 6.08467e-05 +174 *1564:119 *22604:B1 2.16355e-05 +175 *1564:119 *22604:B2 0.000156955 +176 *1564:119 *1584:95 0.000428455 +177 *1564:119 *3051:57 4.79321e-06 +178 *1564:119 *3233:8 2.137e-05 +179 *1564:134 *21549:B1 0.000202101 +180 *1564:134 *21630:B1 0.00122721 +181 *1564:134 *22018:A 0.00059967 +182 *1564:134 *22018:D 0.00033204 +183 *1564:134 *22041:B1 7.92757e-06 +184 *1564:134 *22041:B2 2.16355e-05 +185 *1564:134 *22042:D 1.19726e-05 +186 *1564:134 *22061:A 5.8353e-05 +187 *1564:134 *22062:C 0.000111802 +188 *1564:134 *22270:B2 0.000169513 +189 *1564:134 *1584:95 0.00172509 +190 *1564:134 *1596:65 2.17325e-05 +191 *1564:134 *1666:12 0.000276808 +192 *1564:134 *2093:181 0.000260857 +193 *1564:134 *3111:186 0.000394507 +194 *1564:134 *3200:14 0.000257432 +195 *1564:134 *3209:6 0.000272344 +196 *1564:171 *21259:B2 3.22466e-05 +197 *1564:171 *21260:A1 0.000205505 +198 *1564:171 *21260:B2 0.000148349 +199 *1564:171 *21283:A1 0.000153912 +200 *1564:171 *21998:B1 0 +201 *1564:171 *21999:A2 0.000195393 +202 *1564:171 *1610:77 8.17829e-06 +203 *1564:171 *1635:83 0.000456485 +204 *1564:171 *1884:45 0.000299419 +205 *1564:171 *2305:40 0.000193687 +206 *1564:171 *2305:49 0.000795509 +207 *1564:171 *2320:68 0.000195968 +208 *1564:171 *2415:64 8.70553e-05 +209 *1564:171 *2460:51 2.57465e-06 +210 *1564:171 *2461:12 0.00012279 +211 *1564:171 *2461:16 0.000158797 +212 *1564:171 *2475:18 1.91391e-05 +213 *1564:171 *2949:71 0.000149822 +214 *1564:171 *3153:137 8.20799e-06 +215 *1564:171 *3192:91 7.63624e-05 +216 *1564:171 *3343:19 5.79178e-05 +217 *1564:171 *3359:14 1.62467e-05 +218 *1564:171 *5893:18 5.80533e-06 +219 *1564:206 *21063:B1 7.40833e-05 +220 *1564:206 *1730:48 0.00068023 +221 *19810:A1 *19810:B2 0.000132946 +222 *522:18 *21340:A2 6.62101e-05 +223 *522:18 *1564:206 0.000198157 +224 *537:14 *1564:84 0.00101308 +225 *537:14 *1564:86 2.60273e-06 +226 *537:29 *21066:B 0.000158451 +227 *537:29 *1564:86 0.000829425 +228 *1443:60 *1564:62 0.000315597 +229 *1543:39 *1564:134 0.000202947 +230 *1545:17 *1564:17 0.000833722 +231 *1545:17 *1564:206 0.000671755 +232 *1547:114 *19810:B2 4.82988e-05 +233 *1553:13 *1564:11 0.00188817 +*RES +1 *19806:X *1564:11 49.6678 +2 *1564:11 *1564:13 9.24915 +3 *1564:13 *1564:14 81.1229 +4 *1564:14 *1564:17 22.7284 +5 *1564:17 *1564:31 16.9257 +6 *1564:31 *1564:37 45.8165 +7 *1564:37 *1564:50 32.3042 +8 *1564:50 *1564:62 19.5481 +9 *1564:62 *21658:A2 15.5817 +10 *1564:62 *1564:84 42.6605 +11 *1564:84 *1564:86 11.2368 +12 *1564:86 *1564:89 11.7303 +13 *1564:89 *21248:B2 13.7491 +14 *1564:89 *1564:106 25.3837 +15 *1564:106 *1564:114 14.012 +16 *1564:114 *1564:117 42.3428 +17 *1564:117 *1564:119 11.8155 +18 *1564:119 *1564:134 46.7311 +19 *1564:134 *19810:B2 44.8853 +20 *1564:119 *22041:A2 9.82786 +21 *1564:106 *1564:171 39.6631 +22 *1564:171 *21436:B2 42.1187 +23 *1564:86 *21066:B 24.9468 +24 *1564:50 *21577:B2 19.1108 +25 *1564:17 *1564:206 16.3415 +26 *1564:206 *21893:B2 15.6059 +27 *1564:206 *21340:A2 26.3518 +*END + +*D_NET *1565 0.0487099 +*CONN +*I *22422:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22726:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19809:A I *D sky130_fd_sc_hd__or2_1 +*I *19807:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22422:B1 5.01795e-05 +2 *22726:B1 0.00290899 +3 *19809:A 7.57677e-05 +4 *19807:Y 0.000178515 +5 *1565:17 0.00329861 +6 *1565:12 0.00971705 +7 *1565:11 0.00953153 +8 *19809:A *19809:B 6.36477e-05 +9 *19809:A *19810:C1 3.31745e-05 +10 *19809:A *20053:C 0.000154145 +11 *19809:A *1566:55 1.22756e-05 +12 *19809:A *2771:33 3.55859e-05 +13 *22422:B1 *22772:B2 1.79334e-05 +14 *22422:B1 *1566:55 1.06147e-05 +15 *22422:B1 *3192:230 2.02035e-05 +16 *22726:B1 *19813:A 0.000181996 +17 *22726:B1 *21851:B2 0.000272415 +18 *22726:B1 *22485:A1 6.61947e-05 +19 *22726:B1 *22486:A2 0.000361956 +20 *22726:B1 *22488:A2 0.000173514 +21 *22726:B1 *22488:B2 3.63593e-05 +22 *22726:B1 *22784:B1 0.000283383 +23 *22726:B1 *22790:A1 0.000306525 +24 *22726:B1 *1668:85 0.000111938 +25 *22726:B1 *1725:144 1.67867e-05 +26 *22726:B1 *2654:22 0.00214489 +27 *22726:B1 *2661:30 0.00196298 +28 *22726:B1 *2778:28 1.60637e-05 +29 *22726:B1 *2783:56 0.000255816 +30 *22726:B1 *2866:21 0.000957043 +31 *22726:B1 *2954:74 2.19922e-05 +32 *22726:B1 *3029:33 0.000112569 +33 *22726:B1 *3474:58 7.12662e-05 +34 *22726:B1 *3474:70 7.52602e-05 +35 *22726:B1 *3517:53 0.000104754 +36 *22726:B1 *3702:20 9.08828e-05 +37 *1565:11 *1994:28 0.000216197 +38 *1565:11 *2818:12 0.000102293 +39 *1565:12 *1570:106 0.00037677 +40 *1565:12 *1585:22 0.00280666 +41 *1565:12 *1688:43 0.000441511 +42 *1565:12 *1732:10 0.000109645 +43 *1565:12 *1732:12 0.000131302 +44 *1565:12 *2077:78 0.000119844 +45 *1565:12 *2298:8 0.000251232 +46 *1565:12 *2564:8 0.000133985 +47 *1565:12 *2564:18 0.000155608 +48 *1565:12 *2602:10 0.000280082 +49 *1565:12 *2602:12 0.000304044 +50 *1565:12 *2818:24 0.000349176 +51 *1565:12 *2818:30 0.000127293 +52 *1565:12 *2853:8 0.000109396 +53 *1565:12 *2853:22 0.00129204 +54 *1565:12 *2987:15 0.000158304 +55 *1565:12 *3033:36 0.00514691 +56 *1565:12 *3033:50 0.00118915 +57 *1565:12 *4843:38 0.000145266 +58 *1565:12 *5853:32 0.000108025 +59 *1565:12 *5867:125 0.000124982 +60 *1565:17 *19813:A 7.70416e-05 +61 *1565:17 *22773:A2 6.26584e-07 +62 *1565:17 *1566:31 5.60804e-05 +63 *1565:17 *1570:106 7.25378e-05 +64 *1565:17 *1587:121 3.89049e-05 +65 *1565:17 *2954:74 7.82065e-06 +66 *1565:17 *3033:50 0.000376737 +67 *1565:17 *3377:20 0.00010436 +68 *19796:A *22422:B1 5.60804e-05 +69 *1554:36 *22422:B1 7.23277e-06 +*RES +1 *19807:Y *1565:11 21.4363 +2 *1565:11 *1565:12 31.9412 +3 *1565:12 *1565:17 1.62128 +4 *1565:17 *19809:A 19.7444 +5 *1565:17 *22726:B1 35.7223 +6 *1565:12 *22422:B1 18.1361 +*END + +*D_NET *1566 0.0440977 +*CONN +*I *20141:B I *D sky130_fd_sc_hd__or2_2 +*I *22024:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21806:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21536:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19809:B I *D sky130_fd_sc_hd__or2_1 +*I *21647:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19808:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20141:B 0.000543843 +2 *22024:A2 0.000785507 +3 *21806:A2 1.37028e-05 +4 *21536:B2 0 +5 *19809:B 4.53203e-05 +6 *21647:B2 0.000118658 +7 *19808:X 0.000553597 +8 *1566:95 0.00238807 +9 *1566:91 0.00311773 +10 *1566:74 0.00243971 +11 *1566:68 0.00231088 +12 *1566:67 0.0023644 +13 *1566:55 0.00147965 +14 *1566:31 0.00208765 +15 *1566:11 0.00165544 +16 *19809:B *19810:C1 0.000107496 +17 *19809:B *20053:C 4.17605e-05 +18 *20141:B *2056:8 4.61414e-05 +19 *20141:B *2288:14 0.000152287 +20 *20141:B *2592:6 0.00130017 +21 *20141:B *2889:10 0.000858247 +22 *20141:B *4840:190 0.000360159 +23 *20141:B *5308:10 9.75356e-05 +24 *21647:B2 *21647:A1 0.000113333 +25 *21647:B2 *22483:A2 1.5714e-05 +26 *21647:B2 *2671:38 2.1558e-06 +27 *21647:B2 *2686:27 0.000109513 +28 *21647:B2 *3519:159 3.20069e-06 +29 *21647:B2 *3529:80 0.000163315 +30 *21647:B2 *3539:70 2.67684e-05 +31 *21806:A2 *2819:58 1.04818e-05 +32 *22024:A2 *22023:B1 0.000110297 +33 *22024:A2 *22024:A1 4.2845e-05 +34 *22024:A2 *22024:B2 0 +35 *22024:A2 *22034:B2 5.88009e-05 +36 *22024:A2 *22252:B1 0.000161452 +37 *22024:A2 *22259:C1 6.78431e-05 +38 *22024:A2 *2822:7 6.08467e-05 +39 *22024:A2 *3038:8 4.59538e-05 +40 *22024:A2 *3038:17 6.36021e-05 +41 *22024:A2 *4834:69 6.93186e-06 +42 *1566:11 *1625:83 0.000153427 +43 *1566:11 *2686:27 1.54577e-05 +44 *1566:11 *2851:48 3.38973e-05 +45 *1566:11 *3474:115 3.73224e-05 +46 *1566:11 *3529:80 0.000352365 +47 *1566:11 *3539:70 1.80583e-05 +48 *1566:11 *5456:29 0.000107496 +49 *1566:31 *22773:A2 3.21156e-06 +50 *1566:31 *1587:121 7.84467e-06 +51 *1566:31 *3033:50 0.000388999 +52 *1566:31 *3377:20 0.00171444 +53 *1566:31 *5453:21 0.0006713 +54 *1566:55 *22016:A1 7.27023e-06 +55 *1566:55 *22053:B1 7.14698e-05 +56 *1566:55 *22422:A1 9.47944e-05 +57 *1566:55 *22422:A2 2.41274e-06 +58 *1566:55 *22422:B2 2.77419e-05 +59 *1566:55 *22422:C1 2.16355e-05 +60 *1566:55 *22757:A1 3.52909e-05 +61 *1566:55 *1587:121 0.000246319 +62 *1566:55 *1732:35 0.00115384 +63 *1566:55 *1776:79 0.000100523 +64 *1566:55 *2771:33 0.000297247 +65 *1566:55 *2837:49 0.000207143 +66 *1566:55 *2889:22 3.29687e-05 +67 *1566:55 *3168:113 0.000198293 +68 *1566:55 *3192:230 1.99439e-05 +69 *1566:55 *3537:103 0.000696023 +70 *1566:55 *3699:23 8.12259e-06 +71 *1566:67 *22048:B1 0.000117326 +72 *1566:67 *22500:A1 0.000154145 +73 *1566:67 *1776:79 4.84017e-05 +74 *1566:67 *2567:35 0.000591873 +75 *1566:67 *2785:75 1.68741e-05 +76 *1566:67 *2889:22 9.37404e-05 +77 *1566:67 *3155:127 9.39114e-06 +78 *1566:67 *3541:140 0.000167377 +79 *1566:67 *3541:148 5.11321e-05 +80 *1566:68 *20981:B 0.000756136 +81 *1566:68 *22462:A1 1.01585e-05 +82 *1566:68 *1594:30 7.10735e-06 +83 *1566:68 *2567:12 0.000161315 +84 *1566:68 *2592:6 0.00011011 +85 *1566:68 *2592:20 0.000265917 +86 *1566:68 *2608:14 0.000742904 +87 *1566:68 *2614:8 0.000799638 +88 *1566:68 *2614:17 1.91846e-05 +89 *1566:68 *2614:18 6.25338e-05 +90 *1566:68 *2807:19 0.0014263 +91 *1566:68 *3065:19 0.000594089 +92 *1566:68 *3106:169 0.000556287 +93 *1566:68 *4932:8 0.000161675 +94 *1566:68 *5867:66 1.91391e-05 +95 *1566:74 *2592:6 0.00065499 +96 *1566:74 *2604:14 0.000123582 +97 *1566:74 *2620:47 0.000207266 +98 *1566:74 *2889:10 0.000484049 +99 *1566:74 *2889:20 1.62321e-05 +100 *1566:91 *20389:A2 0.000150811 +101 *1566:91 *20389:B1 0.000104292 +102 *1566:91 *20389:B2 2.16355e-05 +103 *1566:91 *20491:A 5.08751e-05 +104 *1566:91 *1619:58 0.000150478 +105 *1566:91 *1947:8 0.000561682 +106 *1566:91 *2075:19 7.99971e-05 +107 *1566:91 *2765:17 0.001502 +108 *1566:95 *6160:DIODE 6.08467e-05 +109 *1566:95 *20384:A 2.15348e-05 +110 *1566:95 *22023:A1 8.86277e-05 +111 *1566:95 *1721:184 0.0006323 +112 *1566:95 *2819:58 0.000302715 +113 *19808:A *1566:11 0.000250333 +114 *19809:A *19809:B 6.36477e-05 +115 *19809:A *1566:55 1.22756e-05 +116 *21806:B2 *22024:A2 0.000190521 +117 *21806:B2 *1566:95 7.92757e-06 +118 *22422:B1 *1566:55 1.06147e-05 +119 *24361:D *1566:68 9.83856e-05 +120 *24650:D *1566:91 6.50727e-05 +121 *657:209 *1566:68 0.000162936 +122 *657:212 *20141:B 0.000175689 +123 *1554:36 *1566:55 0.000191722 +124 *1565:17 *1566:31 5.60804e-05 +*RES +1 *19808:X *1566:11 23.6191 +2 *1566:11 *21647:B2 19.0157 +3 *1566:11 *1566:31 8.26125 +4 *1566:31 *19809:B 15.5817 +5 *1566:31 *1566:55 45.0512 +6 *1566:55 *21536:B2 13.7491 +7 *1566:55 *1566:67 22.2238 +8 *1566:67 *1566:68 69.5181 +9 *1566:68 *1566:74 23.1853 +10 *1566:74 *1566:91 44.3591 +11 *1566:91 *1566:95 24.0409 +12 *1566:95 *21806:A2 9.82786 +13 *1566:95 *22024:A2 37.78 +14 *1566:74 *20141:B 40.81 +*END + +*D_NET *1567 0.00266326 +*CONN +*I *19810:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19809:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19810:C1 0.000654786 +2 *19809:X 0.000654786 +3 *19810:C1 *19813:A 0 +4 *19810:C1 *19821:B1 3.31745e-05 +5 *19810:C1 *20053:C 1.58551e-05 +6 *19810:C1 *22773:A1 8.62625e-06 +7 *19810:C1 *22773:B1 0 +8 *19810:C1 *1587:121 7.13655e-06 +9 *19810:C1 *1809:17 9.47945e-05 +10 *19810:C1 *2771:33 7.33454e-05 +11 *19810:C1 *2954:74 2.26985e-05 +12 *19810:C1 *3192:230 1.55462e-05 +13 *19789:B *19810:C1 0.000125475 +14 *19809:A *19810:C1 3.31745e-05 +15 *19809:B *19810:C1 0.000107496 +16 *19810:A1 *19810:C1 8.62404e-06 +17 *19810:B2 *19810:C1 8.10963e-05 +18 *1547:114 *19810:C1 3.45694e-05 +19 *1560:76 *19810:C1 0.000692074 +*RES +1 *19809:X *19810:C1 45.069 +*END + +*D_NET *1568 0.000333004 +*CONN +*I *19822:C I *D sky130_fd_sc_hd__and4_1 +*I *19810:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19822:C 7.92265e-05 +2 *19810:X 7.92265e-05 +3 *19822:C *1586:33 2.08365e-05 +4 *19822:C *2847:8 0.000100106 +5 *19822:C *2954:74 5.36085e-05 +*RES +1 *19810:X *19822:C 29.7455 +*END + +*D_NET *1569 0.0558415 +*CONN +*I *22418:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *19821:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22739:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19811:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22418:A1 0.00123251 +2 *19821:A1 5.89394e-05 +3 *22739:A1 0.000485347 +4 *19811:Y 0.00100769 +5 *1569:96 0.000109669 +6 *1569:95 0.000854114 +7 *1569:78 0.00175611 +8 *1569:71 0.00289613 +9 *1569:54 0.00376027 +10 *1569:34 0.00464172 +11 *1569:23 0.00501083 +12 *1569:9 0.00308258 +13 *19821:A1 *19822:D 6.3657e-05 +14 *19821:A1 *20053:C 0.000152158 +15 *22418:A1 *22813:B1 6.26584e-07 +16 *22418:A1 *1593:26 2.12861e-05 +17 *22418:A1 *1625:146 1.48859e-05 +18 *22418:A1 *1724:76 0.000613035 +19 *22418:A1 *1784:71 0.000105846 +20 *22418:A1 *2565:22 2.09053e-05 +21 *22418:A1 *2671:26 0.000104754 +22 *22418:A1 *2779:41 8.09551e-05 +23 *22418:A1 *3033:36 0.000292581 +24 *22418:A1 *3057:29 0.000208193 +25 *22418:A1 *3114:180 8.34321e-06 +26 *22418:A1 *3190:77 0.000109853 +27 *22418:A1 *3192:211 0.000186302 +28 *22418:A1 *3457:20 0.000215796 +29 *22418:A1 *3543:156 5.35941e-05 +30 *22739:A1 *22498:B1 0.000247443 +31 *22739:A1 *22498:B2 6.34651e-06 +32 *22739:A1 *22756:A1 6.50586e-05 +33 *22739:A1 *22756:A2 4.07355e-05 +34 *22739:A1 *22756:B1 0.000448325 +35 *22739:A1 *22756:B2 6.64392e-05 +36 *22739:A1 *22757:C1 0.000231004 +37 *22739:A1 *22806:B1 0.000117372 +38 *22739:A1 *2632:53 0.00106714 +39 *22739:A1 *2632:67 4.10126e-05 +40 *22739:A1 *2979:5 0.000739784 +41 *22739:A1 *3428:14 0.000130395 +42 *1569:9 *21144:B 3.17782e-05 +43 *1569:9 *22026:A 0.000252327 +44 *1569:9 *24453:CLK 0.000110695 +45 *1569:9 *1582:43 9.75356e-05 +46 *1569:9 *1688:34 0.000968023 +47 *1569:9 *2231:6 7.86847e-05 +48 *1569:9 *2604:6 8.21849e-06 +49 *1569:9 *4106:72 9.88457e-05 +50 *1569:9 *4739:36 0 +51 *1569:9 *4932:14 0.000246986 +52 *1569:9 *4932:49 0.000234168 +53 *1569:23 *24358:CLK 8.3916e-05 +54 *1569:23 *1784:71 0.000537342 +55 *1569:23 *2166:8 0.00097101 +56 *1569:23 *2167:6 0.000271139 +57 *1569:23 *2685:25 0.000426174 +58 *1569:23 *2779:41 0.000259702 +59 *1569:23 *2807:8 1.98952e-05 +60 *1569:23 *3035:23 1.80208e-05 +61 *1569:23 *3527:156 0.000576641 +62 *1569:23 *4739:51 0.000722668 +63 *1569:23 *4932:89 6.71345e-05 +64 *1569:23 *5851:9 0.000135814 +65 *1569:23 *5866:45 8.20569e-05 +66 *1569:23 *5866:54 0.000162529 +67 *1569:34 *22472:B1 4.10136e-05 +68 *1569:34 *1574:38 0.000609156 +69 *1569:34 *1605:46 7.78648e-06 +70 *1569:34 *2685:25 1.42292e-05 +71 *1569:34 *3002:69 0.000164855 +72 *1569:34 *3029:33 4.54758e-06 +73 *1569:34 *3040:18 0.00114331 +74 *1569:34 *3040:22 0.0010316 +75 *1569:34 *3157:139 3.77568e-05 +76 *1569:34 *3166:101 1.79503e-05 +77 *1569:34 *3537:88 5.59363e-05 +78 *1569:34 *3699:23 0.000726956 +79 *1569:34 *5458:13 1.7003e-05 +80 *1569:54 *20889:A1 1.05272e-06 +81 *1569:54 *20889:A2 0.000118277 +82 *1569:54 *22413:A1 5.8973e-05 +83 *1569:54 *22772:A2 6.3657e-05 +84 *1569:54 *1603:35 0.000110297 +85 *1569:54 *1603:48 7.99851e-05 +86 *1569:54 *1690:120 5.27656e-05 +87 *1569:54 *1733:26 8.44387e-05 +88 *1569:54 *1808:87 0.000147651 +89 *1569:54 *2779:41 0.000209028 +90 *1569:54 *2863:27 0.000523409 +91 *1569:54 *2878:51 1.97642e-05 +92 *1569:54 *3134:84 0.000107413 +93 *1569:54 *3452:6 0.000205487 +94 *1569:54 *3529:92 9.25476e-05 +95 *1569:54 *5453:21 0.00105592 +96 *1569:54 *5924:37 0.00139231 +97 *1569:71 *20487:A1 3.82228e-05 +98 *1569:71 *21825:A1 0.00124325 +99 *1569:71 *22808:A1 6.00033e-05 +100 *1569:71 *1587:121 0.00057085 +101 *1569:71 *1744:171 7.39842e-05 +102 *1569:71 *1973:27 0.000379833 +103 *1569:71 *1973:29 3.12828e-05 +104 *1569:71 *2833:73 0.000177247 +105 *1569:71 *2863:14 0.000217293 +106 *1569:71 *3066:26 0.000406497 +107 *1569:71 *3717:14 6.76424e-05 +108 *1569:78 *20489:A1 6.72613e-05 +109 *1569:78 *20489:B2 0.000122433 +110 *1569:78 *21491:A 1.5047e-05 +111 *1569:78 *21571:B1 7.63256e-05 +112 *1569:78 *1744:156 0.000178575 +113 *1569:78 *1744:171 4.25398e-05 +114 *1569:78 *1973:33 7.23587e-06 +115 *1569:78 *2597:12 7.27157e-05 +116 *1569:78 *2608:32 1.76791e-05 +117 *1569:78 *2624:54 9.79149e-05 +118 *1569:78 *2709:24 0.000690889 +119 *1569:78 *2709:37 1.85931e-05 +120 *1569:78 *2844:53 0.000752997 +121 *1569:78 *5869:588 0.000197395 +122 *1569:78 *5869:594 0.000223097 +123 *1569:78 *5869:611 0.00010617 +124 *1569:95 *20053:C 0.00101133 +125 *1569:95 *22772:A1 7.77744e-05 +126 *1569:95 *22772:A2 0.00061645 +127 *1569:95 *1808:87 1.30449e-05 +128 *1569:95 *1809:17 0.00048685 +129 *19817:B *1569:71 0.000932508 +130 *21777:A2 *1569:71 5.60804e-05 +131 *21783:B2 *22418:A1 6.42311e-06 +132 *24583:D *1569:71 0.000115585 +133 *24584:D *1569:78 0.000101133 +134 *1438:169 *1569:9 0.000631401 +135 *1515:91 *1569:78 0.000240558 +136 *1546:29 *1569:54 0.000587524 +137 *1561:111 *22418:A1 5.74683e-06 +*RES +1 *19811:Y *1569:9 49.0529 +2 *1569:9 *1569:23 43.1774 +3 *1569:23 *1569:34 15.7656 +4 *1569:34 *1569:54 47.0942 +5 *1569:54 *1569:71 31.2218 +6 *1569:71 *1569:78 45.0237 +7 *1569:78 *22739:A1 40.6845 +8 *1569:34 *1569:95 31.6021 +9 *1569:95 *1569:96 57.9449 +10 *1569:96 *19821:A1 20.3309 +11 *1569:23 *22418:A1 21.9811 +*END + +*D_NET *1570 0.0644212 +*CONN +*I *21795:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19821:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22029:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20981:B I *D sky130_fd_sc_hd__or2_1 +*I *21604:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21565:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *19812:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21795:B2 0.000114374 +2 *19821:A2 5.21223e-05 +3 *22029:B2 0.00190104 +4 *20981:B 0.00159666 +5 *21604:B2 2.45376e-05 +6 *21565:A2 0.00130393 +7 *19812:X 0.00245248 +8 *1570:106 0.00474903 +9 *1570:80 0.00214883 +10 *1570:76 0.00217974 +11 *1570:50 0.00290726 +12 *1570:15 0.00541915 +13 *19821:A2 *20053:C 4.03324e-05 +14 *20981:B *1689:135 0.00240207 +15 *20981:B *2126:7 0.000200794 +16 *20981:B *3106:169 0.000755731 +17 *21565:A2 *19971:A1 5.60804e-05 +18 *21565:A2 *22736:A2 4.69495e-06 +19 *21565:A2 *1708:70 0.00209501 +20 *21565:A2 *1723:47 0.000403991 +21 *21565:A2 *2502:42 9.07564e-05 +22 *21565:A2 *2622:9 0.000365692 +23 *21565:A2 *2632:83 0.000305506 +24 *21565:A2 *2746:43 0.000419078 +25 *21565:A2 *2997:30 1.5714e-05 +26 *21565:A2 *3406:29 6.69933e-05 +27 *21565:A2 *3427:28 0.00106959 +28 *21565:A2 *3705:17 0.000108436 +29 *21604:B2 *21643:B 6.08467e-05 +30 *21795:B2 *21795:A2 0.000331653 +31 *21795:B2 *2807:39 0.000101469 +32 *22029:B2 *21512:A1 6.08467e-05 +33 *22029:B2 *21512:A2 0.000258772 +34 *22029:B2 *21512:B2 0.000294623 +35 *22029:B2 *22029:C1 7.16754e-05 +36 *22029:B2 *22042:A 0.000214587 +37 *22029:B2 *22061:A 4.78069e-06 +38 *22029:B2 *22748:A2 8.94611e-05 +39 *22029:B2 *1689:135 0.00210042 +40 *22029:B2 *2093:158 9.28716e-05 +41 *22029:B2 *2567:41 0.000583261 +42 *22029:B2 *2601:58 0.000205039 +43 *22029:B2 *3038:23 6.17618e-05 +44 *22029:B2 *3111:186 0.000160824 +45 *1570:15 *23924:B 0.000421524 +46 *1570:15 *1604:100 9.17077e-05 +47 *1570:15 *1751:120 5.71552e-05 +48 *1570:15 *1772:22 6.51057e-05 +49 *1570:15 *2522:32 7.94598e-05 +50 *1570:15 *2959:35 0.000226438 +51 *1570:15 *3114:96 0.00116296 +52 *1570:15 *3134:62 0.000328827 +53 *1570:15 *3157:91 0.000485377 +54 *1570:15 *3175:71 6.0552e-05 +55 *1570:15 *3406:29 0.000135955 +56 *1570:15 *3509:81 0.000401276 +57 *1570:15 *3517:53 6.84371e-05 +58 *1570:15 *3523:74 7.71146e-05 +59 *1570:15 *3722:8 0.000169222 +60 *1570:50 *21500:D1 0.000351641 +61 *1570:50 *23924:B 0.00139403 +62 *1570:50 *1598:46 0.00012187 +63 *1570:50 *1647:10 0.000184049 +64 *1570:50 *1649:123 3.98464e-05 +65 *1570:50 *1750:69 0.000101913 +66 *1570:50 *1750:73 8.94611e-05 +67 *1570:50 *2523:84 0.000231999 +68 *1570:50 *2543:88 1.43499e-05 +69 *1570:50 *2675:95 2.05082e-05 +70 *1570:50 *2805:22 0.000623157 +71 *1570:50 *3208:107 0.000100344 +72 *1570:50 *3406:29 0.000569829 +73 *1570:50 *3509:60 6.23101e-05 +74 *1570:50 *3517:53 0.000511906 +75 *1570:50 *4848:8 4.30361e-05 +76 *1570:50 *5593:46 6.03237e-05 +77 *1570:76 *21604:A2 6.50727e-05 +78 *1570:76 *21643:B 1.34424e-05 +79 *1570:76 *21808:D 0.000401719 +80 *1570:76 *22554:A1 0.000109247 +81 *1570:76 *1720:52 0.000215939 +82 *1570:76 *1788:89 9.90705e-05 +83 *1570:76 *2539:12 0.000496968 +84 *1570:76 *2556:28 0.000160099 +85 *1570:76 *2564:68 2.05082e-05 +86 *1570:76 *2659:17 8.62904e-05 +87 *1570:76 *2774:8 0.000494137 +88 *1570:76 *2805:22 1.80692e-05 +89 *1570:76 *3511:68 0.000406069 +90 *1570:76 *3529:62 0.000116391 +91 *1570:76 *3739:8 0.00052777 +92 *1570:80 *21795:A2 0.000132698 +93 *1570:80 *1573:207 0.00026815 +94 *1570:80 *2807:39 0.00163683 +95 *1570:80 *2815:48 0.00107335 +96 *1570:80 *2850:56 0.000225203 +97 *1570:80 *3461:15 2.62332e-05 +98 *1570:80 *3461:24 0.000300291 +99 *1570:106 *19820:A1 4.20585e-05 +100 *1570:106 *19820:A2 9.12416e-06 +101 *1570:106 *22762:B1 0.000810376 +102 *1570:106 *22772:B2 0.000257959 +103 *1570:106 *1576:86 9.38045e-05 +104 *1570:106 *1585:22 0.000624815 +105 *1570:106 *1690:132 0.000161262 +106 *1570:106 *2778:28 2.84706e-05 +107 *1570:106 *2783:56 0.000161234 +108 *1570:106 *2850:56 4.24913e-05 +109 *1570:106 *3377:20 0.000228543 +110 *1570:106 *3415:22 3.04414e-05 +111 *1570:106 *3461:15 6.04912e-06 +112 *1570:106 *5904:70 0.000101888 +113 *19789:B *1570:80 9.39556e-05 +114 *19796:A *1570:106 2.55736e-05 +115 *19899:A *1570:50 6.03237e-05 +116 *20859:A *20981:B 0.000188843 +117 *20885:A *20981:B 0.00011871 +118 *21604:A1 *1570:76 7.82184e-05 +119 *24910:A *1570:15 0.00287339 +120 *518:15 *1570:76 0.000102222 +121 *1419:249 *20981:B 0.00197376 +122 *1419:249 *22029:B2 0.00140845 +123 *1419:261 *20981:B 0.000237472 +124 *1419:263 *20981:B 0.000107496 +125 *1541:169 *1570:15 9.03991e-06 +126 *1544:108 *21565:A2 3.46002e-05 +127 *1550:101 *1570:106 0.000113478 +128 *1562:50 *21795:B2 3.99086e-06 +129 *1565:12 *1570:106 0.00037677 +130 *1565:17 *1570:106 7.25378e-05 +131 *1566:68 *20981:B 0.000756136 +*RES +1 *19812:X *1570:15 35.1536 +2 *1570:15 *21565:A2 27.7756 +3 *1570:15 *1570:50 33.0633 +4 *1570:50 *21604:B2 9.97254 +5 *1570:50 *1570:76 42.6573 +6 *1570:76 *1570:80 36.9844 +7 *1570:80 *1570:106 27.5097 +8 *1570:106 *20981:B 41.9065 +9 *1570:106 *22029:B2 43.8949 +10 *1570:80 *19821:A2 14.928 +11 *1570:76 *21795:B2 13.903 +*END + +*D_NET *1571 0.000820121 +*CONN +*I *19821:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19813:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19821:B1 0.000199979 +2 *19813:Y 0.000199979 +3 *19821:B1 *1573:207 0.000113186 +4 *19821:B1 *1809:17 6.08467e-05 +5 *19821:B1 *3461:15 0.000113186 +6 *19789:B *19821:B1 9.9771e-05 +7 *19810:C1 *19821:B1 3.31745e-05 +*RES +1 *19813:Y *19821:B1 32.8803 +*END + +*D_NET *1572 0.000216013 +*CONN +*I *19815:A I *D sky130_fd_sc_hd__buf_12 +*I *19814:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19815:A 3.51473e-05 +2 *19814:X 3.51473e-05 +3 *19815:A *2745:11 3.82228e-05 +4 *1501:42 *19815:A 0.000107496 +*RES +1 *19814:X *19815:A 19.7763 +*END + +*D_NET *1573 0.152163 +*CONN +*I *21899:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20251:A I *D sky130_fd_sc_hd__or2_1 +*I *21282:A I *D sky130_fd_sc_hd__inv_8 +*I *21403:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20309:A2 I *D sky130_fd_sc_hd__a211o_4 +*I *21181:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19821:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21476:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *19815:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *21899:B2 0.000640832 +2 *20251:A 2.58341e-05 +3 *21282:A 0 +4 *21403:A2 1.55443e-05 +5 *20309:A2 0 +6 *21181:B2 0 +7 *19821:B2 0 +8 *21476:A2 0.00366823 +9 *19815:X 0 +10 *1573:231 0.0016831 +11 *1573:207 0.00119572 +12 *1573:196 0.00282929 +13 *1573:183 0.00294333 +14 *1573:166 0.00272093 +15 *1573:155 0.00405517 +16 *1573:141 0.00235305 +17 *1573:120 0.00571213 +18 *1573:113 0.00131846 +19 *1573:101 0.00154939 +20 *1573:95 0.00141397 +21 *1573:91 0.000528767 +22 *1573:90 0.00077291 +23 *1573:84 0.00153475 +24 *1573:76 0.00222682 +25 *1573:74 0.00172168 +26 *1573:71 0.00128018 +27 *1573:63 0.00451023 +28 *1573:62 0.00373501 +29 *1573:59 0.00182333 +30 *1573:49 0.00263665 +31 *1573:46 0.00239056 +32 *1573:33 0.00235366 +33 *1573:18 0.0025807 +34 *1573:15 0.00238262 +35 *1573:8 0.00222927 +36 *1573:4 0.00208239 +37 *20251:A *20251:B 6.50727e-05 +38 *20251:A *1709:65 3.56872e-05 +39 *20251:A *1709:69 1.41976e-05 +40 *21403:A2 *2307:19 1.67329e-05 +41 *21403:A2 *4908:193 5.94977e-06 +42 *21476:A2 *21194:B1 0.000201973 +43 *21476:A2 *21476:B1 0.000110785 +44 *21476:A2 *21583:A2 0.000432205 +45 *21476:A2 *22332:B2 1.07881e-05 +46 *21476:A2 *1577:74 0.00045051 +47 *21476:A2 *1680:111 0.000122812 +48 *21476:A2 *1730:240 0.000939973 +49 *21476:A2 *2244:19 3.43802e-05 +50 *21476:A2 *3122:39 0.00300407 +51 *21476:A2 *3122:64 0.00103634 +52 *21476:A2 *3196:41 4.181e-05 +53 *21476:A2 *3466:21 0.00392612 +54 *21476:A2 *3471:15 1.60256e-05 +55 *21476:A2 *3474:42 3.96926e-05 +56 *21476:A2 *3519:47 0.000261629 +57 *21476:A2 *3519:59 9.94284e-06 +58 *21476:A2 *4819:72 1.82433e-05 +59 *21476:A2 *4856:18 7.55619e-05 +60 *21476:A2 *4870:134 6.08467e-05 +61 *21899:B2 *21218:A2 6.08467e-05 +62 *21899:B2 *21898:A2 2.0833e-05 +63 *21899:B2 *21899:A1 6.01223e-05 +64 *21899:B2 *24992:A 6.50586e-05 +65 *21899:B2 *1766:82 0.000450883 +66 *21899:B2 *1818:48 3.01683e-06 +67 *21899:B2 *2745:11 0.000174664 +68 *21899:B2 *2915:8 0.000242449 +69 *21899:B2 *2974:13 0.000124641 +70 *21899:B2 *2994:29 8.52618e-05 +71 *21899:B2 *3208:72 1.61631e-05 +72 *21899:B2 *4931:5 2.71185e-05 +73 *21899:B2 *4931:10 4.97617e-05 +74 *21899:B2 *4931:44 5.20882e-05 +75 *1573:8 *19880:A 0.000273256 +76 *1573:8 *21683:C1 0.000101133 +77 *1573:8 *21684:B 7.86224e-05 +78 *1573:8 *1638:11 0 +79 *1573:8 *1639:20 6.52993e-05 +80 *1573:8 *1678:35 0.000170979 +81 *1573:8 *1689:47 0.000422284 +82 *1573:8 *1689:51 0.000455532 +83 *1573:8 *1735:175 4.7918e-05 +84 *1573:8 *1755:110 0 +85 *1573:8 *2514:16 3.65419e-06 +86 *1573:8 *2514:18 0.00100106 +87 *1573:8 *2514:37 0.000186159 +88 *1573:8 *2517:13 1.33276e-05 +89 *1573:8 *2713:38 6.51746e-05 +90 *1573:8 *2746:17 1.5714e-05 +91 *1573:8 *3177:168 9.57557e-06 +92 *1573:8 *5917:560 3.4902e-05 +93 *1573:15 *24519:RESET_B 0.000111708 +94 *1573:15 *24519:CLK 0.000107496 +95 *1573:15 *1947:219 9.49244e-05 +96 *1573:15 *1947:228 0.00122953 +97 *1573:15 *2514:16 0.00147999 +98 *1573:15 *2514:18 2.16006e-05 +99 *1573:15 *5082:34 3.65454e-05 +100 *1573:15 *5917:560 8.01482e-05 +101 *1573:18 *20601:B1 6.27796e-05 +102 *1573:18 *20604:B2 3.41747e-05 +103 *1573:18 *21980:B1 1.23546e-05 +104 *1573:18 *1735:16 4.6839e-05 +105 *1573:18 *1756:105 0 +106 *1573:18 *1947:228 0.000189689 +107 *1573:18 *1947:231 2.93492e-05 +108 *1573:18 *1947:242 0.00121936 +109 *1573:18 *2009:41 6.48595e-05 +110 *1573:18 *2514:16 0.000215487 +111 *1573:18 *5860:110 0.000277214 +112 *1573:18 *5860:132 0 +113 *1573:18 *5860:141 0.000271533 +114 *1573:33 *20453:B 0.000170378 +115 *1573:33 *21084:A1 1.43983e-05 +116 *1573:33 *24520:CLK 5.04829e-06 +117 *1573:33 *2205:8 1.67988e-05 +118 *1573:33 *2505:23 0.000536581 +119 *1573:33 *2505:29 0.000920529 +120 *1573:33 *2561:22 0.00056613 +121 *1573:33 *2579:16 5.39635e-06 +122 *1573:33 *2992:12 2.01653e-05 +123 *1573:33 *4832:109 0.000114222 +124 *1573:33 *4876:66 4.50698e-05 +125 *1573:33 *5856:405 0.000179996 +126 *1573:46 *20354:B1 0.000170281 +127 *1573:46 *20356:A2 4.0752e-05 +128 *1573:46 *1711:38 0.000937185 +129 *1573:46 *1930:51 0.000393863 +130 *1573:46 *2205:8 0.000334808 +131 *1573:46 *2675:28 0.000539495 +132 *1573:46 *2928:14 3.55968e-05 +133 *1573:46 *2970:8 0 +134 *1573:46 *4832:109 0 +135 *1573:46 *5856:405 0.000693341 +136 *1573:46 *5860:433 6.25838e-06 +137 *1573:46 *5860:445 0.000459521 +138 *1573:46 *5870:359 8.25963e-05 +139 *1573:49 *1636:10 0.000712371 +140 *1573:49 *2675:42 0.000514974 +141 *1573:49 *2894:7 0.00065071 +142 *1573:49 *4829:23 0.00373676 +143 *1573:59 *24000:A1 0.00020502 +144 *1573:59 *24285:RESET_B 0.000909817 +145 *1573:59 *24750:SET_B 0.00014642 +146 *1573:59 *24753:RESET_B 0.000402763 +147 *1573:59 *4866:12 0.000208432 +148 *1573:59 *4878:111 0.000154437 +149 *1573:59 *4878:118 1.50167e-05 +150 *1573:59 *5871:354 0.000138402 +151 *1573:62 *20974:A1 0 +152 *1573:62 *2378:12 0 +153 *1573:62 *4875:6 0.00010534 +154 *1573:63 *21011:A1 2.85274e-05 +155 *1573:63 *23973:A1 6.92705e-05 +156 *1573:63 *23973:S 2.23259e-05 +157 *1573:63 *24397:CLK 0.000380776 +158 *1573:63 *5785:45 7.9817e-05 +159 *1573:63 *5785:52 8.87022e-05 +160 *1573:71 *20774:A1 0.000154062 +161 *1573:71 *20870:B 0.000252891 +162 *1573:71 *20870:C 3.78098e-05 +163 *1573:71 *24255:CLK 0.000136533 +164 *1573:71 *2062:42 8.73087e-05 +165 *1573:71 *2103:62 0.000108627 +166 *1573:71 *5859:294 0 +167 *1573:71 *5871:580 2.15807e-05 +168 *1573:71 *5871:584 8.47992e-05 +169 *1573:71 *6029:20 8.44663e-05 +170 *1573:71 *6029:44 0.000781537 +171 *1573:74 *5857:215 6.92004e-05 +172 *1573:76 *24034:A1 0.000191526 +173 *1573:76 *24697:RESET_B 7.14746e-05 +174 *1573:76 *2384:96 0.000510384 +175 *1573:84 *1917:68 9.99386e-06 +176 *1573:84 *1917:72 0.000104906 +177 *1573:84 *1918:48 7.72722e-05 +178 *1573:84 *2689:22 0.000196638 +179 *1573:84 *4828:100 0.00086157 +180 *1573:84 *4910:12 9.24241e-05 +181 *1573:84 *4910:16 0.000628076 +182 *1573:84 *5864:344 0 +183 *1573:84 *5864:352 1.16596e-05 +184 *1573:90 *24098:A 5.04829e-06 +185 *1573:90 *2300:33 9.19632e-06 +186 *1573:90 *2809:24 0.000107499 +187 *1573:90 *4806:84 0.00158307 +188 *1573:90 *4843:469 6.18823e-05 +189 *1573:90 *4845:328 0.000230848 +190 *1573:90 *4845:335 0.000393329 +191 *1573:91 *1709:69 6.08467e-05 +192 *1573:95 *1679:37 6.63489e-05 +193 *1573:95 *1709:69 0.00190239 +194 *1573:95 *2397:76 0.000679576 +195 *1573:101 *24248:RESET_B 2.52414e-06 +196 *1573:101 *24248:CLK 0.000195902 +197 *1573:101 *24784:CLK 0.000609217 +198 *1573:101 *2117:297 0.000345406 +199 *1573:101 *4866:121 0.00131781 +200 *1573:101 *4908:39 4.59288e-05 +201 *1573:101 *5394:8 0.000102784 +202 *1573:101 *5859:230 0.000560422 +203 *1573:113 *21023:A 0.00020502 +204 *1573:113 *21026:A2 0.000179347 +205 *1573:113 *2177:21 0.000253916 +206 *1573:113 *2178:17 0.000258114 +207 *1573:113 *2256:37 4.47503e-05 +208 *1573:113 *2467:114 9.82849e-05 +209 *1573:113 *4808:12 0.000142905 +210 *1573:120 *22640:A1 0.000115087 +211 *1573:120 *1884:24 0.000797312 +212 *1573:120 *2300:70 0.000138341 +213 *1573:120 *3120:41 6.33138e-05 +214 *1573:120 *3177:237 0.00078925 +215 *1573:120 *4833:77 0.000121187 +216 *1573:120 *4833:98 0.000147164 +217 *1573:141 *21025:A1 1.54795e-05 +218 *1573:141 *1610:44 2.77473e-05 +219 *1573:141 *1688:91 1.79334e-05 +220 *1573:141 *2304:58 0.000481301 +221 *1573:141 *2459:29 0.00187184 +222 *1573:141 *2990:46 3.86928e-05 +223 *1573:141 *3120:41 8.68239e-05 +224 *1573:141 *3120:82 2.45488e-05 +225 *1573:141 *4826:117 3.33546e-05 +226 *1573:141 *4833:98 0.00185041 +227 *1573:141 *4833:130 0.000344583 +228 *1573:141 *4845:575 4.84017e-05 +229 *1573:155 *21247:B1 0.000446583 +230 *1573:155 *1631:57 2.14262e-05 +231 *1573:155 *2274:95 0.000225039 +232 *1573:155 *2295:78 0.00037079 +233 *1573:155 *2304:58 1.3869e-05 +234 *1573:155 *2315:10 0.00042655 +235 *1573:155 *2333:60 0.0001658 +236 *1573:155 *2462:8 2.57465e-06 +237 *1573:155 *2492:32 0.000153273 +238 *1573:155 *2791:50 3.21156e-06 +239 *1573:155 *2908:29 0.000119023 +240 *1573:155 *3120:82 2.33103e-06 +241 *1573:155 *3466:172 0.000417568 +242 *1573:155 *4814:64 1.50066e-05 +243 *1573:155 *4826:117 0.000890891 +244 *1573:155 *5919:72 0.000168737 +245 *1573:166 *21181:A1 8.67924e-06 +246 *1573:166 *21181:A2 1.03403e-05 +247 *1573:166 *21181:B1 3.41459e-05 +248 *1573:166 *21188:A 9.66809e-05 +249 *1573:166 *21417:B2 2.77625e-06 +250 *1573:166 *22712:B1 0.00112188 +251 *1573:166 *2452:51 0.000244071 +252 *1573:166 *2527:13 0.000137512 +253 *1573:166 *3471:183 0.0013553 +254 *1573:166 *3493:26 1.24044e-05 +255 *1573:166 *3493:45 6.61215e-06 +256 *1573:166 *5924:37 0.000131688 +257 *1573:183 *21181:B1 4.50334e-05 +258 *1573:183 *21181:C1 2.16355e-05 +259 *1573:183 *21236:D 0.000262203 +260 *1573:183 *21417:B2 6.08467e-05 +261 *1573:183 *22619:B2 0.000113864 +262 *1573:183 *2255:13 3.99086e-05 +263 *1573:183 *2331:29 0.000214734 +264 *1573:183 *2336:21 0.000211309 +265 *1573:183 *3124:242 0.000146093 +266 *1573:183 *3139:192 0.000462886 +267 *1573:183 *3196:185 0.00124086 +268 *1573:183 *3471:195 2.43314e-05 +269 *1573:183 *3474:175 0.000495774 +270 *1573:183 *3569:13 0.000131452 +271 *1573:196 *21874:B1 0.00119364 +272 *1573:196 *21876:B 0.000222011 +273 *1573:196 *22528:A1 2.37827e-05 +274 *1573:196 *22528:B1 0.000119362 +275 *1573:196 *22528:B2 0.000108696 +276 *1573:196 *22529:B2 4.97617e-05 +277 *1573:196 *22529:C1 6.0682e-05 +278 *1573:196 *22668:A1 7.92757e-06 +279 *1573:196 *22668:A2 0.000354434 +280 *1573:196 *22668:B1 2.45547e-05 +281 *1573:196 *22668:B2 0.00172519 +282 *1573:196 *1716:89 1.65872e-05 +283 *1573:196 *2889:45 6.51389e-05 +284 *1573:196 *3466:228 8.27458e-05 +285 *1573:196 *3471:203 0.000154145 +286 *1573:196 *3494:25 0.000256037 +287 *1573:207 *1796:12 4.76248e-05 +288 *1573:207 *2674:8 4.42142e-05 +289 *1573:207 *2889:45 0.00139995 +290 *1573:207 *3461:15 1.20648e-05 +291 *1573:231 *20309:A1 6.3657e-05 +292 *1573:231 *24311:RESET_B 5.45775e-05 +293 *1573:231 *2315:10 0.000107644 +294 *1573:231 *2462:8 3.42431e-05 +295 *1573:231 *2888:7 0.000360848 +296 *1573:231 *5431:7 0.000570226 +297 *1573:231 *5862:329 1.96456e-05 +298 *6154:DIODE *1573:231 0.000107496 +299 *6207:DIODE *1573:59 0.000237871 +300 *19789:A *1573:207 0.000112783 +301 *19821:B1 *1573:207 0.000113186 +302 *20314:B1 *1573:84 0.000148129 +303 *20315:B1 *1573:76 6.66538e-05 +304 *20975:B1 *1573:63 0.000311263 +305 *21011:B1 *1573:63 0.00011971 +306 *21022:A *1573:113 0.000589733 +307 *23973:A0 *1573:63 0.000126597 +308 *24218:D *1573:33 1.5714e-05 +309 *24246:D *1573:113 8.50356e-05 +310 *24248:D *1573:113 5.01835e-05 +311 *24285:D *1573:59 7.48633e-05 +312 *24505:D *1573:18 1.54974e-05 +313 *24738:D *1573:76 0.000122083 +314 *24739:D *1573:76 7.50872e-05 +315 *324:11 *1573:90 0.000611968 +316 *476:82 *1573:74 0.000727146 +317 *476:82 *1573:76 0.000638613 +318 *482:9 *20251:A 0.000150632 +319 *482:9 *1573:91 4.66492e-05 +320 *482:9 *1573:95 0.000353672 +321 *482:129 *1573:84 0 +322 *500:60 *1573:76 0.000158916 +323 *500:60 *1573:84 4.82328e-05 +324 *500:68 *1573:74 0.000533394 +325 *500:68 *1573:76 0.000874373 +326 *504:16 *1573:101 6.5864e-05 +327 *508:28 *1573:76 0.000199218 +328 *510:72 *1573:59 0.000222313 +329 *518:46 *1573:113 0.000129841 +330 *524:36 *1573:59 8.12425e-05 +331 *534:8 *1573:49 6.08467e-05 +332 *534:8 *1573:62 5.05252e-05 +333 *1418:8 *1573:113 0.000343142 +334 *1419:184 *1573:101 0.000397006 +335 *1428:24 *1573:46 1.48603e-05 +336 *1439:59 *1573:231 0.000103689 +337 *1439:75 *1573:231 4.50474e-05 +338 *1443:60 *1573:141 3.74499e-06 +339 *1497:27 *1573:18 0 +340 *1556:47 *1573:231 0.00044694 +341 *1557:133 *1573:183 0.00130894 +342 *1558:24 *1573:166 0.000841784 +343 *1564:50 *21476:A2 9.21233e-05 +344 *1570:80 *1573:207 0.00026815 +*RES +1 *19815:X *1573:4 9.24915 +2 *1573:4 *1573:8 48.1748 +3 *1573:8 *1573:15 40.3471 +4 *1573:15 *1573:18 48.2725 +5 *1573:18 *1573:33 35.1508 +6 *1573:33 *1573:46 37.3646 +7 *1573:46 *1573:49 46.2641 +8 *1573:49 *1573:59 46.5462 +9 *1573:59 *1573:62 9.65401 +10 *1573:62 *1573:63 52.3015 +11 *1573:63 *1573:71 45.1343 +12 *1573:71 *1573:74 13.9842 +13 *1573:74 *1573:76 52.7004 +14 *1573:76 *1573:84 40.8368 +15 *1573:84 *1573:90 37.8837 +16 *1573:90 *1573:91 0.723396 +17 *1573:91 *1573:95 28.5167 +18 *1573:95 *1573:101 47.1361 +19 *1573:101 *1573:113 41.3959 +20 *1573:113 *1573:120 19.8269 +21 *1573:120 *21476:A2 30.3659 +22 *1573:120 *1573:141 21.22 +23 *1573:141 *1573:155 19.6971 +24 *1573:155 *1573:166 22.6147 +25 *1573:166 *1573:183 42.0243 +26 *1573:183 *1573:196 46.6349 +27 *1573:196 *1573:207 40.8231 +28 *1573:207 *19821:B2 9.24915 +29 *1573:166 *21181:B2 9.24915 +30 *1573:155 *1573:231 46.5246 +31 *1573:231 *20309:A2 9.24915 +32 *1573:141 *21403:A2 14.1496 +33 *1573:91 *21282:A 9.24915 +34 *1573:90 *20251:A 11.0817 +35 *1573:4 *21899:B2 39.5966 +*END + +*D_NET *1574 0.0293927 +*CONN +*I *22417:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19820:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22741:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19816:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22417:B1 0.000935093 +2 *19820:A1 0.000549064 +3 *22741:A1 0 +4 *19816:Y 0.000200159 +5 *1574:38 0.00197539 +6 *1574:21 0.00349835 +7 *1574:20 0.00178314 +8 *1574:12 0.0023127 +9 *1574:7 0.00186665 +10 *19820:A1 *19820:B2 6.98336e-05 +11 *19820:A1 *22741:A2 8.70854e-05 +12 *19820:A1 *1576:86 1.00981e-05 +13 *19820:A1 *1587:121 0.000735478 +14 *19820:A1 *1690:132 0.00118904 +15 *19820:A1 *5904:77 0.000720407 +16 *22417:B1 *22462:B1 2.06657e-05 +17 *22417:B1 *22505:A2 0.000340742 +18 *22417:B1 *22506:C1 6.36477e-05 +19 *22417:B1 *1602:74 0.000131061 +20 *22417:B1 *2535:13 0.000663318 +21 *22417:B1 *2535:36 6.99912e-05 +22 *22417:B1 *2671:26 0.000205681 +23 *22417:B1 *3190:103 0.000630715 +24 *1574:7 *4146:35 1.6956e-05 +25 *1574:12 *1947:45 0.00017627 +26 *1574:12 *2615:8 0.000232251 +27 *1574:12 *3089:36 0 +28 *1574:12 *4126:79 0 +29 *1574:12 *4665:57 0 +30 *1574:12 *5410:8 0.000158391 +31 *1574:12 *5856:74 0 +32 *1574:12 *5856:88 0 +33 *1574:12 *5861:112 2.94331e-05 +34 *1574:20 *20932:A2 0.00021336 +35 *1574:20 *20934:A2 3.6549e-05 +36 *1574:20 *1603:25 0.000180857 +37 *1574:20 *2152:33 0.000154073 +38 *1574:20 *2615:8 5.74464e-05 +39 *1574:20 *2615:13 0.000469238 +40 *1574:20 *3027:8 0.000247372 +41 *1574:20 *4003:54 0.000194926 +42 *1574:20 *4538:31 1.65872e-05 +43 *1574:20 *5861:112 0.000207266 +44 *1574:21 *2535:13 0.0019053 +45 *1574:21 *2615:13 0.000131047 +46 *1574:21 *2807:13 0.000771394 +47 *1574:21 *5856:108 5.04829e-06 +48 *1574:38 *22472:B1 0.00213504 +49 *1574:38 *22812:B1 5.86296e-05 +50 *1574:38 *22813:B1 6.21462e-05 +51 *1574:38 *2615:16 4.45398e-05 +52 *1574:38 *3157:139 5.35941e-05 +53 *1574:38 *3166:105 5.8518e-05 +54 *1574:38 *3166:107 0.000339193 +55 *1574:38 *3699:23 0.00052562 +56 *1574:38 *5458:13 0.000114078 +57 *1574:38 *5851:9 1.91391e-05 +58 *1574:38 *5851:616 0.000199296 +59 *19796:A *1574:38 0.000104754 +60 *495:8 *1574:12 0.00163383 +61 *1435:318 *22417:B1 0.000131061 +62 *1569:34 *1574:38 0.000609156 +63 *1570:106 *19820:A1 4.20585e-05 +*RES +1 *19816:Y *1574:7 16.691 +2 *1574:7 *1574:12 46.7525 +3 *1574:12 *1574:20 35.7144 +4 *1574:20 *1574:21 30.672 +5 *1574:21 *1574:38 29.9409 +6 *1574:38 *22741:A1 9.24915 +7 *1574:38 *19820:A1 31.0957 +8 *1574:21 *22417:B1 41.2391 +*END + +*D_NET *1575 0.0531755 +*CONN +*I *21647:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21014:B I *D sky130_fd_sc_hd__or2_1 +*I *21559:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *21868:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *22017:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19820:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19817:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21647:A2 0 +2 *21014:B 0.000405584 +3 *21559:B2 0.000115027 +4 *21868:B2 0.00043329 +5 *22017:B2 0.000135902 +6 *19820:A2 0.000919734 +7 *19817:X 0 +8 *1575:119 0.00138498 +9 *1575:81 0.00152853 +10 *1575:42 0.00130898 +11 *1575:39 0.00318243 +12 *1575:29 0.00435875 +13 *1575:24 0.00365137 +14 *1575:19 0.00187509 +15 *1575:13 0.00144896 +16 *1575:8 0.000460515 +17 *1575:7 0.00013295 +18 *1575:4 0.0014672 +19 *19820:A2 *1586:77 3.65454e-05 +20 *19820:A2 *1602:45 0.000131362 +21 *19820:A2 *1625:115 0.000233634 +22 *19820:A2 *1730:150 0.000260643 +23 *19820:A2 *1730:184 0.000426075 +24 *19820:A2 *2288:24 7.26548e-05 +25 *19820:A2 *2654:22 0.000710853 +26 *19820:A2 *2661:30 7.60356e-05 +27 *19820:A2 *2697:26 4.15201e-05 +28 *19820:A2 *2778:28 1.83077e-05 +29 *19820:A2 *2850:56 0.000170991 +30 *19820:A2 *3029:33 5.60804e-05 +31 *19820:A2 *3120:168 0.000110804 +32 *19820:A2 *3523:127 0.000130365 +33 *21014:B *2531:29 3.79253e-05 +34 *21014:B *2531:30 7.24248e-05 +35 *21014:B *3857:49 0.000107496 +36 *21014:B *3857:52 0.000322786 +37 *21014:B *4547:35 0.000171764 +38 *21559:B2 *21559:A1 2.95884e-05 +39 *21559:B2 *21559:B1 4.1554e-05 +40 *21559:B2 *22813:C1 6.08467e-05 +41 *21559:B2 *2616:13 6.3657e-05 +42 *21559:B2 *3155:127 5.38612e-06 +43 *21868:B2 *21868:B1 0.000875414 +44 *21868:B2 *22029:C1 0.000376235 +45 *21868:B2 *3042:25 1.37669e-05 +46 *21868:B2 *3111:186 0.000484708 +47 *21868:B2 *5863:29 0.000202315 +48 *22017:B2 *22017:A1 0.000197803 +49 *22017:B2 *22017:A2 3.31745e-05 +50 *22017:B2 *22048:A2 0.000121167 +51 *22017:B2 *3031:18 0.000151057 +52 *22017:B2 *3069:37 7.92757e-06 +53 *1575:7 *1773:52 0.000286576 +54 *1575:7 *2709:5 3.47824e-05 +55 *1575:13 *1773:52 0.000591499 +56 *1575:19 *24346:RESET_B 0.000306735 +57 *1575:19 *1947:115 0.000256314 +58 *1575:19 *2531:38 0.00127913 +59 *1575:19 *3002:69 6.38355e-05 +60 *1575:19 *5124:8 0.000148591 +61 *1575:19 *5458:13 0.000365174 +62 *1575:19 *5851:83 0.00017129 +63 *1575:19 *5854:67 0.000411244 +64 *1575:24 *20892:B2 0.000256879 +65 *1575:24 *2139:8 7.14746e-05 +66 *1575:24 *2139:10 0.000780412 +67 *1575:24 *2139:12 0.000568659 +68 *1575:24 *2531:30 0.00177368 +69 *1575:24 *3857:52 0.000120091 +70 *1575:24 *6021:14 0.000143753 +71 *1575:29 *20930:B1 0 +72 *1575:29 *22812:B1 0.000160785 +73 *1575:29 *1729:58 2.28432e-05 +74 *1575:29 *1801:75 9.76447e-05 +75 *1575:29 *1809:80 2.68045e-05 +76 *1575:29 *2543:28 0.000168474 +77 *1575:29 *2662:14 0.000490583 +78 *1575:29 *3513:143 3.92592e-05 +79 *1575:29 *3529:155 9.43334e-05 +80 *1575:29 *3750:13 9.66016e-05 +81 *1575:29 *4547:35 1.47014e-05 +82 *1575:29 *5815:64 0.000453688 +83 *1575:29 *5851:9 0 +84 *1575:29 *5858:40 7.60935e-05 +85 *1575:29 *6001:38 2.24946e-05 +86 *1575:39 *22029:B1 0.000310767 +87 *1575:39 *22444:B1 0.000213602 +88 *1575:39 *22777:C1 0.000217966 +89 *1575:39 *1602:71 0.000249884 +90 *1575:39 *2117:143 0.000216908 +91 *1575:39 *2537:27 0.000172355 +92 *1575:39 *2565:49 6.81398e-05 +93 *1575:39 *2661:30 6.16319e-05 +94 *1575:39 *2889:22 5.26027e-05 +95 *1575:39 *2987:50 6.52144e-05 +96 *1575:39 *3062:18 3.31745e-05 +97 *1575:39 *3069:37 0.000340742 +98 *1575:39 *3155:127 0.000118745 +99 *1575:39 *3541:135 0.000110597 +100 *1575:39 *3671:20 0.0013948 +101 *1575:42 *1586:77 0.000280578 +102 *1575:42 *2697:26 0.000296675 +103 *1575:81 *21512:B1 0.000158451 +104 *1575:81 *22615:A1 0.000165587 +105 *1575:81 *22802:A2 0.000225851 +106 *1575:81 *22802:B1 0.000156955 +107 *1575:81 *22802:B2 9.95922e-06 +108 *1575:81 *1616:195 0.000585401 +109 *1575:81 *1617:50 1.88152e-05 +110 *1575:81 *2093:153 0.00212209 +111 *1575:81 *2093:157 3.76166e-05 +112 *1575:81 *2344:15 0.000129369 +113 *1575:81 *2565:49 0.000443007 +114 *1575:81 *2771:58 1.58551e-05 +115 *1575:81 *3062:18 0.000252553 +116 *1575:81 *3069:37 0.000233246 +117 *1575:81 *3120:163 0.000573987 +118 *1575:81 *3217:28 0.000162061 +119 *1575:119 *21647:A1 1.58551e-05 +120 *1575:119 *21647:B1 9.95234e-05 +121 *1575:119 *22471:A1 0.000703505 +122 *1575:119 *22471:A2 0.000111802 +123 *1575:119 *22483:A2 3.02001e-05 +124 *1575:119 *1605:11 0.00100994 +125 *1575:119 *1773:52 0.00201224 +126 *1575:119 *2671:38 7.08723e-06 +127 *1575:119 *2686:27 0.000204006 +128 *1575:119 *5456:31 6.7671e-06 +129 *6079:DIODE *21868:B2 9.85109e-05 +130 *1419:249 *1575:39 2.60896e-05 +131 *1435:281 *19820:A2 6.23101e-05 +132 *1442:97 *1575:7 6.08467e-05 +133 *1442:108 *1575:7 0.000190903 +134 *1442:108 *1575:119 0.000271773 +135 *1521:36 *1575:19 0.000452335 +136 *1547:34 *1575:39 8.56016e-05 +137 *1547:43 *21559:B2 1.10793e-05 +138 *1547:43 *1575:39 8.59228e-05 +139 *1550:73 *21014:B 1.22289e-05 +140 *1561:111 *1575:39 5.60804e-05 +141 *1570:106 *19820:A2 9.12416e-06 +*RES +1 *19817:X *1575:4 9.24915 +2 *1575:4 *1575:7 13.3002 +3 *1575:7 *1575:8 57.9449 +4 *1575:8 *1575:13 20.5732 +5 *1575:13 *1575:19 41.2604 +6 *1575:19 *1575:24 35.1255 +7 *1575:24 *1575:29 12.3712 +8 *1575:29 *1575:39 30.3289 +9 *1575:39 *1575:42 11.315 +10 *1575:42 *19820:A2 31.2299 +11 *1575:42 *22017:B2 18.3548 +12 *1575:39 *1575:81 48.8408 +13 *1575:81 *21868:B2 30.0014 +14 *1575:29 *21559:B2 17.0937 +15 *1575:24 *21014:B 25.5168 +16 *1575:4 *1575:119 48.3328 +17 *1575:119 *21647:A2 13.7491 +*END + +*D_NET *1576 0.0477326 +*CONN +*I *19820:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22210:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22540:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19818:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19820:B1 0 +2 *22210:B1 0.000247332 +3 *22540:B1 0.000263265 +4 *19818:Y 0.00106817 +5 *1576:86 0.00113027 +6 *1576:59 0.00312667 +7 *1576:35 0.00384655 +8 *1576:31 0.00414173 +9 *1576:28 0.00378695 +10 *1576:16 0.00221097 +11 *1576:7 0.00179971 +12 *22210:B1 *22237:A2 3.95122e-05 +13 *22210:B1 *22237:B2 0.000341555 +14 *22210:B1 *3186:16 3.27606e-06 +15 *22210:B1 *3546:26 3.85185e-05 +16 *22540:B1 *22540:A2 1.47978e-05 +17 *22540:B1 *1583:74 0.000123776 +18 *22540:B1 *1588:107 1.21461e-06 +19 *22540:B1 *1662:80 5.49016e-05 +20 *22540:B1 *2543:88 6.84755e-05 +21 *22540:B1 *2606:8 1.29445e-05 +22 *22540:B1 *3483:163 2.96784e-06 +23 *22540:B1 *3509:60 0.000257971 +24 *1576:7 *19818:A 6.08467e-05 +25 *1576:7 *2256:37 4.9933e-05 +26 *1576:7 *2635:80 0.00027935 +27 *1576:7 *2635:87 0.000525533 +28 *1576:7 *4845:548 0.000213002 +29 *1576:7 *5366:8 3.17103e-05 +30 *1576:16 *21208:A 6.36477e-05 +31 *1576:16 *1584:153 0.000169478 +32 *1576:16 *2177:78 1.10925e-05 +33 *1576:16 *2256:37 1.46079e-05 +34 *1576:16 *2256:38 0.000454055 +35 *1576:16 *2256:88 6.02412e-05 +36 *1576:16 *2275:39 0.000398169 +37 *1576:16 *2546:5 0.000548881 +38 *1576:16 *3177:250 0.000572142 +39 *1576:16 *3192:12 0.0002928 +40 *1576:16 *4806:11 2.81262e-05 +41 *1576:16 *4823:123 0.000484652 +42 *1576:28 *19994:A 7.25077e-06 +43 *1576:28 *22305:A1 6.61544e-05 +44 *1576:28 *22305:A2 8.6297e-06 +45 *1576:28 *22306:C 0.000231 +46 *1576:28 *22306:D 0.000135238 +47 *1576:28 *22307:A2 3.20011e-05 +48 *1576:28 *22307:B2 3.99086e-06 +49 *1576:28 *22308:B2 0.00018965 +50 *1576:28 *24489:CLK 2.16355e-05 +51 *1576:28 *1750:15 1.77472e-05 +52 *1576:28 *3142:233 4.82779e-06 +53 *1576:28 *3190:10 2.36762e-05 +54 *1576:28 *3493:8 0.00032686 +55 *1576:28 *3539:12 0.000312475 +56 *1576:28 *4809:40 2.41274e-06 +57 *1576:28 *4819:40 4.69495e-06 +58 *1576:28 *4824:153 0.000353642 +59 *1576:31 *1583:35 1.54703e-05 +60 *1576:31 *3148:206 0.000620637 +61 *1576:31 *3274:27 0.00251746 +62 *1576:31 *3485:197 2.07365e-05 +63 *1576:35 *22276:A1 0.000338712 +64 *1576:35 *22282:A2 0.000157671 +65 *1576:35 *22323:A1 0.000130748 +66 *1576:35 *22621:A2 0.000190888 +67 *1576:35 *22644:B1 4.31485e-06 +68 *1576:35 *1608:30 7.48876e-06 +69 *1576:35 *1688:91 0.000112547 +70 *1576:35 *2543:88 2.68045e-05 +71 *1576:35 *2570:138 0.000149887 +72 *1576:35 *2631:76 7.09666e-06 +73 *1576:35 *2772:97 4.09379e-05 +74 *1576:35 *2800:56 9.18679e-06 +75 *1576:35 *2991:67 0.000357395 +76 *1576:35 *3041:61 0.00037669 +77 *1576:35 *3185:87 0.000153427 +78 *1576:35 *3509:42 0.00258029 +79 *1576:35 *3509:60 0.000232197 +80 *1576:59 *22054:A1 1.18189e-05 +81 *1576:59 *22236:A2 0.000250775 +82 *1576:59 *2338:72 0.000842484 +83 *1576:59 *2601:58 0.000181094 +84 *1576:59 *2857:52 0.000255006 +85 *1576:59 *3019:23 0.000888969 +86 *1576:59 *3022:45 0.000976878 +87 *1576:59 *3509:175 0.00220491 +88 *1576:59 *3511:63 0.000430706 +89 *1576:86 *19820:B2 0.00114767 +90 *1576:86 *22269:B2 0.000726835 +91 *1576:86 *1643:21 5.19758e-05 +92 *1576:86 *1720:36 0.000114544 +93 *1576:86 *2783:68 3.65035e-05 +94 *1576:86 *2873:29 0.000438346 +95 *1576:86 *3186:16 4.94641e-05 +96 *1576:86 *3206:207 6.85769e-05 +97 *1576:86 *3546:26 0.000143728 +98 *19820:A1 *1576:86 1.00981e-05 +99 *19822:B *22210:B1 3.72251e-05 +100 *21874:B2 *22210:B1 8.51781e-05 +101 *1418:29 *1576:16 3.6632e-05 +102 *1439:113 *1576:7 0.000578294 +103 *1543:39 *1576:86 1.76282e-05 +104 *1545:118 *1576:59 3.59294e-05 +105 *1554:48 *1576:86 0.00116118 +106 *1559:21 *22210:B1 0.000224042 +107 *1570:106 *1576:86 9.38045e-05 +*RES +1 *19818:Y *1576:7 44.4211 +2 *1576:7 *1576:16 42.535 +3 *1576:16 *1576:28 46.3873 +4 *1576:28 *1576:31 42.3818 +5 *1576:31 *1576:35 15.8558 +6 *1576:35 *22540:B1 20.7561 +7 *1576:35 *1576:59 11.882 +8 *1576:59 *22210:B1 22.3798 +9 *1576:59 *1576:86 41.7127 +10 *1576:86 *19820:B1 9.24915 +*END + +*D_NET *1577 0.101974 +*CONN +*I *21702:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20130:B I *D sky130_fd_sc_hd__or2_1 +*I *21333:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21583:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19820:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21417:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21242:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21800:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22040:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *19819:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21702:A2 0.000281477 +2 *20130:B 0.000600118 +3 *21333:B2 0.000158169 +4 *21583:B2 0 +5 *19820:B2 0.00332311 +6 *21417:B2 0.000243563 +7 *21242:A2 0.00103076 +8 *21800:A2 0.000514784 +9 *22040:A2 0 +10 *19819:X 0.00155912 +11 *1577:183 0.00285263 +12 *1577:165 0.00389662 +13 *1577:103 0.00212695 +14 *1577:97 0.00672856 +15 *1577:77 0.00415624 +16 *1577:74 0.00196099 +17 *1577:51 0.000937092 +18 *1577:50 0.000191774 +19 *1577:44 0.00126531 +20 *1577:26 0.00238963 +21 *1577:17 0.00377312 +22 *1577:13 0.00287642 +23 *19820:B2 *21236:D 0.000303775 +24 *19820:B2 *22054:C1 1.57664e-05 +25 *19820:B2 *1587:121 5.30803e-05 +26 *19820:B2 *1608:65 0.000399454 +27 *19820:B2 *1643:21 0.000390192 +28 *19820:B2 *1655:88 0.000107019 +29 *19820:B2 *1666:12 7.96573e-05 +30 *19820:B2 *1680:174 0.000130536 +31 *19820:B2 *1708:95 0.00310898 +32 *19820:B2 *1725:144 0.000711928 +33 *19820:B2 *2508:23 0.000139813 +34 *19820:B2 *2783:68 9.15413e-05 +35 *19820:B2 *2827:49 0.000202101 +36 *19820:B2 *3208:170 0.000763489 +37 *19820:B2 *3209:6 7.48635e-05 +38 *19820:B2 *5458:13 0.0031038 +39 *20130:B *22211:A 3.82228e-05 +40 *20130:B *22234:A 7.05017e-05 +41 *20130:B *1884:257 0.000850464 +42 *20130:B *2115:14 0.000752628 +43 *20130:B *3108:30 0.000481329 +44 *21242:A2 *21242:A1 7.56446e-05 +45 *21242:A2 *21242:B1 4.31603e-06 +46 *21242:A2 *21242:B2 2.16355e-05 +47 *21242:A2 *21242:C1 0.000660302 +48 *21242:A2 *22378:A1 3.17436e-05 +49 *21242:A2 *1717:102 1.54738e-05 +50 *21242:A2 *1717:106 8.41266e-06 +51 *21242:A2 *2244:73 0.000527275 +52 *21242:A2 *2258:64 0.000128739 +53 *21242:A2 *2379:63 0.00207632 +54 *21242:A2 *2477:26 0.000581284 +55 *21242:A2 *2882:74 7.27859e-05 +56 *21242:A2 *3120:84 7.58372e-05 +57 *21242:A2 *3177:288 0.000140951 +58 *21242:A2 *3192:69 7.93129e-05 +59 *21333:B2 *24929:A 5.15155e-05 +60 *21333:B2 *2410:8 4.42268e-05 +61 *21333:B2 *2576:57 5.25499e-05 +62 *21417:B2 *21181:A1 1.9633e-05 +63 *21417:B2 *21181:A2 6.08467e-05 +64 *21417:B2 *21417:C1 0.000110027 +65 *21417:B2 *3124:242 6.36477e-05 +66 *21702:A2 *21702:B1 2.41568e-05 +67 *21702:A2 *2773:10 0.00034705 +68 *21702:A2 *3498:35 3.95516e-05 +69 *21702:A2 *3669:8 0.00033899 +70 *21800:A2 *21636:B2 7.78339e-05 +71 *21800:A2 *21800:A1 0.000305497 +72 *21800:A2 *21801:A1 0.000161243 +73 *21800:A2 *22664:B2 1.65872e-05 +74 *21800:A2 *22665:C1 5.04829e-06 +75 *21800:A2 *22667:B1 1.66771e-05 +76 *21800:A2 *1655:61 1.94614e-05 +77 *21800:A2 *2589:65 0.000157961 +78 *21800:A2 *2613:69 3.17436e-05 +79 *21800:A2 *5909:38 9.25046e-05 +80 *1577:13 *19929:A1 0 +81 *1577:13 *19929:A2 3.23901e-05 +82 *1577:13 *19929:B1 0.000128733 +83 *1577:13 *19929:B2 8.26234e-06 +84 *1577:13 *19956:B1 2.52921e-05 +85 *1577:13 *19980:C1 0.000160617 +86 *1577:13 *21351:B1 0.000309673 +87 *1577:13 *1685:26 0.000270047 +88 *1577:13 *1716:68 1.41291e-05 +89 *1577:13 *1717:38 0.00119757 +90 *1577:13 *1735:91 0.000554407 +91 *1577:13 *3144:23 2.71395e-05 +92 *1577:13 *3144:35 0 +93 *1577:17 *19929:B1 0.00181132 +94 *1577:17 *1735:91 0.000394258 +95 *1577:17 *2773:10 0.000124035 +96 *1577:17 *3669:8 0.00012853 +97 *1577:26 *1652:12 5.60804e-05 +98 *1577:26 *2428:117 9.28861e-05 +99 *1577:26 *2529:19 0.000101209 +100 *1577:26 *2815:32 1.96292e-05 +101 *1577:26 *2991:25 0.00185074 +102 *1577:26 *3071:42 0.000212005 +103 *1577:44 *6096:DIODE 4.17467e-05 +104 *1577:44 *21582:A2 7.35283e-05 +105 *1577:44 *21583:B1 2.57629e-05 +106 *1577:44 *21590:C 5.56587e-05 +107 *1577:44 *21994:B 1.08205e-05 +108 *1577:44 *22320:B1 0 +109 *1577:44 *22321:A1 4.11305e-05 +110 *1577:44 *1641:77 0.000818566 +111 *1577:44 *2428:117 9.07848e-05 +112 *1577:44 *2511:30 0 +113 *1577:44 *2639:19 0.000944876 +114 *1577:44 *3126:154 0.000154145 +115 *1577:44 *3498:119 0 +116 *1577:50 *6096:DIODE 1.50584e-05 +117 *1577:50 *21583:A2 2.57986e-05 +118 *1577:50 *21590:C 0.000260325 +119 *1577:50 *2635:95 7.97202e-05 +120 *1577:74 *21483:A1 0.000265517 +121 *1577:74 *21483:C1 6.22259e-05 +122 *1577:74 *21981:A2 5.01835e-05 +123 *1577:74 *22671:D 0.000347849 +124 *1577:74 *1616:42 0.000422037 +125 *1577:74 *1730:240 0.000456024 +126 *1577:74 *1751:46 0.000227581 +127 *1577:74 *2508:45 0.000161234 +128 *1577:74 *2613:101 7.51372e-05 +129 *1577:74 *2635:95 8.54122e-05 +130 *1577:74 *2930:59 0.000255545 +131 *1577:74 *2930:64 5.60364e-06 +132 *1577:74 *3285:10 0.000105515 +133 *1577:77 *21987:A1 0.00229024 +134 *1577:77 *1790:73 0.00231097 +135 *1577:97 *21236:D 0.000252934 +136 *1577:97 *21273:B2 0.000558458 +137 *1577:97 *21279:B1 0.000109457 +138 *1577:97 *21636:A2 0.000106353 +139 *1577:97 *21636:B2 2.66559e-05 +140 *1577:97 *22664:A1 0.000699277 +141 *1577:97 *1680:137 0.00180722 +142 *1577:97 *1680:174 0.000574198 +143 *1577:97 *1751:145 0.000507567 +144 *1577:97 *1790:73 0.000930675 +145 *1577:97 *2572:37 0.00103003 +146 *1577:97 *2613:69 5.60804e-05 +147 *1577:97 *2990:62 9.16971e-05 +148 *1577:97 *3204:165 0.000822246 +149 *1577:97 *5909:38 0.000247471 +150 *1577:103 *21262:D 1.86819e-05 +151 *1577:103 *2258:64 3.29488e-05 +152 *1577:103 *2882:74 0.00161285 +153 *1577:103 *3124:242 5.57258e-05 +154 *1577:103 *3177:288 2.58521e-05 +155 *1577:103 *4812:38 0.000693329 +156 *1577:165 *19929:B1 0.00285985 +157 *1577:165 *1717:45 0.000722763 +158 *1577:165 *1717:68 9.81454e-05 +159 *1577:165 *1735:91 0.000120955 +160 *1577:165 *2396:23 0.000269357 +161 *1577:165 *2576:57 6.75282e-05 +162 *1577:165 *3485:90 2.06155e-05 +163 *1577:165 *4865:24 1.60518e-05 +164 *1577:183 *20839:B 0.000213725 +165 *1577:183 *1884:260 0.000288325 +166 *1577:183 *2122:15 0.000107496 +167 *1577:183 *2122:23 0.000312851 +168 *1577:183 *2391:49 0.00271407 +169 *1577:183 *2440:55 0 +170 *1577:183 *3206:15 9.31198e-05 +171 *1577:183 *3487:13 0.00135689 +172 *1577:183 *4823:142 0.000499967 +173 *19801:A2 *19820:B2 1.77232e-05 +174 *19801:A2 *1577:103 0.000257237 +175 *19820:A1 *19820:B2 6.98336e-05 +176 *21334:A2 *21333:B2 2.26985e-05 +177 *21334:A2 *1577:165 9.92046e-06 +178 *21476:A2 *1577:74 0.00045051 +179 *21652:A2 *1577:165 0.000224247 +180 *21658:B2 *1577:103 6.03122e-05 +181 *522:17 *1577:26 6.03237e-05 +182 *547:33 *1577:183 8.63196e-05 +183 *1419:184 *20130:B 0.000303281 +184 *1419:207 *20130:B 7.90311e-05 +185 *1439:145 *1577:183 0.000308738 +186 *1541:90 *1577:13 5.52521e-05 +187 *1552:52 *1577:44 0.000109583 +188 *1553:64 *21800:A2 0.00040526 +189 *1553:64 *1577:97 0.000205001 +190 *1557:133 *21417:B2 0.000156955 +191 *1564:31 *1577:17 4.55258e-05 +192 *1564:31 *1577:26 0.000962124 +193 *1564:31 *1577:165 4.06478e-05 +194 *1564:37 *1577:26 9.89302e-05 +195 *1564:37 *1577:44 8.02269e-05 +196 *1564:50 *1577:74 8.13888e-05 +197 *1573:166 *21417:B2 2.77625e-06 +198 *1573:183 *21417:B2 6.08467e-05 +199 *1576:86 *19820:B2 0.00114767 +*RES +1 *19819:X *1577:13 34.189 +2 *1577:13 *1577:17 8.72008 +3 *1577:17 *1577:26 10.7581 +4 *1577:26 *22040:A2 13.7491 +5 *1577:26 *1577:44 46.1837 +6 *1577:44 *1577:50 13.8789 +7 *1577:50 *1577:51 81.1229 +8 *1577:51 *1577:74 48.1084 +9 *1577:74 *1577:77 5.91365 +10 *1577:77 *21800:A2 22.6134 +11 *1577:77 *1577:97 9.93757 +12 *1577:97 *1577:103 6.99861 +13 *1577:103 *21242:A2 29.3928 +14 *1577:103 *21417:B2 20.0427 +15 *1577:97 *19820:B2 41.9039 +16 *1577:44 *21583:B2 9.24915 +17 *1577:17 *1577:165 14.3047 +18 *1577:165 *21333:B2 17.4498 +19 *1577:165 *1577:183 41.8378 +20 *1577:183 *20130:B 48.869 +21 *1577:13 *21702:A2 23.0384 +*END + +*D_NET *1578 0.000399446 +*CONN +*I *19821:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19820:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19821:C1 8.31951e-05 +2 *19820:X 8.31951e-05 +3 *19821:C1 *1809:17 7.2465e-05 +4 *19821:C1 *2783:56 1.91391e-05 +5 *19821:C1 *3415:22 1.3857e-05 +6 *19821:C1 *3461:15 0.000127594 +*RES +1 *19820:X *19821:C1 30.1608 +*END + +*D_NET *1579 0.00101142 +*CONN +*I *19822:D I *D sky130_fd_sc_hd__and4_1 +*I *19821:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19822:D 0.000338018 +2 *19821:X 0.000338018 +3 *19822:D *20053:C 5.39382e-05 +4 *19821:A1 *19822:D 6.3657e-05 +5 *19822:B *19822:D 0.000107496 +6 *1496:141 *19822:D 0.000110297 +*RES +1 *19821:X *19822:D 24.9606 +*END + +*D_NET *1580 0.00101973 +*CONN +*I *20053:A I *D sky130_fd_sc_hd__nand3_4 +*I *19822:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *20053:A 0.000275183 +2 *19822:X 0.000275183 +3 *19791:C1 *20053:A 0.000200794 +4 *19822:B *20053:A 0.000268571 +*RES +1 *19822:X *20053:A 24.9847 +*END + +*D_NET *1581 0.0260469 +*CONN +*I *19832:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22740:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22412:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19823:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19832:A1 0.00245914 +2 *22740:B1 0.000157526 +3 *22412:B1 0.000220301 +4 *19823:Y 5.0318e-05 +5 *1581:26 0.00078139 +6 *1581:21 0.00441347 +7 *1581:10 0.0027032 +8 *1581:7 0.00120275 +9 *19832:A1 *19832:A2 5.17221e-05 +10 *19832:A1 *19832:C1 0.000107496 +11 *19832:A1 *21630:C1 0.000239724 +12 *19832:A1 *22016:A1 0.000314574 +13 *19832:A1 *22053:A2 0.00016748 +14 *19832:A1 *22439:B2 0.000444819 +15 *19832:A1 *1582:81 3.17436e-05 +16 *19832:A1 *1584:105 0.000139727 +17 *19832:A1 *1586:77 7.92757e-06 +18 *19832:A1 *1618:35 0.000107496 +19 *19832:A1 *2850:53 0.000107496 +20 *19832:A1 *2956:62 0.000114203 +21 *19832:A1 *3027:33 4.97617e-05 +22 *19832:A1 *3541:148 0.000212985 +23 *19832:A1 *5457:13 0.00137799 +24 *22412:B1 *22413:A1 0.000684492 +25 *22412:B1 *2593:24 0.000586888 +26 *22412:B1 *2783:56 3.95516e-05 +27 *22412:B1 *3131:70 1.07248e-05 +28 *22412:B1 *3131:77 0.000200197 +29 *22412:B1 *3388:13 0.000214397 +30 *22740:B1 *22413:A1 0.000200794 +31 *22740:B1 *22741:C1 0.000111802 +32 *22740:B1 *2783:56 0.000129864 +33 *22740:B1 *5904:77 0.000105901 +34 *1581:7 *5861:127 2.16355e-05 +35 *1581:10 *20890:B2 0.00023344 +36 *1581:10 *1597:16 0.000266366 +37 *1581:10 *2660:18 0.000258804 +38 *1581:10 *3857:52 0.000125691 +39 *1581:10 *4798:8 0.0011156 +40 *1581:10 *4869:67 0.000899303 +41 *1581:10 *4933:77 7.5706e-05 +42 *1581:10 *5856:124 4.23528e-05 +43 *1581:10 *5856:134 0.000165495 +44 *1581:10 *5921:45 2.05966e-05 +45 *1581:21 *20532:B2 0.000258208 +46 *1581:21 *22016:A1 0.00020881 +47 *1581:21 *22053:B1 3.79237e-05 +48 *1581:21 *24994:A 0.000642099 +49 *1581:21 *1988:45 3.77568e-05 +50 *1581:21 *3028:30 0.000126646 +51 *1581:21 *3066:11 3.57218e-06 +52 *1581:21 *3671:31 0.000717342 +53 *1581:21 *3857:59 6.53173e-05 +54 *1581:21 *5457:13 0.0016242 +55 *1581:21 *5858:59 4.25398e-05 +56 *1581:26 *2593:14 2.59085e-05 +57 *1581:26 *2779:41 0.000234809 +58 *1581:26 *2807:19 0.000220272 +59 *1581:26 *2807:26 0.000352219 +60 *1581:26 *3134:107 0.000128843 +61 *1581:26 *3452:6 4.24243e-05 +62 *1547:34 *19832:A1 0.000125482 +63 *1550:73 *1581:10 0.000179657 +*RES +1 *19823:Y *1581:7 14.4725 +2 *1581:7 *1581:10 49.9335 +3 *1581:10 *1581:21 31.2665 +4 *1581:21 *1581:26 19.8745 +5 *1581:26 *22412:B1 30.0906 +6 *1581:26 *22740:B1 14.964 +7 *1581:21 *19832:A1 48.9298 +*END + +*D_NET *1582 0.046429 +*CONN +*I *21629:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22047:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19832:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21498:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21752:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20788:B I *D sky130_fd_sc_hd__or2_1 +*I *19824:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21629:A2 0.0006993 +2 *22047:A2 0.000254258 +3 *19832:A2 0.000904644 +4 *21498:A2 0.00107667 +5 *21752:B2 7.53872e-05 +6 *20788:B 0.00123666 +7 *19824:X 0 +8 *1582:101 0.00126643 +9 *1582:81 0.00289574 +10 *1582:52 0.00316209 +11 *1582:43 0.0028357 +12 *1582:12 0.00216642 +13 *1582:8 0.00157319 +14 *1582:7 0.00223965 +15 *1582:4 0.00244878 +16 *19832:A2 *19832:C1 0.000107496 +17 *19832:A2 *21630:B2 0.000211326 +18 *19832:A2 *22053:A2 1.65872e-05 +19 *19832:A2 *1584:105 6.36477e-05 +20 *19832:A2 *1586:77 0.000121845 +21 *19832:A2 *1605:46 5.11321e-05 +22 *19832:A2 *3134:142 0.000807233 +23 *19832:A2 *3192:230 5.11321e-05 +24 *20788:B *20789:A 0.000113968 +25 *20788:B *1729:51 0.0013763 +26 *20788:B *1947:45 6.36477e-05 +27 *20788:B *1947:56 0.000110306 +28 *20788:B *4873:11 5.03887e-05 +29 *21498:A2 *19875:A1 8.3506e-05 +30 *21498:A2 *21498:B1 4.15236e-05 +31 *21498:A2 *21753:A2 7.59407e-05 +32 *21498:A2 *1601:16 8.62321e-06 +33 *21498:A2 *1602:104 6.50727e-05 +34 *21498:A2 *1635:131 5.8518e-05 +35 *21498:A2 *1733:75 0.000114166 +36 *21498:A2 *2553:66 0.00116471 +37 *21498:A2 *2565:22 0.000105616 +38 *21498:A2 *2766:6 2.21081e-05 +39 *21498:A2 *3533:108 1.23455e-05 +40 *21629:A2 *21629:A1 0.000107496 +41 *21629:A2 *22017:B1 3.17436e-05 +42 *21629:A2 *22238:B 0.000104754 +43 *21629:A2 *2782:10 0.000262897 +44 *21629:A2 *2843:51 0.000285011 +45 *21629:A2 *2873:29 0.000262897 +46 *21629:A2 *3031:18 7.92757e-06 +47 *21629:A2 *3069:42 0.000154145 +48 *21629:A2 *3134:142 0.000809397 +49 *21629:A2 *3523:127 2.63258e-05 +50 *21629:A2 *5457:13 6.26377e-05 +51 *21752:B2 *2565:22 0.000112159 +52 *21752:B2 *2766:6 2.46869e-05 +53 *21752:B2 *2766:14 2.14842e-06 +54 *22047:A2 *2778:28 1.5714e-05 +55 *22047:A2 *3067:26 0.000108735 +56 *1582:8 *20532:A2 1.24189e-05 +57 *1582:8 *20932:B1 0.000306467 +58 *1582:8 *1624:23 0.000150273 +59 *1582:8 *1988:45 6.14756e-06 +60 *1582:8 *2597:30 0.000364106 +61 *1582:8 *2767:14 0.00229364 +62 *1582:8 *5271:8 9.85369e-05 +63 *1582:8 *5851:25 4.04044e-05 +64 *1582:8 *5858:59 5.53985e-05 +65 *1582:8 *5866:45 9.71323e-06 +66 *1582:8 *5869:718 7.13655e-06 +67 *1582:12 *2767:14 1.79091e-05 +68 *1582:12 *5866:45 8.55504e-05 +69 *1582:43 *20984:A1 5.97411e-05 +70 *1582:43 *20984:A2 1.92172e-05 +71 *1582:43 *21144:B 9.75356e-05 +72 *1582:43 *2535:8 0.000209189 +73 *1582:43 *2553:11 4.43961e-05 +74 *1582:43 *2553:60 0.000393863 +75 *1582:43 *2767:14 1.5471e-05 +76 *1582:43 *3069:6 5.07542e-05 +77 *1582:43 *4138:127 0.000212801 +78 *1582:43 *4700:32 2.07325e-05 +79 *1582:43 *4869:62 0.000200794 +80 *1582:43 *4932:66 5.04829e-06 +81 *1582:43 *5851:640 0.000197424 +82 *1582:43 *5866:45 1.9101e-05 +83 *1582:52 *19875:A1 0.000538117 +84 *1582:52 *1729:35 0.000230773 +85 *1582:52 *2537:8 0.000166515 +86 *1582:52 *2796:18 0.000170098 +87 *1582:81 *22016:A1 0.000446159 +88 *1582:81 *22017:B1 0.000281904 +89 *1582:81 *22440:A1 0.000146523 +90 *1582:81 *1585:34 0.000275111 +91 *1582:81 *1605:46 3.43355e-05 +92 *1582:81 *2956:62 3.29488e-05 +93 *1582:81 *3029:10 2.65451e-05 +94 *1582:81 *3029:33 1.2693e-05 +95 *1582:81 *3153:266 9.59051e-06 +96 *1582:81 *5457:13 0.000158593 +97 *1582:101 *21944:A2 7.27023e-06 +98 *1582:101 *22017:B1 0.000620058 +99 *1582:101 *1585:34 2.43368e-05 +100 *1582:101 *1605:46 2.28363e-05 +101 *19832:A1 *19832:A2 5.17221e-05 +102 *19832:A1 *1582:81 3.17436e-05 +103 *24280:D *1582:43 9.12416e-06 +104 *1438:134 *1582:7 0.00112864 +105 *1438:134 *1582:81 0.00153347 +106 *1438:169 *1582:52 0.00151639 +107 *1538:25 *22047:A2 1.5714e-05 +108 *1547:34 *1582:81 0.000301942 +109 *1560:8 *1582:8 0.00248064 +110 *1561:88 *21629:A2 0.000527766 +111 *1561:88 *1582:101 0.000425375 +112 *1569:9 *1582:43 9.75356e-05 +*RES +1 *19824:X *1582:4 9.24915 +2 *1582:4 *1582:7 17.4247 +3 *1582:7 *1582:8 60.5902 +4 *1582:8 *1582:12 2.91898 +5 *1582:12 *20788:B 36.295 +6 *1582:12 *1582:43 44.6044 +7 *1582:43 *1582:52 47.8338 +8 *1582:52 *21752:B2 15.9964 +9 *1582:52 *21498:A2 44.2137 +10 *1582:4 *1582:81 32.8355 +11 *1582:81 *19832:A2 35.5198 +12 *1582:81 *1582:101 0.967767 +13 *1582:101 *22047:A2 20.993 +14 *1582:101 *21629:A2 26.3071 +*END + +*D_NET *1583 0.0417171 +*CONN +*I *22540:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19832:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22223:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19825:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22540:A1 0 +2 *19832:B1 0 +3 *22223:A1 9.44382e-05 +4 *19825:Y 0.000382666 +5 *1583:113 0.00127602 +6 *1583:103 0.00220586 +7 *1583:78 0.00154731 +8 *1583:74 0.00138408 +9 *1583:53 0.00218843 +10 *1583:35 0.00229775 +11 *1583:28 0.00153704 +12 *1583:21 0.0029875 +13 *1583:10 0.00280348 +14 *22223:A1 *22223:A2 4.8407e-05 +15 *22223:A1 *22223:B1 2.57847e-05 +16 *22223:A1 *22223:B2 0.000150086 +17 *22223:A1 *3186:14 5.19897e-05 +18 *22223:A1 *3533:62 5.51483e-06 +19 *1583:10 *19825:A 1.43848e-05 +20 *1583:10 *4845:316 0.000328285 +21 *1583:10 *5593:65 0.000338458 +22 *1583:10 *5919:73 1.3706e-05 +23 *1583:21 *21027:A1 3.17658e-05 +24 *1583:21 *21027:B1 9.95542e-06 +25 *1583:21 *21027:B2 1.07885e-05 +26 *1583:21 *22315:C 2.16355e-05 +27 *1583:21 *2177:90 0.000149454 +28 *1583:21 *2772:12 0.000677477 +29 *1583:21 *3274:27 4.18211e-05 +30 *1583:21 *4825:118 0.00150333 +31 *1583:28 *22306:C 4.49912e-05 +32 *1583:28 *22310:A2 0.000444819 +33 *1583:28 *22310:C1 7.76105e-06 +34 *1583:28 *3274:27 2.33837e-05 +35 *1583:28 *3539:12 4.15661e-05 +36 *1583:35 *22310:A2 0.000790754 +37 *1583:35 *22602:B1 1.55025e-05 +38 *1583:35 *22620:A1 6.08467e-05 +39 *1583:35 *22620:B1 7.91033e-05 +40 *1583:35 *22620:B2 0.000120508 +41 *1583:35 *22641:A1 0.000154145 +42 *1583:35 *2258:69 8.01158e-05 +43 *1583:35 *2503:61 0.00139101 +44 *1583:35 *3052:77 0.000156955 +45 *1583:35 *3148:206 0.000239964 +46 *1583:35 *3274:27 0.000181544 +47 *1583:35 *3485:137 0.000670096 +48 *1583:35 *3485:147 0.000277535 +49 *1583:35 *3485:197 0.000770693 +50 *1583:35 *4820:18 0.00127083 +51 *1583:53 *22328:B 0.000205101 +52 *1583:53 *22540:A2 0.000269478 +53 *1583:53 *22602:B1 1.65326e-05 +54 *1583:53 *22602:B2 5.01835e-05 +55 *1583:53 *22621:A1 0.000154145 +56 *1583:53 *24917:A 2.25583e-07 +57 *1583:53 *1735:132 0.000954664 +58 *1583:53 *2286:36 7.98528e-05 +59 *1583:53 *2503:99 7.05412e-05 +60 *1583:53 *2523:123 0.000639752 +61 *1583:53 *2772:97 0.000244976 +62 *1583:53 *2894:60 7.72394e-06 +63 *1583:53 *2930:64 0.000253043 +64 *1583:53 *3483:163 6.44879e-05 +65 *1583:53 *3489:13 0.000113861 +66 *1583:53 *3509:42 9.42362e-06 +67 *1583:74 *22540:A2 0.000124494 +68 *1583:74 *22540:C1 3.2363e-05 +69 *1583:74 *22664:B2 0.000135238 +70 *1583:74 *1588:107 0.000210647 +71 *1583:74 *1658:215 9.53481e-05 +72 *1583:74 *1662:80 3.32463e-05 +73 *1583:74 *2569:41 0.00039441 +74 *1583:74 *2606:8 4.42033e-05 +75 *1583:74 *2664:14 6.51527e-05 +76 *1583:74 *3015:28 8.30416e-05 +77 *1583:74 *3483:163 7.20435e-06 +78 *1583:74 *3489:13 2.71904e-05 +79 *1583:74 *5593:46 1.91246e-05 +80 *1583:78 *21618:B 2.43091e-05 +81 *1583:78 *1588:107 0.00039557 +82 *1583:78 *2569:27 0.000350545 +83 *1583:78 *2569:41 0.000244565 +84 *1583:78 *2763:20 0.000156985 +85 *1583:78 *3015:28 7.8756e-07 +86 *1583:103 *21549:B2 0.000624943 +87 *1583:103 *21838:B2 0.000107496 +88 *1583:103 *21901:B 0.000348482 +89 *1583:103 *21902:A 2.59094e-05 +90 *1583:103 *21902:B 5.1493e-06 +91 *1583:103 *21902:C 0.000105985 +92 *1583:103 *22555:A2 1.58551e-05 +93 *1583:103 *22555:C1 0.000247443 +94 *1583:103 *1586:33 6.79891e-06 +95 *1583:103 *1588:107 4.0375e-06 +96 *1583:103 *1588:131 9.66809e-05 +97 *1583:103 *1708:73 0.000310984 +98 *1583:103 *2569:27 0.000125536 +99 *1583:103 *2605:29 0.000761449 +100 *1583:103 *2828:47 3.85421e-05 +101 *1583:103 *3527:70 0.000154145 +102 *1583:103 *3527:84 2.07365e-05 +103 *1583:103 *4850:17 6.48595e-05 +104 *1583:103 *4850:55 8.23875e-05 +105 *1583:113 *21629:B2 9.12416e-06 +106 *1583:113 *21832:A1 1.97089e-05 +107 *1583:113 *21902:A 0.000808559 +108 *1583:113 *22269:A1 0.000177764 +109 *1583:113 *1586:33 2.1558e-05 +110 *1583:113 *1643:24 0.000551225 +111 *1583:113 *1643:28 2.03506e-05 +112 *1583:113 *1725:144 0.000277771 +113 *1583:113 *1788:115 0.000410012 +114 *1583:113 *2847:8 0.000683447 +115 *1583:113 *2954:44 1.9031e-05 +116 *19810:B1 *1583:113 2.84833e-05 +117 *22540:B1 *1583:74 0.000123776 +118 *24247:D *1583:21 0.000164975 +119 *502:26 *1583:10 0.000710457 +120 *1576:31 *1583:35 1.54703e-05 +*RES +1 *19825:Y *1583:10 32.4316 +2 *1583:10 *1583:21 42.8612 +3 *1583:21 *1583:28 18.212 +4 *1583:28 *1583:35 49.23 +5 *1583:35 *1583:53 48.6556 +6 *1583:53 *1583:74 43.0286 +7 *1583:74 *1583:78 14.8147 +8 *1583:78 *22223:A1 17.4385 +9 *1583:78 *1583:103 40.3216 +10 *1583:103 *1583:113 43.7542 +11 *1583:113 *19832:B1 9.24915 +12 *1583:53 *22540:A1 9.24915 +*END + +*D_NET *1584 0.0703818 +*CONN +*I *21493:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20893:B I *D sky130_fd_sc_hd__or2_1 +*I *21248:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21423:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19832:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21629:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21303:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22011:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21758:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19826:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21493:B2 0 +2 *20893:B 0.000423395 +3 *21248:A2 0.000743561 +4 *21423:A2 6.45581e-05 +5 *19832:B2 0 +6 *21629:B2 0.000130406 +7 *21303:B2 0.00156267 +8 *22011:B2 0.000162751 +9 *21758:A2 4.46635e-05 +10 *19826:X 0.000461511 +11 *1584:156 0.00135611 +12 *1584:153 0.00188144 +13 *1584:145 0.00196749 +14 *1584:141 0.00190224 +15 *1584:140 0.00238958 +16 *1584:129 0.00101432 +17 *1584:105 0.000275551 +18 *1584:95 0.00222282 +19 *1584:69 0.00485159 +20 *1584:49 0.0037009 +21 *1584:20 0.00328098 +22 *1584:9 0.00168727 +23 *20893:B *20623:B1 0.000789244 +24 *20893:B *20623:B2 0.000158371 +25 *20893:B *2610:70 0.000702239 +26 *21248:A2 *21247:B1 0.000161646 +27 *21248:A2 *21248:A1 3.82927e-05 +28 *21248:A2 *21403:C1 2.19466e-05 +29 *21248:A2 *21423:B1 6.3657e-05 +30 *21248:A2 *22672:A1 5.49916e-05 +31 *21248:A2 *22673:A2 0.000113107 +32 *21248:A2 *24494:CLK 1.41976e-05 +33 *21248:A2 *1649:162 4.1946e-06 +34 *21248:A2 *2310:37 1.6644e-05 +35 *21248:A2 *2311:17 4.03324e-05 +36 *21248:A2 *2425:48 4.54435e-05 +37 *21248:A2 *2570:94 7.27261e-05 +38 *21248:A2 *3466:145 9.69147e-05 +39 *21303:B2 *21235:A1 3.65454e-05 +40 *21303:B2 *21309:A2 0.000111802 +41 *21303:B2 *21309:C1 0.000489302 +42 *21303:B2 *21354:C 6.34651e-06 +43 *21303:B2 *22379:A1 9.54335e-06 +44 *21303:B2 *22379:A2 2.58106e-05 +45 *21303:B2 *22402:B2 0.000531645 +46 *21303:B2 *1616:81 1.67245e-05 +47 *21303:B2 *2613:47 0.000620637 +48 *21423:A2 *21423:A1 0.000154145 +49 *21423:A2 *21423:B1 0.000261641 +50 *21423:A2 *21424:D 1.37531e-05 +51 *21629:B2 *21630:C1 5.88009e-05 +52 *21629:B2 *1643:28 9.32704e-05 +53 *21629:B2 *2847:8 1.01851e-05 +54 *21629:B2 *3031:18 7.96595e-05 +55 *21758:A2 *21707:A1 6.08467e-05 +56 *22011:B2 *1655:77 2.02035e-05 +57 *22011:B2 *1717:133 0.000358205 +58 *22011:B2 *3547:17 0.000360262 +59 *1584:9 *2571:67 0.000347423 +60 *1584:9 *2632:112 0.000332036 +61 *1584:9 *3208:90 0.000741023 +62 *1584:9 *3208:250 0.000738911 +63 *1584:20 *21517:A2 0.000178574 +64 *1584:20 *21525:B 0.000782101 +65 *1584:20 *21525:C 1.5254e-05 +66 *1584:20 *21550:A 8.61022e-05 +67 *1584:20 *21624:C1 0.000118854 +68 *1584:20 *22005:C1 0.00022187 +69 *1584:20 *22539:B1 1.91916e-05 +70 *1584:20 *1637:87 4.53566e-05 +71 *1584:20 *2569:41 0.00015587 +72 *1584:20 *2667:99 0.000100005 +73 *1584:20 *2667:116 5.1364e-05 +74 *1584:20 *3208:250 4.79289e-05 +75 *1584:49 *6193:DIODE 0.000727062 +76 *1584:49 *21279:B1 0.000214339 +77 *1584:49 *21695:A1 0.000208754 +78 *1584:49 *21909:A1 0.00101605 +79 *1584:49 *22054:A1 0.00101826 +80 *1584:49 *22223:B2 4.94614e-05 +81 *1584:49 *22260:B2 0.00018516 +82 *1584:49 *22666:B1 2.44692e-05 +83 *1584:49 *24914:A 2.33068e-05 +84 *1584:49 *1614:30 0.000505293 +85 *1584:49 *1614:36 5.60291e-06 +86 *1584:49 *1637:87 3.74542e-05 +87 *1584:49 *2541:36 1.43259e-05 +88 *1584:49 *2569:41 7.72394e-06 +89 *1584:49 *2813:47 0.000333868 +90 *1584:49 *2991:70 0.00089801 +91 *1584:49 *3054:18 0.000549043 +92 *1584:49 *3148:226 0.000211562 +93 *1584:49 *3222:8 1.5714e-05 +94 *1584:49 *3507:156 0.000547263 +95 *1584:49 *3511:63 6.22732e-06 +96 *1584:49 *3533:43 3.63593e-05 +97 *1584:49 *5592:70 0.000650185 +98 *1584:49 *5919:40 5.17138e-05 +99 *1584:69 *21476:B1 4.47494e-06 +100 *1584:69 *21630:B1 3.47602e-05 +101 *1584:69 *22271:B 0.000222877 +102 *1584:69 *1620:60 0.000294774 +103 *1584:69 *1655:77 9.26844e-05 +104 *1584:69 *1708:95 0.000183879 +105 *1584:69 *1717:133 0.000226438 +106 *1584:69 *2613:47 2.20008e-05 +107 *1584:69 *2892:21 2.05082e-05 +108 *1584:69 *2919:20 0.000531993 +109 *1584:69 *2996:72 9.77054e-05 +110 *1584:69 *3054:18 0.00109673 +111 *1584:69 *3064:44 3.55968e-05 +112 *1584:69 *3507:156 8.12259e-06 +113 *1584:69 *3547:17 0.000205321 +114 *1584:95 *21630:A1 6.08467e-05 +115 *1584:95 *21630:B1 7.2712e-05 +116 *1584:95 *21630:B2 6.24656e-06 +117 *1584:95 *21630:C1 1.66376e-05 +118 *1584:95 *22061:B 0.000154145 +119 *1584:95 *22062:C 0.000114594 +120 *1584:95 *22271:B 5.30873e-05 +121 *1584:95 *1590:10 0.000207911 +122 *1584:95 *1598:82 0.000484995 +123 *1584:95 *1620:60 5.88009e-05 +124 *1584:95 *2093:181 0.000108044 +125 *1584:95 *2674:7 5.99529e-06 +126 *1584:95 *3111:186 6.63489e-05 +127 *1584:105 *21630:B2 0.000236892 +128 *1584:105 *21630:C1 4.0193e-05 +129 *1584:105 *1590:10 2.77625e-06 +130 *1584:129 *21493:A1 0 +131 *1584:129 *21493:A2 2.13328e-05 +132 *1584:129 *21493:B1 6.64392e-05 +133 *1584:129 *2549:17 0.000695233 +134 *1584:129 *2600:11 0.0009383 +135 *1584:129 *2632:112 6.91718e-05 +136 *1584:129 *3208:250 0.000196785 +137 *1584:140 *21537:A1 0.000257002 +138 *1584:140 *21576:B1 0.000151161 +139 *1584:140 *21577:C1 5.46889e-05 +140 *1584:140 *1750:49 0.000815562 +141 *1584:140 *2531:91 1.43259e-05 +142 *1584:140 *2930:64 0.000129702 +143 *1584:140 *3144:116 0.000815839 +144 *1584:140 *4856:18 0.000133112 +145 *1584:141 *22329:A2 0.000130549 +146 *1584:141 *22329:B1 2.07365e-05 +147 *1584:141 *22337:A 6.3657e-05 +148 *1584:141 *22337:D 2.30004e-05 +149 *1584:141 *22590:A 0.000117326 +150 *1584:141 *22594:A 6.16829e-05 +151 *1584:141 *1680:111 0.000340742 +152 *1584:141 *2570:138 4.89898e-06 +153 *1584:141 *2631:83 0.000969373 +154 *1584:141 *2800:53 0.000355732 +155 *1584:141 *3124:131 0.000323636 +156 *1584:141 *3192:25 9.7831e-05 +157 *1584:141 *3204:140 0.000538733 +158 *1584:141 *4808:58 0.000141895 +159 *1584:141 *4823:109 0.00359896 +160 *1584:153 *21027:B1 0.00031839 +161 *1584:153 *24247:CLK 0.00012631 +162 *1584:153 *2177:82 0 +163 *1584:153 *2361:13 0.000527338 +164 *1584:153 *2990:46 0.00110102 +165 *1584:153 *3177:250 0.000177983 +166 *1584:153 *3192:12 0.000160861 +167 *1584:153 *3466:125 6.40877e-05 +168 *1584:156 *21403:B2 0.000177387 +169 *1584:156 *1658:242 9.17372e-06 +170 *1584:156 *2425:48 8.61022e-05 +171 *1584:156 *3122:88 0.000504408 +172 *1584:156 *3192:69 4.39408e-05 +173 *1584:156 *3493:8 0.000493423 +174 *1584:156 *4826:117 5.91586e-05 +175 *19801:B2 *22011:B2 2.5777e-05 +176 *19801:B2 *1584:49 2.19776e-05 +177 *19832:A1 *1584:105 0.000139727 +178 *19832:A2 *1584:105 6.36477e-05 +179 *20893:A *20893:B 2.89954e-05 +180 *21247:A2 *21248:A2 1.41429e-05 +181 *21630:A2 *1584:95 5.8353e-05 +182 *527:22 *21248:A2 4.03324e-05 +183 *537:29 *21248:A2 0.000146674 +184 *1450:176 *21248:A2 2.2883e-05 +185 *1537:52 *1584:9 6.08467e-05 +186 *1545:80 *21248:A2 9.28511e-05 +187 *1545:80 *21423:A2 1.65872e-05 +188 *1564:89 *21248:A2 0.000348059 +189 *1564:106 *21248:A2 4.75441e-05 +190 *1564:119 *1584:95 0.000428455 +191 *1564:134 *1584:95 0.00172509 +192 *1576:16 *1584:153 0.000169478 +193 *1583:113 *21629:B2 9.12416e-06 +*RES +1 *19826:X *1584:9 27.9128 +2 *1584:9 *1584:20 30.7022 +3 *1584:20 *21758:A2 14.4725 +4 *1584:20 *1584:49 39.3521 +5 *1584:49 *22011:B2 18.0436 +6 *1584:49 *1584:69 13.7494 +7 *1584:69 *21303:B2 47.7674 +8 *1584:69 *1584:95 49.7304 +9 *1584:95 *1584:105 5.54604 +10 *1584:105 *21629:B2 21.8347 +11 *1584:105 *19832:B2 9.24915 +12 *1584:9 *1584:129 14.6367 +13 *1584:129 *1584:140 25.2431 +14 *1584:140 *1584:141 61.1752 +15 *1584:141 *1584:145 2.96592 +16 *1584:145 *1584:153 48.5928 +17 *1584:153 *1584:156 20.4506 +18 *1584:156 *21423:A2 12.191 +19 *1584:156 *21248:A2 39.2539 +20 *1584:145 *20893:B 23.5242 +21 *1584:129 *21493:B2 9.24915 +*END + +*D_NET *1585 0.0316437 +*CONN +*I *19831:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22421:A I *D sky130_fd_sc_hd__or2_1 +*I *22741:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19827:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19831:A1 0.000550464 +2 *22421:A 2.47788e-05 +3 *22741:B1 0.000744994 +4 *19827:Y 4.51842e-05 +5 *1585:34 0.00124683 +6 *1585:22 0.00229251 +7 *1585:19 0.00284328 +8 *1585:13 0.00193253 +9 *1585:8 0.00186692 +10 *1585:7 0.00155787 +11 *19831:A1 *19831:A2 4.93527e-05 +12 *19831:A1 *19831:B2 9.55718e-05 +13 *19831:A1 *21944:A2 0.000110297 +14 *19831:A1 *22047:A1 6.3657e-05 +15 *19831:A1 *22047:B1 0.000139559 +16 *19831:A1 *1605:46 1.46616e-05 +17 *19831:A1 *3067:26 0.000229387 +18 *19831:A1 *3111:204 0.000992709 +19 *19831:A1 *3192:230 5.8518e-05 +20 *22741:B1 *22421:B 0.000188843 +21 *22741:B1 *3122:248 0.000686597 +22 *22741:B1 *3168:106 2.02035e-05 +23 *22741:B1 *3168:113 3.12097e-05 +24 *22741:B1 *3539:109 0.000109126 +25 *1585:7 *4942:7 6.08467e-05 +26 *1585:8 *20647:A1 4.3116e-06 +27 *1585:8 *21612:A 1.78514e-05 +28 *1585:8 *24473:SET_B 0 +29 *1585:8 *1724:8 0.00045911 +30 *1585:8 *1977:8 9.43341e-05 +31 *1585:8 *1977:10 0.00014007 +32 *1585:8 *2660:10 5.31118e-05 +33 *1585:8 *3035:10 0.000112679 +34 *1585:8 *3065:8 0 +35 *1585:8 *3860:30 0.00211298 +36 *1585:8 *4106:62 0 +37 *1585:8 *4889:33 0.000129183 +38 *1585:8 *4889:37 0.000619657 +39 *1585:8 *5853:46 0.00060226 +40 *1585:8 *5866:103 3.52136e-05 +41 *1585:13 *20644:A 5.04829e-06 +42 *1585:13 *20749:B 0.000245761 +43 *1585:13 *2728:41 9.19433e-05 +44 *1585:19 *1594:59 8.04172e-05 +45 *1585:19 *1624:38 0.000177542 +46 *1585:19 *2564:18 0.000248219 +47 *1585:19 *2795:12 0.000258513 +48 *1585:19 *2853:22 0.000137362 +49 *1585:19 *2853:23 1.01359e-05 +50 *1585:19 *2883:20 0.000454096 +51 *1585:19 *2956:48 0.00118883 +52 *1585:19 *3162:121 0.000470072 +53 *1585:19 *5048:10 0.000119186 +54 *1585:19 *5855:26 0.000136823 +55 *1585:19 *5855:34 1.66626e-05 +56 *1585:22 *22762:B1 3.80286e-05 +57 *1585:22 *1688:43 0.000550327 +58 *1585:22 *2117:143 0.000469316 +59 *1585:22 *2818:30 0.000281315 +60 *1585:22 *3057:29 0.00031274 +61 *1585:34 *1605:46 0.000306644 +62 *1585:34 *2795:20 0.000136376 +63 *1585:34 *3120:168 2.57465e-06 +64 *1585:34 *3120:179 0.000362885 +65 *1585:34 *3146:116 0.000107496 +66 *1585:34 *3394:8 0.000248561 +67 *24906:D *1585:19 8.56804e-05 +68 *1547:66 *1585:13 0.000943563 +69 *1554:36 *22421:A 6.08467e-05 +70 *1554:36 *22741:B1 2.91329e-05 +71 *1565:12 *1585:22 0.00280666 +72 *1570:106 *1585:22 0.000624815 +73 *1582:81 *1585:34 0.000275111 +74 *1582:101 *1585:34 2.43368e-05 +*RES +1 *19827:Y *1585:7 14.4725 +2 *1585:7 *1585:8 62.0436 +3 *1585:8 *1585:13 22.4793 +4 *1585:13 *1585:19 49.7806 +5 *1585:19 *1585:22 6.94239 +6 *1585:22 *1585:34 26.6415 +7 *1585:34 *22741:B1 31.7814 +8 *1585:34 *22421:A 9.97254 +9 *1585:22 *19831:A1 36.0985 +*END + +*D_NET *1586 0.0431854 +*CONN +*I *21499:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *20749:B I *D sky130_fd_sc_hd__or2_1 +*I *21782:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22053:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19831:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21617:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19828:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21499:B2 0 +2 *20749:B 0.00185175 +3 *21782:A2 1.47608e-05 +4 *22053:A2 0.000667383 +5 *19831:A2 0.000380659 +6 *21617:A2 0 +7 *19828:X 0.00217754 +8 *1586:117 0.00292808 +9 *1586:111 0.0012886 +10 *1586:103 0.00142192 +11 *1586:77 0.00249095 +12 *1586:47 0.0020217 +13 *1586:36 0.000985555 +14 *1586:33 0.00240654 +15 *1586:11 0.00406697 +16 *19831:A2 *19831:B2 2.44617e-05 +17 *20749:B *19788:A 6.08467e-05 +18 *20749:B *20644:A 0.000118166 +19 *20749:B *1943:25 4.31539e-05 +20 *20749:B *1943:37 6.13007e-05 +21 *20749:B *1947:22 6.50727e-05 +22 *20749:B *2024:7 1.4091e-06 +23 *20749:B *2056:8 0.000122098 +24 *20749:B *2728:41 1.2107e-05 +25 *20749:B *3065:8 0.000118485 +26 *20749:B *5867:125 2.59533e-05 +27 *21782:A2 *21782:B1 6.08467e-05 +28 *21782:A2 *21783:A1 6.08467e-05 +29 *22053:A2 *22439:B2 1.65872e-05 +30 *22053:A2 *1618:35 5.04829e-06 +31 *22053:A2 *2850:53 4.31703e-05 +32 *22053:A2 *3027:33 0.000818012 +33 *22053:A2 *3134:142 0.00185289 +34 *22053:A2 *3157:139 0.000138621 +35 *22053:A2 *3166:105 0.000138635 +36 *1586:11 *21776:B1 9.75148e-06 +37 *1586:11 *2792:27 0.000409323 +38 *1586:11 *3537:58 6.32373e-05 +39 *1586:33 *20022:A1 0.000274835 +40 *1586:33 *21808:C 0.000124364 +41 *1586:33 *21813:B2 2.16057e-05 +42 *1586:33 *21832:A1 0.000333544 +43 *1586:33 *21832:B1 0.000102058 +44 *1586:33 *21833:A 9.20782e-05 +45 *1586:33 *21845:B2 3.73224e-05 +46 *1586:33 *21858:B 6.22114e-05 +47 *1586:33 *21858:D 8.03951e-06 +48 *1586:33 *21902:B 0.000165775 +49 *1586:33 *21902:C 9.2346e-06 +50 *1586:33 *2605:29 0.00011393 +51 *1586:33 *2828:47 0.000193325 +52 *1586:33 *2847:8 5.67796e-06 +53 *1586:33 *2857:52 2.26426e-05 +54 *1586:33 *2954:74 0.000228041 +55 *1586:33 *4850:17 0.000358912 +56 *1586:36 *1771:83 0.000695094 +57 *1586:36 *2799:8 0.000113902 +58 *1586:36 *3067:26 7.67208e-05 +59 *1586:47 *1771:83 9.80784e-05 +60 *1586:47 *2799:8 1.90063e-05 +61 *1586:47 *3134:142 3.29488e-05 +62 *1586:77 *19832:C1 2.77564e-05 +63 *1586:77 *19841:B1 3.79135e-05 +64 *1586:77 *19841:B2 7.20595e-06 +65 *1586:77 *19842:C1 0.000111722 +66 *1586:77 *20040:B1 0.000183255 +67 *1586:77 *22565:B1 0.000108104 +68 *1586:77 *1595:75 0.000110027 +69 *1586:77 *1595:101 1.65872e-05 +70 *1586:77 *1596:76 1.71306e-05 +71 *1586:77 *1602:45 0.00011909 +72 *1586:77 *1602:71 0.000265618 +73 *1586:77 *1618:35 8.4597e-05 +74 *1586:77 *1618:56 3.72323e-05 +75 *1586:77 *1643:28 0.000256421 +76 *1586:77 *1771:83 1.8008e-05 +77 *1586:77 *1771:104 0.000240214 +78 *1586:77 *2535:40 4.78069e-06 +79 *1586:77 *2697:26 0.000102585 +80 *1586:77 *2765:52 9.37967e-05 +81 *1586:77 *3122:198 1.61631e-05 +82 *1586:77 *3537:146 8.23875e-05 +83 *1586:77 *3705:17 0.000123007 +84 *1586:103 *19842:A1 0.000128815 +85 *1586:103 *19865:C1 0.000141341 +86 *1586:103 *21499:A1 2.1203e-06 +87 *1586:103 *21499:C1 0.000107496 +88 *1586:103 *21753:B2 2.652e-05 +89 *1586:103 *21831:A1 6.74182e-05 +90 *1586:103 *1594:60 5.01524e-05 +91 *1586:103 *1595:60 8.29422e-05 +92 *1586:103 *1595:75 2.88758e-05 +93 *1586:103 *1596:91 8.56075e-05 +94 *1586:103 *1602:93 2.57986e-05 +95 *1586:103 *1602:104 2.57847e-05 +96 *1586:103 *1643:28 0.00076126 +97 *1586:103 *1789:82 4.25398e-05 +98 *1586:103 *1789:84 8.45896e-06 +99 *1586:103 *2765:48 0.00013408 +100 *1586:103 *2765:52 0.000205675 +101 *1586:103 *2769:13 3.18543e-05 +102 *1586:103 *3527:166 0.000306993 +103 *1586:103 *3537:146 0.000203232 +104 *1586:111 *21499:B1 7.73661e-05 +105 *1586:111 *21499:C1 0.000154145 +106 *1586:111 *1789:82 4.42142e-05 +107 *1586:111 *2954:28 4.76248e-05 +108 *1586:117 *20777:B 0.000264963 +109 *1586:117 *21392:A 0.000132995 +110 *1586:117 *1783:28 0.000274696 +111 *1586:117 *1783:38 5.54595e-05 +112 *1586:117 *1943:37 0.000247443 +113 *1586:117 *2298:16 0.00115021 +114 *1586:117 *2697:19 0.000200794 +115 *1586:117 *3106:206 0.000765399 +116 *1586:117 *5159:8 0.000152073 +117 *19790:B1 *1586:33 5.39404e-06 +118 *19791:B1 *1586:33 0.000397751 +119 *19810:A2 *1586:33 0.000121316 +120 *19810:B1 *1586:33 0.000659108 +121 *19810:B2 *1586:36 0.000289868 +122 *19820:A2 *1586:77 3.65454e-05 +123 *19822:C *1586:33 2.08365e-05 +124 *19831:A1 *19831:A2 4.93527e-05 +125 *19832:A1 *22053:A2 0.00016748 +126 *19832:A1 *1586:77 7.92757e-06 +127 *19832:A2 *22053:A2 1.65872e-05 +128 *19832:A2 *1586:77 0.000121845 +129 *20643:B *20749:B 1.03403e-05 +130 *21617:B2 *1586:11 0.000234955 +131 *21617:B2 *1586:33 1.41307e-05 +132 *1454:74 *1586:11 0.0019536 +133 *1454:104 *1586:11 1.1718e-05 +134 *1542:25 *1586:11 5.88594e-05 +135 *1542:34 *1586:11 0.000180414 +136 *1547:66 *20749:B 1.2894e-05 +137 *1575:42 *1586:77 0.000280578 +138 *1583:103 *1586:33 6.79891e-06 +139 *1583:113 *1586:33 2.1558e-05 +140 *1585:13 *20749:B 0.000245761 +*RES +1 *19828:X *1586:11 46.7934 +2 *1586:11 *21617:A2 9.24915 +3 *1586:11 *1586:33 48.4275 +4 *1586:33 *1586:36 18.2812 +5 *1586:36 *19831:A2 18.9094 +6 *1586:36 *1586:47 6.74725 +7 *1586:47 *22053:A2 43.6798 +8 *1586:47 *1586:77 48.3952 +9 *1586:77 *21782:A2 14.4725 +10 *1586:77 *1586:103 46.9268 +11 *1586:103 *1586:111 17.4433 +12 *1586:111 *1586:117 43.0727 +13 *1586:117 *20749:B 46.9003 +14 *1586:103 *21499:B2 9.24915 +*END + +*D_NET *1587 0.148798 +*CONN +*I *22729:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22414:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19831:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19829:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22729:A1 0.000441725 +2 *22414:A1 4.02265e-05 +3 *19831:B1 0.000314269 +4 *19829:Y 0.00178995 +5 *1587:137 0.00910269 +6 *1587:121 0.00756639 +7 *1587:106 0.00834929 +8 *1587:91 0.00285638 +9 *1587:80 0.00308846 +10 *1587:72 0.00199179 +11 *1587:63 0.00176081 +12 *1587:51 0.0119287 +13 *1587:48 0.00337306 +14 *1587:47 0.00203434 +15 *1587:44 0.00339876 +16 *1587:38 0.0038759 +17 *1587:30 0.00215421 +18 *1587:23 0.00261016 +19 *1587:16 0.00321432 +20 *1587:10 0.00320023 +21 *19831:B1 *2697:26 0.000388775 +22 *19831:B1 *2847:8 0.000398562 +23 *22414:A1 *22414:A2 6.08467e-05 +24 *22414:A1 *22414:B2 0.000110297 +25 *22414:A1 *2773:28 1.91391e-05 +26 *22414:A1 *3175:37 1.65872e-05 +27 *22414:A1 *3491:70 1.5714e-05 +28 *22729:A1 *22408:B1 3.8122e-05 +29 *22729:A1 *22729:B1 6.08467e-05 +30 *22729:A1 *22729:B2 6.50727e-05 +31 *22729:A1 *2916:20 0.00108328 +32 *22729:A1 *3483:30 0.000112207 +33 *22729:A1 *3737:12 1.66626e-05 +34 *1587:10 *21339:A1 5.481e-05 +35 *1587:10 *21339:B2 1.39788e-05 +36 *1587:10 *1686:8 0.000413083 +37 *1587:10 *2401:11 7.22095e-06 +38 *1587:10 *2450:29 0.000340742 +39 *1587:16 *2951:28 2.53174e-05 +40 *1587:16 *3003:10 6.99044e-06 +41 *1587:16 *3003:12 0.000866249 +42 *1587:16 *4921:14 0.00061723 +43 *1587:23 *1735:36 0.000164509 +44 *1587:23 *2446:15 0 +45 *1587:23 *2447:26 3.26457e-05 +46 *1587:23 *2578:8 0.000856723 +47 *1587:23 *2753:49 0.000151766 +48 *1587:23 *2951:28 0.000311814 +49 *1587:23 *3003:10 0.000938519 +50 *1587:23 *3003:12 1.02764e-05 +51 *1587:30 *19627:A1 0.000135048 +52 *1587:30 *21929:A 2.61955e-05 +53 *1587:30 *1748:19 0.00243783 +54 *1587:30 *1760:8 3.77568e-05 +55 *1587:30 *2835:8 7.56294e-05 +56 *1587:30 *2943:7 0.00160394 +57 *1587:30 *2946:8 0.000232297 +58 *1587:30 *5854:322 1.75625e-05 +59 *1587:38 *1692:8 1.9101e-05 +60 *1587:38 *1748:16 0.00198567 +61 *1587:38 *1845:106 1.5714e-05 +62 *1587:38 *2259:15 0.00177452 +63 *1587:38 *2963:102 0.000253729 +64 *1587:44 *1861:11 2.652e-05 +65 *1587:44 *2505:43 6.15006e-05 +66 *1587:44 *2505:47 0.00146175 +67 *1587:44 *5438:8 0.000123176 +68 *1587:44 *5482:41 4.3116e-06 +69 *1587:47 *1861:18 0.000107496 +70 *1587:47 *2405:17 0.00146166 +71 *1587:47 *2412:7 0.000668833 +72 *1587:48 *1690:68 8.82029e-05 +73 *1587:48 *2619:30 0.00641269 +74 *1587:48 *2955:30 0.00528998 +75 *1587:48 *5453:106 0.00167094 +76 *1587:48 *5930:14 0.000369539 +77 *1587:51 *1705:16 0 +78 *1587:51 *3791:185 0.00030471 +79 *1587:51 *3840:191 6.86848e-05 +80 *1587:63 *23028:A 5.21745e-05 +81 *1587:63 *23360:A 7.83506e-06 +82 *1587:63 *23479:A 8.84097e-05 +83 *1587:63 *23480:A 1.91246e-05 +84 *1587:63 *23622:D_N 0.000112149 +85 *1587:63 *2281:13 0 +86 *1587:63 *2612:41 0.000706448 +87 *1587:63 *3877:166 0.000132296 +88 *1587:63 *3883:18 0.00020951 +89 *1587:63 *3897:64 9.95633e-05 +90 *1587:63 *4268:6 3.44886e-05 +91 *1587:63 *4340:20 0.000304791 +92 *1587:63 *4663:19 1.30345e-05 +93 *1587:63 *4741:18 0.000120279 +94 *1587:63 *5082:62 0.000213435 +95 *1587:63 *5929:38 0 +96 *1587:72 *23528:B 0.000116224 +97 *1587:72 *23574:A1 0 +98 *1587:72 *1808:69 0 +99 *1587:72 *3897:64 0.00116171 +100 *1587:72 *5082:62 0.00147083 +101 *1587:80 *23144:A1 1.43848e-05 +102 *1587:80 *23144:B1 0.000167651 +103 *1587:80 *23144:C1 6.36477e-05 +104 *1587:80 *23248:B 3.17121e-05 +105 *1587:80 *23249:A 0.000299704 +106 *1587:80 *23250:A 2.16608e-05 +107 *1587:80 *23474:A 1.57079e-05 +108 *1587:80 *3934:21 0.000113784 +109 *1587:80 *3938:41 0.00048975 +110 *1587:80 *3980:195 0.000332346 +111 *1587:80 *4019:39 6.48575e-05 +112 *1587:80 *4019:43 4.17605e-05 +113 *1587:80 *4106:180 0.000352744 +114 *1587:80 *4106:191 0.000281788 +115 *1587:80 *4153:11 0.000876904 +116 *1587:80 *4595:8 0.000465218 +117 *1587:91 *22980:B 0.00105306 +118 *1587:91 *3791:123 1.09551e-05 +119 *1587:91 *3861:79 6.50586e-05 +120 *1587:91 *3861:91 9.61086e-05 +121 *1587:91 *3893:26 0.000156955 +122 *1587:91 *4648:29 0.000294093 +123 *1587:91 *4751:13 0.000200794 +124 *1587:106 *23274:A 7.14746e-05 +125 *1587:106 *23277:A 0.000129569 +126 *1587:106 *3872:185 0.000438346 +127 *1587:106 *4601:10 0.00065734 +128 *1587:106 *4601:14 0.000238371 +129 *1587:106 *4685:12 7.50872e-05 +130 *1587:106 *4751:13 0.000257523 +131 *1587:121 *19813:A 0.00142403 +132 *1587:121 *21777:A1 0.000271675 +133 *1587:121 *22773:A2 8.09106e-06 +134 *1587:121 *1795:53 0.000779143 +135 *1587:121 *2660:94 8.20833e-07 +136 *1587:121 *2771:33 0 +137 *1587:121 *2783:68 0.000110306 +138 *1587:121 *3002:69 0.000590035 +139 *1587:121 *3066:26 3.48056e-05 +140 *1587:121 *3106:129 0.00023067 +141 *1587:121 *3192:230 0.000106286 +142 *1587:121 *3529:80 0.00136434 +143 *1587:121 *3750:22 0.000255923 +144 *1587:121 *5453:21 0.000297135 +145 *1587:121 *5454:15 0.00422265 +146 *1587:137 *20049:A1 0.000868901 +147 *1587:137 *22437:A1 2.4469e-05 +148 *1587:137 *23379:A 6.05651e-06 +149 *1587:137 *1684:85 0.000267732 +150 *1587:137 *1697:52 0 +151 *1587:137 *1733:14 0.00248855 +152 *1587:137 *1801:59 0.00355115 +153 *1587:137 *1804:64 0.000167186 +154 *1587:137 *2563:17 4.43727e-05 +155 *1587:137 *2713:27 0.000116468 +156 *1587:137 *2801:19 0.000111706 +157 *1587:137 *2916:20 5.69065e-05 +158 *1587:137 *2950:125 0.0004881 +159 *1587:137 *2955:43 0 +160 *1587:137 *2964:35 8.39432e-05 +161 *1587:137 *2974:40 0.000847795 +162 *1587:137 *3114:29 6.99135e-05 +163 *1587:137 *3175:43 6.24173e-05 +164 *1587:137 *3432:31 6.23101e-05 +165 *1587:137 *3496:52 6.14246e-05 +166 *1587:137 *3498:75 0.000333673 +167 *1587:137 *3502:21 6.76999e-06 +168 *1587:137 *3671:95 0.000131355 +169 *1587:137 *3791:185 0.00152208 +170 *1587:137 *3840:191 9.90264e-05 +171 *1587:137 *5475:59 6.88661e-06 +172 *19810:C1 *1587:121 7.13655e-06 +173 *19817:B *1587:121 0.00035464 +174 *19820:A1 *1587:121 0.000735478 +175 *19820:B2 *1587:121 5.30803e-05 +176 *21339:A2 *1587:10 9.50391e-05 +177 *24199:D *1587:44 2.57847e-05 +178 *24199:RESET_B *1587:44 1.47051e-05 +179 *25016:A *1587:51 5.01613e-05 +180 *25017:A *1587:51 7.52054e-05 +181 *484:26 *1587:44 9.60366e-05 +182 *541:28 *22729:A1 0.00111253 +183 *541:28 *1587:137 0.000569557 +184 *1448:79 *1587:10 0.000178171 +185 *1449:27 *1587:10 0.000446985 +186 *1461:23 *1587:30 4.12833e-05 +187 *1461:159 *1587:16 7.20931e-05 +188 *1461:159 *1587:23 0.000186129 +189 *1471:10 *1587:10 0.000118485 +190 *1492:35 *1587:10 7.6719e-06 +191 *1496:16 *1587:16 2.20796e-05 +192 *1497:17 *1587:16 0.00118553 +193 *1506:114 *1587:106 0.000602041 +194 *1515:60 *1587:137 3.42029e-05 +195 *1520:21 *1587:30 7.92757e-06 +196 *1520:28 *1587:30 0.000355556 +197 *1521:61 *1587:106 0.000404642 +198 *1547:26 *1587:121 0.00064143 +199 *1565:17 *1587:121 3.89049e-05 +200 *1566:31 *1587:121 7.84467e-06 +201 *1566:55 *1587:121 0.000246319 +202 *1569:71 *1587:121 0.00057085 +*RES +1 *19829:Y *1587:10 49.2175 +2 *1587:10 *1587:16 37.5039 +3 *1587:16 *1587:23 49.3031 +4 *1587:23 *1587:30 46.7235 +5 *1587:30 *1587:38 17.8618 +6 *1587:38 *1587:44 48.7138 +7 *1587:44 *1587:47 32.399 +8 *1587:47 *1587:48 116.649 +9 *1587:48 *1587:51 8.00808 +10 *1587:51 *1587:63 48.0925 +11 *1587:63 *1587:72 36.9731 +12 *1587:72 *1587:80 49.6518 +13 *1587:80 *1587:91 48.7627 +14 *1587:91 *1587:106 49.203 +15 *1587:106 *1587:121 49.5676 +16 *1587:121 *19831:B1 22.6404 +17 *1587:51 *1587:137 22.1955 +18 *1587:137 *22414:A1 18.7745 +19 *1587:137 *22729:A1 20.9853 +*END + +*D_NET *1588 0.0482727 +*CONN +*I *22054:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19831:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21758:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21492:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21702:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20906:B I *D sky130_fd_sc_hd__or2_1 +*I *19830:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *22054:A2 6.85086e-05 +2 *19831:B2 0.000319861 +3 *21758:B2 0 +4 *21492:A2 0 +5 *21702:B2 3.01428e-05 +6 *20906:B 0 +7 *19830:X 0.0012637 +8 *1588:137 0.000411174 +9 *1588:136 0.00109142 +10 *1588:131 0.00316916 +11 *1588:107 0.00354111 +12 *1588:88 0.00246915 +13 *1588:77 0.00218448 +14 *1588:64 0.00244386 +15 *1588:41 0.00167815 +16 *1588:36 0.00176582 +17 *1588:17 0.00161757 +18 *1588:14 0.00147548 +19 *19831:B2 *3111:204 0.000351133 +20 *21702:B2 *2547:12 4.76248e-05 +21 *21702:B2 *2773:12 4.78118e-05 +22 *22054:A2 *22545:B1 4.08323e-05 +23 *22054:A2 *3015:16 1.48605e-05 +24 *1588:14 *21968:A2 0.000211478 +25 *1588:14 *2586:43 5.05252e-05 +26 *1588:14 *2761:6 5.41377e-05 +27 *1588:17 *20907:A 5.73392e-05 +28 *1588:17 *21968:A2 7.7434e-05 +29 *1588:17 *1742:60 0.00016707 +30 *1588:17 *2145:8 6.50586e-05 +31 *1588:36 *20907:A 6.3657e-05 +32 *1588:36 *21947:B 0.000325618 +33 *1588:36 *22728:B2 9.49244e-05 +34 *1588:36 *22783:A1 8.8078e-05 +35 *1588:36 *22783:A2 0.000216557 +36 *1588:36 *22783:B2 0.000181995 +37 *1588:36 *1731:136 1.13071e-05 +38 *1588:36 *2145:8 1.65872e-05 +39 *1588:36 *2727:8 0.00132774 +40 *1588:36 *2983:11 0.000158642 +41 *1588:36 *3737:12 5.93375e-06 +42 *1588:41 *21702:A1 0.000107496 +43 *1588:41 *22475:C1 1.94584e-05 +44 *1588:41 *22783:B1 1.33714e-05 +45 *1588:41 *3498:35 0.000107496 +46 *1588:64 *22747:A2 1.27831e-06 +47 *1588:64 *22765:A1 7.09666e-06 +48 *1588:64 *2547:12 0.000570996 +49 *1588:64 *2773:12 0.000438184 +50 *1588:64 *2923:16 0.000951387 +51 *1588:64 *2994:55 0.000309242 +52 *1588:64 *3018:8 8.83958e-05 +53 *1588:64 *3131:41 2.86621e-05 +54 *1588:64 *3139:25 0.000110306 +55 *1588:64 *3168:7 0.000107496 +56 *1588:64 *3481:37 0.000142376 +57 *1588:64 *3481:46 0.000771766 +58 *1588:77 *21450:B1 9.13925e-05 +59 *1588:77 *21525:B 3.5775e-05 +60 *1588:77 *21537:B2 1.77657e-05 +61 *1588:77 *21591:C 0.000178488 +62 *1588:77 *22502:A1 0.000298399 +63 *1588:77 *1608:13 6.7034e-05 +64 *1588:77 *1616:17 0.000302597 +65 *1588:77 *1649:18 0.00116201 +66 *1588:77 *2547:26 0.00037564 +67 *1588:77 *2563:26 7.40684e-06 +68 *1588:77 *2590:36 0.000147736 +69 *1588:77 *2751:8 2.78219e-06 +70 *1588:77 *2763:20 0.00115426 +71 *1588:77 *3185:79 0.000367713 +72 *1588:77 *3719:26 0.000106261 +73 *1588:77 *3736:18 9.04884e-05 +74 *1588:88 *21525:B 5.65663e-05 +75 *1588:88 *21531:B1 3.73224e-05 +76 *1588:88 *21531:B2 0.000177753 +77 *1588:88 *1608:13 0.000134421 +78 *1588:88 *1723:47 2.661e-05 +79 *1588:88 *1723:62 0.000877589 +80 *1588:88 *2599:26 5.69587e-05 +81 *1588:88 *2751:8 7.63585e-06 +82 *1588:88 *3015:28 0.000645813 +83 *1588:88 *3190:34 1.91246e-05 +84 *1588:88 *3736:18 0.000305716 +85 *1588:107 *6193:DIODE 0.000105031 +86 *1588:107 *21730:A1 6.08703e-05 +87 *1588:107 *21801:C1 0.000124969 +88 *1588:107 *22223:A2 9.12416e-06 +89 *1588:107 *22539:B1 0.000154145 +90 *1588:107 *22540:C1 9.63635e-05 +91 *1588:107 *1635:42 0.000147524 +92 *1588:107 *1662:80 8.62625e-06 +93 *1588:107 *1662:87 0.000199861 +94 *1588:107 *2569:41 0.00055305 +95 *1588:107 *2675:95 4.0143e-05 +96 *1588:107 *3015:28 0.000220378 +97 *1588:107 *5454:15 1.5714e-05 +98 *1588:131 *21549:A1 1.29445e-05 +99 *1588:131 *21549:A2 0.000216291 +100 *1588:131 *21549:B1 0.00108327 +101 *1588:131 *21795:A1 0.000489162 +102 *1588:131 *21808:D 4.0919e-05 +103 *1588:131 *22223:A2 2.4269e-05 +104 *1588:131 *22236:A2 0.000557366 +105 *1588:131 *22269:B1 5.16129e-05 +106 *1588:131 *22545:B1 0.000270769 +107 *1588:131 *22556:B1 3.73224e-05 +108 *1588:131 *22556:C1 0.000118987 +109 *1588:131 *1596:65 0.00020982 +110 *1588:131 *2093:181 2.03491e-05 +111 *1588:131 *2569:16 0.000242575 +112 *1588:131 *2569:27 1.26672e-05 +113 *1588:131 *2604:50 0.000758448 +114 *1588:131 *3015:16 3.2029e-05 +115 *1588:131 *3015:26 7.36183e-05 +116 *1588:131 *3186:14 5.60291e-06 +117 *1588:131 *3494:25 0.000228813 +118 *1588:131 *5904:64 5.57273e-05 +119 *1588:136 *22054:B2 0.000107496 +120 *1588:136 *22054:C1 9.2259e-06 +121 *1588:136 *22238:A 6.36477e-05 +122 *1588:136 *22238:B 0.000105515 +123 *1588:136 *22239:A2 0.000250254 +124 *1588:136 *22239:C1 2.16355e-05 +125 *1588:136 *1634:69 1.37669e-05 +126 *1588:136 *3111:192 1.95022e-05 +127 *1588:136 *3111:204 4.34402e-05 +128 *19790:A2 *1588:131 0.000111722 +129 *19790:B1 *1588:131 0.000167672 +130 *19793:A *1588:14 2.35405e-05 +131 *19793:A *1588:17 0.000111722 +132 *19801:A1 *1588:131 0.000547746 +133 *19822:B *1588:131 0.000107496 +134 *19831:A1 *19831:B2 9.55718e-05 +135 *19831:A2 *19831:B2 2.44617e-05 +136 *20906:A *1588:36 7.09666e-06 +137 *22540:B1 *1588:107 1.21461e-06 +138 *518:15 *1588:131 3.83847e-05 +139 *543:12 *1588:36 0.000828227 +140 *1435:45 *1588:14 0.000371045 +141 *1496:48 *1588:14 6.97218e-05 +142 *1496:68 *1588:14 0.000120662 +143 *1541:38 *1588:14 0.000158371 +144 *1544:51 *1588:14 6.84248e-05 +145 *1557:10 *1588:17 6.76539e-05 +146 *1583:74 *1588:107 0.000210647 +147 *1583:78 *1588:107 0.00039557 +148 *1583:103 *1588:107 4.0375e-06 +149 *1583:103 *1588:131 9.66809e-05 +*RES +1 *19830:X *1588:14 48.9658 +2 *1588:14 *1588:17 7.40275 +3 *1588:17 *20906:B 9.24915 +4 *1588:17 *1588:36 48.6295 +5 *1588:36 *1588:41 14.1602 +6 *1588:41 *21702:B2 14.7506 +7 *1588:41 *1588:64 47.5065 +8 *1588:64 *1588:77 31.645 +9 *1588:77 *21492:A2 13.7491 +10 *1588:77 *1588:88 30.5577 +11 *1588:88 *21758:B2 13.7491 +12 *1588:88 *1588:107 44.7884 +13 *1588:107 *1588:131 48.7082 +14 *1588:131 *1588:136 28.3376 +15 *1588:136 *1588:137 104.301 +16 *1588:137 *19831:B2 26.1181 +17 *1588:131 *22054:A2 15.4834 +*END + +*D_NET *1589 0.000936105 +*CONN +*I *19832:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19831:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19832:C1 0.000222693 +2 *19831:X 0.000222693 +3 *19832:C1 *1618:18 4.50856e-05 +4 *19832:C1 *1618:35 1.02986e-05 +5 *19832:C1 *2697:26 0.000192587 +6 *19832:A1 *19832:C1 0.000107496 +7 *19832:A2 *19832:C1 0.000107496 +8 *1586:77 *19832:C1 2.77564e-05 +*RES +1 *19831:X *19832:C1 33.0998 +*END + +*D_NET *1590 0.00639785 +*CONN +*I *19908:A I *D sky130_fd_sc_hd__and4_1 +*I *19832:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19908:A 0.000939708 +2 *19832:X 0.000746553 +3 *1590:10 0.00168626 +4 *19908:A *19842:A2 2.09394e-05 +5 *19908:A *20040:B2 7.82704e-05 +6 *19908:A *21766:A 0.000329868 +7 *19908:A *22566:B2 0.000161658 +8 *19908:A *22566:C1 0.00043999 +9 *19908:A *22595:A1 1.5714e-05 +10 *19908:A *22596:C1 0 +11 *19908:A *1595:101 1.5714e-05 +12 *19908:A *1600:19 2.1203e-06 +13 *19908:A *1646:35 0.000123931 +14 *19908:A *1666:12 0 +15 *19908:A *1721:154 5.42014e-06 +16 *19908:A *1790:130 1.47773e-05 +17 *19908:A *2843:31 9.63703e-05 +18 *1590:10 *21630:C1 2.2279e-05 +19 *1590:10 *1721:154 0 +20 *1590:10 *1796:10 0.000687986 +21 *1535:22 *19908:A 7.97981e-05 +22 *1535:22 *1590:10 0.000719804 +23 *1584:95 *1590:10 0.000207911 +24 *1584:105 *1590:10 2.77625e-06 +*RES +1 *19832:X *1590:10 33.306 +2 *1590:10 *19908:A 36.9666 +*END + +*D_NET *1591 0.0303325 +*CONN +*I *19842:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22231:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22586:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19833:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19842:A1 0.000688937 +2 *22231:A1 0.000352731 +3 *22586:A1 3.14925e-05 +4 *19833:Y 0.000464067 +5 *1591:48 0.00131441 +6 *1591:39 0.00302879 +7 *1591:9 0.00604869 +8 *1591:7 0.0037882 +9 *19842:A1 *19865:A1 2.16326e-05 +10 *19842:A1 *19865:A2 1.13133e-05 +11 *19842:A1 *1596:91 0.000125202 +12 *19842:A1 *1600:19 0.000348345 +13 *19842:A1 *1622:14 0.000520803 +14 *19842:A1 *3535:14 6.08467e-05 +15 *22231:A1 *22231:C1 0.000207901 +16 *22231:A1 *22566:A1 4.8582e-05 +17 *22231:A1 *22576:C1 7.09666e-06 +18 *22231:A1 *22597:A 8.77951e-05 +19 *22231:A1 *22597:D 4.49767e-05 +20 *22231:A1 *22629:B1 1.91246e-05 +21 *22231:A1 *2551:44 2.78219e-06 +22 *22231:A1 *3535:14 2.69685e-05 +23 *22231:A1 *4942:22 0.000243561 +24 *22586:A1 *19895:B1 0.000158451 +25 *22586:A1 *3529:178 0.000158451 +26 *1591:7 *20689:B 0.000644953 +27 *1591:7 *20690:A 0.000583261 +28 *1591:7 *5862:454 6.78364e-06 +29 *1591:9 *20212:A1 0.000205101 +30 *1591:9 *20689:B 9.95234e-05 +31 *1591:9 *22382:B 2.18564e-05 +32 *1591:9 *22720:A1 0.000251224 +33 *1591:9 *22720:A2 9.15084e-05 +34 *1591:9 *22721:C1 0.000107496 +35 *1591:9 *24767:CLK 7.13972e-05 +36 *1591:9 *1652:87 0.000589833 +37 *1591:9 *1655:213 5.23916e-05 +38 *1591:9 *2305:40 0.000178223 +39 *1591:9 *2360:57 1.19585e-05 +40 *1591:9 *2421:42 0.000546755 +41 *1591:9 *5093:7 9.94793e-06 +42 *1591:39 *19895:B1 9.61086e-05 +43 *1591:39 *21278:A1 1.92172e-05 +44 *1591:39 *21278:A2 0.000160617 +45 *1591:39 *21278:B2 8.20403e-05 +46 *1591:39 *22224:A1 0.000136747 +47 *1591:39 *1652:87 6.98208e-05 +48 *1591:39 *1652:95 0.000995619 +49 *1591:39 *1655:161 0.000338335 +50 *1591:39 *1655:199 0.000549662 +51 *1591:39 *1770:58 3.27606e-06 +52 *1591:39 *1784:91 7.78476e-05 +53 *1591:39 *2541:23 5.60804e-05 +54 *1591:39 *2554:21 0.00250127 +55 *1591:39 *2602:32 0.000113478 +56 *1591:39 *2776:20 0.000131452 +57 *1591:39 *2776:29 6.36477e-05 +58 *1591:39 *2806:14 0.000134978 +59 *1591:39 *2918:20 3.62802e-05 +60 *1591:39 *3039:46 0.000158569 +61 *1591:39 *3044:23 0.000426056 +62 *1591:39 *3529:178 0.000131827 +63 *1591:39 *3533:119 0.000109247 +64 *1591:39 *4873:17 0.000925173 +65 *1591:39 *4942:22 3.85185e-05 +66 *1591:48 *22566:A1 1.25173e-05 +67 *1591:48 *3535:14 0.000491597 +68 *1591:48 *4942:22 0.000535755 +69 *24294:D *1591:7 0.000251655 +70 *477:152 *1591:7 0.000253916 +71 *510:16 *1591:9 1.89618e-05 +72 *1586:103 *19842:A1 0.000128815 +*RES +1 *19833:Y *1591:7 24.4163 +2 *1591:7 *1591:9 50.0831 +3 *1591:9 *1591:39 41.5532 +4 *1591:39 *22586:A1 11.0817 +5 *1591:39 *1591:48 15.0523 +6 *1591:48 *22231:A1 31.2919 +7 *1591:48 *19842:A1 37.9746 +*END + +*D_NET *1592 0.0904406 +*CONN +*I *21402:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21805:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *20954:B I *D sky130_fd_sc_hd__or2_1 +*I *21187:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21315:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19842:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22041:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21642:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21576:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19834:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21402:B2 0.000897161 +2 *21805:B2 4.86556e-05 +3 *20954:B 9.81532e-05 +4 *21187:A2 0.000138925 +5 *21315:B2 0.000569914 +6 *19842:A2 0.00335287 +7 *22041:B2 0.000683813 +8 *21642:A2 9.1025e-06 +9 *21576:A2 0.000590721 +10 *19834:X 0.000418003 +11 *1592:189 0.000825984 +12 *1592:152 0.000967859 +13 *1592:151 0.00284575 +14 *1592:140 0.00434511 +15 *1592:115 0.00664041 +16 *1592:93 0.00539616 +17 *1592:70 0.00398212 +18 *1592:61 0.00255025 +19 *1592:16 0.00306728 +20 *1592:10 0.00135212 +21 *19842:A2 *19842:B1 1.47978e-05 +22 *19842:A2 *19863:B1 6.28341e-06 +23 *19842:A2 *19865:A2 1.59684e-05 +24 *19842:A2 *19895:A2 0.000315944 +25 *19842:A2 *19895:B2 0.000158576 +26 *19842:A2 *21278:B2 0 +27 *19842:A2 *21875:A1 0.000109247 +28 *19842:A2 *22281:A2 9.00714e-07 +29 *19842:A2 *22595:B1 0.000123072 +30 *19842:A2 *1600:19 0.000267944 +31 *19842:A2 *1601:16 3.15073e-05 +32 *19842:A2 *1614:104 0.000202101 +33 *19842:A2 *1615:59 0.000508488 +34 *19842:A2 *1730:184 0 +35 *19842:A2 *1771:127 0.000228709 +36 *19842:A2 *3533:126 0.000131071 +37 *19842:A2 *3533:143 0.00150104 +38 *19842:A2 *3541:95 0.000283789 +39 *19842:A2 *5890:36 2.99332e-05 +40 *19842:A2 *5908:87 0.00395041 +41 *20954:B *21805:B1 6.08467e-05 +42 *20954:B *2820:9 9.76046e-05 +43 *20954:B *5884:45 2.57365e-05 +44 *21187:A2 *21435:A2 6.08467e-05 +45 *21187:A2 *3347:9 0.000132548 +46 *21187:A2 *5888:14 0.000132548 +47 *21315:B2 *21315:A1 0.000158371 +48 *21315:B2 *21315:A2 2.16355e-05 +49 *21315:B2 *21315:C1 8.67924e-06 +50 *21315:B2 *21611:A2 1.01044e-05 +51 *21315:B2 *2258:32 0.000152622 +52 *21315:B2 *2317:20 0.000487161 +53 *21315:B2 *2377:7 0.000247443 +54 *21315:B2 *2820:27 0.00131676 +55 *21315:B2 *2821:11 0.000319854 +56 *21315:B2 *3326:15 0.000156148 +57 *21402:B2 *21402:B1 4.81452e-05 +58 *21402:B2 *22352:A1 0.000401596 +59 *21402:B2 *22352:B1 8.67924e-06 +60 *21402:B2 *22360:B 3.35214e-05 +61 *21402:B2 *1652:87 2.1558e-06 +62 *21402:B2 *2391:95 7.92757e-06 +63 *21402:B2 *2417:53 2.70402e-05 +64 *21402:B2 *2421:42 0.00036306 +65 *21402:B2 *2433:59 0.000561041 +66 *21402:B2 *2465:20 0.000186827 +67 *21402:B2 *2480:8 0 +68 *21402:B2 *2501:22 1.45435e-05 +69 *21402:B2 *2530:90 0.000280898 +70 *21402:B2 *3010:50 5.76799e-05 +71 *21402:B2 *3120:125 1.54479e-05 +72 *21402:B2 *3153:162 7.09666e-06 +73 *21576:A2 *21987:A1 0.000115466 +74 *21576:A2 *1680:111 3.82228e-05 +75 *21576:A2 *2550:9 1.5714e-05 +76 *21576:A2 *2584:77 5.83451e-05 +77 *21576:A2 *2613:101 1.9101e-05 +78 *21576:A2 *2991:67 3.17436e-05 +79 *21576:A2 *3054:18 0.0006909 +80 *21576:A2 *3128:60 2.09544e-05 +81 *21576:A2 *3206:146 0.000162927 +82 *21576:A2 *3519:332 0.000345904 +83 *21642:A2 *1631:57 3.75221e-05 +84 *21642:A2 *4804:96 3.75221e-05 +85 *21805:B2 *2465:10 6.87762e-05 +86 *22041:B2 *21235:B2 0.000865839 +87 *22041:B2 *22041:A1 0.000110297 +88 *22041:B2 *22041:B1 0.000154148 +89 *22041:B2 *22271:A 6.36477e-05 +90 *22041:B2 *22271:B 8.56016e-05 +91 *22041:B2 *22619:B1 0.000235174 +92 *22041:B2 *3146:157 6.03122e-05 +93 *22041:B2 *3564:28 2.94835e-05 +94 *1592:10 *21500:D1 0.00100891 +95 *1592:10 *22539:A2 0.000110297 +96 *1592:10 *2667:122 0.000998136 +97 *1592:10 *2670:11 0.00013298 +98 *1592:10 *3071:67 0.000129383 +99 *1592:10 *3175:220 0.000104281 +100 *1592:16 *21622:A 0.000163178 +101 *1592:16 *1610:33 0.000200794 +102 *1592:16 *1655:29 0.000158357 +103 *1592:16 *3485:163 0.000345048 +104 *1592:16 *3615:12 0.000136357 +105 *1592:61 *22276:B2 0.000170371 +106 *1592:61 *22327:A2 0.000165921 +107 *1592:61 *22328:B 0.00018014 +108 *1592:61 *22602:B1 0.000548345 +109 *1592:61 *2772:48 7.75563e-05 +110 *1592:61 *2772:50 0.000101098 +111 *1592:61 *2772:57 9.66954e-05 +112 *1592:61 *2930:64 0.00012449 +113 *1592:61 *2930:67 5.60364e-06 +114 *1592:61 *3052:77 1.02986e-05 +115 *1592:61 *3117:204 8.95814e-06 +116 *1592:61 *3128:60 0.000663195 +117 *1592:61 *3185:107 5.01835e-05 +118 *1592:61 *3206:146 0.000577805 +119 *1592:61 *3280:16 0.00025552 +120 *1592:61 *3284:21 0.000347598 +121 *1592:61 *4825:146 1.10054e-05 +122 *1592:70 *2093:68 8.62625e-06 +123 *1592:70 *2286:36 6.33165e-05 +124 *1592:70 *2678:17 0.000818656 +125 *1592:70 *2930:67 8.97218e-06 +126 *1592:70 *3052:101 0.000201487 +127 *1592:70 *3117:204 4.15661e-05 +128 *1592:70 *3243:22 7.09666e-06 +129 *1592:70 *3568:8 0.000290444 +130 *1592:70 *4810:66 0.000287019 +131 *1592:93 *21352:A1 1.05122e-05 +132 *1592:93 *21396:A1 0.000211778 +133 *1592:93 *22372:A 4.32983e-05 +134 *1592:93 *1608:43 2.31718e-05 +135 *1592:93 *1649:143 0.000283307 +136 *1592:93 *1680:137 0.000233034 +137 *1592:93 *1680:174 0.000222414 +138 *1592:93 *2378:46 0.000463765 +139 *1592:93 *2456:22 0.000154143 +140 *1592:93 *2534:44 0.00033028 +141 *1592:93 *2791:50 0.000120395 +142 *1592:93 *3196:185 0.00134582 +143 *1592:93 *3204:195 0.000271908 +144 *1592:93 *3278:17 0.00121401 +145 *1592:93 *3529:39 8.91853e-05 +146 *1592:93 *3568:8 8.45091e-05 +147 *1592:93 *4810:66 7.09666e-06 +148 *1592:93 *5592:70 0.000763413 +149 *1592:93 *5924:37 0.00134802 +150 *1592:115 *21235:B2 0.000353964 +151 *1592:115 *21235:C1 0.000382542 +152 *1592:115 *21236:D 8.20799e-06 +153 *1592:115 *21279:B1 0.00191101 +154 *1592:115 *21630:B1 1.72375e-05 +155 *1592:115 *22285:A1 2.67849e-05 +156 *1592:115 *22619:B1 3.11366e-05 +157 *1592:115 *1610:134 5.64704e-05 +158 *1592:115 *2404:25 0.000267946 +159 *1592:115 *4822:80 0.00255894 +160 *1592:115 *5457:13 0.000101585 +161 *1592:140 *22344:A1 0.000104754 +162 *1592:140 *2367:65 0.00208506 +163 *1592:140 *2367:79 0.000163327 +164 *1592:140 *2417:53 0.00111185 +165 *1592:140 *3010:50 0.000942812 +166 *1592:140 *3531:211 5.94162e-05 +167 *1592:140 *5890:36 2.37907e-05 +168 *1592:140 *5908:87 0.00238789 +169 *1592:151 *22384:A1 6.08871e-05 +170 *1592:151 *22686:B1 9.63024e-05 +171 *1592:151 *1884:64 0.000789178 +172 *1592:151 *2293:38 0 +173 *1592:151 *2363:31 5.83513e-05 +174 *1592:151 *2485:24 2.1558e-06 +175 *1592:151 *2493:41 0.000152445 +176 *1592:151 *2498:12 0.000161062 +177 *1592:151 *4836:21 8.49037e-05 +178 *1592:152 *2317:20 0.000404547 +179 *1592:152 *2820:27 0.00122444 +180 *1592:152 *2821:11 0.000330045 +181 *1592:189 *20214:A1 8.24027e-05 +182 *1592:189 *20214:A2 6.76347e-05 +183 *1592:189 *20214:B2 6.08467e-05 +184 *1592:189 *2373:14 5.481e-05 +185 *1592:189 *2465:10 0.000157641 +186 *1592:189 *2820:27 0.000566262 +187 *1592:189 *2821:11 9.2932e-05 +188 *1592:189 *4823:45 0.000201096 +189 *1592:189 *4823:47 0.00082871 +190 *19852:A *1592:10 0.000294093 +191 *19908:A *19842:A2 2.09394e-05 +192 *21309:B2 *1592:115 8.12259e-06 +193 *22041:A2 *22041:B2 9.95922e-06 +194 *24765:D *1592:189 2.95884e-05 +195 *477:145 *21805:B2 6.81441e-05 +196 *477:145 *1592:189 3.04443e-05 +197 *477:152 *1592:189 0.000134202 +198 *1545:118 *1592:93 8.21742e-05 +199 *1552:70 *1592:16 0.000140852 +200 *1564:62 *21576:A2 6.77316e-05 +201 *1564:119 *22041:B2 0.000319292 +202 *1564:134 *22041:B2 2.16355e-05 +*RES +1 *19834:X *1592:10 37.1639 +2 *1592:10 *1592:16 24.0788 +3 *1592:16 *21576:A2 21.6325 +4 *1592:16 *1592:61 46.8615 +5 *1592:61 *1592:70 34.6508 +6 *1592:70 *21642:A2 14.1952 +7 *1592:70 *1592:93 16.9574 +8 *1592:93 *22041:B2 25.8227 +9 *1592:93 *1592:115 7.98255 +10 *1592:115 *19842:A2 35.2086 +11 *1592:115 *1592:140 5.77002 +12 *1592:140 *1592:151 17.0334 +13 *1592:151 *1592:152 14.0339 +14 *1592:152 *21315:B2 41.6543 +15 *1592:152 *21187:A2 22.0503 +16 *1592:151 *1592:189 28.0981 +17 *1592:189 *20954:B 16.1364 +18 *1592:189 *21805:B2 15.1659 +19 *1592:140 *21402:B2 42.0585 +*END + +*D_NET *1593 0.0269387 +*CONN +*I *19842:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22417:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22730:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *19835:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19842:B1 0.000212962 +2 *22417:A1 0 +3 *22730:B1 0.000625632 +4 *19835:Y 0.00148737 +5 *1593:31 0.000799568 +6 *1593:26 0.00115435 +7 *1593:20 0.00216262 +8 *1593:18 0.00295278 +9 *1593:10 0.00304499 +10 *19842:B1 *22632:A2 1.41976e-05 +11 *19842:B1 *1600:19 6.36477e-05 +12 *19842:B1 *3541:95 0.000290722 +13 *22730:B1 *22730:A1 6.36477e-05 +14 *22730:B1 *1602:74 7.6287e-06 +15 *22730:B1 *2535:36 0.000375957 +16 *22730:B1 *2538:13 6.08467e-05 +17 *22730:B1 *2661:30 0.00027591 +18 *22730:B1 *2987:50 0.000405554 +19 *22730:B1 *3153:225 0.000110458 +20 *22730:B1 *3541:110 7.53354e-05 +21 *1593:10 *20646:A1 0.000200794 +22 *1593:10 *20647:B1 1.5714e-05 +23 *1593:10 *20647:B2 4.58529e-05 +24 *1593:10 *21557:A 6.08467e-05 +25 *1593:10 *24475:CLK 3.82228e-05 +26 *1593:10 *2024:21 0.000336125 +27 *1593:10 *2024:46 6.64907e-05 +28 *1593:10 *2231:6 0.000541988 +29 *1593:10 *2624:21 5.25754e-05 +30 *1593:18 *20496:A1 0.000765357 +31 *1593:18 *21829:A 0.000243633 +32 *1593:18 *1856:7 7.6719e-06 +33 *1593:18 *2764:48 9.92046e-06 +34 *1593:18 *4840:190 0.00100322 +35 *1593:18 *5855:25 0.000435509 +36 *1593:20 *2764:48 0.00273657 +37 *1593:20 *2765:21 0.00167402 +38 *1593:20 *2765:39 0 +39 *1593:20 *2883:28 5.12663e-05 +40 *1593:20 *3114:190 0.000479701 +41 *1593:20 *3128:159 0.000454597 +42 *1593:20 *3131:133 4.09433e-05 +43 *1593:20 *5855:25 0.000283064 +44 *1593:26 *1625:146 0.000886807 +45 *1593:26 *1688:43 2.37843e-05 +46 *1593:26 *1724:76 6.2048e-06 +47 *1593:26 *2468:37 0.000389264 +48 *1593:26 *2850:42 3.21591e-05 +49 *1593:26 *2954:28 1.51658e-05 +50 *1593:26 *3114:180 1.47014e-05 +51 *1593:26 *3543:149 0.000245209 +52 *1593:31 *1771:104 0.000121021 +53 *1593:31 *2850:42 0.000190727 +54 *1593:31 *2954:28 3.0769e-05 +55 *1593:31 *3153:225 0.000154145 +56 *1593:31 *3192:181 3.31745e-05 +57 *19842:A2 *19842:B1 1.47978e-05 +58 *22418:A1 *1593:26 2.12861e-05 +59 *22418:B1 *22730:B1 0.000110458 +60 *22418:B1 *1593:31 4.66492e-05 +61 *24475:D *1593:10 7.89747e-05 +62 *1435:318 *22730:B1 0.000558011 +63 *1439:438 *1593:18 3.99086e-06 +64 *1547:66 *1593:20 0.000233159 +*RES +1 *19835:Y *1593:10 45.7477 +2 *1593:10 *1593:18 35.463 +3 *1593:18 *1593:20 61.6283 +4 *1593:20 *1593:26 9.72305 +5 *1593:26 *1593:31 10.2409 +6 *1593:31 *22730:B1 40.3536 +7 *1593:31 *22417:A1 9.24915 +8 *1593:26 *19842:B1 19.3434 +*END + +*D_NET *1594 0.0620153 +*CONN +*I *21605:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21753:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21482:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22060:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19842:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20711:B I *D sky130_fd_sc_hd__or2_1 +*I *19836:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21605:B2 0.00300825 +2 *21753:B2 0.000291344 +3 *21482:B2 0 +4 *22060:A2 0.000340674 +5 *19842:B2 4.28269e-05 +6 *20711:B 0 +7 *19836:X 0.000176298 +8 *1594:85 0.00256389 +9 *1594:60 0.0024359 +10 *1594:59 0.00314253 +11 *1594:43 0.00227208 +12 *1594:30 0.00572388 +13 *1594:27 0.00327963 +14 *1594:10 0.00569369 +15 *19842:B2 *1595:75 8.02582e-05 +16 *19842:B2 *1643:28 8.06767e-05 +17 *21605:B2 *21605:B1 0.00108385 +18 *21605:B2 *21605:C1 0.000158451 +19 *21605:B2 *21777:A1 0.00157281 +20 *21605:B2 *22483:A1 0.000751129 +21 *21605:B2 *1631:34 0.000277048 +22 *21605:B2 *1635:23 2.59398e-05 +23 *21605:B2 *2660:94 0.00190217 +24 *21605:B2 *2791:50 0.000923763 +25 *21605:B2 *2792:27 0.000375118 +26 *21605:B2 *3209:6 0.000151976 +27 *21605:B2 *3531:73 0.000155503 +28 *21605:B2 *3537:58 0.000965067 +29 *21605:B2 *3539:70 9.06192e-05 +30 *21753:B2 *21753:A2 6.3657e-05 +31 *21753:B2 *1595:60 1.91957e-05 +32 *21753:B2 *1595:75 0.000219239 +33 *21753:B2 *2764:55 0.000113107 +34 *21753:B2 *2769:13 0 +35 *21753:B2 *3529:159 1.17054e-05 +36 *21753:B2 *3533:108 4.05126e-05 +37 *22060:A2 *22060:A1 0.000154145 +38 *22060:A2 *22060:B1 0.000486495 +39 *22060:A2 *22060:B2 6.08467e-05 +40 *22060:A2 *22060:C1 3.95516e-05 +41 *22060:A2 *3015:14 0.000350684 +42 *22060:A2 *3015:16 1.05862e-05 +43 *22060:A2 *3111:186 0.000110473 +44 *1594:10 *2614:38 9.54639e-05 +45 *1594:10 *2844:67 9.4385e-05 +46 *1594:10 *4849:33 0.000156955 +47 *1594:27 *1597:92 8.45776e-05 +48 *1594:27 *1795:62 6.03237e-05 +49 *1594:27 *1801:75 1.6086e-05 +50 *1594:27 *2536:28 0.000484414 +51 *1594:27 *2543:28 0.00148064 +52 *1594:27 *2608:26 1.91391e-05 +53 *1594:27 *3028:30 0.00290754 +54 *1594:27 *3131:125 2.61955e-05 +55 *1594:27 *3533:88 0.00180685 +56 *1594:30 *20865:A2 3.68431e-05 +57 *1594:30 *1689:107 2.40304e-05 +58 *1594:30 *2126:17 0.000585744 +59 *1594:30 *2126:28 0.000116728 +60 *1594:30 *2126:41 0.000460283 +61 *1594:30 *2608:14 0.000602972 +62 *1594:30 *3106:169 0.000852999 +63 *1594:30 *3750:22 0.000129319 +64 *1594:43 *20711:A 6.08467e-05 +65 *1594:43 *1619:51 0.000154145 +66 *1594:43 *1658:73 3.45368e-05 +67 *1594:43 *1688:34 7.40684e-06 +68 *1594:43 *1707:39 0.00011672 +69 *1594:43 *2044:15 9.95542e-06 +70 *1594:43 *2288:14 9.73335e-05 +71 *1594:43 *2535:8 0.001167 +72 *1594:43 *2553:24 0.000171229 +73 *1594:43 *2765:17 0.000547357 +74 *1594:43 *4138:127 0.00117147 +75 *1594:43 *4739:51 7.56315e-05 +76 *1594:43 *4873:17 0 +77 *1594:43 *5855:52 0.000172807 +78 *1594:43 *5855:59 4.77927e-05 +79 *1594:59 *1947:70 0 +80 *1594:59 *2468:37 0.000128174 +81 *1594:59 *2592:20 1.03825e-05 +82 *1594:59 *2795:12 3.38973e-05 +83 *1594:59 *2853:23 0 +84 *1594:59 *2956:48 1.15099e-05 +85 *1594:59 *3531:163 1.66626e-05 +86 *1594:59 *3531:171 0.00146535 +87 *1594:59 *4873:17 0 +88 *1594:60 *1595:75 0.000332602 +89 *1594:60 *1643:28 0.000220336 +90 *1594:85 *21814:B1 0.00133906 +91 *1594:85 *22060:B1 5.41227e-05 +92 *1594:85 *22238:B 0.000174976 +93 *1594:85 *22247:A1 4.06478e-05 +94 *1594:85 *1666:12 1.91246e-05 +95 *1594:85 *1733:52 0.000445325 +96 *1594:85 *1733:75 0.000247242 +97 *1594:85 *2468:37 7.66231e-05 +98 *1594:85 *2538:13 0.000335998 +99 *1594:85 *2873:17 5.48678e-06 +100 *1594:85 *2883:64 4.17043e-05 +101 *1594:85 *3015:14 4.33979e-05 +102 *1594:85 *3122:198 0.000270111 +103 *1594:85 *3144:201 0.00102399 +104 *1594:85 *3209:6 1.5714e-05 +105 *1594:85 *3543:149 0.000299448 +106 *1427:111 *21605:B2 7.16358e-05 +107 *1427:116 *21605:B2 0.00200159 +108 *1550:78 *1594:27 4.69495e-06 +109 *1566:68 *1594:30 7.10735e-06 +110 *1585:19 *1594:59 8.04172e-05 +111 *1586:103 *21753:B2 2.652e-05 +112 *1586:103 *1594:60 5.01524e-05 +*RES +1 *19836:X *1594:10 20.9902 +2 *1594:10 *1594:27 33.3755 +3 *1594:27 *1594:30 32.3998 +4 *1594:30 *1594:43 42.8096 +5 *1594:43 *20711:B 9.24915 +6 *1594:30 *1594:59 33.4715 +7 *1594:59 *1594:60 6.6074 +8 *1594:60 *19842:B2 15.3735 +9 *1594:60 *1594:85 39.7497 +10 *1594:85 *22060:A2 25.7188 +11 *1594:85 *21482:B2 13.7491 +12 *1594:59 *21753:B2 21.3292 +13 *1594:10 *21605:B2 39.4013 +*END + +*D_NET *1595 0.0546691 +*CONN +*I *22207:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22529:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19841:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19837:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22207:A1 0 +2 *22529:B1 0.000400569 +3 *19841:A1 0 +4 *19837:Y 7.40332e-05 +5 *1595:101 0.00217216 +6 *1595:75 0.00293756 +7 *1595:60 0.00244757 +8 *1595:43 0.0022657 +9 *1595:39 0.00162446 +10 *1595:36 0.00204787 +11 *1595:28 0.00286482 +12 *1595:19 0.00187656 +13 *1595:16 0.00124157 +14 *1595:13 0.00142422 +15 *1595:8 0.00228219 +16 *1595:7 0.00175432 +17 *22529:B1 *22529:A1 5.99529e-06 +18 *22529:B1 *22529:A2 2.81515e-05 +19 *22529:B1 *1598:82 0.000389006 +20 *22529:B1 *3200:14 0.000395749 +21 *1595:7 *5898:245 0.000112361 +22 *1595:8 *20631:B2 0.000139435 +23 *1595:8 *20805:B1 0.000164912 +24 *1595:8 *20805:B2 5.92342e-05 +25 *1595:8 *20881:A1 3.92275e-05 +26 *1595:8 *21619:A 0.000103493 +27 *1595:8 *1884:110 0.00018522 +28 *1595:8 *2019:12 8.62625e-06 +29 *1595:8 *2294:12 4.86511e-05 +30 *1595:8 *2357:17 4.91493e-05 +31 *1595:8 *2417:14 5.41377e-05 +32 *1595:8 *2487:14 0 +33 *1595:8 *2813:16 0.000392044 +34 *1595:8 *4992:11 0 +35 *1595:8 *5893:8 0 +36 *1595:13 *21619:A 1.65872e-05 +37 *1595:13 *24484:RESET_B 2.57383e-05 +38 *1595:13 *24484:CLK 2.59398e-05 +39 *1595:13 *2258:11 6.50586e-05 +40 *1595:13 *4814:7 1.45649e-05 +41 *1595:16 *21253:B1 9.71323e-06 +42 *1595:16 *1884:102 0.00147934 +43 *1595:16 *2081:6 0.000347669 +44 *1595:16 *2797:11 0.00115066 +45 *1595:16 *4836:21 0.000131302 +46 *1595:19 *2448:69 0.00151423 +47 *1595:19 *4826:61 0.00193053 +48 *1595:28 *20705:A2 0.000396822 +49 *1595:28 *24212:RESET_B 3.04443e-05 +50 *1595:28 *24952:A 0.000217937 +51 *1595:28 *1629:40 8.47691e-05 +52 *1595:28 *2031:18 0.00161613 +53 *1595:28 *2042:76 0.000125747 +54 *1595:28 *2764:29 2.16067e-05 +55 *1595:28 *2776:19 0 +56 *1595:28 *4826:61 0.000740756 +57 *1595:28 *4844:665 0.000415487 +58 *1595:28 *5351:10 8.62625e-06 +59 *1595:36 *24212:CLK 0.000126435 +60 *1595:36 *1789:47 0.000396288 +61 *1595:36 *1789:58 2.43551e-05 +62 *1595:36 *1790:180 2.24843e-05 +63 *1595:39 *1646:11 0.000486804 +64 *1595:39 *1729:13 0.000304791 +65 *1595:39 *1789:58 0.00156949 +66 *1595:39 *2042:28 0.000298304 +67 *1595:39 *5861:273 4.11567e-05 +68 *1595:43 *1770:12 2.59186e-05 +69 *1595:43 *1787:23 0.001243 +70 *1595:43 *1789:65 0.000196604 +71 *1595:43 *1799:28 0.00215416 +72 *1595:43 *2737:16 4.61962e-05 +73 *1595:43 *2843:12 0 +74 *1595:43 *3157:166 0.000107496 +75 *1595:60 *19874:A3 3.583e-05 +76 *1595:60 *19875:C1 0.000122068 +77 *1595:60 *21499:A1 1.42932e-05 +78 *1595:60 *22219:A2 6.08467e-05 +79 *1595:60 *1601:16 0 +80 *1595:60 *1707:39 0.000257682 +81 *1595:60 *1733:75 0.000106978 +82 *1595:60 *2551:24 8.84282e-05 +83 *1595:60 *2556:19 6.09999e-05 +84 *1595:60 *2697:19 0 +85 *1595:60 *2845:14 0.000180345 +86 *1595:60 *3155:140 7.92757e-06 +87 *1595:60 *3157:148 0.000350566 +88 *1595:60 *3157:166 0.000148135 +89 *1595:60 *3533:108 7.34838e-05 +90 *1595:75 *19841:B2 2.53145e-06 +91 *1595:75 *19842:C1 2.81881e-05 +92 *1595:75 *21831:A2 4.25298e-05 +93 *1595:75 *1596:65 2.16355e-05 +94 *1595:75 *1596:76 1.65872e-05 +95 *1595:75 *1600:19 9.49135e-05 +96 *1595:75 *1623:18 5.14946e-05 +97 *1595:75 *1643:28 0.000329971 +98 *1595:75 *2697:26 9.27639e-06 +99 *1595:75 *2765:52 0 +100 *1595:75 *2845:22 0.000205652 +101 *1595:75 *2847:8 0.000526021 +102 *1595:101 *6198:DIODE 6.3657e-05 +103 *1595:101 *19841:B2 8.0102e-05 +104 *1595:101 *22545:A1 0.000135328 +105 *1595:101 *1596:76 0.000200794 +106 *1595:101 *1646:35 5.60804e-05 +107 *1595:101 *1647:35 0.000847921 +108 *1595:101 *1690:133 0.000298318 +109 *1595:101 *1716:24 0.00121945 +110 *1595:101 *1716:35 0.000339523 +111 *1595:101 *1720:20 1.98321e-05 +112 *1595:101 *1721:154 0.00041553 +113 *1595:101 *1799:34 0.000130618 +114 *1595:101 *2674:8 1.28587e-05 +115 *1595:101 *2843:51 9.77098e-05 +116 *1595:101 *3120:163 5.76913e-05 +117 *1595:101 *3513:156 0.000107496 +118 *1595:101 *3562:16 0.000112771 +119 *1595:101 *5457:13 6.44644e-05 +120 *19842:B2 *1595:75 8.02582e-05 +121 *19874:A2 *1595:60 1.8899e-05 +122 *19908:A *1595:101 1.5714e-05 +123 *21753:B2 *1595:60 1.91957e-05 +124 *21753:B2 *1595:75 0.000219239 +125 *22237:A1 *22529:B1 0 +126 *24374:D *1595:8 0.000115451 +127 *24375:D *1595:8 8.04296e-05 +128 *480:207 *1595:16 0.0011172 +129 *1439:438 *1595:43 0.000268875 +130 *1535:22 *1595:101 1.9101e-05 +131 *1554:42 *1595:101 4.49767e-05 +132 *1586:77 *1595:75 0.000110027 +133 *1586:77 *1595:101 1.65872e-05 +134 *1586:103 *1595:60 8.29422e-05 +135 *1586:103 *1595:75 2.88758e-05 +136 *1594:60 *1595:75 0.000332602 +*RES +1 *19837:Y *1595:7 15.0271 +2 *1595:7 *1595:8 47.925 +3 *1595:8 *1595:13 17.4878 +4 *1595:13 *1595:16 45.781 +5 *1595:16 *1595:19 22.3771 +6 *1595:19 *1595:28 49.9332 +7 *1595:28 *1595:36 35.3272 +8 *1595:36 *1595:39 29.626 +9 *1595:39 *1595:43 45.8132 +10 *1595:43 *1595:60 37.5914 +11 *1595:60 *1595:75 36.5724 +12 *1595:75 *19841:A1 9.24915 +13 *1595:75 *1595:101 49.6216 +14 *1595:101 *22529:B1 24.4918 +15 *1595:43 *22207:A1 9.24915 +*END + +*D_NET *1596 0.0796447 +*CONN +*I *21493:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21297:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20796:B I *D sky130_fd_sc_hd__or2_1 +*I *21430:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21260:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21782:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19841:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22010:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21599:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19838:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21493:A2 0.00178121 +2 *21297:A2 0.000303519 +3 *20796:B 0.000244747 +4 *21430:B2 0.000224541 +5 *21260:B2 0.0018978 +6 *21782:B2 0.000178757 +7 *19841:A2 0 +8 *22010:A2 0.000728383 +9 *21599:B2 7.8378e-05 +10 *19838:X 7.78995e-05 +11 *1596:134 0.00228247 +12 *1596:102 0.00527997 +13 *1596:94 0.00187251 +14 *1596:92 0.0016032 +15 *1596:91 0.00216943 +16 *1596:76 0.00109289 +17 *1596:65 0.00292812 +18 *1596:31 0.00431384 +19 *1596:14 0.00232698 +20 *1596:5 0.00310248 +21 *20796:B *2263:43 0.00030942 +22 *20796:B *2363:31 5.05252e-05 +23 *20796:B *2486:40 9.90033e-05 +24 *21260:B2 *21260:A1 0.000281238 +25 *21260:B2 *21260:C1 0.000173588 +26 *21260:B2 *21261:D 0.000105823 +27 *21260:B2 *1610:77 0.000105489 +28 *21260:B2 *1884:45 0.00122503 +29 *21260:B2 *1884:64 0.000769584 +30 *21260:B2 *2297:86 0.00013724 +31 *21260:B2 *2320:68 0.000199467 +32 *21260:B2 *2415:64 9.06665e-05 +33 *21260:B2 *2534:23 0.000515805 +34 *21260:B2 *2613:29 0.00163354 +35 *21260:B2 *3359:10 0.000358568 +36 *21260:B2 *3359:14 4.36574e-05 +37 *21260:B2 *4836:21 0.00013009 +38 *21297:A2 *21297:B2 5.36748e-05 +39 *21297:A2 *22706:C 9.66954e-05 +40 *21297:A2 *1602:161 2.05972e-05 +41 *21297:A2 *2373:51 4.39636e-05 +42 *21297:A2 *3543:111 6.32878e-05 +43 *21430:B2 *21430:A1 0.000446971 +44 *21430:B2 *2380:41 0.00102618 +45 *21430:B2 *2485:15 0.000107496 +46 *21430:B2 *4956:24 0.00031123 +47 *21493:A2 *21493:A1 1.47978e-05 +48 *21493:A2 *21493:B1 9.94284e-06 +49 *21493:A2 *21550:A 6.08467e-05 +50 *21493:A2 *2599:26 0.000905055 +51 *21493:A2 *2632:112 0.00119066 +52 *21599:B2 *21599:A2 2.8871e-05 +53 *21599:B2 *21599:B1 2.27985e-05 +54 *21599:B2 *1658:27 1.57187e-05 +55 *21599:B2 *1788:89 1.10867e-05 +56 *21599:B2 *2812:18 0.000107025 +57 *21599:B2 *3507:39 2.92819e-05 +58 *21782:B2 *21782:A1 0.000107496 +59 *21782:B2 *21782:B1 6.60981e-05 +60 *22010:A2 *22554:A2 0.000154145 +61 *22010:A2 *2604:50 4.03873e-06 +62 *22010:A2 *2822:12 7.00554e-05 +63 *22010:A2 *2825:92 2.37851e-05 +64 *22010:A2 *3023:42 8.62625e-06 +65 *22010:A2 *5919:40 0.00146005 +66 *1596:5 *2599:26 0.000110306 +67 *1596:14 *20050:B1 0.000180123 +68 *1596:14 *22005:A1 0.000261728 +69 *1596:14 *1668:85 1.70059e-05 +70 *1596:14 *1720:62 9.58129e-05 +71 *1596:14 *1800:33 0.000100607 +72 *1596:14 *1807:20 0.000223631 +73 *1596:14 *2734:24 0.000792825 +74 *1596:14 *2916:20 0.00177371 +75 *1596:31 *20050:B1 0.000164424 +76 *1596:31 *1668:85 0.000720979 +77 *1596:31 *1721:107 0.000502186 +78 *1596:31 *1799:34 3.29309e-05 +79 *1596:31 *2916:20 0.00152581 +80 *1596:65 *19841:B2 6.36477e-05 +81 *1596:65 *21549:B1 5.75498e-05 +82 *1596:65 *22054:C1 6.25753e-05 +83 *1596:65 *22269:B1 1.09016e-05 +84 *1596:65 *22270:B2 0.00043917 +85 *1596:65 *1618:18 2.89783e-06 +86 *1596:65 *1647:35 0.00079687 +87 *1596:65 *1721:107 0.000207526 +88 *1596:65 *1721:154 0.000186167 +89 *1596:65 *1725:144 8.00779e-06 +90 *1596:65 *1730:150 3.17436e-05 +91 *1596:65 *2556:19 0.00163504 +92 *1596:65 *2564:68 0 +93 *1596:65 *2843:51 0.000107025 +94 *1596:65 *2845:22 1.5714e-05 +95 *1596:65 *2847:8 1.91391e-05 +96 *1596:65 *2954:44 0.000106086 +97 *1596:65 *3120:189 0.00112827 +98 *1596:65 *3493:88 0.000276287 +99 *1596:65 *3493:130 0.000130379 +100 *1596:65 *3523:127 5.99202e-06 +101 *1596:76 *19841:B2 0.000236056 +102 *1596:76 *21782:A1 1.5714e-05 +103 *1596:76 *22565:B1 2.073e-05 +104 *1596:76 *1788:134 0.000416172 +105 *1596:76 *2782:10 0.000130527 +106 *1596:76 *3537:146 4.64659e-05 +107 *1596:91 *19865:C1 0.000111768 +108 *1596:91 *21831:A1 6.74182e-05 +109 *1596:91 *1788:134 1.85087e-05 +110 *1596:91 *2769:13 0.000638044 +111 *1596:91 *3537:146 0.000128022 +112 *1596:92 *19865:C1 0.000691625 +113 *1596:92 *19907:B 0.000304857 +114 *1596:92 *22283:A1 6.50586e-05 +115 *1596:92 *22283:A2 2.49911e-05 +116 *1596:92 *22283:B2 9.81694e-05 +117 *1596:92 *22284:D 0.000148286 +118 *1596:92 *22294:A 1.54953e-05 +119 *1596:92 *22612:A1 0.000159756 +120 *1596:92 *22612:A2 4.25313e-05 +121 *1596:92 *22612:B2 4.87301e-05 +122 *1596:92 *22613:C1 0.000137746 +123 *1596:92 *1602:120 0.00418603 +124 *1596:92 *1623:18 1.88014e-05 +125 *1596:92 *1645:182 1.87397e-05 +126 *1596:92 *2764:71 0.000253367 +127 *1596:92 *3010:68 0.00101461 +128 *1596:92 *3541:71 0.000170256 +129 *1596:92 *3541:81 6.7671e-06 +130 *1596:102 *22372:A 6.03122e-05 +131 *1596:102 *22706:C 0 +132 *1596:102 *1602:161 0.000158977 +133 *1596:102 *1617:21 0.000916089 +134 *1596:102 *1618:149 0.000217293 +135 *1596:102 *2337:40 9.30864e-05 +136 *1596:102 *2367:65 0.00126499 +137 *1596:102 *2373:51 4.0605e-06 +138 *1596:102 *2409:22 0.000313998 +139 *1596:102 *3010:50 5.92448e-05 +140 *1596:102 *3051:14 0.000147845 +141 *1596:102 *3527:227 0.00147904 +142 *1596:102 *3531:211 0.000278955 +143 *1596:102 *4873:17 1.80212e-05 +144 *1596:134 *22340:A1 9.4385e-05 +145 *1596:134 *1658:119 8.4653e-05 +146 *1596:134 *1884:64 0.000431631 +147 *1596:134 *2263:43 0.000164825 +148 *1596:134 *2305:8 5.88422e-05 +149 *1596:134 *2363:31 0.00104361 +150 *1596:134 *2486:44 0.000100959 +151 *1596:134 *2821:10 9.75356e-05 +152 *1596:134 *2989:32 0.000119263 +153 *1596:134 *3299:21 0.000239924 +154 *1596:134 *3343:22 0.000664051 +155 *1596:134 *4805:32 2.1576e-05 +156 *1596:134 *4836:21 0.000151746 +157 *1596:134 *5090:13 0.000143732 +158 *1596:134 *5091:22 0.000121884 +159 *19790:B1 *1596:65 0.000159964 +160 *19842:A1 *1596:91 0.000125202 +161 *19855:B *1596:14 1.61821e-05 +162 *24763:D *1596:134 0.000107971 +163 *489:35 *20796:B 6.23875e-05 +164 *500:13 *1596:134 0.000166645 +165 *502:7 *1596:92 0.000915587 +166 *510:41 *1596:134 0.000168763 +167 *518:15 *22010:A2 0.00138334 +168 *1418:145 *20796:B 6.08467e-05 +169 *1418:151 *20796:B 0.000113968 +170 *1537:71 *1596:14 2.82027e-05 +171 *1550:101 *1596:65 0.000324617 +172 *1551:136 *1596:102 8.20799e-06 +173 *1551:154 *1596:134 0.000260524 +174 *1555:19 *21260:B2 0.000130663 +175 *1561:88 *1596:65 0.000113478 +176 *1564:134 *1596:65 2.17325e-05 +177 *1564:171 *21260:B2 0.000148349 +178 *1584:129 *21493:A2 2.13328e-05 +179 *1586:77 *1596:76 1.71306e-05 +180 *1586:103 *1596:91 8.56075e-05 +181 *1588:131 *1596:65 0.00020982 +182 *1595:75 *1596:65 2.16355e-05 +183 *1595:75 *1596:76 1.65872e-05 +184 *1595:101 *1596:76 0.000200794 +*RES +1 *19838:X *1596:5 10.5271 +2 *1596:5 *1596:14 14.8445 +3 *1596:14 *21599:B2 18.3917 +4 *1596:14 *1596:31 4.27967 +5 *1596:31 *22010:A2 23.1049 +6 *1596:31 *1596:65 19.5555 +7 *1596:65 *19841:A2 9.24915 +8 *1596:65 *1596:76 16.2354 +9 *1596:76 *21782:B2 17.8484 +10 *1596:76 *1596:91 19.6201 +11 *1596:91 *1596:92 66.1666 +12 *1596:92 *1596:94 4.5 +13 *1596:94 *1596:102 12.1024 +14 *1596:102 *21260:B2 43.0923 +15 *1596:102 *1596:134 49.0458 +16 *1596:134 *21430:B2 25.5646 +17 *1596:134 *20796:B 21.9815 +18 *1596:94 *21297:A2 19.6266 +19 *1596:5 *21493:A2 38.6914 +*END + +*D_NET *1597 0.0468321 +*CONN +*I *19841:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22735:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22411:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19839:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19841:B1 0.00108178 +2 *22735:A1 0.000206273 +3 *22411:B1 0.000723001 +4 *19839:Y 0 +5 *1597:92 0.00317885 +6 *1597:45 0.0028574 +7 *1597:30 0.00280131 +8 *1597:20 0.00220984 +9 *1597:18 0.00138329 +10 *1597:16 0.00156879 +11 *1597:15 0.00179642 +12 *1597:4 0.00237133 +13 *19841:B1 *19841:B2 5.83326e-05 +14 *19841:B1 *21559:B1 2.41274e-06 +15 *19841:B1 *22509:A 4.14109e-05 +16 *19841:B1 *22510:C1 0.000250254 +17 *19841:B1 *22812:B1 7.98171e-06 +18 *19841:B1 *2535:40 0.00043685 +19 *19841:B1 *2661:13 0.00054239 +20 *19841:B1 *2778:19 0.000179561 +21 *19841:B1 *3122:198 4.08192e-05 +22 *19841:B1 *3541:119 0.000543353 +23 *19841:B1 *3541:135 6.08467e-05 +24 *22411:B1 *1706:87 0.000255816 +25 *22411:B1 *2505:75 0.000257971 +26 *22411:B1 *2976:58 0.000667001 +27 *22411:B1 *3177:208 0.000662272 +28 *22411:B1 *3421:17 0.000392389 +29 *22411:B1 *3734:18 0.000392389 +30 *22735:A1 *21920:B2 0.000363063 +31 *22735:A1 *21992:A1 1.5714e-05 +32 *22735:A1 *1722:76 0.000107496 +33 *22735:A1 *1794:118 1.43983e-05 +34 *22735:A1 *2407:22 0.000331713 +35 *22735:A1 *2933:81 1.05272e-06 +36 *1597:15 *19839:A 0.000254541 +37 *1597:15 *20892:A1 4.60757e-05 +38 *1597:15 *20892:A2 0.000114011 +39 *1597:15 *20892:B1 0.000290052 +40 *1597:15 *20892:B2 9.90328e-05 +41 *1597:16 *20890:B2 0.000240635 +42 *1597:16 *1732:50 0.000809032 +43 *1597:16 *2523:44 0.000121584 +44 *1597:16 *2680:13 0.000412282 +45 *1597:16 *2810:60 0.00027011 +46 *1597:16 *2943:78 0.000461784 +47 *1597:16 *4862:8 3.48443e-05 +48 *1597:16 *4933:77 0 +49 *1597:16 *4933:79 0 +50 *1597:16 *5854:27 0.000190089 +51 *1597:16 *5854:51 0.000129015 +52 *1597:16 *5921:45 0.000738773 +53 *1597:18 *2943:78 2.86215e-05 +54 *1597:20 *20016:A 0.000171082 +55 *1597:20 *20422:B2 4.25507e-05 +56 *1597:20 *24630:CLK 1.35239e-05 +57 *1597:20 *1801:70 0.000110809 +58 *1597:20 *1953:23 0.000322599 +59 *1597:20 *2523:44 0.00106259 +60 *1597:20 *2933:26 1.17419e-05 +61 *1597:20 *2943:66 6.34651e-06 +62 *1597:20 *2943:68 0.00309958 +63 *1597:20 *2943:78 8.28675e-06 +64 *1597:20 *4927:151 1.94224e-05 +65 *1597:20 *4927:160 1.3706e-05 +66 *1597:20 *5123:6 0.000114192 +67 *1597:20 *5681:19 0.000380561 +68 *1597:20 *5851:123 0.000181913 +69 *1597:20 *5858:128 7.51932e-05 +70 *1597:30 *1731:93 5.20546e-06 +71 *1597:30 *2836:8 0.000424505 +72 *1597:30 *2933:26 1.67675e-05 +73 *1597:30 *3671:76 0.00110289 +74 *1597:30 *5851:123 0.000457933 +75 *1597:30 *5851:127 0.000439252 +76 *1597:30 *5858:132 0.00032613 +77 *1597:30 *5858:141 6.33365e-05 +78 *1597:45 *20605:A 0.000886136 +79 *1597:45 *21345:B2 6.50586e-05 +80 *1597:45 *21920:B2 3.17436e-05 +81 *1597:45 *21945:B1 3.38594e-05 +82 *1597:45 *22753:B1 7.68034e-05 +83 *1597:45 *1773:18 0.000911349 +84 *1597:45 *1795:29 0.000314338 +85 *1597:45 *1947:269 0.00037628 +86 *1597:45 *2407:22 0.000243369 +87 *1597:45 *2514:45 3.21402e-05 +88 *1597:45 *2943:63 0.000345048 +89 *1597:45 *3028:50 0.000140424 +90 *1597:45 *3148:253 0.000108642 +91 *1597:45 *3168:29 3.61399e-05 +92 *1597:45 *3208:80 0.000256697 +93 *1597:45 *3513:68 5.60804e-05 +94 *1597:45 *5869:386 0.000679521 +95 *1597:92 *22510:B1 0.000586826 +96 *1597:92 *3131:125 5.481e-05 +97 *1597:92 *3157:139 5.88009e-05 +98 *1597:92 *3166:105 6.22259e-05 +99 *24343:D *1597:15 4.29846e-05 +100 *1467:198 *1597:20 0.000512874 +101 *1471:213 *1597:30 9.82974e-06 +102 *1550:73 *1597:15 0.00066437 +103 *1550:73 *1597:92 0.00134252 +104 *1581:10 *1597:16 0.000266366 +105 *1586:77 *19841:B1 3.79135e-05 +106 *1594:27 *1597:92 8.45776e-05 +*RES +1 *19839:Y *1597:4 9.24915 +2 *1597:4 *1597:15 17.2559 +3 *1597:15 *1597:16 52.2851 +4 *1597:16 *1597:18 1.29461 +5 *1597:18 *1597:20 59.3444 +6 *1597:20 *1597:30 44.8606 +7 *1597:30 *1597:45 41.6653 +8 *1597:45 *22411:B1 32.8968 +9 *1597:45 *22735:A1 19.3216 +10 *1597:4 *1597:92 46.7886 +11 *1597:92 *19841:B1 41.1659 +*END + +*D_NET *1598 0.0641234 +*CONN +*I *21599:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21759:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19841:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20885:B I *D sky130_fd_sc_hd__or2_1 +*I *22053:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21492:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19840:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21599:A2 0.000105485 +2 *21759:B2 3.95349e-05 +3 *19841:B2 0.000635532 +4 *20885:B 0.000734892 +5 *22053:B2 0 +6 *21492:B2 0.000284189 +7 *19840:X 0.00100222 +8 *1598:133 0.00107016 +9 *1598:110 0.00147343 +10 *1598:96 0.0025457 +11 *1598:91 0.00130494 +12 *1598:85 0.00163108 +13 *1598:82 0.00152383 +14 *1598:68 0.00262599 +15 *1598:58 0.00164086 +16 *1598:47 0.000639165 +17 *1598:46 0.00154993 +18 *1598:18 0.00170909 +19 *1598:15 0.00417099 +20 *1598:13 0.00405566 +21 *1598:11 0.00102525 +22 *19841:B2 *6198:DIODE 0.000156955 +23 *19841:B2 *20040:B2 8.20942e-05 +24 *19841:B2 *22247:A2 0.000353563 +25 *19841:B2 *22247:B2 1.4091e-06 +26 *19841:B2 *1721:154 7.09666e-06 +27 *19841:B2 *1721:162 2.24715e-05 +28 *19841:B2 *2117:143 3.02189e-05 +29 *19841:B2 *2535:40 0.000820152 +30 *19841:B2 *2900:143 9.47944e-05 +31 *20885:B *20886:A 0.000110297 +32 *20885:B *1625:106 0.000689972 +33 *20885:B *2138:7 0.000200862 +34 *20885:B *2767:17 0.000828696 +35 *20885:B *2767:27 0.000150373 +36 *20885:B *3028:20 0.000143231 +37 *21492:B2 *2548:41 0.000335139 +38 *21492:B2 *3471:25 2.78997e-05 +39 *21599:A2 *1658:27 1.8262e-05 +40 *21599:A2 *1788:89 2.99478e-05 +41 *21599:A2 *3739:8 0.000115909 +42 *1598:11 *19966:B 5.61389e-05 +43 *1598:11 *20605:A 0.000140307 +44 *1598:11 *1689:69 2.8732e-05 +45 *1598:11 *1689:71 0.000825974 +46 *1598:11 *2561:47 0.000124716 +47 *1598:11 *2702:45 0.000158451 +48 *1598:11 *3002:33 9.12598e-05 +49 *1598:11 *5297:8 1.66961e-05 +50 *1598:11 *5869:421 0.000476834 +51 *1598:15 *22502:B1 5.78822e-05 +52 *1598:15 *22502:B2 1.41976e-05 +53 *1598:15 *3002:26 0.000158451 +54 *1598:15 *3002:33 0.000810736 +55 *1598:15 *3198:29 2.77625e-06 +56 *1598:15 *3198:33 5.10906e-05 +57 *1598:15 *3517:121 0.000505435 +58 *1598:15 *3519:113 0.000200794 +59 *1598:18 *1771:47 0.000159515 +60 *1598:18 *4851:9 0.00016294 +61 *1598:46 *21624:B1 0.000110297 +62 *1598:46 *21695:A1 0.000129091 +63 *1598:46 *1647:10 4.60408e-05 +64 *1598:46 *1771:47 0.000511889 +65 *1598:46 *1771:60 0.000456387 +66 *1598:46 *2571:67 0.000173701 +67 *1598:46 *2632:112 0.000109173 +68 *1598:46 *3148:226 0.000129091 +69 *1598:46 *3208:107 3.29488e-05 +70 *1598:46 *3739:8 0.00024702 +71 *1598:46 *3739:14 3.37714e-06 +72 *1598:46 *4848:8 0.0005826 +73 *1598:47 *21730:B1 8.98279e-05 +74 *1598:47 *22246:A2 1.5714e-05 +75 *1598:47 *1762:132 5.38612e-06 +76 *1598:47 *1788:89 8.90624e-05 +77 *1598:47 *3739:8 0.00038633 +78 *1598:58 *21599:B1 4.23858e-05 +79 *1598:58 *22246:B2 0.000200794 +80 *1598:58 *1658:27 6.08467e-05 +81 *1598:58 *1658:215 4.66492e-05 +82 *1598:58 *2539:12 0.00011393 +83 *1598:58 *2680:52 0.000110949 +84 *1598:58 *2680:57 1.57428e-05 +85 *1598:58 *2774:8 0.000115313 +86 *1598:58 *3208:118 0.000237552 +87 *1598:68 *21636:B2 0.000161707 +88 *1598:68 *22554:A1 5.01835e-05 +89 *1598:68 *22554:A2 0.000389768 +90 *1598:68 *22598:C 0.000110505 +91 *1598:68 *24909:A 4.15834e-05 +92 *1598:68 *1602:30 0.000203397 +93 *1598:68 *1717:133 0.000110297 +94 *1598:68 *1744:204 0.000107496 +95 *1598:68 *2659:22 0.000246691 +96 *1598:68 *2774:8 0.00150578 +97 *1598:68 *2774:12 4.60375e-07 +98 *1598:68 *3015:26 0.000224275 +99 *1598:68 *3015:28 3.31089e-05 +100 *1598:68 *3502:197 2.58891e-05 +101 *1598:82 *22270:C1 0.000110306 +102 *1598:82 *22324:A2 2.19168e-05 +103 *1598:82 *22529:C1 0.000210322 +104 *1598:82 *22598:C 5.15415e-05 +105 *1598:82 *1641:158 0.000107496 +106 *1598:82 *2093:181 7.56514e-05 +107 *1598:82 *2569:16 0.000272413 +108 *1598:82 *2774:12 0.000891359 +109 *1598:82 *3106:68 7.36804e-06 +110 *1598:82 *3200:14 0.00043525 +111 *1598:85 *21512:A2 0.000174356 +112 *1598:85 *21512:C1 4.42742e-06 +113 *1598:85 *1602:45 0.000161279 +114 *1598:85 *3202:14 1.37203e-05 +115 *1598:91 *21512:B2 0.000193999 +116 *1598:91 *22061:A 0.000441147 +117 *1598:91 *2672:64 0.00262514 +118 *1598:91 *2987:69 0.00149812 +119 *1598:91 *3069:18 0.000919923 +120 *1598:91 *3157:139 4.49912e-05 +121 *1598:91 *3716:10 4.15661e-05 +122 *1598:96 *2672:64 0.00169214 +123 *1598:110 *21536:A1 3.33003e-05 +124 *1598:110 *21536:B1 0.000753162 +125 *1598:110 *21944:B1 1.21535e-05 +126 *1598:110 *21944:B2 4.79321e-06 +127 *1598:110 *22053:A1 2.20362e-05 +128 *1598:110 *22053:B1 5.52143e-05 +129 *1598:110 *22434:B1 8.03699e-06 +130 *1598:110 *2767:27 0.00044845 +131 *1598:110 *3028:20 0.000460878 +132 *1598:110 *3106:149 0.000136882 +133 *1598:110 *3131:109 9.34626e-05 +134 *1598:110 *3131:112 4.42142e-05 +135 *1598:110 *3146:92 1.42903e-05 +136 *1598:110 *3750:22 1.54479e-05 +137 *1598:133 *2117:143 0.000118427 +138 *1598:133 *2539:10 0 +139 *19840:A *1598:11 0.000154145 +140 *19841:B1 *19841:B2 5.83326e-05 +141 *19858:B *1598:15 0.00080531 +142 *19966:A *1598:11 6.3657e-05 +143 *21599:B2 *21599:A2 2.8871e-05 +144 *22529:B1 *1598:82 0.000389006 +145 *1485:126 *1598:11 0.000349336 +146 *1501:70 *1598:11 0.000525538 +147 *1537:42 *1598:15 0.000636347 +148 *1543:40 *19841:B2 5.80112e-05 +149 *1543:40 *1598:85 0.000415081 +150 *1543:40 *1598:133 0.000429805 +151 *1550:82 *1598:96 0.00169495 +152 *1570:50 *1598:46 0.00012187 +153 *1584:95 *1598:82 0.000484995 +154 *1586:77 *19841:B2 7.20595e-06 +155 *1595:75 *19841:B2 2.53145e-06 +156 *1595:101 *19841:B2 8.0102e-05 +157 *1596:65 *19841:B2 6.36477e-05 +158 *1596:76 *19841:B2 0.000236056 +*RES +1 *19840:X *1598:11 49.7777 +2 *1598:11 *1598:13 0.578717 +3 *1598:13 *1598:15 62.5617 +4 *1598:15 *1598:18 7.993 +5 *1598:18 *21492:B2 18.9576 +6 *1598:18 *1598:46 48.9852 +7 *1598:46 *1598:47 7.85315 +8 *1598:47 *1598:58 27.1007 +9 *1598:58 *1598:68 47.895 +10 *1598:68 *1598:82 47.4842 +11 *1598:82 *1598:85 12.976 +12 *1598:85 *1598:91 48.9925 +13 *1598:91 *1598:96 28.5799 +14 *1598:96 *1598:110 43.3357 +15 *1598:110 *22053:B2 9.24915 +16 *1598:96 *20885:B 36.7926 +17 *1598:85 *1598:133 9.30653 +18 *1598:133 *19841:B2 41.1892 +19 *1598:133 *21759:B2 14.4819 +20 *1598:47 *21599:A2 16.5787 +*END + +*D_NET *1599 0.00270009 +*CONN +*I *19842:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19841:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19842:C1 0.000562537 +2 *19841:X 0.000562537 +3 *19842:C1 *2697:26 0.00076987 +4 *19842:C1 *2847:8 0.000665235 +5 *1586:77 *19842:C1 0.000111722 +6 *1595:75 *19842:C1 2.81881e-05 +*RES +1 *19841:X *19842:C1 44.3116 +*END + +*D_NET *1600 0.00286579 +*CONN +*I *19908:B I *D sky130_fd_sc_hd__and4_1 +*I *19842:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19908:B 0 +2 *19842:X 0.000675812 +3 *1600:19 0.000675812 +4 *1600:19 *19865:A1 0.000156946 +5 *1600:19 *19865:A2 8.45553e-05 +6 *1600:19 *19865:B1 6.55112e-05 +7 *1600:19 *19865:D1 0.000111708 +8 *1600:19 *1622:14 2.41921e-05 +9 *1600:19 *1623:18 0.000250254 +10 *1600:19 *2697:26 2.36582e-05 +11 *1600:19 *2765:52 7.82637e-06 +12 *1600:19 *3541:95 1.25417e-05 +13 *19842:A1 *1600:19 0.000348345 +14 *19842:A2 *1600:19 0.000267944 +15 *19842:B1 *1600:19 6.36477e-05 +16 *19908:A *1600:19 2.1203e-06 +17 *1595:75 *1600:19 9.49135e-05 +*RES +1 *19842:X *1600:19 43.9383 +2 *1600:19 *19908:B 9.24915 +*END + +*D_NET *1601 0.0197704 +*CONN +*I *22219:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22595:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19865:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *19843:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22219:B1 1.47608e-05 +2 *22595:B1 0.000164502 +3 *19865:A1 5.23912e-05 +4 *19843:Y 4.51066e-05 +5 *1601:16 0.00142932 +6 *1601:8 0.00328037 +7 *1601:7 0.00209829 +8 *19865:A1 *19865:A2 6.04546e-05 +9 *19865:A1 *19865:B1 5.89344e-05 +10 *19865:A1 *19865:D1 1.34424e-05 +11 *22219:B1 *22219:B2 6.08467e-05 +12 *22219:B1 *3155:140 6.08467e-05 +13 *22595:B1 *1666:12 0.000117865 +14 *22595:B1 *1788:134 1.38595e-05 +15 *1601:7 *5861:35 0.000111722 +16 *1601:8 *20778:A 8.5057e-05 +17 *1601:8 *20783:A1 0.000101133 +18 *1601:8 *21494:A 0.000271793 +19 *1601:8 *1707:16 0.00352341 +20 *1601:8 *1707:32 0 +21 *1601:8 *1942:67 0 +22 *1601:8 *2075:31 5.65355e-06 +23 *1601:8 *2551:18 1.23804e-05 +24 *1601:8 *2697:19 0.000819847 +25 *1601:8 *2845:14 7.8406e-05 +26 *1601:8 *2963:33 0.00115387 +27 *1601:8 *3106:209 0.000996934 +28 *1601:8 *3106:227 0.000158577 +29 *1601:8 *4826:12 0.000405303 +30 *1601:8 *4826:18 0.00043221 +31 *1601:8 *4839:105 5.38612e-06 +32 *1601:8 *4888:126 0.000187027 +33 *1601:8 *5008:10 1.07248e-05 +34 *1601:16 *19874:A3 2.4584e-05 +35 *1601:16 *19874:B1 3.17455e-05 +36 *1601:16 *19874:B2 0.000406669 +37 *1601:16 *19875:A1 4.66759e-05 +38 *1601:16 *19907:B 3.91205e-05 +39 *1601:16 *21498:B1 8.03951e-06 +40 *1601:16 *21753:A2 0 +41 *1601:16 *1627:171 0.000241022 +42 *1601:16 *1646:12 0.000804527 +43 *1601:16 *1788:134 0.000292986 +44 *1601:16 *1788:139 5.66276e-05 +45 *1601:16 *2553:66 0.000194332 +46 *1601:16 *2697:19 0.000475939 +47 *1601:16 *2769:13 5.66131e-05 +48 *1601:16 *2845:14 9.26746e-05 +49 *1601:16 *3106:209 7.65861e-05 +50 *1601:16 *3533:108 0 +51 *1601:16 *5352:19 0.000553183 +52 *19842:A1 *19865:A1 2.16326e-05 +53 *19842:A2 *22595:B1 0.000123072 +54 *19842:A2 *1601:16 3.15073e-05 +55 *21498:A2 *1601:16 8.62321e-06 +56 *1153:15 *1601:8 0.000196928 +57 *1595:60 *1601:16 0 +58 *1600:19 *19865:A1 0.000156946 +*RES +1 *19843:Y *1601:7 15.0271 +2 *1601:7 *1601:8 89.4502 +3 *1601:8 *1601:16 49.2468 +4 *1601:16 *19865:A1 16.0881 +5 *1601:16 *22595:B1 17.9749 +6 *1601:8 *22219:B1 14.4725 +*END + +*D_NET *1602 0.0706289 +*CONN +*I *21435:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21187:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21297:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19865:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *21499:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *20777:B I *D sky130_fd_sc_hd__or2_1 +*I *21752:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22048:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21636:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19844:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21435:A2 0.000235934 +2 *21187:B2 0 +3 *21297:B2 0.000213772 +4 *19865:A2 0.000175192 +5 *21499:A2 2.49386e-05 +6 *20777:B 0.000857847 +7 *21752:A2 0 +8 *22048:A2 0.000452408 +9 *21636:B2 0.00223649 +10 *19844:X 0.000151333 +11 *1602:161 0.00252867 +12 *1602:128 0.00335273 +13 *1602:120 0.00377569 +14 *1602:119 0.00292947 +15 *1602:104 0.000852569 +16 *1602:93 0.000785436 +17 *1602:79 0.00099 +18 *1602:74 0.00121841 +19 *1602:71 0.00170485 +20 *1602:45 0.00212668 +21 *1602:37 0.0027823 +22 *1602:30 0.00213701 +23 *1602:7 0.00288133 +24 *19865:A2 *19865:D1 1.92336e-05 +25 *19865:A2 *19907:B 7.09666e-06 +26 *19865:A2 *1622:14 4.7918e-05 +27 *19865:A2 *2843:31 8.96618e-06 +28 *20777:B *19870:A 6.97183e-05 +29 *20777:B *19874:B1 5.58587e-05 +30 *20777:B *21753:C1 2.95757e-05 +31 *20777:B *1783:38 8.28859e-06 +32 *20777:B *2298:16 0.00067137 +33 *20777:B *2766:6 4.319e-05 +34 *20777:B *3131:167 0.00040157 +35 *20777:B *5489:8 0.000127594 +36 *20777:B *5908:95 0.000501792 +37 *21297:B2 *21297:B1 1.50075e-05 +38 *21297:B2 *22699:A1 0 +39 *21297:B2 *22706:C 7.05242e-06 +40 *21297:B2 *2352:8 0.000182969 +41 *21297:B2 *2359:27 7.92757e-06 +42 *21297:B2 *3543:111 4.6671e-05 +43 *21435:A2 *21187:A1 3.41361e-05 +44 *21435:A2 *21435:B1 0.00016763 +45 *21435:A2 *2495:10 3.99086e-06 +46 *21435:A2 *2635:21 0.000699494 +47 *21435:A2 *5855:149 9.95542e-06 +48 *21499:A2 *21498:B1 0 +49 *21499:A2 *21499:B1 3.98274e-06 +50 *21636:B2 *21636:A2 5.36085e-05 +51 *21636:B2 *21696:B1 2.3014e-05 +52 *21636:B2 *21730:A1 0.00167475 +53 *21636:B2 *22664:A1 0.000706237 +54 *21636:B2 *1645:69 1.5714e-05 +55 *21636:B2 *1645:94 6.84999e-05 +56 *21636:B2 *1655:61 0.000428939 +57 *21636:B2 *2572:37 0.000723524 +58 *21636:B2 *2772:48 3.17436e-05 +59 *21636:B2 *2774:8 3.26582e-06 +60 *21636:B2 *2812:18 0.000917722 +61 *21636:B2 *2815:32 0.000381421 +62 *21636:B2 *2815:48 0.00113143 +63 *21636:B2 *3015:28 1.56117e-05 +64 *21636:B2 *4810:66 8.27624e-05 +65 *21636:B2 *5909:38 4.32862e-06 +66 *22048:A2 *22017:B1 6.08467e-05 +67 *22048:A2 *22017:C1 6.78112e-05 +68 *22048:A2 *22048:B2 3.41459e-05 +69 *22048:A2 *3031:18 6.08467e-05 +70 *22048:A2 *3062:18 0.000111722 +71 *22048:A2 *3069:37 5.5525e-06 +72 *1602:7 *19885:B2 0.000624943 +73 *1602:7 *2093:79 2.81262e-05 +74 *1602:30 *2659:22 0.000246691 +75 *1602:30 *3015:28 0.000346425 +76 *1602:30 *3531:63 0.000438346 +77 *1602:30 *4850:17 2.97311e-05 +78 *1602:37 *6192:DIODE 8.23984e-05 +79 *1602:37 *21795:C1 4.77858e-05 +80 *1602:37 *22237:A2 3.05196e-05 +81 *1602:37 *22237:B2 4.82779e-06 +82 *1602:37 *22239:A1 2.84832e-05 +83 *1602:37 *22269:A1 9.18679e-06 +84 *1602:37 *22269:B1 0.000378744 +85 *1602:37 *22269:B2 0.000338471 +86 *1602:37 *22555:B1 5.31593e-05 +87 *1602:37 *1668:85 4.06587e-05 +88 *1602:37 *1708:95 0.00106701 +89 *1602:37 *1750:73 0.001413 +90 *1602:37 *2539:12 1.50678e-05 +91 *1602:37 *4848:8 0.000153193 +92 *1602:45 *21512:A2 3.52699e-05 +93 *1602:45 *22054:C1 1.5714e-05 +94 *1602:45 *22239:A1 4.49912e-05 +95 *1602:45 *22239:A2 6.1578e-06 +96 *1602:45 *1771:83 0.000123808 +97 *1602:45 *2288:24 1.81156e-05 +98 *1602:45 *3120:163 0.00146604 +99 *1602:45 *3120:168 0.000161018 +100 *1602:45 *3202:14 0.000272931 +101 *1602:45 *3688:22 0.000401725 +102 *1602:45 *4813:110 0.000236025 +103 *1602:71 *22466:A1 0.000250244 +104 *1602:71 *1618:56 0.000160194 +105 *1602:71 *1771:83 8.61737e-05 +106 *1602:71 *2565:49 0.000247443 +107 *1602:71 *2661:30 0.000323811 +108 *1602:71 *2799:8 0.000320587 +109 *1602:71 *3513:156 0.000247443 +110 *1602:74 *22048:A1 1.69932e-05 +111 *1602:74 *22280:A1 5.84166e-05 +112 *1602:74 *2565:22 0.00041732 +113 *1602:74 *2565:36 4.55779e-05 +114 *1602:74 *2766:14 0.000105985 +115 *1602:74 *2778:28 0.000178804 +116 *1602:74 *2987:50 0.000313003 +117 *1602:74 *3153:244 7.50722e-05 +118 *1602:74 *3415:14 4.69204e-06 +119 *1602:79 *21753:C1 0.000253916 +120 *1602:79 *2765:48 0.000258142 +121 *1602:93 *21499:B1 0.000147365 +122 *1602:93 *21499:C1 8.61693e-06 +123 *1602:93 *21753:C1 6.08467e-05 +124 *1602:93 *2765:48 0.000335263 +125 *1602:104 *19907:B 0.00058873 +126 *1602:104 *21498:B1 0.000158371 +127 *1602:104 *21499:A1 2.57847e-05 +128 *1602:104 *21499:C1 3.36574e-05 +129 *1602:104 *22586:B1 0.000141509 +130 *1602:104 *2551:38 4.16165e-05 +131 *1602:104 *2556:19 6.08467e-05 +132 *1602:104 *2843:20 0.000133477 +133 *1602:104 *2843:31 7.40312e-06 +134 *1602:128 *22286:A1 5.88009e-05 +135 *1602:128 *2370:8 6.22114e-05 +136 *1602:128 *3541:63 0.000387391 +137 *1602:128 *3541:71 0.000733326 +138 *1602:161 *21187:A1 6.70447e-06 +139 *1602:161 *22383:A1 3.17436e-05 +140 *1602:161 *2246:30 0.000478789 +141 *1602:161 *2250:55 8.8078e-05 +142 *1602:161 *2252:43 0 +143 *1602:161 *2266:13 6.03237e-05 +144 *1602:161 *2298:30 2.14262e-05 +145 *1602:161 *2337:22 0.000182877 +146 *1602:161 *2337:40 3.00277e-05 +147 *1602:161 *2352:8 0.000279502 +148 *1602:161 *2375:60 0.000169878 +149 *1602:161 *2451:46 0.000324305 +150 *1602:161 *2451:48 0.000806333 +151 *1602:161 *2635:21 7.97098e-06 +152 *1602:161 *3527:227 0.00157122 +153 *1602:161 *4831:8 1.19934e-05 +154 *1602:161 *4831:14 4.21361e-06 +155 *1602:161 *4873:17 0.000217267 +156 *1602:161 *5855:134 1.91391e-05 +157 *1602:161 *5865:88 3.04981e-06 +158 *19790:A1 *1602:37 7.54986e-05 +159 *19820:A2 *1602:45 0.000131362 +160 *19842:A1 *19865:A2 1.13133e-05 +161 *19842:A2 *19865:A2 1.59684e-05 +162 *19865:A1 *19865:A2 6.04546e-05 +163 *19899:A *1602:7 0.000391697 +164 *19899:A *1602:30 9.21233e-05 +165 *19899:B *1602:7 0.000154145 +166 *21187:A2 *21435:A2 6.08467e-05 +167 *21297:A2 *21297:B2 5.36748e-05 +168 *21297:A2 *1602:161 2.05972e-05 +169 *21498:A2 *1602:104 6.50727e-05 +170 *21783:B2 *1602:74 0.000102734 +171 *21800:A2 *21636:B2 7.78339e-05 +172 *22017:B2 *22048:A2 0.000121167 +173 *22417:B1 *1602:74 0.000131061 +174 *22730:B1 *1602:74 7.6287e-06 +175 *1435:306 *1602:74 0.000279998 +176 *1435:318 *1602:74 0.000765337 +177 *1539:30 *1602:30 0.000298399 +178 *1543:40 *1602:45 3.09665e-05 +179 *1553:64 *21636:B2 0.000610261 +180 *1561:154 *21636:B2 0.000127073 +181 *1575:39 *1602:71 0.000249884 +182 *1577:97 *21636:B2 2.66559e-05 +183 *1586:77 *1602:45 0.00011909 +184 *1586:77 *1602:71 0.000265618 +185 *1586:103 *1602:93 2.57986e-05 +186 *1586:103 *1602:104 2.57847e-05 +187 *1586:117 *20777:B 0.000264963 +188 *1596:92 *1602:120 0.00418603 +189 *1596:102 *1602:161 0.000158977 +190 *1598:68 *21636:B2 0.000161707 +191 *1598:68 *1602:30 0.000203397 +192 *1598:85 *1602:45 0.000161279 +193 *1600:19 *19865:A2 8.45553e-05 +*RES +1 *19844:X *1602:7 21.1278 +2 *1602:7 *21636:B2 33.7511 +3 *1602:7 *1602:30 25.6163 +4 *1602:30 *1602:37 47.0701 +5 *1602:37 *1602:45 18.2209 +6 *1602:45 *22048:A2 23.0086 +7 *1602:45 *1602:71 36.6832 +8 *1602:71 *1602:74 40.3827 +9 *1602:74 *21752:A2 9.24915 +10 *1602:74 *1602:79 2.94181 +11 *1602:79 *20777:B 46.7306 +12 *1602:79 *1602:93 4.05102 +13 *1602:93 *21499:A2 9.82786 +14 *1602:93 *1602:104 24.0947 +15 *1602:104 *19865:A2 18.1782 +16 *1602:104 *1602:119 4.5 +17 *1602:119 *1602:120 48.4193 +18 *1602:120 *1602:128 27.8414 +19 *1602:128 *21297:B2 19.5382 +20 *1602:128 *1602:161 28.2826 +21 *1602:161 *21187:B2 9.24915 +22 *1602:161 *21435:A2 18.171 +*END + +*D_NET *1603 0.0420082 +*CONN +*I *19848:A I *D sky130_fd_sc_hd__or2_1 +*I *22727:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22406:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19845:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19848:A 0.000599246 +2 *22727:B1 0.000516393 +3 *22406:A1 1.33529e-05 +4 *19845:Y 4.1747e-05 +5 *1603:81 0.00239529 +6 *1603:59 0.00225366 +7 *1603:48 0.00288259 +8 *1603:35 0.00321457 +9 *1603:28 0.00188937 +10 *1603:25 0.00226968 +11 *1603:8 0.00171469 +12 *19848:A *19848:B 0.000725358 +13 *19848:A *3131:133 0.0011563 +14 *19848:A *3527:162 4.95575e-05 +15 *19848:A *3531:163 0.00131748 +16 *22406:A1 *22406:A2 1.17376e-05 +17 *22406:A1 *22406:B2 3.98943e-06 +18 *22406:A1 *2614:55 0 +19 *22727:B1 *22485:A1 0.000298726 +20 *22727:B1 *22737:B1 4.0605e-06 +21 *22727:B1 *2583:61 3.58315e-06 +22 *22727:B1 *3471:51 0.000243246 +23 *22727:B1 *3471:60 1.78868e-05 +24 *22727:B1 *3474:58 2.87326e-05 +25 *22727:B1 *3722:8 0.000331964 +26 *1603:8 *3168:119 9.60366e-05 +27 *1603:25 *19802:A 0.000145056 +28 *1603:25 *20794:B1 0.000154145 +29 *1603:25 *1947:70 7.13972e-05 +30 *1603:25 *2783:86 2.37827e-05 +31 *1603:25 *3027:8 0.000177245 +32 *1603:25 *3131:133 0.000964351 +33 *1603:25 *3531:163 0.00115319 +34 *1603:25 *5858:668 9.89881e-05 +35 *1603:25 *5866:33 0.000356955 +36 *1603:28 *20536:B1 8.11047e-06 +37 *1603:28 *20930:A2 0.000215106 +38 *1603:28 *24549:CLK 0 +39 *1603:28 *1801:70 0.000915998 +40 *1603:28 *2138:10 0 +41 *1603:28 *2152:24 0.000443305 +42 *1603:28 *2153:36 0.00047352 +43 *1603:28 *2655:25 0 +44 *1603:28 *4994:11 0.000105589 +45 *1603:28 *5815:64 0 +46 *1603:28 *5854:14 0.000143032 +47 *1603:28 *5854:706 0.00153067 +48 *1603:28 *5856:108 8.83008e-06 +49 *1603:28 *5869:700 2.57465e-06 +50 *1603:28 *5869:718 5.26993e-06 +51 *1603:35 *20536:B1 1.33154e-05 +52 *1603:35 *20888:A1 0 +53 *1603:35 *24549:CLK 0 +54 *1603:35 *1690:120 0.000183887 +55 *1603:35 *1733:26 0.000805982 +56 *1603:35 *2593:24 0 +57 *1603:35 *2878:51 3.20011e-05 +58 *1603:35 *5851:70 3.29488e-05 +59 *1603:48 *20488:A1 0.000152382 +60 *1603:48 *20488:A2 8.44094e-05 +61 *1603:48 *20534:B1 3.77568e-05 +62 *1603:48 *22798:B1 8.31685e-05 +63 *1603:48 *22814:D 0.00107132 +64 *1603:48 *1689:88 0.000275088 +65 *1603:48 *1733:26 0.000200243 +66 *1603:48 *2649:21 0.000454907 +67 *1603:48 *2660:94 0.000737547 +68 *1603:48 *2753:21 0.00036347 +69 *1603:48 *2784:42 5.2091e-05 +70 *1603:48 *3004:15 8.28179e-05 +71 *1603:48 *3066:26 0.000921104 +72 *1603:48 *3134:62 0.000153853 +73 *1603:48 *3146:65 0.000150047 +74 *1603:48 *3529:99 0.000878103 +75 *1603:48 *4859:8 0.000636207 +76 *1603:48 *5454:15 0.000190636 +77 *1603:59 *22405:A2 3.99086e-06 +78 *1603:59 *22406:A2 6.63707e-05 +79 *1603:59 *22406:B2 3.33654e-05 +80 *1603:59 *22791:B1 0.000906037 +81 *1603:59 *2614:55 0.000908838 +82 *1603:59 *3155:104 9.66809e-05 +83 *1603:59 *3511:104 9.32704e-05 +84 *1603:81 *22405:A2 9.80242e-07 +85 *1603:81 *22494:A2 0.000131696 +86 *1603:81 *22494:B2 9.99406e-05 +87 *1603:81 *22494:C1 4.31703e-05 +88 *1603:81 *22495:C1 3.45333e-05 +89 *1603:81 *22791:C1 7.09666e-06 +90 *1603:81 *2531:54 0.000168086 +91 *1603:81 *2536:28 1.04743e-05 +92 *1603:81 *2614:55 2.53507e-05 +93 *1603:81 *2666:8 0.000969382 +94 *1603:81 *2708:44 0.000117839 +95 *1603:81 *3111:63 4.2372e-05 +96 *1603:81 *3164:76 7.8756e-07 +97 *1603:81 *3190:45 4.71805e-05 +98 *1603:81 *3361:11 2.20819e-06 +99 *1603:81 *3361:12 1.01627e-05 +100 *24551:D *1603:48 0.000112895 +101 *1462:22 *22727:B1 1.5714e-05 +102 *1537:125 *1603:48 0.000498374 +103 *1541:169 *1603:48 0.000363737 +104 *1547:43 *1603:8 9.60366e-05 +105 *1560:7 *1603:25 6.08467e-05 +106 *1569:54 *1603:35 0.000110297 +107 *1569:54 *1603:48 7.99851e-05 +108 *1574:20 *1603:25 0.000180857 +*RES +1 *19845:Y *1603:8 20.0811 +2 *1603:8 *1603:25 47.5203 +3 *1603:25 *1603:28 43.0763 +4 *1603:28 *1603:35 28.2121 +5 *1603:35 *1603:48 47.7501 +6 *1603:48 *1603:59 28.3102 +7 *1603:59 *22406:A1 9.82786 +8 *1603:59 *1603:81 45.2919 +9 *1603:81 *22727:B1 26.295 +10 *1603:8 *19848:A 32.7113 +*END + +*D_NET *1604 0.0377781 +*CONN +*I *19987:B I *D sky130_fd_sc_hd__or2_4 +*I *20017:B I *D sky130_fd_sc_hd__or2_4 +*I *19867:B I *D sky130_fd_sc_hd__or2_4 +*I *19847:A I *D sky130_fd_sc_hd__or2_4 +*I *19902:A I *D sky130_fd_sc_hd__or2_4 +*I *19958:B I *D sky130_fd_sc_hd__or2_4 +*I *20006:B I *D sky130_fd_sc_hd__or2_4 +*I *19846:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *19987:B 1.81917e-05 +2 *20017:B 0 +3 *19867:B 1.10184e-05 +4 *19847:A 0.00036322 +5 *19902:A 0.0012894 +6 *19958:B 1.98947e-05 +7 *20006:B 7.54165e-05 +8 *19846:X 0.000563494 +9 *1604:100 0.00132332 +10 *1604:91 0.00274199 +11 *1604:70 0.00186483 +12 *1604:68 0.00119752 +13 *1604:42 0.00350051 +14 *1604:29 0.00179998 +15 *1604:15 0.00159768 +16 *1604:11 0.00140936 +17 *19847:A *21777:B1 0.000195184 +18 *19847:A *22471:A1 0.000150458 +19 *19847:A *22771:A2 9.18679e-06 +20 *19847:A *1631:34 5.39173e-05 +21 *19847:A *3122:256 0.000331897 +22 *19847:A *5456:31 0.000303361 +23 *19867:B *4849:33 6.3657e-05 +24 *19902:A *22429:A1 3.95516e-05 +25 *19902:A *22429:A2 1.7883e-05 +26 *19902:A *22429:B1 2.65667e-05 +27 *19902:A *22429:B2 1.5613e-05 +28 *19902:A *22429:C1 9.66083e-05 +29 *19902:A *22448:A 0.000296893 +30 *19902:A *22476:A2 1.43848e-05 +31 *19902:A *22476:B1 6.91535e-05 +32 *19902:A *1698:130 1.60415e-05 +33 *19902:A *1706:37 0.000107496 +34 *19902:A *2912:23 3.26673e-05 +35 *19902:A *2915:8 0.000213725 +36 *19902:A *3400:8 8.96998e-05 +37 *19958:B *1677:45 2.16355e-05 +38 *19958:B *1715:10 6.08467e-05 +39 *19987:B *1744:5 0 +40 *19987:B *5082:17 1.09551e-05 +41 *20006:B *1698:54 6.50586e-05 +42 *1604:11 *24924:A 0.000353686 +43 *1604:11 *1740:98 8.98279e-05 +44 *1604:11 *1759:13 0.000160617 +45 *1604:11 *2951:28 0.000301739 +46 *1604:11 *4844:339 3.58951e-06 +47 *1604:11 *5082:17 3.02534e-05 +48 *1604:15 *2518:8 0.00035144 +49 *1604:15 *5082:17 0.000251863 +50 *1604:15 *5082:34 0.000433585 +51 *1604:29 *2976:45 0.00010443 +52 *1604:29 *5332:10 0.000133978 +53 *1604:42 *21684:D 0.000259504 +54 *1604:42 *1685:26 0.000177774 +55 *1604:42 *1715:89 0 +56 *1604:42 *2943:25 0 +57 *1604:42 *2943:29 4.36739e-05 +58 *1604:42 *2943:52 0.000778337 +59 *1604:42 *2976:45 0.000962324 +60 *1604:42 *5322:14 0.000104253 +61 *1604:68 *20017:A 2.1203e-06 +62 *1604:68 *20039:B1 4.00595e-06 +63 *1604:68 *20610:A1 6.50586e-05 +64 *1604:68 *21683:A1 1.17108e-05 +65 *1604:68 *22435:A2 3.77568e-05 +66 *1604:68 *1794:96 5.11322e-06 +67 *1604:68 *2619:71 0.000111082 +68 *1604:68 *2804:7 6.23875e-05 +69 *1604:68 *2943:52 0.000723376 +70 *1604:68 *2976:45 1.06825e-05 +71 *1604:68 *2976:50 3.31745e-05 +72 *1604:68 *3146:38 0.000153749 +73 *1604:68 *3146:65 1.02986e-05 +74 *1604:68 *3407:23 0.00010753 +75 *1604:68 *3428:10 0.000619765 +76 *1604:68 *3428:14 0.000785229 +77 *1604:70 *20017:A 6.08467e-05 +78 *1604:70 *20039:B1 0.000207892 +79 *1604:91 *21620:A 0.00123956 +80 *1604:91 *22794:A1 3.29488e-05 +81 *1604:91 *22811:C1 1.4036e-05 +82 *1604:91 *1699:48 0.000112174 +83 *1604:91 *1706:87 0.000111938 +84 *1604:91 *1761:40 7.57527e-05 +85 *1604:91 *1764:71 0.000111337 +86 *1604:91 *1764:94 4.75923e-05 +87 *1604:91 *2274:63 0.000104754 +88 *1604:91 *2510:73 0.000104754 +89 *1604:91 *2522:32 8.45811e-05 +90 *1604:91 *2703:60 0.00134966 +91 *1604:91 *2708:32 4.06695e-05 +92 *1604:91 *3134:62 0.001357 +93 *1604:91 *3146:65 0.000233697 +94 *1604:91 *3428:23 0 +95 *1604:91 *3533:235 0 +96 *1604:91 *4870:134 2.2314e-05 +97 *1604:91 *5455:12 0.000108997 +98 *1604:100 *22477:C1 5.14046e-05 +99 *1604:100 *22478:B1 0.000409257 +100 *1604:100 *1631:10 0.00137586 +101 *1604:100 *1723:114 0.000311572 +102 *1604:100 *1776:15 4.24463e-05 +103 *1604:100 *2522:32 3.74943e-05 +104 *1604:100 *2672:40 0.00011666 +105 *1604:100 *3428:23 5.04829e-06 +106 *1604:100 *4849:33 0.000387391 +107 *1604:100 *5681:7 0.000161234 +108 *19846:B *1604:11 1.31711e-05 +109 *19846:D *1604:11 9.12416e-06 +110 *19872:A *19847:A 1.39521e-05 +111 *19970:B2 *1604:70 6.98729e-05 +112 *19970:B2 *1604:91 5.89592e-05 +113 *1424:8 *20006:B 9.49244e-05 +114 *1424:8 *1604:29 0.000261342 +115 *1424:71 *1604:29 0.000687 +116 *1424:71 *1604:42 2.1203e-06 +117 *1435:21 *1604:29 0 +118 *1454:35 *1604:11 0 +119 *1454:74 *19867:B 2.07503e-05 +120 *1454:74 *1604:100 0.000145324 +121 *1461:67 *1604:11 7.66983e-06 +122 *1466:41 *20006:B 4.3317e-05 +123 *1466:41 *1604:29 0.000328251 +124 *1466:58 *1604:29 2.57465e-06 +125 *1541:115 *19902:A 5.23473e-05 +126 *1541:128 *19902:A 0.000122378 +127 *1560:52 *1604:91 7.22263e-05 +128 *1570:15 *1604:100 9.17077e-05 +*RES +1 *19846:X *1604:11 31.6417 +2 *1604:11 *1604:15 17.9793 +3 *1604:15 *20006:B 16.3045 +4 *1604:15 *1604:29 21.8374 +5 *1604:29 *19958:B 14.4725 +6 *1604:29 *1604:42 34.0263 +7 *1604:42 *19902:A 45.4632 +8 *1604:42 *1604:68 47.3972 +9 *1604:68 *1604:70 2.94181 +10 *1604:70 *1604:91 48.4332 +11 *1604:91 *1604:100 47.1006 +12 *1604:100 *19847:A 29.5546 +13 *1604:100 *19867:B 9.97254 +14 *1604:70 *20017:B 9.24915 +15 *1604:11 *19987:B 9.82786 +*END + +*D_NET *1605 0.0289289 +*CONN +*I *21616:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22048:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21764:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19848:B I *D sky130_fd_sc_hd__or2_1 +*I *20859:B I *D sky130_fd_sc_hd__or2_1 +*I *21481:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19847:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21616:A2 0 +2 *22048:B2 0.000180623 +3 *21764:A2 0.000133829 +4 *19848:B 0.000700426 +5 *20859:B 0.00124279 +6 *21481:A2 0 +7 *19847:X 0.00102173 +8 *1605:73 0.00103875 +9 *1605:57 0.00164255 +10 *1605:50 0.000980185 +11 *1605:46 0.00272614 +12 *1605:29 0.00319948 +13 *1605:11 0.00246061 +14 *19848:B *22462:C1 0.00011884 +15 *19848:B *2765:39 2.907e-05 +16 *19848:B *2795:12 1.25055e-05 +17 *19848:B *2795:14 1.63926e-05 +18 *19848:B *2883:28 0.000154058 +19 *19848:B *2883:32 0.00035038 +20 *19848:B *2956:48 0.000228589 +21 *19848:B *3106:180 1.81083e-05 +22 *19848:B *3114:190 3.24903e-05 +23 *19848:B *3131:133 8.62625e-06 +24 *19848:B *3192:211 7.79406e-05 +25 *19848:B *3527:162 0.000725358 +26 *20859:B *20860:A 2.90688e-05 +27 *20859:B *22813:B1 1.03403e-05 +28 *20859:B *22813:B2 9.56715e-05 +29 *20859:B *2126:7 0.000160054 +30 *20859:B *3537:126 1.69394e-06 +31 *20859:B *3543:164 0.000246571 +32 *21764:A2 *2778:13 0.000207892 +33 *22048:B2 *22017:C1 3.99086e-06 +34 *22048:B2 *22048:B1 9.95922e-06 +35 *1605:11 *21945:B1 5.49916e-05 +36 *1605:11 *22471:A1 0.000156172 +37 *1605:11 *22471:B1 6.08467e-05 +38 *1605:11 *22471:B2 6.3657e-05 +39 *1605:11 *22795:B2 7.12632e-06 +40 *1605:11 *2793:17 0.000771979 +41 *1605:11 *3162:102 9.80784e-05 +42 *1605:11 *3539:94 1.30956e-05 +43 *1605:11 *5456:31 8.67649e-05 +44 *1605:29 *21808:B 9.50308e-05 +45 *1605:29 *21838:B1 5.00551e-05 +46 *1605:29 *22470:A 8.7004e-05 +47 *1605:29 *22470:C 6.08467e-05 +48 *1605:29 *22483:A2 0.000797619 +49 *1605:29 *22763:B2 6.44504e-05 +50 *1605:29 *22763:C1 0.000132291 +51 *1605:29 *22773:A1 0.00022694 +52 *1605:29 *2793:17 0.000126469 +53 *1605:29 *2851:26 7.09666e-06 +54 *1605:29 *2954:74 0.000115082 +55 *1605:29 *3128:155 9.4385e-05 +56 *1605:29 *3406:29 4.24406e-05 +57 *1605:29 *3421:32 6.23101e-05 +58 *1605:29 *3521:64 9.77956e-05 +59 *1605:29 *5924:37 5.60804e-05 +60 *1605:46 *21944:A2 3.17436e-05 +61 *1605:46 *22016:A1 0.000108997 +62 *1605:46 *22047:B1 2.32542e-05 +63 *1605:46 *22048:C1 0.000254266 +64 *1605:46 *22439:A1 3.37785e-05 +65 *1605:46 *1725:144 0.000611212 +66 *1605:46 *2671:38 6.41684e-05 +67 *1605:46 *2771:33 7.03503e-05 +68 *1605:46 *3029:33 0.000215939 +69 *1605:46 *3069:18 7.72394e-06 +70 *1605:46 *3114:180 2.31045e-05 +71 *1605:46 *3192:230 1.0927e-05 +72 *1605:46 *3398:17 0.000616848 +73 *1605:46 *3537:88 0.000228837 +74 *1605:50 *2603:104 0.00029695 +75 *1605:50 *3122:215 8.34211e-06 +76 *1605:50 *3128:159 0.000593477 +77 *1605:57 *22812:B1 0.000108091 +78 *1605:57 *2603:104 0.000182401 +79 *1605:57 *2883:32 2.74283e-05 +80 *1605:57 *3128:159 0.000391339 +81 *1605:73 *2778:13 0.000211559 +82 *1605:73 *2883:32 8.72256e-06 +83 *1605:73 *3128:159 5.51293e-05 +84 *19831:A1 *1605:46 1.46616e-05 +85 *19832:A2 *1605:46 5.11321e-05 +86 *19848:A *19848:B 0.000725358 +87 *20859:A *20859:B 0.000107496 +88 *22016:A2 *1605:50 6.08467e-05 +89 *22048:A2 *22048:B2 3.41459e-05 +90 *1544:151 *1605:11 6.3657e-05 +91 *1550:82 *1605:46 7.39223e-06 +92 *1550:101 *1605:46 9.58561e-05 +93 *1561:39 *1605:11 5.51483e-06 +94 *1561:88 *22048:B2 0.000144254 +95 *1561:88 *1605:50 0.000491468 +96 *1561:111 *1605:50 0.000267695 +97 *1569:34 *1605:46 7.78648e-06 +98 *1575:119 *1605:11 0.00100994 +99 *1582:81 *1605:46 3.43355e-05 +100 *1582:101 *1605:46 2.28363e-05 +101 *1585:34 *1605:46 0.000306644 +*RES +1 *19847:X *1605:11 42.4635 +2 *1605:11 *1605:29 49.9787 +3 *1605:29 *1605:46 21.3964 +4 *1605:46 *1605:50 23.398 +5 *1605:50 *21481:A2 13.7491 +6 *1605:50 *1605:57 7.64553 +7 *1605:57 *20859:B 33.6425 +8 *1605:57 *1605:73 8.30395 +9 *1605:73 *19848:B 41.4418 +10 *1605:73 *21764:A2 11.6364 +11 *1605:46 *22048:B2 12.625 +12 *1605:11 *21616:A2 9.24915 +*END + +*D_NET *1606 0.00190024 +*CONN +*I *19865:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *19848:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19865:B1 0.000441291 +2 *19848:X 0.000441291 +3 *19865:B1 *19865:C1 0.000206464 +4 *19865:B1 *19865:D1 2.12513e-05 +5 *19865:B1 *1623:18 0.000352146 +6 *19865:B1 *3527:162 0.000313357 +7 *19865:A1 *19865:B1 5.89344e-05 +8 *1600:19 *19865:B1 6.55112e-05 +*RES +1 *19848:X *19865:B1 31.3989 +*END + +*D_NET *1607 0.0227353 +*CONN +*I *22220:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19853:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19849:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22220:A1 0.000274194 +2 *19853:A1 0 +3 *19849:Y 0.00119809 +4 *1607:29 0.00117111 +5 *1607:18 0.00251007 +6 *1607:17 0.00259616 +7 *1607:14 0.0021811 +8 *22220:A1 *19853:B2 0.00059327 +9 *22220:A1 *22220:B2 1.9101e-05 +10 *22220:A1 *2601:23 0.000110306 +11 *22220:A1 *2822:8 4.53142e-05 +12 *22220:A1 *2826:19 0.000147884 +13 *22220:A1 *3155:168 0.000577107 +14 *22220:A1 *3166:130 3.58208e-05 +15 *22220:A1 *3168:160 0.000200794 +16 *1607:14 *20744:B1 0.000146731 +17 *1607:14 *20880:B1 0.000242134 +18 *1607:14 *20883:A2 8.71605e-06 +19 *1607:14 *2136:29 7.30714e-05 +20 *1607:14 *2985:8 0.000285427 +21 *1607:14 *5142:10 0.00015321 +22 *1607:14 *5857:19 0.000217951 +23 *1607:14 *5859:20 0 +24 *1607:14 *5859:28 0 +25 *1607:14 *5918:30 0.000102945 +26 *1607:17 *1784:131 0.00012895 +27 *1607:17 *5859:28 0.000100596 +28 *1607:18 *20544:A2 0.000192055 +29 *1607:18 *20671:A2 4.18989e-05 +30 *1607:18 *1741:6 0.00400495 +31 *1607:18 *1992:14 0.00013592 +32 *1607:18 *1992:19 0.000336125 +33 *1607:18 *2030:50 0.000179271 +34 *1607:18 *2737:29 3.54949e-06 +35 *1607:18 *4126:57 7.29374e-05 +36 *1607:18 *4822:12 2.44103e-05 +37 *1607:18 *4888:55 0.000509798 +38 *1607:18 *5867:263 0.000260081 +39 *1607:18 *5867:301 3.45797e-05 +40 *1607:18 *5867:310 0.000211123 +41 *1607:29 *19853:B2 6.50727e-05 +42 *1607:29 *21712:B2 0.000790578 +43 *1607:29 *21834:A 3.88655e-06 +44 *1607:29 *1644:10 0 +45 *1607:29 *1658:86 3.42927e-05 +46 *1607:29 *2729:20 0.000248118 +47 *1607:29 *2737:29 0.00011424 +48 *1607:29 *2797:50 7.23396e-05 +49 *1607:29 *2819:58 4.69204e-06 +50 *1607:29 *2837:24 4.44523e-05 +51 *1607:29 *3155:168 6.92705e-05 +52 *21701:B2 *1607:29 0 +53 *24349:D *1607:14 0 +54 *24613:D *1607:29 7.50872e-05 +55 *1550:16 *1607:18 0.000843506 +56 *1550:16 *1607:29 0.000113287 +57 *1550:32 *1607:29 0.00112976 +*RES +1 *19849:Y *1607:14 49.533 +2 *1607:14 *1607:17 19.0885 +3 *1607:17 *1607:18 73.6706 +4 *1607:18 *1607:29 37.7596 +5 *1607:29 *19853:A1 9.24915 +6 *1607:29 *22220:A1 30.5381 +*END + +*D_NET *1608 0.0977035 +*CONN +*I *21476:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *21289:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22035:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21701:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *19853:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21186:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20738:B I *D sky130_fd_sc_hd__or2_1 +*I *21417:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21869:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19850:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21476:B2 9.51656e-05 +2 *21289:A2 0 +3 *22035:B2 0.000124291 +4 *21701:A2 0.000125538 +5 *19853:A2 0.000805714 +6 *21186:A2 0 +7 *20738:B 0.000435734 +8 *21417:A2 0.000356926 +9 *21869:A2 0.000225158 +10 *19850:X 0.000609726 +11 *1608:158 0.00173996 +12 *1608:156 0.00322717 +13 *1608:148 0.00323572 +14 *1608:117 0.000985017 +15 *1608:113 0.00231766 +16 *1608:107 0.00327532 +17 *1608:101 0.00342915 +18 *1608:98 0.00750627 +19 *1608:65 0.00868483 +20 *1608:46 0.00247774 +21 *1608:43 0.00164717 +22 *1608:30 0.00438705 +23 *1608:13 0.00389506 +24 *19853:A2 *19853:B2 8.97291e-05 +25 *19853:A2 *21806:A1 1.91391e-05 +26 *19853:A2 *22023:A1 8.78262e-05 +27 *19853:A2 *2822:8 7.4382e-05 +28 *19853:A2 *2826:19 0.000312496 +29 *19853:A2 *2986:20 8.37728e-06 +30 *19853:A2 *5855:99 0.000402462 +31 *20738:B *22346:B1 3.05096e-05 +32 *20738:B *2053:10 0.000107496 +33 *20738:B *2250:31 4.23622e-05 +34 *20738:B *2287:22 7.65399e-05 +35 *20738:B *2421:18 0.000119486 +36 *20738:B *2464:12 0.000194375 +37 *20738:B *2520:21 0.000746849 +38 *20738:B *2809:21 0.000763469 +39 *20738:B *3022:12 3.88358e-05 +40 *21417:A2 *21417:A1 0.000243132 +41 *21417:A2 *1635:69 3.9732e-05 +42 *21417:A2 *2472:27 0.000154944 +43 *21417:A2 *2475:36 3.57291e-06 +44 *21476:B2 *21476:B1 6.26935e-05 +45 *21476:B2 *21476:C1 3.29942e-05 +46 *21476:B2 *2539:35 4.63742e-05 +47 *21476:B2 *3519:59 5.51483e-06 +48 *21476:B2 *4870:134 0.000202134 +49 *21701:A2 *21712:B1 7.74853e-06 +50 *21701:A2 *22023:A1 7.14746e-05 +51 *21701:A2 *2293:16 2.57465e-06 +52 *21701:A2 *2986:20 5.65354e-05 +53 *21869:A2 *21869:A1 3.08827e-05 +54 *21869:A2 *22288:A2 1.04638e-05 +55 *21869:A2 *3474:175 8.19046e-05 +56 *22035:B2 *2589:24 0.000103949 +57 *22035:B2 *3046:14 9.12416e-06 +58 *22035:B2 *3046:29 4.78996e-05 +59 *22035:B2 *3049:14 6.51527e-05 +60 *1608:13 *21492:A1 0.000294093 +61 *1608:13 *21537:C1 0.000102413 +62 *1608:13 *21543:C1 6.36477e-05 +63 *1608:13 *2549:17 1.5714e-05 +64 *1608:13 *2593:54 1.5714e-05 +65 *1608:13 *2751:8 4.47099e-05 +66 *1608:13 *3471:25 7.0512e-05 +67 *1608:13 *3476:39 7.04982e-05 +68 *1608:13 *3519:59 0.000402579 +69 *1608:13 *3519:93 0.00010831 +70 *1608:13 *3736:31 0.000531645 +71 *1608:13 *4870:134 0.000108875 +72 *1608:30 *21537:A2 0.00011195 +73 *1608:30 *21583:A2 0.000165508 +74 *1608:30 *22603:A1 3.77568e-05 +75 *1608:30 *22621:A2 8.39045e-05 +76 *1608:30 *22644:A1 0.000410364 +77 *1608:30 *22644:B1 0.000439471 +78 *1608:30 *22644:B2 2.05972e-05 +79 *1608:30 *22646:A1 8.41511e-06 +80 *1608:30 *22646:B1 1.38881e-05 +81 *1608:30 *23925:B 0.000650063 +82 *1608:30 *1627:49 0.000683585 +83 *1608:30 *1641:109 2.49357e-05 +84 *1608:30 *2539:35 3.29488e-05 +85 *1608:30 *2557:20 0 +86 *1608:30 *2570:138 3.83492e-06 +87 *1608:30 *2629:37 0.0016502 +88 *1608:30 *2815:32 0.000100891 +89 *1608:30 *2887:41 0.000242011 +90 *1608:30 *3021:39 0.000278727 +91 *1608:30 *3196:41 0.000107019 +92 *1608:30 *3513:26 8.86786e-06 +93 *1608:30 *3568:8 0.000713592 +94 *1608:30 *4808:76 0.00229365 +95 *1608:43 *22617:A 5.04829e-06 +96 *1608:43 *1616:71 6.54321e-05 +97 *1608:43 *1631:57 9.90521e-05 +98 *1608:43 *1649:143 2.86353e-06 +99 *1608:43 *1744:219 0.000151057 +100 *1608:43 *2293:65 3.60456e-05 +101 *1608:43 *2631:47 8.42687e-05 +102 *1608:43 *2686:40 0.000208178 +103 *1608:43 *3151:176 0.000200794 +104 *1608:43 *3238:15 1.02264e-05 +105 *1608:43 *3238:16 0.000975382 +106 *1608:43 *3566:11 0.000457745 +107 *1608:43 *3568:8 8.62262e-06 +108 *1608:43 *4804:96 4.95264e-05 +109 *1608:43 *4810:66 0.000232388 +110 *1608:46 *1635:47 3.10916e-05 +111 *1608:46 *1744:219 2.77564e-05 +112 *1608:46 *1800:95 0.00011224 +113 *1608:46 *1800:112 4.60876e-05 +114 *1608:46 *1800:119 8.08437e-05 +115 *1608:46 *2857:18 0.000490782 +116 *1608:46 *3581:29 0.000332002 +117 *1608:65 *21236:B 0.000289234 +118 *1608:65 *21272:B1 0.000108457 +119 *1608:65 *2291:61 3.11023e-05 +120 *1608:65 *2501:22 0.000997707 +121 *1608:65 *3208:170 0.0011867 +122 *1608:65 *3238:16 0.000293699 +123 *1608:65 *3474:175 7.13972e-05 +124 *1608:65 *4815:91 0.00105671 +125 *1608:98 *20693:A2 0.000181035 +126 *1608:98 *20938:B1 0.000538864 +127 *1608:98 *21658:B1 5.53835e-05 +128 *1608:98 *23870:A 0.000148237 +129 *1608:98 *23871:A 0.000146519 +130 *1608:98 *24312:RESET_B 0 +131 *1608:98 *1631:57 6.32844e-05 +132 *1608:98 *1652:65 0.0013359 +133 *1608:98 *1663:21 9.85633e-05 +134 *1608:98 *2262:102 8.35491e-05 +135 *1608:98 *2287:82 0.000537673 +136 *1608:98 *2291:61 0.000820165 +137 *1608:98 *2391:89 0.000132053 +138 *1608:98 *2433:85 8.21609e-05 +139 *1608:98 *2460:40 3.10472e-05 +140 *1608:98 *2467:87 0.000401837 +141 *1608:98 *2501:22 0.000506486 +142 *1608:98 *2900:111 0 +143 *1608:98 *3632:19 0.000160303 +144 *1608:98 *4815:91 0.00140543 +145 *1608:98 *4816:124 0.000116391 +146 *1608:98 *5041:10 1.65082e-05 +147 *1608:98 *5494:10 0.000318429 +148 *1608:98 *5529:11 3.04371e-05 +149 *1608:98 *5529:16 6.57318e-05 +150 *1608:98 *5857:467 0 +151 *1608:98 *5904:38 4.22768e-05 +152 *1608:98 *5924:37 0.000477772 +153 *1608:101 *2467:55 0.000397967 +154 *1608:101 *2467:62 0.000324886 +155 *1608:107 *24295:SET_B 4.96202e-06 +156 *1608:107 *2319:26 0 +157 *1608:107 *2319:33 9.35472e-05 +158 *1608:107 *2373:17 0.000740478 +159 *1608:107 *2545:35 0.000157972 +160 *1608:107 *2545:48 0.000193268 +161 *1608:107 *2635:35 3.9018e-05 +162 *1608:107 *2729:47 0.000478725 +163 *1608:107 *2820:26 0.00102401 +164 *1608:107 *3263:23 0.000192252 +165 *1608:107 *4832:60 6.90299e-05 +166 *1608:107 *4903:10 0.000728309 +167 *1608:107 *4903:119 0.000244956 +168 *1608:107 *5415:11 0.000139038 +169 *1608:107 *5903:6 4.72005e-05 +170 *1608:113 *1886:64 0.00011646 +171 *1608:113 *1886:76 0.000154062 +172 *1608:113 *2321:36 7.60356e-05 +173 *1608:113 *2372:60 0.00220629 +174 *1608:113 *2448:74 0.000126593 +175 *1608:113 *2738:13 0.000324953 +176 *1608:113 *4844:182 0.00127686 +177 *1608:113 *4902:71 5.60364e-06 +178 *1608:113 *5853:156 1.49684e-05 +179 *1608:117 *22391:A1 0.000263711 +180 *1608:117 *2294:44 1.65082e-05 +181 *1608:117 *2306:38 0.000214814 +182 *1608:117 *3263:13 3.55968e-05 +183 *1608:117 *5888:14 5.74262e-05 +184 *1608:148 *21289:A1 2.65831e-05 +185 *1608:148 *22302:A1 6.57526e-05 +186 *1608:148 *22303:B2 0.000158357 +187 *1608:148 *22303:C1 0.000327667 +188 *1608:148 *1627:140 0.000746268 +189 *1608:148 *2258:32 3.03051e-05 +190 *1608:148 *3263:13 0.000154145 +191 *1608:156 *21095:A2 2.01828e-05 +192 *1608:156 *21095:B1 1.43983e-05 +193 *1608:156 *21095:B2 1.03403e-05 +194 *1608:156 *21289:B2 2.41274e-06 +195 *1608:156 *21314:B1 2.78496e-05 +196 *1608:156 *21315:C1 6.11359e-06 +197 *1608:156 *24210:SET_B 8.58902e-06 +198 *1608:156 *24210:CLK 1.65872e-05 +199 *1608:156 *1725:192 6.89789e-05 +200 *1608:156 *1771:140 4.78069e-06 +201 *1608:156 *1771:151 0.000160617 +202 *1608:156 *2266:13 8.86331e-05 +203 *1608:156 *2331:8 7.02358e-06 +204 *1608:156 *2352:7 6.08467e-05 +205 *1608:156 *2352:8 6.51527e-05 +206 *1608:156 *2377:7 2.8182e-06 +207 *1608:156 *5346:7 2.35335e-05 +208 *19801:A2 *1608:65 0.000307712 +209 *19801:A2 *1608:98 0.000156492 +210 *19820:B2 *1608:65 0.000399454 +211 *20738:A *20738:B 0.000111722 +212 *21186:B2 *20738:B 2.95631e-05 +213 *21186:B2 *1608:117 3.82228e-05 +214 *21806:B2 *19853:A2 2.41483e-05 +215 *21806:B2 *1608:158 0.000283248 +216 *22303:A1 *1608:117 0.000566286 +217 *22303:A1 *1608:148 1.58551e-05 +218 *24210:D *1608:156 0.000139721 +219 *24297:D *1608:107 7.25274e-05 +220 *24765:D *1608:113 6.64188e-05 +221 *476:172 *1608:107 0.00167537 +222 *477:177 *1608:98 0.000158526 +223 *489:78 *21701:A2 6.08467e-05 +224 *500:22 *1608:98 0.000133323 +225 *510:46 *1608:98 0.000473349 +226 *1418:86 *1608:98 0.000864252 +227 *1418:163 *20738:B 0.000178998 +228 *1419:239 *1608:98 0.000311489 +229 *1435:143 *1608:13 6.36477e-05 +230 *1557:133 *21869:A2 3.1341e-05 +231 *1562:39 *1608:43 1.65872e-05 +232 *1576:35 *1608:30 7.48876e-06 +233 *1588:77 *1608:13 6.7034e-05 +234 *1588:88 *1608:13 0.000134421 +235 *1592:93 *1608:43 2.31718e-05 +*RES +1 *19850:X *1608:13 42.9391 +2 *1608:13 *1608:30 48.3167 +3 *1608:30 *1608:43 46.7558 +4 *1608:43 *1608:46 17.5438 +5 *1608:46 *21869:A2 14.4335 +6 *1608:46 *1608:65 20.6884 +7 *1608:65 *21417:A2 25.1909 +8 *1608:65 *1608:98 42.8653 +9 *1608:98 *1608:101 26.2983 +10 *1608:101 *1608:107 46.6367 +11 *1608:107 *1608:113 17.4926 +12 *1608:113 *1608:117 19.4447 +13 *1608:117 *20738:B 35.2999 +14 *1608:117 *21186:A2 9.24915 +15 *1608:113 *1608:148 25.9366 +16 *1608:148 *1608:156 42.2274 +17 *1608:156 *1608:158 11.8155 +18 *1608:158 *19853:A2 33.7208 +19 *1608:158 *21701:A2 20.9439 +20 *1608:156 *22035:B2 21.8154 +21 *1608:148 *21289:A2 9.24915 +22 *1608:13 *21476:B2 17.1077 +*END + +*D_NET *1609 0.0114981 +*CONN +*I *19853:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22585:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22224:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19851:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19853:B1 0 +2 *22585:A1 3.35972e-05 +3 *22224:A1 0.000760635 +4 *19851:Y 7.2507e-05 +5 *1609:26 0.00144768 +6 *1609:22 0.00144732 +7 *1609:10 0.000820178 +8 *1609:8 5.20177e-05 +9 *1609:7 9.82173e-05 +10 *22224:A1 *19906:A2 6.74182e-05 +11 *22224:A1 *22218:B1 7.30564e-05 +12 *22224:A1 *22224:C1 3.07848e-05 +13 *22224:A1 *22566:A1 0.000199173 +14 *22224:A1 *22585:A2 2.9384e-05 +15 *22224:A1 *1655:161 0.00033307 +16 *22224:A1 *1655:199 8.91154e-05 +17 *22224:A1 *1720:14 0.000180002 +18 *22224:A1 *1725:181 1.93857e-05 +19 *22224:A1 *3114:216 6.08467e-05 +20 *22224:A1 *3186:16 6.74182e-05 +21 *22224:A1 *3531:171 7.08723e-06 +22 *22224:A1 *3533:119 0.00100398 +23 *22224:A1 *4873:17 1.032e-05 +24 *22585:A1 *19907:D 8.29362e-06 +25 *22585:A1 *22585:A2 6.41655e-05 +26 *1609:7 *19851:A 2.16355e-05 +27 *1609:7 *22024:C1 6.46242e-06 +28 *1609:22 *22023:B1 4.50231e-05 +29 *1609:22 *22023:B2 1.57186e-05 +30 *1609:22 *22024:C1 1.09283e-05 +31 *1609:22 *2293:13 0.000445425 +32 *1609:22 *2728:44 0.000442958 +33 *1609:22 *2837:24 0.00074913 +34 *1609:22 *4942:22 0.000752743 +35 *1609:26 *22218:B1 0.000167545 +36 *1609:26 *1720:14 0.000943592 +37 *1609:26 *1729:19 6.08467e-05 +38 *1609:26 *1729:23 0.000315416 +39 *1609:26 *3155:146 0.000158371 +40 *1609:26 *3155:168 1.61631e-05 +41 *1609:26 *4942:22 0.000156952 +42 *1609:26 *5855:99 3.31745e-05 +43 *1439:28 *1609:26 4.36e-05 +44 *1591:39 *22224:A1 0.000136747 +*RES +1 *19851:Y *1609:7 19.7763 +2 *1609:7 *1609:8 34.767 +3 *1609:8 *1609:10 42.9474 +4 *1609:10 *1609:22 43.4379 +5 *1609:22 *1609:26 25.6052 +6 *1609:26 *22224:A1 29.6993 +7 *1609:26 *22585:A1 15.0151 +8 *1609:22 *19853:B1 9.24915 +*END + +*D_NET *1610 0.0899668 +*CONN +*I *21500:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *21412:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20914:B I *D sky130_fd_sc_hd__or2_1 +*I *21610:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19853:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21302:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21759:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21999:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21242:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19852:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21500:A2 2.10821e-05 +2 *21412:B2 7.19508e-05 +3 *20914:B 0 +4 *21610:B2 0 +5 *19853:B2 0.000197522 +6 *21302:B2 3.82755e-05 +7 *21759:A2 0.00257747 +8 *21999:A2 0.000198575 +9 *21242:B2 0.000361837 +10 *19852:X 0.000649037 +11 *1610:195 0.000969727 +12 *1610:181 0.00172463 +13 *1610:162 0.00240942 +14 *1610:155 0.00419014 +15 *1610:134 0.00258696 +16 *1610:115 0.00496887 +17 *1610:104 0.00224023 +18 *1610:77 0.00141835 +19 *1610:74 0.0011336 +20 *1610:54 0.00294455 +21 *1610:44 0.0025437 +22 *1610:37 0.00177355 +23 *1610:33 0.00268702 +24 *1610:7 0.00256064 +25 *19853:B2 *3155:168 6.15049e-05 +26 *19853:B2 *5855:99 0.000499986 +27 *21242:B2 *21242:A1 1.58551e-05 +28 *21302:B2 *22372:B 4.84017e-05 +29 *21302:B2 *2337:40 3.58315e-06 +30 *21302:B2 *2352:8 5.49916e-05 +31 *21412:B2 *22717:B2 8.09814e-05 +32 *21412:B2 *2254:23 8.8078e-05 +33 *21500:A2 *22323:A2 6.08467e-05 +34 *21500:A2 *2550:9 3.99086e-06 +35 *21759:A2 *21279:B1 4.45229e-05 +36 *21759:A2 *21482:A1 1.06594e-06 +37 *21759:A2 *21759:A1 1.5714e-05 +38 *21759:A2 *21909:A1 3.74669e-05 +39 *21759:A2 *22247:A2 6.08467e-05 +40 *21759:A2 *22248:D 1.41307e-05 +41 *21759:A2 *22609:B1 2.55246e-05 +42 *21759:A2 *22610:A2 0.000490671 +43 *21759:A2 *22615:A1 0.000376702 +44 *21759:A2 *24909:A 0.000101221 +45 *21759:A2 *1647:46 1.89936e-05 +46 *21759:A2 *1655:135 0.000526081 +47 *21759:A2 *2117:143 1.9101e-05 +48 *21759:A2 *2367:79 0.000113478 +49 *21759:A2 *2900:111 0.00119521 +50 *21759:A2 *2900:140 0.000433286 +51 *21759:A2 *3011:55 0.00204968 +52 *21759:A2 *3064:35 2.10863e-06 +53 *21759:A2 *3122:161 9.3124e-05 +54 *21759:A2 *3122:173 0.000539641 +55 *21759:A2 *3511:151 0.00015927 +56 *21759:A2 *3511:192 0.000686466 +57 *21999:A2 *21999:A1 6.08467e-05 +58 *21999:A2 *21999:B2 5.04829e-06 +59 *21999:A2 *1635:97 1.34424e-05 +60 *21999:A2 *3013:16 0.000154145 +61 *21999:A2 *3192:91 0.000169606 +62 *21999:A2 *3359:14 5.60364e-06 +63 *1610:7 *21500:B1 7.04868e-05 +64 *1610:7 *21500:D1 0.00125208 +65 *1610:7 *2550:9 0.000107496 +66 *1610:7 *2569:41 0.000107496 +67 *1610:7 *3175:243 4.65954e-06 +68 *1610:33 *21500:B1 1.41976e-05 +69 *1610:33 *21622:A 0.000203595 +70 *1610:33 *22323:A2 6.08467e-05 +71 *1610:33 *22602:B1 0.000114262 +72 *1610:33 *22641:A1 6.64243e-05 +73 *1610:33 *1614:30 0.000153742 +74 *1610:33 *2280:6 1.5714e-05 +75 *1610:33 *3114:96 0.00098252 +76 *1610:33 *3128:46 0.00177821 +77 *1610:33 *3128:60 0.00117194 +78 *1610:33 *3148:183 0.000401622 +79 *1610:33 *3175:243 0.000160284 +80 *1610:33 *3179:167 0.000173685 +81 *1610:33 *3502:130 0.000323176 +82 *1610:33 *3507:34 5.4678e-05 +83 *1610:33 *3519:332 1.49935e-05 +84 *1610:33 *4825:133 4.50665e-05 +85 *1610:33 *5874:133 0.00117369 +86 *1610:37 *22300:A2 0.000114584 +87 *1610:37 *22301:C1 0.000154145 +88 *1610:37 *22316:B 0.00034414 +89 *1610:37 *22316:C 7.5301e-06 +90 *1610:37 *22641:A1 5.13162e-05 +91 *1610:37 *3148:183 0.00025046 +92 *1610:37 *3177:251 0.00155647 +93 *1610:37 *3179:139 0.000347399 +94 *1610:37 *3179:167 0.00030401 +95 *1610:37 *4820:14 0.00116676 +96 *1610:37 *4825:127 0.000211762 +97 *1610:44 *21025:A1 3.63738e-05 +98 *1610:44 *21027:A1 8.04727e-05 +99 *1610:44 *21027:B1 4.76108e-05 +100 *1610:44 *21421:A 3.58199e-05 +101 *1610:44 *2177:82 0.000185698 +102 *1610:44 *2310:37 6.31811e-05 +103 *1610:44 *3120:74 3.85273e-06 +104 *1610:54 *20621:A2 0.000139262 +105 *1610:54 *20621:B2 7.85871e-06 +106 *1610:54 *21240:A 4.41652e-05 +107 *1610:54 *22712:B1 7.18895e-05 +108 *1610:54 *1649:189 0.000457126 +109 *1610:54 *2015:91 9.62777e-06 +110 *1610:54 *2256:114 3.26582e-06 +111 *1610:54 *2275:71 8.61022e-05 +112 *1610:54 *3050:28 0.000136497 +113 *1610:54 *3120:82 0.000634593 +114 *1610:54 *4826:117 2.45148e-05 +115 *1610:54 *4843:352 2.99277e-05 +116 *1610:54 *4845:575 8.02893e-06 +117 *1610:74 *21257:A 8.45896e-06 +118 *1610:74 *21998:A1 6.29412e-05 +119 *1610:74 *22028:B2 0.000457108 +120 *1610:74 *22712:B1 2.35647e-05 +121 *1610:74 *24314:CLK 5.12109e-05 +122 *1610:74 *1649:189 0.000135934 +123 *1610:74 *2245:27 0.000107496 +124 *1610:74 *2301:63 0.000334275 +125 *1610:74 *3153:117 0.00016187 +126 *1610:74 *3359:10 1.0397e-05 +127 *1610:74 *3359:14 0.000140018 +128 *1610:74 *4815:91 0.000375376 +129 *1610:77 *3359:10 0 +130 *1610:77 *3359:14 0.000145193 +131 *1610:104 *21999:C1 4.36818e-05 +132 *1610:104 *22676:A2 0.000217047 +133 *1610:104 *22676:B1 7.3217e-05 +134 *1610:104 *22676:B2 0.000110306 +135 *1610:104 *22717:B2 3.63593e-05 +136 *1610:104 *2117:84 0.00105338 +137 *1610:104 *2254:23 3.29488e-05 +138 *1610:104 *3111:149 0.00110283 +139 *1610:104 *3192:91 0.000247443 +140 *1610:115 *21412:C1 0.000186488 +141 *1610:115 *22018:A 9.29815e-06 +142 *1610:115 *2093:149 0.000202101 +143 *1610:115 *2375:60 6.32957e-05 +144 *1610:115 *2416:53 0.000104754 +145 *1610:115 *3011:55 0.00156089 +146 *1610:115 *3148:72 0.000266951 +147 *1610:115 *4812:38 0.000252934 +148 *1610:134 *21279:B1 0.000178565 +149 *1610:134 *22285:A1 0.000346324 +150 *1610:134 *22703:A2 4.65404e-05 +151 *1610:134 *2367:79 0.00137419 +152 *1610:134 *2375:60 0.000192159 +153 *1610:134 *2404:25 0.000130943 +154 *1610:134 *3527:207 3.92955e-05 +155 *1610:134 *3531:211 0.000322964 +156 *1610:134 *4873:17 0.000419289 +157 *1610:155 *21611:B2 0.000153427 +158 *1610:155 *22295:A1 0.000153427 +159 *1610:155 *22699:A1 2.67684e-05 +160 *1610:155 *22703:A2 0.000260643 +161 *1610:155 *2266:13 1.9101e-05 +162 *1610:155 *2347:44 0.0010301 +163 *1610:155 *2352:8 1.5714e-05 +164 *1610:155 *2362:20 0.000269206 +165 *1610:155 *2362:22 6.80087e-05 +166 *1610:155 *2375:60 3.03217e-05 +167 *1610:155 *2404:25 0 +168 *1610:155 *4873:17 5.69128e-05 +169 *1610:162 *22317:B2 0.000391697 +170 *1610:162 *2208:41 0.000250244 +171 *1610:162 *3155:168 0.000477707 +172 *1610:162 *3155:178 2.25371e-05 +173 *1610:162 *3166:155 0.00207022 +174 *1610:181 *21611:B2 7.86728e-05 +175 *1610:181 *22384:C1 0.00010051 +176 *1610:181 *2293:38 0.000157317 +177 *1610:181 *2464:12 0.000214274 +178 *1610:181 *2488:14 8.20978e-05 +179 *1610:181 *2635:21 0.000353686 +180 *1610:181 *2820:27 0.000487161 +181 *1610:181 *3166:155 0.000188093 +182 *1610:181 *4812:18 4.91394e-06 +183 *1610:181 *4812:38 1.23518e-05 +184 *1610:181 *5855:134 2.137e-05 +185 *1610:195 *22302:A2 0 +186 *1610:195 *22302:B1 0.000198847 +187 *1610:195 *22391:A1 0.000253916 +188 *1610:195 *22391:A2 6.08467e-05 +189 *1610:195 *22391:B2 4.58003e-05 +190 *1610:195 *22391:C1 0.000228551 +191 *1610:195 *1627:140 3.82361e-05 +192 *1610:195 *2258:32 0.000101212 +193 *1610:195 *2263:43 0.00133825 +194 *1610:195 *2263:45 1.10297e-05 +195 *1610:195 *2294:39 0.000197706 +196 *1610:195 *2294:44 1.41689e-05 +197 *1610:195 *2464:12 0.00044033 +198 *1610:195 *5086:24 0.00220336 +199 *19797:A *21242:B2 0.000207266 +200 *19800:A2_N *1610:54 1.97802e-06 +201 *19853:A2 *19853:B2 8.97291e-05 +202 *21242:A2 *21242:B2 2.16355e-05 +203 *21247:A2 *1610:54 1.83055e-05 +204 *21260:B2 *1610:77 0.000105489 +205 *21283:B2 *1610:115 0.000389103 +206 *22220:A1 *19853:B2 0.00059327 +207 *22296:B1 *1610:181 0 +208 *22296:B1 *1610:195 0 +209 *24249:D *1610:44 3.63855e-05 +210 *24249:D *1610:54 5.45571e-05 +211 *24491:D *1610:54 7.69131e-05 +212 *480:26 *1610:74 0.000111708 +213 *491:8 *1610:195 0 +214 *504:11 *1610:37 0.00112577 +215 *512:47 *1610:44 0.000812011 +216 *527:22 *1610:54 0.000661639 +217 *1554:113 *1610:74 1.73728e-05 +218 *1555:19 *1610:74 7.21868e-05 +219 *1555:38 *1610:74 0.000172148 +220 *1557:126 *1610:54 0.000365347 +221 *1557:126 *1610:74 5.99155e-05 +222 *1564:171 *21999:A2 0.000195393 +223 *1564:171 *1610:77 8.17829e-06 +224 *1573:141 *1610:44 2.77473e-05 +225 *1592:16 *1610:33 0.000200794 +226 *1592:115 *1610:134 5.64704e-05 +227 *1607:29 *19853:B2 6.50727e-05 +*RES +1 *19852:X *1610:7 26.9 +2 *1610:7 *1610:33 44.5226 +3 *1610:33 *1610:37 43.4247 +4 *1610:37 *1610:44 25.2693 +5 *1610:44 *1610:54 43.0275 +6 *1610:54 *21242:B2 21.6824 +7 *1610:54 *1610:74 47.1982 +8 *1610:74 *1610:77 3.60292 +9 *1610:77 *21999:A2 20.2763 +10 *1610:77 *1610:104 37.7893 +11 *1610:104 *1610:115 7.78283 +12 *1610:115 *21759:A2 25.6184 +13 *1610:115 *1610:134 5.16557 +14 *1610:134 *21302:B2 18.327 +15 *1610:134 *1610:155 13.2667 +16 *1610:155 *1610:162 44.0548 +17 *1610:162 *19853:B2 18.8703 +18 *1610:155 *1610:181 32.1944 +19 *1610:181 *21610:B2 13.7491 +20 *1610:181 *1610:195 43.1611 +21 *1610:195 *20914:B 9.24915 +22 *1610:104 *21412:B2 15.7888 +23 *1610:7 *21500:A2 9.97254 +*END + +*D_NET *1611 0.0077154 +*CONN +*I *19865:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *19853:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19865:C1 0.000296015 +2 *19853:X 0.00138182 +3 *1611:8 0.00167784 +4 *19865:C1 *19865:D1 5.78044e-05 +5 *19865:C1 *19907:B 1.65872e-05 +6 *19865:C1 *1623:18 0.000735478 +7 *19865:C1 *2769:13 9.22013e-06 +8 *1611:8 *22217:A1 5.39635e-06 +9 *1611:8 *22217:A2 7.13655e-06 +10 *1611:8 *22273:B2 6.71978e-06 +11 *1611:8 *22585:B2 4.5435e-07 +12 *1611:8 *22586:A2 0.000103549 +13 *1611:8 *1623:18 2.95757e-05 +14 *1611:8 *1716:16 3.73334e-05 +15 *1611:8 *1720:20 0.00010686 +16 *1611:8 *1721:162 0.00069813 +17 *1611:8 *1721:164 0 +18 *1611:8 *1729:34 0.000687129 +19 *1611:8 *1741:21 5.4678e-05 +20 *1611:8 *2846:23 1.94327e-05 +21 *1611:8 *2846:36 1.5714e-05 +22 *1611:8 *3155:146 0.000294093 +23 *1611:8 *3164:131 2.86353e-06 +24 *1611:8 *3166:123 0.000113267 +25 *1611:8 *3527:166 8.58125e-05 +26 *1611:8 *3533:119 0.000121291 +27 *19865:B1 *19865:C1 0.000206464 +28 *1586:103 *19865:C1 0.000141341 +29 *1596:91 *19865:C1 0.000111768 +30 *1596:92 *19865:C1 0.000691625 +*RES +1 *19853:X *1611:8 49.8062 +2 *1611:8 *19865:C1 36.2867 +*END + +*D_NET *1612 0.0320774 +*CONN +*I *19864:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19854:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19864:A1 0.00115174 +2 *19854:Y 0.00452704 +3 *1612:24 0.00567878 +4 *19864:A1 *19864:A2 9.40212e-05 +5 *19864:A1 *19864:B1 0 +6 *19864:A1 *22042:C 9.72199e-05 +7 *19864:A1 *22576:A1 0 +8 *19864:A1 *22613:B1 4.30975e-07 +9 *19864:A1 *22613:B2 0 +10 *19864:A1 *22613:C1 5.18423e-05 +11 *19864:A1 *1688:75 0.000363923 +12 *19864:A1 *1771:127 0.000133334 +13 *19864:A1 *2468:37 0.000252364 +14 *19864:A1 *3044:23 0.000467067 +15 *19864:A1 *3046:29 9.6577e-05 +16 *19864:A1 *3222:41 0.000236661 +17 *19864:A1 *3523:172 0.000543871 +18 *1612:24 *19854:A 1.03403e-05 +19 *1612:24 *22286:A1 7.00554e-05 +20 *1612:24 *22345:B2 0.000156418 +21 *1612:24 *23870:A 6.98337e-06 +22 *1612:24 *1688:75 0.000170566 +23 *1612:24 *2370:8 0.000122481 +24 *1612:24 *2448:146 3.3414e-05 +25 *1612:24 *2468:37 0.00262485 +26 *1612:24 *2501:22 0.000206298 +27 *1612:24 *2508:11 1.62909e-05 +28 *1612:24 *2613:29 0.000253046 +29 *1612:24 *5529:11 0.00025056 +30 *1612:24 *5898:220 0.000246962 +31 *1612:24 *5904:35 1.0758e-05 +32 *1612:24 *5908:87 0.00826818 +33 *508:20 *1612:24 0.00593531 +*RES +1 *19854:Y *1612:24 49.3629 +2 *1612:24 *19864:A1 24.3882 +*END + +*D_NET *1613 0.000682104 +*CONN +*I *19856:A I *D sky130_fd_sc_hd__buf_6 +*I *19855:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19856:A 0.000134221 +2 *19855:X 0.000134221 +3 *19856:A *2572:67 0.000350015 +4 *19855:A *19856:A 6.36477e-05 +*RES +1 *19855:X *19856:A 22.5493 +*END + +*D_NET *1614 0.0604442 +*CONN +*I *21577:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20262:A I *D sky130_fd_sc_hd__or2_1 +*I *20319:A1 I *D sky130_fd_sc_hd__a211o_4 +*I *21251:A I *D sky130_fd_sc_hd__clkinv_8 +*I *21435:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19864:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22059:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21623:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19856:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *21577:A2 0.00041067 +2 *20262:A 7.23653e-05 +3 *20319:A1 0.000117189 +4 *21251:A 0 +5 *21435:B2 9.36721e-06 +6 *19864:A2 0.000174371 +7 *22059:B2 3.95371e-05 +8 *21623:B2 0 +9 *19856:X 0.000286236 +10 *1614:139 0.000310468 +11 *1614:133 0.000447301 +12 *1614:131 0.00133898 +13 *1614:120 0.00188917 +14 *1614:105 0.00138573 +15 *1614:104 0.00407298 +16 *1614:75 0.00602929 +17 *1614:55 0.00366486 +18 *1614:36 0.00174226 +19 *1614:30 0.0019658 +20 *1614:19 0.00240634 +21 *1614:11 0.000635694 +22 *19864:A2 *19864:B2 1.35711e-05 +23 *19864:A2 *22613:B1 4.70869e-06 +24 *19864:A2 *22613:C1 2.25135e-05 +25 *19864:A2 *1622:14 0.000200794 +26 *20262:A *1899:39 0.000107496 +27 *20262:A *2287:53 6.34767e-05 +28 *20262:A *5861:598 5.40579e-05 +29 *20319:A1 *20273:B 4.7697e-05 +30 *21435:B2 *21435:B1 6.50586e-05 +31 *21435:B2 *5855:149 6.50586e-05 +32 *21577:A2 *21537:A1 0.00033841 +33 *21577:A2 *22336:B2 5.04829e-06 +34 *21577:A2 *1641:102 7.5301e-06 +35 *21577:A2 *2531:91 3.3057e-05 +36 *21577:A2 *2572:67 0.000158451 +37 *21577:A2 *2630:31 3.82228e-05 +38 *21577:A2 *3111:52 0.000167242 +39 *21577:A2 *3204:299 0.000281391 +40 *21577:A2 *3507:34 8.17829e-06 +41 *21577:A2 *3511:34 0.000154145 +42 *21577:A2 *3519:332 4.79289e-05 +43 *22059:B2 *22059:A1 4.31603e-06 +44 *22059:B2 *22223:A2 6.3657e-05 +45 *22059:B2 *22555:A2 2.16355e-05 +46 *1614:11 *22005:C1 0.000212538 +47 *1614:11 *1637:87 5.04829e-06 +48 *1614:11 *1648:47 0.000325866 +49 *1614:11 *2667:99 3.67474e-05 +50 *1614:11 *3111:63 6.36477e-05 +51 *1614:19 *21623:A1 1.65872e-05 +52 *1614:19 *1637:69 0.000154145 +53 *1614:19 *1637:87 6.08467e-05 +54 *1614:19 *3111:63 4.62549e-05 +55 *1614:19 *3128:60 0.000503468 +56 *1614:19 *3175:243 7.92757e-06 +57 *1614:19 *3204:299 0.000114594 +58 *1614:30 *21487:A1 8.40944e-05 +59 *1614:30 *21487:A2 1.37385e-05 +60 *1614:30 *21500:B1 0 +61 *1614:30 *21801:A2 0.000313331 +62 *1614:30 *21801:B1 5.21758e-06 +63 *1614:30 *22669:B1 9.29875e-05 +64 *1614:30 *24914:A 8.60193e-05 +65 *1614:30 *1627:49 7.16665e-06 +66 *1614:30 *1655:29 0 +67 *1614:30 *1655:61 0.000169501 +68 *1614:30 *2093:68 0 +69 *1614:30 *2554:51 3.29488e-05 +70 *1614:30 *2564:68 0.000115879 +71 *1614:30 *3175:243 0.000110006 +72 *1614:30 *3607:10 0.00119378 +73 *1614:30 *3613:10 0 +74 *1614:30 *5592:70 0.000140533 +75 *1614:36 *22223:B2 2.57465e-06 +76 *1614:36 *22666:B1 0.000147238 +77 *1614:36 *1627:49 0.000231447 +78 *1614:55 *22018:B 2.08643e-05 +79 *1614:55 *22668:B1 0.000632667 +80 *1614:55 *1627:49 0.000120121 +81 *1614:55 *1717:133 1.5714e-05 +82 *1614:55 *2822:12 5.37467e-05 +83 *1614:55 *2825:57 1.27831e-06 +84 *1614:55 *3019:28 0.00117968 +85 *1614:55 *3071:67 6.74182e-05 +86 *1614:55 *3073:16 0.000274541 +87 *1614:55 *3471:227 8.94611e-05 +88 *1614:55 *3547:17 3.29488e-05 +89 *1614:75 *19895:A2 0.000129667 +90 *1614:75 *21482:A2 0.00072172 +91 *1614:75 *22018:B 0.000358682 +92 *1614:75 *22042:C 0.00019301 +93 *1614:75 *22054:B2 0.000202101 +94 *1614:75 *22062:C 0.000128854 +95 *1614:75 *22324:A2 3.55968e-05 +96 *1614:75 *22575:A2 0.000111504 +97 *1614:75 *22668:B1 5.4224e-06 +98 *1614:75 *1618:104 0.000727143 +99 *1614:75 *1688:75 0.00115397 +100 *1614:75 *2551:55 1.48674e-05 +101 *1614:75 *2589:53 3.17436e-05 +102 *1614:75 *2601:58 0.000190125 +103 *1614:75 *2602:32 0.00191866 +104 *1614:75 *2822:12 1.98867e-05 +105 *1614:75 *2918:20 1.53908e-05 +106 *1614:75 *3120:163 0.000299448 +107 *1614:75 *3131:97 3.4976e-05 +108 *1614:75 *3146:128 3.06477e-05 +109 *1614:75 *3217:28 3.08014e-05 +110 *1614:75 *3509:175 0.000711226 +111 *1614:75 *3511:147 1.49912e-05 +112 *1614:75 *3517:225 0.000646272 +113 *1614:75 *4813:110 1.67867e-05 +114 *1614:104 *21857:A1 5.1493e-06 +115 *1614:104 *22362:A1 0.000937119 +116 *1614:104 *22613:A1 2.07503e-05 +117 *1614:104 *22613:A2 0.000411725 +118 *1614:104 *22613:B1 5.38136e-06 +119 *1614:104 *22613:B2 1.19721e-05 +120 *1614:104 *22616:C 6.08467e-05 +121 *1614:104 *22695:B1 4.95391e-05 +122 *1614:104 *1645:176 5.46889e-05 +123 *1614:104 *1708:104 0.000941881 +124 *1614:104 *1717:142 0 +125 *1614:104 *2370:8 5.01835e-05 +126 *1614:104 *2451:46 0.000931516 +127 *1614:104 *2776:47 8.3506e-05 +128 *1614:104 *2871:18 0.000154145 +129 *1614:104 *2881:51 0.000345904 +130 *1614:104 *2978:29 0.000568177 +131 *1614:104 *3044:23 8.57421e-05 +132 *1614:104 *3051:21 4.58907e-05 +133 *1614:104 *3278:17 2.69562e-05 +134 *1614:104 *3527:186 6.62712e-05 +135 *1614:104 *3527:207 4.81452e-05 +136 *1614:104 *3541:71 0.000634211 +137 *1614:104 *5908:87 0.000209297 +138 *1614:105 *22297:B1 1.66626e-05 +139 *1614:105 *24764:CLK 6.34651e-06 +140 *1614:105 *24769:CLK 1.25173e-05 +141 *1614:105 *2363:39 0.000516034 +142 *1614:105 *2495:10 0.000165708 +143 *1614:105 *2989:32 5.01835e-05 +144 *1614:105 *5855:149 2.55661e-06 +145 *1614:120 *24769:CLK 0.000145045 +146 *1614:120 *1655:226 0.00035996 +147 *1614:120 *1655:238 0.000158719 +148 *1614:120 *1725:201 0.00042596 +149 *1614:120 *2252:43 6.31593e-05 +150 *1614:120 *2317:20 2.1558e-06 +151 *1614:120 *3305:8 0 +152 *1614:131 *20919:A1 1.71698e-05 +153 *1614:131 *20919:B1 0.000142194 +154 *1614:131 *2148:18 2.60209e-05 +155 *1614:131 *2287:43 0.00195985 +156 *1614:131 *2306:37 0.000142922 +157 *1614:131 *2380:60 5.38612e-06 +158 *1614:131 *4843:251 0.00142505 +159 *1614:131 *4843:289 0.000345947 +160 *1614:131 *4872:74 0 +161 *1614:131 *5861:323 0.000172711 +162 *1614:133 *5861:323 2.53338e-05 +163 *1614:139 *2287:53 0.000321155 +164 *1614:139 *5861:598 5.9982e-05 +165 *19592:A *1614:131 2.99287e-05 +166 *19842:A2 *1614:104 0.000202101 +167 *19864:A1 *19864:A2 9.40212e-05 +168 *21814:A2 *1614:55 0.000298399 +169 *22011:A2 *1614:55 7.60356e-05 +170 *24769:D *1614:120 0.000133831 +171 *476:174 *20319:A1 0.000205596 +172 *480:5 *1614:133 0.000391697 +173 *480:12 *1614:133 0.000160617 +174 *1439:7 *1614:133 0.000835182 +175 *1439:7 *1614:139 0.000164829 +176 *1439:28 *1614:104 0.00118706 +177 *1539:18 *1614:30 3.29488e-05 +178 *1551:162 *1614:120 0.000151225 +179 *1584:49 *1614:30 0.000505293 +180 *1584:49 *1614:36 5.60291e-06 +181 *1610:33 *1614:30 0.000153742 +*RES +1 *19856:X *1614:11 26.3663 +2 *1614:11 *21623:B2 9.24915 +3 *1614:11 *1614:19 10.5857 +4 *1614:19 *1614:30 49.3181 +5 *1614:30 *1614:36 7.47454 +6 *1614:36 *22059:B2 15.0513 +7 *1614:36 *1614:55 44.654 +8 *1614:55 *1614:75 35.6726 +9 *1614:75 *19864:A2 13.8789 +10 *1614:75 *1614:104 49.5984 +11 *1614:104 *1614:105 12.0057 +12 *1614:105 *21435:B2 14.4725 +13 *1614:105 *1614:120 28.4136 +14 *1614:120 *1614:131 46.3177 +15 *1614:131 *1614:133 11.5382 +16 *1614:133 *21251:A 9.24915 +17 *1614:133 *1614:139 5.16022 +18 *1614:139 *20319:A1 22.1574 +19 *1614:139 *20262:A 12.191 +20 *1614:19 *21577:A2 30.8084 +*END + +*D_NET *1615 0.0338218 +*CONN +*I *19864:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22576:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22210:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19857:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19864:B1 2.12923e-05 +2 *22576:A1 0.000531204 +3 *22210:A1 0.00223173 +4 *19857:Y 0.00197188 +5 *1615:59 0.000874306 +6 *1615:20 0.00372474 +7 *1615:16 0.00200368 +8 *1615:8 0.00280436 +9 *19864:B1 *19864:B2 3.31108e-05 +10 *19864:B1 *22613:C1 2.27981e-05 +11 *22210:A1 *21235:B2 0.000231479 +12 *22210:A1 *21630:B1 8.14918e-06 +13 *22210:A1 *22210:A2 5.93806e-05 +14 *22210:A1 *22237:A2 0.000206473 +15 *22210:A1 *22325:A1 4.74323e-05 +16 *22210:A1 *1708:95 9.00714e-07 +17 *22210:A1 *2541:36 0.000126803 +18 *22210:A1 *2888:81 0.00153822 +19 *22210:A1 *2919:20 0.000235684 +20 *22210:A1 *2985:44 0.000461763 +21 *22210:A1 *2996:72 0.000395728 +22 *22210:A1 *3039:46 0.00066794 +23 *22210:A1 *3064:35 0.000139243 +24 *22210:A1 *3173:23 3.79709e-05 +25 *22210:A1 *3196:209 4.97617e-05 +26 *22210:A1 *3222:8 0.000466687 +27 *22210:A1 *3507:156 0.00128994 +28 *22210:A1 *3507:215 0.000612543 +29 *22210:A1 *3511:147 3.51785e-06 +30 *22576:A1 *19864:B2 0.000763074 +31 *22576:A1 *19864:C1 0.000233088 +32 *22576:A1 *22575:B1 0.00017166 +33 *22576:A1 *22576:A2 5.61488e-05 +34 *22576:A1 *22576:B1 5.63104e-05 +35 *22576:A1 *22576:C1 0 +36 *22576:A1 *22613:C1 9.54357e-06 +37 *22576:A1 *2770:68 0.00025456 +38 *22576:A1 *3015:14 1.14055e-05 +39 *22576:A1 *3186:16 5.84784e-05 +40 *22576:A1 *3523:154 0.000112778 +41 *22576:A1 *3523:172 3.03575e-05 +42 *1615:8 *20935:B 7.98171e-06 +43 *1615:8 *21436:B1 0.000310078 +44 *1615:8 *22349:B1 0.000114584 +45 *1615:8 *22684:B1 3.58951e-06 +46 *1615:8 *2421:67 2.28643e-05 +47 *1615:8 *2465:25 0.000158044 +48 *1615:8 *2490:18 6.22114e-05 +49 *1615:8 *2491:13 0.000113968 +50 *1615:8 *3299:21 1.03403e-05 +51 *1615:16 *21279:C1 7.10185e-05 +52 *1615:16 *1645:182 0.0024147 +53 *1615:16 *2337:40 0.000533811 +54 *1615:16 *2343:32 7.4601e-05 +55 *1615:16 *2485:36 0.000629099 +56 *1615:20 *21278:B2 0.000255989 +57 *1615:20 *22281:A2 0.000907858 +58 *1615:20 *2869:46 0.000245692 +59 *1615:20 *2919:20 5.11419e-05 +60 *1615:20 *3039:46 0.000145011 +61 *1615:20 *3146:181 0.000358951 +62 *1615:20 *3527:186 0.000560233 +63 *1615:20 *3527:207 0.000382358 +64 *1615:20 *3533:143 8.92463e-05 +65 *1615:20 *5890:36 0.00103945 +66 *1615:59 *19864:C1 0 +67 *1615:59 *22613:C1 8.82964e-05 +68 *1615:59 *1618:104 0.000128434 +69 *1615:59 *3533:126 0.000436229 +70 *1615:59 *3533:143 7.90734e-05 +71 *19842:A2 *1615:59 0.000508488 +72 *19864:A1 *19864:B1 0 +73 *19864:A1 *22576:A1 0 +74 *20935:A *1615:8 0.000801464 +75 *502:7 *1615:16 0.000660875 +*RES +1 *19857:Y *1615:8 45.8295 +2 *1615:8 *1615:16 46.8836 +3 *1615:16 *1615:20 4.07069 +4 *1615:20 *22210:A1 38.7535 +5 *1615:20 *1615:59 11.8921 +6 *1615:59 *22576:A1 35.7062 +7 *1615:59 *19864:B1 10.503 +*END + +*D_NET *1616 0.0688003 +*CONN +*I *19864:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21765:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22054:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20935:B I *D sky130_fd_sc_hd__or2_1 +*I *21259:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21416:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21294:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21636:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21483:A2 I *D sky130_fd_sc_hd__o2111a_2 +*I *19858:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *19864:B2 0.000792761 +2 *21765:B2 0 +3 *22054:B2 0.00091894 +4 *20935:B 0.000624355 +5 *21259:A2 0.000820609 +6 *21416:B2 0 +7 *21294:A2 6.68275e-05 +8 *21636:A2 0.000159955 +9 *21483:A2 0 +10 *19858:X 0.000909464 +11 *1616:195 0.00154994 +12 *1616:160 0.0017527 +13 *1616:157 0.00149523 +14 *1616:123 0.00289452 +15 *1616:105 0.00147568 +16 *1616:102 0.00167188 +17 *1616:90 0.00342692 +18 *1616:81 0.0012177 +19 *1616:71 0.00232855 +20 *1616:42 0.00471911 +21 *1616:17 0.00392843 +22 *19864:B2 *22230:A2 1.85244e-05 +23 *19864:B2 *22613:C1 7.92757e-06 +24 *19864:B2 *1622:14 0.00114493 +25 *19864:B2 *1655:161 0.000190626 +26 *19864:B2 *2601:40 0.00016201 +27 *19864:B2 *2770:68 0.000123688 +28 *19864:B2 *2986:34 0.000507142 +29 *19864:B2 *3153:204 1.94614e-05 +30 *19864:B2 *3192:170 5.39264e-05 +31 *19864:B2 *3509:189 0.000162826 +32 *20935:B *20936:A 5.89592e-05 +33 *20935:B *1912:10 0.000987813 +34 *20935:B *2421:67 0.000166499 +35 *20935:B *4815:68 0.000979186 +36 *21259:A2 *21259:A1 1.03403e-05 +37 *21259:A2 *22028:B1 0.000233015 +38 *21259:A2 *1912:8 1.0927e-05 +39 *21259:A2 *1912:10 0.000423598 +40 *21259:A2 *2415:64 1.19751e-05 +41 *21259:A2 *2452:36 3.67945e-05 +42 *21259:A2 *4815:68 0.000505013 +43 *21259:A2 *4815:91 4.42234e-05 +44 *21259:A2 *5903:18 2.56476e-05 +45 *21294:A2 *21294:B1 1.17054e-05 +46 *21294:A2 *21294:B2 0.000111802 +47 *21294:A2 *21304:A 9.99867e-06 +48 *21294:A2 *3042:11 0.000256056 +49 *21636:A2 *21636:B1 6.01877e-06 +50 *21636:A2 *1645:94 5.36085e-05 +51 *21636:A2 *2678:17 8.65421e-06 +52 *21636:A2 *3144:152 8.7824e-06 +53 *21636:A2 *3539:27 8.65421e-06 +54 *21636:A2 *4810:66 3.06917e-06 +55 *21636:A2 *5909:38 0.00010558 +56 *22054:B2 *22054:B1 0.00012643 +57 *22054:B2 *22054:C1 8.42265e-05 +58 *22054:B2 *22545:B1 2.57465e-06 +59 *22054:B2 *2117:180 0.000197581 +60 *22054:B2 *2589:53 4.84885e-05 +61 *22054:B2 *2601:58 8.91782e-05 +62 *22054:B2 *2822:12 0.000360372 +63 *22054:B2 *3015:16 0.000207902 +64 *22054:B2 *3120:163 0.000290712 +65 *22054:B2 *3190:144 0.000127132 +66 *22054:B2 *3546:13 0.000177764 +67 *22054:B2 *4813:110 0.000293974 +68 *1616:17 *21592:A 0.000154145 +69 *1616:17 *21592:B 6.36477e-05 +70 *1616:17 *22502:A1 4.91396e-05 +71 *1616:17 *22502:A2 1.51352e-05 +72 *1616:17 *2508:45 0.000926105 +73 *1616:17 *2751:8 0.000104166 +74 *1616:17 *3198:29 1.34424e-05 +75 *1616:17 *3719:26 4.75951e-05 +76 *1616:42 *21193:B2 3.03785e-05 +77 *1616:42 *21372:A2 0.00059079 +78 *1616:42 *22331:B2 6.61114e-05 +79 *1616:42 *22332:A2 3.91685e-05 +80 *1616:42 *22644:A1 0.000611832 +81 *1616:42 *22671:D 0.000139075 +82 *1616:42 *1788:57 0.00174639 +83 *1616:42 *2274:71 1.60312e-05 +84 *1616:42 *2508:45 0.000301209 +85 *1616:42 *2814:24 2.49093e-05 +86 *1616:42 *3052:77 2.11287e-05 +87 *1616:42 *3196:26 2.89163e-05 +88 *1616:42 *3198:23 0.00110573 +89 *1616:42 *3466:47 0.000188848 +90 *1616:42 *3487:133 0.00131947 +91 *1616:42 *3513:26 0.00016329 +92 *1616:42 *3527:54 0.000367176 +93 *1616:42 *4822:80 0.00018248 +94 *1616:71 *21236:B 0.000414533 +95 *1616:71 *21236:C 2.1915e-05 +96 *1616:71 *21236:D 2.02035e-05 +97 *1616:71 *21372:A2 0.000304975 +98 *1616:71 *2631:47 8.08437e-05 +99 *1616:71 *2686:40 3.77568e-05 +100 *1616:71 *2990:62 0.00056582 +101 *1616:71 *3204:165 0.001719 +102 *1616:71 *3238:16 3.37714e-06 +103 *1616:71 *3529:39 6.03237e-05 +104 *1616:71 *3566:11 0.000888714 +105 *1616:71 *4822:80 0.000896746 +106 *1616:81 *21235:A1 3.80436e-07 +107 *1616:81 *21303:B1 0.000203639 +108 *1616:81 *21354:A 2.55661e-06 +109 *1616:81 *21354:C 0 +110 *1616:81 *22690:A1 5.80172e-05 +111 *1616:81 *1645:118 0.000290322 +112 *1616:81 *2288:38 0.000636328 +113 *1616:81 *2336:21 0 +114 *1616:81 *2375:60 0.000405122 +115 *1616:81 *2389:96 1.9101e-05 +116 *1616:90 *21284:A2 7.13655e-06 +117 *1616:90 *21354:A 1.25869e-05 +118 *1616:90 *1647:68 0.000103408 +119 *1616:90 *2375:60 0.000371829 +120 *1616:102 *22723:B2 0.000152445 +121 *1616:102 *2306:56 8.08437e-05 +122 *1616:102 *3301:8 0.000152445 +123 *1616:102 *3316:14 0.000200794 +124 *1616:102 *3663:10 8.42687e-05 +125 *1616:123 *21999:A1 7.6719e-06 +126 *1616:123 *21999:B1 1.03403e-05 +127 *1616:123 *21999:B2 1.65872e-05 +128 *1616:123 *22356:B2 0.000393017 +129 *1616:123 *22676:B1 0.0001025 +130 *1616:123 *24312:CLK 9.43616e-05 +131 *1616:123 *1635:97 0.000300565 +132 *1616:123 *2475:23 1.58551e-05 +133 *1616:123 *3013:16 2.67418e-06 +134 *1616:123 *3313:11 0.000159382 +135 *1616:123 *3316:14 9.17781e-05 +136 *1616:157 *21284:A2 1.64462e-05 +137 *1616:157 *21482:A1 0.000353344 +138 *1616:157 *21482:A2 6.3657e-05 +139 *1616:157 *2117:102 0.000434826 +140 *1616:157 *2375:60 5.88009e-05 +141 *1616:157 *3111:155 0.00164834 +142 *1616:157 *3111:160 0.000624943 +143 *1616:157 *3144:187 0.000196686 +144 *1616:157 *3144:197 0.000986977 +145 *1616:157 *3144:201 7.71538e-05 +146 *1616:160 *2589:53 5.19229e-05 +147 *1616:160 *2822:12 0.000131739 +148 *1616:195 *22608:A1 0.000170638 +149 *1616:195 *1617:50 0.000184697 +150 *1616:195 *1655:135 1.01594e-05 +151 *1616:195 *2344:15 0.000157876 +152 *1616:195 *2601:40 0.000596916 +153 *1616:195 *2986:34 0.000240018 +154 *1616:195 *3202:14 8.45025e-05 +155 *1616:195 *3509:189 0.000169275 +156 *19864:A2 *19864:B2 1.35711e-05 +157 *19864:B1 *19864:B2 3.31108e-05 +158 *20295:A *21259:A2 6.74182e-05 +159 *20935:A *20935:B 0.000255107 +160 *21303:B2 *1616:81 1.67245e-05 +161 *21416:A2_N *1616:105 6.08467e-05 +162 *21416:A2_N *1616:123 3.07095e-05 +163 *21636:B2 *21636:A2 5.36085e-05 +164 *22576:A1 *19864:B2 0.000763074 +165 *482:86 *1616:102 0.0018084 +166 *520:27 *1616:42 0.000136287 +167 *1539:18 *1616:71 6.21488e-06 +168 *1554:49 *1616:81 0.000636328 +169 *1554:113 *21259:A2 0.000325259 +170 *1575:81 *1616:195 0.000585401 +171 *1577:74 *1616:42 0.000422037 +172 *1577:97 *21636:A2 0.000106353 +173 *1588:77 *1616:17 0.000302597 +174 *1588:136 *22054:B2 0.000107496 +175 *1608:43 *1616:71 6.54321e-05 +176 *1614:75 *22054:B2 0.000202101 +177 *1615:8 *20935:B 7.98171e-06 +*RES +1 *19858:X *1616:17 40.4621 +2 *1616:17 *21483:A2 9.24915 +3 *1616:17 *1616:42 33.2446 +4 *1616:42 *21636:A2 20.4728 +5 *1616:42 *1616:71 46.5317 +6 *1616:71 *1616:81 28.3666 +7 *1616:81 *21294:A2 16.691 +8 *1616:81 *1616:90 8.47603 +9 *1616:90 *1616:102 46.9559 +10 *1616:102 *1616:105 5.2234 +11 *1616:105 *21416:B2 9.24915 +12 *1616:105 *1616:123 30.5905 +13 *1616:123 *21259:A2 36.5886 +14 *1616:123 *20935:B 38.2302 +15 *1616:90 *1616:157 49.9474 +16 *1616:157 *1616:160 7.1625 +17 *1616:160 *22054:B2 38.9812 +18 *1616:160 *1616:195 33.0936 +19 *1616:195 *21765:B2 13.7491 +20 *1616:195 *19864:B2 42.8273 +*END + +*D_NET *1617 0.0367524 +*CONN +*I *19863:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22230:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22545:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19859:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19863:A1 0 +2 *22230:A1 6.66582e-05 +3 *22545:B1 0.000562403 +4 *19859:Y 7.87172e-05 +5 *1617:50 0.00145898 +6 *1617:40 0.00180958 +7 *1617:33 0.00202071 +8 *1617:21 0.00254954 +9 *1617:12 0.00231637 +10 *1617:8 0.00101991 +11 *22230:A1 *22576:B2 8.67924e-06 +12 *22230:A1 *22576:C1 2.0567e-05 +13 *22230:A1 *3153:225 1.93301e-05 +14 *22545:B1 *22545:A1 6.08467e-05 +15 *22545:B1 *2117:180 0.00042018 +16 *22545:B1 *2569:16 0.00075756 +17 *22545:B1 *3015:16 9.78496e-06 +18 *22545:B1 *3202:14 1.38521e-05 +19 *22545:B1 *3494:25 2.65667e-05 +20 *1617:8 *2486:83 1.55462e-05 +21 *1617:8 *4816:89 0.000158885 +22 *1617:8 *4843:759 0.000116986 +23 *1617:12 *1635:106 1.66771e-05 +24 *1617:12 *2257:47 0.000115933 +25 *1617:12 *2497:5 0.00384297 +26 *1617:12 *3359:14 2.02035e-05 +27 *1617:12 *4843:307 4.31539e-05 +28 *1617:12 *4843:759 0.00087437 +29 *1617:21 *21436:B1 1.9101e-05 +30 *1617:21 *22721:A2 5.39247e-05 +31 *1617:21 *1618:138 0.00136559 +32 *1617:21 *1618:206 0.000633456 +33 *1617:21 *1645:183 0.000442634 +34 *1617:21 *3051:14 0.00159234 +35 *1617:21 *3051:20 3.13128e-05 +36 *1617:21 *3533:148 0.00209761 +37 *1617:33 *21278:B2 9.6114e-05 +38 *1617:33 *21279:C1 0.000131689 +39 *1617:33 *21857:A1 9.02227e-05 +40 *1617:33 *22283:B2 3.31745e-05 +41 *1617:33 *1618:126 9.09116e-05 +42 *1617:33 *1771:127 8.56161e-05 +43 *1617:33 *1790:144 0.000265716 +44 *1617:33 *2343:32 0.0001351 +45 *1617:33 *2881:51 5.60804e-05 +46 *1617:33 *3010:68 0.000738605 +47 *1617:33 *3010:76 0.000203604 +48 *1617:33 *3222:41 0.000104754 +49 *1617:33 *3241:9 0.000769197 +50 *1617:33 *3527:207 1.20352e-05 +51 *1617:33 *4873:17 0.00165924 +52 *1617:40 *19863:B1 0.000904136 +53 *1617:40 *1618:104 3.82228e-05 +54 *1617:40 *1618:126 2.16355e-05 +55 *1617:40 *2601:40 0.000191818 +56 *1617:40 *3015:14 0.000691994 +57 *1617:40 *3038:17 0.000315549 +58 *1617:40 *3241:9 6.76778e-05 +59 *1617:40 *3546:13 0.000172372 +60 *1617:50 *2117:180 0.00013337 +61 *1617:50 *2344:15 0.000200794 +62 *1617:50 *2601:40 1.43361e-05 +63 *1617:50 *3015:14 0.00105869 +64 *1617:50 *3202:14 0.0002103 +65 *1617:50 *3546:13 0.00107229 +66 *22054:A2 *22545:B1 4.08323e-05 +67 *22054:B2 *22545:B1 2.57465e-06 +68 *476:167 *1617:12 0.000889017 +69 *1551:136 *1617:21 0.000203475 +70 *1575:81 *1617:50 1.88152e-05 +71 *1588:131 *22545:B1 0.000270769 +72 *1596:102 *1617:21 0.000916089 +73 *1616:195 *1617:50 0.000184697 +*RES +1 *19859:Y *1617:8 21.3269 +2 *1617:8 *1617:12 48.8611 +3 *1617:12 *1617:21 46.7747 +4 *1617:21 *1617:33 32.1873 +5 *1617:33 *1617:40 31.3231 +6 *1617:40 *1617:50 38.6229 +7 *1617:50 *22545:B1 31.2536 +8 *1617:40 *22230:A1 15.63 +9 *1617:33 *19863:A1 9.24915 +*END + +*D_NET *1618 0.0640848 +*CONN +*I *21783:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20689:B I *D sky130_fd_sc_hd__or2_1 +*I *21441:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21259:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21294:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19863:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21482:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22017:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21630:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19860:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21783:A2 6.65294e-06 +2 *20689:B 0.00118464 +3 *21441:A2 4.62041e-05 +4 *21259:B2 0.0011905 +5 *21294:B2 0.000687214 +6 *19863:A2 0 +7 *21482:A2 0.000573009 +8 *22017:A2 0.000117293 +9 *21630:B2 0.000634999 +10 *19860:X 0.000731724 +11 *1618:206 0.00218271 +12 *1618:149 0.00342145 +13 *1618:138 0.00343859 +14 *1618:137 0.00216236 +15 *1618:126 0.00271069 +16 *1618:104 0.00315122 +17 *1618:61 0.00370189 +18 *1618:56 0.00232595 +19 *1618:35 0.0011359 +20 *1618:18 0.001535 +21 *20689:B *21441:A1 5.22983e-05 +22 *20689:B *24767:RESET_B 1.91114e-05 +23 *20689:B *1912:10 0.000136768 +24 *20689:B *2257:47 0.000786353 +25 *20689:B *4815:68 0.000140365 +26 *20689:B *5093:7 6.46269e-05 +27 *20689:B *5862:454 0.000215292 +28 *21259:B2 *21630:B1 2.04181e-05 +29 *21259:B2 *22028:B2 1.66626e-05 +30 *21259:B2 *22359:B 8.77951e-05 +31 *21259:B2 *22395:A1 2.02035e-05 +32 *21259:B2 *22399:B 0.000209297 +33 *21259:B2 *22718:B2 0.000201117 +34 *21259:B2 *2252:43 0.00011195 +35 *21259:B2 *2274:95 0.000779179 +36 *21259:B2 *2415:64 0.00132175 +37 *21259:B2 *2454:23 9.24828e-05 +38 *21259:B2 *2949:71 0.000101889 +39 *21259:B2 *3122:115 0.000427283 +40 *21259:B2 *3153:137 3.30691e-05 +41 *21294:B2 *21180:A1 1.9101e-05 +42 *21294:B2 *21294:A1 6.08467e-05 +43 *21294:B2 *21294:B1 6.60981e-05 +44 *21294:B2 *22718:B2 0.000226131 +45 *21294:B2 *22724:A 9.48222e-05 +46 *21294:B2 *2093:136 8.70824e-05 +47 *21294:B2 *2245:34 9.00098e-05 +48 *21294:B2 *2297:86 0.000135978 +49 *21294:B2 *2354:47 0.000387391 +50 *21294:B2 *2415:64 1.60286e-05 +51 *21294:B2 *2472:27 3.27099e-05 +52 *21294:B2 *2949:71 5.49494e-05 +53 *21294:B2 *3042:11 0.00110728 +54 *21294:B2 *3543:75 3.38973e-05 +55 *21441:A2 *21441:A1 4.29218e-06 +56 *21441:A2 *2498:23 8.67924e-06 +57 *21441:A2 *2498:29 2.53145e-06 +58 *21482:A2 *21482:A1 0.00025175 +59 *21482:A2 *2539:10 5.481e-05 +60 *21482:A2 *2589:53 7.97474e-05 +61 *21482:A2 *2822:12 7.72394e-06 +62 *21482:A2 *2883:64 1.60381e-05 +63 *21482:A2 *2918:20 0.000411593 +64 *21482:A2 *3022:45 7.57371e-05 +65 *21482:A2 *3122:173 0.000153427 +66 *21482:A2 *3144:201 3.31745e-05 +67 *21482:A2 *3509:175 0.000151128 +68 *21482:A2 *3511:147 0.000113478 +69 *21630:B2 *21630:B1 5.0391e-05 +70 *21783:A2 *1771:104 1.91391e-05 +71 *21783:A2 *2850:42 1.10793e-05 +72 *22017:A2 *22017:A1 6.829e-05 +73 *22017:A2 *22017:B1 4.31603e-06 +74 *22017:A2 *22017:C1 0 +75 *22017:A2 *3069:37 1.41976e-05 +76 *1618:18 *21902:A 5.65354e-05 +77 *1618:18 *22054:C1 0 +78 *1618:18 *1641:158 0.000477802 +79 *1618:18 *1771:83 0.000178215 +80 *1618:18 *1788:115 5.65354e-05 +81 *1618:18 *2697:26 4.57556e-05 +82 *1618:18 *3067:26 2.17685e-05 +83 *1618:18 *3146:116 1.41976e-05 +84 *1618:18 *3146:128 1.41853e-05 +85 *1618:18 *3523:127 5.52239e-05 +86 *1618:35 *1771:83 0.000159758 +87 *1618:35 *2850:53 8.60109e-05 +88 *1618:56 *1771:83 0.000201656 +89 *1618:56 *1771:104 0.000541028 +90 *1618:56 *2799:8 6.04912e-06 +91 *1618:56 *2850:42 0.000693865 +92 *1618:56 *2850:53 0.000241288 +93 *1618:56 *3493:130 0.000125485 +94 *1618:56 *3705:17 9.96301e-05 +95 *1618:61 *20040:B2 4.63733e-05 +96 *1618:61 *21782:A1 0.000692834 +97 *1618:61 *22231:A2 5.96052e-05 +98 *1618:61 *22231:B1 0.00115837 +99 *1618:61 *22596:B1 0.000118707 +100 *1618:61 *1634:45 1.12924e-05 +101 *1618:61 *1790:100 3.51034e-05 +102 *1618:61 *2780:25 0.00124162 +103 *1618:61 *3537:164 0.000951254 +104 *1618:104 *19864:C1 0 +105 *1618:104 *19895:A2 0.000350682 +106 *1618:104 *22576:B1 8.29778e-05 +107 *1618:104 *22613:C1 1.3164e-05 +108 *1618:104 *22629:A2 2.1203e-06 +109 *1618:104 *22629:B1 0.000206333 +110 *1618:104 *1688:75 4.92144e-06 +111 *1618:104 *2541:24 0.000406476 +112 *1618:104 *2776:48 1.85244e-05 +113 *1618:104 *2918:20 0.000294436 +114 *1618:104 *3038:23 1.38715e-05 +115 *1618:104 *3153:204 0.000379259 +116 *1618:104 *3186:16 5.53046e-05 +117 *1618:104 *3523:154 6.26203e-05 +118 *1618:104 *3575:17 7.22263e-05 +119 *1618:126 *21875:A1 0.000165662 +120 *1618:126 *22281:A2 3.99086e-06 +121 *1618:126 *22281:C1 2.36617e-05 +122 *1618:126 *22283:B2 0.000487796 +123 *1618:126 *1647:121 0.000164965 +124 *1618:126 *2869:46 0.00016581 +125 *1618:126 *2886:19 5.93884e-06 +126 *1618:126 *2906:8 2.38923e-05 +127 *1618:126 *3010:76 3.73375e-05 +128 *1618:126 *3146:157 5.1493e-06 +129 *1618:126 *3527:207 1.15895e-05 +130 *1618:137 *22286:A1 0.000154145 +131 *1618:137 *22371:C1 6.45203e-05 +132 *1618:137 *22372:A 5.36085e-05 +133 *1618:137 *22704:A1 7.63448e-05 +134 *1618:137 *2373:51 0.000245663 +135 *1618:137 *3051:21 6.2497e-05 +136 *1618:137 *3179:224 0.000106312 +137 *1618:137 *3527:207 0.00054054 +138 *1618:137 *3541:63 5.43327e-05 +139 *1618:137 *3541:71 8.49748e-05 +140 *1618:137 *3646:23 3.82228e-05 +141 *1618:149 *22722:B1 0.00127523 +142 *1618:149 *2246:30 0.000254957 +143 *1618:149 *2250:55 5.4678e-05 +144 *1618:149 *2252:43 2.40234e-05 +145 *1618:149 *2254:17 5.01835e-05 +146 *1618:149 *2297:86 0.000560292 +147 *1618:149 *2367:65 0.000214566 +148 *1618:149 *2417:82 0.00241472 +149 *1618:206 *20206:B 3.0332e-05 +150 *1618:206 *21436:A1 2.65667e-05 +151 *1618:206 *21442:B 0.000111708 +152 *1618:206 *1645:183 2.41483e-05 +153 *1618:206 *2480:8 0.000106543 +154 *1618:206 *2490:18 1.55027e-05 +155 *1618:206 *2498:23 7.23423e-05 +156 *1618:206 *2498:29 0.000175325 +157 *1618:206 *2498:35 5.88657e-05 +158 *19832:A1 *1618:35 0.000107496 +159 *19832:A2 *21630:B2 0.000211326 +160 *19832:C1 *1618:18 4.50856e-05 +161 *19832:C1 *1618:35 1.02986e-05 +162 *20689:A *20689:B 0.000422256 +163 *21294:A2 *21294:B2 0.000111802 +164 *21441:B2 *20689:B 5.51483e-06 +165 *21441:B2 *21441:A2 6.36477e-05 +166 *21441:B2 *1618:206 9.68627e-06 +167 *22017:B2 *22017:A2 3.31745e-05 +168 *22053:A2 *1618:35 5.04829e-06 +169 *1538:17 *1618:18 0.000179233 +170 *1550:101 *1618:18 0.000114488 +171 *1561:88 *1618:18 0.000333109 +172 *1564:171 *21259:B2 3.22466e-05 +173 *1584:95 *21630:B2 6.24656e-06 +174 *1584:105 *21630:B2 0.000236892 +175 *1586:77 *1618:35 8.4597e-05 +176 *1586:77 *1618:56 3.72323e-05 +177 *1591:7 *20689:B 0.000644953 +178 *1591:9 *20689:B 9.95234e-05 +179 *1596:65 *1618:18 2.89783e-06 +180 *1596:102 *1618:149 0.000217293 +181 *1602:71 *1618:56 0.000160194 +182 *1614:75 *21482:A2 0.00072172 +183 *1614:75 *1618:104 0.000727143 +184 *1615:59 *1618:104 0.000128434 +185 *1616:157 *21482:A2 6.3657e-05 +186 *1617:21 *1618:138 0.00136559 +187 *1617:21 *1618:206 0.000633456 +188 *1617:33 *1618:126 9.09116e-05 +189 *1617:40 *1618:104 3.82228e-05 +190 *1617:40 *1618:126 2.16355e-05 +*RES +1 *19860:X *1618:18 34.1457 +2 *1618:18 *21630:B2 23.7802 +3 *1618:18 *1618:35 13.942 +4 *1618:35 *22017:A2 16.7392 +5 *1618:35 *1618:56 25.8556 +6 *1618:56 *1618:61 7.96402 +7 *1618:61 *21482:A2 25.0617 +8 *1618:61 *1618:104 35.5952 +9 *1618:104 *19863:A2 9.24915 +10 *1618:104 *1618:126 34.5573 +11 *1618:126 *1618:137 36.7694 +12 *1618:137 *1618:138 15.6977 +13 *1618:138 *1618:149 16.3716 +14 *1618:149 *21294:B2 36.7951 +15 *1618:149 *21259:B2 31.4497 +16 *1618:138 *1618:206 27.4995 +17 *1618:206 *21441:A2 10.9612 +18 *1618:206 *20689:B 46.8092 +19 *1618:56 *21783:A2 14.1278 +*END + +*D_NET *1619 0.0557543 +*CONN +*I *19863:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22220:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19861:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19863:B1 0.00107931 +2 *22220:B1 6.55715e-06 +3 *19861:Y 0.00209711 +4 *1619:62 0.00272126 +5 *1619:58 0.00421373 +6 *1619:51 0.00371556 +7 *1619:44 0.00199174 +8 *1619:28 0.00192816 +9 *1619:26 0.00272232 +10 *1619:16 0.00246031 +11 *1619:8 0.00358871 +12 *1619:7 0.00487417 +13 *19863:B1 *21278:B2 6.03122e-05 +14 *19863:B1 *2566:28 0.0016296 +15 *19863:B1 *2601:40 4.70498e-05 +16 *19863:B1 *2602:32 2.97235e-05 +17 *19863:B1 *2826:19 0.000206333 +18 *19863:B1 *2918:16 5.17138e-05 +19 *19863:B1 *3015:14 6.14756e-06 +20 *19863:B1 *3134:145 2.05082e-05 +21 *19863:B1 *3134:168 0.0015162 +22 *19863:B1 *3241:9 0.000934888 +23 *22220:B1 *1644:10 2.02035e-05 +24 *22220:B1 *1658:86 1.1573e-05 +25 *1619:7 *4830:17 0.000164829 +26 *1619:7 *4841:217 9.80586e-05 +27 *1619:8 *20014:A 7.56859e-06 +28 *1619:8 *20466:B1 0.0012286 +29 *1619:8 *20471:A1 6.57953e-05 +30 *1619:8 *20471:B2 0.000169078 +31 *1619:8 *21285:A 7.55575e-05 +32 *1619:8 *21949:A 9.7418e-05 +33 *1619:8 *24619:SET_B 5.0459e-05 +34 *1619:8 *24637:SET_B 0.000116986 +35 *1619:8 *1707:8 0 +36 *1619:8 *1770:8 0 +37 *1619:8 *1884:137 0.000393344 +38 *1619:8 *1884:208 0.000259992 +39 *1619:8 *1967:10 0 +40 *1619:8 *1967:67 0 +41 *1619:8 *1968:38 0 +42 *1619:8 *2963:8 0 +43 *1619:8 *2963:17 0 +44 *1619:8 *4838:186 0 +45 *1619:8 *4838:190 0 +46 *1619:8 *4838:205 0.000102632 +47 *1619:8 *5203:10 0.000143032 +48 *1619:8 *5207:8 4.12119e-05 +49 *1619:8 *5673:9 0 +50 *1619:8 *5866:279 0.000345155 +51 *1619:8 *5866:557 0.000120279 +52 *1619:8 *5866:563 0.000334838 +53 *1619:16 *20395:A 6.08467e-05 +54 *1619:16 *1884:137 0.000305756 +55 *1619:16 *2369:17 0.000389195 +56 *1619:16 *2950:20 0.000111623 +57 *1619:16 *2950:22 1.16596e-05 +58 *1619:16 *2963:20 3.22726e-05 +59 *1619:26 *20754:B2 1.35423e-05 +60 *1619:26 *21495:A 6.08467e-05 +61 *1619:26 *21780:A 0.000110567 +62 *1619:26 *24388:CLK 0.00113445 +63 *1619:26 *24402:RESET_B 3.38355e-06 +64 *1619:26 *24402:CLK 8.07316e-06 +65 *1619:26 *2552:10 0.000317693 +66 *1619:26 *2796:7 6.49003e-05 +67 *1619:26 *4842:36 5.8985e-05 +68 *1619:28 *20754:B2 4.76266e-05 +69 *1619:28 *1829:106 0.00254162 +70 *1619:28 *2058:11 0.000212506 +71 *1619:28 *2058:14 0.000309326 +72 *1619:28 *2058:18 0.000270732 +73 *1619:28 *2231:6 0.00122641 +74 *1619:28 *3860:22 1.3807e-05 +75 *1619:28 *4842:36 5.95709e-05 +76 *1619:44 *20717:A1 2.65667e-05 +77 *1619:44 *20717:B1 0.000115722 +78 *1619:44 *21557:A 1.38414e-05 +79 *1619:44 *1809:142 6.85778e-05 +80 *1619:44 *1829:105 3.65971e-05 +81 *1619:44 *2654:10 0.000479045 +82 *1619:44 *3069:6 1.2366e-05 +83 *1619:44 *3860:43 0 +84 *1619:44 *3860:47 4.41269e-05 +85 *1619:44 *4374:48 0.000110477 +86 *1619:44 *4700:30 5.8969e-05 +87 *1619:44 *5458:88 8.04747e-05 +88 *1619:44 *5868:251 0.000192395 +89 *1619:44 *5868:259 0.000281933 +90 *1619:51 *20988:B2 0.000139435 +91 *1619:51 *24276:CLK 7.41203e-05 +92 *1619:51 *2168:6 0.000192472 +93 *1619:51 *2765:17 0.000376461 +94 *1619:51 *3069:6 0.00150882 +95 *1619:51 *4700:30 6.76842e-05 +96 *1619:51 *4700:32 0.000139068 +97 *1619:51 *4932:163 0.000113 +98 *1619:51 *5037:8 0.000115772 +99 *1619:58 *20389:A1 0.000400335 +100 *1619:58 *20389:A2 3.31745e-05 +101 *1619:58 *20389:B1 7.92757e-06 +102 *1619:58 *20389:B2 2.57986e-05 +103 *1619:58 *2075:19 6.51527e-05 +104 *1619:58 *2697:19 6.51527e-05 +105 *1619:58 *2765:17 0.000380875 +106 *1619:62 *19959:A 0.000131495 +107 *1619:62 *1644:10 0.000361761 +108 *1619:62 *1658:86 9.41206e-05 +109 *1619:62 *1721:167 7.5301e-06 +110 *1619:62 *2819:58 0.000100716 +111 *19842:A2 *19863:B1 6.28341e-06 +112 *24402:D *1619:26 7.89747e-05 +113 *24593:D *1619:8 4.01437e-05 +114 *24619:D *1619:8 9.6321e-06 +115 *1418:203 *1619:62 0.00126766 +116 *1550:32 *1619:62 0.000107496 +117 *1566:91 *1619:58 0.000150478 +118 *1594:43 *1619:51 0.000154145 +119 *1617:40 *19863:B1 0.000904136 +*RES +1 *19861:Y *1619:7 43.8665 +2 *1619:7 *1619:8 86.5434 +3 *1619:8 *1619:16 27.978 +4 *1619:16 *1619:26 42.6665 +5 *1619:26 *1619:28 50.0501 +6 *1619:28 *1619:44 32.8184 +7 *1619:44 *1619:51 44.6808 +8 *1619:51 *1619:58 47.4667 +9 *1619:58 *1619:62 34.2908 +10 *1619:62 *22220:B1 14.1278 +11 *1619:62 *19863:B1 36.9683 +*END + +*D_NET *1620 0.0819109 +*CONN +*I *21320:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22023:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21429:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20586:B I *D sky130_fd_sc_hd__or2_1 +*I *21198:B I *D sky130_fd_sc_hd__or2_1 +*I *21611:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *19863:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21511:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21875:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19862:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21320:A2 0.000672717 +2 *22023:B2 0.00106519 +3 *21429:A2 0.000310648 +4 *20586:B 0.000174205 +5 *21198:B 8.87267e-05 +6 *21611:A2 0.000417955 +7 *19863:B2 0.000108129 +8 *21511:A2 0.000538923 +9 *21875:A2 0 +10 *19862:X 0.000382635 +11 *1620:153 0.00166322 +12 *1620:143 0.00258235 +13 *1620:141 0.00117338 +14 *1620:136 0.000716142 +15 *1620:124 0.000828862 +16 *1620:113 0.00183607 +17 *1620:107 0.00330056 +18 *1620:93 0.00379965 +19 *1620:60 0.00487909 +20 *1620:35 0.00324146 +21 *1620:31 0.00347008 +22 *1620:11 0.0034571 +23 *1620:10 0.0010578 +24 *19863:B2 *2541:24 8.90266e-05 +25 *19863:B2 *3038:17 8.82964e-05 +26 *19863:B2 *3527:186 5.60804e-05 +27 *19863:B2 *4873:17 5.60804e-05 +28 *20586:B *1884:117 6.08467e-05 +29 *20586:B *1884:121 5.60101e-05 +30 *20586:B *4897:65 2.42138e-05 +31 *20586:B *4897:67 0.000258142 +32 *21198:B *21429:B2 5.481e-05 +33 *21320:A2 *22657:B2 0.00012858 +34 *21320:A2 *2273:18 8.39604e-05 +35 *21320:A2 *2511:9 4.28376e-05 +36 *21320:A2 *2596:18 0.000250026 +37 *21320:A2 *2630:16 0.00044762 +38 *21320:A2 *3151:40 0.000546741 +39 *21320:A2 *3487:118 3.85185e-05 +40 *21320:A2 *3491:46 7.46136e-05 +41 *21429:A2 *21429:A1 0.000106529 +42 *21429:A2 *2257:17 1.91023e-05 +43 *21429:A2 *2380:20 5.11321e-05 +44 *21429:A2 *3131:206 0.000353672 +45 *21511:A2 *21511:A1 1.03403e-05 +46 *21511:A2 *22060:A1 0.000255409 +47 *21511:A2 *22060:C1 0.000285896 +48 *21511:A2 *22062:C 0.000158451 +49 *21511:A2 *3026:44 1.85355e-05 +50 *21511:A2 *3190:144 0.000161234 +51 *21611:A2 *21315:A1 1.82679e-05 +52 *21611:A2 *21611:A1 1.47978e-05 +53 *21611:A2 *21611:B1 2.49891e-06 +54 *21611:A2 *21611:C1 1.99134e-05 +55 *22023:B2 *22023:A1 0.000187417 +56 *22023:B2 *22024:C1 3.40329e-05 +57 *22023:B2 *1725:181 9.58337e-05 +58 *22023:B2 *1742:93 0.000133572 +59 *22023:B2 *2293:16 1.23967e-05 +60 *22023:B2 *2738:13 0.00118788 +61 *22023:B2 *2826:19 5.95368e-05 +62 *22023:B2 *2919:20 0.000119142 +63 *22023:B2 *2986:17 8.49098e-05 +64 *22023:B2 *3146:200 0.000298241 +65 *1620:10 *21456:A1 0.000171232 +66 *1620:10 *21590:A 0.000108721 +67 *1620:10 *21909:B1 1.54703e-05 +68 *1620:10 *1802:98 6.85631e-05 +69 *1620:10 *2502:59 0.000636347 +70 *1620:10 *2577:58 0 +71 *1620:10 *2613:109 0.000158815 +72 *1620:11 *21589:A1 1.78704e-05 +73 *1620:11 *21589:A2 0.000265917 +74 *1620:11 *21590:D 6.50586e-05 +75 *1620:11 *22660:A1 2.16355e-05 +76 *1620:11 *22660:A2 2.57986e-05 +77 *1620:11 *22660:B2 0.000205101 +78 *1620:11 *2511:9 1.99543e-06 +79 *1620:11 *2511:13 0.000119242 +80 *1620:11 *2576:85 1.30449e-05 +81 *1620:11 *3491:46 0.00154354 +82 *1620:11 *3498:119 0.000349336 +83 *1620:31 *21589:B1 0.00124878 +84 *1620:31 *21882:A1 0.000879496 +85 *1620:31 *22269:B1 0.00121597 +86 *1620:31 *1631:34 6.03237e-05 +87 *1620:31 *1688:91 0.000227264 +88 *1620:31 *2286:10 7.58517e-05 +89 *1620:31 *2503:124 0.00203867 +90 *1620:31 *2572:60 0.0011666 +91 *1620:31 *2581:61 7.58517e-05 +92 *1620:31 *2975:50 0.000123692 +93 *1620:31 *3106:42 0.000123388 +94 *1620:31 *3498:132 0.000104754 +95 *1620:31 *3502:105 3.17436e-05 +96 *1620:31 *3509:42 0.000235762 +97 *1620:35 *21641:B2 8.08437e-05 +98 *1620:35 *22288:A1 6.05932e-05 +99 *1620:35 *22600:A2 0.000162268 +100 *1620:35 *22601:B1 0.000141277 +101 *1620:35 *22606:A1 3.33418e-05 +102 *1620:35 *22607:C1 0.000125206 +103 *1620:35 *22625:A1 1.44999e-05 +104 *1620:35 *2286:36 4.28608e-06 +105 *1620:35 *2286:63 0.000138722 +106 *1620:35 *2777:28 0.000116244 +107 *1620:35 *2777:60 0.000489935 +108 *1620:35 *2827:49 8.33275e-05 +109 *1620:35 *2827:57 0.000192574 +110 *1620:35 *2857:32 5.04829e-06 +111 *1620:35 *2930:67 2.57465e-06 +112 *1620:35 *3136:208 0.000149366 +113 *1620:35 *3196:185 5.4678e-05 +114 *1620:35 *3471:203 0.000340351 +115 *1620:35 *3491:226 0.00011503 +116 *1620:35 *4817:161 5.01835e-05 +117 *1620:60 *21235:B2 0.000131663 +118 *1620:60 *22263:B2 0.000116287 +119 *1620:60 *22271:A 2.82171e-06 +120 *1620:60 *22271:B 1.27402e-05 +121 *1620:60 *22271:C 0.000156397 +122 *1620:60 *22271:D 0.000163157 +123 *1620:60 *22288:C1 0.000131406 +124 *1620:60 *2589:53 0.000378913 +125 *1620:60 *2601:58 3.74433e-05 +126 *1620:60 *2869:51 1.09631e-05 +127 *1620:60 *2888:81 0.000104754 +128 *1620:60 *3020:56 0.000134468 +129 *1620:60 *3026:35 1.47322e-05 +130 *1620:60 *3044:41 2.27118e-06 +131 *1620:60 *3064:44 4.17837e-05 +132 *1620:60 *3120:163 0.000197413 +133 *1620:60 *3126:75 0.000110675 +134 *1620:60 *3139:200 5.88009e-05 +135 *1620:60 *3217:25 0.000378982 +136 *1620:60 *3284:10 9.64335e-05 +137 *1620:60 *3493:73 0.000158869 +138 *1620:60 *5457:13 0.000771963 +139 *1620:93 *22029:B1 3.29923e-05 +140 *1620:93 *22263:B2 0.000542679 +141 *1620:93 *22609:B1 3.77699e-05 +142 *1620:93 *22619:B1 0.000409657 +143 *1620:93 *1790:100 0.000406879 +144 *1620:93 *2589:53 9.18679e-06 +145 *1620:93 *2601:58 0.000155131 +146 *1620:93 *2985:44 0 +147 *1620:93 *2996:72 0.000836163 +148 *1620:93 *3038:23 0.00066457 +149 *1620:93 *3120:163 6.49725e-06 +150 *1620:93 *3190:144 0.000210059 +151 *1620:93 *3527:186 0.00021968 +152 *1620:107 *21278:B2 5.09941e-05 +153 *1620:107 *22612:B1 0.000933779 +154 *1620:107 *1790:180 3.82527e-05 +155 *1620:107 *2919:20 0.0006977 +156 *1620:107 *2956:18 0.000679924 +157 *1620:107 *3039:46 0.000214158 +158 *1620:107 *3146:200 0.000413363 +159 *1620:107 *3527:186 9.73471e-05 +160 *1620:107 *4873:17 0.000434645 +161 *1620:113 *21095:B2 0.000535045 +162 *1620:113 *1658:99 0.00116552 +163 *1620:113 *1725:181 5.47204e-05 +164 *1620:113 *2293:38 0.000462576 +165 *1620:113 *2340:24 3.43627e-05 +166 *1620:113 *2416:16 0.000157258 +167 *1620:113 *2659:17 0.00320315 +168 *1620:113 *2729:20 0.000187131 +169 *1620:113 *4823:24 0.000160855 +170 *1620:124 *21289:A1 0.000297393 +171 *1620:124 *2416:16 0.000550601 +172 *1620:124 *4823:24 9.99123e-05 +173 *1620:136 *2416:16 0.00014892 +174 *1620:136 *3131:206 0.000294093 +175 *1620:136 *4823:24 5.27278e-05 +176 *1620:141 *21361:A 4.31485e-06 +177 *1620:141 *2264:16 8.59228e-05 +178 *1620:141 *2349:12 1.66088e-05 +179 *1620:143 *21361:A 0.000177425 +180 *1620:143 *2264:16 3.73904e-05 +181 *1620:143 *2287:16 0.00277673 +182 *1620:143 *2349:12 1.5254e-05 +183 *1620:143 *2362:8 0.00255549 +184 *1620:143 *5224:6 0.000113471 +185 *1620:153 *20781:A2 3.14544e-05 +186 *1620:153 *20782:B2 0 +187 *1620:153 *20784:B2 0.000974701 +188 *1620:153 *21300:A 0.000116544 +189 *1620:153 *2077:29 4.3116e-06 +190 *1620:153 *2347:36 2.75427e-05 +191 *1620:153 *2375:12 7.20173e-06 +192 *1620:153 *2380:8 0 +193 *1620:153 *2416:10 0.000148998 +194 *1620:153 *5017:9 2.51446e-05 +195 *1620:153 *5867:499 0.000164843 +196 *1620:153 *5867:514 3.31733e-05 +197 *1620:153 *5921:72 8.55661e-05 +198 *21315:B2 *21611:A2 1.01044e-05 +199 *21875:B2 *1620:60 9.95922e-06 +200 *489:78 *22023:B2 9.02731e-05 +201 *1443:60 *1620:31 6.04636e-05 +202 *1542:65 *1620:60 8.97566e-06 +203 *1542:86 *1620:35 0.000302705 +204 *1542:86 *1620:60 7.74853e-06 +205 *1551:172 *22023:B2 0.000350781 +206 *1558:42 *1620:35 2.36416e-05 +207 *1584:69 *1620:60 0.000294774 +208 *1584:95 *1620:60 5.88009e-05 +209 *1609:22 *22023:B2 1.57186e-05 +*RES +1 *19862:X *1620:10 30.924 +2 *1620:10 *1620:11 23.4621 +3 *1620:11 *1620:31 23.6507 +4 *1620:31 *1620:35 39.9342 +5 *1620:35 *21875:A2 9.24915 +6 *1620:35 *1620:60 36.882 +7 *1620:60 *21511:A2 26.2157 +8 *1620:60 *1620:93 29.8095 +9 *1620:93 *19863:B2 19.2457 +10 *1620:93 *1620:107 5.54672 +11 *1620:107 *1620:113 11.5554 +12 *1620:113 *21611:A2 19.898 +13 *1620:113 *1620:124 11.798 +14 *1620:124 *21198:B 15.5817 +15 *1620:124 *1620:136 11.0742 +16 *1620:136 *1620:141 6.85717 +17 *1620:141 *1620:143 53.1156 +18 *1620:143 *1620:153 49.9768 +19 *1620:153 *20586:B 18.9576 +20 *1620:136 *21429:A2 24.5474 +21 *1620:107 *22023:B2 32.3649 +22 *1620:11 *21320:A2 36.2743 +*END + +*D_NET *1621 0.00147759 +*CONN +*I *19864:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19863:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19864:C1 0.00039311 +2 *19863:X 0.00039311 +3 *19864:C1 *22613:C1 6.88088e-06 +4 *19864:C1 *3038:17 0.00016553 +5 *19864:C1 *3038:23 0.000285868 +6 *22576:A1 *19864:C1 0.000233088 +7 *1615:59 *19864:C1 0 +8 *1618:104 *19864:C1 0 +*RES +1 *19863:X *19864:C1 37.8179 +*END + +*D_NET *1622 0.00602468 +*CONN +*I *19865:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *19864:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19865:D1 0.000258078 +2 *19864:X 0.00153242 +3 *1622:14 0.0017905 +4 *1622:14 *19908:C 3.57916e-05 +5 *1622:14 *22613:C1 9.80242e-07 +6 *1622:14 *2770:68 0.000140299 +7 *1622:14 *2843:31 7.13677e-05 +8 *1622:14 *3535:14 3.31745e-05 +9 *19842:A1 *1622:14 0.000520803 +10 *19864:A2 *1622:14 0.000200794 +11 *19864:B2 *1622:14 0.00114493 +12 *19865:A1 *19865:D1 1.34424e-05 +13 *19865:A2 *19865:D1 1.92336e-05 +14 *19865:A2 *1622:14 4.7918e-05 +15 *19865:B1 *19865:D1 2.12513e-05 +16 *19865:C1 *19865:D1 5.78044e-05 +17 *1600:19 *19865:D1 0.000111708 +18 *1600:19 *1622:14 2.41921e-05 +*RES +1 *19864:X *1622:14 48.7223 +2 *1622:14 *19865:D1 13.264 +*END + +*D_NET *1623 0.00446952 +*CONN +*I *19908:C I *D sky130_fd_sc_hd__and4_1 +*I *19865:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *19908:C 0.000125083 +2 *19865:X 0.000623717 +3 *1623:18 0.0007488 +4 *1623:18 *19907:B 1.58551e-05 +5 *1623:18 *1720:20 0.0001206 +6 *1623:18 *1721:162 4.01832e-05 +7 *1623:18 *2764:71 0.000250244 +8 *1623:18 *2765:52 0.000211761 +9 *1623:18 *3531:171 0.000859731 +10 *19865:B1 *1623:18 0.000352146 +11 *19865:C1 *1623:18 0.000735478 +12 *1595:75 *1623:18 5.14946e-05 +13 *1596:92 *1623:18 1.88014e-05 +14 *1600:19 *1623:18 0.000250254 +15 *1611:8 *1623:18 2.95757e-05 +16 *1622:14 *19908:C 3.57916e-05 +*RES +1 *19865:X *1623:18 47.1994 +2 *1623:18 *19908:C 15.5817 +*END + +*D_NET *1624 0.0279126 +*CONN +*I *22413:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *19875:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19866:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22413:A1 0.000489676 +2 *19875:A1 0.000775743 +3 *19866:Y 0.00138989 +4 *1624:62 0.00189413 +5 *1624:38 0.00242102 +6 *1624:23 0.00278033 +7 *1624:15 0.00392941 +8 *19875:A1 *1627:171 3.0577e-05 +9 *19875:A1 *1729:35 0.00170508 +10 *19875:A1 *1733:75 4.64292e-05 +11 *19875:A1 *2553:66 6.51637e-05 +12 *22413:A1 *22413:A2 6.3657e-05 +13 *22413:A1 *1808:87 1.41976e-05 +14 *22413:A1 *2593:24 6.0269e-05 +15 *22413:A1 *2807:26 8.29362e-05 +16 *22413:A1 *3164:113 0.000203254 +17 *22413:A1 *3513:143 0.000203254 +18 *22413:A1 *5904:77 0.00101965 +19 *1624:15 *21486:A 0.000298318 +20 *1624:15 *24319:CLK 0.000397628 +21 *1624:15 *24419:CLK 6.08467e-05 +22 *1624:15 *2616:13 0.000247443 +23 *1624:15 *2616:28 2.94865e-05 +24 *1624:15 *5867:21 0.000128436 +25 *1624:15 *5867:32 6.28222e-05 +26 *1624:23 *20932:B1 0.000306467 +27 *1624:23 *1729:51 8.41257e-05 +28 *1624:23 *1947:70 0.000106543 +29 *1624:23 *2604:28 0.000384027 +30 *1624:23 *3069:6 0.00022793 +31 *1624:23 *5866:33 0.000127194 +32 *1624:23 *5866:45 0.000521391 +33 *1624:38 *20680:A2 0.000107496 +34 *1624:38 *20861:A 4.89898e-06 +35 *1624:38 *21614:A 2.65667e-05 +36 *1624:38 *24357:RESET_B 1.59857e-05 +37 *1624:38 *24357:CLK 1.91244e-05 +38 *1624:38 *1729:51 0.000381223 +39 *1624:38 *2661:7 0.00121489 +40 *1624:38 *2765:21 0.000167076 +41 *1624:38 *2765:48 0.000156955 +42 *1624:38 *2795:12 0.00017393 +43 *1624:38 *5861:97 7.92757e-06 +44 *1624:62 *20529:A 3.55968e-05 +45 *1624:62 *20535:A2 4.49912e-05 +46 *1624:62 *20535:B1 0.000170749 +47 *1624:62 *20865:B1 0.000128521 +48 *1624:62 *21486:A 4.88955e-05 +49 *1624:62 *21615:A 6.50586e-05 +50 *1624:62 *24358:CLK 0.000156548 +51 *1624:62 *1625:106 0.000245604 +52 *1624:62 *1776:61 9.9329e-05 +53 *1624:62 *1776:79 6.51527e-05 +54 *1624:62 *2608:26 0 +55 *1624:62 *2614:28 0.000150887 +56 *1624:62 *2614:35 7.68073e-05 +57 *1624:62 *2616:13 0.000159065 +58 *1624:62 *2672:40 0.000196743 +59 *1624:62 *3028:20 0 +60 *1624:62 *3069:14 0.000164028 +61 *1624:62 *3131:109 0 +62 *1624:62 *3388:13 0.000140384 +63 *1624:62 *5858:59 0 +64 *1624:62 *5867:32 0.000220967 +65 *21498:A2 *19875:A1 8.3506e-05 +66 *22412:B1 *22413:A1 0.000684492 +67 *22740:B1 *22413:A1 0.000200794 +68 *24357:D *1624:38 0.000141895 +69 *24450:D *1624:38 0.000160617 +70 *1550:78 *1624:62 5.33268e-05 +71 *1560:8 *1624:23 0.00101367 +72 *1569:54 *22413:A1 5.8973e-05 +73 *1582:8 *1624:23 0.000150273 +74 *1582:52 *19875:A1 0.000538117 +75 *1585:19 *1624:38 0.000177542 +76 *1601:16 *19875:A1 4.66759e-05 +*RES +1 *19866:Y *1624:15 38.3779 +2 *1624:15 *1624:23 46.1918 +3 *1624:23 *1624:38 46.0465 +4 *1624:38 *19875:A1 42.1526 +5 *1624:15 *1624:62 48.2159 +6 *1624:62 *22413:A1 38.6026 +*END + +*D_NET *1625 0.0928153 +*CONN +*I *19875:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21511:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20719:B I *D sky130_fd_sc_hd__or2_1 +*I *21641:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21920:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21825:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19867:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *19875:A2 0.000376536 +2 *21511:B2 0.00127122 +3 *20719:B 0 +4 *21641:A2 0 +5 *21920:B2 0.00358434 +6 *21825:B2 2.3034e-05 +7 *19867:X 0.000636728 +8 *1625:146 0.00295266 +9 *1625:115 0.0057762 +10 *1625:106 0.00179639 +11 *1625:91 0.00478609 +12 *1625:83 0.00487253 +13 *1625:36 0.00864738 +14 *1625:23 0.000702053 +15 *1625:14 0.00218608 +16 *19875:A2 *19875:B1 2.29454e-05 +17 *19875:A2 *1627:171 6.36477e-05 +18 *19875:A2 *1688:34 5.04829e-06 +19 *19875:A2 *2551:24 1.88014e-05 +20 *19875:A2 *5867:116 1.96456e-05 +21 *21511:B2 *21511:A1 7.56446e-05 +22 *21511:B2 *21512:B2 0.000180985 +23 *21511:B2 *22060:A1 7.98606e-05 +24 *21511:B2 *1689:135 9.95093e-05 +25 *21511:B2 *1733:45 0.000625682 +26 *21511:B2 *2288:24 0.00199149 +27 *21511:B2 *2539:10 0.000160199 +28 *21511:B2 *2774:12 0.000156617 +29 *21511:B2 *3202:14 0.000187652 +30 *21511:B2 *4813:110 0.000148972 +31 *21920:B2 *19971:C1 4.97617e-05 +32 *21920:B2 *21808:A 0.000260283 +33 *21920:B2 *21825:A1 0.000211386 +34 *21920:B2 *21920:C1 6.08467e-05 +35 *21920:B2 *21945:B1 5.8257e-05 +36 *21920:B2 *22455:C1 0.000224729 +37 *21920:B2 *22472:B1 0.000539554 +38 *21920:B2 *1722:40 0.00151583 +39 *21920:B2 *1727:15 0.000726321 +40 *21920:B2 *1727:25 5.88657e-05 +41 *21920:B2 *2338:52 7.92757e-06 +42 *21920:B2 *2407:22 7.2492e-05 +43 *21920:B2 *2685:42 0.000120815 +44 *21920:B2 *2922:58 1.25573e-05 +45 *21920:B2 *2933:81 0.000796824 +46 *21920:B2 *2959:35 8.20833e-07 +47 *21920:B2 *2964:59 6.03237e-05 +48 *21920:B2 *3162:62 7.48886e-05 +49 *21920:B2 *3166:82 0.000612735 +50 *21920:B2 *3421:17 0.000575321 +51 *21920:B2 *3511:93 0.00113107 +52 *21920:B2 *3527:84 1.31782e-05 +53 *21920:B2 *3682:22 0.000526772 +54 *21920:B2 *3699:23 4.06334e-05 +55 *21920:B2 *3734:18 0.00306816 +56 *1625:14 *22792:A1 0.000321618 +57 *1625:14 *1631:34 0.000136307 +58 *1625:14 *1776:44 3.29488e-05 +59 *1625:14 *2660:94 3.18696e-05 +60 *1625:14 *2662:14 0.000652084 +61 *1625:14 *3131:66 0.000983103 +62 *1625:14 *4849:33 6.08467e-05 +63 *1625:14 *5454:15 0.000279294 +64 *1625:23 *21825:B1 0.000387391 +65 *1625:23 *1730:113 0.000703961 +66 *1625:23 *1744:179 1.65872e-05 +67 *1625:23 *1757:120 0.00069892 +68 *1625:23 *2828:25 5.49916e-05 +69 *1625:23 *3058:8 5.49916e-05 +70 *1625:23 *4850:68 0.00043404 +71 *1625:36 *21825:A1 7.22263e-05 +72 *1625:36 *21825:A2 1.88014e-05 +73 *1625:36 *1744:179 2.57986e-05 +74 *1625:36 *1794:73 9.6497e-05 +75 *1625:36 *2838:101 5.04829e-06 +76 *1625:36 *2841:7 1.34424e-05 +77 *1625:36 *3157:126 6.14756e-06 +78 *1625:36 *3166:97 1.09898e-05 +79 *1625:36 *4850:68 4.66492e-05 +80 *1625:83 *20022:A1 0.000516569 +81 *1625:83 *21641:B1 0.000250254 +82 *1625:83 *21776:C1 3.0013e-05 +83 *1625:83 *21808:A 0.00134948 +84 *1625:83 *22254:A1 0.000156955 +85 *1625:83 *1635:42 0.00285043 +86 *1625:83 *1641:130 5.10014e-05 +87 *1625:83 *1658:43 1.47322e-05 +88 *1625:83 *1720:61 3.21478e-05 +89 *1625:83 *1751:145 1.44198e-05 +90 *1625:83 *1800:75 6.69159e-05 +91 *1625:83 *2661:30 0.000156087 +92 *1625:83 *2679:46 0.000137472 +93 *1625:83 *2777:60 5.83451e-05 +94 *1625:83 *2791:50 8.58674e-05 +95 *1625:83 *2854:21 0.000187678 +96 *1625:83 *2887:41 9.04241e-05 +97 *1625:83 *2919:42 5.83451e-05 +98 *1625:83 *3021:39 0.000222439 +99 *1625:83 *3124:210 0.000210515 +100 *1625:83 *3377:20 0.000398719 +101 *1625:83 *3474:111 0.000107025 +102 *1625:83 *3527:54 0.000328709 +103 *1625:83 *3527:70 0.00206822 +104 *1625:83 *3527:84 0.000574393 +105 *1625:83 *3529:62 0.00101372 +106 *1625:83 *3529:80 0.000113253 +107 *1625:83 *3539:70 5.25962e-05 +108 *1625:83 *3568:8 9.04241e-05 +109 *1625:83 *3702:20 0.000392389 +110 *1625:83 *4817:145 0.00033524 +111 *1625:83 *5456:29 0.000375008 +112 *1625:91 *22814:D 0.00015806 +113 *1625:91 *2662:14 0.00237975 +114 *1625:91 *3131:66 0.000381743 +115 *1625:91 *3134:84 2.95649e-05 +116 *1625:91 *3531:157 0.0011671 +117 *1625:91 *3750:22 0.000360943 +118 *1625:106 *20890:A1 0.00013082 +119 *1625:106 *20890:B2 0.00010072 +120 *1625:106 *21755:A 8.41713e-05 +121 *1625:106 *22758:A1 4.47969e-05 +122 *1625:106 *24345:SET_B 0.000180998 +123 *1625:106 *24345:CLK 0.000113968 +124 *1625:106 *1733:45 7.04678e-05 +125 *1625:106 *2138:7 2.40846e-05 +126 *1625:106 *2614:28 0.000250058 +127 *1625:106 *2655:25 0.000395923 +128 *1625:106 *2767:17 0.000533811 +129 *1625:106 *3027:17 0.000830848 +130 *1625:106 *3059:30 2.88802e-05 +131 *1625:106 *5856:124 8.49029e-05 +132 *1625:115 *22047:A1 0.000503747 +133 *1625:115 *1730:184 0.000119034 +134 *1625:115 *1733:45 7.18959e-05 +135 *1625:115 *2288:24 0.00288673 +136 *1625:115 *3059:30 0.000160818 +137 *1625:115 *3120:168 2.07553e-05 +138 *1625:115 *3190:77 0.000170297 +139 *1625:115 *3398:17 0.000401837 +140 *1625:115 *3705:17 0.000113478 +141 *1625:146 *1688:43 0.000735162 +142 *1625:146 *1730:184 0.000989229 +143 *1625:146 *1732:12 0.00115809 +144 *1625:146 *2795:12 2.01186e-05 +145 *1625:146 *2796:26 0.000478473 +146 *1625:146 *2845:22 6.79143e-07 +147 *1625:146 *2987:50 0.000140927 +148 *1625:146 *3120:189 0.000295493 +149 *1625:146 *3705:17 0.000359169 +150 *1625:146 *5867:99 0.00014886 +151 *1625:146 *5867:116 0.000495687 +152 *19593:A *19875:A2 0.000311315 +153 *19593:C *19875:A2 9.15229e-05 +154 *19808:B *1625:83 0.000608354 +155 *19820:A2 *1625:115 0.000233634 +156 *19872:A *1625:14 3.29488e-05 +157 *19874:A1 *19875:A2 0.000523839 +158 *19874:A2 *19875:A2 0.00098156 +159 *20885:B *1625:106 0.000689972 +160 *22418:A1 *1625:146 1.48859e-05 +161 *22735:A1 *21920:B2 0.000363063 +162 *24345:D *1625:106 6.08467e-05 +163 *1438:169 *19875:A2 0.000209326 +164 *1535:51 *1625:83 2.92163e-05 +165 *1539:18 *1625:83 0.000769071 +166 *1561:50 *1625:83 0.000153427 +167 *1566:11 *1625:83 0.000153427 +168 *1593:26 *1625:146 0.000886807 +169 *1597:45 *21920:B2 3.17436e-05 +170 *1624:62 *1625:106 0.000245604 +*RES +1 *19867:X *1625:14 21.7424 +2 *1625:14 *1625:23 15.6973 +3 *1625:23 *21825:B2 9.82786 +4 *1625:23 *1625:36 12.7109 +5 *1625:36 *21920:B2 45.013 +6 *1625:36 *1625:83 43.101 +7 *1625:83 *21641:A2 9.24915 +8 *1625:14 *1625:91 5.91365 +9 *1625:91 *1625:106 48.8177 +10 *1625:106 *20719:B 9.24915 +11 *1625:91 *1625:115 5.75391 +12 *1625:115 *21511:B2 27.8498 +13 *1625:115 *1625:146 36.7536 +14 *1625:146 *19875:A2 26.056 +*END + +*D_NET *1626 0.0108863 +*CONN +*I *22207:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19875:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19868:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22207:B1 0 +2 *19875:B1 0.000506005 +3 *19868:Y 0.000464799 +4 *1626:8 0.00197223 +5 *1626:7 0.00193103 +6 *19875:B1 *22206:B2 4.15661e-05 +7 *19875:B1 *22207:C1 5.8261e-05 +8 *19875:B1 *22208:B1 1.76621e-05 +9 *19875:B1 *1627:171 0.000248565 +10 *19875:B1 *1707:39 3.27469e-05 +11 *19875:B1 *1733:75 0.000283616 +12 *19875:B1 *1787:40 5.36085e-05 +13 *19875:B1 *1789:68 3.46002e-05 +14 *19875:B1 *1789:82 1.21652e-05 +15 *19875:B1 *3168:150 7.84173e-05 +16 *1626:7 *20672:A2 7.88576e-05 +17 *1626:7 *20672:B2 1.43983e-05 +18 *1626:7 *5861:43 2.69785e-05 +19 *1626:7 *5861:58 6.87743e-05 +20 *1626:8 *20385:A 0.000119538 +21 *1626:8 *1707:32 0.000145966 +22 *1626:8 *1720:11 0.0001821 +23 *1626:8 *1783:14 0.000189445 +24 *1626:8 *1783:27 0.000252256 +25 *1626:8 *1788:162 0.000107987 +26 *1626:8 *1788:164 0.0024843 +27 *1626:8 *1789:68 1.1246e-05 +28 *1626:8 *2602:32 0.0010288 +29 *1626:8 *3155:146 0.000114441 +30 *1626:8 *4869:6 4.20921e-05 +31 *1626:8 *4869:8 2.54248e-05 +32 *1626:8 *4888:142 7.42064e-05 +33 *19593:C *19875:B1 0.000161234 +34 *19875:A2 *19875:B1 2.29454e-05 +*RES +1 *19868:Y *1626:7 20.5732 +2 *1626:7 *1626:8 58.7215 +3 *1626:8 *19875:B1 29.2043 +4 *1626:8 *22207:B1 13.7491 +*END + +*D_NET *1627 0.0945495 +*CONN +*I *21610:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21254:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *20662:B I *D sky130_fd_sc_hd__or2_1 +*I *22034:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19875:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21412:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21273:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21814:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21583:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19869:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21610:A2 0 +2 *21254:B2 0 +3 *20662:B 0 +4 *22034:B2 0.00012097 +5 *19875:B2 0 +6 *21412:A2 0.000254393 +7 *21273:A2 7.1767e-05 +8 *21814:B2 0.00048852 +9 *21583:A2 0.000891442 +10 *19869:X 0.00134378 +11 *1627:215 0.000957912 +12 *1627:202 0.00206582 +13 *1627:198 0.0020986 +14 *1627:171 0.000727802 +15 *1627:160 0.00173643 +16 *1627:149 0.00235212 +17 *1627:148 0.0016341 +18 *1627:140 0.00211775 +19 *1627:131 0.000765593 +20 *1627:128 0.00122092 +21 *1627:118 0.00256026 +22 *1627:101 0.00328396 +23 *1627:79 0.00396995 +24 *1627:49 0.00482771 +25 *1627:13 0.00431634 +26 *21273:A2 *21273:B2 1.53212e-05 +27 *21273:A2 *1802:143 2.02035e-05 +28 *21273:A2 *2368:64 4.09129e-06 +29 *21273:A2 *2527:13 0.000189928 +30 *21273:A2 *5924:37 0.000191896 +31 *21412:A2 *21412:B1 3.82228e-05 +32 *21412:A2 *2294:64 0.000108713 +33 *21412:A2 *2464:51 8.19236e-05 +34 *21412:A2 *3316:14 5.13433e-05 +35 *21583:A2 *6096:DIODE 6.08467e-05 +36 *21583:A2 *21450:B1 9.96487e-06 +37 *21583:A2 *22321:A1 0.000130579 +38 *21583:A2 *22327:C1 0.000158629 +39 *21583:A2 *1641:77 0.000298033 +40 *21583:A2 *1730:240 2.47287e-05 +41 *21583:A2 *1751:35 0.000207143 +42 *21583:A2 *2508:45 1.84769e-05 +43 *21583:A2 *2520:119 1.5714e-05 +44 *21583:A2 *2613:101 0 +45 *21583:A2 *2634:29 0.000153427 +46 *21583:A2 *2815:32 0.000159964 +47 *21583:A2 *2919:51 1.27831e-06 +48 *21583:A2 *3285:10 8.38361e-05 +49 *21583:A2 *3474:42 6.09717e-05 +50 *21583:A2 *3476:36 1.5714e-05 +51 *21583:A2 *3615:12 0.000313279 +52 *21814:B2 *21814:A1 0.0010936 +53 *21814:B2 *21833:A 9.93092e-05 +54 *21814:B2 *22555:B2 0.00114239 +55 *21814:B2 *2825:57 0.000484995 +56 *21814:B2 *2857:52 2.41274e-06 +57 *22034:B2 *22024:B2 5.11322e-06 +58 *22034:B2 *22034:A1 7.3421e-06 +59 *22034:B2 *22034:B1 6.08467e-05 +60 *22034:B2 *22035:C1 1.65872e-05 +61 *22034:B2 *1631:97 0.000112828 +62 *22034:B2 *4834:69 7.26959e-06 +63 *1627:13 *22005:A1 4.24947e-05 +64 *1627:13 *22335:B1 0.000593475 +65 *1627:13 *1720:62 0.000111201 +66 *1627:13 *2652:28 0.000106696 +67 *1627:13 *3208:107 0.000114321 +68 *1627:13 *3474:42 0.00232737 +69 *1627:13 *3476:39 0.000301209 +70 *1627:13 *3517:36 2.55046e-05 +71 *1627:13 *3736:18 0.000280467 +72 *1627:49 *21476:B1 7.84076e-05 +73 *1627:49 *22335:A1 0.00226292 +74 *1627:49 *1635:42 0.000216862 +75 *1627:49 *2564:68 0.000212407 +76 *1627:49 *2815:32 0.00206188 +77 *1627:49 *2825:57 0 +78 *1627:49 *3072:20 5.84021e-05 +79 *1627:49 *3507:135 0.000159964 +80 *1627:49 *3507:156 0.000627434 +81 *1627:49 *3613:10 5.42518e-05 +82 *1627:79 *21262:B 7.46032e-05 +83 *1627:79 *21272:B2 8.41266e-06 +84 *1627:79 *21395:B2 0.000180267 +85 *1627:79 *21396:A2 0.000526026 +86 *1627:79 *21795:A1 2.1203e-06 +87 *1627:79 *22269:B1 0.000267261 +88 *1627:79 *22335:A1 5.60804e-05 +89 *1627:79 *22555:B2 0.000162739 +90 *1627:79 *1744:219 0.0013945 +91 *1627:79 *1790:73 8.95942e-05 +92 *1627:79 *1800:95 5.60804e-05 +93 *1627:79 *2280:6 0.000166733 +94 *1627:79 *2350:57 0.000238637 +95 *1627:79 *2825:57 0.000148541 +96 *1627:79 *2892:21 0.000314534 +97 *1627:79 *3106:42 0.0018593 +98 *1627:79 *3139:192 8.76978e-05 +99 *1627:79 *3547:17 5.96019e-05 +100 *1627:79 *3613:10 0 +101 *1627:101 *21272:B1 2.62751e-05 +102 *1627:101 *21396:A1 0.00281268 +103 *1627:101 *22372:A 0.00207277 +104 *1627:101 *22381:B 1.02841e-05 +105 *1627:101 *2294:64 1.19533e-05 +106 *1627:101 *2355:42 0.000385836 +107 *1627:101 *2409:22 3.91685e-05 +108 *1627:101 *2409:33 0.000129098 +109 *1627:101 *2446:145 2.01503e-05 +110 *1627:101 *2527:13 0.000279507 +111 *1627:101 *2534:23 0.00076429 +112 *1627:101 *5924:37 0.000308971 +113 *1627:118 *22374:A2 7.13655e-06 +114 *1627:118 *22374:B2 2.78219e-06 +115 *1627:118 *22381:A 0.000600686 +116 *1627:118 *22381:B 0 +117 *1627:118 *22685:B1 0.000183817 +118 *1627:118 *22686:B1 2.59935e-05 +119 *1627:118 *1655:213 0.000162112 +120 *1627:118 *2258:62 6.14756e-06 +121 *1627:118 *2294:64 0.000672307 +122 *1627:118 *2298:30 4.89994e-05 +123 *1627:118 *2355:42 2.91667e-06 +124 *1627:118 *2357:61 9.42754e-05 +125 *1627:118 *2373:51 9.80784e-05 +126 *1627:118 *3179:224 0.00010072 +127 *1627:118 *3338:10 0.000446149 +128 *1627:118 *3541:63 2.77419e-05 +129 *1627:118 *3646:23 0.000150809 +130 *1627:128 *22297:B2 6.78302e-05 +131 *1627:128 *22341:B2 0.000105177 +132 *1627:128 *22385:B1 8.90266e-05 +133 *1627:128 *22385:C1 0.000211223 +134 *1627:128 *22721:B1 0.000316352 +135 *1627:128 *1655:213 0.000444819 +136 *1627:128 *1655:220 0.000128656 +137 *1627:128 *2257:29 0.000394132 +138 *1627:128 *2263:80 0.000111921 +139 *1627:128 *3022:20 0.000351304 +140 *1627:128 *3128:227 9.54357e-06 +141 *1627:128 *3529:212 0.000538117 +142 *1627:128 *4831:8 4.90132e-05 +143 *1627:128 *4831:25 0 +144 *1627:131 *21610:B1 5.04829e-06 +145 *1627:131 *21611:A1 0.000213725 +146 *1627:140 *22302:A2 3.20069e-06 +147 *1627:140 *2258:32 0.000119161 +148 *1627:140 *2488:14 2.68797e-05 +149 *1627:140 *3326:15 0.000200414 +150 *1627:148 *1647:126 9.32927e-05 +151 *1627:148 *2770:16 8.98169e-05 +152 *1627:148 *3146:221 0.00126423 +153 *1627:148 *3146:233 0.000381162 +154 *1627:148 *4834:69 0.00184071 +155 *1627:148 *4956:27 1.67988e-05 +156 *1627:148 *5350:11 0.000158371 +157 *1627:149 *22034:A1 1.1718e-05 +158 *1627:149 *22035:A1 5.19897e-05 +159 *1627:149 *22035:A2 1.34424e-05 +160 *1627:149 *22035:B1 0.000111802 +161 *1627:149 *22035:C1 0.000284201 +162 *1627:149 *1631:97 4.78069e-06 +163 *1627:149 *5859:115 0.000968658 +164 *1627:160 *21712:B1 0.000205101 +165 *1627:160 *21975:A2 0 +166 *1627:160 *21975:B2 0 +167 *1627:160 *22034:B1 1.5962e-05 +168 *1627:160 *22035:C1 6.36477e-05 +169 *1627:160 *22252:B1 0.000523178 +170 *1627:160 *22317:B2 0 +171 *1627:160 *22319:A1 0 +172 *1627:160 *3038:17 0 +173 *1627:160 *3047:16 3.58525e-05 +174 *1627:160 *3070:32 0.000885304 +175 *1627:160 *3166:147 0.000127503 +176 *1627:171 *22208:B1 3.55968e-05 +177 *1627:171 *22319:B1 0.00112867 +178 *1627:171 *1646:12 3.56745e-05 +179 *1627:171 *1733:75 2.53624e-06 +180 *1627:171 *2564:21 0.00102397 +181 *1627:171 *3162:123 0.0013748 +182 *1627:171 *3162:150 0.000765119 +183 *1627:198 *22369:A2 9.72199e-05 +184 *1627:198 *2264:16 9.2346e-06 +185 *1627:198 *2362:20 1.82679e-05 +186 *1627:198 *2416:16 0.000131203 +187 *1627:198 *2488:11 0.000611065 +188 *1627:198 *2488:14 6.2901e-05 +189 *1627:198 *3326:15 0.000127006 +190 *1627:202 *20437:A1 0.000145633 +191 *1627:202 *20663:A 0 +192 *1627:202 *21190:A 0.000438613 +193 *1627:202 *2018:25 8.70609e-05 +194 *1627:202 *2257:11 0.000414439 +195 *1627:202 *2349:12 1.65078e-05 +196 *1627:202 *2380:16 0.000723745 +197 *1627:202 *2448:49 7.14746e-05 +198 *1627:202 *2464:10 8.20492e-06 +199 *1627:202 *2797:30 1.5714e-05 +200 *1627:202 *4872:17 0 +201 *1627:202 *4891:8 0 +202 *1627:202 *4891:51 0 +203 *1627:202 *5222:9 0.000153225 +204 *1627:215 *20628:B2 0.00063482 +205 *1627:215 *20662:A 0.000345987 +206 *1627:215 *20735:B2 4.47713e-05 +207 *1627:215 *1884:89 0.000744287 +208 *1627:215 *1884:101 0.00053677 +209 *1627:215 *2019:19 0.000111708 +210 *1627:215 *4845:205 0.00122374 +211 *19593:C *1627:171 1.80122e-05 +212 *19801:B2 *1627:49 0 +213 *19801:B2 *1627:79 0.000286443 +214 *19850:B *1627:13 0.000234742 +215 *19875:A1 *1627:171 3.0577e-05 +216 *19875:A2 *1627:171 6.36477e-05 +217 *19875:B1 *1627:171 0.000248565 +218 *21085:A *1627:198 0.0004017 +219 *21476:A2 *21583:A2 0.000432205 +220 *21610:A1 *1627:131 0.000118166 +221 *21814:A2 *21814:B2 3.95516e-05 +222 *21814:A2 *1627:49 3.09374e-06 +223 *22024:A2 *22034:B2 5.88009e-05 +224 *22296:B1 *1627:128 0.000421348 +225 *489:50 *1627:198 2.42156e-05 +226 *489:54 *1627:198 4.2273e-06 +227 *490:21 *1627:202 5.36373e-05 +228 *491:8 *1627:128 2.43314e-05 +229 *491:8 *1627:140 1.77111e-05 +230 *1515:101 *1627:13 6.3657e-05 +231 *1577:50 *21583:A2 2.57986e-05 +232 *1601:16 *1627:171 0.000241022 +233 *1608:30 *21583:A2 0.000165508 +234 *1608:30 *1627:49 0.000683585 +235 *1608:148 *1627:140 0.000746268 +236 *1610:195 *1627:140 3.82361e-05 +237 *1614:30 *1627:49 7.16665e-06 +238 *1614:36 *1627:49 0.000231447 +239 *1614:55 *1627:49 0.000120121 +*RES +1 *19869:X *1627:13 26.8996 +2 *1627:13 *21583:A2 33.2043 +3 *1627:13 *1627:49 27.4172 +4 *1627:49 *21814:B2 29.3837 +5 *1627:49 *1627:79 33.8304 +6 *1627:79 *21273:A2 17.7759 +7 *1627:79 *1627:101 19.8849 +8 *1627:101 *21412:A2 20.7364 +9 *1627:101 *1627:118 47.0306 +10 *1627:118 *1627:128 45.8271 +11 *1627:128 *1627:131 6.88721 +12 *1627:131 *1627:140 28.1433 +13 *1627:140 *1627:148 36.5757 +14 *1627:148 *1627:149 19.5799 +15 *1627:149 *1627:160 34.3338 +16 *1627:160 *1627:171 43.9363 +17 *1627:171 *19875:B2 9.24915 +18 *1627:149 *22034:B2 21.5226 +19 *1627:140 *1627:198 33.5061 +20 *1627:198 *1627:202 40.6908 +21 *1627:202 *20662:B 9.24915 +22 *1627:202 *1627:215 43.8777 +23 *1627:215 *21254:B2 9.24915 +24 *1627:131 *21610:A2 9.24915 +*END + +*D_NET *1628 0.00100441 +*CONN +*I *19874:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *19870:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19874:A3 0.000316181 +2 *19870:Y 0.000316181 +3 *19874:A3 *1733:85 0.000302705 +4 *19874:A3 *2697:19 8.92568e-06 +5 *1595:60 *19874:A3 3.583e-05 +6 *1601:16 *19874:A3 2.4584e-05 +*RES +1 *19870:Y *19874:A3 33.242 +*END + +*D_NET *1629 0.0454284 +*CONN +*I *19874:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *19871:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19874:B1 0.000686377 +2 *19871:Y 0.000859841 +3 *1629:58 0.00161317 +4 *1629:55 0.00506012 +5 *1629:40 0.00509694 +6 *1629:33 0.00324712 +7 *1629:30 0.00335235 +8 *1629:18 0.00328352 +9 *1629:12 0.00307452 +10 *19874:B1 *19594:A1 1.03434e-05 +11 *19874:B1 *19746:B 0 +12 *19874:B1 *19870:A 5.93329e-05 +13 *19874:B1 *19874:B2 4.73169e-05 +14 *19874:B1 *19875:C1 0.00025175 +15 *19874:B1 *2766:6 0.000293447 +16 *19874:B1 *3164:131 0.000398061 +17 *19874:B1 *3166:112 0.000393863 +18 *19874:B1 *5352:19 0.000239763 +19 *19874:B1 *5489:8 8.20978e-05 +20 *1629:12 *1920:34 0.000107729 +21 *1629:12 *2451:36 0.000365163 +22 *1629:12 *4817:10 3.93207e-05 +23 *1629:12 *4817:23 0.000622661 +24 *1629:12 *4825:61 0.000197691 +25 *1629:12 *4828:44 0.00068929 +26 *1629:12 *5533:9 1.21461e-06 +27 *1629:18 *19599:A0 0.000107496 +28 *1629:18 *19599:A1 2.38934e-06 +29 *1629:18 *20322:A2 5.51635e-05 +30 *1629:18 *20322:B2 0.000207266 +31 *1629:18 *24106:A 3.59318e-05 +32 *1629:18 *24905:RESET_B 5.48151e-05 +33 *1629:18 *24905:CLK 0.000107992 +34 *1629:18 *1920:34 9.98615e-06 +35 *1629:18 *4814:19 5.08751e-05 +36 *1629:18 *4814:26 0.000113968 +37 *1629:18 *4834:30 0.000452595 +38 *1629:18 *4846:5 1.19467e-06 +39 *1629:30 *24323:RESET_B 3.56986e-05 +40 *1629:30 *2610:19 0.000203743 +41 *1629:30 *2610:21 0.00122994 +42 *1629:30 *4961:8 0.000198543 +43 *1629:30 *5865:391 0.000280408 +44 *1629:30 *5893:18 0 +45 *1629:33 *21185:A 8.38894e-05 +46 *1629:33 *2610:11 2.26584e-05 +47 *1629:33 *2610:19 0.000126351 +48 *1629:33 *5315:8 0.000127541 +49 *1629:40 *20703:A2 0.000157347 +50 *1629:40 *21428:A 0.000301119 +51 *1629:40 *2018:25 0.000738546 +52 *1629:40 *2610:11 0.00221894 +53 *1629:40 *2776:19 0.000121186 +54 *1629:40 *2881:18 0 +55 *1629:40 *4844:665 3.93117e-06 +56 *1629:55 *20394:B1 0.000317417 +57 *1629:55 *24950:A 4.18891e-05 +58 *1629:55 *1800:183 0 +59 *1629:55 *2075:31 7.13663e-05 +60 *1629:55 *2551:8 5.39635e-06 +61 *1629:55 *2584:16 0 +62 *1629:55 *2620:37 0 +63 *1629:55 *2818:24 0 +64 *1629:55 *2950:22 1.9101e-05 +65 *1629:55 *2950:34 6.50727e-05 +66 *1629:55 *3034:25 0 +67 *1629:55 *3036:31 0.00013624 +68 *1629:55 *4839:105 0.000653478 +69 *1629:55 *4889:183 3.62276e-05 +70 *1629:55 *5458:88 0.000175603 +71 *1629:58 *1943:20 0.000358052 +72 *1629:58 *1943:25 0.000585387 +73 *1629:58 *2552:14 0.00237802 +74 *1629:58 *3057:14 0.00046579 +75 *1629:58 *5866:153 0.000144753 +76 *19599:S *1629:18 4.0752e-05 +77 *20777:B *19874:B1 5.58587e-05 +78 *24014:S *1629:12 8.99952e-05 +79 *24323:D *1629:30 9.12416e-06 +80 *24693:D *1629:18 0.000446985 +81 *24905:D *1629:18 0.000217951 +82 *480:134 *1629:12 0.00125614 +83 *1444:17 *1629:18 0.000456207 +84 *1521:11 *19874:B1 0.000162583 +85 *1595:28 *1629:40 8.47691e-05 +86 *1601:16 *19874:B1 3.17455e-05 +*RES +1 *19871:Y *1629:12 49.3277 +2 *1629:12 *1629:18 48.842 +3 *1629:18 *1629:30 42.1647 +4 *1629:30 *1629:33 32.6252 +5 *1629:33 *1629:40 44.1699 +6 *1629:40 *1629:55 29.2091 +7 *1629:55 *1629:58 47.442 +8 *1629:58 *19874:B1 40.0278 +*END + +*D_NET *1630 0.000122272 +*CONN +*I *19873:A I *D sky130_fd_sc_hd__buf_8 +*I *19872:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19873:A 1.98947e-05 +2 *19872:X 1.98947e-05 +3 *19828:A *19873:A 2.16355e-05 +4 *19872:A *19873:A 6.08467e-05 +*RES +1 *19872:X *19873:A 19.2217 +*END + +*D_NET *1631 0.106511 +*CONN +*I *20273:A I *D sky130_fd_sc_hd__or2_1 +*I *20334:A1 I *D sky130_fd_sc_hd__a211o_4 +*I *21252:A I *D sky130_fd_sc_hd__clkinv_8 +*I *22024:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19874:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *21642:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21571:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19873:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *20273:A 0.000161068 +2 *20334:A1 0.0001366 +3 *21252:A 0 +4 *22024:B2 0.000197452 +5 *19874:B2 0.00090575 +6 *21642:B2 0 +7 *21571:B2 5.55668e-06 +8 *19873:X 2.19601e-05 +9 *1631:133 0.00038294 +10 *1631:126 0.0014938 +11 *1631:106 0.00174503 +12 *1631:97 0.00154012 +13 *1631:90 0.0037033 +14 *1631:72 0.00634732 +15 *1631:58 0.00387478 +16 *1631:57 0.00647227 +17 *1631:34 0.00907663 +18 *1631:10 0.00211562 +19 *1631:9 0.00236177 +20 *1631:5 0.00501392 +21 *19874:B2 *1770:58 2.44829e-05 +22 *19874:B2 *5352:19 0.000775039 +23 *20273:A *20273:B 6.50727e-05 +24 *20273:A *2263:30 8.01987e-05 +25 *20273:A *4835:37 4.17052e-05 +26 *20273:A *5880:17 6.50727e-05 +27 *20273:A *5884:32 0 +28 *21571:B2 *1715:67 5.07002e-06 +29 *21571:B2 *2979:20 6.13007e-06 +30 *22024:B2 *22024:B1 7.09666e-06 +31 *22024:B2 *22252:B1 7.26959e-06 +32 *22024:B2 *22259:C1 5.47232e-06 +33 *22024:B2 *3047:16 4.62492e-05 +34 *22024:B2 *4834:69 7.10616e-05 +35 *1631:5 *21777:C1 2.53145e-06 +36 *1631:10 *21542:B1 0.000152548 +37 *1631:10 *22499:A1 0.000256373 +38 *1631:10 *22811:B1 0.000537904 +39 *1631:10 *1722:20 0.000511512 +40 *1631:10 *1761:17 0.000427113 +41 *1631:10 *1794:44 3.63738e-05 +42 *1631:10 *1794:60 0.000266124 +43 *1631:10 *2514:45 0.000437334 +44 *1631:10 *2522:32 6.63453e-05 +45 *1631:10 *2573:8 0.000122145 +46 *1631:10 *2599:20 6.51637e-05 +47 *1631:10 *2672:40 1.30094e-05 +48 *1631:10 *2997:14 1.9101e-05 +49 *1631:10 *3106:100 0.000555455 +50 *1631:34 *21605:B1 0.00181846 +51 *1631:34 *21777:C1 6.08467e-05 +52 *1631:34 *22483:A1 0.000748364 +53 *1631:34 *22771:A2 7.09666e-06 +54 *1631:34 *22794:A2 5.60804e-05 +55 *1631:34 *1649:123 0.000283862 +56 *1631:34 *1649:143 0.000790457 +57 *1631:34 *1776:44 6.39153e-06 +58 *1631:34 *2554:35 0.00157848 +59 *1631:34 *2660:94 0.000986752 +60 *1631:34 *2791:50 3.04448e-05 +61 *1631:34 *2792:27 0.0003775 +62 *1631:34 *2887:41 9.04241e-05 +63 *1631:34 *3117:204 0.000420055 +64 *1631:34 *3142:154 0.000207944 +65 *1631:34 *3474:111 0.000104754 +66 *1631:34 *3568:8 8.56161e-05 +67 *1631:34 *5454:15 0.000396587 +68 *1631:34 *5592:70 0.000518479 +69 *1631:57 *21642:B1 1.45525e-05 +70 *1631:57 *21658:A1 0.000103246 +71 *1631:57 *21658:B1 5.02283e-05 +72 *1631:57 *21659:A1 0.0010312 +73 *1631:57 *21746:C 0.000250769 +74 *1631:57 *2093:51 0.000596413 +75 *1631:57 *2304:58 3.32971e-05 +76 *1631:57 *2423:93 4.2473e-05 +77 *1631:57 *2492:32 3.22612e-05 +78 *1631:57 *2791:50 0 +79 *1631:57 *2813:47 1.87133e-05 +80 *1631:57 *2990:62 0.00111655 +81 *1631:57 *3076:23 0.000227401 +82 *1631:57 *3198:154 1.14478e-05 +83 *1631:57 *3206:173 0.000519797 +84 *1631:57 *3238:15 1.37385e-05 +85 *1631:57 *4804:96 0.000143215 +86 *1631:57 *4816:124 0.000148867 +87 *1631:57 *4836:21 0.000423684 +88 *1631:57 *4906:103 0.000202947 +89 *1631:57 *5857:138 4.46523e-05 +90 *1631:58 *2155:22 0.000171136 +91 *1631:58 *2319:55 0.000434596 +92 *1631:58 *2452:18 0.00194194 +93 *1631:58 *2452:29 0.0011171 +94 *1631:58 *2465:10 0.000607189 +95 *1631:58 *2465:20 4.96941e-06 +96 *1631:58 *2520:43 0.000818512 +97 *1631:58 *2520:53 0.000576513 +98 *1631:58 *4818:22 7.90587e-05 +99 *1631:58 *4906:87 1.01851e-05 +100 *1631:58 *4906:91 0.000577909 +101 *1631:58 *4906:95 0.000197678 +102 *1631:72 *20214:B1 5.83451e-05 +103 *1631:72 *1634:22 0.000132462 +104 *1631:72 *2262:78 0 +105 *1631:72 *2372:60 6.03122e-05 +106 *1631:72 *3010:8 0.000343374 +107 *1631:72 *3212:19 6.86335e-05 +108 *1631:90 *21094:A2 0.000107496 +109 *1631:90 *21199:A1 0.000155928 +110 *1631:90 *1725:192 7.09666e-06 +111 *1631:90 *1742:93 0.000285785 +112 *1631:90 *1800:171 0.000107496 +113 *1631:90 *2209:28 0.00014539 +114 *1631:90 *2209:30 0.000176032 +115 *1631:90 *2262:43 0.000521128 +116 *1631:90 *2262:58 0.000112538 +117 *1631:90 *2266:13 1.27831e-05 +118 *1631:90 *2339:8 7.01586e-06 +119 *1631:90 *2729:38 2.01765e-05 +120 *1631:90 *2950:76 0.00405624 +121 *1631:90 *3134:214 6.28948e-05 +122 *1631:90 *3134:228 9.44388e-05 +123 *1631:90 *4822:66 8.03699e-06 +124 *1631:90 *4834:46 0.00249251 +125 *1631:90 *4890:8 0.000243648 +126 *1631:90 *4956:27 0.000607742 +127 *1631:97 *22034:A1 0.000110306 +128 *1631:97 *22034:B1 0.000130556 +129 *1631:97 *22035:A2 0.000631415 +130 *1631:97 *22035:B1 2.16608e-05 +131 *1631:97 *22035:C1 2.71757e-05 +132 *1631:97 *24210:CLK 0.000154145 +133 *1631:97 *3049:7 0.000247443 +134 *1631:106 *21712:B1 5.39109e-05 +135 *1631:106 *22034:B1 0.000111802 +136 *1631:106 *22252:C1 0.00010814 +137 *1631:106 *2293:16 0.00025967 +138 *1631:106 *2601:26 0.000284862 +139 *1631:106 *2822:8 4.20102e-05 +140 *1631:106 *2986:20 8.5935e-05 +141 *1631:106 *2986:25 7.77309e-06 +142 *1631:106 *3070:32 0.000749919 +143 *1631:106 *3236:8 0.000336607 +144 *1631:126 *1742:93 0.000364051 +145 *1631:126 *2262:43 0.00206592 +146 *1631:126 *2287:43 5.58564e-05 +147 *1631:126 *2319:23 0.000156805 +148 *1631:126 *4835:37 0.000371099 +149 *1631:126 *5855:178 3.17479e-05 +150 *1631:133 *4835:37 0.000195446 +151 *1631:133 *5884:32 0 +152 *19847:A *1631:34 5.39173e-05 +153 *19872:A *1631:9 6.08467e-05 +154 *19872:A *1631:10 6.74193e-05 +155 *19874:B1 *19874:B2 4.73169e-05 +156 *20021:A2 *1631:34 0.0013402 +157 *20319:C1 *1631:126 7.40363e-05 +158 *20334:B1 *20334:A1 6.50586e-05 +159 *20334:B1 *1631:126 2.91402e-05 +160 *20334:B1 *1631:133 5.69404e-05 +161 *20334:C1 *20334:A1 3.61993e-05 +162 *20689:A *1631:58 1.84334e-05 +163 *21085:A *1631:90 0.000620562 +164 *21605:B2 *1631:34 0.000277048 +165 *21642:A2 *1631:57 3.75221e-05 +166 *21659:A2 *1631:57 0.00155254 +167 *21777:A2 *1631:9 3.96379e-05 +168 *21777:A2 *1631:34 2.37827e-05 +169 *22024:A2 *22024:B2 0 +170 *22034:B2 *22024:B2 5.11322e-06 +171 *22034:B2 *1631:97 0.000112828 +172 *24500:D *1631:10 0.00011726 +173 *489:78 *1631:106 0 +174 *512:38 *1631:57 0.00177943 +175 *527:22 *1631:57 1.72912e-05 +176 *535:19 *1631:57 0.00107749 +177 *1418:86 *1631:58 5.34784e-05 +178 *1418:97 *1631:58 0.000120533 +179 *1418:108 *1631:72 9.96563e-05 +180 *1419:239 *1631:57 0.00255281 +181 *1439:15 *1631:126 0 +182 *1439:28 *19874:B2 0.000121148 +183 *1439:28 *1631:72 0.000379649 +184 *1439:404 *1631:126 1.65078e-05 +185 *1439:413 *1631:126 8.74875e-06 +186 *1443:60 *1631:34 0.00517917 +187 *1443:60 *1631:57 0.000359066 +188 *1454:59 *1631:10 0.000510028 +189 *1501:96 *1631:10 0.000170592 +190 *1551:86 *1631:57 3.54099e-05 +191 *1561:21 *1631:10 7.08444e-05 +192 *1564:62 *1631:57 0.000308082 +193 *1573:155 *1631:57 2.14262e-05 +194 *1601:16 *19874:B2 0.000406669 +195 *1604:100 *1631:10 0.00137586 +196 *1608:43 *1631:57 9.90521e-05 +197 *1608:98 *1631:57 6.32844e-05 +198 *1620:31 *1631:34 6.03237e-05 +199 *1625:14 *1631:34 0.000136307 +200 *1627:149 *1631:97 4.78069e-06 +*RES +1 *19873:X *1631:5 9.82786 +2 *1631:5 *1631:9 7.99641 +3 *1631:9 *1631:10 68.6876 +4 *1631:10 *21571:B2 13.9541 +5 *1631:5 *1631:34 35.175 +6 *1631:34 *21642:B2 9.24915 +7 *1631:34 *1631:57 31.9604 +8 *1631:57 *1631:58 74.0859 +9 *1631:58 *1631:72 21.5608 +10 *1631:72 *1631:90 48.8671 +11 *1631:90 *1631:97 19.836 +12 *1631:97 *1631:106 35.1151 +13 *1631:106 *19874:B2 36.0389 +14 *1631:97 *22024:B2 22.4749 +15 *1631:72 *1631:126 21.2377 +16 *1631:126 *21252:A 13.7491 +17 *1631:126 *1631:133 3.493 +18 *1631:133 *20334:A1 17.2697 +19 *1631:133 *20273:A 18.6983 +*END + +*D_NET *1632 0.000897756 +*CONN +*I *19875:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19874:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *19875:C1 0.00023674 +2 *19874:X 0.00023674 +3 *19874:A2 *19875:C1 5.0459e-05 +4 *19874:B1 *19875:C1 0.00025175 +5 *1595:60 *19875:C1 0.000122068 +*RES +1 *19874:X *19875:C1 32.6874 +*END + +*D_NET *1633 0.00102592 +*CONN +*I *19907:A I *D sky130_fd_sc_hd__and4_1 +*I *19875:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19907:A 0.000297399 +2 *19875:X 0.000297399 +3 *19907:A *19907:D 0.000112723 +4 *19907:A *22586:B1 5.52006e-05 +5 *19907:A *1788:139 0.000258538 +6 *19593:C *19907:A 4.65615e-06 +*RES +1 *19875:X *19907:A 34.4849 +*END + +*D_NET *1634 0.0611029 +*CONN +*I *22238:A I *D sky130_fd_sc_hd__or2_1 +*I *19885:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22596:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19876:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22238:A 3.63598e-05 +2 *19885:A1 0 +3 *22596:B1 0.00023719 +4 *19876:Y 0.00100117 +5 *1634:70 0.00170544 +6 *1634:69 0.00174653 +7 *1634:66 0.00113689 +8 *1634:45 0.00663953 +9 *1634:30 0.00674795 +10 *1634:22 0.00330487 +11 *1634:21 0.0019966 +12 *1634:16 0.00109795 +13 *22238:A *3111:204 1.88014e-05 +14 *22596:B1 *22231:A2 0.000375002 +15 *22596:B1 *1721:162 2.64655e-05 +16 *22596:B1 *2843:31 0.00010281 +17 *22596:B1 *3190:107 2.18821e-05 +18 *1634:16 *19876:A 0.000160617 +19 *1634:16 *20733:A1 3.6325e-05 +20 *1634:16 *20737:A2 6.14273e-05 +21 *1634:16 *21497:A 0.000150974 +22 *1634:16 *1771:182 0.000690198 +23 *1634:16 *2051:19 5.47736e-05 +24 *1634:16 *2052:10 0.000279003 +25 *1634:16 *2052:72 0.000257456 +26 *1634:16 *2486:32 1.70577e-05 +27 *1634:16 *4838:321 0.00100049 +28 *1634:21 *20735:A2 0.000114594 +29 *1634:21 *20735:B2 0.000158371 +30 *1634:21 *5857:64 1.41689e-05 +31 *1634:22 *20733:B1 0.000206811 +32 *1634:22 *21484:A 0 +33 *1634:22 *24321:SET_B 8.91927e-05 +34 *1634:22 *24327:RESET_B 0.000619177 +35 *1634:22 *2306:21 0.000341189 +36 *1634:22 *2448:82 6.80106e-05 +37 *1634:22 *2486:39 0 +38 *1634:22 *2541:11 3.97254e-05 +39 *1634:22 *2729:47 0.000215952 +40 *1634:22 *3010:8 8.79181e-05 +41 *1634:22 *3263:23 0.000200506 +42 *1634:22 *4832:34 0.00304703 +43 *1634:22 *4832:40 9.4247e-05 +44 *1634:22 *5853:545 0 +45 *1634:30 *20212:B1 0.000717232 +46 *1634:30 *1912:10 9.40298e-05 +47 *1634:30 *2155:8 0.000330884 +48 *1634:30 *2297:60 0.000694021 +49 *1634:30 *2448:82 0.000112518 +50 *1634:30 *2530:64 0.000477073 +51 *1634:30 *2554:21 0.000110136 +52 *1634:30 *2676:20 0.000535061 +53 *1634:30 *2989:32 3.88213e-05 +54 *1634:30 *3306:32 0.000421917 +55 *1634:30 *4832:40 0.000125318 +56 *1634:30 *4843:302 6.5231e-05 +57 *1634:45 *20651:A 2.69702e-06 +58 *1634:45 *21272:B1 2.45579e-05 +59 *1634:45 *21279:B1 0.000212407 +60 *1634:45 *21436:B1 0.00137206 +61 *1634:45 *22231:A2 0.000250775 +62 *1634:45 *22231:B1 0.00173267 +63 *1634:45 *22285:A1 2.61494e-05 +64 *1634:45 *22698:A1 0.000794379 +65 *1634:45 *1652:65 0 +66 *1634:45 *1655:161 0.000255308 +67 *1634:45 *1679:68 0.000444754 +68 *1634:45 *1784:71 7.07561e-05 +69 *1634:45 *2334:15 0.000750834 +70 *1634:45 *2334:17 0.00113536 +71 *1634:45 *2404:60 0.00041035 +72 *1634:45 *2530:90 0.000986779 +73 *1634:45 *2870:21 0.000392725 +74 *1634:45 *2870:40 0.000998705 +75 *1634:45 *2881:51 5.60804e-05 +76 *1634:45 *3120:125 4.31463e-05 +77 *1634:45 *3120:130 2.78141e-05 +78 *1634:45 *3153:193 0.000115631 +79 *1634:45 *3190:107 0.000279854 +80 *1634:45 *3190:169 0.000344581 +81 *1634:45 *3238:44 0.000168336 +82 *1634:45 *3327:33 0.00027529 +83 *1634:45 *3343:19 0.000634528 +84 *1634:45 *3507:215 0.00109399 +85 *1634:45 *3521:206 0.000244424 +86 *1634:66 *22054:C1 2.05082e-05 +87 *1634:66 *1647:35 1.80692e-05 +88 *1634:66 *1716:24 0.00181072 +89 *1634:66 *1725:144 6.5358e-05 +90 *1634:66 *1725:163 4.47331e-05 +91 *1634:66 *1725:181 0.00152365 +92 *1634:66 *1796:10 8.1879e-05 +93 *1634:66 *2117:200 4.49912e-05 +94 *1634:66 *2674:8 1.22026e-05 +95 *1634:66 *3134:142 0.00047948 +96 *1634:66 *5457:13 0.000125884 +97 *1634:69 *22238:B 0.000105515 +98 *1634:69 *3111:204 0.000152164 +99 *1634:70 *1643:14 0.000213216 +100 *1634:70 *1643:21 0.00119463 +101 *1634:70 *2867:11 0.000441481 +102 *1634:70 *3209:6 0.00271517 +103 *1634:70 *3533:62 7.95249e-05 +104 *489:22 *1634:16 3.07442e-05 +105 *489:22 *1634:21 6.61183e-05 +106 *1535:22 *1634:70 0.000409823 +107 *1538:17 *1634:70 9.18679e-06 +108 *1544:133 *1634:70 5.01835e-05 +109 *1588:136 *22238:A 6.36477e-05 +110 *1588:136 *1634:69 1.37669e-05 +111 *1618:61 *22596:B1 0.000118707 +112 *1618:61 *1634:45 1.12924e-05 +113 *1631:72 *1634:22 0.000132462 +*RES +1 *19876:Y *1634:16 49.95 +2 *1634:16 *1634:21 12.4964 +3 *1634:21 *1634:22 67.4418 +4 *1634:22 *1634:30 47.2777 +5 *1634:30 *1634:45 18.0931 +6 *1634:45 *22596:B1 19.7046 +7 *1634:45 *1634:66 15.1118 +8 *1634:66 *1634:69 6.3326 +9 *1634:69 *1634:70 56.23 +10 *1634:70 *19885:A1 13.7491 +11 *1634:66 *22238:A 10.5513 +*END + +*D_NET *1635 0.0725055 +*CONN +*I *21402:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20727:B I *D sky130_fd_sc_hd__or2_1 +*I *21498:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21753:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21999:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21260:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21303:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21605:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19885:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19877:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21402:A2 7.22006e-05 +2 *20727:B 0.00163873 +3 *21498:B2 0 +4 *21753:A2 0.000176007 +5 *21999:B2 4.87301e-05 +6 *21260:A2 0 +7 *21303:A2 0.000430761 +8 *21605:A2 1.65429e-05 +9 *19885:A2 0.000327958 +10 *19877:X 0 +11 *1635:131 0.00155783 +12 *1635:120 0.00270807 +13 *1635:116 0.00261438 +14 *1635:106 0.00418444 +15 *1635:97 0.00167943 +16 *1635:83 0.00104671 +17 *1635:74 0.00105394 +18 *1635:69 0.00160744 +19 *1635:47 0.00270712 +20 *1635:42 0.00338454 +21 *1635:23 0.00286723 +22 *1635:4 0.000868639 +23 *19885:A2 *21643:B 5.89592e-05 +24 *19885:A2 *1721:88 3.81416e-06 +25 *19885:A2 *2867:11 0.000156955 +26 *19885:A2 *3209:6 0.000165933 +27 *19885:A2 *3531:73 6.66607e-05 +28 *20727:B *20214:A1 0.000665075 +29 *20727:B *20214:B1 8.03915e-05 +30 *20727:B *20216:A1 8.69672e-05 +31 *20727:B *20216:A2 8.54408e-05 +32 *20727:B *20216:B1 1.87879e-05 +33 *20727:B *20915:A 9.60366e-05 +34 *20727:B *1886:76 7.05813e-06 +35 *20727:B *2262:78 0.00026089 +36 *20727:B *2321:36 2.71298e-05 +37 *20727:B *2321:43 0.000278251 +38 *20727:B *2373:17 5.71409e-06 +39 *20727:B *2452:14 1.89527e-06 +40 *20727:B *4812:18 1.79334e-05 +41 *20727:B *4835:49 0.000104411 +42 *20727:B *4844:182 7.13655e-06 +43 *20727:B *4845:229 1.59772e-05 +44 *20727:B *4902:57 0.000153466 +45 *20727:B *4902:59 4.6362e-05 +46 *20727:B *4902:71 8.39243e-05 +47 *20727:B *5086:20 0.000215601 +48 *21303:A2 *22402:A2 0.000306015 +49 *21303:A2 *22402:B2 6.95335e-05 +50 *21303:A2 *2331:29 0.000440127 +51 *21303:A2 *2336:21 0.000440168 +52 *21402:A2 *2433:59 0.000161243 +53 *21402:A2 *2460:41 9.61086e-05 +54 *21402:A2 *2460:51 6.08467e-05 +55 *21605:A2 *21605:A1 4.31603e-06 +56 *21605:A2 *21605:B1 1.47978e-05 +57 *21753:A2 *2764:55 1.88014e-05 +58 *21753:A2 *2764:71 6.53502e-05 +59 *21753:A2 *3529:159 0.000107078 +60 *21753:A2 *3533:108 0.00018075 +61 *21999:B2 *3013:16 7.92757e-06 +62 *1635:23 *21605:B1 0.000158371 +63 *1635:23 *21605:C1 3.54024e-05 +64 *1635:23 *21643:B 3.31745e-05 +65 *1635:23 *2556:28 0.000213832 +66 *1635:23 *3537:58 2.77625e-06 +67 *1635:23 *3739:8 0.000210291 +68 *1635:42 *21605:A1 0.000154333 +69 *1635:42 *21618:B 0.000107496 +70 *1635:42 *22625:B1 2.77419e-05 +71 *1635:42 *1662:87 0.000293467 +72 *1635:42 *1751:145 3.2844e-05 +73 *1635:42 *1800:75 0.000631722 +74 *1635:42 *1800:95 0.000129141 +75 *1635:42 *2564:68 9.91323e-05 +76 *1635:42 *2569:27 0.000110297 +77 *1635:42 *2880:45 7.97691e-06 +78 *1635:42 *2887:41 0.000123931 +79 *1635:42 *2887:66 0.00010404 +80 *1635:42 *3238:16 1.3706e-05 +81 *1635:42 *3527:54 0.000337302 +82 *1635:42 *3529:39 0.00140706 +83 *1635:42 *3537:58 1.58551e-05 +84 *1635:42 *3568:8 0.00030674 +85 *1635:47 *22617:A 1.28732e-05 +86 *1635:47 *22625:B1 3.49333e-05 +87 *1635:47 *22695:B1 5.49916e-05 +88 *1635:47 *1744:219 3.38973e-05 +89 *1635:47 *1800:95 0.000112568 +90 *1635:47 *1800:112 4.15661e-05 +91 *1635:47 *2857:18 1.62456e-05 +92 *1635:47 *3206:193 0.000624943 +93 *1635:47 *3238:16 0.0012053 +94 *1635:47 *3581:29 0.000535703 +95 *1635:69 *2287:112 0.000154009 +96 *1635:69 *2472:27 0.000345019 +97 *1635:69 *3020:26 0.000235966 +98 *1635:69 *3206:193 0.00125077 +99 *1635:74 *21260:A1 9.7112e-06 +100 *1635:74 *21261:D 1.30901e-05 +101 *1635:74 *2287:112 0.000185041 +102 *1635:74 *2312:26 0.000674402 +103 *1635:74 *2322:7 0.00111296 +104 *1635:74 *2462:8 0.000135973 +105 *1635:74 *2473:57 9.84424e-06 +106 *1635:83 *21260:A1 5.48491e-05 +107 *1635:83 *2305:49 0.000376549 +108 *1635:83 *2462:8 0.000990965 +109 *1635:97 *21999:A1 0.000515396 +110 *1635:97 *2534:23 4.31485e-06 +111 *1635:97 *2906:52 6.55401e-06 +112 *1635:97 *3359:14 0.000483741 +113 *1635:106 *20212:A2 1.9366e-05 +114 *1635:106 *21179:A 7.08288e-05 +115 *1635:106 *21292:A 8.28334e-05 +116 *1635:106 *21999:A1 0.000759964 +117 *1635:106 *22404:C 0 +118 *1635:106 *24767:CLK 1.30575e-05 +119 *1635:106 *24770:CLK 4.62492e-05 +120 *1635:106 *1663:27 0.000291502 +121 *1635:106 *2360:57 2.8732e-05 +122 *1635:106 *2554:21 0.000165556 +123 *1635:106 *2870:21 4.01529e-05 +124 *1635:106 *2906:52 9.66886e-05 +125 *1635:106 *3010:50 8.48439e-05 +126 *1635:106 *3359:14 0.000262103 +127 *1635:106 *4843:784 5.12109e-05 +128 *1635:116 *1663:27 0.00369176 +129 *1635:116 *2374:48 3.56486e-06 +130 *1635:116 *2554:21 0.0028843 +131 *1635:116 *3114:251 0.000199622 +132 *1635:116 *3177:327 0.000115525 +133 *1635:116 *3212:19 0.000195696 +134 *1635:116 *3326:15 1.56847e-05 +135 *1635:120 *22218:B2 0.000788513 +136 *1635:120 *2374:48 6.04981e-05 +137 *1635:120 *2508:7 0.00082879 +138 *1635:120 *3148:117 0.000884052 +139 *1635:120 *3175:143 0.000646381 +140 *1635:120 *3175:163 0.000522119 +141 *1635:120 *3175:180 0.000976051 +142 *1635:131 *22225:D 1.5714e-05 +143 *1635:131 *22249:B 0.000452161 +144 *1635:131 *1658:73 3.61033e-05 +145 *1635:131 *1663:27 0.000108295 +146 *1635:131 *1716:16 5.39404e-06 +147 *1635:131 *1733:75 5.60804e-05 +148 *1635:131 *2554:21 0.00171473 +149 *1635:131 *2554:35 0.000133583 +150 *1635:131 *2822:8 7.26486e-05 +151 *1635:131 *3070:32 0.000122333 +152 *1635:131 *3070:36 8.83196e-05 +153 *1635:131 *3533:108 0.000312094 +154 *19877:A *19885:A2 0.000154145 +155 *19877:B *19885:A2 0 +156 *21417:A2 *1635:69 3.9732e-05 +157 *21498:A2 *21753:A2 7.59407e-05 +158 *21498:A2 *1635:131 5.8518e-05 +159 *21605:B2 *1635:23 2.59398e-05 +160 *21753:B2 *21753:A2 6.3657e-05 +161 *21999:A2 *21999:B2 5.04829e-06 +162 *21999:A2 *1635:97 1.34424e-05 +163 *24767:D *1635:106 0.000156136 +164 *512:38 *20727:B 0.000270116 +165 *527:8 *1635:47 7.94607e-05 +166 *1418:140 *20727:B 0.000457787 +167 *1537:208 *19885:A2 2.77419e-05 +168 *1543:17 *1635:47 0.000627128 +169 *1543:17 *1635:69 0.0018484 +170 *1544:151 *19885:A2 0.000154145 +171 *1561:154 *19885:A2 5.51483e-06 +172 *1564:171 *1635:83 0.000456485 +173 *1588:107 *1635:42 0.000147524 +174 *1601:16 *21753:A2 0 +175 *1608:46 *1635:47 3.10916e-05 +176 *1616:123 *21999:B2 1.65872e-05 +177 *1616:123 *1635:97 0.000300565 +178 *1617:12 *1635:106 1.66771e-05 +179 *1625:83 *1635:42 0.00285043 +180 *1627:49 *1635:42 0.000216862 +*RES +1 *19877:X *1635:4 9.24915 +2 *1635:4 *19885:A2 27.457 +3 *1635:4 *1635:23 20.3405 +4 *1635:23 *21605:A2 9.82786 +5 *1635:23 *1635:42 37.1539 +6 *1635:42 *1635:47 37.7953 +7 *1635:47 *21303:A2 32.0407 +8 *1635:47 *1635:69 44.7374 +9 *1635:69 *1635:74 21.057 +10 *1635:74 *21260:A2 13.7491 +11 *1635:74 *1635:83 25.0183 +12 *1635:83 *21999:B2 10.5513 +13 *1635:83 *1635:97 18.0357 +14 *1635:97 *1635:106 36.0362 +15 *1635:106 *1635:116 16.5014 +16 *1635:116 *1635:120 47.096 +17 *1635:120 *1635:131 15.6144 +18 *1635:131 *21753:A2 20.184 +19 *1635:131 *21498:B2 13.7491 +20 *1635:106 *20727:B 44.5743 +21 *1635:97 *21402:A2 16.3145 +*END + +*D_NET *1636 0.0765157 +*CONN +*I *22409:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19885:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22737:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19878:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22409:A1 4.70398e-05 +2 *19885:B1 0.000322732 +3 *22737:A1 0.000137939 +4 *19878:Y 0.000926678 +5 *1636:108 0.00183478 +6 *1636:105 0.00162327 +7 *1636:82 0.00251766 +8 *1636:68 0.0085152 +9 *1636:52 0.00745166 +10 *1636:36 0.00231326 +11 *1636:33 0.00311147 +12 *1636:20 0.00414048 +13 *1636:10 0.00301696 +14 *19885:B1 *6088:DIODE 4.65119e-05 +15 *19885:B1 *21695:A2 3.77568e-05 +16 *19885:B1 *1688:98 1.91246e-05 +17 *19885:B1 *1721:88 0.000304331 +18 *19885:B1 *1723:77 2.80643e-05 +19 *19885:B1 *2680:52 0.000228632 +20 *22409:A1 *2667:93 7.56514e-05 +21 *22409:A1 *2935:8 6.85547e-05 +22 *22737:A1 *21456:B1 7.98171e-06 +23 *22737:A1 *21456:C1 2.53145e-06 +24 *22737:A1 *3678:21 4.52511e-05 +25 *1636:10 *20236:B1 7.02227e-05 +26 *1636:10 *21036:A2 2.70705e-05 +27 *1636:10 *24241:RESET_B 0.000342059 +28 *1636:10 *2641:19 0.000154806 +29 *1636:10 *2815:8 0 +30 *1636:10 *2972:18 0.000104706 +31 *1636:20 *21959:B1 8.73097e-05 +32 *1636:20 *1894:25 1.5714e-05 +33 *1636:20 *2398:55 0.000660213 +34 *1636:20 *2398:59 0.00129132 +35 *1636:20 *2973:10 0.00104764 +36 *1636:20 *4950:39 1.9101e-05 +37 *1636:33 *20978:A1 0.000178437 +38 *1636:33 *3177:50 0.000198225 +39 *1636:33 *3177:55 0.000353997 +40 *1636:33 *3212:73 0.000107496 +41 *1636:33 *3547:26 0.0003967 +42 *1636:33 *5968:9 0.000109068 +43 *1636:36 *24368:CLK 5.47537e-05 +44 *1636:36 *2103:10 0.000408405 +45 *1636:36 *4875:140 0.000684654 +46 *1636:52 *20846:B1 7.77309e-06 +47 *1636:52 *20849:B1_N 0.000201595 +48 *1636:52 *20856:B2 0.000162086 +49 *1636:52 *22143:B 0 +50 *1636:52 *24366:CLK 0 +51 *1636:52 *2105:9 1.53909e-05 +52 *1636:52 *2667:42 0 +53 *1636:52 *3076:46 2.95757e-05 +54 *1636:52 *4843:488 6.11359e-06 +55 *1636:52 *4845:344 2.652e-05 +56 *1636:52 *5857:189 0 +57 *1636:52 *5953:32 1.72134e-05 +58 *1636:52 *5954:11 0 +59 *1636:68 *21370:A1_N 2.9143e-05 +60 *1636:68 *21702:A1 0.000409181 +61 *1636:68 *1709:87 0.000393326 +62 *1636:68 *2109:8 9.2346e-06 +63 *1636:68 *2379:17 1.97426e-05 +64 *1636:68 *2456:30 0.000160022 +65 *1636:68 *2667:93 0.000128772 +66 *1636:68 *2689:35 0.00140678 +67 *1636:68 *2694:36 0.000172625 +68 *1636:68 *2916:20 0.00121032 +69 *1636:68 *2924:89 0.00122862 +70 *1636:68 *2935:8 0.00013346 +71 *1636:68 *3052:28 0.000163315 +72 *1636:68 *3076:58 0.00129855 +73 *1636:68 *3132:29 0.000160612 +74 *1636:68 *3175:19 0.00125108 +75 *1636:68 *3496:29 5.93953e-05 +76 *1636:68 *4804:37 6.23101e-05 +77 *1636:68 *4824:180 0.000216902 +78 *1636:68 *4844:303 0 +79 *1636:68 *5683:16 0.00168144 +80 *1636:68 *5794:45 1.92336e-05 +81 *1636:68 *5949:43 0.000154139 +82 *1636:82 *21456:C1 0.000273061 +83 *1636:82 *22005:C1 0.000434627 +84 *1636:82 *22803:B1 0.000340491 +85 *1636:82 *1660:66 8.22964e-06 +86 *1636:82 *1663:15 0.000361635 +87 *1636:82 *2281:13 0.00212414 +88 *1636:82 *2338:41 0.00134767 +89 *1636:82 *2338:72 0.000325396 +90 *1636:82 *2407:22 0.000306912 +91 *1636:82 *3001:22 0.000159964 +92 *1636:82 *3166:36 0.00211382 +93 *1636:105 *21456:B1 1.57428e-05 +94 *1636:108 *21468:A2 5.8518e-05 +95 *1636:108 *21696:A1 0.000173261 +96 *1636:108 *1688:98 1.26119e-05 +97 *1636:108 *1723:77 3.04443e-05 +98 *1636:108 *1762:119 0.000184648 +99 *1636:108 *1798:62 3.08958e-05 +100 *1636:108 *2583:61 6.87503e-05 +101 *1636:108 *2726:22 3.3239e-06 +102 *1636:108 *3190:45 0.000274202 +103 *1636:108 *3519:93 0.000167204 +104 *1636:108 *3685:22 4.59247e-05 +105 *19633:A *1636:108 0.000127944 +106 *19826:A *1636:108 4.44414e-05 +107 *19852:A *1636:108 4.17069e-06 +108 *19891:B *1636:108 0.000133006 +109 *20978:A2 *1636:33 7.50722e-05 +110 *21012:A *1636:33 0 +111 *21012:A *1636:36 8.62625e-06 +112 *24751:D *1636:20 0.000115934 +113 *74:18 *1636:33 0.00446342 +114 *499:18 *1636:33 0.000161138 +115 *500:73 *1636:33 0.000111722 +116 *504:16 *1636:33 5.65463e-05 +117 *504:16 *1636:36 0.002202 +118 *504:16 *1636:52 0.00020406 +119 *507:21 *1636:33 2.66622e-05 +120 *508:28 *1636:33 0 +121 *526:16 *1636:20 1.75155e-06 +122 *541:28 *1636:68 0.0029699 +123 *541:28 *1636:82 0.000112302 +124 *547:33 *1636:68 2.14184e-05 +125 *549:23 *1636:68 0.000131059 +126 *1435:125 *1636:108 0.000402491 +127 *1459:110 *1636:108 7.5909e-06 +128 *1459:129 *1636:108 4.62301e-05 +129 *1496:111 *1636:108 0.000731141 +130 *1496:127 *1636:108 4.42033e-05 +131 *1510:116 *1636:82 2.3407e-05 +132 *1510:116 *1636:108 8.69165e-05 +133 *1510:117 *1636:108 0.000247834 +134 *1515:101 *1636:108 0.000334699 +135 *1537:101 *19885:B1 1.01851e-05 +136 *1537:208 *19885:B1 6.61624e-05 +137 *1573:49 *1636:10 0.000712371 +*RES +1 *19878:Y *1636:10 39.6414 +2 *1636:10 *1636:20 48.5406 +3 *1636:20 *1636:33 33.3264 +4 *1636:33 *1636:36 41.9527 +5 *1636:36 *1636:52 41.7252 +6 *1636:52 *1636:68 49.5835 +7 *1636:68 *1636:82 26.7215 +8 *1636:82 *22737:A1 13.1495 +9 *1636:82 *1636:105 6.91132 +10 *1636:105 *1636:108 44.09 +11 *1636:108 *19885:B1 23.0557 +12 *1636:68 *22409:A1 15.3735 +*END + +*D_NET *1637 0.0449663 +*CONN +*I *21589:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21623:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19885:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21800:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22005:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20946:B I *D sky130_fd_sc_hd__or2_1 +*I *19879:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21589:A2 0.000119063 +2 *21623:A2 0 +3 *19885:B2 0.000948633 +4 *21800:B2 0.000110577 +5 *22005:A2 9.71382e-05 +6 *20946:B 0 +7 *19879:X 0.000476104 +8 *1637:90 0.00119523 +9 *1637:87 0.00112645 +10 *1637:69 0.00113806 +11 *1637:53 0.00134272 +12 *1637:46 0.00226363 +13 *1637:42 0.00377964 +14 *1637:25 0.00122523 +15 *1637:16 0.00216165 +16 *1637:9 0.00414555 +17 *19885:B2 *1643:14 2.99287e-05 +18 *19885:B2 *1658:27 0.000391697 +19 *19885:B2 *1721:88 6.7671e-06 +20 *19885:B2 *1778:10 0.000156946 +21 *19885:B2 *2093:79 0.000560836 +22 *19885:B2 *2670:11 0.000114524 +23 *19885:B2 *3071:67 0.000120121 +24 *21589:A2 *21589:A1 0.000155935 +25 *21800:B2 *21599:B1 0.00025175 +26 *21800:B2 *21801:A1 0.000263135 +27 *21800:B2 *21801:C1 4.97617e-05 +28 *21800:B2 *22664:B2 6.6641e-05 +29 *22005:A2 *22005:A1 1.41976e-05 +30 *22005:A2 *22005:B2 4.40548e-05 +31 *22005:A2 *2531:65 7.98171e-06 +32 *22005:A2 *3513:57 0 +33 *1637:9 *21411:B2 0.000260388 +34 *1637:9 *21411:C1 9.07581e-05 +35 *1637:9 *1651:7 0.000164815 +36 *1637:9 *1762:138 0.00098806 +37 *1637:9 *2466:29 4.62164e-05 +38 *1637:16 *19829:A 0.000183533 +39 *1637:16 *20913:A1 0.000187295 +40 *1637:16 *1671:21 0.000546224 +41 *1637:16 *1671:102 0.000276585 +42 *1637:16 *1742:30 0.000525075 +43 *1637:16 *2146:23 0.000187483 +44 *1637:16 *2146:36 0.000643346 +45 *1637:16 *2586:43 0.000270673 +46 *1637:16 *2761:6 3.06917e-06 +47 *1637:16 *5860:482 0.000140606 +48 *1637:25 *20912:B1 4.01529e-05 +49 *1637:25 *21530:A2 0.000132871 +50 *1637:25 *24875:SET_B 0.000195246 +51 *1637:25 *1671:21 3.74864e-05 +52 *1637:25 *1746:8 0.000518841 +53 *1637:25 *2753:67 0.000500188 +54 *1637:25 *5745:12 0.000154009 +55 *1637:25 *5745:32 3.12913e-05 +56 *1637:25 *5860:482 9.30565e-05 +57 *1637:25 *5870:425 0.000286688 +58 *1637:42 *21731:B2 0.000161161 +59 *1637:42 *21968:A1 3.86082e-05 +60 *1637:42 *22005:C1 0.000539116 +61 *1637:42 *22431:A1 0.00041704 +62 *1637:42 *1650:14 0.000354723 +63 *1637:42 *1651:29 0 +64 *1637:42 *1671:102 0.000533498 +65 *1637:42 *1680:34 5.92192e-05 +66 *1637:42 *1709:87 0.000217396 +67 *1637:42 *1715:127 3.9845e-05 +68 *1637:42 *1742:30 8.78277e-05 +69 *1637:42 *2338:41 9.57101e-06 +70 *1637:42 *2586:43 1.93614e-05 +71 *1637:42 *2586:59 2.0388e-06 +72 *1637:42 *2675:63 0.000369775 +73 *1637:42 *2763:20 0.00224223 +74 *1637:42 *2773:10 2.30271e-05 +75 *1637:42 *2950:125 0.00041021 +76 *1637:42 *3071:26 2.61028e-05 +77 *1637:46 *21589:A1 5.04829e-06 +78 *1637:46 *21590:A 0.000183915 +79 *1637:46 *1648:45 0.000848388 +80 *1637:46 *1648:47 7.44441e-06 +81 *1637:46 *1649:57 8.05411e-05 +82 *1637:46 *1660:85 0.000193984 +83 *1637:46 *2641:68 5.481e-05 +84 *1637:46 *3023:42 0.00031879 +85 *1637:46 *3736:31 1.49077e-05 +86 *1637:53 *22335:B1 0.000122548 +87 *1637:53 *2502:59 0.000654817 +88 *1637:53 *2549:17 2.41556e-05 +89 *1637:53 *3023:42 0.000411589 +90 *1637:53 *3071:57 0.00110963 +91 *1637:53 *3513:57 3.63738e-05 +92 *1637:53 *3517:36 0.0002203 +93 *1637:53 *3736:31 0.000171849 +94 *1637:69 *21623:A1 0.000124158 +95 *1637:69 *3023:42 0.0001096 +96 *1637:69 *3071:57 3.35012e-05 +97 *1637:69 *3111:63 5.51483e-06 +98 *1637:87 *21525:B 6.85778e-05 +99 *1637:87 *21624:B1 0.000254551 +100 *1637:87 *22539:B1 0.000199429 +101 *1637:87 *1648:47 5.67023e-05 +102 *1637:87 *1662:80 4.76248e-05 +103 *1637:87 *2569:41 4.19016e-05 +104 *1637:87 *2667:116 0.00018847 +105 *1637:87 *2675:99 0.00058541 +106 *1637:87 *3015:28 0.000592328 +107 *1637:87 *3111:63 7.92757e-06 +108 *1637:90 *2670:11 0.000175251 +109 *1637:90 *3071:67 0.000171825 +110 *19793:A *1637:42 0.000140897 +111 *19844:A *19885:B2 4.45999e-05 +112 *19844:B *19885:B2 4.90748e-05 +113 *19877:B *19885:B2 4.17531e-06 +114 *19893:A *1637:9 0.000172489 +115 *19899:A *19885:B2 2.07503e-05 +116 *19899:B *19885:B2 2.81262e-05 +117 *21411:A2 *1637:9 2.16355e-05 +118 *543:10 *1637:46 0.00053883 +119 *543:12 *1637:46 0.000219213 +120 *1443:49 *1637:42 0 +121 *1488:8 *1637:25 0.000352221 +122 *1551:17 *1637:42 5.74984e-05 +123 *1561:154 *1637:87 0.0005826 +124 *1584:20 *1637:87 4.53566e-05 +125 *1584:49 *1637:87 3.74542e-05 +126 *1602:7 *19885:B2 0.000624943 +127 *1614:11 *1637:87 5.04829e-06 +128 *1614:19 *1637:69 0.000154145 +129 *1614:19 *1637:87 6.08467e-05 +130 *1620:11 *21589:A2 0.000265917 +*RES +1 *19879:X *1637:9 30.5802 +2 *1637:9 *1637:16 35.3575 +3 *1637:16 *1637:25 44.2943 +4 *1637:25 *20946:B 9.24915 +5 *1637:9 *1637:42 30.855 +6 *1637:42 *1637:46 35.3636 +7 *1637:46 *1637:53 37.4271 +8 *1637:53 *22005:A2 16.1846 +9 *1637:53 *1637:69 8.9951 +10 *1637:69 *1637:87 45.9298 +11 *1637:87 *1637:90 8.40826 +12 *1637:90 *21800:B2 18.9335 +13 *1637:90 *19885:B2 39.3433 +14 *1637:69 *21623:A2 9.24915 +15 *1637:46 *21589:A2 13.8548 +*END + +*D_NET *1638 0.0058869 +*CONN +*I *22424:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19884:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19880:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22424:B1 2.91441e-05 +2 *19884:A1 0.0014587 +3 *19880:Y 0.000620551 +4 *1638:11 0.00210839 +5 *19884:A1 *19884:B1 0.000107496 +6 *19884:A1 *19884:B2 6.08467e-05 +7 *19884:A1 *21665:A1 3.44249e-05 +8 *19884:A1 *21665:C1 1.03403e-05 +9 *19884:A1 *22446:A1 9.39245e-06 +10 *19884:A1 *22446:A2 7.98425e-06 +11 *19884:A1 *22468:B2 2.77625e-06 +12 *19884:A1 *1639:85 1.33284e-05 +13 *19884:A1 *2701:17 9.17984e-06 +14 *19884:A1 *3003:30 3.32913e-06 +15 *19884:A1 *3144:65 0.000161911 +16 *22424:B1 *22468:B2 3.58531e-05 +17 *1638:11 *21684:B 5.94319e-06 +18 *1638:11 *22424:C1 0.000344696 +19 *1638:11 *22468:B2 1.58551e-05 +20 *1638:11 *22511:A1 0.000118166 +21 *1638:11 *22511:A2 0.000211559 +22 *1638:11 *22512:B1 0.000122378 +23 *1638:11 *22512:C1 6.08467e-05 +24 *1638:11 *1755:110 0.000108248 +25 *1638:11 *3177:168 8.62625e-06 +26 *21665:B1 *19884:A1 0.000216936 +27 *1573:8 *1638:11 0 +*RES +1 *19880:Y *1638:11 33.5899 +2 *1638:11 *19884:A1 33.1453 +3 *1638:11 *22424:B1 10.2378 +*END + +*D_NET *1639 0.026689 +*CONN +*I *21598:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22004:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19884:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21461:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20681:B I *D sky130_fd_sc_hd__or2_1 +*I *21819:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19881:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21598:B2 2.47141e-05 +2 *22004:A2 0 +3 *19884:A2 0 +4 *21461:B2 1.71979e-05 +5 *20681:B 0 +6 *21819:B2 0.00101615 +7 *19881:X 0 +8 *1639:99 0.00103752 +9 *1639:85 0.00215743 +10 *1639:69 0.00171293 +11 *1639:65 0.00134577 +12 *1639:25 0.00115923 +13 *1639:20 0.0010708 +14 *1639:4 0.00163857 +15 *21461:B2 *2518:8 6.50586e-05 +16 *21598:B2 *2718:17 5.13937e-05 +17 *21598:B2 *4854:6 3.92918e-05 +18 *21819:B2 *20681:A 0.000107496 +19 *21819:B2 *20686:A1 0.000493634 +20 *21819:B2 *21818:A 9.32983e-05 +21 *21819:B2 *21819:A1 3.42318e-05 +22 *21819:B2 *21819:B1 3.57159e-05 +23 *21819:B2 *1764:13 2.77625e-06 +24 *21819:B2 *1947:228 0.000233995 +25 *21819:B2 *2036:7 2.61955e-05 +26 *21819:B2 *2835:7 0.000112149 +27 *21819:B2 *5917:530 0.000215419 +28 *21819:B2 *5917:560 2.77564e-05 +29 *1639:20 *20687:A2 7.57527e-05 +30 *1639:20 *24446:RESET_B 7.18018e-05 +31 *1639:20 *24446:CLK 0.000257028 +32 *1639:20 *1689:47 0.000386623 +33 *1639:20 *1762:13 2.7285e-05 +34 *1639:20 *2036:55 9.97098e-05 +35 *1639:20 *2469:35 0.000120024 +36 *1639:20 *2517:13 0.000323673 +37 *1639:20 *2939:35 3.3884e-05 +38 *1639:20 *2976:18 6.34651e-06 +39 *1639:20 *5332:10 6.08467e-05 +40 *1639:20 *5917:560 0.000347035 +41 *1639:25 *20681:A 0.00011818 +42 *1639:25 *2036:7 1.96574e-05 +43 *1639:25 *2469:35 0.000157691 +44 *1639:25 *2514:16 3.41767e-05 +45 *1639:25 *2517:13 7.09666e-06 +46 *1639:65 *21462:A1 8.6297e-06 +47 *1639:65 *21926:B1 0.000123688 +48 *1639:65 *22446:C1 2.43314e-05 +49 *1639:65 *1791:28 0.000483253 +50 *1639:65 *2578:15 0.000210804 +51 *1639:65 *2732:29 3.12472e-05 +52 *1639:69 *22446:C1 6.08467e-05 +53 *1639:69 *1641:26 0.00030986 +54 *1639:69 *1685:26 0.000174561 +55 *1639:69 *2749:11 0.000300565 +56 *1639:69 *2749:38 0.00165286 +57 *1639:69 *3206:37 0.00143382 +58 *1639:69 *3206:39 0.000107496 +59 *1639:69 *3206:41 0.000253916 +60 *1639:85 *19929:A1 7.92757e-06 +61 *1639:85 *20034:B 0.000107496 +62 *1639:85 *21598:B1 9.27416e-05 +63 *1639:85 *21665:B2 0.000451277 +64 *1639:85 *21703:B 0.000678681 +65 *1639:85 *1641:26 5.57353e-05 +66 *1639:85 *1641:38 5.64902e-05 +67 *1639:85 *1675:32 4.35741e-05 +68 *1639:99 *22728:B1 5.19758e-05 +69 *1639:99 *1730:43 0.000338836 +70 *1639:99 *2547:12 0.00101722 +71 *1639:99 *2718:17 0.000191038 +72 *1639:99 *2949:32 0.000251655 +73 *1639:99 *3018:8 0.00101362 +74 *1639:99 *3669:8 0.000200794 +75 *1639:99 *4854:6 8.6953e-05 +76 *19884:A1 *1639:85 1.33284e-05 +77 *20006:A *1639:25 6.50727e-05 +78 *21461:A2 *21461:B2 2.16355e-05 +79 *21665:B1 *1639:85 1.77137e-05 +80 *494:58 *1639:85 0.000925386 +81 *1435:21 *1639:20 0.000267603 +82 *1435:42 *1639:20 5.22909e-05 +83 *1435:42 *1639:65 0.000433813 +84 *1466:41 *1639:20 4.85712e-05 +85 *1496:75 *1639:85 7.85871e-06 +86 *1501:34 *1639:20 1.14055e-05 +87 *1541:69 *1639:69 1.47773e-05 +88 *1541:90 *1639:69 2.81881e-05 +89 *1541:90 *1639:85 1.48503e-05 +90 *1544:76 *1639:85 1.37563e-05 +91 *1573:8 *1639:20 6.52993e-05 +*RES +1 *19881:X *1639:4 9.24915 +2 *1639:4 *1639:20 42.6533 +3 *1639:20 *1639:25 9.41035 +4 *1639:25 *21819:B2 38.5168 +5 *1639:25 *20681:B 9.24915 +6 *1639:20 *21461:B2 14.4725 +7 *1639:4 *1639:65 29.217 +8 *1639:65 *1639:69 33.2527 +9 *1639:69 *19884:A2 13.7491 +10 *1639:69 *1639:85 35.5775 +11 *1639:85 *1639:99 46.0878 +12 *1639:99 *22004:A2 9.24915 +13 *1639:85 *21598:B2 14.7506 +*END + +*D_NET *1640 0.0205025 +*CONN +*I *22733:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22420:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19884:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19882:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22733:A1 0.000138382 +2 *22420:A1 3.37551e-05 +3 *19884:B1 3.51473e-05 +4 *19882:Y 0.00020055 +5 *1640:24 0.00107175 +6 *1640:12 0.00200465 +7 *1640:8 0.00311602 +8 *1640:7 0.00224668 +9 *19884:B1 *1642:11 3.82228e-05 +10 *22420:A1 *21789:C1 2.16355e-05 +11 *22733:A1 *21789:C1 6.63489e-05 +12 *22733:A1 *22733:B1 0.000135127 +13 *22733:A1 *22743:C 4.65164e-05 +14 *1640:7 *2446:15 0.000317707 +15 *1640:8 *24518:RESET_B 0.000106359 +16 *1640:8 *1711:15 2.01082e-05 +17 *1640:8 *1711:65 3.57291e-06 +18 *1640:8 *1766:50 4.89947e-06 +19 *1640:8 *5870:270 0.00012579 +20 *1640:8 *5870:272 0.000100261 +21 *1640:8 *5870:628 0.000126552 +22 *1640:12 *20036:B 0.000136838 +23 *1640:12 *21927:A1 9.69821e-05 +24 *1640:12 *21946:A 1.16596e-05 +25 *1640:12 *1641:26 0.00025439 +26 *1640:12 *1677:52 0.000187135 +27 *1640:12 *1711:65 0 +28 *1640:12 *1766:50 0.000723844 +29 *1640:12 *1766:67 3.14242e-05 +30 *1640:12 *1802:8 0.000872155 +31 *1640:12 *2959:48 0.000617419 +32 *1640:12 *2979:46 2.64074e-05 +33 *1640:24 *22419:A2 0.000145934 +34 *1640:24 *22420:A2 3.55719e-05 +35 *1640:24 *22425:B 0.000296549 +36 *1640:24 *22426:C 3.56264e-05 +37 *1640:24 *22437:C1 9.51132e-06 +38 *1640:24 *1642:11 1.45734e-05 +39 *1640:24 *1645:15 0.000395056 +40 *1640:24 *1675:32 3.57378e-06 +41 *1640:24 *1732:92 0 +42 *1640:24 *1802:8 0.000291736 +43 *1640:24 *1802:30 0.000409517 +44 *1640:24 *2959:48 0.000863 +45 *1640:24 *2999:38 0 +46 *1640:24 *3168:29 8.20742e-06 +47 *19883:A *1640:8 1.68959e-05 +48 *19884:A1 *19884:B1 0.000107496 +49 *19927:A *1640:12 1.2851e-05 +50 *476:46 *1640:8 0.000482982 +51 *476:46 *1640:12 8.24441e-06 +52 *1427:13 *1640:8 0.00161469 +53 *1427:153 *1640:8 0.000539571 +54 *1437:50 *1640:8 0.000179056 +55 *1438:6 *1640:8 0.000273832 +56 *1438:78 *1640:8 0.000195393 +57 *1471:75 *1640:8 0.00162384 +58 *1541:23 *1640:12 2.04806e-05 +*RES +1 *19882:Y *1640:7 17.2456 +2 *1640:7 *1640:8 68.6876 +3 *1640:8 *1640:12 34.5392 +4 *1640:12 *19884:B1 15.0271 +5 *1640:12 *1640:24 34.312 +6 *1640:24 *22420:A1 9.97254 +7 *1640:24 *22733:A1 13.0229 +*END + +*D_NET *1641 0.0740952 +*CONN +*I *21850:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21635:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22047:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21576:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19884:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21077:B I *D sky130_fd_sc_hd__or2_1 +*I *19883:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21850:A2 2.02907e-05 +2 *21635:A2 9.49679e-05 +3 *22047:B2 0 +4 *21576:B2 7.1188e-05 +5 *19884:B2 2.86212e-05 +6 *21077:B 2.80066e-05 +7 *19883:X 8.78719e-05 +8 *1641:158 0.00142476 +9 *1641:141 0.00345615 +10 *1641:138 0.00266299 +11 *1641:130 0.00215972 +12 *1641:120 0.00162308 +13 *1641:118 6.47229e-05 +14 *1641:117 0.000320835 +15 *1641:109 0.00116036 +16 *1641:102 0.00157386 +17 *1641:83 0.00134364 +18 *1641:77 0.00230393 +19 *1641:60 0.00342872 +20 *1641:57 0.0032296 +21 *1641:38 0.00200146 +22 *1641:26 0.00178992 +23 *1641:10 0.00270713 +24 *1641:9 0.00288394 +25 *1641:5 0.00157479 +26 *21077:B *5856:405 6.50727e-05 +27 *21576:B2 *22337:D 5.481e-05 +28 *21576:B2 *1680:111 0.000167804 +29 *21635:A2 *21635:B1 0.000107496 +30 *21635:A2 *2887:23 0.000296418 +31 *21635:A2 *3117:162 2.57365e-05 +32 *21635:A2 *3136:180 8.53046e-05 +33 *21850:A2 *2746:17 4.88955e-05 +34 *1641:5 *1671:17 5.51483e-06 +35 *1641:9 *1671:17 1.00846e-05 +36 *1641:10 *20575:A 3.02551e-05 +37 *1641:10 *20575:B 6.85742e-05 +38 *1641:10 *20583:A2 8.56966e-05 +39 *1641:10 *1689:47 2.09059e-05 +40 *1641:10 *1698:38 0.000290379 +41 *1641:10 *1711:65 0.000173425 +42 *1641:10 *1740:14 3.80436e-07 +43 *1641:10 *1740:16 3.07483e-05 +44 *1641:10 *1740:98 5.77559e-05 +45 *1641:10 *2003:8 3.00925e-05 +46 *1641:10 *2003:48 4.00594e-05 +47 *1641:10 *2505:23 0.000369916 +48 *1641:10 *5856:405 7.22578e-05 +49 *1641:10 *5870:272 0 +50 *1641:26 *21927:A1 6.01329e-05 +51 *1641:26 *1685:26 7.20885e-05 +52 *1641:26 *1714:42 1.25867e-05 +53 *1641:26 *1757:57 0.000253916 +54 *1641:26 *1802:8 8.90701e-06 +55 *1641:26 *1802:172 0.000483001 +56 *1641:26 *1804:17 1.91391e-05 +57 *1641:26 *2949:32 9.60216e-05 +58 *1641:26 *2960:16 0 +59 *1641:26 *3206:37 0.000383897 +60 *1641:38 *1642:11 3.86297e-05 +61 *1641:38 *1675:32 0.00019317 +62 *1641:38 *2718:8 7.39749e-05 +63 *1641:38 *2746:17 0.000651612 +64 *1641:38 *3206:37 9.59057e-05 +65 *1641:57 *21850:A1 6.09999e-05 +66 *1641:57 *21850:B1 0.000177774 +67 *1641:57 *22458:B2 1.12969e-05 +68 *1641:57 *22464:A1 4.70104e-05 +69 *1641:57 *22769:A2 7.18018e-05 +70 *1641:57 *22779:C 2.77625e-06 +71 *1641:57 *22797:D 0.000111722 +72 *1641:57 *1651:52 2.78219e-06 +73 *1641:57 *2519:38 0.000100869 +74 *1641:57 *2586:61 0.000372895 +75 *1641:57 *2864:93 2.16355e-05 +76 *1641:57 *2865:32 1.85244e-05 +77 *1641:57 *3164:15 7.97785e-05 +78 *1641:57 *3185:30 4.23274e-05 +79 *1641:57 *3491:75 0.000995107 +80 *1641:60 *1661:24 5.19897e-05 +81 *1641:60 *3114:29 0.00231525 +82 *1641:77 *21450:B1 3.62189e-06 +83 *1641:77 *21455:B1 5.60804e-05 +84 *1641:77 *21583:B1 0 +85 *1641:77 *21987:A1 0.000278833 +86 *1641:77 *22040:B1 0.00020493 +87 *1641:77 *1802:116 3.17436e-05 +88 *1641:77 *2274:71 3.74499e-06 +89 *1641:77 *2508:45 0.000243225 +90 *1641:77 *2520:119 7.08723e-06 +91 *1641:77 *2577:58 3.83492e-06 +92 *1641:77 *2613:101 0.000103965 +93 *1641:77 *2634:29 1.00415e-05 +94 *1641:77 *3053:14 0.000334445 +95 *1641:77 *3054:18 0.000829473 +96 *1641:77 *3124:47 0.00022817 +97 *1641:83 *21476:A1 5.99529e-06 +98 *1641:83 *21476:B1 0.000185284 +99 *1641:83 *2531:91 0.000220387 +100 *1641:83 *2991:67 0.000431888 +101 *1641:83 *4870:134 0.000305421 +102 *1641:102 *22336:B2 0.000156955 +103 *1641:102 *2531:91 0.000121676 +104 *1641:102 *2550:9 0.000514382 +105 *1641:102 *2613:101 0.000512884 +106 *1641:102 *2630:31 0.000154145 +107 *1641:102 *2991:67 0.000124499 +108 *1641:109 *21622:A 0.00119333 +109 *1641:109 *21624:A1 0.00181766 +110 *1641:109 *21635:B1 0.000543573 +111 *1641:109 *22644:A1 0.000264611 +112 *1641:109 *22646:B1 0.000106393 +113 *1641:109 *2772:48 0.000298399 +114 *1641:109 *3206:146 0.000533811 +115 *1641:109 *3568:8 0.000317359 +116 *1641:109 *4815:122 0.0003012 +117 *1641:109 *4825:146 3.45034e-05 +118 *1641:117 *22276:A1 0.000106645 +119 *1641:117 *3136:180 0.000249762 +120 *1641:117 *3537:35 9.96222e-05 +121 *1641:130 *21229:C1 6.97183e-05 +122 *1641:130 *22254:A2 0.000255115 +123 *1641:130 *22276:B1 0.000110306 +124 *1641:130 *22617:B 0.000175663 +125 *1641:130 *22619:A2 0.000125396 +126 *1641:130 *22625:C1 9.50926e-05 +127 *1641:130 *22635:D 0.000766084 +128 *1641:130 *1800:112 2.37879e-05 +129 *1641:130 *2286:53 1.79408e-05 +130 *1641:130 *2295:82 0.000268891 +131 *1641:130 *2679:46 0.000493599 +132 *1641:130 *2880:45 2.05557e-05 +133 *1641:130 *2887:23 9.2807e-05 +134 *1641:130 *3021:39 0.00036089 +135 *1641:130 *3136:180 7.55901e-05 +136 *1641:130 *3527:54 5.11321e-05 +137 *1641:130 *3537:35 0.000324361 +138 *1641:130 *3551:16 4.92749e-05 +139 *1641:130 *5919:72 4.04447e-05 +140 *1641:138 *21869:A1 3.3171e-06 +141 *1641:138 *21876:B 0.00022019 +142 *1641:138 *22379:B2 0.000205649 +143 *1641:138 *22618:A2 6.1578e-06 +144 *1641:138 *22619:A2 3.78625e-06 +145 *1641:138 *1800:112 0.000201834 +146 *1641:138 *1800:119 0.000620528 +147 *1641:138 *3208:176 0.000133867 +148 *1641:138 *3474:166 1.68336e-05 +149 *1641:138 *5458:10 0.000153545 +150 *1641:141 *21225:C1 2.16355e-05 +151 *1641:141 *22041:A1 0.000107496 +152 *1641:141 *22270:A2 1.20441e-05 +153 *1641:141 *22324:A1 2.16355e-05 +154 *1641:141 *3232:25 0.000554519 +155 *1641:141 *3493:73 7.71657e-05 +156 *1641:158 *21944:A2 0.000315868 +157 *1641:158 *22054:C1 1.5714e-05 +158 *1641:158 *22269:A1 6.08467e-05 +159 *1641:158 *22269:B2 6.08467e-05 +160 *1641:158 *22270:A2 6.66698e-05 +161 *1641:158 *22270:C1 0.000162921 +162 *1641:158 *1732:34 0.000157659 +163 *1641:158 *2697:26 1.5714e-05 +164 *1641:158 *2771:33 9.65039e-05 +165 *1641:158 *3002:82 8.57101e-05 +166 *1641:158 *3067:26 0.000187652 +167 *1641:158 *3146:128 0.00252384 +168 *1641:158 *3232:25 9.85247e-05 +169 *1641:158 *3419:12 0.000100436 +170 *1641:158 *3523:127 2.49247e-05 +171 *19579:A *1641:10 2.35752e-05 +172 *19579:B *1641:10 6.22259e-05 +173 *19630:B *1641:10 2.37599e-05 +174 *19883:A *1641:5 2.16355e-05 +175 *19884:A1 *19884:B2 6.08467e-05 +176 *19887:A *21850:A2 1.03403e-05 +177 *19887:A *1641:38 4.03381e-05 +178 *19927:A *1641:26 0.00020287 +179 *20036:A *1641:26 0.000189233 +180 *21577:A2 *1641:102 7.5301e-06 +181 *21583:A2 *1641:77 0.000298033 +182 *21850:B2 *1641:57 5.92342e-05 +183 *24517:D *1641:10 0.000159561 +184 *24522:D *1641:10 8.86331e-05 +185 *462:36 *1641:10 0.000236793 +186 *462:52 *1641:10 8.48656e-05 +187 *476:46 *1641:10 0.000337676 +188 *476:46 *1641:26 8.18934e-05 +189 *1424:104 *1641:26 6.1449e-05 +190 *1425:11 *1641:10 0.000175022 +191 *1425:41 *1641:10 0.000156376 +192 *1425:68 *1641:10 0.000295043 +193 *1427:156 *1641:26 8.62625e-06 +194 *1459:18 *1641:10 0.000160384 +195 *1467:22 *1641:10 1.5714e-05 +196 *1471:75 *1641:10 0 +197 *1485:18 *1641:10 0.000282808 +198 *1496:75 *1641:57 0.000118864 +199 *1510:64 *1641:26 3.88655e-06 +200 *1510:85 *1641:26 2.07321e-05 +201 *1511:23 *1641:10 0.000208035 +202 *1515:133 *1641:10 0 +203 *1538:17 *1641:158 6.54358e-05 +204 *1541:15 *1641:9 7.13972e-05 +205 *1541:23 *1641:26 7.14746e-05 +206 *1541:69 *1641:26 1.02264e-05 +207 *1544:13 *1641:10 0.000152214 +208 *1550:101 *1641:158 0.000754944 +209 *1552:52 *1641:77 3.99005e-05 +210 *1563:46 *1641:26 1.92749e-05 +211 *1563:72 *1641:26 2.1953e-05 +212 *1564:37 *1641:77 0.00144018 +213 *1577:44 *1641:77 0.000818566 +214 *1598:82 *1641:158 0.000107496 +215 *1608:30 *1641:109 2.49357e-05 +216 *1618:18 *1641:158 0.000477802 +217 *1625:83 *1641:130 5.10014e-05 +218 *1639:69 *1641:26 0.00030986 +219 *1639:85 *1641:26 5.57353e-05 +220 *1639:85 *1641:38 5.64902e-05 +221 *1640:12 *1641:26 0.00025439 +*RES +1 *19883:X *1641:5 10.5271 +2 *1641:5 *1641:9 7.44181 +3 *1641:9 *1641:10 73.6706 +4 *1641:10 *21077:B 14.4725 +5 *1641:5 *1641:26 49.1735 +6 *1641:26 *19884:B2 14.4725 +7 *1641:26 *1641:38 19.5158 +8 *1641:38 *1641:57 49.2577 +9 *1641:57 *1641:60 32.9536 +10 *1641:60 *1641:77 49.4106 +11 *1641:77 *1641:83 23.0515 +12 *1641:83 *21576:B2 16.1605 +13 *1641:83 *1641:102 31.1811 +14 *1641:102 *1641:109 47.6145 +15 *1641:109 *1641:117 23.4382 +16 *1641:117 *1641:118 81.1229 +17 *1641:118 *1641:120 9.24915 +18 *1641:120 *1641:130 49.0597 +19 *1641:130 *1641:138 23.0764 +20 *1641:138 *1641:141 29.0323 +21 *1641:141 *1641:158 49.9127 +22 *1641:158 *22047:B2 9.24915 +23 *1641:120 *21635:A2 13.3002 +24 *1641:38 *21850:A2 9.97254 +*END + +*D_NET *1642 0.0240231 +*CONN +*I *19885:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19884:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19885:C1 0 +2 *19884:X 0.0011446 +3 *1642:46 0.00131536 +4 *1642:39 0.00373635 +5 *1642:11 0.00356559 +6 *1642:11 *22420:A2 2.41274e-06 +7 *1642:11 *1645:15 0.000391382 +8 *1642:11 *1675:32 0.000446959 +9 *1642:11 *1802:8 0.000248861 +10 *1642:11 *1802:30 0.000411927 +11 *1642:11 *2746:17 0.000443545 +12 *1642:11 *3139:27 0.000106742 +13 *1642:11 *3206:37 6.22259e-05 +14 *1642:39 *21456:A2 0.000463744 +15 *1642:39 *22005:A1 6.21488e-06 +16 *1642:39 *1662:29 0.000326347 +17 *1642:39 *1721:12 0.000121784 +18 *1642:39 *1721:25 0.000272742 +19 *1642:39 *1721:44 4.45853e-05 +20 *1642:39 *2505:75 1.09352e-05 +21 *1642:39 *2726:22 0.00249685 +22 *1642:39 *2734:24 0.00101303 +23 *1642:39 *2994:55 6.51967e-06 +24 *1642:39 *3131:41 0.000739598 +25 *1642:39 *3519:93 0.00023309 +26 *1642:39 *3688:22 0.000415144 +27 *1642:39 *3722:14 0.00100611 +28 *1642:39 *5455:12 0.00024083 +29 *1642:46 *21813:B2 0.000224388 +30 *1642:46 *22246:B1 8.32231e-05 +31 *1642:46 *22539:B1 5.74984e-05 +32 *1642:46 *1720:62 8.72537e-06 +33 *1642:46 *1721:88 0.00021476 +34 *1642:46 *1778:10 7.91708e-05 +35 *1642:46 *1796:12 0.00102528 +36 *1642:46 *1800:33 0.00027993 +37 *1642:46 *2649:64 0.000391697 +38 *19855:B *1642:39 5.60804e-05 +39 *19884:B1 *1642:11 3.82228e-05 +40 *1510:99 *1642:39 0.00126784 +41 *1537:101 *1642:46 0.000201147 +42 *1542:127 *1642:46 0.000752142 +43 *1563:81 *1642:39 1.63296e-05 +44 *1640:24 *1642:11 1.45734e-05 +45 *1641:38 *1642:11 3.86297e-05 +*RES +1 *19884:X *1642:11 47.7 +2 *1642:11 *1642:39 42.497 +3 *1642:39 *1642:46 46.1296 +4 *1642:46 *19885:C1 13.7491 +*END + +*D_NET *1643 0.0200215 +*CONN +*I *19907:B I *D sky130_fd_sc_hd__and4_1 +*I *19885:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19907:B 0.000691544 +2 *19885:X 0.00085148 +3 *1643:28 0.00221056 +4 *1643:24 0.00198511 +5 *1643:21 0.00130226 +6 *1643:14 0.00168764 +7 *19907:B *19907:C 3.58531e-05 +8 *19907:B *19907:D 2.65667e-05 +9 *19907:B *22586:B1 7.77309e-06 +10 *19907:B *1788:139 0.000384319 +11 *19907:B *2551:38 1.00981e-05 +12 *1643:14 *1796:12 0.00105654 +13 *1643:14 *2867:11 0.000444129 +14 *1643:14 *3533:62 7.59424e-05 +15 *1643:21 *21901:D 0.000170532 +16 *1643:21 *22528:A1 5.45571e-05 +17 *1643:21 *1717:133 0.000193819 +18 *1643:21 *1796:12 0.000151318 +19 *1643:21 *2783:68 0.000527338 +20 *1643:24 *2954:44 0.00052359 +21 *1643:28 *21831:A2 3.88358e-05 +22 *1643:28 *22565:B1 5.60364e-06 +23 *1643:28 *22766:A1 0.000178422 +24 *1643:28 *1771:94 5.6442e-05 +25 *1643:28 *2845:22 0.000398598 +26 *1643:28 *2847:8 1.13449e-05 +27 *1643:28 *2954:44 0.00103216 +28 *1643:28 *3069:37 0.000260661 +29 *1643:28 *4813:110 1.17108e-05 +30 *19820:B2 *1643:21 0.000390192 +31 *19842:B2 *1643:28 8.06767e-05 +32 *19844:B *1643:14 6.3657e-05 +33 *19865:A2 *19907:B 7.09666e-06 +34 *19865:C1 *19907:B 1.65872e-05 +35 *19885:B2 *1643:14 2.99287e-05 +36 *21629:B2 *1643:28 9.32704e-05 +37 *1538:17 *1643:21 0.000357161 +38 *1544:133 *1643:14 5.01835e-05 +39 *1576:86 *1643:21 5.19758e-05 +40 *1583:113 *1643:24 0.000551225 +41 *1583:113 *1643:28 2.03506e-05 +42 *1586:77 *1643:28 0.000256421 +43 *1586:103 *1643:28 0.00076126 +44 *1594:60 *1643:28 0.000220336 +45 *1595:75 *1643:28 0.000329971 +46 *1596:92 *19907:B 0.000304857 +47 *1601:16 *19907:B 3.91205e-05 +48 *1602:104 *19907:B 0.00058873 +49 *1623:18 *19907:B 1.58551e-05 +50 *1634:70 *1643:14 0.000213216 +51 *1634:70 *1643:21 0.00119463 +*RES +1 *19885:X *1643:14 38.9114 +2 *1643:14 *1643:21 39.3174 +3 *1643:21 *1643:24 12.7385 +4 *1643:24 *1643:28 48.0648 +5 *1643:28 *19907:B 36.2336 +*END + +*D_NET *1644 0.00997939 +*CONN +*I *22224:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19895:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22575:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19886:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22224:B1 1.98947e-05 +2 *19895:A1 1.98947e-05 +3 *22575:B1 0.000600992 +4 *19886:Y 0.000455827 +5 *1644:14 0.000826615 +6 *1644:10 0.00153237 +7 *1644:7 0.00176258 +8 *19895:A1 *19895:A2 6.08467e-05 +9 *19895:A1 *19895:C1 2.16355e-05 +10 *22224:B1 *22224:C1 2.16355e-05 +11 *22224:B1 *3114:200 6.08467e-05 +12 *22575:B1 *19895:A2 0.000290623 +13 *22575:B1 *1655:161 2.05557e-05 +14 *22575:B1 *2601:36 5.01835e-05 +15 *22575:B1 *2850:42 0.000272236 +16 *22575:B1 *3015:14 0.000301638 +17 *22575:B1 *3186:16 0.000127909 +18 *22575:B1 *4873:17 0.000226438 +19 *1644:7 *21712:B1 0.000627109 +20 *1644:10 *21701:B1 4.78118e-05 +21 *1644:10 *21974:B1 2.27135e-05 +22 *1644:10 *22220:A2 1.84334e-05 +23 *1644:10 *1654:33 0.000165825 +24 *1644:10 *1658:86 1.9852e-05 +25 *1644:10 *2601:36 0.00018529 +26 *1644:10 *2729:20 0 +27 *1644:10 *2819:58 2.05972e-05 +28 *1644:10 *2850:12 0.000841512 +29 *1644:10 *2986:34 0.000139911 +30 *1644:10 *3015:10 1.04759e-05 +31 *1644:10 *3162:129 0.000150196 +32 *1644:10 *3212:19 0.000269086 +33 *1644:14 *1655:161 7.08288e-05 +34 *1644:14 *2601:36 0.000104543 +35 *1644:14 *2986:34 4.82779e-06 +36 *1644:14 *3128:166 2.01206e-05 +37 *21701:B2 *1644:10 3.39118e-05 +38 *22220:B1 *1644:10 2.02035e-05 +39 *22576:A1 *22575:B1 0.00017166 +40 *1607:29 *1644:10 0 +41 *1619:62 *1644:10 0.000361761 +*RES +1 *19886:Y *1644:7 21.1278 +2 *1644:7 *1644:10 34.9545 +3 *1644:10 *1644:14 4.64105 +4 *1644:14 *22575:B1 35.3764 +5 *1644:14 *19895:A1 14.4725 +6 *1644:10 *22224:B1 14.4725 +*END + +*D_NET *1645 0.0954615 +*CONN +*I *21180:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20206:B I *D sky130_fd_sc_hd__or2_1 +*I *21436:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21279:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *19895:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22029:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21635:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21894:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21560:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19887:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21180:B2 0.000208472 +2 *20206:B 0.000412204 +3 *21436:A2 0 +4 *21279:A2 0.000246073 +5 *19895:A2 0.00190539 +6 *22029:A2 0.00103485 +7 *21635:B2 0 +8 *21894:B2 0.00069386 +9 *21560:B2 0.000716088 +10 *19887:X 0.000451865 +11 *1645:183 0.000569162 +12 *1645:182 0.00242406 +13 *1645:176 0.00264537 +14 *1645:156 0.00079122 +15 *1645:133 0.0027874 +16 *1645:118 0.00322616 +17 *1645:94 0.00416761 +18 *1645:69 0.00530648 +19 *1645:68 0.00366672 +20 *1645:49 0.00471164 +21 *1645:31 0.00189487 +22 *1645:15 0.00480522 +23 *19895:A2 *19895:B1 1.47978e-05 +24 *19895:A2 *19895:C1 2.75606e-05 +25 *19895:A2 *22281:A2 2.37371e-05 +26 *19895:A2 *2602:32 0.000171795 +27 *19895:A2 *2850:42 7.72394e-06 +28 *19895:A2 *2918:20 0.000543351 +29 *19895:A2 *3015:14 0.000328863 +30 *19895:A2 *3120:130 0.000104754 +31 *19895:A2 *3153:193 0.000331062 +32 *19895:A2 *3153:204 0.000641412 +33 *19895:A2 *3517:225 0.00131741 +34 *19895:A2 *3517:254 0.000404643 +35 *19895:A2 *3529:178 0.000203595 +36 *19895:A2 *3533:126 5.60804e-05 +37 *19895:A2 *4860:17 0.000153427 +38 *20206:B *21436:B1 0 +39 *20206:B *21442:B 2.77901e-05 +40 *20206:B *22341:B1 2.20583e-05 +41 *20206:B *2249:38 1.33542e-05 +42 *20206:B *2257:46 2.00142e-05 +43 *20206:B *2305:20 0.000296219 +44 *20206:B *2360:57 1.88878e-05 +45 *20206:B *2421:42 0.000274161 +46 *20206:B *2491:8 7.1014e-05 +47 *20206:B *2491:13 3.44e-05 +48 *20206:B *3299:11 0.000110306 +49 *21180:B2 *21180:B1 3.15947e-05 +50 *21180:B2 *22402:B1 3.99086e-06 +51 *21180:B2 *22716:B1 3.41459e-05 +52 *21180:B2 *2274:115 4.83435e-05 +53 *21180:B2 *2294:64 5.8518e-05 +54 *21180:B2 *2354:47 5.35941e-05 +55 *21180:B2 *3358:11 2.95884e-05 +56 *21180:B2 *3493:45 6.08467e-05 +57 *21279:A2 *21279:A1 4.1554e-05 +58 *21279:A2 *21279:B1 3.41459e-05 +59 *21279:A2 *2342:20 6.60463e-05 +60 *21279:A2 *2906:39 0.000156955 +61 *21279:A2 *3192:135 5.89592e-05 +62 *21279:A2 *3523:192 5.19758e-05 +63 *21560:B2 *21560:A1 1.83866e-05 +64 *21560:B2 *21560:C1 8.67924e-06 +65 *21560:B2 *21565:C1 0.000107496 +66 *21560:B2 *21565:D1 0.000119035 +67 *21560:B2 *2641:80 0.000271978 +68 *21560:B2 *2851:24 1.26672e-05 +69 *21560:B2 *3126:194 8.49586e-06 +70 *21560:B2 *3196:60 0.000534913 +71 *21560:B2 *3448:20 0.000257511 +72 *21894:B2 *21894:A1 1.72153e-05 +73 *21894:B2 *21894:B1 1.16522e-05 +74 *21894:B2 *21900:C 6.72819e-06 +75 *21894:B2 *2581:42 0.000204029 +76 *21894:B2 *2609:28 0.000204029 +77 *21894:B2 *2905:7 0.000350412 +78 *21894:B2 *2905:17 1.65872e-05 +79 *22029:A2 *22018:A 1.82146e-05 +80 *22029:A2 *22029:B1 4.31485e-06 +81 *22029:A2 *1647:58 9.96513e-05 +82 *22029:A2 *2883:64 0.000232545 +83 *22029:A2 *2985:44 0.000167371 +84 *22029:A2 *3011:55 0.000224449 +85 *22029:A2 *3120:163 0.000159423 +86 *1645:15 *2866:21 3.17436e-05 +87 *1645:15 *3411:16 4.98467e-05 +88 *1645:15 *3702:20 2.43172e-05 +89 *1645:31 *21992:B2 0.000105605 +90 *1645:31 *21993:A2 0.000284026 +91 *1645:31 *22441:B2 8.06912e-05 +92 *1645:31 *22442:A1 0.000150837 +93 *1645:31 *22463:A1 0.000255195 +94 *1645:31 *22507:A1 1.23443e-05 +95 *1645:31 *22733:B1 8.81137e-05 +96 *1645:31 *1675:32 0.000187765 +97 *1645:31 *1722:76 0.000347214 +98 *1645:31 *1802:38 8.80635e-05 +99 *1645:31 *2932:38 7.48761e-05 +100 *1645:31 *2997:42 6.52046e-06 +101 *1645:31 *2999:43 0.000350015 +102 *1645:31 *3023:17 8.19572e-05 +103 *1645:31 *3126:166 1.03079e-05 +104 *1645:31 *3139:48 0.000396651 +105 *1645:31 *3139:55 0 +106 *1645:31 *3157:54 6.74182e-05 +107 *1645:31 *3198:48 9.17656e-06 +108 *1645:31 *3198:53 1.75694e-05 +109 *1645:31 *3417:29 1.5714e-05 +110 *1645:49 *21531:C1 0.000357592 +111 *1645:49 *21882:A1 0.000107585 +112 *1645:49 *21882:A2 0.00039493 +113 *1645:49 *21894:B1 0.000120205 +114 *1645:49 *21995:D 0.000304533 +115 *1645:49 *1800:249 0.000303172 +116 *1645:49 *2338:41 0.000639109 +117 *1645:49 *2519:33 0.000368452 +118 *1645:49 *2865:32 0.000753811 +119 *1645:49 *2866:21 7.38427e-05 +120 *1645:49 *2936:67 1.93857e-05 +121 *1645:49 *3071:26 0.000211332 +122 *1645:49 *3136:84 5.83451e-05 +123 *1645:49 *3164:15 0.000285378 +124 *1645:49 *3411:16 0.000402262 +125 *1645:49 *3498:64 4.907e-05 +126 *1645:49 *3502:18 0.000295999 +127 *1645:49 *3502:21 1.01908e-05 +128 *1645:49 *3702:20 0.000132122 +129 *1645:49 *3705:17 1.43499e-05 +130 *1645:49 *5674:45 1.02138e-05 +131 *1645:68 *21065:A2 3.82228e-05 +132 *1645:68 *2581:42 0.000347916 +133 *1645:68 *2609:28 0.000344432 +134 *1645:68 *3052:38 1.0758e-05 +135 *1645:68 *3139:22 0.000219234 +136 *1645:69 *21193:A1 0.00140994 +137 *1645:69 *22671:A 1.4059e-05 +138 *1645:69 *1669:181 0.000559678 +139 *1645:69 *2273:18 8.20316e-05 +140 *1645:69 *2403:18 0.00257153 +141 *1645:69 *2772:48 7.10185e-05 +142 *1645:69 *2887:23 2.18821e-05 +143 *1645:69 *3124:24 0.000118548 +144 *1645:69 *3139:122 0.000315967 +145 *1645:69 *3144:152 8.33917e-06 +146 *1645:69 *3496:29 0.000660535 +147 *1645:69 *4810:66 0.000105591 +148 *1645:94 *21372:B1 0.000217529 +149 *1645:94 *21372:D1 0.000322745 +150 *1645:94 *21636:A1 6.08467e-05 +151 *1645:94 *22696:C1 0.000132381 +152 *1645:94 *22714:A2 3.17436e-05 +153 *1645:94 *1802:143 3.334e-05 +154 *1645:94 *2093:98 0.001366 +155 *1645:94 *2359:27 0.000299419 +156 *1645:94 *2678:17 7.92757e-06 +157 *1645:94 *2887:23 4.7162e-05 +158 *1645:94 *2908:29 0.0014595 +159 *1645:94 *3072:20 1.09595e-05 +160 *1645:94 *3144:152 0.00117762 +161 *1645:94 *3148:72 0.0020371 +162 *1645:94 *3333:33 7.4455e-05 +163 *1645:94 *3539:27 0.000110306 +164 *1645:94 *4815:98 0.00150361 +165 *1645:118 *21235:A1 0.000927578 +166 *1645:118 *21235:B1 7.10185e-05 +167 *1645:118 *21303:A1 6.23101e-05 +168 *1645:118 *21354:A 3.09486e-05 +169 *1645:118 *21354:C 1.22734e-05 +170 *1645:118 *21875:A1 0.000212779 +171 *1645:118 *1689:135 0.000255816 +172 *1645:118 *1717:142 0.000206449 +173 *1645:118 *2288:24 0.000267244 +174 *1645:118 *2364:8 0 +175 *1645:118 *2415:64 0.000640705 +176 *1645:118 *3026:18 0.000340742 +177 *1645:118 *3042:25 0.00144166 +178 *1645:118 *3243:41 0.000119835 +179 *1645:118 *3569:13 0.000139739 +180 *1645:133 *21875:A1 0.00128609 +181 *1645:133 *1717:142 0.00131526 +182 *1645:156 *3120:130 0.000376255 +183 *1645:156 *3517:254 0.000403649 +184 *1645:176 *22286:A1 0.000254307 +185 *1645:176 *22294:B 6.22114e-05 +186 *1645:176 *2370:8 4.37682e-05 +187 *1645:176 *2806:14 0.000506326 +188 *1645:182 *22294:A 0.000200794 +189 *1645:182 *2306:48 9.96332e-05 +190 *1645:182 *3663:10 0.000103246 +191 *1645:183 *2257:46 9.49307e-05 +192 *1645:183 *3051:20 5.04829e-06 +193 *6079:DIODE *1645:118 8.81235e-06 +194 *19842:A2 *19895:A2 0.000315944 +195 *19887:A *1645:15 6.08467e-05 +196 *19895:A1 *19895:A2 6.08467e-05 +197 *21320:B2 *1645:69 9.34612e-05 +198 *21436:B2 *20206:B 1.62078e-05 +199 *21636:A2 *1645:94 5.36085e-05 +200 *21636:B2 *1645:69 1.5714e-05 +201 *21636:B2 *1645:94 6.84999e-05 +202 *22314:B1 *1645:69 0.000202717 +203 *22575:B1 *19895:A2 0.000290623 +204 *502:7 *1645:182 3.91159e-05 +205 *1419:249 *22029:A2 0.00160767 +206 *1443:49 *1645:49 0.00173088 +207 *1450:188 *1645:94 0.000309586 +208 *1502:204 *1645:69 0.00134039 +209 *1564:31 *1645:49 0.000114262 +210 *1596:92 *1645:182 1.87397e-05 +211 *1614:75 *19895:A2 0.000129667 +212 *1614:104 *1645:176 5.46889e-05 +213 *1615:16 *1645:182 0.0024147 +214 *1616:81 *1645:118 0.000290322 +215 *1617:21 *1645:183 0.000442634 +216 *1618:104 *19895:A2 0.000350682 +217 *1618:206 *20206:B 3.0332e-05 +218 *1618:206 *1645:183 2.41483e-05 +219 *1640:24 *1645:15 0.000395056 +220 *1642:11 *1645:15 0.000391382 +*RES +1 *19887:X *1645:15 26.1867 +2 *1645:15 *1645:31 45.5974 +3 *1645:31 *21560:B2 31.0021 +4 *1645:15 *1645:49 11.8925 +5 *1645:49 *21894:B2 26.8525 +6 *1645:49 *1645:68 25.6197 +7 *1645:68 *1645:69 87.3739 +8 *1645:69 *21635:B2 13.7491 +9 *1645:69 *1645:94 49.0189 +10 *1645:94 *1645:118 45.5544 +11 *1645:118 *22029:A2 23.6545 +12 *1645:118 *1645:133 3.62757 +13 *1645:133 *19895:A2 32.4853 +14 *1645:133 *1645:156 3.99235 +15 *1645:156 *21279:A2 21.3448 +16 *1645:156 *1645:176 15.4675 +17 *1645:176 *1645:182 46.3561 +18 *1645:182 *1645:183 5.71483 +19 *1645:183 *21436:A2 9.24915 +20 *1645:183 *20206:B 31.7433 +21 *1645:94 *21180:B2 22.8362 +*END + +*D_NET *1646 0.0226143 +*CONN +*I *19895:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22247:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19888:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19895:B1 0.000437264 +2 *22247:B1 0 +3 *19888:Y 0.00147348 +4 *1646:35 0.00125852 +5 *1646:15 0.00194702 +6 *1646:12 0.00178146 +7 *1646:11 0.0030037 +8 *19895:B1 *19895:B2 3.31745e-05 +9 *19895:B1 *19895:C1 0.000154145 +10 *19895:B1 *19907:C 6.7671e-06 +11 *19895:B1 *22586:B1 4.2372e-05 +12 *19895:B1 *3529:159 2.77625e-06 +13 *19895:B1 *3529:178 0.000409305 +14 *1646:11 *20443:B2 1.5714e-05 +15 *1646:11 *1729:13 0.000294093 +16 *1646:11 *2042:28 0.000294093 +17 *1646:11 *3070:20 2.00098e-05 +18 *1646:12 *22208:B1 0.000132956 +19 *1646:12 *1788:139 0.000574219 +20 *1646:12 *1788:162 0.000834701 +21 *1646:12 *1788:164 2.19468e-05 +22 *1646:12 *1789:68 1.76888e-05 +23 *1646:12 *1789:82 1.10848e-05 +24 *1646:12 *1799:28 0.00286897 +25 *1646:12 *1884:154 2.40371e-05 +26 *1646:12 *2728:41 1.66771e-05 +27 *1646:12 *4845:678 0.00113112 +28 *1646:12 *5867:166 6.91111e-05 +29 *1646:15 *22586:B1 5.51483e-06 +30 *1646:15 *2764:71 0.000300565 +31 *1646:35 *19908:D 0.000411482 +32 *1646:35 *20040:B2 0.000161243 +33 *1646:35 *22231:B2 5.36085e-05 +34 *1646:35 *22247:A2 4.31603e-06 +35 *1646:35 *22247:B2 9.95922e-06 +36 *1646:35 *22247:C1 6.08467e-05 +37 *1646:35 *1721:162 0.000116725 +38 *1646:35 *1770:59 0.00072294 +39 *1646:35 *1799:34 0.000705248 +40 *1646:35 *2764:71 0.000255121 +41 *1646:35 *2837:49 0.000209056 +42 *1646:35 *2843:20 1.23455e-05 +43 *1646:35 *2843:31 0.000516802 +44 *1646:35 *2843:51 6.23101e-05 +45 *1646:35 *3192:181 0.00020494 +46 *1646:35 *3543:149 1.66626e-05 +47 *19895:A2 *19895:B1 1.47978e-05 +48 *19908:A *1646:35 0.000123931 +49 *22586:A1 *19895:B1 0.000158451 +50 *1535:22 *1646:35 0.000131847 +51 *1591:39 *19895:B1 9.61086e-05 +52 *1595:39 *1646:11 0.000486804 +53 *1595:101 *1646:35 5.60804e-05 +54 *1601:16 *1646:12 0.000804527 +55 *1627:171 *1646:12 3.56745e-05 +*RES +1 *19888:Y *1646:11 45.9642 +2 *1646:11 *1646:12 65.3656 +3 *1646:12 *1646:15 8.55102 +4 *1646:15 *1646:35 40.9317 +5 *1646:35 *22247:B1 9.24915 +6 *1646:15 *19895:B1 21.1128 +*END + +*D_NET *1647 0.0692182 +*CONN +*I *22005:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21531:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19895:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21429:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20700:B I *D sky130_fd_sc_hd__or2_1 +*I *21856:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21284:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21180:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21604:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19889:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *22005:B2 0.000270048 +2 *21531:B2 0.000266876 +3 *19895:B2 0.00104566 +4 *21429:B2 0.000728628 +5 *20700:B 0.000874133 +6 *21856:B2 0.00012184 +7 *21284:A2 0.000413144 +8 *21180:A2 0 +9 *21604:A2 4.18856e-05 +10 *19889:X 0 +11 *1647:179 0.000856898 +12 *1647:149 0.000792651 +13 *1647:148 0.000264677 +14 *1647:126 0.00236896 +15 *1647:121 0.00226365 +16 *1647:87 0.00150704 +17 *1647:68 0.00141159 +18 *1647:58 0.00353665 +19 *1647:46 0.00418136 +20 *1647:35 0.00624183 +21 *1647:10 0.00428013 +22 *1647:4 0.00141577 +23 *19895:B2 *19895:C1 0.000354939 +24 *19895:B2 *21278:B2 6.71035e-06 +25 *19895:B2 *1770:58 8.81746e-05 +26 *19895:B2 *2551:44 0.000370956 +27 *19895:B2 *2564:33 0.00119513 +28 *19895:B2 *2659:17 1.80692e-05 +29 *19895:B2 *2797:65 0.00115251 +30 *19895:B2 *3114:200 0.00015587 +31 *19895:B2 *3529:178 7.92757e-06 +32 *20700:B *24211:CLK 5.97411e-05 +33 *20700:B *2542:8 0.000256285 +34 *20700:B *2871:8 0.000249161 +35 *20700:B *3106:229 0.000137305 +36 *20700:B *4844:681 6.08467e-05 +37 *21284:A2 *21284:A1 0.000107496 +38 *21284:A2 *21291:B 2.77625e-06 +39 *21284:A2 *21291:C 7.62114e-05 +40 *21284:A2 *21291:D 2.16355e-05 +41 *21284:A2 *21354:A 0.000165124 +42 *21284:A2 *22358:A2 0.000128727 +43 *21284:A2 *1679:68 4.84017e-05 +44 *21284:A2 *1802:154 7.92757e-06 +45 *21284:A2 *2342:20 4.49767e-05 +46 *21284:A2 *2352:8 2.18369e-05 +47 *21284:A2 *2364:8 8.57938e-06 +48 *21284:A2 *2375:60 1.5298e-05 +49 *21284:A2 *3122:153 0.000589072 +50 *21429:B2 *21429:B1 0.000207266 +51 *21429:B2 *22368:A1 0.000122378 +52 *21429:B2 *22368:B1 0.000116762 +53 *21429:B2 *22368:B2 1.65872e-05 +54 *21429:B2 *22369:C1 0.000366603 +55 *21429:B2 *22391:B1 1.65872e-05 +56 *21429:B2 *2488:11 0.000107496 +57 *21429:B2 *3106:229 6.89265e-05 +58 *21429:B2 *3131:184 1.28807e-05 +59 *21531:B2 *21525:B 0.000354899 +60 *21531:B2 *21531:A1 6.9526e-05 +61 *21531:B2 *21531:A2 2.57986e-05 +62 *21531:B2 *21531:C1 2.53145e-06 +63 *21531:B2 *3015:28 2.69685e-05 +64 *21531:B2 *3190:34 1.5714e-05 +65 *21856:B2 *21856:A1 6.08467e-05 +66 *21856:B2 *21856:A2 1.17054e-05 +67 *21856:B2 *21857:B1 6.32156e-05 +68 *21856:B2 *2872:15 2.36888e-06 +69 *21856:B2 *2906:12 1.52071e-06 +70 *21856:B2 *3543:121 9.99867e-06 +71 *22005:B2 *22005:B1 0.000326068 +72 *22005:B2 *2531:65 1.4091e-06 +73 *1647:10 *19900:A 0.000127189 +74 *1647:10 *21500:D1 0.000580645 +75 *1647:10 *1649:11 6.31996e-05 +76 *1647:10 *1655:11 3.66959e-05 +77 *1647:10 *1723:62 3.74433e-05 +78 *1647:10 *1723:77 0.000192118 +79 *1647:10 *1750:73 8.94485e-05 +80 *1647:10 *1771:60 6.22114e-05 +81 *1647:10 *1788:77 0.000124666 +82 *1647:10 *2539:12 0.000599315 +83 *1647:10 *2539:35 3.51853e-05 +84 *1647:10 *2556:28 3.84409e-06 +85 *1647:10 *2773:41 4.2132e-05 +86 *1647:35 *22054:C1 6.23927e-05 +87 *1647:35 *22247:A1 3.0388e-05 +88 *1647:35 *22270:B2 0.000207143 +89 *1647:35 *22773:A2 0.000460156 +90 *1647:35 *1716:24 5.45618e-05 +91 *1647:35 *1720:52 0.00116163 +92 *1647:35 *1721:154 0.000751783 +93 *1647:35 *1750:73 0.0015806 +94 *1647:35 *1799:34 0.0010776 +95 *1647:35 *2539:12 0.000115244 +96 *1647:35 *2564:33 0.00043409 +97 *1647:35 *2659:17 0.000172262 +98 *1647:35 *2883:64 0.000130493 +99 *1647:35 *3122:173 0.000113408 +100 *1647:35 *5924:37 9.29815e-06 +101 *1647:46 *21782:B1 2.9077e-05 +102 *1647:46 *21909:A1 0.000132669 +103 *1647:46 *22609:B1 5.17138e-05 +104 *1647:46 *22619:B1 0.00172951 +105 *1647:46 *24909:A 4.46974e-05 +106 *1647:46 *1655:135 1.07517e-05 +107 *1647:46 *3046:35 0.000215131 +108 *1647:46 *3064:35 0.000204662 +109 *1647:46 *3173:26 0.000123783 +110 *1647:46 *3173:33 3.64049e-05 +111 *1647:46 *3509:223 0.000721052 +112 *1647:46 *3509:255 9.89172e-05 +113 *1647:46 *3539:198 6.36477e-05 +114 *1647:58 *21396:A1 1.43499e-05 +115 *1647:58 *22018:A 0.00207592 +116 *1647:58 *2764:82 0.000180815 +117 *1647:58 *2794:58 0.000205198 +118 *1647:58 *2827:30 0.000129969 +119 *1647:58 *2893:20 0.000597288 +120 *1647:58 *3120:143 1.28732e-05 +121 *1647:58 *3204:195 0.000108997 +122 *1647:58 *3517:197 0.000610541 +123 *1647:58 *3517:222 2.05082e-05 +124 *1647:58 *3537:215 0.000206344 +125 *1647:68 *21354:A 0.000306167 +126 *1647:68 *22402:B1 9.96332e-05 +127 *1647:68 *2294:64 8.30408e-05 +128 *1647:68 *2777:17 5.88009e-05 +129 *1647:68 *3026:5 0.00101106 +130 *1647:68 *3358:11 0.000203595 +131 *1647:68 *3493:45 0.000384505 +132 *1647:87 *22628:A2 4.3242e-05 +133 *1647:87 *2764:82 0.00010028 +134 *1647:87 *2869:51 1.22407e-05 +135 *1647:87 *2906:12 0.000104317 +136 *1647:87 *3044:33 9.03546e-05 +137 *1647:87 *3120:130 8.75919e-05 +138 *1647:87 *3120:143 0.000114821 +139 *1647:87 *3539:198 1.5714e-05 +140 *1647:121 *21875:A1 2.49239e-05 +141 *1647:121 *22279:A2 0.000280522 +142 *1647:121 *22283:A1 0.0001582 +143 *1647:121 *2770:18 0.000386141 +144 *1647:121 *2806:14 3.11494e-05 +145 *1647:121 *2869:46 3.04443e-05 +146 *1647:121 *2906:12 4.75466e-05 +147 *1647:121 *3044:33 0.000142625 +148 *1647:121 *3146:157 0.000237953 +149 *1647:121 *3146:159 9.08241e-05 +150 *1647:121 *3146:181 1.00004e-05 +151 *1647:121 *3148:106 0.000156946 +152 *1647:121 *3179:243 2.61955e-05 +153 *1647:121 *3527:207 0.000160617 +154 *1647:126 *21092:B2 2.02035e-05 +155 *1647:126 *1784:91 0.000209467 +156 *1647:126 *2208:65 1.54479e-05 +157 *1647:126 *2208:80 9.63875e-05 +158 *1647:126 *2770:16 0.000130418 +159 *1647:126 *2770:18 0.00075617 +160 *1647:126 *3044:6 0.000128881 +161 *1647:126 *3044:23 0.00149973 +162 *1647:126 *3164:180 0.00024237 +163 *1647:148 *2208:80 4.4434e-05 +164 *1647:148 *2770:16 4.27003e-05 +165 *1647:148 *3106:229 0.000727333 +166 *1647:148 *3131:184 0.000727333 +167 *1647:179 *22005:B1 6.08467e-05 +168 *1647:179 *2531:65 2.08757e-05 +169 *19791:B1 *1647:35 7.99024e-05 +170 *19842:A2 *19895:B2 0.000158576 +171 *19895:B1 *19895:B2 3.31745e-05 +172 *19897:A *1647:10 4.69495e-06 +173 *21198:B *21429:B2 5.481e-05 +174 *21759:A2 *1647:46 1.89936e-05 +175 *22005:A2 *22005:B2 4.40548e-05 +176 *22029:A2 *1647:58 9.96513e-05 +177 *1418:195 *20700:B 3.07848e-05 +178 *1419:249 *1647:58 0.000209508 +179 *1435:165 *1647:179 4.87301e-05 +180 *1539:30 *1647:35 0.000469169 +181 *1570:50 *1647:10 0.000184049 +182 *1570:76 *21604:A2 6.50727e-05 +183 *1588:88 *21531:B2 0.000177753 +184 *1595:101 *1647:35 0.000847921 +185 *1596:65 *1647:35 0.00079687 +186 *1598:46 *1647:10 4.60408e-05 +187 *1616:90 *21284:A2 7.13655e-06 +188 *1616:90 *1647:68 0.000103408 +189 *1616:157 *21284:A2 1.64462e-05 +190 *1618:126 *1647:121 0.000164965 +191 *1627:148 *1647:126 9.32927e-05 +192 *1634:66 *1647:35 1.80692e-05 +*RES +1 *19889:X *1647:4 9.24915 +2 *1647:4 *1647:10 35.4729 +3 *1647:10 *21604:A2 14.4725 +4 *1647:10 *1647:35 46.7817 +5 *1647:35 *1647:46 32.4119 +6 *1647:46 *1647:58 16.0789 +7 *1647:58 *1647:68 42.3708 +8 *1647:68 *21180:A2 9.24915 +9 *1647:58 *21284:A2 35.9363 +10 *1647:46 *1647:87 10.5157 +11 *1647:87 *21856:B2 16.9227 +12 *1647:87 *1647:121 44.5163 +13 *1647:121 *1647:126 40.5237 +14 *1647:126 *20700:B 39.0958 +15 *1647:126 *1647:148 24.069 +16 *1647:148 *1647:149 81.1229 +17 *1647:149 *21429:B2 37.5959 +18 *1647:35 *19895:B2 31.1377 +19 *1647:4 *1647:179 4.60562 +20 *1647:179 *21531:B2 26.7815 +21 *1647:179 *22005:B2 14.2888 +*END + +*D_NET *1648 0.0364535 +*CONN +*I *22539:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22209:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19894:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *19890:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22539:A1 2.36007e-05 +2 *22209:A1 0.000964717 +3 *19894:A1 5.28128e-05 +4 *19890:Y 0.000711 +5 *1648:52 0.00112128 +6 *1648:47 0.00188849 +7 *1648:45 0.0024495 +8 *1648:29 0.00269633 +9 *1648:25 0.00367764 +10 *1648:10 0.00243909 +11 *19894:A1 *22040:B2 2.57986e-05 +12 *19894:A1 *1660:85 4.15661e-05 +13 *19894:A1 *3157:43 6.08467e-05 +14 *19894:A1 *3737:12 4.49912e-05 +15 *22209:A1 *21807:C 0.000304993 +16 *22209:A1 *22209:B2 6.3657e-05 +17 *22209:A1 *2564:68 4.9482e-06 +18 *22209:A1 *2670:11 0.000717271 +19 *22209:A1 *3023:42 0.00104264 +20 *22209:A1 *3117:204 6.90163e-05 +21 *22209:A1 *4817:161 6.78364e-06 +22 *22209:A1 *4850:17 0.000200794 +23 *22539:A1 *22539:B2 6.08467e-05 +24 *1648:10 *20162:B 3.61993e-05 +25 *1648:10 *20163:A 5.39247e-05 +26 *1648:10 *22146:A 7.28612e-05 +27 *1648:10 *22152:A 8.80635e-05 +28 *1648:10 *22157:D 0.000551659 +29 *1648:10 *22212:A 0.000298318 +30 *1648:10 *1864:5 3.82228e-05 +31 *1648:10 *2117:20 8.4653e-05 +32 *1648:10 *3106:11 0.00013251 +33 *1648:10 *3153:26 0.00107164 +34 *1648:10 *3175:19 4.99733e-05 +35 *1648:10 *5909:24 0.00165505 +36 *1648:25 *21064:A2 6.08467e-05 +37 *1648:25 *22157:A 4.17531e-06 +38 *1648:25 *22157:B 8.78407e-06 +39 *1648:25 *22165:A 1.97788e-05 +40 *1648:25 *22194:B 3.8122e-05 +41 *1648:25 *22195:D 6.08467e-05 +42 *1648:25 *1652:12 0.00104589 +43 *1648:25 *2411:26 2.01503e-05 +44 *1648:25 *2456:30 5.86491e-06 +45 *1648:25 *2694:36 1.64777e-05 +46 *1648:25 *3111:16 0.00128278 +47 *1648:25 *3128:26 0.000119825 +48 *1648:25 *3136:24 0.00134304 +49 *1648:25 *3144:92 1.66626e-05 +50 *1648:25 *3151:17 0.000347214 +51 *1648:25 *3157:21 0.0001114 +52 *1648:25 *3157:37 1.55995e-05 +53 *1648:25 *5384:13 0.000182562 +54 *1648:25 *5476:69 0.000675313 +55 *1648:29 *21064:A2 9.05137e-05 +56 *1648:29 *21917:A 4.62514e-05 +57 *1648:29 *22040:A1 0.000446985 +58 *1648:29 *3052:41 0.000402457 +59 *1648:29 *3157:37 2.5133e-05 +60 *1648:29 *3157:43 0.00124957 +61 *1648:45 *1660:85 5.01835e-05 +62 *1648:45 *2547:18 0.000147005 +63 *1648:45 *2547:26 0.000114255 +64 *1648:45 *2931:26 0.000133992 +65 *1648:45 *3737:12 0.000160657 +66 *1648:47 *21456:A1 0.000324772 +67 *1648:47 *21492:A1 0.00012774 +68 *1648:47 *21537:A2 4.12977e-05 +69 *1648:47 *21550:B 6.22259e-05 +70 *1648:47 *21590:A 7.08433e-05 +71 *1648:47 *21909:B1 0.000114456 +72 *1648:47 *22005:C1 0.00015643 +73 *1648:47 *1662:80 0.000248882 +74 *1648:47 *2547:26 0.000841217 +75 *1648:47 *2606:8 0.00112146 +76 *1648:47 *2667:99 2.0456e-06 +77 *1648:47 *2667:116 5.14254e-06 +78 *1648:47 *3023:42 0.000213288 +79 *1648:47 *3471:24 0.000108054 +80 *1648:47 *4825:146 7.09666e-06 +81 *1648:47 *4870:134 7.31894e-05 +82 *1648:52 *22539:B1 1.98326e-06 +83 *1648:52 *22539:B2 2.2199e-05 +84 *1648:52 *2772:97 3.51369e-06 +85 *20162:A *1648:10 0.000154145 +86 *24232:D *1648:25 0.000107496 +87 *1539:25 *22209:A1 1.42512e-05 +88 *1539:30 *22209:A1 0.000349336 +89 *1614:11 *1648:47 0.000325866 +90 *1637:46 *1648:45 0.000848388 +91 *1637:46 *1648:47 7.44441e-06 +92 *1637:87 *1648:47 5.67023e-05 +*RES +1 *19890:Y *1648:10 48.7203 +2 *1648:10 *1648:25 28.6198 +3 *1648:25 *1648:29 35.7266 +4 *1648:29 *19894:A1 15.474 +5 *1648:29 *1648:45 20.6405 +6 *1648:45 *1648:47 50.0013 +7 *1648:47 *1648:52 7.32124 +8 *1648:52 *22209:A1 48.0536 +9 *1648:52 *22539:A1 9.97254 +*END + +*D_NET *1649 0.0812759 +*CONN +*I *22028:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21247:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21403:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21641:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21582:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20162:B I *D sky130_fd_sc_hd__or2_1 +*I *21351:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19894:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21789:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19891:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *22028:B2 0.000476224 +2 *21247:B2 4.55853e-05 +3 *21403:B2 0.000508644 +4 *21641:B2 0.000176696 +5 *21582:A2 0.000468369 +6 *20162:B 0.002404 +7 *21351:A2 0.000283208 +8 *19894:A2 0.000215439 +9 *21789:A2 0.000182897 +10 *19891:X 0.000134715 +11 *1649:189 0.00209162 +12 *1649:162 0.00230745 +13 *1649:143 0.00370225 +14 *1649:123 0.00507705 +15 *1649:80 0.00153294 +16 *1649:67 0.00498189 +17 *1649:57 0.00287186 +18 *1649:31 0.00142616 +19 *1649:18 0.00240489 +20 *1649:11 0.00457454 +21 *19894:A2 *3157:43 0.000298399 +22 *20162:B *21064:B1 6.40485e-05 +23 *20162:B *22142:A 0.000624943 +24 *20162:B *22165:D 0.00114169 +25 *20162:B *1652:12 0.00335975 +26 *20162:B *1663:15 1.49216e-05 +27 *20162:B *2379:26 4.69495e-06 +28 *20162:B *2950:96 0.000782139 +29 *20162:B *3104:40 1.91391e-05 +30 *20162:B *3128:11 0.000224377 +31 *20162:B *3153:26 0.000347166 +32 *20162:B *3175:19 0.000586932 +33 *20162:B *5476:69 1.91924e-05 +34 *21247:B2 *22392:A1 5.04829e-06 +35 *21247:B2 *2312:11 6.1478e-06 +36 *21247:B2 *2312:22 2.19482e-05 +37 *21247:B2 *2479:17 2.9151e-05 +38 *21351:A2 *3144:16 0.000173165 +39 *21403:B2 *21372:C1 4.23858e-05 +40 *21403:B2 *21403:B1 5.62224e-05 +41 *21403:B2 *21403:C1 6.50586e-05 +42 *21403:B2 *21423:B1 0.000125235 +43 *21403:B2 *2307:19 6.79159e-05 +44 *21403:B2 *2463:11 3.33407e-05 +45 *21403:B2 *3122:88 0.000336331 +46 *21403:B2 *3192:69 1.50389e-06 +47 *21403:B2 *3306:15 0.000158192 +48 *21403:B2 *3474:218 6.83813e-05 +49 *21403:B2 *4908:193 6.08467e-05 +50 *21582:A2 *21582:B1 7.92757e-06 +51 *21582:A2 *21981:A1 1.25417e-05 +52 *21582:A2 *21981:B2 0.000442653 +53 *21582:A2 *21994:B 0.000120926 +54 *21582:A2 *2590:17 9.50647e-05 +55 *21582:A2 *2639:19 1.58551e-05 +56 *21582:A2 *3136:69 0.000106419 +57 *21641:B2 *21641:B1 0.000159756 +58 *21641:B2 *21642:C1 1.88014e-05 +59 *21641:B2 *21746:C 0.00025175 +60 *21641:B2 *2682:19 2.77625e-06 +61 *21641:B2 *2777:60 2.41274e-06 +62 *21641:B2 *2930:67 0.000110106 +63 *21641:B2 *3136:208 9.35138e-06 +64 *21789:A2 *19894:B1 0.000174775 +65 *21789:A2 *19905:B2 0.000203853 +66 *21789:A2 *2547:12 0 +67 *21789:A2 *2751:8 0.000200531 +68 *21789:A2 *3364:23 7.13972e-05 +69 *22028:B2 *21257:A 4.0919e-05 +70 *22028:B2 *22028:A1_N 6.3657e-05 +71 *22028:B2 *22395:A1 7.88409e-05 +72 *22028:B2 *22712:B1 2.91133e-05 +73 *22028:B2 *2291:61 4.97935e-05 +74 *22028:B2 *2323:14 5.15415e-05 +75 *22028:B2 *2389:96 0.000181996 +76 *22028:B2 *4815:91 3.94057e-05 +77 *1649:11 *23924:B 0.000204366 +78 *1649:11 *1655:11 1.91391e-05 +79 *1649:11 *2773:41 1.37385e-05 +80 *1649:11 *3517:53 0.000206333 +81 *1649:18 *1750:69 0.000660977 +82 *1649:18 *1802:80 0.00148076 +83 *1649:18 *2675:68 0.000513262 +84 *1649:18 *2751:8 7.64093e-05 +85 *1649:18 *2763:20 0.000880694 +86 *1649:18 *3142:46 5.65354e-05 +87 *1649:18 *3500:89 1.43499e-05 +88 *1649:18 *3511:63 0.000119809 +89 *1649:18 *5476:51 2.14262e-05 +90 *1649:31 *2547:12 8.51784e-06 +91 *1649:31 *3142:46 3.20069e-06 +92 *1649:57 *1660:85 0.00036616 +93 *1649:57 *2547:12 1.5714e-05 +94 *1649:57 *3142:46 3.80436e-07 +95 *1649:57 *3500:89 0.000734805 +96 *1649:57 *3719:44 2.81147e-06 +97 *1649:57 *5476:51 0.000719041 +98 *1649:67 *21064:B1 0.000323063 +99 *1649:67 *1652:12 0.00139681 +100 *1649:67 *1663:15 7.8006e-05 +101 *1649:67 *2609:28 7.74848e-05 +102 *1649:67 *2800:38 0.000453002 +103 *1649:67 *2894:39 8.19494e-05 +104 *1649:67 *3054:18 4.0919e-05 +105 *1649:67 *3114:22 0.000544589 +106 *1649:67 *3136:69 0.000235791 +107 *1649:67 *3136:71 7.09666e-06 +108 *1649:67 *3175:27 7.92757e-06 +109 *1649:80 *21352:C1 3.25827e-05 +110 *1649:80 *24234:RESET_B 0.000153464 +111 *1649:80 *24234:CLK 9.18805e-05 +112 *1649:80 *1717:45 0.000168434 +113 *1649:80 *2378:41 7.8756e-07 +114 *1649:80 *2396:23 0 +115 *1649:80 *2408:10 0.000424604 +116 *1649:80 *2415:19 9.32224e-05 +117 *1649:80 *2421:138 2.91133e-05 +118 *1649:80 *3139:14 0.000120605 +119 *1649:80 *3139:96 0.000263279 +120 *1649:80 *3162:11 0.000111722 +121 *1649:80 *3481:16 9.2038e-05 +122 *1649:80 *3500:18 3.55859e-05 +123 *1649:80 *4866:110 0.000396673 +124 *1649:123 *21909:A1 0.000316241 +125 *1649:123 *1750:69 0.000988789 +126 *1649:123 *1800:75 0.000548012 +127 *1649:123 *2554:35 0.00114943 +128 *1649:123 *2763:20 6.76755e-05 +129 *1649:123 *2791:50 0.00264555 +130 *1649:123 *2805:22 4.57709e-06 +131 *1649:123 *3117:204 0.000411488 +132 *1649:123 *3142:154 0.000202933 +133 *1649:123 *3511:63 0.00128664 +134 *1649:143 *21247:B1 0.000566874 +135 *1649:143 *22386:A1 6.03122e-05 +136 *1649:143 *22673:B2 0.000255018 +137 *1649:143 *2267:80 0.000899299 +138 *1649:143 *2307:19 0.000537652 +139 *1649:143 *2397:13 0.000226199 +140 *1649:143 *2686:40 3.33173e-06 +141 *1649:143 *2791:50 0.00119014 +142 *1649:143 *3144:152 0.000203756 +143 *1649:143 *3204:165 0.00012581 +144 *1649:143 *3529:39 0.000827212 +145 *1649:143 *3531:43 0.000404153 +146 *1649:143 *5456:10 1.4979e-05 +147 *1649:143 *5592:70 1.67867e-05 +148 *1649:162 *21247:B1 2.2703e-05 +149 *1649:162 *22672:A1 7.12157e-05 +150 *1649:162 *2307:19 0.000249364 +151 *1649:162 *2310:37 5.49916e-05 +152 *1649:162 *2397:13 0.000174865 +153 *1649:189 *20621:A2 0.000211353 +154 *1649:189 *20621:B2 0.000182635 +155 *1649:189 *20938:B2 5.47879e-05 +156 *1649:189 *24314:CLK 3.6549e-05 +157 *1649:189 *24491:CLK 1.11267e-05 +158 *1649:189 *2256:114 8.31531e-05 +159 *1649:189 *2275:56 0 +160 *1649:189 *2312:22 3.33173e-06 +161 *1649:189 *2452:36 8.1206e-06 +162 *1649:189 *3050:28 0.000118855 +163 *1649:189 *3153:112 0 +164 *1649:189 *5857:125 0.000207273 +165 *1649:189 *5857:138 8.16955e-05 +166 *21247:A2 *1649:162 0.00021804 +167 *21247:A2 *1649:189 1.24878e-05 +168 *21248:A2 *1649:162 4.1946e-06 +169 *21259:B2 *22028:B2 1.66626e-05 +170 *21582:B2 *21582:A2 0.000110306 +171 *482:34 *1649:80 0.000154593 +172 *490:114 *1649:143 0.00192171 +173 *533:8 *1649:80 0.000464642 +174 *537:29 *1649:162 0.000221631 +175 *543:12 *1649:57 8.3506e-05 +176 *1450:176 *1649:143 3.63914e-05 +177 *1450:176 *1649:162 3.91e-05 +178 *1502:204 *1649:80 0.000105909 +179 *1539:18 *1649:143 4.43994e-05 +180 *1539:18 *1649:162 2.60521e-06 +181 *1552:52 *21582:A2 9.40178e-05 +182 *1552:52 *1649:67 6.75029e-05 +183 *1554:113 *1649:189 3.05764e-05 +184 *1555:19 *22028:B2 7.12788e-06 +185 *1556:46 *1649:189 4.59944e-05 +186 *1557:126 *1649:189 0.000419543 +187 *1570:50 *1649:123 3.98464e-05 +188 *1577:44 *21582:A2 7.35283e-05 +189 *1584:156 *21403:B2 0.000177387 +190 *1588:77 *1649:18 0.00116201 +191 *1592:93 *1649:143 0.000283307 +192 *1608:43 *1649:143 2.86353e-06 +193 *1610:54 *1649:189 0.000457126 +194 *1610:74 *22028:B2 0.000457108 +195 *1610:74 *1649:189 0.000135934 +196 *1620:35 *21641:B2 8.08437e-05 +197 *1631:34 *1649:123 0.000283862 +198 *1631:34 *1649:143 0.000790457 +199 *1637:46 *1649:57 8.05411e-05 +200 *1647:10 *1649:11 6.31996e-05 +201 *1648:10 *20162:B 3.61993e-05 +*RES +1 *19891:X *1649:11 19.0213 +2 *1649:11 *1649:18 13.9459 +3 *1649:18 *21789:A2 20.2522 +4 *1649:18 *1649:31 2.65029 +5 *1649:31 *19894:A2 17.2456 +6 *1649:31 *1649:57 18.8729 +7 *1649:57 *1649:67 35.5667 +8 *1649:67 *1649:80 48.4725 +9 *1649:80 *21351:A2 18.3548 +10 *1649:67 *20162:B 39.4258 +11 *1649:57 *21582:A2 27.2511 +12 *1649:11 *1649:123 9.05559 +13 *1649:123 *21641:B2 23.0933 +14 *1649:123 *1649:143 18.4274 +15 *1649:143 *21403:B2 32.2837 +16 *1649:143 *1649:162 10.5287 +17 *1649:162 *21247:B2 15.0513 +18 *1649:162 *1649:189 49.5149 +19 *1649:189 *22028:B2 31.8341 +*END + +*D_NET *1650 0.0248115 +*CONN +*I *19894:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *22408:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22732:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19892:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19894:B1 0.000335598 +2 *22408:B1 0.000770155 +3 *22732:A1 0.00074887 +4 *19892:Y 0.000768425 +5 *1650:16 0.00151903 +6 *1650:14 0.00187051 +7 *1650:13 0.00165888 +8 *1650:8 0.0018252 +9 *1650:7 0.00246966 +10 *19894:B1 *1651:59 0.000260325 +11 *19894:B1 *1652:12 2.1558e-06 +12 *19894:B1 *1660:66 0.000109447 +13 *19894:B1 *1663:15 2.27135e-05 +14 *19894:B1 *2751:8 2.83972e-05 +15 *19894:B1 *3385:18 0.000105584 +16 *19894:B1 *3385:19 0.000111802 +17 *19894:B1 *3669:8 4.99151e-05 +18 *22408:B1 *22408:B2 9.95922e-06 +19 *22408:B1 *22729:B1 0.000247443 +20 *22408:B1 *3175:37 5.92189e-05 +21 *22408:B1 *3483:30 1.00846e-05 +22 *22732:A1 *22414:B2 0.000294093 +23 *22732:A1 *22733:C1 1.01177e-05 +24 *22732:A1 *3175:37 2.7936e-05 +25 *22732:A1 *3369:19 2.65667e-05 +26 *22732:A1 *3498:64 3.41459e-05 +27 *22732:A1 *3670:11 2.38934e-06 +28 *1650:7 *19892:A 2.65831e-05 +29 *1650:7 *24237:RESET_B 0.000293466 +30 *1650:7 *5481:31 7.67523e-06 +31 *1650:7 *5481:38 6.08467e-05 +32 *1650:7 *5870:392 6.50586e-05 +33 *1650:8 *24333:CLK 0.000162529 +34 *1650:8 *1669:20 1.22788e-05 +35 *1650:8 *1731:20 1.12605e-05 +36 *1650:8 *1731:136 0.000564909 +37 *1650:8 *1780:15 2.42716e-05 +38 *1650:8 *2145:35 2.32792e-05 +39 *1650:8 *2145:42 0.000541514 +40 *1650:8 *2146:19 2.12285e-05 +41 *1650:8 *4918:16 0.000825364 +42 *1650:8 *5854:472 0.000724603 +43 *1650:8 *5854:489 0.00122678 +44 *1650:8 *5857:286 4.84017e-05 +45 *1650:8 *5870:395 0.000365409 +46 *1650:8 *5870:406 8.61654e-05 +47 *1650:8 *5870:425 0.000322372 +48 *1650:8 *5870:436 0.000688148 +49 *1650:13 *21864:B2 0.000137746 +50 *1650:13 *2983:11 0.000391603 +51 *1650:14 *21731:B2 8.12172e-05 +52 *1650:14 *21745:D 0.000179663 +53 *1650:14 *21881:A2 0.00018198 +54 *1650:14 *21968:A1 7.6125e-05 +55 *1650:14 *22728:B1 3.63593e-05 +56 *1650:14 *1660:27 7.36257e-05 +57 *1650:14 *1660:66 0.000452485 +58 *1650:14 *1730:43 7.84457e-06 +59 *1650:14 *2338:14 0.00020652 +60 *1650:14 *2338:41 0.000368096 +61 *1650:14 *2586:59 1.37925e-05 +62 *1650:14 *2751:8 0.000203496 +63 *1650:14 *2763:20 2.27135e-05 +64 *1650:14 *2773:10 2.27901e-06 +65 *1650:14 *2978:110 0.000260417 +66 *1650:14 *3144:23 0.000212957 +67 *1650:14 *3669:8 0.00151358 +68 *21789:A2 *19894:B1 0.000174775 +69 *22729:A1 *22408:B1 3.8122e-05 +70 *24237:D *1650:7 0.000109453 +71 *1557:10 *1650:8 0.000101503 +72 *1557:21 *1650:8 0.000129676 +73 *1637:42 *1650:14 0.000354723 +*RES +1 *19892:Y *1650:7 25.5646 +2 *1650:7 *1650:8 65.3656 +3 *1650:8 *1650:13 13.6056 +4 *1650:13 *1650:14 49.1707 +5 *1650:14 *1650:16 4.5 +6 *1650:16 *22732:A1 20.3894 +7 *1650:16 *22408:B1 20.944 +8 *1650:14 *19894:B1 24.3365 +*END + +*D_NET *1651 0.0284776 +*CONN +*I *21864:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *21449:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19894:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *22040:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *21598:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21033:B I *D sky130_fd_sc_hd__or2_1 +*I *19893:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21864:B2 0.00100453 +2 *21449:B2 0.000425807 +3 *19894:B2 0 +4 *22040:B2 0.000685783 +5 *21598:A2 0.000169993 +6 *21033:B 0.000197126 +7 *19893:X 2.37303e-05 +8 *1651:59 0.000957677 +9 *1651:52 0.00204198 +10 *1651:29 0.00263251 +11 *1651:25 0.00235109 +12 *1651:16 0.00157045 +13 *1651:7 0.00162538 +14 *21033:B *21034:A 0.000162739 +15 *21033:B *2450:29 0.000548795 +16 *21449:B2 *21449:A1 6.9526e-05 +17 *21449:B2 *21449:B1 9.95922e-06 +18 *21449:B2 *22496:B1 3.31745e-05 +19 *21449:B2 *2505:83 2.37827e-05 +20 *21449:B2 *2519:38 0.000242909 +21 *21449:B2 *3690:14 0.000252033 +22 *21598:A2 *19980:B1 0.000427854 +23 *21598:A2 *21744:A 5.36085e-05 +24 *21598:A2 *22728:B1 0.000436631 +25 *21598:A2 *2718:17 5.36085e-05 +26 *21864:B2 *21864:B1 6.13538e-05 +27 *21864:B2 *21864:C1 7.91513e-05 +28 *21864:B2 *21915:A1 0.000265216 +29 *21864:B2 *21915:B1 0.000650839 +30 *21864:B2 *21947:B 0.000459338 +31 *21864:B2 *21969:C1 3.88655e-05 +32 *21864:B2 *1671:102 0.000344968 +33 *21864:B2 *1771:24 0.000186825 +34 *21864:B2 *2740:27 4.67418e-06 +35 *21864:B2 *2928:35 6.08467e-05 +36 *21864:B2 *2983:11 0.000153872 +37 *21864:B2 *3076:67 1.33562e-05 +38 *21864:B2 *5452:11 0.000108161 +39 *22040:B2 *22431:A1 6.08467e-05 +40 *22040:B2 *22431:B1 0.000369076 +41 *22040:B2 *22431:B2 9.21475e-05 +42 *22040:B2 *2931:5 5.79057e-05 +43 *22040:B2 *3157:37 2.7726e-05 +44 *22040:B2 *3157:43 0.000557914 +45 *1651:7 *21411:C1 0.000164815 +46 *1651:16 *20911:A2 1.22756e-05 +47 *1651:16 *24939:A 0.000144531 +48 *1651:16 *1731:136 2.33359e-05 +49 *1651:16 *2145:8 5.37647e-05 +50 *1651:16 *2675:63 0.000323011 +51 *1651:16 *2740:23 6.34651e-06 +52 *1651:16 *2740:27 0.000234193 +53 *1651:16 *2981:24 0.00010556 +54 *1651:25 *1771:24 2.25583e-07 +55 *1651:25 *2740:27 0.000333682 +56 *1651:25 *2975:33 7.67208e-05 +57 *1651:25 *2981:24 9.50794e-05 +58 *1651:29 *21864:C1 3.70027e-06 +59 *1651:29 *1807:20 7.42464e-05 +60 *1651:29 *2734:24 0.000274252 +61 *1651:29 *5452:11 6.23101e-05 +62 *1651:52 *22414:B1 0.000115451 +63 *1651:52 *22458:B2 4.49912e-05 +64 *1651:52 *22480:A2 0.000283349 +65 *1651:52 *1807:20 0.000495451 +66 *1651:52 *2519:38 0.000659192 +67 *1651:52 *2773:28 3.23176e-05 +68 *1651:52 *3114:29 5.84021e-05 +69 *1651:52 *3175:37 0.000111631 +70 *1651:52 *3185:30 0.000200026 +71 *1651:52 *3185:53 1.92708e-05 +72 *1651:52 *3690:14 0.000149846 +73 *1651:52 *5674:45 1.43499e-05 +74 *1651:59 *22436:A1 0.000340742 +75 *1651:59 *22436:B2 0.000107496 +76 *1651:59 *3157:43 0.000948553 +77 *1651:59 *3385:19 7.92168e-05 +78 *19894:A1 *22040:B2 2.57986e-05 +79 *19894:B1 *1651:59 0.000260325 +80 *24331:D *1651:16 4.87198e-05 +81 *543:12 *1651:16 0.000865945 +82 *545:23 *1651:52 1.79334e-05 +83 *1443:18 *21864:B2 5.26029e-05 +84 *1443:49 *1651:29 0.000421824 +85 *1443:49 *1651:52 0.00121081 +86 *1450:20 *1651:29 6.55666e-06 +87 *1557:22 *21864:B2 1.96503e-05 +88 *1637:9 *1651:7 0.000164815 +89 *1637:42 *1651:29 0 +90 *1641:57 *1651:52 2.78219e-06 +91 *1650:13 *21864:B2 0.000137746 +*RES +1 *19893:X *1651:7 15.5817 +2 *1651:7 *1651:16 49.1931 +3 *1651:16 *21033:B 15.5186 +4 *1651:7 *1651:25 10.3914 +5 *1651:25 *1651:29 3.37279 +6 *1651:29 *21598:A2 19.3272 +7 *1651:29 *1651:52 27.0113 +8 *1651:52 *1651:59 16.9183 +9 *1651:59 *22040:B2 27.8163 +10 *1651:59 *19894:B2 9.24915 +11 *1651:52 *21449:B2 23.3882 +12 *1651:25 *21864:B2 46.7502 +*END + +*D_NET *1652 0.0838602 +*CONN +*I *19895:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19894:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *19895:C1 0.000501884 +2 *19894:X 0.00381532 +3 *1652:95 0.00282525 +4 *1652:90 0.00236886 +5 *1652:89 4.54963e-05 +6 *1652:87 0.00197295 +7 *1652:65 0.00651004 +8 *1652:52 0.00582821 +9 *1652:41 0.00193968 +10 *1652:34 0.00314981 +11 *1652:28 0.00422093 +12 *1652:12 0.005535 +13 *19895:C1 *19907:C 0.000535951 +14 *19895:C1 *22630:B1 2.16355e-05 +15 *19895:C1 *22630:B2 2.16355e-05 +16 *19895:C1 *22631:C1 0.000108325 +17 *19895:C1 *2770:46 2.91243e-05 +18 *19895:C1 *2770:68 2.16355e-05 +19 *19895:C1 *3529:178 9.58642e-06 +20 *19895:C1 *3577:7 2.27351e-05 +21 *1652:12 *22151:B 3.58525e-05 +22 *1652:12 *22165:A 6.39772e-05 +23 *1652:12 *22233:A 8.01546e-05 +24 *1652:12 *22431:A1 0.000994458 +25 *1652:12 *1663:15 1.57866e-05 +26 *1652:12 *2547:12 4.12833e-05 +27 *1652:12 *2694:36 3.61767e-05 +28 *1652:12 *2906:82 1.78392e-05 +29 *1652:12 *3054:18 5.60804e-05 +30 *1652:12 *3104:40 0.000174558 +31 *1652:12 *3106:42 1.87091e-06 +32 *1652:12 *3111:16 0.00139602 +33 *1652:12 *3120:41 8.66121e-05 +34 *1652:12 *3131:41 0 +35 *1652:12 *3190:10 6.79077e-05 +36 *1652:12 *3196:12 0.000342276 +37 *1652:12 *3385:18 3.04407e-05 +38 *1652:12 *3491:8 0 +39 *1652:12 *3496:29 0 +40 *1652:12 *4856:18 9.59618e-06 +41 *1652:12 *5476:51 0.00335418 +42 *1652:12 *5476:69 1.85963e-05 +43 *1652:28 *20616:A2 0.00012389 +44 *1652:28 *20616:B2 3.17103e-05 +45 *1652:28 *21023:A 2.80886e-05 +46 *1652:28 *21976:A 6.12686e-06 +47 *1652:28 *22517:A 6.56365e-05 +48 *1652:28 *2275:9 6.45577e-05 +49 *1652:28 *2440:55 0 +50 *1652:28 *2636:28 5.87653e-05 +51 *1652:28 *2990:7 4.31539e-05 +52 *1652:28 *3190:10 0.000514589 +53 *1652:28 *3196:12 4.65826e-05 +54 *1652:28 *3476:7 2.85274e-05 +55 *1652:28 *5859:215 4.04447e-05 +56 *1652:28 *5862:101 4.51619e-05 +57 *1652:34 *21414:A 0 +58 *1652:34 *2520:91 0.00384959 +59 *1652:34 *2635:80 8.62208e-05 +60 *1652:34 *2814:8 0.000613492 +61 *1652:34 *2924:51 1.3813e-05 +62 *1652:34 *4808:135 0 +63 *1652:34 *4823:94 7.81133e-05 +64 *1652:34 *4938:8 0.00132245 +65 *1652:34 *5859:209 8.63905e-05 +66 *1652:41 *2924:51 3.06917e-06 +67 *1652:41 *3263:24 3.29488e-05 +68 *1652:41 *4809:7 0.000347849 +69 *1652:41 *4813:81 1.76791e-05 +70 *1652:41 *4817:97 0.00025456 +71 *1652:41 *4843:407 0.000193042 +72 *1652:41 *4843:421 0.000527706 +73 *1652:41 *5859:205 0.000513575 +74 *1652:41 *5859:209 0.000231582 +75 *1652:52 *1899:20 0.000504306 +76 *1652:52 *1899:24 0.000241952 +77 *1652:52 *2171:33 0.000258079 +78 *1652:52 *2949:60 0.000430853 +79 *1652:52 *2949:64 0.000245727 +80 *1652:52 *4819:14 0.000213174 +81 *1652:52 *4909:101 0.000230399 +82 *1652:65 *20651:A 6.8437e-05 +83 *1652:65 *20651:B 0.000377382 +84 *1652:65 *20999:A2 7.42334e-05 +85 *1652:65 *21292:A 6.85305e-05 +86 *1652:65 *1688:75 0.000422873 +87 *1652:65 *1899:24 9.25433e-05 +88 *1652:65 *2404:60 0.000524608 +89 *1652:65 *2446:145 0.000178044 +90 *1652:65 *2467:87 0.000107413 +91 *1652:65 *2813:42 0.000172795 +92 *1652:65 *2896:72 0.000232583 +93 *1652:65 *2949:71 0.00018545 +94 *1652:65 *4813:110 0.0031333 +95 *1652:65 *4819:14 0.00138208 +96 *1652:65 *5864:623 0.000363202 +97 *1652:87 *21302:B1 3.07848e-05 +98 *1652:87 *21431:A 3.39118e-05 +99 *1652:87 *21442:C 0.000154821 +100 *1652:87 *1655:199 5.67724e-05 +101 *1652:87 *1655:213 0.000398089 +102 *1652:87 *2363:45 3.955e-05 +103 *1652:87 *2416:34 1.5714e-05 +104 *1652:87 *2421:42 0.000434077 +105 *1652:87 *2464:51 0.000162712 +106 *1652:87 *2488:14 1.9101e-05 +107 *1652:87 *2530:64 0.000324825 +108 *1652:87 *4873:17 0.00278941 +109 *1652:95 *22279:A2 0.000193576 +110 *1652:95 *22279:B2 0.000154145 +111 *1652:95 *22631:B1 0.0011172 +112 *1652:95 *2770:46 6.78364e-06 +113 *1652:95 *2776:29 3.12044e-05 +114 *1652:95 *2820:62 2.05234e-05 +115 *19894:B1 *1652:12 2.1558e-06 +116 *19895:A1 *19895:C1 2.16355e-05 +117 *19895:A2 *19895:C1 2.75606e-05 +118 *19895:B1 *19895:C1 0.000154145 +119 *19895:B2 *19895:C1 0.000354939 +120 *20162:B *1652:12 0.00335975 +121 *21022:A *1652:28 0.000166548 +122 *21402:B2 *1652:87 2.1558e-06 +123 *24337:D *1652:34 5.74544e-05 +124 *24337:D *1652:41 2.29182e-05 +125 *24340:D *1652:41 0.000115508 +126 *477:177 *1652:65 0.000190251 +127 *500:13 *1652:87 0.000826591 +128 *508:20 *1652:87 5.8518e-05 +129 *522:17 *1652:12 0.000456591 +130 *1418:79 *1652:65 5.60804e-05 +131 *1419:239 *1652:65 0.000361719 +132 *1439:104 *1652:34 0.000655343 +133 *1439:104 *1652:41 0.000250318 +134 *1439:113 *1652:34 4.07632e-05 +135 *1545:54 *1652:34 0 +136 *1551:136 *1652:65 0 +137 *1551:136 *1652:87 6.14756e-06 +138 *1551:154 *1652:87 0.000114973 +139 *1577:26 *1652:12 5.60804e-05 +140 *1591:9 *1652:87 0.000589833 +141 *1591:39 *1652:87 6.98208e-05 +142 *1591:39 *1652:95 0.000995619 +143 *1608:98 *1652:65 0.0013359 +144 *1634:45 *1652:65 0 +145 *1648:25 *1652:12 0.00104589 +146 *1649:67 *1652:12 0.00139681 +*RES +1 *19894:X *1652:12 49.9566 +2 *1652:12 *1652:28 46.3792 +3 *1652:28 *1652:34 37.6973 +4 *1652:34 *1652:41 34.9006 +5 *1652:41 *1652:52 40.023 +6 *1652:52 *1652:65 45.1683 +7 *1652:65 *1652:87 41.2519 +8 *1652:87 *1652:89 9.24915 +9 *1652:89 *1652:90 57.9449 +10 *1652:90 *1652:95 46.6005 +11 *1652:95 *19895:C1 24.4405 +*END + +*D_NET *1653 0.00289651 +*CONN +*I *19907:C I *D sky130_fd_sc_hd__and4_1 +*I *19895:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19907:C 0.00092046 +2 *19895:X 0.00092046 +3 *19907:C *19907:D 1.41307e-05 +4 *19907:C *1720:19 2.20583e-05 +5 *19907:C *1770:58 2.72078e-05 +6 *19907:C *2551:38 5.42521e-05 +7 *19907:C *3529:178 2.16355e-05 +8 *19907:C *3531:174 0.00025175 +9 *19907:C *4942:22 8.5985e-05 +10 *19895:B1 *19907:C 6.7671e-06 +11 *19895:C1 *19907:C 0.000535951 +12 *19907:B *19907:C 3.58531e-05 +*RES +1 *19895:X *19907:C 45.6308 +*END + +*D_NET *1654 0.0233872 +*CONN +*I *19906:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22218:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22566:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19896:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19906:A1 0 +2 *22218:B1 7.6493e-05 +3 *22566:A1 0.000927625 +4 *19896:Y 0.000617108 +5 *1654:39 0.00119133 +6 *1654:33 0.000309647 +7 *1654:29 0.00127599 +8 *1654:12 0.0024497 +9 *1654:10 0.00191325 +10 *22218:B1 *4942:22 0.000242101 +11 *22566:A1 *22231:B1 2.1203e-06 +12 *22566:A1 *22231:B2 0.000206566 +13 *22566:A1 *22566:A2 2.58757e-05 +14 *22566:A1 *22597:A 7.62993e-05 +15 *22566:A1 *1720:20 0.000283492 +16 *22566:A1 *3531:171 0.000322765 +17 *22566:A1 *3535:14 0.000522148 +18 *22566:A1 *4942:22 0.000284707 +19 *1654:10 *19896:A 7.39264e-05 +20 *1654:10 *21972:A 0.000247231 +21 *1654:10 *1800:184 0.000218639 +22 *1654:10 *2347:26 0.000111708 +23 *1654:10 *2809:14 0.000892683 +24 *1654:10 *5103:8 9.25219e-05 +25 *1654:12 *1800:184 0.00106918 +26 *1654:12 *2566:28 0.000104469 +27 *1654:12 *2601:8 0.00173762 +28 *1654:12 *2601:23 3.46717e-05 +29 *1654:12 *2809:14 2.04744e-05 +30 *1654:12 *2809:18 0.0024616 +31 *1654:12 *2826:19 0.000216759 +32 *1654:12 *2986:17 2.01535e-05 +33 *1654:12 *5311:10 0.000115761 +34 *1654:29 *22023:B1 0.000168763 +35 *1654:29 *22024:A1 0.000165819 +36 *1654:29 *22218:B2 0.000156955 +37 *1654:29 *22225:C 0.000609719 +38 *1654:29 *1742:93 1.43499e-05 +39 *1654:29 *2566:28 0.000120709 +40 *1654:29 *2601:23 0.000704194 +41 *1654:29 *2738:13 6.23101e-05 +42 *1654:29 *2826:19 3.58315e-06 +43 *1654:29 *2986:17 9.28471e-05 +44 *1654:29 *3015:10 0.00134617 +45 *1654:29 *3175:143 5.481e-05 +46 *1654:33 *19906:A2 6.08467e-05 +47 *1654:33 *19907:D 2.07503e-05 +48 *1654:33 *2601:36 0.0001624 +49 *1654:39 *6155:DIODE 6.78549e-05 +50 *1654:39 *19906:A2 8.23449e-05 +51 *1654:39 *19907:D 0.000546678 +52 *1654:39 *1656:37 1.9503e-05 +53 *22023:A2 *1654:12 0.000115313 +54 *22224:A1 *22218:B1 7.30564e-05 +55 *22224:A1 *22566:A1 0.000199173 +56 *22231:A1 *22566:A1 4.8582e-05 +57 *1591:48 *22566:A1 1.25173e-05 +58 *1609:26 *22218:B1 0.000167545 +59 *1644:10 *1654:33 0.000165825 +*RES +1 *19896:Y *1654:10 34.1366 +2 *1654:10 *1654:12 57.4758 +3 *1654:12 *1654:29 47.4751 +4 *1654:29 *1654:33 8.7164 +5 *1654:33 *1654:39 11.3481 +6 *1654:39 *22566:A1 41.1003 +7 *1654:39 *22218:B1 18.0727 +8 *1654:33 *19906:A1 9.24915 +*END + +*D_NET *1655 0.0771811 +*CONN +*I *20874:B I *D sky130_fd_sc_hd__or2_1 +*I *21430:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21302:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19906:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21765:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22060:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21241:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21487:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21624:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19897:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20874:B 1.91831e-05 +2 *21430:A2 0 +3 *21302:A2 0 +4 *19906:A2 0.000528358 +5 *21765:A2 0.000137139 +6 *22060:B2 0.000143389 +7 *21241:A2 0.000502944 +8 *21487:A2 0.0001488 +9 *21624:A2 0 +10 *19897:X 0.00056051 +11 *1655:239 5.9952e-05 +12 *1655:238 0.000295213 +13 *1655:226 0.00101413 +14 *1655:220 0.00228139 +15 *1655:213 0.00193431 +16 *1655:199 0.00305364 +17 *1655:161 0.00437193 +18 *1655:135 0.00266989 +19 *1655:111 0.0025439 +20 *1655:94 0.00255516 +21 *1655:89 0.00211694 +22 *1655:88 0.000499882 +23 *1655:77 0.00288812 +24 *1655:61 0.00309985 +25 *1655:29 0.00258286 +26 *1655:11 0.0012774 +27 *19906:A2 *19906:B1 9.51132e-06 +28 *19906:A2 *19907:D 9.94284e-06 +29 *19906:A2 *22225:C 6.08467e-05 +30 *19906:A2 *22249:B 0.000107496 +31 *19906:A2 *2822:8 0.000128346 +32 *19906:A2 *2850:34 0.000251942 +33 *19906:A2 *3173:33 7.0791e-05 +34 *19906:A2 *3186:16 1.09898e-05 +35 *20874:B *21186:B1 5.88657e-05 +36 *21241:A2 *22343:A2 7.21706e-05 +37 *21241:A2 *22387:A1 6.5829e-05 +38 *21241:A2 *22397:A1 1.91246e-05 +39 *21241:A2 *22398:C1 2.57986e-05 +40 *21241:A2 *2306:75 0.00049441 +41 *21241:A2 *3124:242 9.80784e-05 +42 *21241:A2 *3666:13 1.90165e-05 +43 *21487:A2 *21487:A1 9.61357e-05 +44 *21487:A2 *22322:B1 6.89958e-05 +45 *21487:A2 *22539:B2 1.2591e-05 +46 *21487:A2 *2772:97 6.27031e-05 +47 *21765:A2 *21765:B1 0.000324945 +48 *21765:A2 *21766:C 0.000200794 +49 *21765:A2 *2794:58 2.53145e-06 +50 *22060:B2 *22060:A1 5.48962e-05 +51 *22060:B2 *22060:C1 0.000107496 +52 *22060:B2 *2551:55 0.000182648 +53 *22060:B2 *3186:16 0.000184002 +54 *1655:11 *21624:A1 1.04818e-05 +55 *1655:11 *1662:63 0.000610944 +56 *1655:11 *2773:41 0.000108079 +57 *1655:29 *21500:B1 0.000114086 +58 *1655:29 *21622:A 0.000164843 +59 *1655:29 *21623:B1 3.95516e-05 +60 *1655:29 *21624:A1 4.82506e-05 +61 *1655:29 *21624:B1 5.80564e-06 +62 *1655:29 *22322:B1 2.25742e-05 +63 *1655:29 *22540:A2 0.00025175 +64 *1655:29 *1662:63 9.72874e-06 +65 *1655:29 *2523:123 1.28732e-05 +66 *1655:29 *2557:20 8.60109e-05 +67 *1655:29 *3019:23 0.000188202 +68 *1655:29 *3071:67 5.11436e-05 +69 *1655:29 *3148:226 3.77568e-05 +70 *1655:61 *21487:A1 7.09666e-06 +71 *1655:61 *21730:A1 0.000435682 +72 *1655:61 *21801:A2 8.90266e-05 +73 *1655:61 *22011:B1 7.09666e-06 +74 *1655:61 *22260:A2 0.00021038 +75 *1655:61 *22606:C1 3.59507e-05 +76 *1655:61 *22663:A2 3.39118e-05 +77 *1655:61 *22664:A2 1.91391e-05 +78 *1655:61 *22665:C1 0.000140013 +79 *1655:61 *22667:B1 0.000770972 +80 *1655:61 *2541:36 0.0001056 +81 *1655:61 *2541:48 0.000393545 +82 *1655:61 *2564:68 0.000297584 +83 *1655:61 *2589:54 7.39223e-06 +84 *1655:61 *2772:97 4.1167e-05 +85 *1655:61 *2777:60 7.99851e-05 +86 *1655:61 *2825:57 6.74182e-05 +87 *1655:61 *3050:52 7.58067e-06 +88 *1655:61 *3142:158 8.42542e-05 +89 *1655:61 *3500:168 0.000163146 +90 *1655:61 *3531:49 1.5714e-05 +91 *1655:61 *4815:122 1.97133e-05 +92 *1655:77 *21476:B1 0.00065741 +93 *1655:77 *22605:A1 2.57847e-05 +94 *1655:77 *22605:B1 0.000276568 +95 *1655:77 *2919:20 0.000375995 +96 *1655:77 *2985:44 0.000104613 +97 *1655:77 *2996:72 0.000437028 +98 *1655:77 *3106:42 5.01835e-05 +99 *1655:77 *3474:139 1.5714e-05 +100 *1655:77 *3502:179 2.54131e-05 +101 *1655:77 *3502:197 6.36477e-05 +102 *1655:77 *5924:37 7.26682e-05 +103 *1655:88 *1708:95 0.000104754 +104 *1655:88 *2542:28 2.27135e-05 +105 *1655:88 *2806:45 0.000833726 +106 *1655:88 *5904:64 0.000647982 +107 *1655:94 *5904:45 0.00289916 +108 *1655:94 *5904:64 1.81951e-05 +109 *1655:111 *21476:B1 0.000426748 +110 *1655:111 *1689:135 0.00138393 +111 *1655:111 *2288:24 0.00140623 +112 *1655:111 *2530:90 0.000627632 +113 *1655:111 *2919:20 0.00105934 +114 *1655:135 *21909:A1 6.77519e-05 +115 *1655:135 *22060:B1 0.000156394 +116 *1655:135 *22248:B 0.000120915 +117 *1655:135 *22608:A1 1.47875e-05 +118 *1655:135 *22608:B2 1.64462e-05 +119 *1655:135 *24909:A 0.000104754 +120 *1655:135 *1689:135 0.000620868 +121 *1655:135 *2551:44 8.1879e-05 +122 *1655:135 *2551:55 8.19081e-05 +123 *1655:135 *2873:17 0 +124 *1655:135 *3015:14 4.17069e-06 +125 *1655:135 *3186:16 0.000463755 +126 *1655:135 *3202:14 0.000328431 +127 *1655:135 *3211:10 1.91246e-05 +128 *1655:135 *3513:188 2.80017e-05 +129 *1655:135 *3523:154 6.79609e-05 +130 *1655:161 *21765:A1 8.94611e-05 +131 *1655:161 *22576:B1 0.000157659 +132 *1655:161 *1688:75 0.000155692 +133 *1655:161 *2566:28 0.000352171 +134 *1655:161 *2601:36 5.4729e-06 +135 *1655:161 *2601:40 0.000633767 +136 *1655:161 *2986:34 0.000147687 +137 *1655:161 *3128:166 0.000229576 +138 *1655:161 *3134:145 0.000358506 +139 *1655:161 *3186:16 0.000128739 +140 *1655:161 *3190:107 0.000250775 +141 *1655:161 *3523:154 8.17829e-06 +142 *1655:199 *21278:A1 6.08467e-05 +143 *1655:199 *21302:B1 6.36477e-05 +144 *1655:199 *22372:B 6.08467e-05 +145 *1655:199 *22701:B1 8.71956e-05 +146 *1655:199 *1784:91 0.000421428 +147 *1655:199 *1790:144 3.28038e-05 +148 *1655:199 *1800:128 5.04412e-05 +149 *1655:199 *2364:7 6.08467e-05 +150 *1655:199 *2374:48 0.000143612 +151 *1655:199 *2377:13 1.27831e-06 +152 *1655:199 *2541:23 2.14262e-05 +153 *1655:199 *2554:21 3.4291e-05 +154 *1655:199 *3039:46 4.72039e-05 +155 *1655:199 *3044:23 8.59857e-05 +156 *1655:199 *3051:20 0.000492074 +157 *1655:199 *3533:119 1.12829e-05 +158 *1655:199 *4873:17 0.00291966 +159 *1655:213 *21302:A1 0.000160617 +160 *1655:213 *22372:B 5.51483e-06 +161 *1655:213 *22382:B 6.46887e-05 +162 *1655:213 *2258:62 3.63738e-05 +163 *1655:213 *2360:57 1.80257e-05 +164 *1655:213 *2416:34 7.70944e-06 +165 *1655:213 *3128:227 0.000198188 +166 *1655:213 *3326:15 1.88014e-05 +167 *1655:213 *3529:212 1.65872e-05 +168 *1655:213 *3646:23 0.000109025 +169 *1655:220 *22303:A2 6.56365e-05 +170 *1655:220 *22339:A1 1.66771e-05 +171 *1655:220 *22384:A2 0.000194894 +172 *1655:220 *22385:C1 0.000335917 +173 *1655:220 *22721:B1 0.000319852 +174 *1655:220 *2250:31 0.000500147 +175 *1655:220 *2253:10 0.000160941 +176 *1655:220 *2263:80 0.000106324 +177 *1655:220 *2293:38 0.000167649 +178 *1655:220 *2294:63 0.000281958 +179 *1655:220 *2317:20 7.86728e-05 +180 *1655:220 *2820:27 0.000393863 +181 *1655:220 *3320:10 0.000176035 +182 *1655:226 *1725:192 3.99086e-06 +183 *1655:226 *2306:37 0.000220079 +184 *1655:226 *2317:20 1.50389e-06 +185 *1655:238 *21186:B1 4.17276e-05 +186 *1655:238 *2306:37 0.000171231 +187 *19801:B2 *1655:77 0.000237492 +188 *19820:B2 *1655:88 0.000107019 +189 *19864:B2 *1655:161 0.000190626 +190 *21186:B2 *20874:B 5.88657e-05 +191 *21186:B2 *1655:238 0.000105515 +192 *21241:B2 *21241:A2 3.41459e-05 +193 *21487:B2 *21487:A2 1.08183e-05 +194 *21487:B2 *1655:61 7.17578e-06 +195 *21636:B2 *1655:61 0.000428939 +196 *21759:A2 *1655:135 0.000526081 +197 *21800:A2 *1655:61 1.94614e-05 +198 *22011:B2 *1655:77 2.02035e-05 +199 *22060:A2 *22060:B2 6.08467e-05 +200 *22224:A1 *19906:A2 6.74182e-05 +201 *22224:A1 *1655:161 0.00033307 +202 *22224:A1 *1655:199 8.91154e-05 +203 *22296:B1 *1655:220 1.37925e-05 +204 *22575:B1 *1655:161 2.05557e-05 +205 *520:17 *1655:29 1.65548e-05 +206 *1419:249 *1655:135 0.000618485 +207 *1551:162 *1655:226 0.000219647 +208 *1551:172 *1655:226 0.000438266 +209 *1553:64 *1655:61 1.88148e-05 +210 *1554:49 *1655:88 0.00162527 +211 *1558:42 *1655:77 0.000705009 +212 *1584:69 *1655:77 9.26844e-05 +213 *1591:9 *1655:213 5.23916e-05 +214 *1591:39 *1655:161 0.000338335 +215 *1591:39 *1655:199 0.000549662 +216 *1592:16 *1655:29 0.000158357 +217 *1614:30 *21487:A2 1.37385e-05 +218 *1614:30 *1655:29 0 +219 *1614:30 *1655:61 0.000169501 +220 *1614:120 *1655:226 0.00035996 +221 *1614:120 *1655:238 0.000158719 +222 *1616:195 *1655:135 1.01594e-05 +223 *1627:118 *1655:213 0.000162112 +224 *1627:128 *1655:213 0.000444819 +225 *1627:128 *1655:220 0.000128656 +226 *1634:45 *1655:161 0.000255308 +227 *1644:14 *1655:161 7.08288e-05 +228 *1647:10 *1655:11 3.66959e-05 +229 *1647:46 *1655:135 1.07517e-05 +230 *1649:11 *1655:11 1.91391e-05 +231 *1652:87 *1655:199 5.67724e-05 +232 *1652:87 *1655:213 0.000398089 +233 *1654:33 *19906:A2 6.08467e-05 +234 *1654:39 *19906:A2 8.23449e-05 +*RES +1 *19897:X *1655:11 28.8637 +2 *1655:11 *21624:A2 9.24915 +3 *1655:11 *1655:29 30.6809 +4 *1655:29 *21487:A2 17.135 +5 *1655:29 *1655:61 49.5475 +6 *1655:61 *1655:77 30.3851 +7 *1655:77 *1655:88 37.0631 +8 *1655:88 *1655:89 81.1229 +9 *1655:89 *1655:94 47.7488 +10 *1655:94 *21241:A2 26.0135 +11 *1655:77 *1655:111 8.3496 +12 *1655:111 *22060:B2 19.3065 +13 *1655:111 *1655:135 34.6646 +14 *1655:135 *21765:A2 18.3789 +15 *1655:135 *1655:161 31.7892 +16 *1655:161 *19906:A2 30.8228 +17 *1655:161 *1655:199 38.9943 +18 *1655:199 *21302:A2 9.24915 +19 *1655:199 *1655:213 28.9773 +20 *1655:213 *1655:220 49.244 +21 *1655:220 *1655:226 24.5788 +22 *1655:226 *21430:A2 13.7491 +23 *1655:226 *1655:238 20.8779 +24 *1655:238 *1655:239 57.9449 +25 *1655:239 *20874:B 19.2217 +*END + +*D_NET *1656 0.0269247 +*CONN +*I *19906:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19898:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19906:B1 5.27941e-05 +2 *19898:Y 4.18856e-05 +3 *1656:37 0.0019915 +4 *1656:12 0.00348777 +5 *1656:10 0.00168354 +6 *1656:8 0.00394379 +7 *1656:7 0.0038512 +8 *1656:7 *20469:A1 6.50727e-05 +9 *1656:8 *20424:A 0.000268989 +10 *1656:8 *20464:A1 0.000274843 +11 *1656:8 *20509:B1 0 +12 *1656:8 *21195:A 0 +13 *1656:8 *24595:RESET_B 0 +14 *1656:8 *24597:RESET_B 0 +15 *1656:8 *1789:6 0 +16 *1656:8 *1800:184 0.000158208 +17 *1656:8 *1884:129 0.000331852 +18 *1656:8 *1955:9 6.80864e-05 +19 *1656:8 *2467:8 3.4475e-05 +20 *1656:8 *2809:6 0 +21 *1656:8 *2984:8 0 +22 *1656:8 *4838:225 0 +23 *1656:8 *4844:76 0 +24 *1656:8 *4887:46 0 +25 *1656:8 *5105:9 6.25975e-05 +26 *1656:8 *5144:11 0.000138988 +27 *1656:8 *5594:8 0.000132529 +28 *1656:8 *5866:313 5.28469e-05 +29 *1656:8 *5866:452 0 +30 *1656:8 *5866:473 0 +31 *1656:8 *5866:482 0 +32 *1656:12 *20544:A2 1.25301e-05 +33 *1656:12 *24456:SET_B 1.04965e-05 +34 *1656:12 *2347:26 0.000143032 +35 *1656:12 *2566:28 0.000234199 +36 *1656:12 *2809:6 0.000115586 +37 *1656:12 *2826:10 0.00202217 +38 *1656:12 *4126:57 0.00123902 +39 *1656:12 *4822:12 9.2346e-06 +40 *1656:12 *4822:50 0.00026184 +41 *1656:12 *5105:9 0 +42 *1656:37 *6155:DIODE 2.41274e-06 +43 *1656:37 *20709:B1 1.93695e-05 +44 *1656:37 *21712:B2 0.000372132 +45 *1656:37 *22217:A2 7.37489e-05 +46 *1656:37 *1658:86 9.50815e-05 +47 *1656:37 *1725:181 0.000218879 +48 *1656:37 *1770:31 0.000545308 +49 *1656:37 *1770:58 3.53921e-05 +50 *1656:37 *2566:28 0.0014527 +51 *1656:37 *2602:32 0.000213458 +52 *1656:37 *2659:17 0.00123744 +53 *1656:37 *2826:19 1.06441e-05 +54 *1656:37 *2850:12 0.000721078 +55 *1656:37 *2956:42 7.42443e-05 +56 *1656:37 *3015:10 0.000724807 +57 *1656:37 *3212:19 8.22964e-06 +58 *1656:37 *4126:57 0.000173207 +59 *19906:A2 *19906:B1 9.51132e-06 +60 *24542:D *1656:12 0.000153225 +61 *24599:D *1656:8 7.52686e-05 +62 *1654:39 *1656:37 1.9503e-05 +*RES +1 *19898:Y *1656:7 14.4725 +2 *1656:7 *1656:8 97.4988 +3 *1656:8 *1656:10 2.41823 +4 *1656:10 *1656:12 59.1612 +5 *1656:12 *1656:37 49.3488 +6 *1656:37 *19906:B1 10.6477 +*END + +*D_NET *1657 0.000409789 +*CONN +*I *19900:A I *D sky130_fd_sc_hd__buf_12 +*I *19899:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19900:A 7.77059e-05 +2 *19899:X 7.77059e-05 +3 *19900:A *1750:73 0.000127189 +4 *1647:10 *19900:A 0.000127189 +*RES +1 *19899:X *19900:A 30.1608 +*END + +*D_NET *1658 0.139149 +*CONN +*I *21801:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21653:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20309:A1 I *D sky130_fd_sc_hd__a211o_4 +*I *19906:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21439:A I *D sky130_fd_sc_hd__inv_6 +*I *20284:B I *D sky130_fd_sc_hd__or2_1 +*I *21254:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *20334:A2 I *D sky130_fd_sc_hd__a211o_4 +*I *20319:A2 I *D sky130_fd_sc_hd__a211o_4 +*I *21315:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19900:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *21801:B2 0 +2 *21653:A2 0.000127284 +3 *20309:A1 0.00247686 +4 *19906:B2 0.000120247 +5 *21439:A 0 +6 *20284:B 0 +7 *21254:A2 0 +8 *20334:A2 0 +9 *20319:A2 0.000331599 +10 *21315:A2 4.7083e-05 +11 *19900:X 0 +12 *1658:242 0.00410337 +13 *1658:226 0.00183687 +14 *1658:223 0.00301862 +15 *1658:215 0.00365461 +16 *1658:188 0.00118371 +17 *1658:168 0.00231714 +18 *1658:155 0.00306158 +19 *1658:132 0.00181276 +20 *1658:126 0.00421894 +21 *1658:119 0.00273225 +22 *1658:99 0.00352403 +23 *1658:86 0.00254644 +24 *1658:75 0.00111236 +25 *1658:73 0.00504785 +26 *1658:68 0.00590009 +27 *1658:60 0.00181817 +28 *1658:57 0.00242509 +29 *1658:53 0.00198626 +30 *1658:43 0.00235602 +31 *1658:27 0.00325116 +32 *1658:4 0.0021413 +33 *19906:B2 *1663:30 4.75721e-06 +34 *19906:B2 *1770:58 0 +35 *19906:B2 *2986:34 5.61969e-05 +36 *20309:A1 *24275:CLK 0.0002497 +37 *20309:A1 *2310:37 0.000258358 +38 *20309:A1 *2468:67 3.23147e-05 +39 *20309:A1 *2520:72 0.00107734 +40 *20309:A1 *2570:80 8.39823e-05 +41 *20309:A1 *2679:41 0.00041502 +42 *20309:A1 *4843:345 0.0013961 +43 *20309:A1 *4904:41 0.000412492 +44 *20309:A1 *5861:408 9.71275e-05 +45 *20319:A2 *1709:13 8.04914e-05 +46 *20319:A2 *1919:7 8.04914e-05 +47 *20319:A2 *5884:32 0.000565614 +48 *20319:A2 *5903:6 5.75791e-05 +49 *21315:A2 *2331:8 1.66771e-05 +50 *21315:A2 *4823:24 1.66771e-05 +51 *21653:A2 *21653:B1 3.68178e-05 +52 *21653:A2 *3177:288 3.89381e-05 +53 *1658:27 *21599:A1 0.000122147 +54 *1658:27 *21599:B1 0.000399718 +55 *1658:27 *21599:C1 6.3657e-05 +56 *1658:27 *21617:A1 8.04172e-05 +57 *1658:27 *21618:A 5.33743e-05 +58 *1658:27 *1778:10 3.84398e-05 +59 *1658:27 *1788:89 2.57831e-05 +60 *1658:27 *1796:12 0.000103404 +61 *1658:27 *2093:79 0.000425195 +62 *1658:27 *2556:28 3.39118e-05 +63 *1658:27 *2649:64 4.01876e-05 +64 *1658:27 *3531:73 0.000134239 +65 *1658:27 *3739:8 0.00017926 +66 *1658:27 *5592:70 0.000204902 +67 *1658:27 *5593:46 1.53613e-05 +68 *1658:43 *21617:A1 0.000155387 +69 *1658:43 *21776:C1 7.67318e-05 +70 *1658:43 *21813:B2 0.00047074 +71 *1658:43 *21845:B2 0.00032605 +72 *1658:43 *21851:A1 3.77568e-05 +73 *1658:43 *21901:B 5.35941e-05 +74 *1658:43 *21945:A1 0.000784938 +75 *1658:43 *21945:A2 0.000114439 +76 *1658:43 *21945:B1 1.47046e-05 +77 *1658:43 *21945:C1 0.000156946 +78 *1658:43 *1773:67 0.000165524 +79 *1658:43 *2860:20 0.000485696 +80 *1658:43 *2863:40 0.000156955 +81 *1658:43 *2959:7 0.000489302 +82 *1658:43 *3461:24 4.25398e-05 +83 *1658:43 *3527:70 5.41148e-05 +84 *1658:43 *3529:80 0.000489933 +85 *1658:43 *3531:73 5.67796e-06 +86 *1658:43 *3533:62 4.4514e-05 +87 *1658:53 *21772:A1 4.78069e-06 +88 *1658:53 *21772:A2 0.00043404 +89 *1658:53 *21945:A2 0.000441147 +90 *1658:53 *1689:168 6.31489e-05 +91 *1658:53 *1689:181 9.97706e-05 +92 *1658:53 *1773:67 3.03403e-05 +93 *1658:53 *2750:18 0.000124697 +94 *1658:53 *2862:11 0.000391697 +95 *1658:53 *3452:6 0.000155166 +96 *1658:57 *1689:88 0.000100319 +97 *1658:57 *1740:131 3.28375e-05 +98 *1658:57 *1740:139 0.000116111 +99 *1658:57 *2785:102 0.000294093 +100 *1658:60 *1689:101 0.000228232 +101 *1658:60 *2537:35 1.23455e-05 +102 *1658:60 *2655:31 6.8493e-05 +103 *1658:60 *2655:32 0.00154332 +104 *1658:60 *5851:83 0.00127181 +105 *1658:68 *1689:101 3.72812e-05 +106 *1658:68 *1809:80 0.000135085 +107 *1658:68 *1947:102 5.87017e-05 +108 *1658:68 *2655:31 0.000888199 +109 *1658:68 *4940:6 0.000845247 +110 *1658:68 *4993:8 0.0003145 +111 *1658:73 *21752:B1 0.00328682 +112 *1658:73 *22217:A1 1.17162e-05 +113 *1658:73 *22217:A2 0.000763199 +114 *1658:73 *1663:27 8.46605e-05 +115 *1658:73 *1688:34 0.000206465 +116 *1658:73 *1688:43 2.67684e-05 +117 *1658:73 *1707:39 0 +118 *1658:73 *1716:16 0.000211526 +119 *1658:73 *1729:58 0.00164375 +120 *1658:73 *1783:128 0.000361698 +121 *1658:73 *1799:31 9.5059e-06 +122 *1658:73 *1947:45 1.91924e-05 +123 *1658:73 *2531:24 2.71422e-05 +124 *1658:73 *2554:35 0.000152914 +125 *1658:73 *2655:19 0.000212015 +126 *1658:73 *2697:19 5.76913e-05 +127 *1658:73 *2767:11 0.000451748 +128 *1658:73 *2883:20 6.19004e-05 +129 *1658:73 *2954:27 0.000103853 +130 *1658:73 *2963:57 0.000261565 +131 *1658:73 *3106:206 0.000138979 +132 *1658:73 *3131:158 4.09596e-05 +133 *1658:73 *3533:108 0.000255317 +134 *1658:73 *4739:51 0.000147945 +135 *1658:73 *4940:6 0.00187953 +136 *1658:86 *22218:A1 0.000100741 +137 *1658:86 *22220:A2 6.31996e-05 +138 *1658:86 *22249:A 0 +139 *1658:86 *22274:B1 2.33103e-06 +140 *1658:86 *22275:B1 1.91391e-05 +141 *1658:86 *22275:C1 5.93105e-05 +142 *1658:86 *1741:40 2.81876e-05 +143 *1658:86 *1770:34 0.000124237 +144 *1658:86 *1770:58 9.71323e-06 +145 *1658:86 *2564:33 1.15048e-05 +146 *1658:86 *2819:58 3.05511e-05 +147 *1658:86 *2986:34 0.000101216 +148 *1658:86 *3157:180 8.61022e-05 +149 *1658:86 *3164:153 1.8397e-05 +150 *1658:99 *1721:167 0.00294806 +151 *1658:99 *1725:181 0.000227 +152 *1658:99 *2340:24 0.000968895 +153 *1658:99 *2343:25 1.95484e-05 +154 *1658:99 *2347:44 1.95484e-05 +155 *1658:99 *2374:26 5.60804e-05 +156 *1658:99 *2806:8 3.55907e-05 +157 *1658:99 *2819:58 0.00204449 +158 *1658:99 *4822:66 0.000123628 +159 *1658:119 *20210:B2 8.79845e-05 +160 *1658:119 *20216:A2 0.000111722 +161 *1658:119 *2293:38 0.000385164 +162 *1658:119 *2305:8 1.64462e-05 +163 *1658:119 *2372:60 0.00331588 +164 *1658:119 *2452:14 1.9628e-06 +165 *1658:119 *4805:32 3.29488e-05 +166 *1658:119 *4812:18 0.00237125 +167 *1658:119 *4844:182 0.000843425 +168 *1658:126 *2148:18 8.36464e-05 +169 *1658:126 *2148:25 0.000105608 +170 *1658:126 *2149:32 2.46552e-05 +171 *1658:126 *2321:26 1.29689e-05 +172 *1658:126 *2441:63 1.98294e-05 +173 *1658:126 *2465:10 0.00036547 +174 *1658:126 *2486:39 5.63642e-05 +175 *1658:132 *2441:63 0 +176 *1658:132 *5884:32 9.58043e-06 +177 *1658:155 *1771:182 0.000212848 +178 *1658:155 *5028:11 7.40684e-06 +179 *1658:155 *5881:16 8.80995e-05 +180 *1658:168 *20736:A2 0 +181 *1658:168 *20736:B1 0.000402262 +182 *1658:168 *20736:B2 0.000180751 +183 *1658:168 *21254:A1 0.00100313 +184 *1658:168 *24355:CLK 6.50727e-05 +185 *1658:168 *24959:A 0.000446929 +186 *1658:168 *2499:10 0 +187 *1658:168 *4845:183 0 +188 *1658:168 *4845:205 0.000108645 +189 *1658:168 *4898:27 0.000148129 +190 *1658:168 *4898:31 0.00080048 +191 *1658:188 *20296:A 0.000357912 +192 *1658:188 *24355:CLK 0.000324141 +193 *1658:188 *2321:10 0.000506578 +194 *1658:188 *2486:24 0.00030444 +195 *1658:188 *2503:9 0.00111802 +196 *1658:188 *4815:11 0.000521445 +197 *1658:215 *6135:DIODE 1.91391e-05 +198 *1658:215 *21599:B1 9.28335e-05 +199 *1658:215 *21801:C1 6.3657e-05 +200 *1658:215 *22663:B1 6.98337e-06 +201 *1658:215 *2093:68 4.4078e-05 +202 *1658:215 *2815:48 2.22654e-05 +203 *1658:215 *2817:11 9.68005e-05 +204 *1658:215 *3015:28 9.99547e-05 +205 *1658:215 *3507:39 0.000742552 +206 *1658:215 *3509:151 9.17656e-06 +207 *1658:215 *5909:38 0.00081318 +208 *1658:223 *21800:A1 0.000350024 +209 *1658:223 *21801:A1 1.88152e-05 +210 *1658:223 *22663:B1 0.000133682 +211 *1658:223 *22665:A1 1.35657e-05 +212 *1658:223 *22665:B1 0.000257403 +213 *1658:223 *2093:68 1.53422e-05 +214 *1658:223 *2814:38 0.000439412 +215 *1658:223 *2817:11 0.000254551 +216 *1658:223 *3539:27 0.00227338 +217 *1658:223 *3615:11 0.000158451 +218 *1658:226 *2772:38 7.60356e-05 +219 *1658:226 *3177:288 1.54479e-05 +220 *1658:226 *3539:27 2.43314e-05 +221 *1658:242 *21423:B1 4.15661e-05 +222 *1658:242 *22673:B2 3.29488e-05 +223 *1658:242 *22689:B 0.00020874 +224 *1658:242 *2418:38 9.4244e-05 +225 *1658:242 *2570:99 0.00059336 +226 *1658:242 *2990:62 0.00059336 +227 *1658:242 *3117:245 8.94611e-05 +228 *1658:242 *3122:88 0.000534652 +229 *1658:242 *3122:105 0.000113789 +230 *1658:242 *3493:8 0.00025244 +231 *1658:242 *3493:15 0.000161436 +232 *1658:242 *4827:79 0.00133983 +233 *1658:242 *5909:38 0.00133283 +234 *19592:A *1658:126 0 +235 *19877:B *1658:43 9.12416e-06 +236 *19885:B2 *1658:27 0.000391697 +237 *19899:A *1658:27 0.000287555 +238 *21315:B2 *21315:A2 2.16355e-05 +239 *21548:A2 *1658:53 0.00058046 +240 *21599:A2 *1658:27 1.8262e-05 +241 *21599:B2 *1658:27 1.57187e-05 +242 *21772:B2 *1658:53 6.3657e-05 +243 *21772:B2 *1658:57 0.000312311 +244 *22220:B1 *1658:86 1.1573e-05 +245 *24416:D *1658:168 0.000143719 +246 *24763:D *1658:119 5.85828e-06 +247 *324:19 *20309:A1 2.00811e-05 +248 *324:27 *20309:A1 0.00401026 +249 *477:22 *1658:126 0.000353296 +250 *477:22 *1658:155 0.0023776 +251 *477:44 *1658:188 0.000307558 +252 *477:145 *1658:126 0.000899652 +253 *478:46 *20319:A2 4.9348e-05 +254 *478:46 *1658:132 2.02035e-05 +255 *478:50 *20319:A2 0.000375388 +256 *489:29 *1658:155 0.000114779 +257 *529:35 *20309:A1 9.97958e-05 +258 *657:199 *1658:73 0.00012896 +259 *1439:75 *20309:A1 6.3657e-05 +260 *1443:60 *1658:242 0.000174778 +261 *1443:88 *1658:132 0 +262 *1521:28 *1658:68 6.06026e-05 +263 *1521:28 *1658:73 0 +264 *1521:36 *1658:60 8.94244e-05 +265 *1521:36 *1658:68 0.00028593 +266 *1535:51 *1658:43 0.00037669 +267 *1544:133 *1658:27 0.000107025 +268 *1544:151 *1658:43 4.61987e-05 +269 *1550:32 *1658:86 7.09666e-06 +270 *1551:172 *1658:99 0.000139826 +271 *1551:172 *1658:119 7.43852e-05 +272 *1573:231 *20309:A1 6.3657e-05 +273 *1583:74 *1658:215 9.53481e-05 +274 *1584:156 *1658:242 9.17372e-06 +275 *1594:43 *1658:73 3.45368e-05 +276 *1596:134 *1658:119 8.4653e-05 +277 *1598:58 *1658:27 6.08467e-05 +278 *1598:58 *1658:215 4.66492e-05 +279 *1607:29 *1658:86 3.42927e-05 +280 *1619:62 *1658:86 9.41206e-05 +281 *1620:113 *1658:99 0.00116552 +282 *1625:83 *1658:43 1.47322e-05 +283 *1635:131 *1658:73 3.61033e-05 +284 *1644:10 *1658:86 1.9852e-05 +285 *1656:37 *1658:86 9.50815e-05 +*RES +1 *19900:X *1658:4 9.24915 +2 *1658:4 *1658:27 44.9466 +3 *1658:27 *1658:43 49.444 +4 *1658:43 *1658:53 29.0776 +5 *1658:53 *1658:57 26.2983 +6 *1658:57 *1658:60 36.1147 +7 *1658:60 *1658:68 31.1073 +8 *1658:68 *1658:73 49.9662 +9 *1658:73 *1658:75 3.36879 +10 *1658:75 *1658:86 27.7297 +11 *1658:86 *1658:99 48.0888 +12 *1658:99 *21315:A2 18.2199 +13 *1658:99 *1658:119 35.5295 +14 *1658:119 *1658:126 24.4653 +15 *1658:126 *1658:132 7.19528 +16 *1658:132 *20319:A2 27.4214 +17 *1658:132 *20334:A2 13.7491 +18 *1658:126 *1658:155 10.3667 +19 *1658:155 *21254:A2 13.7491 +20 *1658:155 *1658:168 48.1654 +21 *1658:168 *20284:B 9.24915 +22 *1658:168 *1658:188 45.1019 +23 *1658:188 *21439:A 9.24915 +24 *1658:75 *19906:B2 16.0452 +25 *1658:4 *1658:215 25.5891 +26 *1658:215 *1658:223 49.7455 +27 *1658:223 *1658:226 6.332 +28 *1658:226 *1658:242 48.4772 +29 *1658:242 *20309:A1 47.8757 +30 *1658:226 *21653:A2 16.4116 +31 *1658:215 *21801:B2 9.24915 +*END + +*D_NET *1659 0.00674126 +*CONN +*I *22409:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19905:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *22729:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19901:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22409:B1 2.25035e-05 +2 *19905:A1 0.000107612 +3 *22729:B1 0.000344615 +4 *19901:Y 0.00110963 +5 *1659:24 0.000333266 +6 *1659:5 0.00165739 +7 *19905:A1 *19905:A2 0.000154145 +8 *19905:A1 *22409:C1 2.96805e-05 +9 *19905:A1 *3053:21 0.000208213 +10 *19905:A1 *3364:23 7.55693e-06 +11 *22409:B1 *22409:B2 3.34345e-05 +12 *22409:B1 *22409:C1 3.29136e-05 +13 *22409:B1 *3053:21 3.52386e-07 +14 *22409:B1 *3364:23 7.86387e-06 +15 *22729:B1 *22729:B2 4.97617e-05 +16 *22729:B1 *2923:16 7.94462e-05 +17 *22729:B1 *3175:37 7.92757e-06 +18 *22729:B1 *3179:29 0.000261013 +19 *22729:B1 *3737:12 7.60356e-05 +20 *1659:5 *3179:29 0.00159039 +21 *1659:24 *22409:C1 2.72078e-05 +22 *1659:24 *3483:30 4.81257e-05 +23 *22408:B1 *22729:B1 0.000247443 +24 *22729:A1 *22729:B1 6.08467e-05 +25 *543:12 *1659:24 0.000243891 +*RES +1 *19901:Y *1659:5 27.7199 +2 *1659:5 *22729:B1 26.5435 +3 *1659:5 *1659:24 14.154 +4 *1659:24 *19905:A1 13.4931 +5 *1659:24 *22409:B1 10.4186 +*END + +*D_NET *1660 0.0337113 +*CONN +*I *21537:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21921:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19905:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21657:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21058:B I *D sky130_fd_sc_hd__or2_1 +*I *21894:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19902:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21537:B2 0.000954432 +2 *21921:B2 0.000406774 +3 *19905:A2 9.03236e-05 +4 *21657:B2 0.000761972 +5 *21058:B 2.0422e-05 +6 *21894:A2 2.6292e-05 +7 *19902:X 0.00123969 +8 *1660:85 0.00214515 +9 *1660:66 0.00164272 +10 *1660:40 0.00154757 +11 *1660:27 0.00213942 +12 *1660:17 0.00241206 +13 *1660:13 0.00153534 +14 *19905:A2 *19905:B2 1.47978e-05 +15 *19905:A2 *3053:21 7.92757e-06 +16 *19905:A2 *3364:23 7.13972e-05 +17 *21537:B2 *21525:B 1.72594e-05 +18 *21537:B2 *21525:C 0.000472561 +19 *21537:B2 *21543:C1 3.82228e-05 +20 *21537:B2 *21591:C 0.000225 +21 *21537:B2 *21909:A1 5.03463e-05 +22 *21537:B2 *22005:C1 0.000632524 +23 *21537:B2 *22054:A1 3.17436e-05 +24 *21537:B2 *2547:26 1.21416e-05 +25 *21537:B2 *2590:36 0.00049634 +26 *21537:B2 *2763:20 0.000632754 +27 *21537:B2 *3166:36 7.61596e-06 +28 *21537:B2 *3185:79 8.41266e-06 +29 *21537:B2 *3476:39 0.000107496 +30 *21657:B2 *1680:71 0.000109427 +31 *21657:B2 *2199:5 1.65872e-05 +32 *21657:B2 *2577:36 0.000112837 +33 *21657:B2 *3168:7 0.000970855 +34 *21657:B2 *3179:27 2.16355e-05 +35 *21894:A2 *21894:A1 4.31603e-06 +36 *21894:A2 *21894:B1 3.95825e-06 +37 *21894:A2 *1669:146 3.66707e-05 +38 *21894:A2 *5956:58 4.17105e-05 +39 *21921:B2 *21921:A1 0 +40 *21921:B2 *21994:D 6.03122e-05 +41 *21921:B2 *22747:A2 2.27135e-05 +42 *21921:B2 *22747:B1 0.000109378 +43 *21921:B2 *2407:22 5.83451e-05 +44 *21921:B2 *3063:45 1.5714e-05 +45 *1660:13 *1732:92 0.000304291 +46 *1660:13 *2864:93 0.00012316 +47 *1660:13 *2913:30 0.00101855 +48 *1660:13 *2915:8 7.50872e-05 +49 *1660:13 *2959:48 4.42987e-06 +50 *1660:13 *3162:27 0.00011798 +51 *1660:17 *3162:27 0.00134333 +52 *1660:17 *3704:8 0.00129389 +53 *1660:27 *2751:8 7.80943e-05 +54 *1660:27 *2815:32 1.85963e-05 +55 *1660:27 *2905:17 0.000956916 +56 *1660:27 *5674:45 0.000373926 +57 *1660:40 *19901:A 0.000296903 +58 *1660:40 *21060:A 6.08467e-05 +59 *1660:40 *21882:A2 0.000112863 +60 *1660:40 *1669:146 0.000115871 +61 *1660:40 *2199:5 2.16355e-05 +62 *1660:40 *2199:10 8.79845e-05 +63 *1660:40 *3114:22 2.77564e-05 +64 *1660:40 *3179:27 2.49651e-05 +65 *1660:40 *3179:29 0.00019238 +66 *1660:40 *4920:60 5.90558e-05 +67 *1660:40 *5956:58 0.000437226 +68 *1660:66 *22409:C1 8.70292e-05 +69 *1660:66 *1663:15 0.000138344 +70 *1660:66 *2751:8 0.000559341 +71 *1660:66 *2950:125 1.59941e-05 +72 *1660:66 *3737:12 0.000114217 +73 *1660:85 *22005:C1 0.000814042 +74 *1660:85 *22409:C1 8.96465e-06 +75 *1660:85 *2763:20 0.000838946 +76 *1660:85 *3500:89 0.000101405 +77 *1660:85 *3737:12 4.93937e-05 +78 *19894:A1 *1660:85 4.15661e-05 +79 *19894:B1 *1660:66 0.000109447 +80 *19905:A1 *19905:A2 0.000154145 +81 *21058:A *21058:B 1.3965e-05 +82 *21921:A2 *21921:B2 2.41274e-06 +83 *541:28 *1660:66 0.000597417 +84 *543:12 *1660:85 9.02963e-06 +85 *545:23 *1660:27 0.00164791 +86 *547:21 *1660:27 0.000533201 +87 *1541:108 *1660:13 2.95757e-05 +88 *1541:115 *1660:13 0.000203595 +89 *1588:77 *21537:B2 1.77657e-05 +90 *1636:82 *1660:66 8.22964e-06 +91 *1637:46 *1660:85 0.000193984 +92 *1648:45 *1660:85 5.01835e-05 +93 *1649:57 *1660:85 0.00036616 +94 *1650:14 *1660:27 7.36257e-05 +95 *1650:14 *1660:66 0.000452485 +*RES +1 *19902:X *1660:13 42.9154 +2 *1660:13 *1660:17 20.1796 +3 *1660:17 *1660:27 12.2962 +4 *1660:27 *21894:A2 14.7116 +5 *1660:27 *1660:40 25.275 +6 *1660:40 *21058:B 9.82786 +7 *1660:40 *21657:B2 32.4807 +8 *1660:17 *1660:66 22.0894 +9 *1660:66 *19905:A2 16.7151 +10 *1660:66 *1660:85 12.2662 +11 *1660:85 *21921:B2 24.279 +12 *1660:85 *21537:B2 37.3858 +*END + +*D_NET *1661 0.0105123 +*CONN +*I *22419:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19905:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *19903:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22419:B1 0 +2 *19905:B1 0 +3 *19903:Y 0.00100054 +4 *1661:24 0.00122395 +5 *1661:9 0.00222449 +6 *1661:9 *24497:RESET_B 1.50924e-05 +7 *1661:9 *1803:22 0.000382972 +8 *1661:9 *2976:45 0.000127194 +9 *1661:9 *3407:23 0.000130777 +10 *1661:9 *3671:95 0.00124286 +11 *1661:9 *5295:10 1.82895e-05 +12 *1661:24 *22419:A1 0.000154145 +13 *1661:24 *22731:A1 0.000500935 +14 *1661:24 *22731:B1 6.08467e-05 +15 *1661:24 *22731:B2 6.08467e-05 +16 *1661:24 *22749:A1 0.000247443 +17 *1661:24 *22749:B2 4.16359e-05 +18 *1661:24 *22750:C1 0.000159694 +19 *1661:24 *1803:22 0.000412342 +20 *1661:24 *2547:12 0.000337968 +21 *1661:24 *3114:29 0.000773605 +22 *1661:24 *3179:29 0.00034841 +23 *1661:24 *3385:15 6.36477e-05 +24 *1661:24 *3385:18 0.000324538 +25 *1661:24 *3502:47 0.000348669 +26 *1661:24 *3502:51 0.000182152 +27 *1661:24 *3669:8 7.39022e-06 +28 *1661:24 *3671:95 6.98729e-05 +29 *1641:60 *1661:24 5.19897e-05 +*RES +1 *19903:Y *1661:9 47.2862 +2 *1661:9 *1661:24 48.0689 +3 *1661:24 *19905:B1 13.7491 +4 *1661:9 *22419:B1 9.24915 +*END + +*D_NET *1662 0.0389597 +*CONN +*I *21789:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19905:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *21624:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22010:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21456:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20605:B I *D sky130_fd_sc_hd__or2_1 +*I *19904:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21789:B2 0 +2 *19905:B2 0.000213802 +3 *21624:B2 0 +4 *22010:B2 0 +5 *21456:B2 8.63649e-05 +6 *20605:B 0.000451481 +7 *19904:X 0 +8 *1662:102 0.00106263 +9 *1662:87 0.00134702 +10 *1662:80 0.00190894 +11 *1662:63 0.0017052 +12 *1662:57 0.00261598 +13 *1662:40 0.0022655 +14 *1662:29 0.00199938 +15 *1662:25 0.00172418 +16 *1662:4 0.00173156 +17 *19905:B2 *21789:B1 9.95922e-06 +18 *19905:B2 *21789:C1 6.1478e-06 +19 *19905:B2 *3053:21 0.000493536 +20 *19905:B2 *3364:23 5.56458e-05 +21 *20605:B *19970:B1 0.000446985 +22 *20605:B *20605:A 1.65872e-05 +23 *20605:B *20606:A 0.000145719 +24 *20605:B *1706:87 7.33044e-05 +25 *20605:B *1773:18 7.09666e-06 +26 *20605:B *1793:14 6.50586e-05 +27 *20605:B *2012:7 6.08467e-05 +28 *20605:B *2514:45 0.000331474 +29 *20605:B *3148:253 9.12929e-05 +30 *20605:B *3671:83 4.97617e-05 +31 *21456:B2 *21456:A1 6.00469e-05 +32 *21456:B2 *21456:A2 5.41588e-05 +33 *21456:B2 *21456:B1 1.31311e-05 +34 *1662:25 *19970:B1 0.00043685 +35 *1662:25 *22441:A1 1.47046e-05 +36 *1662:25 *22464:B1 0.000107496 +37 *1662:25 *2851:15 0.00206533 +38 *1662:25 *3005:21 9.97514e-05 +39 *1662:25 *3005:35 0.000182145 +40 *1662:25 *3005:64 0.000157944 +41 *1662:25 *3146:29 0.000211555 +42 *1662:25 *3155:36 0.00163534 +43 *1662:29 *21449:A2 7.86837e-05 +44 *1662:29 *22803:B2 0.000195682 +45 *1662:29 *1728:10 4.65318e-05 +46 *1662:29 *2994:55 4.96023e-05 +47 *1662:29 *3131:41 4.1953e-05 +48 *1662:29 *3134:20 6.77316e-05 +49 *1662:40 *21456:A2 1.26672e-05 +50 *1662:40 *2281:13 0.00020476 +51 *1662:40 *2611:23 0.000167991 +52 *1662:40 *2726:22 0.000864084 +53 *1662:40 *2734:24 0.000873557 +54 *1662:40 *2922:58 0.000211375 +55 *1662:40 *3406:14 0.000305894 +56 *1662:40 *3685:22 0.000117341 +57 *1662:57 *21456:A1 0.000909144 +58 *1662:57 *21531:C1 0.00028885 +59 *1662:57 *22502:B2 5.36085e-05 +60 *1662:57 *1771:47 0.000249764 +61 *1662:57 *2751:8 8.92222e-06 +62 *1662:57 *3476:39 0.000438346 +63 *1662:63 *19997:A1 8.19494e-05 +64 *1662:63 *21624:B1 0.000154217 +65 *1662:63 *24912:A 0.000308461 +66 *1662:63 *1762:132 0.000592036 +67 *1662:63 *3719:26 0.000134301 +68 *1662:80 *2569:41 0.000235513 +69 *1662:80 *2606:8 7.8756e-07 +70 *1662:80 *2667:116 4.28949e-05 +71 *1662:80 *3489:17 1.1246e-05 +72 *1662:80 *4825:146 4.69495e-06 +73 *1662:87 *6193:DIODE 0.000100374 +74 *1662:87 *21730:A1 3.29488e-05 +75 *1662:87 *21801:C1 0.000117961 +76 *1662:87 *22010:B1 0.00015647 +77 *1662:87 *22223:A2 5.94319e-06 +78 *1662:87 *22554:A2 0.000248661 +79 *1662:87 *3186:14 0.000430696 +80 *1662:87 *3489:17 0.00165259 +81 *1662:87 *3502:197 3.19927e-05 +82 *1662:87 *5454:15 1.5714e-05 +83 *1662:102 *1771:31 0.000541373 +84 *1662:102 *2994:55 6.44692e-05 +85 *1662:102 *3131:41 0.000112688 +86 *1662:102 *3134:20 0.000383913 +87 *1662:102 *3185:68 7.23416e-05 +88 *19862:A *1662:57 0.000570728 +89 *19891:A *1662:63 0.00025175 +90 *19905:A2 *19905:B2 1.47978e-05 +91 *21789:A2 *19905:B2 0.000203853 +92 *22540:B1 *1662:80 5.49016e-05 +93 *1435:79 *20605:B 5.51483e-06 +94 *1435:105 *20605:B 0.000200794 +95 *1435:105 *1662:25 4.66492e-05 +96 *1449:85 *1662:102 0.000541373 +97 *1537:71 *1662:63 0.000371721 +98 *1537:80 *1662:63 0.000166407 +99 *1563:105 *1662:63 2.78057e-05 +100 *1583:74 *1662:80 3.32463e-05 +101 *1588:107 *1662:80 8.62625e-06 +102 *1588:107 *1662:87 0.000199861 +103 *1635:42 *1662:87 0.000293467 +104 *1637:87 *1662:80 4.76248e-05 +105 *1642:39 *1662:29 0.000326347 +106 *1648:47 *1662:80 0.000248882 +107 *1655:11 *1662:63 0.000610944 +108 *1655:29 *1662:63 9.72874e-06 +*RES +1 *19904:X *1662:4 9.24915 +2 *1662:4 *20605:B 41.5361 +3 *1662:4 *1662:25 47.3733 +4 *1662:25 *1662:29 8.26121 +5 *1662:29 *1662:40 17.9559 +6 *1662:40 *21456:B2 11.8715 +7 *1662:40 *1662:57 43.3801 +8 *1662:57 *1662:63 38.3771 +9 *1662:63 *1662:80 18.9117 +10 *1662:80 *1662:87 43.4879 +11 *1662:87 *22010:B2 9.24915 +12 *1662:63 *21624:B2 9.24915 +13 *1662:29 *1662:102 20.2794 +14 *1662:102 *19905:B2 17.2547 +15 *1662:102 *21789:B2 9.24915 +*END + +*D_NET *1663 0.0770518 +*CONN +*I *19906:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *6155:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19905:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *19906:C1 0 +2 *6155:DIODE 1.90414e-05 +3 *19905:X 0.0049725 +4 *1663:30 4.20093e-05 +5 *1663:27 0.0050691 +6 *1663:21 0.0120328 +7 *1663:15 0.0119591 +8 *1663:15 *20172:B1 0.000135209 +9 *1663:15 *21064:B1 0.00116505 +10 *1663:15 *2950:96 0.000781742 +11 *1663:15 *2950:125 0.00700063 +12 *1663:15 *3071:42 0.000564484 +13 *1663:15 *3153:26 0.0029191 +14 *1663:15 *3175:19 8.25951e-05 +15 *1663:15 *3385:18 1.91391e-05 +16 *1663:15 *4807:28 0 +17 *1663:15 *5476:69 0 +18 *1663:21 *2404:60 0.000177549 +19 *1663:21 *2738:14 0 +20 *1663:21 *2870:21 4.72625e-05 +21 *1663:21 *2896:72 3.00965e-05 +22 *1663:21 *2950:76 0.00572156 +23 *1663:21 *2950:92 0.00106616 +24 *1663:21 *4829:70 0.00274507 +25 *1663:21 *4830:55 0.00255419 +26 *1663:27 *21292:A 2.47273e-05 +27 *1663:27 *22217:A2 0.000309256 +28 *1663:27 *22249:B 0.000495209 +29 *1663:27 *22278:A1 6.03237e-05 +30 *1663:27 *22341:A1 0.000620552 +31 *1663:27 *22366:B1 0.000853904 +32 *1663:27 *22367:B1 0.000891388 +33 *1663:27 *22389:B2 0.000524892 +34 *1663:27 *22613:B1 3.08261e-05 +35 *1663:27 *22695:B1 0.000593114 +36 *1663:27 *22703:B1 2.70402e-05 +37 *1663:27 *24767:CLK 6.57107e-05 +38 *1663:27 *24770:CLK 0.00019124 +39 *1663:27 *1884:64 0.000493384 +40 *1663:27 *2262:85 7.48635e-05 +41 *1663:27 *2360:57 0.000173666 +42 *1663:27 *2461:11 0.000529508 +43 *1663:27 *2554:21 8.61019e-05 +44 *1663:27 *2906:52 0.000267655 +45 *1663:27 *2996:49 0.000398206 +46 *1663:27 *3114:251 0.000588767 +47 *1663:27 *3128:209 3.1068e-05 +48 *1663:27 *3148:100 0.000804755 +49 *1663:27 *3177:339 0.000324912 +50 *1663:27 *3179:233 0.000117061 +51 *1663:27 *3212:19 7.61735e-05 +52 *1663:27 *4815:68 0.00150304 +53 *1663:27 *4860:17 0.000176896 +54 *1663:30 *1770:58 2.42661e-05 +55 *1663:30 *2986:34 0 +56 *19894:B1 *1663:15 2.27135e-05 +57 *19906:B2 *1663:30 4.75721e-06 +58 *20162:B *1663:15 1.49216e-05 +59 *24767:D *1663:27 1.01796e-05 +60 *477:177 *1663:21 3.77678e-05 +61 *510:41 *1663:27 0.000100999 +62 *522:17 *1663:15 0.000269627 +63 *531:41 *1663:15 0.000156878 +64 *541:28 *1663:15 8.0938e-05 +65 *1418:311 *1663:15 5.83513e-05 +66 *1439:128 *1663:21 0.000190319 +67 *1443:49 *1663:21 0.00170266 +68 *1608:98 *1663:21 9.85633e-05 +69 *1635:106 *1663:27 0.000291502 +70 *1635:116 *1663:27 0.00369176 +71 *1635:131 *1663:27 0.000108295 +72 *1636:82 *1663:15 0.000361635 +73 *1649:67 *1663:15 7.8006e-05 +74 *1652:12 *1663:15 1.57866e-05 +75 *1654:39 *6155:DIODE 6.78549e-05 +76 *1656:37 *6155:DIODE 2.41274e-06 +77 *1658:73 *1663:27 8.46605e-05 +78 *1660:66 *1663:15 0.000138344 +*RES +1 *19905:X *1663:15 33.429 +2 *1663:15 *1663:21 29.5345 +3 *1663:21 *1663:27 49.9364 +4 *1663:27 *1663:30 5.29386 +5 *1663:30 *6155:DIODE 9.97254 +6 *1663:30 *19906:C1 9.24915 +*END + +*D_NET *1664 0.00343294 +*CONN +*I *19907:D I *D sky130_fd_sc_hd__and4_1 +*I *19906:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19907:D 0.000937047 +2 *19906:X 0.000937047 +3 *19907:D *22585:A2 6.08467e-05 +4 *19907:D *22586:B1 0.000105837 +5 *19907:D *22586:C1 0.000111802 +6 *19907:D *1729:35 0.000374373 +7 *19907:D *2551:38 0.000156955 +8 *19907:D *3175:125 9.94284e-06 +9 *19906:A2 *19907:D 9.94284e-06 +10 *19907:A *19907:D 0.000112723 +11 *19907:B *19907:D 2.65667e-05 +12 *19907:C *19907:D 1.41307e-05 +13 *22585:A1 *19907:D 8.29362e-06 +14 *1654:33 *19907:D 2.07503e-05 +15 *1654:39 *19907:D 0.000546678 +*RES +1 *19906:X *19907:D 46.9141 +*END + +*D_NET *1665 0.00181733 +*CONN +*I *19908:D I *D sky130_fd_sc_hd__and4_1 +*I *19907:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *19908:D 0.000339412 +2 *19907:X 0.000339412 +3 *19908:D *22586:C1 0.000136179 +4 *19908:D *1721:162 0.000582635 +5 *19908:D *2764:71 5.93547e-06 +6 *19908:D *2843:20 2.27901e-06 +7 *1646:35 *19908:D 0.000411482 +*RES +1 *19907:X *19908:D 39.2963 +*END + +*D_NET *1666 0.00980754 +*CONN +*I *20053:B I *D sky130_fd_sc_hd__nand3_4 +*I *19908:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *20053:B 0 +2 *19908:X 0.000260955 +3 *1666:12 0.0019299 +4 *1666:11 0.00219086 +5 *1666:11 *1720:20 7.13655e-06 +6 *1666:11 *1721:162 1.91391e-05 +7 *1666:11 *2468:37 0.000591474 +8 *1666:11 *3543:149 4.00826e-05 +9 *1666:11 *3579:23 0.000480783 +10 *1666:12 *20040:A1 0.000270256 +11 *1666:12 *21629:A1 0.000174488 +12 *1666:12 *21630:B1 0.000197793 +13 *1666:12 *21902:A 4.30783e-05 +14 *1666:12 *22565:B2 0.000133113 +15 *1666:12 *22596:C1 0.000278914 +16 *1666:12 *1787:40 7.75003e-05 +17 *1666:12 *1788:134 0.00108301 +18 *1666:12 *2782:10 3.16326e-05 +19 *1666:12 *2873:29 0.00110068 +20 *1666:12 *2883:64 7.97474e-05 +21 *1666:12 *3209:6 0.000278099 +22 *19820:B2 *1666:12 7.96573e-05 +23 *19822:A *1666:12 4.49767e-05 +24 *19908:A *1666:12 0 +25 *22595:B1 *1666:12 0.000117865 +26 *1535:22 *1666:12 4.60375e-07 +27 *1564:134 *1666:12 0.000276808 +28 *1594:85 *1666:12 1.91246e-05 +*RES +1 *19908:X *1666:11 21.7566 +2 *1666:11 *1666:12 55.6072 +3 *1666:12 *20053:B 13.7491 +*END + +*D_NET *1667 0.00250877 +*CONN +*I *19916:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19909:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19916:A1 0.000825653 +2 *19909:Y 0.000825653 +3 *19916:A1 *19687:B1 0 +4 *19916:A1 *19916:B2 2.46524e-05 +5 *19916:A1 *1671:59 6.08467e-05 +6 *19916:A1 *2276:11 0.000275256 +7 *19916:A1 *4923:8 4.47962e-05 +8 *19916:A1 *4923:10 0.000122413 +9 *19916:A1 *4923:60 0.000219334 +10 *19916:A1 *5851:316 0 +11 *1494:31 *19916:A1 0.000104779 +12 *1494:61 *19916:A1 5.38612e-06 +*RES +1 *19909:Y *19916:A1 47.2775 +*END + +*D_NET *1668 0.0954042 +*CONN +*I *22555:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22223:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19916:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19910:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22555:B1 0.000298336 +2 *22223:B1 0.000211116 +3 *19916:B1 3.97033e-05 +4 *19910:Y 0.00182784 +5 *1668:85 0.0086251 +6 *1668:69 0.0115297 +7 *1668:59 0.00476646 +8 *1668:56 0.00258295 +9 *1668:50 0.00186887 +10 *1668:47 0.00104281 +11 *1668:41 0.00161928 +12 *1668:34 0.00224841 +13 *1668:28 0.002863 +14 *1668:12 0.00369688 +15 *19916:B1 *19916:B2 3.22961e-05 +16 *19916:B1 *19916:C1 1.65244e-05 +17 *19916:B1 *1671:59 1.84293e-05 +18 *22223:B1 *22223:B2 3.41459e-05 +19 *22223:B1 *22554:A1 0.000393863 +20 *22223:B1 *3186:14 6.00782e-06 +21 *22223:B1 *3502:197 2.95884e-05 +22 *22223:B1 *3533:62 0.00072902 +23 *22555:B1 *22555:B2 5.83326e-05 +24 *22555:B1 *1708:95 9.32704e-05 +25 *22555:B1 *2605:29 0.00010292 +26 *22555:B1 *3739:8 0.000238833 +27 *1668:12 *19915:A1 0.000362043 +28 *1668:12 *24872:SET_B 0.00105544 +29 *1668:12 *1671:59 4.15236e-05 +30 *1668:12 *4923:67 0 +31 *1668:12 *5851:316 1.7182e-05 +32 *1668:12 *5856:436 0.00010797 +33 *1668:12 *5871:74 2.67922e-05 +34 *1668:28 *20030:C1 0.000148129 +35 *1668:28 *1786:13 0.00272575 +36 *1668:28 *4844:403 8.61131e-05 +37 *1668:28 *4923:67 0 +38 *1668:28 *5851:316 2.03363e-06 +39 *1668:34 *20460:A1 0.000553199 +40 *1668:34 *24601:CLK 0.000169078 +41 *1668:34 *2579:8 0.000229922 +42 *1668:34 *2992:10 0 +43 *1668:34 *4863:23 7.13972e-05 +44 *1668:34 *4863:50 0.000276155 +45 *1668:34 *4863:58 7.17254e-05 +46 *1668:34 *5852:26 0.0017481 +47 *1668:41 *24503:RESET_B 0.000399942 +48 *1668:41 *1786:25 8.93282e-05 +49 *1668:41 *2700:8 8.41168e-05 +50 *1668:41 *2700:10 5.57646e-06 +51 *1668:41 *2700:12 0.000770437 +52 *1668:41 *4925:35 0.000321845 +53 *1668:41 *4925:37 0.000393597 +54 *1668:41 *5671:10 0.000919206 +55 *1668:41 *5858:223 0.00016635 +56 *1668:41 *5858:233 5.37244e-05 +57 *1668:47 *1698:81 0.000152117 +58 *1668:47 *4843:641 0.000557376 +59 *1668:47 *5481:19 9.15162e-05 +60 *1668:47 *5812:22 0.000165495 +61 *1668:50 *21666:A 0.000404533 +62 *1668:50 *1697:17 8.75805e-05 +63 *1668:50 *1697:22 0.000606328 +64 *1668:50 *1753:35 0.00150844 +65 *1668:50 *1753:37 0.000212821 +66 *1668:50 *1783:89 0.000458381 +67 *1668:50 *2516:16 0.00027329 +68 *1668:50 *4843:633 0.000311261 +69 *1668:56 *24026:S 0.000108054 +70 *1668:56 *1755:21 0.000396754 +71 *1668:56 *2398:24 0.00090882 +72 *1668:56 *4876:69 0.000905293 +73 *1668:59 *22831:A2 0.000297372 +74 *1668:59 *22834:B1 0.000122098 +75 *1668:59 *22835:A 0.000127196 +76 *1668:59 *2469:19 1.78955e-05 +77 *1668:59 *3014:56 0.000319621 +78 *1668:59 *3014:69 2.53624e-06 +79 *1668:59 *3757:26 0.000604389 +80 *1668:59 *4876:83 0.000517816 +81 *1668:59 *5441:38 0.000370242 +82 *1668:59 *5476:34 0.000645237 +83 *1668:69 *21351:B1 0.000450542 +84 *1668:69 *21683:A1 0 +85 *1668:69 *24798:CLK 0.000761179 +86 *1668:69 *2784:42 0.000780078 +87 *1668:69 *2839:27 0.00470668 +88 *1668:69 *3373:24 0.000396285 +89 *1668:69 *4802:18 0.00133832 +90 *1668:69 *4876:83 0.000600399 +91 *1668:69 *5476:34 5.97091e-05 +92 *1668:69 *5476:38 0.00146716 +93 *1668:85 *20050:B1 0.00138353 +94 *1668:85 *20565:B1 4.05725e-05 +95 *1668:85 *21605:B1 0.000355711 +96 *1668:85 *22790:A1 3.38008e-05 +97 *1668:85 *22798:B1 0.00320343 +98 *1668:85 *1708:70 2.98486e-05 +99 *1668:85 *1716:45 3.17436e-05 +100 *1668:85 *1721:66 0.00105586 +101 *1668:85 *1772:22 0 +102 *1668:85 *1786:65 0.00093268 +103 *1668:85 *1795:44 3.06583e-05 +104 *1668:85 *2522:32 0.00131644 +105 *1668:85 *2593:47 0.000712214 +106 *1668:85 *2597:29 1.65039e-05 +107 *1668:85 *2791:50 0.000358428 +108 *1668:85 *2812:18 0.000626465 +109 *1668:85 *3394:26 0.000450787 +110 *1668:85 *3417:29 8.89506e-05 +111 *1668:85 *3507:39 0.000159531 +112 *1668:85 *3517:53 0.000873715 +113 *1668:85 *3688:22 0.00115252 +114 *1668:85 *3739:8 0.000309453 +115 *1668:85 *4001:164 0 +116 *1668:85 *4070:36 2.05256e-05 +117 *1668:85 *4602:27 0 +118 *1668:85 *4693:15 4.20548e-05 +119 *1668:85 *4848:8 0.000189856 +120 *1668:85 *5854:122 1.87366e-05 +121 *1668:85 *5858:128 2.67754e-05 +122 *1668:85 *5869:588 0.000555559 +123 *19621:B *1668:47 3.024e-05 +124 *19654:A *1668:34 0.000567565 +125 *19654:B *1668:34 5.77061e-05 +126 *19695:A *1668:34 1.07248e-05 +127 *19808:B *1668:85 1.70059e-05 +128 *19916:A2 *1668:12 4.46284e-06 +129 *22223:A1 *22223:B1 2.57847e-05 +130 *22726:B1 *1668:85 0.000111938 +131 *24027:A0 *1668:59 7.50722e-05 +132 *1448:232 *1668:85 0.000933051 +133 *1458:18 *1668:50 0.000207266 +134 *1485:112 *1668:69 9.65373e-05 +135 *1492:66 *1668:12 2.01503e-05 +136 *1492:66 *1668:28 8.98943e-05 +137 *1492:78 *1668:12 0.00170541 +138 *1596:14 *1668:85 1.70059e-05 +139 *1596:31 *1668:85 0.000720979 +140 *1602:37 *22555:B1 5.31593e-05 +141 *1602:37 *1668:85 4.06587e-05 +*RES +1 *19910:Y *1668:12 28.6807 +2 *1668:12 *19916:B1 15.0392 +3 *1668:12 *1668:28 43.7168 +4 *1668:28 *1668:34 49.5135 +5 *1668:34 *1668:41 46.2695 +6 *1668:41 *1668:47 21.6748 +7 *1668:47 *1668:50 39.0542 +8 *1668:50 *1668:56 48.134 +9 *1668:56 *1668:59 46.5815 +10 *1668:59 *1668:69 46.2442 +11 *1668:69 *1668:85 33.4774 +12 *1668:85 *22223:B1 22.8157 +13 *1668:85 *22555:B1 21.1698 +*END + +*D_NET *1669 0.0737415 +*CONN +*I *21882:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21321:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21981:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21384:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20357:B I *D sky130_fd_sc_hd__or2_1 +*I *21524:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *21718:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21206:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *19916:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19911:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21882:B2 3.23578e-05 +2 *21321:A2 0 +3 *21981:B2 0.000599573 +4 *21384:B2 0.000374279 +5 *20357:B 0.000122512 +6 *21524:A2 5.09777e-05 +7 *21718:B2 0 +8 *21206:A2 0 +9 *19916:B2 0.000692549 +10 *19911:X 0.000888384 +11 *1669:181 0.00155178 +12 *1669:156 0.00311998 +13 *1669:146 0.0017726 +14 *1669:130 0.00217215 +15 *1669:129 0.00191615 +16 *1669:113 0.00189149 +17 *1669:97 0.00116903 +18 *1669:94 0.00266593 +19 *1669:76 0.000998103 +20 *1669:66 0.00246743 +21 *1669:54 0.00312031 +22 *1669:34 0.00217612 +23 *1669:31 0.00107663 +24 *1669:24 0.00057117 +25 *1669:20 0.00126583 +26 *1669:15 0.00215828 +27 *19916:B2 *19916:C1 2.19429e-07 +28 *19916:B2 *21588:A1 5.73392e-05 +29 *19916:B2 *24871:SET_B 0.000112057 +30 *19916:B2 *24872:CLK 8.64186e-05 +31 *19916:B2 *1671:59 3.37866e-05 +32 *19916:B2 *2739:14 2.3231e-05 +33 *19916:B2 *4844:383 0.000111708 +34 *20357:B *1671:38 0.000417478 +35 *20357:B *4829:23 0.000553213 +36 *21384:B2 *21384:B1 1.43848e-05 +37 *21384:B2 *21384:C1 0.000172001 +38 *21384:B2 *24895:CLK 6.08467e-05 +39 *21384:B2 *1780:134 0.000788135 +40 *21384:B2 *4919:63 0.000640054 +41 *21384:B2 *5164:5 0.000184939 +42 *21524:A2 *21524:B1 1.05272e-06 +43 *21524:A2 *4918:15 3.81793e-05 +44 *21882:B2 *21882:A2 5.04829e-06 +45 *21882:B2 *21882:B1 6.37152e-05 +46 *21882:B2 *3162:21 9.32983e-05 +47 *21981:B2 *21582:A1 1.34424e-05 +48 *21981:B2 *21981:A2 1.41181e-05 +49 *21981:B2 *21994:B 3.70283e-05 +50 *21981:B2 *2991:25 1.41976e-05 +51 *21981:B2 *2991:27 4.54682e-05 +52 *1669:15 *20912:B2 4.51176e-05 +53 *1669:15 *21737:C1 0.000355926 +54 *1669:15 *1701:54 0.000643554 +55 *1669:15 *1746:8 0.000181033 +56 *1669:15 *2146:19 0.000132307 +57 *1669:15 *5870:425 3.82228e-05 +58 *1669:20 *21524:C1 3.73224e-05 +59 *1669:20 *24241:CLK 0.000121666 +60 *1669:20 *24875:SET_B 0.000259637 +61 *1669:20 *1731:20 3.83509e-05 +62 *1669:20 *2145:42 3.39118e-05 +63 *1669:20 *2963:102 7.12632e-06 +64 *1669:20 *4918:16 1.64943e-05 +65 *1669:20 *5870:395 0.000382761 +66 *1669:20 *5870:406 9.32927e-05 +67 *1669:20 *5870:425 0.00033642 +68 *1669:24 *24673:SET_B 0.00101182 +69 *1669:24 *2398:47 1.19856e-05 +70 *1669:24 *2446:27 0.00105847 +71 *1669:24 *2587:13 0.000315937 +72 *1669:24 *2739:14 0.000375516 +73 *1669:31 *21718:B1 0.000300565 +74 *1669:31 *2739:14 5.12109e-05 +75 *1669:34 *21506:A1 0 +76 *1669:34 *21506:C1 0.000116971 +77 *1669:34 *1740:48 0.00182724 +78 *1669:34 *2270:8 0.00146963 +79 *1669:34 *2270:16 9.18696e-05 +80 *1669:34 *2839:18 0.000111247 +81 *1669:54 *21588:A1 0.000217801 +82 *1669:54 *1746:8 4.2018e-05 +83 *1669:54 *2970:8 0.000189674 +84 *1669:54 *4917:26 6.42244e-05 +85 *1669:54 *4917:28 0.00018586 +86 *1669:66 *20244:A1 3.6099e-05 +87 *1669:66 *21205:B2 4.19401e-06 +88 *1669:66 *1896:16 7.39895e-06 +89 *1669:66 *2268:19 0.0026539 +90 *1669:66 *2738:22 0.00143942 +91 *1669:76 *21737:C1 0.000631109 +92 *1669:94 *21524:A1 1.34199e-05 +93 *1669:94 *21737:C1 0.000692949 +94 *1669:94 *2581:18 0 +95 *1669:94 *2875:12 0.000103304 +96 *1669:94 *4833:21 0.000298399 +97 *1669:94 *5397:10 7.50872e-05 +98 *1669:97 *21844:B1 2.75175e-05 +99 *1669:97 *21959:B2 0.000286446 +100 *1669:97 *1749:29 0.000612568 +101 *1669:97 *1749:65 0.000626437 +102 *1669:97 *1884:307 0.000139119 +103 *1669:97 *2393:12 0.00224522 +104 *1669:97 *2903:8 0.000122196 +105 *1669:113 *19618:A1 1.21711e-05 +106 *1669:113 *21384:C1 7.00437e-05 +107 *1669:113 *2576:40 8.19494e-05 +108 *1669:113 *4833:21 0.000846053 +109 *1669:113 *4919:53 2.95757e-05 +110 *1669:113 *5854:466 0.000203201 +111 *1669:113 *5857:338 4.48202e-05 +112 *1669:129 *19618:A1 5.66868e-06 +113 *1669:129 *24240:RESET_B 0.000111708 +114 *1669:129 *1744:13 6.08572e-05 +115 *1669:129 *2393:21 1.32417e-05 +116 *1669:129 *4919:53 0.000205087 +117 *1669:130 *2299:8 0.000476101 +118 *1669:130 *2401:22 0.000959904 +119 *1669:130 *2528:48 2.79657e-05 +120 *1669:130 *2894:19 0.000299109 +121 *1669:130 *5859:352 4.16066e-05 +122 *1669:130 *5859:374 2.57465e-06 +123 *1669:130 *5956:58 0.000676408 +124 *1669:146 *2576:64 0.000478649 +125 *1669:146 *2909:17 0.000156593 +126 *1669:146 *3185:25 0.000154145 +127 *1669:146 *4824:180 0.000158357 +128 *1669:146 *4920:14 2.41701e-05 +129 *1669:146 *4920:60 0.000196675 +130 *1669:146 *5870:554 0.000161374 +131 *1669:146 *5956:58 0.000263269 +132 *1669:156 *21882:A2 1.87508e-05 +133 *1669:156 *21882:C1 0.000101411 +134 *1669:156 *21894:B1 0.000191709 +135 *1669:156 *1691:80 8.34211e-06 +136 *1669:156 *1790:43 0.000975412 +137 *1669:156 *2611:16 0.000107393 +138 *1669:156 *3142:46 0.000113689 +139 *1669:156 *3155:24 0.000375999 +140 *1669:156 *3162:21 0.000156946 +141 *1669:156 *3500:89 5.866e-05 +142 *1669:156 *4920:60 9.54254e-05 +143 *1669:156 *4920:74 6.14634e-05 +144 *1669:181 *21321:A1 5.04829e-06 +145 *1669:181 *21321:B2 8.53519e-05 +146 *1669:181 *21554:A2 0.000340567 +147 *1669:181 *21582:A1 2.99287e-05 +148 *1669:181 *21981:A1 2.25279e-05 +149 *1669:181 *2282:88 0.000390201 +150 *1669:181 *2611:16 0.000301209 +151 *1669:181 *3124:24 0.000561055 +152 *1669:181 *3485:115 3.13254e-05 +153 *19607:A *1669:15 0.000154145 +154 *19674:B *1669:15 3.31745e-05 +155 *19684:A2 *19916:B2 0.000366603 +156 *19916:A1 *19916:B2 2.46524e-05 +157 *19916:B1 *19916:B2 3.22961e-05 +158 *21327:A2 *21384:B2 1.5006e-05 +159 *21506:A2 *1669:34 0.000216103 +160 *21524:B2 *21524:A2 5.15165e-05 +161 *21554:B2 *1669:181 9.12573e-05 +162 *21582:A2 *21981:B2 0.000442653 +163 *21582:B2 *21981:B2 3.31745e-05 +164 *21894:A2 *1669:146 3.66707e-05 +165 *516:25 *1669:130 0.000853556 +166 *516:26 *1669:113 0.000237287 +167 *522:18 *1669:130 6.8045e-05 +168 *522:18 *1669:146 0.000127388 +169 *543:21 *1669:20 0.00159691 +170 *1419:62 *1669:76 1.77071e-05 +171 *1439:231 *1669:34 0 +172 *1450:10 *1669:15 6.39068e-05 +173 *1450:48 *1669:15 1.70326e-05 +174 *1450:48 *1669:76 2.1134e-05 +175 *1450:48 *1669:94 3.83316e-06 +176 *1453:15 *1669:113 0 +177 *1453:31 *1669:129 0 +178 *1488:16 *1669:24 2.53974e-05 +179 *1488:16 *1669:31 1.67271e-05 +180 *1492:121 *1669:130 7.14746e-05 +181 *1497:103 *19916:B2 0.000559686 +182 *1497:167 *1669:130 0.00145576 +183 *1551:67 *1669:181 1.19726e-05 +184 *1645:69 *1669:181 0.000559678 +185 *1650:8 *1669:20 1.22788e-05 +186 *1660:40 *1669:146 0.000115871 +*RES +1 *19911:X *1669:15 39.0211 +2 *1669:15 *1669:20 41.4676 +3 *1669:20 *1669:24 23.5457 +4 *1669:24 *1669:31 13.4979 +5 *1669:31 *1669:34 44.1199 +6 *1669:34 *19916:B2 37.4962 +7 *1669:34 *1669:54 27.0675 +8 *1669:54 *1669:66 49.0661 +9 *1669:66 *21206:A2 9.24915 +10 *1669:24 *21718:B2 13.7491 +11 *1669:15 *1669:76 0.967767 +12 *1669:76 *21524:A2 18.3579 +13 *1669:76 *1669:94 14.4491 +14 *1669:94 *1669:97 47.0267 +15 *1669:97 *20357:B 20.0186 +16 *1669:94 *1669:113 26.7989 +17 *1669:113 *21384:B2 28.9163 +18 *1669:113 *1669:129 18.6231 +19 *1669:129 *1669:130 52.7004 +20 *1669:130 *1669:146 36.6204 +21 *1669:146 *1669:156 38.2148 +22 *1669:156 *21981:B2 19.6178 +23 *1669:156 *1669:181 44.2308 +24 *1669:181 *21321:A2 9.24915 +25 *1669:146 *21882:B2 10.5271 +*END + +*D_NET *1670 0.00874714 +*CONN +*I *19915:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19912:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19915:A1 0.00212319 +2 *19912:Y 0.00212319 +3 *19915:A1 *19912:A 6.50586e-05 +4 *19915:A1 *19916:C1 8.51681e-05 +5 *19915:A1 *24748:SET_B 0.000432409 +6 *19915:A1 *24872:SET_B 0.00105923 +7 *19915:A1 *1671:59 1.45089e-05 +8 *19915:A1 *1672:16 5.22654e-06 +9 *19915:A1 *1674:7 1.19721e-05 +10 *19915:A1 *1674:13 0 +11 *19915:A1 *2903:8 3.29488e-05 +12 *19915:A1 *4844:383 0.000266832 +13 *19915:A1 *5190:7 2.22167e-05 +14 *19915:A1 *5851:316 5.65354e-05 +15 *19915:A1 *5851:337 3.63593e-05 +16 *19915:A1 *5871:83 0.000148852 +17 *1467:43 *19915:A1 0 +18 *1492:78 *19915:A1 0.00170217 +19 *1494:11 *19915:A1 0.000165749 +20 *1494:91 *19915:A1 3.34756e-05 +21 *1668:12 *19915:A1 0.000362043 +*RES +1 *19912:Y *19915:A1 46.121 +*END + +*D_NET *1671 0.0503145 +*CONN +*I *21893:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21472:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *21959:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21736:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20238:B I *D sky130_fd_sc_hd__or2_1 +*I *19915:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19913:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21893:A2 0 +2 *21472:A2 1.82559e-05 +3 *21959:A2 0 +4 *21736:A2 0.00025778 +5 *20238:B 0 +6 *19915:A2 0 +7 *19913:X 0.00186181 +8 *1671:126 0.00145925 +9 *1671:104 0.00168165 +10 *1671:102 0.00109982 +11 *1671:70 0.000581433 +12 *1671:59 0.00223773 +13 *1671:42 0.00317796 +14 *1671:38 0.00190542 +15 *1671:30 0.00239429 +16 *1671:21 0.00208371 +17 *1671:17 0.00373576 +18 *21472:A2 *21472:B1 9.95922e-06 +19 *21472:A2 *21472:C1 6.1478e-06 +20 *21736:A2 *20239:A 0.000286382 +21 *21736:A2 *24748:SET_B 4.75721e-06 +22 *21736:A2 *1780:55 0.000147308 +23 *21736:A2 *2756:8 0 +24 *1671:17 *21926:A1 0 +25 *1671:17 *24927:A 2.1104e-05 +26 *1671:17 *1766:11 0.000152911 +27 *1671:17 *1766:13 0.000470405 +28 *1671:17 *1792:16 4.31703e-05 +29 *1671:17 *2401:11 0.000362774 +30 *1671:17 *2469:35 5.54807e-05 +31 *1671:21 *1691:63 0.00122122 +32 *1671:21 *1725:267 0.000146605 +33 *1671:21 *1746:8 0.000622787 +34 *1671:21 *2145:21 1.68435e-05 +35 *1671:21 *2145:25 0.000124623 +36 *1671:21 *2146:23 0.0001839 +37 *1671:21 *2146:36 0.000661928 +38 *1671:21 *2270:128 0.000183441 +39 *1671:21 *2547:10 8.73469e-05 +40 *1671:21 *2580:17 0.000446985 +41 *1671:21 *2773:10 7.93129e-05 +42 *1671:21 *2928:31 0.000110306 +43 *1671:30 *1690:26 0.00126089 +44 *1671:30 *1780:26 0.00103944 +45 *1671:30 *2259:15 0.00124054 +46 *1671:30 *2299:8 0.000721017 +47 *1671:30 *2815:12 0.00105427 +48 *1671:30 *2981:16 0.000289841 +49 *1671:30 *5859:354 3.88655e-06 +50 *1671:38 *20236:B1 3.08636e-06 +51 *1671:38 *20366:B1 6.73548e-05 +52 *1671:38 *20366:B2 0.000171141 +53 *1671:38 *24241:RESET_B 0.000120204 +54 *1671:38 *2299:8 0.00072165 +55 *1671:38 *2446:33 0.000172415 +56 *1671:38 *2756:18 9.97853e-05 +57 *1671:38 *2815:8 0 +58 *1671:38 *2981:16 5.47225e-05 +59 *1671:38 *4829:23 0.000182192 +60 *1671:38 *5851:346 0 +61 *1671:38 *5851:365 0 +62 *1671:38 *5858:459 0.000167076 +63 *1671:38 *5871:131 0.000346906 +64 *1671:38 *5871:146 0.00022723 +65 *1671:42 *20367:B2 0.000908655 +66 *1671:42 *1780:44 0.000102057 +67 *1671:42 *2815:8 0.000284048 +68 *1671:42 *5851:346 0 +69 *1671:42 *5871:97 0.000555341 +70 *1671:59 *19916:C1 2.52929e-05 +71 *1671:59 *20367:B1 0 +72 *1671:59 *20367:B2 5.92192e-05 +73 *1671:59 *21588:B1 3.38666e-05 +74 *1671:59 *24663:CLK 0.000485096 +75 *1671:59 *24872:CLK 0.000626305 +76 *1671:59 *1674:7 0.000530137 +77 *1671:59 *4844:383 4.52545e-05 +78 *1671:59 *5773:7 3.8519e-05 +79 *1671:59 *5856:436 1.3706e-05 +80 *1671:59 *5871:74 0.000104695 +81 *1671:59 *5871:97 0.000194492 +82 *1671:70 *20367:A2 0.000122239 +83 *1671:70 *20367:B1 0.000171273 +84 *1671:70 *21588:B1 2.44829e-05 +85 *1671:70 *1780:55 0.000845198 +86 *1671:102 *21472:C1 5.51483e-06 +87 *1671:102 *22800:B1 5.04829e-06 +88 *1671:102 *1731:136 0.000435218 +89 *1671:102 *2773:10 0.000822509 +90 *1671:102 *5854:489 0.000438759 +91 *1671:104 *21472:A1 0.000116014 +92 *1671:104 *21472:B1 0.000205243 +93 *1671:104 *21472:C1 0.000858714 +94 *1671:126 *21340:C1 0.000491468 +95 *1671:126 *21472:B1 1.92172e-05 +96 *1671:126 *21472:C1 0.000111708 +97 *1671:126 *21725:A1 0.000344146 +98 *1671:126 *21900:B 0.000110297 +99 *1671:126 *2815:14 0.000541168 +100 *1671:126 *2865:11 6.08467e-05 +101 *1671:126 *3144:23 1.47488e-05 +102 *1671:126 *5860:504 0.00036782 +103 *1671:126 *5860:511 0.000436596 +104 *19883:A *1671:17 4.88272e-05 +105 *19915:A1 *1671:59 1.45089e-05 +106 *19916:A1 *1671:59 6.08467e-05 +107 *19916:B1 *1671:59 1.84293e-05 +108 *19916:B2 *1671:59 3.37866e-05 +109 *20357:B *1671:38 0.000417478 +110 *21864:B2 *1671:102 0.000344968 +111 *22728:A1 *1671:126 1.1718e-05 +112 *24663:D *1671:70 2.77625e-06 +113 *24872:D *1671:59 4.58003e-05 +114 *516:19 *1671:17 0.000667471 +115 *1439:205 *21736:A2 0.000220183 +116 *1439:213 *21736:A2 0 +117 *1450:79 *1671:21 0.000207266 +118 *1496:48 *1671:17 1.5714e-05 +119 *1541:15 *1671:17 0.000307578 +120 *1544:51 *1671:17 7.08723e-06 +121 *1637:16 *1671:21 0.000546224 +122 *1637:16 *1671:102 0.000276585 +123 *1637:25 *1671:21 3.74864e-05 +124 *1637:42 *1671:102 0.000533498 +125 *1641:5 *1671:17 5.51483e-06 +126 *1641:9 *1671:17 1.00846e-05 +127 *1668:12 *1671:59 4.15236e-05 +*RES +1 *19913:X *1671:17 40.9256 +2 *1671:17 *1671:21 47.5649 +3 *1671:21 *1671:30 46.5324 +4 *1671:30 *1671:38 49.6677 +5 *1671:38 *1671:42 23.6205 +6 *1671:42 *1671:59 49.7784 +7 *1671:59 *19915:A2 9.24915 +8 *1671:42 *1671:70 17.4729 +9 *1671:70 *20238:B 9.24915 +10 *1671:70 *21736:A2 27.7301 +11 *1671:30 *21959:A2 13.7491 +12 *1671:17 *1671:102 43.482 +13 *1671:102 *1671:104 9.59705 +14 *1671:104 *21472:A2 9.82786 +15 *1671:104 *1671:126 43.023 +16 *1671:126 *21893:A2 9.24915 +*END + +*D_NET *1672 0.0149835 +*CONN +*I *19915:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19914:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19915:B1 0 +2 *19914:Y 0 +3 *1672:16 0.00112466 +4 *1672:5 0.0050063 +5 *1672:4 0.00388163 +6 *1672:5 *19646:B1 0.000253916 +7 *1672:5 *2643:15 0.000170405 +8 *1672:5 *4843:603 0.000250402 +9 *1672:5 *5810:13 6.45904e-05 +10 *1672:5 *5810:21 0.000900525 +11 *1672:16 *24863:SET_B 0.00017128 +12 *1672:16 *1674:13 3.49417e-05 +13 *1672:16 *2393:9 0.000530123 +14 *1672:16 *2643:17 0.000717509 +15 *1672:16 *4845:425 0.000854755 +16 *1672:16 *5851:316 4.04911e-05 +17 *19915:A1 *1672:16 5.22654e-06 +18 *24863:D *1672:16 6.08467e-05 +19 *1499:11 *1672:16 0.000668831 +20 *1499:13 *1672:5 9.16785e-05 +21 *1499:13 *1672:16 6.50586e-05 +22 *1500:7 *1672:5 3.55126e-05 +23 *1500:12 *1672:5 5.481e-05 +*RES +1 *19914:Y *1672:4 9.24915 +2 *1672:4 *1672:5 58.9568 +3 *1672:5 *1672:16 46.5018 +4 *1672:16 *19915:B1 13.7491 +*END + +*D_NET *1673 0.000730606 +*CONN +*I *19916:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19915:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19916:C1 7.91148e-05 +2 *19915:X 7.91148e-05 +3 *19916:C1 *1674:7 7.02172e-06 +4 *19916:C1 *4844:403 0.000370815 +5 *19915:A1 *19916:C1 8.51681e-05 +6 *19915:B2 *19916:C1 6.73351e-05 +7 *19916:B1 *19916:C1 1.65244e-05 +8 *19916:B2 *19916:C1 2.19429e-07 +9 *1671:59 *19916:C1 2.52929e-05 +*RES +1 *19915:X *19916:C1 23.345 +*END + +*D_NET *1674 0.0138214 +*CONN +*I *19947:A I *D sky130_fd_sc_hd__and4_1 +*I *19916:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19947:A 0.00180201 +2 *19916:X 0.000492614 +3 *1674:13 0.00283306 +4 *1674:7 0.00152367 +5 *19947:A *19947:B 3.61993e-05 +6 *19947:A *21390:B1 1.91391e-05 +7 *19947:A *21743:A1 0.000457669 +8 *19947:A *1689:28 0.000117097 +9 *19947:A *1697:110 0.000300684 +10 *19947:A *1785:12 0 +11 *19947:A *1792:76 1.9101e-05 +12 *19947:A *2259:15 3.57218e-06 +13 *19947:A *5830:41 0.00120541 +14 *1674:13 *2928:11 7.50872e-05 +15 *1674:13 *5830:20 4.21837e-05 +16 *1674:13 *5830:22 0.000254347 +17 *1674:13 *5856:410 0.0016516 +18 *19681:A *1674:13 0.000216073 +19 *19915:A1 *1674:7 1.19721e-05 +20 *19915:A1 *1674:13 0 +21 *19915:B2 *1674:7 6.73351e-05 +22 *19916:C1 *1674:7 7.02172e-06 +23 *1419:72 *1674:13 0.00202584 +24 *1467:30 *19947:A 0 +25 *1467:32 *19947:A 0 +26 *1492:52 *1674:13 9.2346e-06 +27 *1506:35 *19947:A 8.54035e-05 +28 *1671:59 *1674:7 0.000530137 +29 *1672:16 *1674:13 3.49417e-05 +*RES +1 *19916:X *1674:7 22.237 +2 *1674:7 *1674:13 48.6441 +3 *1674:13 *19947:A 39.8472 +*END + +*D_NET *1675 0.0196763 +*CONN +*I *19929:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22731:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22419:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19917:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19929:A1 0.000398431 +2 *22731:A1 0.000426917 +3 *22419:A1 3.73941e-05 +4 *19917:Y 0.000186816 +5 *1675:32 0.00140021 +6 *1675:15 0.0027196 +7 *1675:8 0.00157209 +8 *19929:A1 *6089:DIODE 0.000244003 +9 *19929:A1 *19929:A2 1.24106e-05 +10 *19929:A1 *19929:B1 1.52551e-05 +11 *19929:A1 *19981:C 1.5714e-05 +12 *19929:A1 *20034:B 5.04829e-06 +13 *19929:A1 *21351:B1 0 +14 *19929:A1 *21665:B2 0.000271227 +15 *19929:A1 *1677:108 1.5714e-05 +16 *19929:A1 *1707:43 0.000567907 +17 *22419:A1 *3671:95 0.000154145 +18 *22731:A1 *22731:B1 6.08467e-05 +19 *22731:A1 *22731:B2 6.08467e-05 +20 *22731:A1 *3390:11 0.000109028 +21 *22731:A1 *3671:95 0.000156955 +22 *1675:8 *21898:B2 0.000134521 +23 *1675:15 *20407:B 0.000650707 +24 *1675:15 *20411:A1 0.000792901 +25 *1675:15 *21665:B2 4.07199e-05 +26 *1675:15 *21684:C 2.16355e-05 +27 *1675:15 *21684:D 3.81056e-05 +28 *1675:15 *21723:A 0.000207266 +29 *1675:15 *22490:A2 1.51223e-05 +30 *1675:15 *1678:5 0.00014642 +31 *1675:15 *1678:35 0.000492353 +32 *1675:15 *1683:41 0.000896994 +33 *1675:15 *1707:43 0.00145133 +34 *1675:15 *1716:68 0.000317671 +35 *1675:15 *2651:29 0.000221365 +36 *1675:15 *2701:17 0.00014981 +37 *1675:15 *2718:8 0.0014654 +38 *1675:15 *2746:17 6.08467e-05 +39 *1675:15 *3003:30 0.000100163 +40 *1675:15 *3003:34 1.88638e-05 +41 *1675:15 *3144:64 2.01503e-05 +42 *1675:32 *21665:B2 9.86694e-05 +43 *1675:32 *21850:B1 2.87612e-05 +44 *1675:32 *22425:B 1.94615e-05 +45 *1675:32 *22463:A1 1.2658e-05 +46 *1675:32 *2718:8 7.39749e-05 +47 *1675:32 *2866:21 0.000397717 +48 *1675:32 *3139:48 0.000155058 +49 *1675:32 *3204:36 3.91944e-05 +50 *21665:B1 *19929:A1 6.3657e-05 +51 *21665:B1 *1675:15 0.000582549 +52 *21850:B2 *1675:32 0.000511269 +53 *1496:75 *1675:32 2.77472e-05 +54 *1501:34 *1675:15 3.99086e-06 +55 *1537:12 *1675:8 0.000340348 +56 *1544:98 *22731:A1 0.000110297 +57 *1577:13 *19929:A1 0 +58 *1639:85 *19929:A1 7.92757e-06 +59 *1639:85 *1675:32 4.35741e-05 +60 *1640:24 *1675:32 3.57378e-06 +61 *1641:38 *1675:32 0.00019317 +62 *1642:11 *1675:32 0.000446959 +63 *1645:31 *1675:32 0.000187765 +64 *1661:24 *22419:A1 0.000154145 +65 *1661:24 *22731:A1 0.000500935 +*RES +1 *19917:Y *1675:8 24.6489 +2 *1675:8 *1675:15 48.4764 +3 *1675:15 *1675:32 37.0288 +4 *1675:32 *22419:A1 11.0817 +5 *1675:32 *22731:A1 22.005 +6 *1675:15 *19929:A1 19.9576 +*END + +*D_NET *1676 0.000911281 +*CONN +*I *19919:A I *D sky130_fd_sc_hd__buf_6 +*I *19918:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19919:A 0.000410548 +2 *19918:X 0.000410548 +3 *19919:A *1762:40 2.81225e-05 +4 *19919:A *3177:148 2.79405e-05 +5 *19779:A *19919:A 3.4123e-05 +*RES +1 *19918:X *19919:A 34.2118 +*END + +*D_NET *1677 0.0495237 +*CONN +*I *19920:B I *D sky130_fd_sc_hd__or2_4 +*I *19995:B I *D sky130_fd_sc_hd__or2_4 +*I *20032:B I *D sky130_fd_sc_hd__or2_4 +*I *19964:B I *D sky130_fd_sc_hd__or2_4 +*I *20017:A I *D sky130_fd_sc_hd__or2_4 +*I *20042:B I *D sky130_fd_sc_hd__or2_4 +*I *20038:B I *D sky130_fd_sc_hd__or2_4 +*I *19966:B I *D sky130_fd_sc_hd__or2_4 +*I *19927:B I *D sky130_fd_sc_hd__or2_4 +*I *20044:B I *D sky130_fd_sc_hd__or2_4 +*I *20034:B I *D sky130_fd_sc_hd__or2_4 +*I *20046:B I *D sky130_fd_sc_hd__or2_4 +*I *20015:B I *D sky130_fd_sc_hd__or2_4 +*I *19922:B I *D sky130_fd_sc_hd__or2_4 +*I *20036:B I *D sky130_fd_sc_hd__or2_4 +*I *20048:B I *D sky130_fd_sc_hd__or2_4 +*I *19919:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *19920:B 9.47982e-06 +2 *19995:B 0.000320367 +3 *20032:B 0.000135474 +4 *19964:B 0 +5 *20017:A 0.000110082 +6 *20042:B 0 +7 *20038:B 0 +8 *19966:B 9.0793e-05 +9 *19927:B 0 +10 *20044:B 0.00058993 +11 *20034:B 3.82066e-05 +12 *20046:B 0 +13 *20015:B 0.00025507 +14 *19922:B 1.91831e-05 +15 *20036:B 0.000134799 +16 *20048:B 0.000190188 +17 *19919:X 0.000101312 +18 *1677:189 0.000135474 +19 *1677:187 0.00211906 +20 *1677:172 0.00199374 +21 *1677:163 0.000179208 +22 *1677:157 0.000207923 +23 *1677:150 0.000415732 +24 *1677:142 0.0016351 +25 *1677:139 0.0021593 +26 *1677:108 0.0015696 +27 *1677:93 0.00131033 +28 *1677:67 9.02044e-05 +29 *1677:66 7.10213e-05 +30 *1677:64 0.000803631 +31 *1677:52 0.000813593 +32 *1677:49 0.000353383 +33 *1677:45 0.00163004 +34 *1677:22 0.00208246 +35 *1677:10 0.000609948 +36 *19920:B *20411:A1 2.65831e-05 +37 *19920:B *5854:606 5.31074e-05 +38 *19966:B *1723:7 2.16355e-05 +39 *19966:B *1794:7 0.000345048 +40 *19995:B *1751:23 0.000181901 +41 *19995:B *2641:80 0.000154145 +42 *19995:B *2997:30 7.8756e-07 +43 *19995:B *3023:41 8.68747e-05 +44 *19995:B *3722:14 0.000300055 +45 *20017:A *1794:96 8.91584e-05 +46 *20017:A *3428:14 1.20451e-05 +47 *20032:B *2999:65 3.15893e-05 +48 *20036:B *21968:B1 7.63284e-05 +49 *20036:B *2979:46 5.88776e-05 +50 *20044:B *19929:A2 4.60736e-05 +51 *20044:B *19981:C 0.000974846 +52 *20044:B *1678:69 3.33173e-06 +53 *20044:B *1804:37 0.000640942 +54 *20044:B *3144:35 3.46188e-05 +55 *20048:B *21863:A1 4.03381e-05 +56 *1677:10 *1947:215 0.000113374 +57 *1677:10 *2939:35 0.000258128 +58 *1677:22 *21863:A1 0.000191661 +59 *1677:22 *1715:10 4.42142e-05 +60 *1677:22 *2703:36 5.1493e-06 +61 *1677:22 *2971:24 6.99053e-05 +62 *1677:45 *20688:B2 0.000111708 +63 *1677:45 *21863:A1 0.000110027 +64 *1677:45 *21864:C1 0.00100421 +65 *1677:45 *1698:117 0.000198679 +66 *1677:45 *1715:10 0.000546249 +67 *1677:45 *1715:89 0.000997598 +68 *1677:45 *1735:52 0.000837348 +69 *1677:45 *1739:41 1.9101e-05 +70 *1677:45 *5860:91 0.00102269 +71 *1677:49 *21927:A1 6.23875e-05 +72 *1677:49 *21927:A2 6.50586e-05 +73 *1677:49 *21927:B1 2.77564e-05 +74 *1677:49 *21927:B2 7.86825e-06 +75 *1677:49 *1706:21 2.97421e-05 +76 *1677:52 *2979:46 2.91747e-05 +77 *1677:64 *21939:B1 0.000294093 +78 *1677:93 *19979:B1 8.08437e-05 +79 *1677:93 *21927:B2 3.5534e-06 +80 *1677:93 *1706:21 0.000118706 +81 *1677:93 *1711:87 0 +82 *1677:93 *2519:12 0.000466359 +83 *1677:108 *19929:B2 1.65277e-05 +84 *1677:108 *19956:B2 0.00036844 +85 *1677:108 *19981:C 6.07748e-05 +86 *1677:108 *1729:80 1.42924e-05 +87 *1677:108 *2429:25 7.03046e-05 +88 *1677:108 *2519:12 0.000421834 +89 *1677:108 *3144:35 0.000730748 +90 *1677:139 *20411:A1 0.000121023 +91 *1677:139 *24445:RESET_B 0.000158117 +92 *1677:139 *1685:5 0.000156946 +93 *1677:139 *1715:10 5.41377e-05 +94 *1677:139 *1715:29 0.000117186 +95 *1677:139 *1947:212 0.000156946 +96 *1677:139 *1949:5 6.36477e-05 +97 *1677:139 *2703:36 4.37043e-05 +98 *1677:139 *2749:11 0.000154145 +99 *1677:139 *2971:24 0.00050057 +100 *1677:139 *4832:118 0.000377761 +101 *1677:139 *5854:606 0.000164843 +102 *1677:142 *20610:A2 0.000171033 +103 *1677:142 *21898:A2 0.000626057 +104 *1677:142 *24992:A 0.000175012 +105 *1677:142 *1766:82 5.65463e-05 +106 *1677:142 *2012:8 0.000146844 +107 *1677:142 *2012:10 0.000491216 +108 *1677:142 *2013:15 8.30198e-05 +109 *1677:142 *2013:54 9.49135e-05 +110 *1677:142 *2994:29 0 +111 *1677:142 *3005:14 0.000454915 +112 *1677:142 *4931:44 2.93365e-05 +113 *1677:142 *5860:636 4.08643e-05 +114 *1677:150 *20605:A 3.99086e-06 +115 *1677:150 *1716:51 6.23875e-05 +116 *1677:150 *1716:57 4.99151e-05 +117 *1677:150 *2013:54 1.87679e-05 +118 *1677:150 *5306:10 0.000114523 +119 *1677:157 *1723:7 0.000157728 +120 *1677:157 *1794:7 0.000538569 +121 *1677:163 *1723:7 8.93134e-05 +122 *1677:163 *1794:7 0.000139947 +123 *1677:163 *1794:96 0.000200794 +124 *1677:172 *1723:7 3.84508e-05 +125 *1677:172 *1794:96 0.000110245 +126 *1677:172 *3028:50 3.08636e-06 +127 *1677:172 *3428:14 7.52574e-06 +128 *1677:187 *1706:87 0.00132103 +129 *1677:187 *1723:24 5.96198e-05 +130 *1677:187 *2505:75 0.000166738 +131 *1677:187 *2510:73 0.00340195 +132 *1677:187 *2994:55 0.000649448 +133 *1677:187 *3722:14 3.77568e-05 +134 *19840:A *19966:B 6.08467e-05 +135 *19922:A *19922:B 5.88657e-05 +136 *19929:A1 *20034:B 5.04829e-06 +137 *19929:A1 *1677:108 1.5714e-05 +138 *19949:B *1677:49 6.08467e-05 +139 *19949:B *1677:52 0.000107052 +140 *19958:A *1677:45 2.57847e-05 +141 *19958:B *1677:45 2.16355e-05 +142 *19966:A *19966:B 4.94594e-05 +143 *19970:B2 *20017:A 6.3657e-05 +144 *20042:A *1677:172 6.92705e-05 +145 *21665:B1 *20034:B 1.78704e-05 +146 *24499:D *1677:142 0.000114086 +147 *1424:88 *1677:45 7.39264e-05 +148 *1424:104 *1677:49 3.99086e-06 +149 *1424:117 *20015:B 0.000161651 +150 *1435:67 *1677:142 0.000356887 +151 *1435:79 *1677:142 0.000358298 +152 *1443:18 *19922:B 5.88657e-05 +153 *1443:18 *1677:64 1.41853e-05 +154 *1448:213 *1677:10 0.000262354 +155 *1454:58 *1677:157 0.000161167 +156 *1454:58 *1677:163 0.000110297 +157 *1466:62 *1677:139 0.000513464 +158 *1485:126 *19966:B 7.5301e-06 +159 *1496:68 *20015:B 7.14746e-05 +160 *1496:75 *1677:108 0.000293996 +161 *1496:84 *19995:B 1.86178e-05 +162 *1496:84 *20032:B 0.000107496 +163 *1496:84 *1677:187 4.12977e-05 +164 *1496:106 *20032:B 9.61086e-05 +165 *1501:70 *1677:150 0.000170627 +166 *1510:64 *20015:B 0.000152164 +167 *1510:64 *1677:64 0.000455681 +168 *1515:133 *1677:49 6.45209e-05 +169 *1515:133 *1677:93 0.000262116 +170 *1537:12 *1677:10 0.000113374 +171 *1537:267 *20036:B 0.000200794 +172 *1541:69 *1677:108 1.31737e-05 +173 *1544:51 *20015:B 0.00025585 +174 *1544:51 *1677:108 5.60269e-05 +175 *1563:72 *1677:108 0.000326638 +176 *1563:86 *20032:B 0.000158451 +177 *1598:11 *19966:B 5.61389e-05 +178 *1604:68 *20017:A 2.1203e-06 +179 *1604:70 *20017:A 6.08467e-05 +180 *1639:85 *20034:B 0.000107496 +181 *1640:12 *20036:B 0.000136838 +182 *1640:12 *1677:52 0.000187135 +*RES +1 *19919:X *1677:10 23.4382 +2 *1677:10 *20048:B 12.2151 +3 *1677:10 *1677:22 9.96776 +4 *1677:22 *1677:45 46.5752 +5 *1677:45 *1677:49 10.3147 +6 *1677:49 *1677:52 8.40826 +7 *1677:52 *20036:B 18.7989 +8 *1677:52 *1677:64 13.5424 +9 *1677:64 *1677:66 9.24915 +10 *1677:66 *1677:67 81.1229 +11 *1677:67 *19922:B 19.2217 +12 *1677:64 *20015:B 25.2358 +13 *1677:49 *20046:B 9.24915 +14 *1677:45 *1677:93 15.4371 +15 *1677:93 *1677:108 30.4931 +16 *1677:108 *20034:B 15.0271 +17 *1677:108 *20044:B 33.437 +18 *1677:93 *19927:B 9.24915 +19 *1677:22 *1677:139 39.56 +20 *1677:139 *1677:142 47.8572 +21 *1677:142 *1677:150 19.3802 +22 *1677:150 *19966:B 13.3002 +23 *1677:150 *1677:157 6.26943 +24 *1677:157 *20038:B 9.24915 +25 *1677:157 *1677:163 4.05102 +26 *1677:163 *20042:B 9.24915 +27 *1677:163 *1677:172 7.12647 +28 *1677:172 *20017:A 16.5122 +29 *1677:172 *1677:187 12.7062 +30 *1677:187 *1677:189 4.5 +31 *1677:189 *19964:B 9.24915 +32 *1677:189 *20032:B 13.3002 +33 *1677:187 *19995:B 22.3968 +34 *1677:139 *19920:B 9.97254 +*END + +*D_NET *1678 0.0305104 +*CONN +*I *21724:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21449:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21992:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19929:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21898:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20407:B I *D sky130_fd_sc_hd__or2_1 +*I *19920:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21724:B2 0.000217106 +2 *21449:A2 0.000614954 +3 *21992:B2 0.00136249 +4 *19929:A2 0.000333267 +5 *21898:A2 0.000536056 +6 *20407:B 0.000544778 +7 *19920:X 0 +8 *1678:69 0.00334272 +9 *1678:39 0.00169855 +10 *1678:37 0.00068018 +11 *1678:35 0.00253005 +12 *1678:5 0.00118884 +13 *1678:4 0.00217499 +14 *19929:A2 *19929:B1 2.40563e-05 +15 *19929:A2 *21665:B2 7.09666e-06 +16 *19929:A2 *3144:35 0.000117325 +17 *20407:B *20411:A1 3.31745e-05 +18 *21449:A2 *1684:85 0.000107496 +19 *21449:A2 *1728:10 8.22812e-05 +20 *21449:A2 *2505:75 1.92172e-05 +21 *21449:A2 *2505:83 5.481e-05 +22 *21449:A2 *3134:37 0.000491468 +23 *21724:B2 *21724:A2 1.85496e-05 +24 *21724:B2 *21724:B1 0.000209388 +25 *21724:B2 *21725:C1 3.13851e-05 +26 *21724:B2 *1730:20 0.000159371 +27 *21724:B2 *1804:37 0.000695868 +28 *21898:A2 *24992:A 0.000495774 +29 *21898:A2 *2912:13 6.50727e-05 +30 *21898:A2 *2912:23 0.000540163 +31 *21898:A2 *2994:29 0 +32 *21898:A2 *4931:44 0.000408946 +33 *21992:B2 *21992:A1 6.08467e-05 +34 *21992:B2 *21992:A2 0.000107496 +35 *21992:B2 *21993:C1 3.73375e-05 +36 *21992:B2 *1684:85 0.000436841 +37 *21992:B2 *1725:75 0.000371768 +38 *21992:B2 *2505:75 0.000126805 +39 *21992:B2 *2619:76 2.78588e-05 +40 *21992:B2 *3002:8 7.12079e-05 +41 *21992:B2 *3126:194 0.000280153 +42 *21992:B2 *3131:41 0.000267058 +43 *21992:B2 *3134:37 0.000245037 +44 *21992:B2 *3198:53 0.000102079 +45 *21992:B2 *3398:17 0.000211635 +46 *1678:35 *1735:175 0.000186844 +47 *1678:35 *2713:38 0.000172493 +48 *1678:37 *1730:20 0.000587513 +49 *1678:69 *21351:B1 6.44644e-05 +50 *1678:69 *21455:A2 0 +51 *1678:69 *22431:A1 0.000212985 +52 *1678:69 *22436:B1 8.56016e-05 +53 *1678:69 *1708:70 0.00155572 +54 *1678:69 *1728:10 0.000399923 +55 *1678:69 *1766:67 0 +56 *1678:69 *1802:80 0.000207944 +57 *1678:69 *1804:37 7.12632e-06 +58 *1678:69 *1804:64 0.000107496 +59 *1678:69 *2746:43 1.33089e-05 +60 *1678:69 *3385:19 0.000110297 +61 *1678:69 *3427:28 0.00108581 +62 *1678:69 *3432:17 4.20654e-06 +63 *19795:B *1678:37 0.000251669 +64 *19806:B *1678:37 0.000210197 +65 *19806:B *1678:69 7.08723e-06 +66 *19929:A1 *19929:A2 1.24106e-05 +67 *19976:B *1678:35 0.000357884 +68 *20044:B *19929:A2 4.60736e-05 +69 *20044:B *1678:69 3.33173e-06 +70 *21899:B2 *21898:A2 2.0833e-05 +71 *514:28 *1678:35 0.000154145 +72 *1442:30 *1678:35 1.15389e-05 +73 *1442:30 *1678:37 1.41976e-05 +74 *1449:59 *1678:69 1.05992e-06 +75 *1502:129 *20407:B 0.000104731 +76 *1537:12 *20407:B 0.000101133 +77 *1541:90 *19929:A2 0 +78 *1541:91 *1678:37 0.000666113 +79 *1563:81 *1678:69 0.000311542 +80 *1573:8 *1678:35 0.000170979 +81 *1577:13 *19929:A2 3.23901e-05 +82 *1645:31 *21992:B2 0.000105605 +83 *1662:29 *21449:A2 7.86837e-05 +84 *1675:15 *20407:B 0.000650707 +85 *1675:15 *1678:5 0.00014642 +86 *1675:15 *1678:35 0.000492353 +87 *1677:142 *21898:A2 0.000626057 +*RES +1 *19920:X *1678:4 9.24915 +2 *1678:4 *1678:5 1.8326 +3 *1678:5 *20407:B 28.569 +4 *1678:5 *21898:A2 37.7017 +5 *1678:4 *1678:35 42.2487 +6 *1678:35 *1678:37 17.3615 +7 *1678:37 *1678:39 4.5 +8 *1678:39 *19929:A2 21.5528 +9 *1678:39 *1678:69 35.616 +10 *1678:69 *21992:B2 44.7495 +11 *1678:69 *21449:A2 29.2924 +12 *1678:37 *21724:B2 18.8703 +*END + +*D_NET *1679 0.0987039 +*CONN +*I *22575:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22231:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19929:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19921:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22575:A1 0.000566212 +2 *22231:B1 0.00124175 +3 *19929:B1 0.00336576 +4 *19921:Y 3.58463e-05 +5 *1679:68 0.00392267 +6 *1679:64 0.00456299 +7 *1679:61 0.0041886 +8 *1679:37 0.00722295 +9 *1679:30 0.00528174 +10 *1679:20 0.00464795 +11 *1679:18 0.0052742 +12 *1679:7 0.00382697 +13 *19929:B1 *21665:B2 0.000786285 +14 *19929:B1 *1717:68 4.05506e-05 +15 *19929:B1 *1735:91 4.19354e-05 +16 *19929:B1 *2396:23 2.0536e-05 +17 *19929:B1 *2398:100 0.000436499 +18 *19929:B1 *2428:116 0 +19 *19929:B1 *2688:11 1.36118e-05 +20 *19929:B1 *2689:46 0 +21 *19929:B1 *2690:11 3.64678e-05 +22 *19929:B1 *2726:22 0.000106353 +23 *19929:B1 *3144:23 0.00177883 +24 *19929:B1 *3144:35 4.46284e-06 +25 *19929:B1 *3487:13 0 +26 *19929:B1 *3496:29 5.60804e-05 +27 *19929:B1 *4865:24 4.04556e-05 +28 *22231:B1 *22231:B2 6.3657e-05 +29 *22231:B1 *22231:C1 6.3657e-05 +30 *22231:B1 *22627:C1 0.000123496 +31 *22231:B1 *1720:20 4.0605e-06 +32 *22231:B1 *1790:100 3.19362e-05 +33 *22231:B1 *3537:164 4.35912e-05 +34 *22231:B1 *4942:22 5.16613e-05 +35 *22575:A1 *22597:B 0.000107496 +36 *22575:A1 *22629:A2 1.19682e-05 +37 *22575:A1 *22633:A2 0.000117677 +38 *22575:A1 *22634:A 0.000108556 +39 *22575:A1 *1771:106 0.000651117 +40 *22575:A1 *2541:24 0.000434868 +41 *22575:A1 *3192:170 6.69861e-06 +42 *22575:A1 *3519:217 0 +43 *22575:A1 *3575:17 0.00030853 +44 *1679:7 *4904:118 2.16355e-05 +45 *1679:18 *2380:62 0.000167558 +46 *1679:18 *2503:31 2.02035e-05 +47 *1679:18 *2924:46 0.00158405 +48 *1679:18 *4805:36 0.000277261 +49 *1679:18 *5898:205 3.62248e-05 +50 *1679:18 *5904:44 6.85398e-05 +51 *1679:20 *19564:S 0.000108729 +52 *1679:20 *2300:53 0.00156231 +53 *1679:20 *2301:30 0.00231288 +54 *1679:20 *2380:62 0.000381061 +55 *1679:20 *2635:67 0.000667235 +56 *1679:20 *2896:58 0.00180449 +57 *1679:20 *2900:90 0.00310658 +58 *1679:20 *3020:14 0.000466179 +59 *1679:20 *3257:67 0.00126716 +60 *1679:20 *4805:92 0.00319632 +61 *1679:20 *4808:158 0.000111201 +62 *1679:20 *4817:97 0.000248473 +63 *1679:20 *4910:9 0.000198184 +64 *1679:20 *4910:72 0.000130569 +65 *1679:20 *4974:9 0.000166533 +66 *1679:20 *5864:490 1.25301e-05 +67 *1679:20 *5898:205 3.28324e-05 +68 *1679:20 *5908:56 8.31538e-05 +69 *1679:20 *5908:87 1.5714e-05 +70 *1679:20 *5909:14 0.000106126 +71 *1679:30 *20311:A2 1.61631e-05 +72 *1679:30 *20311:B2 1.58551e-05 +73 *1679:30 *1742:76 7.73065e-05 +74 *1679:30 *2256:14 0.000179271 +75 *1679:30 *5909:14 1.03434e-05 +76 *1679:37 *21690:A1 7.40684e-06 +77 *1679:37 *21893:B1 0.000105397 +78 *1679:37 *22234:A 4.19763e-05 +79 *1679:37 *22541:A 6.02141e-05 +80 *1679:37 *1709:69 0.00161379 +81 *1679:37 *1717:68 0.000707668 +82 *1679:37 *2112:22 1.51735e-05 +83 *1679:37 *2112:40 4.58666e-05 +84 *1679:37 *2391:49 0 +85 *1679:37 *2397:76 2.27305e-05 +86 *1679:37 *2800:14 0.000365175 +87 *1679:37 *3142:21 0.00219413 +88 *1679:37 *3472:43 0.00050007 +89 *1679:37 *4823:142 2.07881e-05 +90 *1679:37 *4829:63 2.11668e-05 +91 *1679:37 *5950:18 0.000204591 +92 *1679:37 *5950:55 0.000251024 +93 *1679:37 *5950:58 2.16355e-05 +94 *1679:61 *21998:A1 0.00239809 +95 *1679:61 *2454:23 6.35079e-05 +96 *1679:61 *2480:18 0.000298772 +97 *1679:61 *2924:15 0.000336928 +98 *1679:61 *4805:36 9.35953e-05 +99 *1679:61 *4813:110 0.0034679 +100 *1679:64 *22722:A1 5.51483e-06 +101 *1679:64 *22722:A2 0.000184498 +102 *1679:64 *22722:B1 0.000107496 +103 *1679:64 *22723:C1 9.80242e-07 +104 *1679:64 *2245:27 7.87842e-05 +105 *1679:64 *3493:48 0.00168632 +106 *1679:68 *21284:C1 1.37385e-05 +107 *1679:68 *22358:A2 5.35941e-05 +108 *1679:68 *22380:A1 0.000103044 +109 *1679:68 *22380:B1 1.99833e-05 +110 *1679:68 *1790:100 5.51817e-05 +111 *1679:68 *2342:20 0.000188774 +112 *1679:68 *2343:32 0.000491775 +113 *1679:68 *2777:80 0.000432031 +114 *1679:68 *2870:40 0.00108878 +115 *1679:68 *2888:81 5.60804e-05 +116 *1679:68 *3144:187 3.23571e-05 +117 *1679:68 *3238:16 0 +118 *1679:68 *3521:206 0.000689083 +119 *1679:68 *3539:198 0.000919763 +120 *19929:A1 *19929:B1 1.52551e-05 +121 *19929:A2 *19929:B1 2.40563e-05 +122 *21283:B2 *1679:68 2.37478e-05 +123 *21284:A2 *1679:68 4.84017e-05 +124 *22230:B1 *22231:B1 0.000133947 +125 *22566:A1 *22231:B1 2.1203e-06 +126 *24702:D *1679:30 6.3657e-05 +127 *476:123 *1679:20 2.04012e-05 +128 *482:79 *1679:64 0.000177298 +129 *518:57 *1679:37 3.689e-05 +130 *1439:54 *1679:18 0.000270187 +131 *1439:54 *1679:61 9.91931e-05 +132 *1543:40 *22231:B1 0.000123779 +133 *1545:11 *19929:B1 0.00205006 +134 *1545:32 *19929:B1 0.000266123 +135 *1573:95 *1679:37 6.63489e-05 +136 *1577:13 *19929:B1 0.000128733 +137 *1577:17 *19929:B1 0.00181132 +138 *1577:165 *19929:B1 0.00285985 +139 *1618:61 *22231:B1 0.00115837 +140 *1634:45 *22231:B1 0.00173267 +141 *1634:45 *1679:68 0.000444754 +*RES +1 *19921:Y *1679:7 14.4725 +2 *1679:7 *1679:18 45.8876 +3 *1679:18 *1679:20 156.306 +4 *1679:20 *1679:30 41.7278 +5 *1679:30 *1679:37 47.7081 +6 *1679:37 *19929:B1 39.4582 +7 *1679:7 *1679:61 25.0593 +8 *1679:61 *1679:64 42.3818 +9 *1679:64 *1679:68 23.5544 +10 *1679:68 *22231:B1 27.0993 +11 *1679:68 *22575:A1 34.5412 +*END + +*D_NET *1680 0.0952734 +*CONN +*I *21731:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21856:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20651:B I *D sky130_fd_sc_hd__or2_1 +*I *21395:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21272:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21517:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21219:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21915:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19929:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19922:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21731:B2 0.00037727 +2 *21856:A2 0.00108217 +3 *20651:B 0.00224539 +4 *21395:B2 0.00164399 +5 *21272:B2 4.66572e-05 +6 *21517:A2 0.00105355 +7 *21219:B2 2.73076e-05 +8 *21915:A2 8.46772e-05 +9 *19929:B2 0.000723766 +10 *19922:X 9.59729e-05 +11 *1680:174 0.00517684 +12 *1680:139 0.00169065 +13 *1680:137 0.00450427 +14 *1680:111 0.00283357 +15 *1680:86 0.00545193 +16 *1680:71 0.00275364 +17 *1680:59 0.00279752 +18 *1680:52 0.00181225 +19 *1680:34 0.00166355 +20 *1680:27 0.00196119 +21 *1680:8 0.00154891 +22 *19929:B2 *19956:B1 0.000245521 +23 *19929:B2 *19981:A 7.94462e-05 +24 *19929:B2 *1716:68 1.46362e-05 +25 *19929:B2 *1804:17 9.02666e-05 +26 *19929:B2 *2749:38 8.03699e-06 +27 *19929:B2 *3144:35 0.000167813 +28 *20651:B *20651:A 6.65807e-06 +29 *20651:B *21283:A1 5.24879e-05 +30 *20651:B *22372:A 0.000732702 +31 *20651:B *1688:75 0.00329048 +32 *20651:B *2461:12 0.000125912 +33 *20651:B *2794:27 6.50416e-05 +34 *20651:B *3120:125 5.72676e-05 +35 *20651:B *3517:281 0.00184288 +36 *20651:B *3537:215 0.000371047 +37 *20651:B *3537:224 4.35662e-05 +38 *20651:B *4831:25 0.000718368 +39 *20651:B *5893:18 0.000125912 +40 *21219:B2 *21219:A2 6.08467e-05 +41 *21219:B2 *2282:88 1.65872e-05 +42 *21272:B2 *6170:DIODE 6.30846e-05 +43 *21272:B2 *21272:B1 6.08467e-05 +44 *21272:B2 *1802:143 1.9101e-05 +45 *21272:B2 *2333:60 4.69495e-06 +46 *21272:B2 *3139:192 5.93953e-05 +47 *21395:B2 *22400:A1 0.000132548 +48 *21395:B2 *2333:60 0.00257957 +49 *21395:B2 *2384:59 0.00117919 +50 *21395:B2 *2456:22 0.000219937 +51 *21395:B2 *2472:27 0.000168737 +52 *21395:B2 *2503:48 8.20799e-06 +53 *21395:B2 *3050:35 0.000730325 +54 *21395:B2 *3139:192 1.38538e-05 +55 *21395:B2 *3192:69 4.40468e-05 +56 *21395:B2 *3476:195 1.91391e-05 +57 *21395:B2 *3543:75 5.24879e-05 +58 *21395:B2 *3547:17 3.19906e-05 +59 *21395:B2 *3620:30 1.5714e-05 +60 *21517:A2 *21550:A 5.20546e-06 +61 *21517:A2 *22005:C1 2.35682e-05 +62 *21517:A2 *22335:A2 2.57847e-05 +63 *21517:A2 *22335:B1 0.000114584 +64 *21517:A2 *22336:C1 0.000210693 +65 *21517:A2 *2502:74 7.74853e-06 +66 *21517:A2 *2572:67 0.00106416 +67 *21517:A2 *2667:99 0.000111866 +68 *21517:A2 *3190:34 0.000205006 +69 *21517:A2 *3208:250 1.65872e-05 +70 *21517:A2 *3719:26 9.95542e-06 +71 *21731:B2 *21731:A1 6.08467e-05 +72 *21731:B2 *21731:A2 1.00846e-05 +73 *21731:B2 *21731:C1 7.34948e-06 +74 *21731:B2 *21745:D 0.000107052 +75 *21731:B2 *2749:41 0.000205006 +76 *21731:B2 *2773:10 0.000502506 +77 *21856:A2 *21272:B1 0.000296837 +78 *21856:A2 *21856:A1 7.77744e-05 +79 *21856:A2 *22698:A1 0.000318404 +80 *21856:A2 *22699:A2 0.000635707 +81 *21856:A2 *22699:B1 2.95757e-05 +82 *21856:A2 *1800:128 2.04354e-05 +83 *21856:A2 *2367:79 0.000624269 +84 *21856:A2 *2508:11 4.70242e-05 +85 *21856:A2 *2906:39 0.000823221 +86 *21856:A2 *3517:254 0.000822613 +87 *21856:A2 *3543:121 0.000933474 +88 *21915:A2 *21915:B2 5.63648e-05 +89 *21915:A2 *2650:22 8.6297e-06 +90 *1680:8 *2936:22 0.00016012 +91 *1680:27 *1711:87 4.53536e-05 +92 *1680:27 *1804:17 0.000325661 +93 *1680:34 *21472:A1 1.05272e-06 +94 *1680:34 *21915:B2 3.62963e-05 +95 *1680:34 *2650:22 3.42037e-06 +96 *1680:34 *2773:10 5.56367e-05 +97 *1680:34 *3076:67 1.41976e-05 +98 *1680:34 *5452:10 2.44829e-05 +99 *1680:52 *21864:C1 0.00051097 +100 *1680:52 *21915:B2 0.0010633 +101 *1680:52 *1749:80 3.55859e-05 +102 *1680:52 *2876:34 3.91685e-05 +103 *1680:52 *2880:20 0.000256717 +104 *1680:52 *3076:67 0.00157596 +105 *1680:59 *21690:C1 5.34415e-05 +106 *1680:59 *1706:146 0.000364982 +107 *1680:59 *1706:157 0.00014174 +108 *1680:59 *2431:23 1.9101e-05 +109 *1680:59 *2577:28 0.000838796 +110 *1680:59 *2596:14 5.53814e-05 +111 *1680:59 *2719:22 0.000874789 +112 *1680:59 *3487:82 8.62625e-06 +113 *1680:71 *21219:A1 1.05272e-06 +114 *1680:71 *22656:B2 9.72199e-05 +115 *1680:71 *24235:RESET_B 3.49809e-05 +116 *1680:71 *2577:36 6.62911e-05 +117 *1680:71 *2577:48 0.000179495 +118 *1680:71 *2596:14 0.000395033 +119 *1680:71 *2596:18 0.00136751 +120 *1680:71 *2637:29 9.59112e-05 +121 *1680:71 *2825:12 2.50788e-05 +122 *1680:71 *3106:42 4.04447e-05 +123 *1680:71 *3485:94 6.28614e-05 +124 *1680:71 *3485:104 0.000149783 +125 *1680:71 *3487:82 8.42178e-05 +126 *1680:71 *4856:18 0.000361358 +127 *1680:71 *5373:16 0.000140767 +128 *1680:71 *5476:60 1.58126e-05 +129 *1680:86 *21193:B1 8.00779e-06 +130 *1680:86 *21219:A1 0 +131 *1680:86 *22638:B2 0.000214201 +132 *1680:86 *1691:87 0.000806337 +133 *1680:86 *1788:57 0.000271908 +134 *1680:86 *2281:13 7.78063e-05 +135 *1680:86 *2431:23 0.00105218 +136 *1680:86 *2825:12 0.000114928 +137 *1680:86 *3487:118 0.0001646 +138 *1680:86 *3487:133 0.00022741 +139 *1680:111 *21576:A1 0.000440665 +140 *1680:111 *22332:B2 8.12259e-06 +141 *1680:111 *22334:A1 0.000120278 +142 *1680:111 *22337:B 0.00028344 +143 *1680:111 *22337:D 0.000241302 +144 *1680:111 *2502:74 7.08059e-05 +145 *1680:111 *2546:56 0.000205101 +146 *1680:111 *2570:141 8.19236e-05 +147 *1680:111 *2631:83 6.63997e-05 +148 *1680:111 *2800:53 0.000278946 +149 *1680:111 *2894:60 0.000116868 +150 *1680:111 *3122:39 8.09551e-05 +151 *1680:111 *3198:23 0.00102902 +152 *1680:111 *3208:250 0 +153 *1680:111 *4819:72 0.000521643 +154 *1680:137 *21193:A1 0.000545554 +155 *1680:137 *22646:A1 1.16164e-05 +156 *1680:137 *1750:45 0.000499685 +157 *1680:137 *1788:57 0.000240135 +158 *1680:137 *2378:46 0.00249298 +159 *1680:137 *2431:23 0.000319931 +160 *1680:137 *2629:37 0.000445469 +161 *1680:137 *3175:257 0.000292422 +162 *1680:137 *3204:165 0.000429532 +163 *1680:137 *3466:21 0.000256617 +164 *1680:137 *3474:38 0.000256617 +165 *1680:137 *3485:133 0.000717785 +166 *1680:137 *4819:72 6.93584e-05 +167 *1680:174 *21236:D 0.000110143 +168 *1680:174 *21272:B1 0.00205994 +169 *1680:174 *21396:A1 0.00022523 +170 *1680:174 *2367:79 0.00154928 +171 *19613:B2 *1680:52 0.000597616 +172 *19820:B2 *1680:174 0.000130536 +173 *19960:A *19929:B2 0.000211559 +174 *19960:A *1680:27 0.00049786 +175 *21340:A2 *1680:59 1.91246e-05 +176 *21476:A2 *1680:111 0.000122812 +177 *21576:A2 *1680:111 3.82228e-05 +178 *21576:B2 *1680:111 0.000167804 +179 *21657:B2 *1680:71 0.000109427 +180 *21856:B2 *21856:A2 1.17054e-05 +181 *24232:D *1680:71 2.32912e-05 +182 *490:105 *21395:B2 0.000127956 +183 *1496:68 *19929:B2 0.000310535 +184 *1502:201 *21219:B2 0.000111722 +185 *1544:51 *19929:B2 0.000491034 +186 *1544:51 *1680:8 4.05896e-05 +187 *1545:54 *1680:86 5.82009e-06 +188 *1551:17 *1680:52 0.00018667 +189 *1551:47 *1680:59 0 +190 *1557:22 *1680:52 8.92156e-05 +191 *1562:21 *21395:B2 0.000627753 +192 *1577:13 *19929:B2 8.26234e-06 +193 *1577:97 *1680:137 0.00180722 +194 *1577:97 *1680:174 0.000574198 +195 *1584:20 *21517:A2 0.000178574 +196 *1584:141 *1680:111 0.000340742 +197 *1592:93 *1680:137 0.000233034 +198 *1592:93 *1680:174 0.000222414 +199 *1627:79 *21272:B2 8.41266e-06 +200 *1627:79 *21395:B2 0.000180267 +201 *1637:42 *21731:B2 0.000161161 +202 *1637:42 *1680:34 5.92192e-05 +203 *1650:14 *21731:B2 8.12172e-05 +204 *1652:65 *20651:B 0.000377382 +205 *1677:108 *19929:B2 1.65277e-05 +*RES +1 *19922:X *1680:8 21.3269 +2 *1680:8 *19929:B2 39.054 +3 *1680:8 *1680:27 22.9707 +4 *1680:27 *1680:34 21.3623 +5 *1680:34 *21915:A2 15.3735 +6 *1680:34 *1680:52 35.9507 +7 *1680:52 *1680:59 33.782 +8 *1680:59 *1680:71 47.4365 +9 *1680:71 *21219:B2 15.0271 +10 *1680:71 *1680:86 9.8101 +11 *1680:86 *1680:111 48.906 +12 *1680:111 *21517:A2 45.3731 +13 *1680:86 *1680:137 28.9424 +14 *1680:137 *1680:139 0.376635 +15 *1680:139 *21272:B2 18.3081 +16 *1680:139 *21395:B2 42.2142 +17 *1680:137 *1680:174 7.62138 +18 *1680:174 *20651:B 28.2273 +19 *1680:174 *21856:A2 33.9571 +20 *1680:27 *21731:B2 26.2734 +*END + +*D_NET *1681 0.000543029 +*CONN +*I *19924:A I *D sky130_fd_sc_hd__buf_2 +*I *19923:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *19924:A 0.000129439 +2 *19923:X 0.000129439 +3 *19924:A *2447:9 2.61955e-05 +4 *19924:A *5477:9 0.000130777 +5 *19924:A *5898:73 0.000127179 +*RES +1 *19923:X *19924:A 31.5781 +*END + +*D_NET *1682 0.00788656 +*CONN +*I *19928:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *19925:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19928:A3 0.000777806 +2 *19925:Y 0.00205398 +3 *1682:19 0.00283178 +4 *19928:A3 *1683:17 1.41976e-05 +5 *19928:A3 *1685:5 5.73392e-05 +6 *19928:A3 *2810:38 6.63489e-05 +7 *19928:A3 *5801:77 0.000176171 +8 *19928:A3 *5858:179 0.000207266 +9 *1682:19 *20159:B1 0.000160617 +10 *1682:19 *24794:CLK 2.57986e-05 +11 *1682:19 *5801:73 0.000666817 +12 *1682:19 *5801:77 8.46385e-06 +13 *19928:A2 *19928:A3 6.08467e-05 +14 *24794:D *1682:19 0.000667406 +15 *1442:55 *19928:A3 0.000111722 +*RES +1 *19925:Y *1682:19 45.5154 +2 *1682:19 *19928:A3 28.8291 +*END + +*D_NET *1683 0.0274728 +*CONN +*I *19928:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *22423:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22728:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19926:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19928:B1 8.89206e-06 +2 *22423:B1 8.73707e-05 +3 *22728:B1 0.000901587 +4 *19926:Y 0.0008574 +5 *1683:41 0.0033883 +6 *1683:38 0.0028001 +7 *1683:32 0.000465482 +8 *1683:29 0.00108041 +9 *1683:17 0.00188197 +10 *19928:B1 *24632:CLK 6.3657e-05 +11 *22423:B1 *22423:A1 0.000357854 +12 *22423:B1 *22512:A1 0.000211537 +13 *22423:B1 *22512:B2 9.67478e-05 +14 *22728:B1 *19980:B1 0.000190392 +15 *22728:B1 *21745:D 6.50586e-05 +16 *22728:B1 *21969:A1 0.000128575 +17 *22728:B1 *22782:B1 5.04829e-06 +18 *22728:B1 *1730:43 7.9371e-05 +19 *22728:B1 *1790:19 0.000440173 +20 *22728:B1 *2338:41 6.23101e-05 +21 *22728:B1 *2586:61 0.000332217 +22 *22728:B1 *2587:18 1.66961e-05 +23 *22728:B1 *2652:14 0.000153975 +24 *22728:B1 *2773:10 5.1493e-06 +25 *22728:B1 *3063:29 0.000109235 +26 *22728:B1 *3669:8 1.58551e-05 +27 *1683:17 *19928:B2 0.000107063 +28 *1683:17 *24632:CLK 7.27718e-05 +29 *1683:17 *1801:38 0 +30 *1683:17 *2274:30 0.000201831 +31 *1683:17 *2785:30 0 +32 *1683:17 *2999:20 0.000184282 +33 *1683:29 *19917:A 0.000258142 +34 *1683:29 *20414:A1 0.000158451 +35 *1683:29 *22511:A1 0.000209254 +36 *1683:29 *24632:CLK 0.000157773 +37 *1683:29 *24635:CLK 0.00080371 +38 *1683:29 *1729:80 2.01888e-05 +39 *1683:29 *1804:96 0.000115464 +40 *1683:29 *1808:23 0.00168001 +41 *1683:29 *2429:25 0.00176294 +42 *1683:29 *2603:29 0.00145531 +43 *1683:29 *2732:47 1.85963e-05 +44 *1683:29 *3177:168 4.40351e-05 +45 *1683:29 *4931:44 0.000126981 +46 *1683:32 *1808:23 4.25147e-05 +47 *1683:32 *2732:29 4.70202e-05 +48 *1683:32 *2834:17 6.61215e-06 +49 *1683:32 *3206:48 0 +50 *1683:38 *22468:B2 3.32797e-05 +51 *1683:38 *22512:A2 0.000208406 +52 *1683:38 *2834:17 0.000405692 +53 *1683:41 *2651:29 0.00222255 +54 *1683:41 *2718:8 1.15389e-05 +55 *19779:A *1683:17 5.49582e-05 +56 *19928:A2 *19928:B1 2.29454e-05 +57 *19928:A2 *1683:17 3.73375e-05 +58 *19928:A3 *1683:17 1.41976e-05 +59 *21598:A2 *22728:B1 0.000436631 +60 *1432:8 *1683:17 6.87762e-05 +61 *1433:17 *1683:17 0.00021462 +62 *1435:67 *1683:29 6.09998e-05 +63 *1439:356 *1683:17 0.000783167 +64 *1442:55 *1683:17 1.9101e-05 +65 *1461:228 *1683:17 1.77537e-06 +66 *1461:248 *1683:17 0 +67 *1461:248 *1683:29 6.58517e-05 +68 *1544:51 *22728:B1 0.000195584 +69 *1553:13 *1683:41 5.32995e-05 +70 *1564:11 *1683:41 0.000298399 +71 *1639:99 *22728:B1 5.19758e-05 +72 *1650:14 *22728:B1 3.63593e-05 +73 *1675:15 *1683:41 0.000896994 +*RES +1 *19926:Y *1683:17 43.0847 +2 *1683:17 *1683:29 48.1453 +3 *1683:29 *1683:32 4.99316 +4 *1683:32 *1683:38 13.7088 +5 *1683:38 *1683:41 45.7095 +6 *1683:41 *22728:B1 34.8273 +7 *1683:32 *22423:B1 18.3789 +8 *1683:17 *19928:B1 9.97254 +*END + +*D_NET *1684 0.0395007 +*CONN +*I *21731:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21986:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21881:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21462:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19928:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *20368:B I *D sky130_fd_sc_hd__or2_1 +*I *19927:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21731:A2 0.00117328 +2 *21986:B2 2.51999e-05 +3 *21881:A2 0.00196492 +4 *21462:B2 0 +5 *19928:B2 8.43086e-05 +6 *20368:B 0.000164887 +7 *19927:X 0 +8 *1684:85 0.00173269 +9 *1684:48 0.00417405 +10 *1684:45 0.00188973 +11 *1684:15 0.00246471 +12 *1684:5 0.00262004 +13 *1684:4 0.000619335 +14 *20368:B *2274:30 8.69165e-05 +15 *20368:B *2749:10 0.000156955 +16 *20368:B *2836:8 9.03922e-05 +17 *21731:A2 *6137:DIODE 1.22436e-05 +18 *21731:A2 *21731:C1 0.000167076 +19 *21731:A2 *1717:24 6.23101e-05 +20 *21731:A2 *2586:59 0.000126775 +21 *21731:A2 *2740:27 0.000167105 +22 *21731:A2 *2749:38 0.000348514 +23 *21731:A2 *2749:41 3.00613e-05 +24 *21731:A2 *2936:67 5.60804e-05 +25 *21731:A2 *3208:21 2.22159e-05 +26 *21731:A2 *5563:45 0.000265197 +27 *21881:A2 *21351:B1 0.000131959 +28 *21881:A2 *21881:A1 5.04829e-06 +29 *21881:A2 *21881:B2 1.41976e-05 +30 *21881:A2 *22452:B1 7.09666e-06 +31 *21881:A2 *22768:A1 0.000675559 +32 *21881:A2 *1691:80 0.000110297 +33 *21881:A2 *2675:63 0.000624012 +34 *21881:A2 *2693:19 6.7671e-06 +35 *21881:A2 *2729:121 0.0018926 +36 *21881:A2 *2751:8 0.000186709 +37 *21881:A2 *3053:14 1.5714e-05 +38 *21881:A2 *3136:84 3.18935e-05 +39 *21881:A2 *3185:25 0.000375523 +40 *21881:A2 *3185:30 0.000530321 +41 *21881:A2 *3432:17 0.000236669 +42 *21881:A2 *3498:44 8.82953e-06 +43 *21986:B2 *2998:57 2.7405e-05 +44 *21986:B2 *2999:65 7.70725e-05 +45 *1684:5 *21462:A2 1.65872e-05 +46 *1684:5 *1791:28 1.65872e-05 +47 *1684:5 *2515:27 0.00218513 +48 *1684:5 *2519:12 0.00178928 +49 *1684:15 *19880:A 0.000197295 +50 *1684:15 *21462:A2 5.04829e-06 +51 *1684:15 *21462:B1 2.57365e-05 +52 *1684:15 *24445:CLK 0.000258785 +53 *1684:15 *1757:87 1.65872e-05 +54 *1684:15 *2515:11 9.98033e-05 +55 *1684:15 *2515:15 0.00114667 +56 *1684:15 *2515:27 0.000101154 +57 *1684:15 *2749:10 0.000289966 +58 *1684:15 *5331:5 0.000158357 +59 *1684:45 *19956:A1 0.000367277 +60 *1684:45 *1686:8 3.93117e-06 +61 *1684:45 *1716:68 1.5714e-05 +62 *1684:45 *2515:27 0.000451182 +63 *1684:45 *2519:12 4.99897e-05 +64 *1684:45 *2749:38 1.12829e-05 +65 *1684:45 *5563:45 0.000447246 +66 *1684:48 *1708:49 0.000262424 +67 *1684:48 *1708:70 0.000922031 +68 *1684:48 *2936:67 0.00117203 +69 *1684:85 *21456:A2 0.000114336 +70 *1684:85 *22750:C1 0.000218337 +71 *1684:85 *1708:70 0.00108224 +72 *1684:85 *1723:24 0.000117171 +73 *1684:85 *1800:8 0.000673178 +74 *1684:85 *2505:75 0.000350015 +75 *1684:85 *2611:23 0.0001535 +76 *1684:85 *2936:67 0.000708088 +77 *1684:85 *2974:40 0.000269791 +78 *1684:85 *2997:42 2.81864e-05 +79 *1684:85 *3023:41 0.000102764 +80 *1684:85 *3126:189 0.000130457 +81 *1684:85 *3134:37 0.000151513 +82 *1684:85 *3500:75 0.000101546 +83 *19927:A *1684:5 6.08467e-05 +84 *21449:A2 *1684:85 0.000107496 +85 *21731:B2 *21731:A2 1.00846e-05 +86 *21992:B2 *1684:85 0.000436841 +87 *1461:228 *19928:B2 1.60467e-05 +88 *1461:228 *1684:15 0.000124853 +89 *1461:248 *19928:B2 7.58217e-06 +90 *1496:68 *1684:45 0.000311235 +91 *1496:84 *1684:85 0.000192515 +92 *1552:37 *21881:A2 2.57465e-06 +93 *1587:137 *1684:85 0.000267732 +94 *1650:14 *21881:A2 0.00018198 +95 *1683:17 *19928:B2 0.000107063 +*RES +1 *19927:X *1684:4 9.24915 +2 *1684:4 *1684:5 24.5714 +3 *1684:5 *1684:15 41.5953 +4 *1684:15 *20368:B 21.9137 +5 *1684:15 *19928:B2 20.4964 +6 *1684:5 *21462:B2 9.24915 +7 *1684:4 *1684:45 14.0312 +8 *1684:45 *1684:48 3.05605 +9 *1684:48 *21881:A2 34.5681 +10 *1684:48 *1684:85 47.0774 +11 *1684:85 *21986:B2 14.6654 +12 *1684:45 *21731:A2 30.8001 +*END + +*D_NET *1685 0.015825 +*CONN +*I *19929:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19928:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *19929:C1 0 +2 *19928:X 0.00238281 +3 *1685:26 0.00148554 +4 *1685:5 0.00386835 +5 *1685:5 *2749:11 0.00104944 +6 *1685:26 *19956:B1 2.55661e-06 +7 *1685:26 *19980:C1 3.58552e-05 +8 *1685:26 *21938:A2 0.00146042 +9 *1685:26 *1686:8 3.30161e-05 +10 *1685:26 *1716:68 0.000238027 +11 *1685:26 *2700:26 0.000573086 +12 *1685:26 *2943:29 5.97299e-05 +13 *1685:26 *5563:45 0.00301343 +14 *19819:A *1685:26 6.4697e-05 +15 *19819:B *1685:26 5.04829e-06 +16 *19928:A2 *1685:5 0.000256037 +17 *19928:A3 *1685:5 5.73392e-05 +18 *1541:69 *1685:26 0.000370759 +19 *1541:90 *1685:26 1.26168e-05 +20 *1563:72 *1685:26 4.82779e-06 +21 *1577:13 *1685:26 0.000270047 +22 *1604:42 *1685:26 0.000177774 +23 *1639:69 *1685:26 0.000174561 +24 *1641:26 *1685:26 7.20885e-05 +25 *1677:139 *1685:5 0.000156946 +*RES +1 *19928:X *1685:5 46.5764 +2 *1685:5 *1685:26 48.8397 +3 *1685:26 *19929:C1 9.24915 +*END + +*D_NET *1686 0.0120973 +*CONN +*I *19947:B I *D sky130_fd_sc_hd__and4_1 +*I *19929:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19947:B 0.000110552 +2 *19929:X 0.000343103 +3 *1686:8 0.00243472 +4 *1686:7 0.00266727 +5 *19947:B *19947:C 0.000441895 +6 *19947:B *21743:A1 1.40978e-05 +7 *19947:B *1689:28 0.000202719 +8 *1686:8 *19956:B2 3.2109e-05 +9 *1686:8 *19956:C1 2.64442e-05 +10 *1686:8 *1706:21 0.00013257 +11 *1686:8 *1706:118 0.000241735 +12 *1686:8 *1716:68 0 +13 *1686:8 *1792:16 0.000493293 +14 *1686:8 *2274:10 0.00027988 +15 *1686:8 *2469:35 0.000109493 +16 *1686:8 *2519:12 1.37145e-05 +17 *19947:A *19947:B 3.61993e-05 +18 *21665:B1 *1686:7 0.00049794 +19 *1427:165 *1686:8 0.000180509 +20 *1428:8 *1686:8 0.00142596 +21 *1428:14 *1686:8 7.53652e-05 +22 *1428:47 *1686:8 1.8284e-05 +23 *1448:73 *1686:8 5.25994e-05 +24 *1448:79 *1686:8 1.1246e-05 +25 *1448:92 *1686:8 4.58097e-05 +26 *1449:45 *1686:8 0.000109026 +27 *1471:10 *1686:8 0.000475715 +28 *1506:35 *1686:8 0.000403863 +29 *1563:72 *1686:8 0.000771113 +30 *1587:10 *1686:8 0.000413083 +31 *1684:45 *1686:8 3.93117e-06 +32 *1685:26 *1686:8 3.30161e-05 +*RES +1 *19929:X *1686:7 19.464 +2 *1686:7 *1686:8 71.5944 +3 *1686:8 *19947:B 18.9094 +*END + +*D_NET *1687 0.0148555 +*CONN +*I *19937:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19930:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19937:A1 0.000763949 +2 *19930:Y 0.000690742 +3 *1687:17 0.00316889 +4 *1687:13 0.00309569 +5 *19937:A1 *19937:B1 4.39732e-05 +6 *19937:A1 *20345:A0 1.03403e-05 +7 *19937:A1 *1792:37 3.93957e-05 +8 *19937:A1 *2732:28 0.000111708 +9 *19937:A1 *2758:35 0.000532473 +10 *19937:A1 *5188:24 0.00189454 +11 *19937:A1 *5858:223 2.99978e-05 +12 *1687:13 *20378:A 0.000109421 +13 *1687:13 *21738:A 0.000164843 +14 *1687:13 *24653:CLK 0.000221291 +15 *1687:13 *1726:6 0.000224783 +16 *1687:13 *1940:7 0.000110458 +17 *1687:13 *2803:33 6.08467e-05 +18 *1687:13 *2922:19 8.20522e-05 +19 *1687:13 *5860:257 4.81435e-05 +20 *1687:13 *5898:51 6.1708e-05 +21 *1687:17 *19628:A1 4.41502e-05 +22 *1687:17 *19628:B1 0.000587722 +23 *1687:17 *20346:A 9.9472e-05 +24 *1687:17 *5188:24 2.12542e-05 +25 *1687:17 *5860:257 0.000841364 +26 *19628:A2 *1687:17 1.30359e-05 +27 *24175:SET_B *1687:13 5.97576e-05 +28 *24890:D *1687:17 5.67722e-05 +29 *443:10 *1687:13 6.50727e-05 +30 *1467:22 *19937:A1 0.0016017 +*RES +1 *19930:Y *1687:13 38.048 +2 *1687:13 *1687:17 37.4719 +3 *1687:17 *19937:A1 42.019 +*END + +*D_NET *1688 0.153001 +*CONN +*I *22246:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6088:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6132:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19937:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22595:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19931:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22246:A1 4.57683e-05 +2 *6088:DIODE 3.79969e-05 +3 *6132:DIODE 0 +4 *19937:B1 0.0001499 +5 *22595:A1 3.30531e-05 +6 *19931:Y 0 +7 *1688:120 0.0001499 +8 *1688:118 0.00250682 +9 *1688:117 0.00763783 +10 *1688:98 0.00539887 +11 *1688:91 0.00992077 +12 *1688:76 0.0102162 +13 *1688:75 0.0104963 +14 *1688:43 0.0117612 +15 *1688:34 0.0036712 +16 *1688:17 0.00256222 +17 *1688:11 0.00161733 +18 *1688:5 0.000923369 +19 *22246:A1 *21599:C1 2.8817e-05 +20 *22246:A1 *22246:B2 2.16355e-05 +21 *22246:A1 *2680:52 6.08467e-05 +22 *22595:A1 *22595:B2 2.16355e-05 +23 *22595:A1 *1771:104 6.3657e-05 +24 *22595:A1 *2843:31 1.5714e-05 +25 *1688:11 *20717:B1 9.10636e-05 +26 *1688:11 *21557:A 1.66626e-05 +27 *1688:11 *2025:8 0.000408374 +28 *1688:11 *2025:26 0.000211013 +29 *1688:11 *2468:8 0.000173991 +30 *1688:11 *2624:6 2.27135e-05 +31 *1688:11 *2624:21 0.000557884 +32 *1688:11 *4839:199 0.000966 +33 *1688:11 *5858:8 0.000782209 +34 *1688:17 *2468:8 0.00153309 +35 *1688:17 *2783:8 0.00153522 +36 *1688:17 *3035:13 0.000400335 +37 *1688:34 *21144:B 0.00096864 +38 *1688:34 *1707:39 0.00012012 +39 *1688:34 *1724:48 5.60804e-05 +40 *1688:34 *1732:12 1.5714e-05 +41 *1688:34 *5050:8 6.64907e-05 +42 *1688:34 *5861:89 2.78588e-05 +43 *1688:34 *5867:116 6.85293e-05 +44 *1688:43 *21831:A1 0.000304767 +45 *1688:43 *22576:B1 0.00013167 +46 *1688:43 *1724:76 7.6583e-05 +47 *1688:43 *1770:58 0.000222148 +48 *1688:43 *2818:30 0.000677175 +49 *1688:43 *2954:27 0.000168463 +50 *1688:43 *3057:29 0.000209897 +51 *1688:43 *3192:181 1.10372e-05 +52 *1688:43 *3543:149 0.00109557 +53 *1688:75 *21292:A 0 +54 *1688:75 *22345:B2 0.000190176 +55 *1688:75 *22576:B1 8.4858e-05 +56 *1688:75 *1741:55 0.000202399 +57 *1688:75 *1770:58 0.000757472 +58 *1688:75 *1784:91 0.000512974 +59 *1688:75 *2320:56 4.99354e-06 +60 *1688:75 *2404:25 0.00017253 +61 *1688:75 *2404:60 0.00106297 +62 *1688:75 *2421:91 0.00205638 +63 *1688:75 *2468:37 5.52578e-05 +64 *1688:75 *2501:22 0.000571272 +65 *1688:75 *2629:21 0.000374764 +66 *1688:75 *2692:53 8.15948e-07 +67 *1688:75 *2770:68 0.000204366 +68 *1688:75 *2791:46 0.000168965 +69 *1688:75 *2870:21 0 +70 *1688:75 *3212:34 0.000366816 +71 *1688:75 *3257:44 9.51518e-05 +72 *1688:75 *3517:225 7.96833e-05 +73 *1688:75 *3517:254 0.00146641 +74 *1688:75 *3517:281 5.95328e-05 +75 *1688:75 *3523:172 0.0016511 +76 *1688:75 *3541:56 0.000108986 +77 *1688:75 *3543:149 0.000182008 +78 *1688:75 *3579:23 0.000367046 +79 *1688:75 *4823:85 2.37478e-05 +80 *1688:75 *4825:95 0 +81 *1688:75 *4828:80 0 +82 *1688:75 *4829:142 9.83256e-05 +83 *1688:75 *4829:145 2.02035e-05 +84 *1688:75 *5592:112 0 +85 *1688:75 *5859:167 0.00113462 +86 *1688:75 *5862:411 0.000307515 +87 *1688:76 *1741:56 0.00349715 +88 *1688:76 *2421:103 0.00190714 +89 *1688:76 *2446:113 0.00126152 +90 *1688:76 *4821:143 0.000428309 +91 *1688:76 *4823:85 9.1927e-06 +92 *1688:76 *5886:48 0.000174534 +93 *1688:76 *5913:18 1.26298e-05 +94 *1688:91 *21696:B1 0.00483411 +95 *1688:91 *22246:A2 0.000153427 +96 *1688:91 *22304:B2 2.77959e-05 +97 *1688:91 *2266:55 6.68585e-05 +98 *1688:91 *2291:61 1.89231e-05 +99 *1688:91 *2295:59 0.000355306 +100 *1688:91 *2379:58 0.000305291 +101 *1688:91 *2380:84 1.86819e-05 +102 *1688:91 *2383:25 0.000241796 +103 *1688:91 *2384:73 3.33494e-05 +104 *1688:91 *2394:47 0.00575291 +105 *1688:91 *2404:85 6.8612e-05 +106 *1688:91 *2423:69 6.73621e-05 +107 *1688:91 *2431:23 0.000108997 +108 *1688:91 *2446:101 3.89061e-05 +109 *1688:91 *2468:107 0.000164855 +110 *1688:91 *2520:87 4.1639e-05 +111 *1688:91 *2543:88 0.00112416 +112 *1688:91 *2629:37 1.57279e-05 +113 *1688:91 *2772:97 0.000583673 +114 *1688:91 *2900:84 0.000165759 +115 *1688:91 *2900:89 8.71824e-05 +116 *1688:91 *2950:76 0.000248963 +117 *1688:91 *2991:67 8.90777e-05 +118 *1688:91 *3021:13 8.4145e-05 +119 *1688:91 *3122:88 0.000129091 +120 *1688:91 *3278:17 6.44644e-05 +121 *1688:91 *3483:206 0.000114237 +122 *1688:91 *3509:42 0.000246594 +123 *1688:91 *3509:60 2.69265e-05 +124 *1688:91 *3541:29 0.00036616 +125 *1688:91 *4810:22 0.000347505 +126 *1688:91 *4815:116 0.000462848 +127 *1688:91 *4817:120 0.000111923 +128 *1688:91 *4826:117 5.61636e-05 +129 *1688:91 *4828:169 0 +130 *1688:91 *4833:130 0.00040397 +131 *1688:91 *5475:110 2.06284e-05 +132 *1688:91 *5874:36 0.000129091 +133 *1688:91 *5886:47 3.17436e-05 +134 *1688:91 *5898:191 4.40131e-06 +135 *1688:91 *5910:26 0.000155117 +136 *1688:91 *5913:17 0.000381033 +137 *1688:98 *21599:C1 0.000144798 +138 *1688:98 *21696:A1 8.62625e-06 +139 *1688:98 *1723:77 0.000438346 +140 *1688:117 *21945:B1 4.47315e-05 +141 *1688:117 *22005:A1 0.00122082 +142 *1688:117 *22481:C1 0.000315255 +143 *1688:117 *1725:115 0.000385616 +144 *1688:117 *1731:107 0.000534924 +145 *1688:117 *1762:86 0.0014552 +146 *1688:117 *1766:82 0.000487938 +147 *1688:117 *2950:125 0 +148 *1688:117 *2959:35 0.00198727 +149 *1688:117 *3014:82 0.0011631 +150 *1688:117 *3148:226 2.73172e-05 +151 *1688:117 *3164:54 3.19588e-05 +152 *1688:117 *3175:57 0.001436 +153 *1688:117 *3421:17 0 +154 *1688:117 *3432:31 0 +155 *1688:117 *3509:60 0.00198956 +156 *1688:117 *3519:130 0.000688499 +157 *1688:117 *3519:159 0.000426978 +158 *1688:118 *21988:A 0.000118231 +159 *1688:118 *22423:B2 4.65119e-05 +160 *1688:118 *22467:A2 0.000108798 +161 *1688:118 *22476:A1 0 +162 *1688:118 *22489:B2 7.08288e-05 +163 *1688:118 *22806:B1 0.000258154 +164 *1688:118 *1739:41 0.000222323 +165 *1688:118 *1791:28 7.88969e-05 +166 *1688:118 *1792:97 0.000468218 +167 *1688:118 *2003:61 0.00120319 +168 *1688:118 *2578:15 0.00344183 +169 *1688:118 *2701:17 1.23518e-05 +170 *1688:118 *2947:12 0.000523965 +171 *1688:118 *3463:12 0.000776274 +172 *1688:118 *5077:8 7.91779e-05 +173 mgmt_gpio_oeb[11] *1688:75 0 +174 mgmt_gpio_oeb[8] *1688:91 5.83673e-05 +175 mgmt_gpio_out[11] *1688:75 0 +176 *19593:C *1688:34 0 +177 *19795:A *1688:117 1.2856e-05 +178 *19864:A1 *1688:75 0.000363923 +179 *19875:A2 *1688:34 5.04829e-06 +180 *19885:B1 *6088:DIODE 4.65119e-05 +181 *19885:B1 *1688:98 1.91246e-05 +182 *19908:A *22595:A1 1.5714e-05 +183 *19937:A1 *19937:B1 4.39732e-05 +184 *20651:B *1688:75 0.00329048 +185 *22314:B1 *1688:91 0.000696814 +186 *477:177 *1688:75 7.25692e-05 +187 *508:20 *1688:75 0.00593637 +188 *518:44 *1688:91 1.71936e-05 +189 *1438:169 *1688:34 0.000281541 +190 *1439:104 *1688:91 0.000202101 +191 *1443:60 *1688:91 4.13035e-06 +192 *1454:38 *1688:117 0.000177146 +193 *1454:46 *1688:117 6.37452e-05 +194 *1459:129 *22246:A1 3.29488e-05 +195 *1459:129 *1688:98 0.000255846 +196 *1506:16 *1688:118 1.90786e-05 +197 *1537:101 *6088:DIODE 5.45571e-05 +198 *1537:101 *1688:98 0.000215539 +199 *1565:12 *1688:43 0.000441511 +200 *1569:9 *1688:34 0.000968023 +201 *1573:141 *1688:91 1.79334e-05 +202 *1576:35 *1688:91 0.000112547 +203 *1585:22 *1688:43 0.000550327 +204 *1593:26 *1688:43 2.37843e-05 +205 *1594:43 *1688:34 7.40684e-06 +206 *1612:24 *1688:75 0.000170566 +207 *1614:75 *1688:75 0.00115397 +208 *1618:104 *1688:75 4.92144e-06 +209 *1620:31 *1688:91 0.000227264 +210 *1625:146 *1688:43 0.000735162 +211 *1636:108 *1688:98 1.26119e-05 +212 *1652:65 *1688:75 0.000422873 +213 *1655:161 *1688:75 0.000155692 +214 *1658:73 *1688:34 0.000206465 +215 *1658:73 *1688:43 2.67684e-05 +*RES +1 *19931:Y *1688:5 13.7491 +2 *1688:5 *1688:11 47.5458 +3 *1688:11 *1688:17 40.3527 +4 *1688:17 *1688:34 46.8774 +5 *1688:34 *1688:43 10.6467 +6 *1688:43 *22595:A1 18.2199 +7 *1688:43 *1688:75 46.7598 +8 *1688:75 *1688:76 57.4514 +9 *1688:76 *1688:91 31.6028 +10 *1688:91 *1688:98 18.2395 +11 *1688:98 *1688:117 25.7664 +12 *1688:117 *1688:118 85.0901 +13 *1688:118 *1688:120 4.5 +14 *1688:120 *19937:B1 12.7938 +15 *1688:120 *6132:DIODE 9.24915 +16 *1688:98 *6088:DIODE 14.9583 +17 *1688:91 *22246:A1 15.2664 +*END + +*D_NET *1689 0.113672 +*CONN +*I *21410:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21682:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21548:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21945:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *21772:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21309:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21225:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21144:B I *D sky130_fd_sc_hd__or2_1 +*I *19937:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19932:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21410:A2 0.000422049 +2 *21682:A2 7.56598e-05 +3 *21548:B2 2.96761e-05 +4 *21945:A2 0.000366664 +5 *21772:A2 0.000137669 +6 *21309:A2 8.96596e-05 +7 *21225:B2 0.000304387 +8 *21144:B 0.000971478 +9 *19937:B2 0 +10 *19932:X 0.00120214 +11 *1689:181 0.000528059 +12 *1689:168 0.00135093 +13 *1689:135 0.00590668 +14 *1689:107 0.00202867 +15 *1689:102 0.00806603 +16 *1689:101 0.00210138 +17 *1689:94 0.00143675 +18 *1689:88 0.00147878 +19 *1689:79 0.00213574 +20 *1689:71 0.00249166 +21 *1689:69 0.00295861 +22 *1689:57 0.00221223 +23 *1689:51 0.00239336 +24 *1689:47 0.00302282 +25 *1689:35 0.0032147 +26 *1689:28 0.00150977 +27 *1689:13 0.00346115 +28 *21144:B *20863:A2 0.000109859 +29 *21144:B *2126:41 8.47244e-06 +30 *21144:B *2126:48 0.000231101 +31 *21144:B *2553:24 3.92849e-05 +32 *21144:B *2604:6 0.00033614 +33 *21144:B *2614:8 0.000363168 +34 *21144:B *2614:17 2.70349e-05 +35 *21144:B *3040:10 2.26289e-05 +36 *21144:B *4739:36 0.000260388 +37 *21144:B *4932:14 0.000196224 +38 *21225:B2 *21225:A1 7.76105e-06 +39 *21225:B2 *21225:B1 0.000205101 +40 *21225:B2 *21309:B1 3.78945e-05 +41 *21225:B2 *1800:119 7.6125e-05 +42 *21225:B2 *2288:24 0.000141265 +43 *21225:B2 *2368:70 5.88009e-05 +44 *21225:B2 *3327:8 8.63353e-06 +45 *21225:B2 *3581:29 7.25274e-05 +46 *21309:A2 *21309:C1 0 +47 *21309:A2 *22379:A1 2.40736e-05 +48 *21309:A2 *3327:8 8.10016e-06 +49 *21410:A2 *6180:DIODE 0.000112149 +50 *21410:A2 *1704:6 0.000105837 +51 *21410:A2 *1744:7 1.10297e-05 +52 *21410:A2 *2936:22 7.92757e-06 +53 *21410:A2 *4844:339 0.000406794 +54 *21410:A2 *4854:16 0.0002646 +55 *21548:B2 *2828:25 3.71176e-05 +56 *21548:B2 *3175:87 4.78118e-05 +57 *21682:A2 *21682:A1 1.41853e-05 +58 *21682:A2 *1735:175 6.50586e-05 +59 *21772:A2 *21772:A1 1.82679e-05 +60 *21772:A2 *21808:A 0.000247443 +61 *21945:A2 *21945:B1 1.47046e-05 +62 *21945:A2 *21945:C1 0.000154145 +63 *21945:A2 *1773:67 0.000484995 +64 *21945:A2 *2750:18 0.000158451 +65 *21945:A2 *2828:25 8.98169e-05 +66 *21945:A2 *3058:8 1.35239e-05 +67 *21945:A2 *3175:87 0.000130501 +68 *1689:13 *2443:17 6.36477e-05 +69 *1689:13 *2466:16 1.17299e-05 +70 *1689:13 *2587:18 6.51527e-05 +71 *1689:28 *19946:C1 0.000113309 +72 *1689:28 *19947:C 0.000154434 +73 *1689:28 *21743:A1 9.44173e-05 +74 *1689:28 *1701:54 0.000125631 +75 *1689:28 *1711:16 1.66771e-05 +76 *1689:28 *1739:8 2.18679e-05 +77 *1689:28 *1749:8 8.66283e-05 +78 *1689:28 *1802:177 2.02035e-05 +79 *1689:28 *4921:14 2.6632e-05 +80 *1689:35 *1749:8 1.99382e-05 +81 *1689:47 *21927:B1 9.81522e-05 +82 *1689:47 *1711:65 0.000401667 +83 *1689:47 *1744:7 7.92757e-06 +84 *1689:47 *2036:55 1.05862e-05 +85 *1689:47 *2469:35 0 +86 *1689:47 *2964:35 0 +87 *1689:47 *4844:339 0.000924255 +88 *1689:51 *20685:B1 5.49916e-05 +89 *1689:51 *20688:A1 0.000153783 +90 *1689:51 *2036:55 0.000317307 +91 *1689:51 *2514:37 4.35274e-05 +92 *1689:51 *2746:17 1.9101e-05 +93 *1689:57 *6214:DIODE 6.08467e-05 +94 *1689:57 *21682:A1 0.000311235 +95 *1689:57 *21898:B2 0.000124818 +96 *1689:57 *1730:83 0.000210077 +97 *1689:57 *1755:110 0.000211464 +98 *1689:69 *20605:A 0.000222276 +99 *1689:69 *1756:67 0.000154145 +100 *1689:69 *2521:27 0.000624568 +101 *1689:71 *20489:A2 0.000125482 +102 *1689:71 *20608:A2 0.000107128 +103 *1689:71 *1731:107 0.000197545 +104 *1689:71 *2597:30 6.85395e-05 +105 *1689:71 *2608:32 7.5403e-05 +106 *1689:71 *2608:43 0.000121475 +107 *1689:71 *2612:77 0.000128153 +108 *1689:71 *2709:37 0.000112368 +109 *1689:71 *2993:48 0.000190806 +110 *1689:71 *3131:41 0.000244742 +111 *1689:71 *3388:14 0.00246695 +112 *1689:71 *5869:418 8.03699e-06 +113 *1689:71 *5869:421 2.2599e-05 +114 *1689:79 *22798:B1 0.000241747 +115 *1689:79 *2608:32 0.000686677 +116 *1689:79 *2784:42 0.000238811 +117 *1689:79 *2833:73 0.000698743 +118 *1689:88 *21825:A1 1.16089e-05 +119 *1689:88 *1740:131 0.00102605 +120 *1689:88 *2649:21 0.000270381 +121 *1689:88 *2785:102 0.000132698 +122 *1689:88 *3004:15 0 +123 *1689:88 *5854:67 0.000205006 +124 *1689:94 *1729:64 0.00153365 +125 *1689:94 *1732:35 7.65374e-05 +126 *1689:94 *1947:115 0.0012115 +127 *1689:101 *1947:102 0.000167717 +128 *1689:101 *2537:33 0.000667085 +129 *1689:101 *2537:35 0.000517793 +130 *1689:101 *2684:24 6.11074e-05 +131 *1689:101 *2771:8 3.77804e-05 +132 *1689:101 *4933:8 0.000153225 +133 *1689:101 *4940:6 5.72306e-05 +134 *1689:101 *5856:124 3.46002e-05 +135 *1689:102 *2537:30 0.000325262 +136 *1689:102 *2614:28 0.000642194 +137 *1689:102 *5856:124 0.00059967 +138 *1689:107 *20865:A2 0.000114086 +139 *1689:107 *22500:B1 0.000533713 +140 *1689:107 *2126:17 0.0001836 +141 *1689:107 *2126:41 4.97346e-05 +142 *1689:107 *2127:14 0.000588497 +143 *1689:107 *2127:21 0.000185393 +144 *1689:107 *2553:24 0.00141548 +145 *1689:107 *3131:125 0.000224314 +146 *1689:107 *3750:22 2.43671e-05 +147 *1689:135 *21236:D 5.4678e-05 +148 *1689:135 *21512:A1 0.000104754 +149 *1689:135 *21512:B2 0.000212015 +150 *1689:135 *1733:45 0.00380724 +151 *1689:135 *2288:24 0.00144937 +152 *1689:135 *2530:90 0.00168324 +153 *1689:135 *2564:33 0.000228037 +154 *1689:135 *2614:28 0.000154145 +155 *1689:135 *2896:72 0.000382542 +156 *1689:135 *3051:54 5.60804e-05 +157 *1689:135 *3106:169 0.000266316 +158 *1689:135 *3238:16 2.33356e-05 +159 *1689:135 *3327:8 9.32371e-05 +160 *1689:135 *3750:22 0.000266316 +161 *1689:168 *21808:A 1.9101e-05 +162 *1689:168 *21825:A1 1.34851e-05 +163 *1689:168 *1776:60 0.000236516 +164 *1689:168 *2860:20 0.00141597 +165 *1689:168 *3452:6 1.2869e-05 +166 *1689:168 *3533:79 0.00027744 +167 *1689:181 *21548:A1 5.33185e-05 +168 *1689:181 *21548:B1 7.51866e-05 +169 *1689:181 *21808:A 6.08467e-05 +170 *1689:181 *2605:13 0.000154145 +171 *19580:A *21410:A2 6.08467e-05 +172 *19580:B *1689:47 0.000300565 +173 *19817:B *1689:88 1.13978e-06 +174 *19947:A *1689:28 0.000117097 +175 *19947:B *1689:28 0.000202719 +176 *20015:A *1689:35 0.000517052 +177 *20885:A *1689:135 1.88384e-05 +178 *20981:B *1689:135 0.00240207 +179 *21144:A *21144:B 0.000164829 +180 *21303:B2 *21309:A2 0.000111802 +181 *21383:B2 *1689:35 0.000237557 +182 *21511:B2 *1689:135 9.95093e-05 +183 *21548:A2 *1689:181 0.000251885 +184 *21777:A2 *1689:168 8.28374e-06 +185 *22029:B2 *1689:135 0.00210042 +186 *24347:D *1689:94 0.000124145 +187 *460:63 *1689:28 0 +188 *476:45 *1689:47 0.00270813 +189 *476:46 *1689:47 0.00045371 +190 *514:28 *1689:57 0.000126688 +191 *1419:249 *1689:135 0.000546352 +192 *1419:260 *1689:107 4.36e-05 +193 *1427:13 *1689:28 0 +194 *1427:153 *1689:47 0.000253916 +195 *1427:190 *1689:47 0.000154145 +196 *1428:14 *1689:28 6.85164e-05 +197 *1428:24 *1689:28 3.31645e-05 +198 *1428:47 *1689:13 0.000840206 +199 *1448:56 *1689:47 7.88576e-05 +200 *1448:73 *1689:35 0.000152665 +201 *1448:79 *1689:35 0.000275343 +202 *1448:92 *1689:28 7.24909e-05 +203 *1448:92 *1689:35 0.000140757 +204 *1448:96 *1689:28 0.000133113 +205 *1449:27 *1689:13 0.000100477 +206 *1459:147 *1689:35 0.000190449 +207 *1461:78 *1689:35 0.000315995 +208 *1461:88 *1689:35 0.000117707 +209 *1461:109 *1689:28 0.000177292 +210 *1461:117 *1689:28 4.97938e-05 +211 *1461:195 *1689:35 0.000207273 +212 *1466:66 *1689:69 0.000668937 +213 *1467:22 *1689:28 0.000232922 +214 *1471:10 *1689:35 1.63138e-05 +215 *1472:12 *1689:28 2.02035e-05 +216 *1485:185 *1689:94 0.000362955 +217 *1496:16 *1689:13 0.000156955 +218 *1496:48 *21410:A2 0.000109262 +219 *1501:34 *1689:51 0.000193954 +220 *1501:70 *1689:71 0.000101646 +221 *1502:135 *1689:57 0.000708404 +222 *1502:155 *1689:57 0.000466137 +223 *1502:155 *1689:69 6.31218e-05 +224 *1502:155 *1689:71 4.28608e-06 +225 *1510:16 *1689:35 1.5714e-05 +226 *1510:30 *1689:13 1.20087e-05 +227 *1521:36 *1689:94 5.04734e-05 +228 *1537:12 *1689:57 0.00150969 +229 *1537:171 *1689:168 0.000109247 +230 *1544:43 *1689:35 0.000124392 +231 *1546:32 *1689:71 0.000297365 +232 *1569:9 *21144:B 3.17782e-05 +233 *1573:8 *1689:47 0.000422284 +234 *1573:8 *1689:51 0.000455532 +235 *1582:43 *21144:B 9.75356e-05 +236 *1594:30 *1689:107 2.40304e-05 +237 *1598:11 *1689:69 2.8732e-05 +238 *1598:11 *1689:71 0.000825974 +239 *1603:48 *1689:88 0.000275088 +240 *1639:20 *1689:47 0.000386623 +241 *1641:10 *1689:47 2.09059e-05 +242 *1645:118 *1689:135 0.000255816 +243 *1655:111 *1689:135 0.00138393 +244 *1655:135 *1689:135 0.000620868 +245 *1658:43 *21945:A2 0.000114439 +246 *1658:53 *21772:A2 0.00043404 +247 *1658:53 *21945:A2 0.000441147 +248 *1658:53 *1689:168 6.31489e-05 +249 *1658:53 *1689:181 9.97706e-05 +250 *1658:57 *1689:88 0.000100319 +251 *1658:60 *1689:101 0.000228232 +252 *1658:68 *1689:101 3.72812e-05 +253 *1688:34 *21144:B 0.00096864 +*RES +1 *19932:X *1689:13 42.2508 +2 *1689:13 *1689:28 41.0545 +3 *1689:28 *19937:B2 9.24915 +4 *1689:13 *1689:35 30.4166 +5 *1689:35 *1689:47 44.0167 +6 *1689:47 *1689:51 29.7937 +7 *1689:51 *1689:57 47.6573 +8 *1689:57 *1689:69 32.2914 +9 *1689:69 *1689:71 66.6846 +10 *1689:71 *1689:79 23.9213 +11 *1689:79 *1689:88 29.563 +12 *1689:88 *1689:94 42.7049 +13 *1689:94 *1689:101 27.9984 +14 *1689:101 *1689:102 24.0167 +15 *1689:102 *1689:107 42.7887 +16 *1689:107 *21144:B 48.6287 +17 *1689:102 *1689:135 43.4303 +18 *1689:135 *21225:B2 29.9778 +19 *1689:135 *21309:A2 16.7173 +20 *1689:79 *1689:168 14.7445 +21 *1689:168 *21772:A2 14.4094 +22 *1689:168 *1689:181 10.4077 +23 *1689:181 *21945:A2 27.1179 +24 *1689:181 *21548:B2 14.7506 +25 *1689:51 *21682:A2 10.5271 +26 *1689:35 *21410:A2 29.153 +*END + +*D_NET *1690 0.118496 +*CONN +*I *19936:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22545:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22239:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19933:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *19936:A1 0.000139994 +2 *22545:A1 0.000309731 +3 *22239:A1 0.000258352 +4 *19933:Y 0.00139027 +5 *1690:133 0.0010764 +6 *1690:132 0.000924607 +7 *1690:124 0.00141467 +8 *1690:120 0.00159574 +9 *1690:108 0.00285098 +10 *1690:95 0.00421561 +11 *1690:86 0.00265739 +12 *1690:80 0.00202972 +13 *1690:72 0.0022586 +14 *1690:70 0.000980925 +15 *1690:68 0.00147349 +16 *1690:67 0.00219813 +17 *1690:59 0.00217474 +18 *1690:56 0.0032735 +19 *1690:49 0.00242565 +20 *1690:44 0.000610335 +21 *1690:43 0.000587106 +22 *1690:30 0.000719764 +23 *1690:27 0.00275611 +24 *1690:26 0.00424207 +25 *1690:17 0.00293362 +26 *19936:A1 *2951:28 0 +27 *22239:A1 *22269:B1 0.000183355 +28 *22239:A1 *3206:207 0.000129105 +29 *22239:A1 *3739:8 2.29175e-05 +30 *22545:A1 *2093:181 7.79159e-05 +31 *22545:A1 *3131:97 1.37669e-05 +32 *22545:A1 *3493:78 4.66492e-05 +33 *22545:A1 *3493:88 6.36477e-05 +34 *22545:A1 *3494:25 1.5613e-05 +35 *22545:A1 *5904:70 0.000671592 +36 *1690:17 *21524:B1 0.000298964 +37 *1690:17 *24898:RESET_B 4.68145e-05 +38 *1690:17 *24899:RESET_B 0.000276638 +39 *1690:17 *2427:14 1.66626e-05 +40 *1690:17 *2641:19 0 +41 *1690:17 *5170:10 2.16355e-05 +42 *1690:17 *5854:466 4.43727e-05 +43 *1690:17 *5857:338 7.13655e-06 +44 *1690:26 *21038:B1 0.000372893 +45 *1690:26 *1746:8 2.67416e-05 +46 *1690:26 *2259:15 0.000584831 +47 *1690:26 *4918:16 0.000104368 +48 *1690:26 *5857:338 0.000705878 +49 *1690:27 *1749:26 0.00207052 +50 *1690:27 *5726:21 0.00154044 +51 *1690:27 *5726:29 0.000182791 +52 *1690:43 *20582:B1 0.000846039 +53 *1690:43 *20597:A 0.000153932 +54 *1690:43 *20597:B 0.000206436 +55 *1690:43 *20602:A1 2.15184e-05 +56 *1690:43 *20602:B1 4.0744e-05 +57 *1690:43 *21817:A 2.15348e-05 +58 *1690:43 *2009:41 0.000459901 +59 *1690:43 *5726:17 4.35203e-05 +60 *1690:43 *5726:21 0.00214804 +61 *1690:43 *5854:305 1.94584e-05 +62 *1690:49 *5726:17 0.00327832 +63 *1690:49 *5854:173 0.000929504 +64 *1690:49 *5854:305 0.00241089 +65 *1690:56 *22827:A1 0.000222669 +66 *1690:56 *1697:28 0.000563964 +67 *1690:56 *1756:24 0.000485061 +68 *1690:56 *1783:82 3.30814e-05 +69 *1690:56 *3014:56 0.000285517 +70 *1690:56 *5439:21 7.50872e-05 +71 *1690:56 *5854:173 0.000123247 +72 *1690:59 *20152:C 8.72835e-05 +73 *1690:59 *22827:A1 6.50727e-05 +74 *1690:59 *22827:A2 6.50954e-05 +75 *1690:59 *24025:A1 0.000107496 +76 *1690:59 *5473:104 0.000264572 +77 *1690:59 *5485:21 0.000113197 +78 *1690:59 *5916:35 0.000490677 +79 *1690:67 *1734:13 1.00981e-05 +80 *1690:67 *1734:17 0.000515054 +81 *1690:67 *3103:8 2.41483e-05 +82 *1690:67 *5473:86 0.00158283 +83 *1690:67 *5916:35 0.000467985 +84 *1690:68 *2955:30 0.00501122 +85 *1690:68 *3060:28 0.00856164 +86 *1690:68 *5453:106 0.00341905 +87 *1690:72 *3868:188 0.00342359 +88 *1690:72 *3903:20 1.3813e-05 +89 *1690:72 *5453:106 0.000164545 +90 *1690:72 *5454:23 0.000233793 +91 *1690:72 *5454:26 0.00205663 +92 *1690:72 *5454:28 0.000304134 +93 *1690:72 *5456:50 1.87269e-05 +94 *1690:72 *5593:20 0.000171508 +95 *1690:80 *23919:A 2.16355e-05 +96 *1690:80 *4024:100 0.00014616 +97 *1690:80 *4594:8 0.000146609 +98 *1690:80 *4618:20 0.000143068 +99 *1690:80 *5792:7 0.000255962 +100 *1690:86 *23521:B 6.08467e-05 +101 *1690:86 *23851:D 0.000570453 +102 *1690:86 *3829:109 1.65872e-05 +103 *1690:86 *3895:8 0.000579147 +104 *1690:86 *3895:26 6.11872e-05 +105 *1690:86 *4428:8 8.3357e-05 +106 *1690:95 *23099:A 9.06232e-05 +107 *1690:95 *23113:A 0.00067588 +108 *1690:95 *23489:A 3.96379e-05 +109 *1690:95 *23489:C 0.000163557 +110 *1690:95 *23489:D 0.000156955 +111 *1690:95 *23523:A1 6.1333e-05 +112 *1690:95 *23557:B1 0.0018568 +113 *1690:95 *23696:A 0.000169041 +114 *1690:95 *4488:19 0.000404547 +115 *1690:95 *4601:10 6.08467e-05 +116 *1690:95 *4601:35 0.000113968 +117 *1690:95 *4801:17 0.000158371 +118 *1690:108 *20561:A 0.000129734 +119 *1690:108 *20564:A1 4.66485e-05 +120 *1690:108 *20564:A2 6.08467e-05 +121 *1690:108 *20564:B1 2.65973e-05 +122 *1690:108 *24552:CLK 0 +123 *1690:108 *1733:26 0 +124 *1690:108 *2785:102 9.24241e-05 +125 *1690:108 *2828:13 0.00169713 +126 *1690:108 *2863:8 0.000589567 +127 *1690:108 *5904:110 0 +128 *1690:120 *20889:A1 4.37524e-06 +129 *1690:120 *20889:A2 1.13018e-05 +130 *1690:120 *21594:A 0.000135306 +131 *1690:120 *24552:CLK 2.0466e-05 +132 *1690:120 *1733:26 0.000112307 +133 *1690:120 *2863:8 0 +134 *1690:124 *22459:B1 0.000103002 +135 *1690:124 *22772:B1 3.78945e-05 +136 *1690:124 *1755:77 0.00263868 +137 *1690:124 *1809:19 0.00320382 +138 *1690:124 *3162:106 0.000333406 +139 *1690:132 *22488:A2 4.04556e-05 +140 *1690:132 *22741:A2 0.000107496 +141 *1690:132 *2783:56 0.000148054 +142 *1690:132 *3415:22 0.000142574 +143 *1690:132 *3461:15 3.09449e-05 +144 *1690:132 *5904:77 0.000531645 +145 *1690:133 *22422:A2 6.08467e-05 +146 *1690:133 *2117:200 0.00152563 +147 *1690:133 *5904:70 0.000111062 +148 *19820:A1 *1690:132 0.00118904 +149 *22545:B1 *22545:A1 6.08467e-05 +150 *24025:A0 *1690:59 0.000258128 +151 *25003:A *1690:72 4.51176e-05 +152 *460:63 *1690:26 0.000492487 +153 *516:26 *1690:26 0.000291002 +154 *1455:74 *1690:68 1.2276e-05 +155 *1455:74 *1690:72 0.000996752 +156 *1472:14 *19936:A1 5.36255e-05 +157 *1472:14 *1690:30 1.48503e-05 +158 *1516:17 *19936:A1 3.31882e-05 +159 *1521:55 *1690:95 0.000264834 +160 *1521:55 *1690:108 5.481e-05 +161 *1543:40 *22239:A1 0.000123496 +162 *1547:26 *1690:124 0.000150315 +163 *1554:42 *1690:133 0.00165911 +164 *1569:54 *1690:120 5.27656e-05 +165 *1570:106 *1690:132 0.000161262 +166 *1587:48 *1690:68 8.82029e-05 +167 *1595:101 *22545:A1 0.000135328 +168 *1595:101 *1690:133 0.000298318 +169 *1602:37 *22239:A1 2.84832e-05 +170 *1602:45 *22239:A1 4.49912e-05 +171 *1603:35 *1690:120 0.000183887 +172 *1671:30 *1690:26 0.00126089 +*RES +1 *19933:Y *1690:17 41.4721 +2 *1690:17 *1690:26 30.8401 +3 *1690:26 *1690:27 45.6463 +4 *1690:27 *1690:30 5.50149 +5 *1690:30 *1690:43 41.4793 +6 *1690:43 *1690:44 81.1229 +7 *1690:44 *1690:49 47.1551 +8 *1690:49 *1690:56 42.053 +9 *1690:56 *1690:59 35.1329 +10 *1690:59 *1690:67 32.0373 +11 *1690:67 *1690:68 141.076 +12 *1690:68 *1690:70 1.29461 +13 *1690:70 *1690:72 68.5533 +14 *1690:72 *1690:80 41.2885 +15 *1690:80 *1690:86 29.7039 +16 *1690:86 *1690:95 49.625 +17 *1690:95 *1690:108 48.3389 +18 *1690:108 *1690:120 18.814 +19 *1690:120 *1690:124 48.6423 +20 *1690:124 *1690:132 30.6116 +21 *1690:132 *1690:133 23.4621 +22 *1690:133 *22239:A1 25.0642 +23 *1690:133 *22545:A1 20.0036 +24 *1690:30 *19936:A1 17.2421 +*END + +*D_NET *1691 0.0604283 +*CONN +*I *20510:B I *D sky130_fd_sc_hd__or2_1 +*I *21364:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21881:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21194:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21543:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21321:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21737:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21939:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19936:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19934:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20510:B 8.94956e-05 +2 *21364:B2 1.4442e-05 +3 *21881:B2 9.53199e-05 +4 *21194:A2 0.000115804 +5 *21543:B2 0.000583685 +6 *21321:B2 0.000128298 +7 *21737:B2 0.000190373 +8 *21939:B2 0.000161828 +9 *19936:A2 0.000680548 +10 *19934:X 0 +11 *1691:145 0.000737981 +12 *1691:105 0.00141091 +13 *1691:87 0.0023885 +14 *1691:80 0.00301637 +15 *1691:72 0.00281308 +16 *1691:71 0.00220218 +17 *1691:63 0.00217111 +18 *1691:44 0.00244983 +19 *1691:17 0.00195217 +20 *1691:7 0.00254238 +21 *1691:4 0.00174588 +22 *19936:A2 *2003:48 0 +23 *19936:A2 *3003:12 5.85466e-05 +24 *19936:A2 *4921:14 0.00013988 +25 *19936:A2 *5898:25 0.00056387 +26 *20510:B *20510:A 6.50727e-05 +27 *20510:B *21364:A1 3.14978e-05 +28 *20510:B *2270:133 1.80887e-05 +29 *21194:A2 *1730:240 0.000190903 +30 *21194:A2 *3502:124 7.92757e-06 +31 *21194:A2 *3519:47 0.000111802 +32 *21321:B2 *21321:A1 8.67924e-06 +33 *21321:B2 *21321:C1 7.83498e-05 +34 *21321:B2 *2381:38 0.000100509 +35 *21321:B2 *2403:17 6.1578e-06 +36 *21321:B2 *3485:115 0.000207883 +37 *21364:B2 *21364:A1 2.53145e-06 +38 *21543:B2 *21543:A2 2.16355e-05 +39 *21543:B2 *21543:B1 0.000207266 +40 *21543:B2 *22332:A1 2.57847e-05 +41 *21543:B2 *22332:B1 0.000107496 +42 *21543:B2 *1730:240 0.000726854 +43 *21543:B2 *2286:10 0.000128977 +44 *21543:B2 *2595:25 0.000116111 +45 *21543:B2 *2596:27 0.000154145 +46 *21543:B2 *2600:11 1.96574e-05 +47 *21543:B2 *3471:24 8.51503e-05 +48 *21543:B2 *3502:124 2.35405e-05 +49 *21543:B2 *3519:47 0.000581095 +50 *21543:B2 *3523:276 5.9781e-05 +51 *21737:B2 *21737:B1 9.89336e-05 +52 *21737:B2 *4922:74 6.01329e-05 +53 *21881:B2 *22452:B1 0.000107496 +54 *21881:B2 *2693:19 2.54369e-05 +55 *21881:B2 *2693:25 2.16355e-05 +56 *21881:B2 *5860:511 4.04861e-05 +57 *21939:B2 *21939:A1 6.56775e-05 +58 *21939:B2 *21939:A2 0.000129385 +59 *21939:B2 *21939:B1 8.16614e-06 +60 *21939:B2 *21946:C 5.481e-05 +61 *21939:B2 *1714:42 7.41583e-05 +62 *21939:B2 *1734:27 5.51483e-06 +63 *21939:B2 *2948:27 0.000125498 +64 *1691:7 *2274:22 0.00011818 +65 *1691:17 *20585:B1 5.30072e-05 +66 *1691:17 *21946:B 0.000169745 +67 *1691:17 *1735:67 0.00010901 +68 *1691:17 *1740:14 0.000160693 +69 *1691:17 *2003:48 0 +70 *1691:17 *3003:12 0.000439594 +71 *1691:44 *21737:C1 8.63559e-06 +72 *1691:44 *21743:C1 0 +73 *1691:44 *1711:16 1.5714e-05 +74 *1691:44 *1784:13 6.05959e-05 +75 *1691:44 *1792:76 0 +76 *1691:44 *1802:177 1.9101e-05 +77 *1691:44 *2274:22 0.000710289 +78 *1691:44 *2744:19 0 +79 *1691:63 *21737:B1 2.57465e-06 +80 *1691:63 *2270:7 0.000294093 +81 *1691:63 *2580:17 0.000436415 +82 *1691:63 *4922:74 0.000228336 +83 *1691:71 *20204:A1 3.49122e-05 +84 *1691:71 *22039:A 3.31882e-05 +85 *1691:71 *1882:22 2.43314e-05 +86 *1691:71 *1882:31 6.08467e-05 +87 *1691:71 *1883:5 0.000107496 +88 *1691:71 *2875:12 3.25539e-05 +89 *1691:71 *3053:6 0.00170539 +90 *1691:71 *4918:10 3.18543e-05 +91 *1691:71 *4918:49 1.21028e-05 +92 *1691:71 *5860:498 0.000214293 +93 *1691:72 *20202:A1 3.68122e-05 +94 *1691:72 *20202:B2 0.000216143 +95 *1691:72 *21849:A 9.52959e-05 +96 *1691:72 *1882:18 0.00027097 +97 *1691:72 *1882:22 0.000630245 +98 *1691:72 *1883:16 0.00064054 +99 *1691:72 *1883:28 0.000342477 +100 *1691:72 *2865:11 0.000209402 +101 *1691:72 *3053:6 1.44974e-05 +102 *1691:72 *5860:504 0.000137102 +103 *1691:72 *5860:511 0.000753325 +104 *1691:80 *21475:A1_N 0.00032901 +105 *1691:80 *21881:A1 7.92757e-06 +106 *1691:80 *21900:B 0.000175017 +107 *1691:80 *22452:B1 0.000107496 +108 *1691:80 *1790:43 0.00108936 +109 *1691:80 *2611:16 0.000209769 +110 *1691:80 *2638:37 0.000210527 +111 *1691:80 *2693:19 4.2273e-06 +112 *1691:80 *2909:17 0.000122149 +113 *1691:80 *2916:20 0.000518844 +114 *1691:80 *3142:46 6.84784e-06 +115 *1691:80 *3498:24 4.20552e-05 +116 *1691:87 *21475:A1_N 6.38982e-06 +117 *1691:87 *21981:A2 0.000253014 +118 *1691:87 *22320:B1 6.14003e-06 +119 *1691:87 *22320:B2 0.000474406 +120 *1691:87 *22321:C1 1.05601e-05 +121 *1691:87 *22638:B2 2.28363e-05 +122 *1691:87 *22746:B2 2.42732e-05 +123 *1691:87 *2281:13 0.000104828 +124 *1691:87 *2511:30 5.7269e-06 +125 *1691:87 *3117:37 0.000543962 +126 *1691:87 *3117:48 1.79334e-05 +127 *1691:87 *3142:46 5.60364e-06 +128 *1691:87 *3166:36 0.000261215 +129 *1691:105 *21213:A 9.03922e-05 +130 *1691:105 *21321:C1 9.21233e-05 +131 *1691:105 *2381:38 9.74391e-05 +132 *1691:105 *2403:17 1.47967e-05 +133 *1691:105 *2403:18 1.30964e-05 +134 *1691:105 *3124:24 1.23455e-05 +135 *1691:105 *3124:127 0.000533713 +136 *1691:105 *3139:122 0 +137 *1691:105 *3513:26 0.00026727 +138 *1691:105 *3519:30 2.60935e-05 +139 *1691:105 *3523:16 0.000105906 +140 *1691:105 *4808:58 0.000210556 +141 *1691:145 *2270:133 4.52871e-05 +142 *1691:145 *2424:7 0.000560798 +143 *19577:A *1691:17 4.60375e-07 +144 *19579:C *1691:17 0.0003167 +145 *19615:A2 *1691:145 0.000381912 +146 *19943:B *19936:A2 0.000115924 +147 *19943:B *1691:17 6.79599e-05 +148 *20197:B *1691:72 0.000158504 +149 *21582:B2 *1691:80 3.88655e-06 +150 *21582:B2 *1691:87 4.82351e-05 +151 *21881:A2 *21881:B2 1.41976e-05 +152 *21881:A2 *1691:80 0.000110297 +153 *24518:D *19936:A2 4.60197e-05 +154 *24771:D *1691:71 1.00981e-05 +155 *24773:D *1691:72 8.43942e-05 +156 *464:46 *1691:17 1.66998e-05 +157 *1428:51 *1691:63 0.00181844 +158 *1428:51 *1691:145 0.00228963 +159 *1428:85 *1691:145 0.000587649 +160 *1437:37 *1691:17 0 +161 *1442:13 *1691:17 5.20315e-05 +162 *1442:30 *1691:17 0.000105599 +163 *1443:9 *1691:17 1.1246e-05 +164 *1448:56 *1691:17 0.000801617 +165 *1448:180 *1691:17 0.000249145 +166 *1450:20 *1691:44 1.10565e-05 +167 *1450:48 *1691:44 7.10787e-05 +168 *1450:79 *1691:63 0.000132837 +169 *1450:79 *1691:145 0.00134697 +170 *1452:18 *1691:145 0.00020502 +171 *1453:7 *1691:145 4.73434e-05 +172 *1461:109 *1691:44 0 +173 *1461:117 *1691:44 0.000582116 +174 *1471:68 *1691:44 0.000528571 +175 *1485:230 *1691:17 0.0011926 +176 *1488:8 *21737:B2 1.85313e-05 +177 *1492:35 *1691:63 0.000223203 +178 *1497:161 *1691:71 0.000875355 +179 *1515:133 *1691:17 4.14516e-05 +180 *1552:35 *1691:72 2.22492e-05 +181 *1552:37 *21881:B2 4.42033e-05 +182 *1552:37 *1691:72 6.09999e-05 +183 *1552:65 *1691:87 0.000396424 +184 *1669:156 *1691:80 8.34211e-06 +185 *1669:181 *21321:B2 8.53519e-05 +186 *1671:21 *1691:63 0.00122122 +187 *1680:86 *1691:87 0.000806337 +*RES +1 *19934:X *1691:4 9.24915 +2 *1691:4 *1691:7 5.778 +3 *1691:7 *19936:A2 28.0794 +4 *1691:7 *1691:17 54.1538 +5 *1691:17 *21939:B2 19.6328 +6 *1691:4 *1691:44 22.6589 +7 *1691:44 *21737:B2 17.7795 +8 *1691:44 *1691:63 34.35 +9 *1691:63 *1691:71 48.9997 +10 *1691:71 *1691:72 42.1115 +11 *1691:72 *1691:80 48.2842 +12 *1691:80 *1691:87 22.115 +13 *1691:87 *21321:B2 18.7547 +14 *1691:87 *1691:105 24.884 +15 *1691:105 *21543:B2 38.4419 +16 *1691:105 *21194:A2 12.7697 +17 *1691:72 *21881:B2 17.162 +18 *1691:63 *1691:145 36.6038 +19 *1691:145 *21364:B2 9.82786 +20 *1691:145 *20510:B 11.6364 +*END + +*D_NET *1692 0.0234662 +*CONN +*I *19936:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19935:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19936:B1 0.000731376 +2 *19935:Y 8.58608e-05 +3 *1692:19 0.00439334 +4 *1692:8 0.0053158 +5 *1692:7 0.0017397 +6 *19936:B1 *21523:B1 6.08467e-05 +7 *19936:B1 *24677:RESET_B 6.64392e-05 +8 *19936:B1 *1756:105 0.00132405 +9 *19936:B1 *1792:56 2.65831e-05 +10 *19936:B1 *1792:76 0.000117308 +11 *19936:B1 *1947:228 0.000271044 +12 *19936:B1 *2732:17 0.000406808 +13 *19936:B1 *5898:25 0.000195654 +14 *19936:B1 *5917:481 0.000201023 +15 *1692:7 *19935:A 7.34948e-06 +16 *1692:8 *24878:SET_B 5.41973e-06 +17 *1692:8 *24880:CLK 0.000571193 +18 *1692:8 *1845:106 0.000173312 +19 *1692:8 *1845:113 8.82455e-05 +20 *1692:8 *4878:40 0 +21 *1692:8 *4883:16 0.000546532 +22 *1692:8 *4883:18 0.00035359 +23 *1692:8 *4883:41 0.000188012 +24 *1692:8 *4883:50 0.000372767 +25 *1692:8 *4883:62 0.000364268 +26 *1692:8 *5814:38 0.00277155 +27 *1692:8 *5917:263 0.000158857 +28 *1692:8 *5917:269 7.12459e-05 +29 *1692:19 *20383:A1 0 +30 *1692:19 *24677:RESET_B 3.28783e-05 +31 *1692:19 *24677:CLK 0.000106734 +32 *1692:19 *1764:113 1.19751e-05 +33 *1692:19 *2259:15 0.000184254 +34 *1692:19 *2627:10 0.000271152 +35 *1692:19 *2878:25 0.000105644 +36 *1692:19 *5206:10 4.69808e-06 +37 *1692:19 *5481:16 0.00148467 +38 *1692:19 *5898:25 3.38355e-06 +39 *1692:19 *5898:34 4.03684e-05 +40 *21523:B2 *19936:B1 0.000109614 +41 *24677:D *1692:19 0.000161172 +42 *1439:318 *1692:19 7.26408e-05 +43 *1469:20 *1692:8 0 +44 *1470:40 *1692:8 6.19019e-05 +45 *1497:27 *19936:B1 0.000150255 +46 *1516:17 *19936:B1 3.75603e-05 +47 *1587:38 *1692:8 1.9101e-05 +*RES +1 *19935:Y *1692:7 15.0271 +2 *1692:7 *1692:8 69.3105 +3 *1692:8 *1692:19 46.9432 +4 *1692:19 *19936:B1 37.7268 +*END + +*D_NET *1693 0.000346189 +*CONN +*I *19937:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19936:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19937:C1 7.53936e-05 +2 *19936:X 7.53936e-05 +3 *19937:C1 *1739:8 5.58966e-05 +4 *19937:C1 *2947:12 0.000139505 +*RES +1 *19936:X *19937:C1 30.1608 +*END + +*D_NET *1694 0.00390797 +*CONN +*I *19947:C I *D sky130_fd_sc_hd__and4_1 +*I *19937:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19947:C 0.00160128 +2 *19937:X 0.00160128 +3 *19947:C *21743:A1 5.17349e-05 +4 *19947:C *2758:35 2.74751e-05 +5 *19947:B *19947:C 0.000441895 +6 *1461:159 *19947:C 2.98726e-05 +7 *1689:28 *19947:C 0.000154434 +*RES +1 *19937:X *19947:C 41.9604 +*END + +*D_NET *1695 0.0338579 +*CONN +*I *19946:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19938:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19946:A1 0 +2 *19938:Y 0.00130743 +3 *1695:42 0.002536 +4 *1695:31 0.002847 +5 *1695:26 0.0017735 +6 *1695:12 0.0037702 +7 *1695:11 0.00361513 +8 *1695:11 *20967:A1 0 +9 *1695:11 *21002:A1 5.62568e-05 +10 *1695:11 *2164:109 0.000199117 +11 *1695:11 *2962:8 0.000579419 +12 *1695:11 *5794:8 0.000221409 +13 *1695:11 *5794:17 6.08467e-05 +14 *1695:11 *5794:35 2.86829e-05 +15 *1695:11 *5871:677 0 +16 *1695:12 *21003:B2 4.41664e-05 +17 *1695:12 *21006:B2 0.000146645 +18 *1695:12 *24003:A1 0.000186814 +19 *1695:12 *24009:A1 3.68867e-05 +20 *1695:12 *24264:RESET_B 0 +21 *1695:12 *2278:23 0 +22 *1695:12 *2428:53 6.55607e-05 +23 *1695:12 *2559:8 0.000152944 +24 *1695:12 *2720:8 0 +25 *1695:12 *6027:70 0 +26 *1695:26 *24938:A 4.3116e-06 +27 *1695:26 *1701:20 0.000258114 +28 *1695:26 *2063:17 0.000105242 +29 *1695:26 *2428:53 8.35883e-05 +30 *1695:26 *2428:63 0.000663089 +31 *1695:26 *2439:24 0.000115847 +32 *1695:26 *2759:27 0.000459901 +33 *1695:26 *3093:12 1.44467e-05 +34 *1695:31 *24936:A 0.000421378 +35 *1695:31 *5898:21 0.00171863 +36 *1695:42 *20912:B1 0 +37 *1695:42 *21524:B1 0 +38 *1695:42 *21743:C1 0.000269833 +39 *1695:42 *1696:10 8.62625e-06 +40 *1695:42 *1696:19 2.01503e-05 +41 *1695:42 *1749:26 0.000191907 +42 *1695:42 *1754:10 2.60879e-06 +43 *1695:42 *1792:76 0.00016439 +44 *1695:42 *2299:8 1.40158e-05 +45 *1695:42 *2641:19 0.000804154 +46 *1695:42 *2734:24 6.23101e-05 +47 *1695:42 *2744:19 4.58798e-05 +48 *1695:42 *2753:67 0.000358106 +49 *1695:42 *2981:16 4.23622e-05 +50 *1695:42 *5898:21 0.00022578 +51 *19581:B *1695:12 0.000383166 +52 *20967:A2 *1695:11 0 +53 *23931:B2 *1695:26 1.00981e-05 +54 *23949:A0 *1695:31 0.000629893 +55 *23969:A0 *1695:12 3.49122e-05 +56 *439:104 *1695:12 0 +57 *439:106 *1695:12 0 +58 *439:132 *1695:11 0.000404038 +59 *460:63 *1695:42 0.000207261 +60 *476:61 *1695:42 0.00164439 +61 *476:63 *1695:42 6.6995e-05 +62 *505:22 *1695:12 0.00186894 +63 *505:22 *1695:26 8.44197e-05 +64 *527:80 *1695:26 0.000148261 +65 *541:57 *1695:31 1.67988e-05 +66 *541:57 *1695:42 0.00030281 +67 *541:63 *1695:42 0.00196096 +68 *545:37 *1695:26 0.000116986 +69 *1419:8 *1695:12 0 +70 *1428:24 *1695:42 9.18353e-05 +71 *1428:94 *1695:12 0.00220348 +*RES +1 *19938:Y *1695:11 49.6931 +2 *1695:11 *1695:12 77.6155 +3 *1695:12 *1695:26 48.7713 +4 *1695:26 *1695:31 24.104 +5 *1695:31 *1695:42 47.1092 +6 *1695:42 *19946:A1 13.7491 +*END + +*D_NET *1696 0.0385814 +*CONN +*I *19946:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21364:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21742:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21224:B2 I *D sky130_fd_sc_hd__o22a_4 +*I *21326:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21953:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21530:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21844:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19939:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *19946:A2 1.05792e-05 +2 *21364:A2 0.000356602 +3 *21742:B2 0.000352681 +4 *21224:B2 3.92417e-05 +5 *21326:A2 0.000517669 +6 *21953:A2 0 +7 *21530:A2 0.000569725 +8 *21844:B2 1.80994e-05 +9 *19939:X 0.000439657 +10 *1696:96 0.00130842 +11 *1696:72 0.00179069 +12 *1696:70 0.00134532 +13 *1696:68 0.000905562 +14 *1696:67 0.00170536 +15 *1696:62 0.00268244 +16 *1696:45 0.00253359 +17 *1696:31 0.00119067 +18 *1696:19 0.0013308 +19 *1696:10 0.00130535 +20 *19946:A2 *19946:B2 3.85049e-05 +21 *19946:A2 *2732:17 1.44925e-05 +22 *21224:B2 *2426:71 1.41976e-05 +23 *21224:B2 *2426:73 5.47093e-05 +24 *21224:B2 *3177:57 0.000162583 +25 *21326:A2 *21205:A1 0.000180499 +26 *21326:A2 *21326:A1 1.41976e-05 +27 *21326:A2 *21326:B1 0.000215194 +28 *21326:A2 *2385:10 9.75356e-05 +29 *21326:A2 *2560:16 6.50727e-05 +30 *21326:A2 *4843:545 0.000102632 +31 *21364:A2 *20510:A 1.3023e-05 +32 *21364:A2 *20511:A 1.85409e-05 +33 *21364:A2 *21364:A1 1.09551e-05 +34 *21364:A2 *1884:282 0.000349945 +35 *21364:A2 *2759:27 6.22868e-05 +36 *21364:A2 *4844:356 0.000501061 +37 *21364:A2 *4865:6 0 +38 *21530:A2 *1746:8 0.000765491 +39 *21530:A2 *2586:23 0 +40 *21530:A2 *2675:28 2.33103e-06 +41 *21530:A2 *3014:7 6.92705e-05 +42 *21742:B2 *21742:A1 0.000538127 +43 *21742:B2 *2759:27 9.43441e-05 +44 *21844:B2 *21844:B1 9.95922e-06 +45 *1696:10 *19946:B2 0.000120183 +46 *1696:10 *21722:A 9.02099e-06 +47 *1696:10 *1749:8 0.00012051 +48 *1696:10 *1792:76 2.03363e-06 +49 *1696:19 *19998:B2 6.90875e-05 +50 *1696:19 *21844:B1 2.16355e-05 +51 *1696:19 *1749:8 7.0079e-05 +52 *1696:19 *1754:10 0 +53 *1696:19 *2966:23 0.000354202 +54 *1696:31 *21844:B1 3.31745e-05 +55 *1696:31 *21884:A 6.50586e-05 +56 *1696:31 *21953:B2 0.000360551 +57 *1696:31 *1731:32 0.000586932 +58 *1696:31 *2900:10 0.000823562 +59 *1696:31 *2966:23 7.92757e-06 +60 *1696:31 *2966:27 0.000129382 +61 *1696:45 *21953:A1 1.64789e-05 +62 *1696:45 *21953:B1 0.000216271 +63 *1696:45 *21953:B2 3.99086e-06 +64 *1696:45 *21953:C1 3.18992e-05 +65 *1696:45 *1731:32 0.000492353 +66 *1696:45 *2505:16 1.41976e-05 +67 *1696:45 *2900:10 0.000158371 +68 *1696:62 *6105:DIODE 6.23875e-05 +69 *1696:62 *20235:A1 1.5714e-05 +70 *1696:62 *21953:A1 0.000200719 +71 *1696:62 *21953:B1 6.86622e-06 +72 *1696:62 *21953:B2 6.41655e-05 +73 *1696:62 *21955:A 0.000701599 +74 *1696:62 *24751:CLK 1.9101e-05 +75 *1696:62 *1749:47 0.000764624 +76 *1696:62 *2181:5 0.000311249 +77 *1696:62 *2181:12 0.000357911 +78 *1696:62 *2410:8 5.01835e-05 +79 *1696:62 *2505:16 4.61168e-06 +80 *1696:62 *3547:26 0.000770046 +81 *1696:67 *2900:37 0.000446985 +82 *1696:68 *20227:A 4.7918e-05 +83 *1696:68 *1884:282 0.000614948 +84 *1696:68 *2388:8 0.00015958 +85 *1696:68 *2962:33 0.00113681 +86 *1696:68 *4844:356 7.30002e-05 +87 *1696:72 *2388:8 0.00304356 +88 *1696:72 *2962:33 0.000150581 +89 *1696:72 *2980:6 0 +90 *1696:72 *4844:382 0.00137979 +91 *1696:72 *4915:10 0.000329249 +92 *1696:96 *21742:A1 2.86829e-05 +93 *1696:96 *1884:282 0.000523171 +94 *1696:96 *4844:356 0.000371339 +95 *1696:96 *5695:8 0.000157487 +96 *19939:A *1696:10 2.16355e-05 +97 *19939:B *1696:10 2.95185e-05 +98 *533:8 *1696:62 5.36085e-05 +99 *1428:24 *1696:19 7.92224e-05 +100 *1467:30 *1696:19 1.41492e-05 +101 *1467:32 *1696:19 0.000260633 +102 *1467:36 *1696:19 0.000119049 +103 *1488:8 *21530:A2 4.44554e-05 +104 *1506:46 *1696:19 0.000500855 +105 *1637:25 *21530:A2 0.000132871 +106 *1695:42 *1696:10 8.62625e-06 +107 *1695:42 *1696:19 2.01503e-05 +*RES +1 *19939:X *1696:10 23.4083 +2 *1696:10 *1696:19 25.9431 +3 *1696:19 *21844:B2 9.82786 +4 *1696:19 *1696:31 16.7104 +5 *1696:31 *21530:A2 34.0926 +6 *1696:31 *1696:45 7.40275 +7 *1696:45 *21953:A2 9.24915 +8 *1696:45 *1696:62 48.7131 +9 *1696:62 *1696:67 14.1602 +10 *1696:67 *1696:68 25.9166 +11 *1696:68 *1696:70 1.29461 +12 *1696:70 *1696:72 55.3995 +13 *1696:72 *21326:A2 32.6832 +14 *1696:68 *21224:B2 15.5817 +15 *1696:67 *1696:96 22.9421 +16 *1696:96 *21742:B2 18.3157 +17 *1696:96 *21364:A2 30.1422 +18 *1696:10 *19946:A2 14.1952 +*END + +*D_NET *1697 0.0809903 +*CONN +*I *19946:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22736:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22415:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19940:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19946:B1 0 +2 *22736:B1 0.000555934 +3 *22415:B1 0 +4 *19940:Y 0.000549964 +5 *1697:110 0.00105273 +6 *1697:97 0.00197428 +7 *1697:75 0.00147313 +8 *1697:52 0.0064386 +9 *1697:48 0.00646295 +10 *1697:45 0.00176556 +11 *1697:39 0.00180109 +12 *1697:33 0.00119463 +13 *1697:28 0.00131387 +14 *1697:22 0.00268534 +15 *1697:17 0.00270621 +16 *1697:14 0.00289214 +17 *1697:10 0.00140336 +18 *22736:B1 *21456:B1 2.44914e-05 +19 *22736:B1 *22736:A2 5.57412e-05 +20 *22736:B1 *22737:B2 1.72919e-05 +21 *22736:B1 *22751:A1 7.08433e-05 +22 *22736:B1 *1723:47 3.40325e-05 +23 *22736:B1 *2285:23 0.000637781 +24 *22736:B1 *2628:17 0.000633556 +25 *22736:B1 *2997:30 0 +26 *22736:B1 *3198:48 0.000238604 +27 *22736:B1 *3523:28 2.77564e-05 +28 *22736:B1 *3523:35 9.4385e-05 +29 *1697:10 *2426:53 4.67722e-05 +30 *1697:10 *2445:10 0 +31 *1697:10 *2445:14 5.43911e-05 +32 *1697:10 *4863:23 6.92705e-05 +33 *1697:10 *5089:10 0.000144928 +34 *1697:10 *5671:10 0.000173703 +35 *1697:10 *5870:668 0.000270379 +36 *1697:14 *24503:RESET_B 0.000144869 +37 *1697:14 *2426:53 0.000626392 +38 *1697:14 *2445:14 0.00017546 +39 *1697:14 *4832:116 0 +40 *1697:14 *5858:223 0.000509389 +41 *1697:14 *5858:233 0.000476808 +42 *1697:14 *5870:668 3.06932e-05 +43 *1697:17 *1753:37 4.57457e-06 +44 *1697:17 *4843:633 0.000229547 +45 *1697:17 *4926:54 6.34834e-05 +46 *1697:22 *21666:A 0.000404533 +47 *1697:22 *1783:89 4.22803e-05 +48 *1697:22 *1845:113 0 +49 *1697:22 *2516:16 0.000277488 +50 *1697:22 *4843:633 0.000986014 +51 *1697:22 *4878:40 0.000183763 +52 *1697:22 *5439:7 9.19632e-06 +53 *1697:28 *22825:A 3.31745e-05 +54 *1697:28 *22826:B 1.27911e-05 +55 *1697:28 *22827:B1 6.08467e-05 +56 *1697:28 *22828:A 0.000215254 +57 *1697:28 *1783:82 0.000100503 +58 *1697:28 *3756:7 0.000115483 +59 *1697:28 *3757:8 7.98425e-06 +60 *1697:28 *5474:70 0.000315963 +61 *1697:28 *5485:27 0.000598582 +62 *1697:28 *5485:31 0.000871886 +63 *1697:33 *20332:A 0.000863181 +64 *1697:33 *20332:B 8.14875e-05 +65 *1697:33 *1755:21 0.00126081 +66 *1697:39 *20129:A1 5.93547e-06 +67 *1697:39 *1714:11 1.4091e-06 +68 *1697:39 *1763:37 0.000128671 +69 *1697:39 *1829:34 0 +70 *1697:39 *2502:17 4.78563e-05 +71 *1697:39 *5487:8 4.61732e-05 +72 *1697:39 *5929:42 0 +73 *1697:45 *19757:A1 0.000231395 +74 *1697:45 *24017:A0 7.86847e-05 +75 *1697:45 *24828:CLK_N 0.000263099 +76 *1697:45 *1756:40 0.00100993 +77 *1697:45 *1783:54 1.28326e-05 +78 *1697:45 *2283:13 0.000290035 +79 *1697:45 *2502:26 0.000497462 +80 *1697:48 *23037:A1 1.66626e-05 +81 *1697:48 *23843:C1 0.000158815 +82 *1697:48 *1783:48 0.000100816 +83 *1697:48 *1783:54 0.000305591 +84 *1697:48 *1829:46 5.88412e-05 +85 *1697:48 *2502:36 8.62625e-06 +86 *1697:48 *2948:14 0.00105193 +87 *1697:48 *2963:96 0.000122137 +88 *1697:48 *3891:42 0.000394776 +89 *1697:48 *3939:14 6.54541e-06 +90 *1697:48 *4326:117 0.000173077 +91 *1697:48 *4326:137 0.000162936 +92 *1697:52 *22416:C 0.00350851 +93 *1697:52 *22431:A1 5.64337e-05 +94 *1697:52 *22437:A1 0.000165716 +95 *1697:52 *23379:A 1.97381e-05 +96 *1697:52 *1698:130 3.91944e-05 +97 *1697:52 *1801:59 0 +98 *1697:52 *2713:27 0.000508712 +99 *1697:52 *3014:79 4.19355e-05 +100 *1697:52 *3114:66 1.07248e-05 +101 *1697:52 *3175:43 0.000669677 +102 *1697:52 *3175:57 5.83513e-05 +103 *1697:52 *3442:14 1.49412e-05 +104 *1697:52 *3840:191 0.00043075 +105 *1697:52 *4338:17 1.86819e-05 +106 *1697:75 *21565:D1 1.98173e-05 +107 *1697:75 *1698:130 0.000213934 +108 *1697:75 *1722:76 9.11993e-05 +109 *1697:75 *2851:24 0.00033641 +110 *1697:75 *2959:43 0.000903619 +111 *1697:75 *2994:55 0.000235723 +112 *1697:75 *2998:57 3.01683e-06 +113 *1697:75 *3114:66 6.9694e-05 +114 *1697:75 *3128:99 0.000581423 +115 *1697:75 *3442:14 5.01722e-05 +116 *1697:75 *5476:51 0.000231479 +117 *1697:97 *20582:B1 0.000128968 +118 *1697:97 *20601:B2 0.000128736 +119 *1697:97 *21704:A 3.65454e-05 +120 *1697:97 *1753:37 3.16065e-06 +121 *1697:97 *2259:15 0.00109485 +122 *1697:97 *2808:20 4.25507e-05 +123 *1697:97 *4926:54 5.85252e-05 +124 *1697:97 *5854:305 3.50469e-05 +125 *1697:97 *5854:319 7.31204e-05 +126 *1697:97 *5860:132 3.77568e-05 +127 *1697:110 *19998:A1 0.000338913 +128 *1697:110 *1753:37 0.00104926 +129 *1697:110 *1792:76 5.04829e-06 +130 *1697:110 *2732:17 0.000112901 +131 *1697:110 *2971:41 0.000699619 +132 *1697:110 *5898:25 0.00025943 +133 *19757:B1 *1697:45 0.000498629 +134 *19947:A *1697:110 0.000300684 +135 *21134:B1 *1697:28 0.000260374 +136 *24200:RESET_B *1697:22 1.79196e-05 +137 *24522:D *1697:110 5.97411e-05 +138 *74:35 *1697:52 0.00882525 +139 *459:42 *1697:45 0.000150429 +140 *459:51 *1697:45 7.26877e-05 +141 *459:72 *1697:45 9.92437e-05 +142 *514:58 *1697:39 0.0018258 +143 *551:13 *1697:33 4.00689e-05 +144 *657:88 *1697:48 0.00015646 +145 *657:111 *1697:48 0.000316705 +146 *1458:18 *1697:22 0.000211492 +147 *1471:128 *1697:39 0.00106763 +148 *1497:36 *1697:97 4.0143e-05 +149 *1506:35 *1697:110 0.000297071 +150 *1515:60 *1697:52 0.000105816 +151 *1522:32 *1697:28 0.000751057 +152 *1541:128 *1697:52 0.00151057 +153 *1587:137 *1697:52 0 +154 *1668:50 *1697:17 8.75805e-05 +155 *1668:50 *1697:22 0.000606328 +156 *1690:56 *1697:28 0.000563964 +*RES +1 *19940:Y *1697:10 28.872 +2 *1697:10 *1697:14 32.2853 +3 *1697:14 *1697:17 16.831 +4 *1697:17 *1697:22 48.0933 +5 *1697:22 *1697:28 49.2488 +6 *1697:28 *1697:33 23.0339 +7 *1697:33 *1697:39 45.4723 +8 *1697:39 *1697:45 37.8619 +9 *1697:45 *1697:48 40.082 +10 *1697:48 *1697:52 22.4172 +11 *1697:52 *22415:B1 13.7491 +12 *1697:52 *1697:75 46.2172 +13 *1697:75 *22736:B1 35.3496 +14 *1697:14 *1697:97 26.7617 +15 *1697:97 *1697:110 49.2253 +16 *1697:110 *19946:B1 9.24915 +*END + +*D_NET *1698 0.0466393 +*CONN +*I *21564:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21863:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21952:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20217:B I *D sky130_fd_sc_hd__or2_1 +*I *21670:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19946:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19941:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21564:B2 6.23605e-05 +2 *21863:B2 0 +3 *21952:A2 0 +4 *20217:B 0.000399414 +5 *21670:A2 3.14472e-05 +6 *19946:B2 0.00197805 +7 *19941:X 6.80844e-06 +8 *1698:130 0.00207164 +9 *1698:129 0.00314053 +10 *1698:117 0.00113194 +11 *1698:81 0.00176329 +12 *1698:77 0.00212452 +13 *1698:62 0.00197548 +14 *1698:54 0.00336922 +15 *1698:38 0.0034115 +16 *1698:6 0.00321122 +17 *19946:B2 *19945:A1 0.000308741 +18 *19946:B2 *19947:D 0.0001511 +19 *19946:B2 *19990:A1 6.19144e-05 +20 *19946:B2 *23942:A0 0.000163315 +21 *19946:B2 *1754:10 0.00021289 +22 *19946:B2 *1758:11 2.91073e-05 +23 *19946:B2 *1784:13 3.91685e-05 +24 *19946:B2 *1792:76 1.5714e-05 +25 *19946:B2 *2732:17 3.92329e-05 +26 *19946:B2 *2744:19 0 +27 *19946:B2 *5898:25 0.000213739 +28 *20217:B *21570:A2 0.000165521 +29 *20217:B *21952:B1 4.99151e-05 +30 *20217:B *2704:10 1.01794e-05 +31 *20217:B *5812:16 0.000177049 +32 *21564:B2 *21564:A2 2.16355e-05 +33 *21564:B2 *1723:24 7.92757e-06 +34 *21670:A2 *20376:B 5.04829e-06 +35 *21670:A2 *2704:15 4.87301e-05 +36 *21670:A2 *2704:31 9.54357e-06 +37 *1698:38 *20003:C1 0.000123688 +38 *1698:38 *1740:98 0.000400335 +39 *1698:38 *1758:11 1.68716e-05 +40 *1698:38 *1768:27 0.000534804 +41 *1698:38 *1792:17 1.41853e-05 +42 *1698:54 *1740:98 0.000251655 +43 *1698:54 *1762:10 6.92705e-05 +44 *1698:54 *1764:13 0.000579207 +45 *1698:54 *1768:27 0.00127012 +46 *1698:54 *1792:17 3.83336e-05 +47 *1698:54 *1792:25 9.95234e-05 +48 *1698:54 *2810:5 2.6559e-05 +49 *1698:54 *2810:20 6.51637e-05 +50 *1698:62 *20376:B 0 +51 *1698:62 *21671:B2 1.81081e-06 +52 *1698:62 *1757:8 7.52574e-06 +53 *1698:62 *1764:13 0.00128304 +54 *1698:62 *2702:18 0.000186892 +55 *1698:62 *2704:15 3.31745e-05 +56 *1698:62 *2719:16 0.000359091 +57 *1698:77 *20376:B 1.21689e-05 +58 *1698:77 *24894:RESET_B 0 +59 *1698:77 *2705:33 0 +60 *1698:77 *2719:16 3.10069e-06 +61 *1698:77 *5812:22 0.000499927 +62 *1698:81 *21952:B1 7.15882e-06 +63 *1698:81 *24890:RESET_B 0 +64 *1698:81 *24894:RESET_B 0 +65 *1698:81 *5189:10 9.04668e-05 +66 *1698:81 *5812:22 0.000990042 +67 *1698:117 *20685:B2 1.9101e-05 +68 *1698:117 *20687:B1 0.000114237 +69 *1698:117 *20688:B2 0.000107496 +70 *1698:117 *21863:A1 1.78868e-05 +71 *1698:117 *21863:B1 6.24655e-05 +72 *1698:117 *21864:C1 0.000318826 +73 *1698:117 *1714:36 4.69495e-06 +74 *1698:117 *2808:32 1.28732e-05 +75 *1698:117 *2994:12 8.20917e-05 +76 *1698:117 *5917:530 0.000105599 +77 *1698:117 *5917:560 0.000181832 +78 *1698:129 *20585:B2 1.91391e-05 +79 *1698:129 *21927:C1 6.56365e-05 +80 *1698:129 *21939:A2 1.5714e-05 +81 *1698:129 *2519:12 5.481e-05 +82 *1698:129 *2951:28 2.39689e-05 +83 *1698:129 *3002:8 4.15157e-05 +84 *1698:129 *3003:12 0.000481604 +85 *1698:129 *4921:14 0.000326984 +86 *1698:129 *4921:23 0.000181333 +87 *1698:130 *22415:C1 8.64245e-05 +88 *1698:130 *22447:A 0.000308438 +89 *1698:130 *1730:75 6.59451e-05 +90 *1698:130 *1735:67 0.000353244 +91 *1698:130 *1735:78 9.83233e-05 +92 *1698:130 *1735:175 0.000406265 +93 *1698:130 *2618:18 2.77419e-05 +94 *1698:130 *2619:76 6.75595e-05 +95 *1698:130 *3155:36 0.000273725 +96 *1698:130 *3392:10 0.000933209 +97 *1698:130 *3400:8 0 +98 *1698:130 *3432:31 0.00105043 +99 *1698:130 *3442:14 0.000120727 +100 *1698:130 *3442:18 5.20056e-05 +101 *19579:A *1698:38 0.000129145 +102 *19621:B *1698:81 1.00103e-05 +103 *19706:B *1698:117 7.25424e-05 +104 *19883:A *1698:38 0.000309145 +105 *19902:A *1698:130 1.60415e-05 +106 *19946:A2 *19946:B2 3.85049e-05 +107 *19976:B *1698:130 5.1493e-06 +108 *19993:A *19946:B2 0.000114394 +109 *20006:A *1698:54 0.000203979 +110 *20006:B *1698:54 6.50586e-05 +111 *20015:A *1698:6 2.27135e-05 +112 *20015:A *1698:38 1.94327e-05 +113 *20024:B *1698:38 0.00020502 +114 *466:17 *21670:A2 0.000162739 +115 *466:17 *1698:62 0.000113968 +116 *468:17 *1698:77 1.5714e-05 +117 *476:18 *1698:117 0.000788962 +118 *476:46 *1698:38 5.51812e-05 +119 *1424:8 *1698:54 1.96891e-05 +120 *1439:260 *20217:B 6.64392e-05 +121 *1439:264 *20217:B 0.000117376 +122 *1442:14 *1698:129 0.000512575 +123 *1442:21 *1698:129 6.71354e-05 +124 *1442:30 *1698:130 0.000892265 +125 *1442:39 *1698:117 0.000119321 +126 *1442:39 *1698:129 5.01835e-05 +127 *1448:47 *1698:117 0.00022753 +128 *1448:56 *1698:129 0.000148282 +129 *1448:180 *1698:129 0.000306437 +130 *1448:213 *1698:117 4.74531e-05 +131 *1455:59 *1698:62 1.37385e-05 +132 *1459:150 *1698:38 1.65872e-05 +133 *1461:61 *1698:54 0.000260388 +134 *1461:109 *19946:B2 0.000122968 +135 *1466:41 *1698:54 0.000122834 +136 *1501:34 *1698:117 0.000473475 +137 *1502:42 *1698:81 0 +138 *1502:49 *20217:B 0 +139 *1511:23 *1698:38 0.000111708 +140 *1544:43 *1698:38 6.50727e-05 +141 *1544:51 *1698:6 4.31485e-06 +142 *1544:51 *1698:38 5.74984e-05 +143 *1563:46 *19946:B2 0 +144 *1641:10 *1698:38 0.000290379 +145 *1668:47 *1698:81 0.000152117 +146 *1677:45 *1698:117 0.000198679 +147 *1696:10 *19946:B2 0.000120183 +148 *1697:52 *1698:130 3.91944e-05 +149 *1697:75 *1698:130 0.000213934 +*RES +1 *19941:X *1698:6 14.1278 +2 *1698:6 *19946:B2 40.9809 +3 *1698:6 *1698:38 40.6205 +4 *1698:38 *1698:54 39.0069 +5 *1698:54 *1698:62 32.512 +6 *1698:62 *21670:A2 11.0817 +7 *1698:62 *1698:77 21.965 +8 *1698:77 *1698:81 33.44 +9 *1698:81 *20217:B 24.2776 +10 *1698:81 *21952:A2 13.7491 +11 *1698:54 *1698:117 49.1708 +12 *1698:117 *21863:B2 9.24915 +13 *1698:38 *1698:129 44.156 +14 *1698:129 *1698:130 61.2131 +15 *1698:130 *21564:B2 15.0271 +*END + +*D_NET *1699 0.0580208 +*CONN +*I *19945:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *23924:A I *D sky130_fd_sc_hd__nor2_2 +*I *19942:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19945:A1 0.00019033 +2 *23924:A 0 +3 *19942:Y 0 +4 *1699:55 0.00304622 +5 *1699:48 0.00214681 +6 *1699:42 0.00331921 +7 *1699:36 0.00186496 +8 *1699:32 0.00123247 +9 *1699:24 0.00176547 +10 *1699:23 0.00156295 +11 *1699:20 0.00146797 +12 *1699:16 0.00203804 +13 *1699:11 0.00239914 +14 *1699:10 0.00149168 +15 *1699:8 7.10213e-05 +16 *1699:7 0.00186081 +17 *1699:4 0.00464568 +18 *19945:A1 *1749:8 7.0179e-06 +19 *19945:A1 *1758:11 7.50722e-05 +20 *1699:7 *19624:A1 0.000843694 +21 *1699:7 *24519:RESET_B 0.000232449 +22 *1699:7 *24519:CLK 1.19791e-05 +23 *1699:7 *2705:33 2.77625e-06 +24 *1699:7 *4926:84 0.000135423 +25 *1699:7 *4926:88 6.1708e-05 +26 *1699:11 *21773:A 0.000113968 +27 *1699:11 *24654:RESET_B 0.000106869 +28 *1699:11 *2705:23 0.0025889 +29 *1699:11 *2705:33 0.001203 +30 *1699:11 *2789:7 3.81675e-05 +31 *1699:11 *5476:26 7.65509e-05 +32 *1699:16 *20333:A1 0.000123389 +33 *1699:16 *1845:138 0.000115585 +34 *1699:16 *2219:36 9.49485e-05 +35 *1699:16 *2219:46 0.000450176 +36 *1699:16 *2803:44 0.000198676 +37 *1699:16 *5443:8 0 +38 *1699:16 *5443:25 0 +39 *1699:16 *5479:23 0.00105778 +40 *1699:20 *22838:A 0.000315632 +41 *1699:20 *22839:B 5.05252e-05 +42 *1699:20 *22842:A2 0.000136721 +43 *1699:20 *24016:A1 7.46648e-06 +44 *1699:20 *24060:A0 0.00012568 +45 *1699:20 *2398:16 0 +46 *1699:20 *2803:44 0 +47 *1699:20 *2803:48 0.000374831 +48 *1699:20 *2864:64 0.000244147 +49 *1699:20 *3763:19 0.000147005 +50 *1699:20 *4828:139 0.00014514 +51 *1699:20 *4870:26 0.000709619 +52 *1699:20 *5443:25 8.18789e-05 +53 *1699:20 *5444:32 1.77873e-05 +54 *1699:20 *5444:36 8.06958e-05 +55 *1699:20 *5445:22 0.000310111 +56 *1699:20 *5485:172 0.000247216 +57 *1699:23 *23370:A 5.04829e-06 +58 *1699:23 *2284:22 0.000515077 +59 *1699:23 *4864:7 0.000561769 +60 *1699:24 *20155:B1 1.44611e-05 +61 *1699:24 *24792:CLK 0.000763153 +62 *1699:24 *2561:38 0.000923404 +63 *1699:24 *3014:72 0.000599467 +64 *1699:24 *4288:22 0.000111646 +65 *1699:24 *4288:29 0.00120323 +66 *1699:24 *4878:59 0 +67 *1699:24 *4878:68 0.000505796 +68 *1699:32 *23484:A 0.000160552 +69 *1699:32 *2561:38 0.000692689 +70 *1699:32 *4600:10 6.08467e-05 +71 *1699:32 *4693:15 0.000654572 +72 *1699:36 *23100:A 0.000222756 +73 *1699:36 *3842:207 0.000143032 +74 *1699:36 *4008:66 9.60216e-05 +75 *1699:36 *4009:35 0.000169407 +76 *1699:36 *4070:12 0.000147016 +77 *1699:36 *4444:6 9.34145e-05 +78 *1699:36 *4693:15 0.000405737 +79 *1699:42 *20486:B1 3.82228e-05 +80 *1699:42 *20565:A1 2.3527e-05 +81 *1699:42 *20565:B1 1.19721e-05 +82 *1699:42 *20565:B2 0.000304791 +83 *1699:42 *23161:A 0.000300565 +84 *1699:42 *23161:B 2.92975e-05 +85 *1699:42 *23584:A 0.000124658 +86 *1699:42 *25378:A 0.000150481 +87 *1699:42 *4070:5 0.000107496 +88 *1699:42 *4070:12 0.000107496 +89 *1699:42 *4855:7 0.00106637 +90 *1699:42 *5860:696 9.60216e-05 +91 *1699:48 *22794:A1 0.000591238 +92 *1699:48 *1772:22 3.67708e-05 +93 *1699:48 *2522:32 1.12605e-05 +94 *1699:48 *2583:9 0.000127212 +95 *1699:48 *2993:48 0.00203008 +96 *1699:48 *3146:65 4.08077e-05 +97 *1699:55 *24519:RESET_B 0.00132903 +98 *1699:55 *2580:17 0.000412724 +99 *19624:B2 *1699:7 1.65872e-05 +100 *19624:B2 *1699:11 0.000411366 +101 *19939:B *19945:A1 1.86611e-05 +102 *19946:B2 *19945:A1 0.000308741 +103 *24022:A0 *1699:20 4.47123e-05 +104 *24529:D *1699:42 0.000158371 +105 *24654:D *1699:11 2.23124e-05 +106 *460:43 *1699:32 1.55025e-05 +107 *1427:29 *1699:7 5.88657e-05 +108 *1427:29 *1699:11 0.000416393 +109 *1427:83 *1699:32 2.01595e-05 +110 *1427:83 *1699:36 0.000586957 +111 *1427:87 *1699:42 0.000116205 +112 *1467:184 *1699:42 9.24241e-05 +113 *1471:40 *19945:A1 1.70077e-05 +114 *1471:42 *19945:A1 3.92511e-05 +115 *1485:115 *1699:24 0.0001899 +116 *1515:78 *1699:32 4.43257e-06 +117 *1563:22 *1699:55 0.000746268 +118 *1604:91 *1699:48 0.000112174 +*RES +1 *19942:Y *1699:4 9.24915 +2 *1699:4 *1699:7 36.5935 +3 *1699:7 *1699:8 81.1229 +4 *1699:8 *1699:10 9.24915 +5 *1699:10 *1699:11 55.0746 +6 *1699:11 *1699:16 35.8027 +7 *1699:16 *1699:20 45.1581 +8 *1699:20 *1699:23 19.6431 +9 *1699:23 *1699:24 50.8318 +10 *1699:24 *1699:32 27.516 +11 *1699:32 *1699:36 30.4166 +12 *1699:36 *1699:42 42.6132 +13 *1699:42 *1699:48 45.6621 +14 *1699:48 *23924:A 9.24915 +15 *1699:4 *1699:55 49.5917 +16 *1699:55 *19945:A1 19.7337 +*END + +*D_NET *1700 0.00854119 +*CONN +*I *19945:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20344:B I *D sky130_fd_sc_hd__or2_1 +*I *21980:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19943:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19945:A2 0.00225879 +2 *20344:B 0.000173047 +3 *21980:B2 0 +4 *19943:X 0 +5 *1700:10 0.000459607 +6 *1700:4 0.00254534 +7 *19945:A2 *21722:A 0.000678081 +8 *19945:A2 *1755:5 6.08467e-05 +9 *19945:A2 *1755:15 0.000186597 +10 *20344:B *1926:5 8.38894e-05 +11 *20344:B *2994:10 0.000311221 +12 *1700:10 *21980:B1 0.000138988 +13 *1700:10 *1755:15 0.00032188 +14 *1700:10 *2442:17 1.04731e-05 +15 *19943:B *19945:A2 0.000299044 +16 *19978:B *20344:B 4.80635e-06 +17 *1424:15 *20344:B 0 +18 *1472:12 *19945:A2 0.000937694 +19 *1485:66 *20344:B 4.58529e-05 +20 *1497:22 *1700:10 2.50362e-05 +*RES +1 *19943:X *1700:4 9.24915 +2 *1700:4 *1700:10 15.1589 +3 *1700:10 *21980:B2 9.24915 +4 *1700:10 *20344:B 14.9881 +5 *1700:4 *19945:A2 45.4672 +*END + +*D_NET *1701 0.0239603 +*CONN +*I *19945:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19944:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19945:B1 0 +2 *19944:Y 0.00102194 +3 *1701:54 0.00157166 +4 *1701:39 0.00471711 +5 *1701:20 0.00416739 +6 *1701:20 *24370:RESET_B 0.000116976 +7 *1701:20 *3093:17 0.000557738 +8 *1701:20 *3177:50 0.000364356 +9 *1701:20 *4866:49 4.24706e-05 +10 *1701:20 *4876:38 0 +11 *1701:20 *5864:136 0 +12 *1701:39 *21039:A1 0.000107496 +13 *1701:39 *21039:A2 1.41689e-05 +14 *1701:39 *21524:A1 0.000544589 +15 *1701:39 *1884:271 2.05612e-05 +16 *1701:39 *1884:282 9.02101e-06 +17 *1701:39 *1982:25 0.000654152 +18 *1701:39 *2576:40 0.000752755 +19 *1701:39 *2577:26 3.88655e-06 +20 *1701:39 *2577:28 8.62625e-06 +21 *1701:39 *2675:46 1.66771e-05 +22 *1701:39 *4833:21 0.00103492 +23 *1701:39 *4866:49 0.000694527 +24 *1701:39 *5854:414 6.50727e-05 +25 *1701:39 *5864:136 4.97084e-05 +26 *1701:54 *21039:B2 0.000158357 +27 *1701:54 *21737:B1 0.00101309 +28 *1701:54 *24333:RESET_B 6.6897e-05 +29 *1701:54 *1749:8 0.000122206 +30 *1701:54 *4833:21 0.000354302 +31 *1701:54 *4972:8 8.08432e-05 +32 *1701:54 *5870:425 1.80887e-05 +33 *19607:A *1701:54 3.57548e-05 +34 *19609:A *1701:39 0.000393899 +35 *19615:B2 *1701:39 5.04829e-06 +36 *19911:B *1701:54 2.44829e-05 +37 *23931:B2 *1701:20 0.000117308 +38 *24320:D *1701:20 5.67722e-05 +39 *24370:D *1701:20 4.95596e-05 +40 *518:58 *1701:20 4.5334e-05 +41 *518:62 *1701:20 0.00020547 +42 *1428:85 *1701:39 0.00300093 +43 *1428:86 *1701:20 0.000180318 +44 *1428:93 *1701:20 5.78114e-05 +45 *1448:119 *1701:54 1.68848e-05 +46 *1492:35 *1701:54 0.000393863 +47 *1669:15 *1701:54 0.000643554 +48 *1689:28 *1701:54 0.000125631 +49 *1695:26 *1701:20 0.000258114 +*RES +1 *19944:Y *1701:20 49.1597 +2 *1701:20 *1701:39 45.8787 +3 *1701:39 *1701:54 49.3755 +4 *1701:54 *19945:B1 9.24915 +*END + +*D_NET *1702 0.000827299 +*CONN +*I *19946:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19945:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19946:C1 0.000178828 +2 *19945:X 0.000178828 +3 *19946:C1 *1749:8 2.85921e-05 +4 *1428:24 *19946:C1 0.000302974 +5 *1467:30 *19946:C1 2.47674e-05 +6 *1689:28 *19946:C1 0.000113309 +*RES +1 *19945:X *19946:C1 33.4828 +*END + +*D_NET *1703 0.000389341 +*CONN +*I *19947:D I *D sky130_fd_sc_hd__and4_1 +*I *19946:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19947:D 4.35708e-05 +2 *19946:X 4.35708e-05 +3 *19947:D *1754:10 0.0001511 +4 *19946:B2 *19947:D 0.0001511 +*RES +1 *19946:X *19947:D 30.1608 +*END + +*D_NET *1704 0.00951413 +*CONN +*I *20052:A I *D sky130_fd_sc_hd__and4_2 +*I *19947:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *20052:A 0 +2 *19947:X 0 +3 *1704:6 0.00183772 +4 *1704:5 0.00183772 +5 *1704:6 *19962:A1 1.91246e-05 +6 *1704:6 *19981:D 3.96589e-05 +7 *1704:6 *20052:B 9.12416e-06 +8 *1704:6 *1717:24 0.000202299 +9 *1704:6 *1754:10 0.00146513 +10 *1704:6 *1768:27 0.000114455 +11 *1704:6 *2519:33 7.19237e-05 +12 *1704:6 *2809:31 1.66771e-05 +13 *1704:6 *2858:27 0.000301273 +14 *1704:6 *2936:16 0.00201542 +15 *1704:6 *2936:22 0.000947448 +16 *1704:6 *2936:67 0.000238186 +17 *19985:B *1704:6 1.8121e-05 +18 *21410:A2 *1704:6 0.000105837 +19 *1496:48 *1704:6 8.67244e-05 +20 *1541:38 *1704:6 0.000187299 +*RES +1 *19947:X *1704:5 13.7491 +2 *1704:5 *1704:6 64.9503 +3 *1704:6 *20052:A 13.7491 +*END + +*D_NET *1705 0.0342082 +*CONN +*I *19956:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19948:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19956:A1 0.00414965 +2 *19948:Y 0.00286497 +3 *1705:20 0.00531526 +4 *1705:16 0.00403057 +5 *19956:A1 *19981:C 0.00011793 +6 *19956:A1 *22489:A1 0.00346526 +7 *19956:A1 *1740:110 0.0030285 +8 *19956:A1 *1808:11 3.0794e-05 +9 *19956:A1 *2429:25 0.00130036 +10 *19956:A1 *2749:38 0.000251397 +11 *19956:A1 *4802:18 0 +12 *19956:A1 *5563:45 0.00284308 +13 *19956:A1 *5860:75 0.000242386 +14 *1705:16 *21683:A1 0.000289319 +15 *1705:16 *23032:A 0.000337439 +16 *1705:16 *1818:29 1.91246e-05 +17 *1705:16 *2619:38 0.000111722 +18 *1705:16 *2632:36 5.43333e-05 +19 *1705:16 *3791:185 0 +20 *1705:16 *3947:23 0.000498051 +21 *1705:16 *4275:29 1.5714e-05 +22 *1705:16 *5457:34 0 +23 *1705:16 *5926:42 0.000298702 +24 *1705:20 *1818:38 0.00144436 +25 *1705:20 *2561:26 0.000469343 +26 *1705:20 *3014:72 0.00061887 +27 *1705:20 *5442:8 0.000171908 +28 *19766:B1 *1705:16 0.000105551 +29 *460:30 *1705:20 0.000202096 +30 *1471:192 *1705:20 0.00144629 +31 *1544:51 *19956:A1 0.00011793 +32 *1587:51 *1705:16 0 +33 *1684:45 *19956:A1 0.000367277 +*RES +1 *19948:Y *1705:16 37.6386 +2 *1705:16 *1705:20 49.5074 +3 *1705:20 *19956:A1 38.519 +*END + +*D_NET *1706 0.0655676 +*CONN +*I *21371:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *21219:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19956:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21939:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21683:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21564:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21345:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *21788:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19949:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21371:A2 0 +2 *21219:A2 0.000103765 +3 *19956:A2 0.000347542 +4 *21939:A2 7.34666e-05 +5 *21683:A2 0 +6 *21564:A2 0.00012489 +7 *21345:B2 0.000926087 +8 *21788:A2 0.000377574 +9 *19949:X 0 +10 *1706:157 0.00168859 +11 *1706:156 0.00204316 +12 *1706:146 0.00239576 +13 *1706:118 0.00270395 +14 *1706:88 0.000189613 +15 *1706:87 0.00150599 +16 *1706:54 0.00186142 +17 *1706:46 0.0028463 +18 *1706:37 0.00179436 +19 *1706:31 0.00135731 +20 *1706:21 0.00161234 +21 *1706:5 0.00154763 +22 *19956:A2 *19981:A 0.000166811 +23 *19956:A2 *20052:B 0.000214992 +24 *19956:A2 *1769:6 0.000212734 +25 *19956:A2 *1808:11 0.00013214 +26 *19956:A2 *3208:21 3.43244e-05 +27 *19956:A2 *4821:41 0.000212734 +28 *21219:A2 *21219:A1 0.000157161 +29 *21219:A2 *2282:88 0.000449092 +30 *21345:B2 *24477:CLK 0.000183479 +31 *21345:B2 *1715:48 0.000108656 +32 *21345:B2 *1947:181 2.84852e-05 +33 *21345:B2 *2021:11 0.000123582 +34 *21345:B2 *2836:8 0.000303101 +35 *21345:B2 *2943:63 5.65573e-05 +36 *21345:B2 *3411:29 0.000690915 +37 *21345:B2 *5854:133 2.41274e-06 +38 *21564:A2 *21564:C1 0.000116 +39 *21564:A2 *1723:24 0.000404628 +40 *21564:A2 *1798:17 5.51785e-05 +41 *21564:A2 *2998:57 8.67924e-06 +42 *21788:A2 *1715:48 7.02875e-05 +43 *21788:A2 *2803:61 9.95542e-06 +44 *21788:A2 *2804:7 0.000260374 +45 *21788:A2 *2836:8 0.000127076 +46 *21939:A2 *21939:B1 1.13048e-05 +47 *21939:A2 *1714:42 0.000154145 +48 *21939:A2 *3002:8 1.5714e-05 +49 *1706:21 *21926:B2 0.000251655 +50 *1706:21 *21927:A1 1.41976e-05 +51 *1706:21 *21927:A2 2.65667e-05 +52 *1706:21 *21938:A2 3.73639e-05 +53 *1706:21 *1711:65 0.000108028 +54 *1706:21 *1711:87 6.82394e-05 +55 *1706:21 *1715:89 0.000143884 +56 *1706:21 *2700:26 0.0003553 +57 *1706:21 *2979:46 0.000143708 +58 *1706:31 *21462:A1 0.000150483 +59 *1706:31 *21939:C1 3.88213e-05 +60 *1706:31 *1714:42 0.000117984 +61 *1706:31 *1739:46 1.89411e-05 +62 *1706:31 *2700:26 0.000462298 +63 *1706:31 *2895:7 0.000111708 +64 *1706:31 *2948:27 0.00103265 +65 *1706:37 *21683:A1 0.000109584 +66 *1706:37 *21683:B2 3.75603e-05 +67 *1706:37 *22476:B1 0.000118979 +68 *1706:37 *1757:87 0.00136559 +69 *1706:37 *2895:11 4.06689e-05 +70 *1706:37 *2943:29 0.000374875 +71 *1706:37 *2943:52 0.00111842 +72 *1706:46 *20612:A2 5.97411e-05 +73 *1706:46 *22410:B1 0.000154145 +74 *1706:46 *1733:14 0.00012774 +75 *1706:46 *1797:20 5.88009e-05 +76 *1706:46 *2012:10 2.79077e-05 +77 *1706:46 *2619:57 0.000633581 +78 *1706:46 *2713:38 0.000336604 +79 *1706:46 *2976:45 1.59337e-05 +80 *1706:46 *2994:55 0.000167017 +81 *1706:46 *3148:254 9.05137e-05 +82 *1706:46 *3177:168 0.000124958 +83 *1706:46 *3373:48 1.5613e-05 +84 *1706:46 *3407:23 0.000612394 +85 *1706:46 *3411:16 1.16182e-05 +86 *1706:46 *5305:8 0.000270766 +87 *1706:54 *1715:48 4.69495e-06 +88 *1706:54 *1732:81 0.00218018 +89 *1706:54 *1756:61 0.000137359 +90 *1706:54 *1756:67 0.000167206 +91 *1706:87 *21992:A1 1.54479e-05 +92 *1706:87 *22734:B1 6.74182e-05 +93 *1706:87 *1724:61 0.0012577 +94 *1706:87 *1733:14 4.15661e-05 +95 *1706:87 *1794:118 0.000122548 +96 *1706:87 *1797:20 0.000545826 +97 *1706:87 *1798:17 0.000296412 +98 *1706:87 *2505:75 0.000164847 +99 *1706:87 *2510:73 0.000106114 +100 *1706:87 *2514:45 9.04251e-05 +101 *1706:87 *2932:12 0.00025175 +102 *1706:87 *3005:14 0.000177512 +103 *1706:118 *21472:B1 0.000425846 +104 *1706:118 *21938:A2 0.000446321 +105 *1706:118 *2519:12 0.000202051 +106 *1706:118 *5563:45 0.000904596 +107 *1706:146 *21371:A1 0.000111708 +108 *1706:146 *21472:B1 0.00213489 +109 *1706:146 *1709:87 0.000878235 +110 *1706:146 *1882:18 0.000524024 +111 *1706:146 *2426:89 8.9765e-06 +112 *1706:146 *2577:28 0.000368594 +113 *1706:146 *5563:45 0.00508967 +114 *1706:156 *2398:100 0.00019127 +115 *1706:156 *2596:14 7.17614e-05 +116 *1706:156 *2907:6 0.000387102 +117 *1706:156 *3206:15 2.01503e-05 +118 *1706:156 *4876:7 0.000303361 +119 *1706:157 *1715:151 8.3506e-05 +120 *1706:157 *2273:18 0.00215964 +121 *1706:157 *3487:82 0.00208071 +122 *1706:157 *3487:118 7.49251e-05 +123 *19902:A *1706:37 0.000107496 +124 *19922:A *1706:21 0.000162677 +125 *19949:B *1706:21 0.000112259 +126 *19961:A *1706:118 1.01851e-05 +127 *19970:B2 *1706:87 0.000242888 +128 *20605:B *1706:87 7.33044e-05 +129 *21219:B2 *21219:A2 6.08467e-05 +130 *21340:A2 *1706:157 1.5714e-05 +131 *21345:A2 *21345:B2 0.000128736 +132 *21564:B2 *21564:A2 2.16355e-05 +133 *21939:B2 *21939:A2 0.000129385 +134 *22411:B1 *1706:87 0.000255816 +135 *1424:104 *1706:21 0.000211529 +136 *1439:145 *1706:146 0.000155575 +137 *1442:39 *1706:31 0.000460331 +138 *1442:73 *21788:A2 0.00031575 +139 *1443:18 *1706:21 1.96574e-05 +140 *1471:10 *1706:21 0.00013257 +141 *1471:10 *1706:118 9.12416e-06 +142 *1471:213 *21345:B2 0.00021986 +143 *1502:201 *21219:A2 8.47152e-05 +144 *1541:69 *1706:118 1.16562e-05 +145 *1541:136 *1706:54 0.00110438 +146 *1551:47 *1706:157 0.000118806 +147 *1597:45 *21345:B2 6.50586e-05 +148 *1604:91 *1706:87 0.000111938 +149 *1677:49 *1706:21 2.97421e-05 +150 *1677:93 *1706:21 0.000118706 +151 *1677:187 *1706:87 0.00132103 +152 *1680:59 *1706:146 0.000364982 +153 *1680:59 *1706:157 0.00014174 +154 *1686:8 *1706:21 0.00013257 +155 *1686:8 *1706:118 0.000241735 +156 *1698:129 *21939:A2 1.5714e-05 +*RES +1 *19949:X *1706:5 13.7491 +2 *1706:5 *1706:21 41.7319 +3 *1706:21 *1706:31 25.6399 +4 *1706:31 *1706:37 38.923 +5 *1706:37 *1706:46 41.568 +6 *1706:46 *1706:54 35.2374 +7 *1706:54 *21788:A2 25.1404 +8 *1706:54 *21345:B2 42.6927 +9 *1706:46 *1706:87 41.0614 +10 *1706:87 *1706:88 81.1229 +11 *1706:88 *21564:A2 23.6826 +12 *1706:37 *21683:A2 9.24915 +13 *1706:21 *21939:A2 19.9079 +14 *1706:5 *1706:118 10.0042 +15 *1706:118 *19956:A2 28.6901 +16 *1706:118 *1706:146 25.6078 +17 *1706:146 *1706:156 25.3747 +18 *1706:156 *1706:157 52.4928 +19 *1706:157 *21219:A2 18.9094 +20 *1706:146 *21371:A2 9.24915 +*END + +*D_NET *1707 0.0976931 +*CONN +*I *22219:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6089:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19956:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19950:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22219:A1 0 +2 *6089:DIODE 0.000225739 +3 *19956:B1 0.000216271 +4 *19950:Y 0.000352999 +5 *1707:43 0.0145032 +6 *1707:39 0.0188784 +7 *1707:32 0.00578168 +8 *1707:16 0.00277309 +9 *1707:14 0.00297292 +10 *1707:8 0.00292146 +11 *1707:7 0.00211011 +12 *6089:DIODE *19981:C 1.01553e-05 +13 *6089:DIODE *19981:D 8.3897e-06 +14 *6089:DIODE *21665:B2 3.77034e-05 +15 *6089:DIODE *1729:80 1.68253e-05 +16 *6089:DIODE *1735:91 0.000354661 +17 *19956:B1 *1716:68 9.66809e-05 +18 *1707:7 *4832:17 0.000576786 +19 *1707:8 *21949:A 0 +20 *1707:8 *1783:8 0.000570215 +21 *1707:8 *1945:34 0.000113836 +22 *1707:8 *1945:41 0.000220653 +23 *1707:8 *1945:48 0.000185692 +24 *1707:8 *1945:57 0.000203082 +25 *1707:8 *1945:64 7.7689e-05 +26 *1707:8 *2963:8 0.000331645 +27 *1707:8 *5207:8 0 +28 *1707:8 *5672:8 4.70005e-05 +29 *1707:8 *5673:9 0 +30 *1707:14 *24643:CLK 7.0954e-05 +31 *1707:14 *1783:8 0 +32 *1707:14 *1783:14 2.18249e-06 +33 *1707:14 *1784:136 0.000104731 +34 *1707:14 *1945:25 0.000134913 +35 *1707:14 *1945:34 0.000440606 +36 *1707:14 *2523:8 0.000264143 +37 *1707:16 *20393:A1 9.31248e-05 +38 *1707:16 *1783:14 0 +39 *1707:16 *2076:9 0.000153225 +40 *1707:16 *2520:21 4.89469e-06 +41 *1707:16 *2523:8 7.36726e-05 +42 *1707:16 *2602:23 7.50722e-05 +43 *1707:16 *4826:18 7.00933e-05 +44 *1707:16 *4840:173 4.10997e-05 +45 *1707:16 *4869:23 0 +46 *1707:16 *4869:101 0 +47 *1707:16 *4869:113 0 +48 *1707:16 *4889:183 0.000240149 +49 *1707:16 *4942:16 0.000905076 +50 *1707:16 *5008:10 5.302e-05 +51 *1707:32 *20388:A2 4.4196e-06 +52 *1707:32 *20388:B1 3.22124e-05 +53 *1707:32 *22207:C1 1.07248e-05 +54 *1707:32 *2602:32 0.000404468 +55 *1707:32 *2845:14 7.1406e-05 +56 *1707:32 *2963:42 0.000693617 +57 *1707:32 *4869:23 0.000278046 +58 *1707:39 *20985:B1 0.00136361 +59 *1707:39 *22207:C1 0.000143047 +60 *1707:39 *22208:B1 0.00228284 +61 *1707:39 *1724:48 3.57186e-06 +62 *1707:39 *1733:75 1.32841e-05 +63 *1707:39 *1787:40 1.48643e-05 +64 *1707:39 *2624:21 0 +65 *1707:39 *2655:19 0 +66 *1707:39 *2845:14 7.58217e-06 +67 *1707:39 *2954:27 3.3959e-05 +68 *1707:39 *2963:57 0.00138568 +69 *1707:39 *2963:127 0.000110597 +70 *1707:39 *3157:148 0.000113374 +71 *1707:39 *3164:131 2.01653e-05 +72 *1707:39 *4550:53 0.000525962 +73 *1707:39 *4664:33 0 +74 *1707:39 *5855:39 0.00121266 +75 *1707:39 *5856:88 7.93457e-06 +76 *1707:43 *20158:A1 0 +77 *1707:43 *21777:A1 0 +78 *1707:43 *22424:C1 0.000506219 +79 *1707:43 *24385:CLK 0.000110567 +80 *1707:43 *1716:57 2.29295e-05 +81 *1707:43 *1716:68 0.00070545 +82 *1707:43 *1725:33 0.0019257 +83 *1707:43 *1729:80 0.00126515 +84 *1707:43 *1735:91 0.00153784 +85 *1707:43 *1764:31 1.68091e-05 +86 *1707:43 *1795:44 0.00350823 +87 *1707:43 *2429:25 0 +88 *1707:43 *2620:76 0.0112571 +89 *1707:43 *2651:13 0.00083901 +90 *1707:43 *2785:74 0.000288655 +91 *1707:43 *4828:139 0 +92 *1707:43 *5674:34 4.82618e-05 +93 *1707:43 *5854:606 0.000438957 +94 *1707:43 *5861:157 0.000193457 +95 *1707:43 *5869:92 0.000728073 +96 *1707:43 *5869:108 0.000676687 +97 *1707:43 *5869:338 0.000383356 +98 *1707:43 *5925:17 0.000408901 +99 *19875:B1 *1707:39 3.27469e-05 +100 *19929:A1 *6089:DIODE 0.000244003 +101 *19929:A1 *1707:43 0.000567907 +102 *19929:B2 *19956:B1 0.000245521 +103 *20034:A *6089:DIODE 5.65354e-05 +104 *24455:D *1707:16 0.00012568 +105 *24637:D *1707:8 0.000127179 +106 *24638:D *1707:8 0.000122083 +107 *24639:D *1707:8 3.42931e-05 +108 *24651:D *1707:32 9.60366e-05 +109 *24652:D *1707:32 0.000130595 +110 *1455:104 *1707:43 0.000117093 +111 *1482:29 *1707:39 0.000172851 +112 *1482:29 *1707:43 6.82851e-05 +113 *1577:13 *19956:B1 2.52921e-05 +114 *1594:43 *1707:39 0.00011672 +115 *1595:60 *1707:39 0.000257682 +116 *1601:8 *1707:16 0.00352341 +117 *1601:8 *1707:32 0 +118 *1619:8 *1707:8 0 +119 *1626:8 *1707:32 0.000145966 +120 *1658:73 *1707:39 0 +121 *1675:15 *1707:43 0.00145133 +122 *1685:26 *19956:B1 2.55661e-06 +123 *1688:34 *1707:39 0.00012012 +*RES +1 *19950:Y *1707:7 20.0186 +2 *1707:7 *1707:8 57.6834 +3 *1707:8 *1707:14 32.6095 +4 *1707:14 *1707:16 71.6432 +5 *1707:16 *1707:32 30.692 +6 *1707:32 *1707:39 24.7228 +7 *1707:39 *1707:43 46.5876 +8 *1707:43 *19956:B1 22.4796 +9 *1707:43 *6089:DIODE 19.3276 +10 *1707:32 *22219:A1 13.7491 +*END + +*D_NET *1708 0.111982 +*CONN +*I *21838:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21549:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21225:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21308:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21712:B2 I *D sky130_fd_sc_hd__o22a_4 +*I *20548:B I *D sky130_fd_sc_hd__or2_1 +*I *6156:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19956:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21938:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21383:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19951:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21838:B2 0.000631714 +2 *21549:B2 0.0005165 +3 *21225:A2 0.000150648 +4 *21308:B2 0.000176069 +5 *21712:B2 0.00094391 +6 *20548:B 0 +7 *6156:DIODE 2.98868e-05 +8 *19956:B2 0.000234069 +9 *21938:A2 0.000879975 +10 *21383:A2 0.000125843 +11 *19951:X 0.000160259 +12 *1708:139 0.000323102 +13 *1708:137 0.00174468 +14 *1708:124 0.00225024 +15 *1708:116 0.00193488 +16 *1708:111 0.00402462 +17 *1708:104 0.00694409 +18 *1708:95 0.00861895 +19 *1708:73 0.00484451 +20 *1708:70 0.00587438 +21 *1708:49 0.00509806 +22 *1708:34 0.00224928 +23 *1708:27 0.0019207 +24 *1708:5 0.00114194 +25 *6156:DIODE *20399:B1 2.57847e-05 +26 *19956:B2 *19956:C1 3.04443e-05 +27 *19956:B2 *19981:A 5.04829e-06 +28 *19956:B2 *1716:68 1.38715e-05 +29 *19956:B2 *1729:80 1.87619e-06 +30 *19956:B2 *1808:11 7.89747e-05 +31 *19956:B2 *2429:25 0.0003862 +32 *19956:B2 *2749:38 0.000158357 +33 *21225:A2 *21225:A1 1.64789e-05 +34 *21225:A2 *21225:B1 5.20546e-06 +35 *21225:A2 *1800:119 5.36085e-05 +36 *21225:A2 *2291:66 5.60804e-05 +37 *21225:A2 *3208:170 2.70402e-05 +38 *21225:A2 *3581:29 5.01835e-05 +39 *21308:B2 *2340:15 1.66626e-05 +40 *21308:B2 *2541:11 4.67196e-05 +41 *21308:B2 *2620:37 0 +42 *21308:B2 *2770:13 1.17136e-05 +43 *21308:B2 *4823:12 2.02035e-05 +44 *21308:B2 *5880:31 0.000118008 +45 *21383:A2 *2443:10 3.99086e-06 +46 *21383:A2 *2466:29 0.000217572 +47 *21549:B2 *21808:D 3.41459e-05 +48 *21549:B2 *22223:A2 1.13223e-05 +49 *21549:B2 *22555:A2 3.99086e-06 +50 *21549:B2 *2605:29 2.53145e-06 +51 *21712:B2 *20388:B1 0.000115045 +52 *21712:B2 *20709:B1 7.37789e-05 +53 *21712:B2 *21712:A2 6.50593e-05 +54 *21712:B2 *21712:B1 0.000107496 +55 *21712:B2 *21834:A 9.96839e-05 +56 *21712:B2 *22023:B1 4.3648e-05 +57 *21712:B2 *1770:31 8.58377e-05 +58 *21712:B2 *1958:20 2.96924e-05 +59 *21712:B2 *2737:29 0.000111722 +60 *21712:B2 *2826:19 1.3044e-05 +61 *21712:B2 *2827:13 9.95514e-05 +62 *21712:B2 *2850:12 0 +63 *21838:B2 *21808:A 0.000159603 +64 *21838:B2 *21808:B 0.000361403 +65 *21838:B2 *21808:C 3.07302e-05 +66 *21838:B2 *21813:B1 5.88009e-05 +67 *21838:B2 *21838:A2 0.000107496 +68 *21838:B2 *21901:B 5.17042e-05 +69 *21838:B2 *21902:B 0.000492093 +70 *21838:B2 *2605:29 0.000164207 +71 *21838:B2 *2852:49 2.87269e-05 +72 *21838:B2 *2856:45 1.97124e-05 +73 *21838:B2 *3527:70 4.31603e-06 +74 *21838:B2 *3527:84 0.000815807 +75 *21838:B2 *4850:68 6.7671e-06 +76 *21938:A2 *21927:A1 2.87885e-05 +77 *21938:A2 *1715:89 6.20662e-06 +78 *21938:A2 *1715:99 3.32085e-05 +79 *21938:A2 *1735:52 1.61631e-05 +80 *21938:A2 *1735:67 6.50586e-05 +81 *21938:A2 *1740:98 0.00017124 +82 *21938:A2 *2700:26 0.000531201 +83 *21938:A2 *3003:24 0.0001584 +84 *21938:A2 *5563:45 9.98683e-05 +85 *1708:27 *21411:C1 5.8973e-05 +86 *1708:27 *2740:23 0.000985856 +87 *1708:27 *2740:27 1.55666e-05 +88 *1708:34 *21864:C1 0.000715945 +89 *1708:34 *2466:29 2.2599e-05 +90 *1708:34 *2468:135 1.07248e-05 +91 *1708:34 *2587:18 0.000777231 +92 *1708:34 *2744:23 0.000722696 +93 *1708:34 *5452:11 0 +94 *1708:70 *21456:A2 0.000236033 +95 *1708:70 *21808:D 0.000307038 +96 *1708:70 *21813:B1 1.5714e-05 +97 *1708:70 *21901:B 1.5714e-05 +98 *1708:70 *1716:45 8.3051e-06 +99 *1708:70 *1721:12 5.11419e-05 +100 *1708:70 *1721:25 3.80286e-05 +101 *1708:70 *1721:66 0.00142507 +102 *1708:70 *1798:36 0.00200756 +103 *1708:70 *1804:64 0.00036095 +104 *1708:70 *3406:29 2.31669e-05 +105 *1708:70 *3427:28 0.000330741 +106 *1708:70 *3511:68 0.000313775 +107 *1708:70 *3523:74 0.000853064 +108 *1708:70 *3523:98 0.00133549 +109 *1708:73 *3527:70 0.000107496 +110 *1708:95 *6192:DIODE 8.00218e-05 +111 *1708:95 *21630:B1 0 +112 *1708:95 *21795:C1 5.41227e-05 +113 *1708:95 *22054:C1 6.23101e-05 +114 *1708:95 *22236:A2 0.000215894 +115 *1708:95 *22269:B1 0.00015524 +116 *1708:95 *22270:A2 3.78241e-05 +117 *1708:95 *22528:A2 7.57672e-05 +118 *1708:95 *2291:66 0.000206333 +119 *1708:95 *2811:26 8.94611e-05 +120 *1708:95 *3204:168 1.31642e-05 +121 *1708:95 *3206:207 0.000124894 +122 *1708:95 *3208:170 0.000160624 +123 *1708:95 *3474:130 7.00554e-05 +124 *1708:95 *3493:88 0.000180894 +125 *1708:95 *3507:156 1.92693e-05 +126 *1708:95 *3511:147 8.55635e-05 +127 *1708:95 *3739:8 0.000394232 +128 *1708:95 *5904:64 0.000840255 +129 *1708:104 *22695:B1 0.00216097 +130 *1708:104 *1717:142 0 +131 *1708:104 *1717:163 0 +132 *1708:104 *1790:76 0.000560309 +133 *1708:104 *1790:93 0.00147454 +134 *1708:104 *1790:144 0.00125043 +135 *1708:104 *2343:25 0.000418574 +136 *1708:104 *2347:36 0 +137 *1708:104 *2375:28 0 +138 *1708:104 *2881:51 0.000244171 +139 *1708:104 *4822:80 0.00132712 +140 *1708:104 *5890:36 0 +141 *1708:111 *24431:RESET_B 0.000317671 +142 *1708:111 *1959:40 9.89882e-05 +143 *1708:111 *2541:11 0.00019953 +144 *1708:111 *2620:37 0 +145 *1708:111 *2764:29 0 +146 *1708:111 *2797:47 0.00138438 +147 *1708:111 *2819:18 0.000245717 +148 *1708:111 *4840:155 0.000389948 +149 *1708:116 *20442:B2 0.000221335 +150 *1708:116 *20444:A1 0.000204267 +151 *1708:116 *1729:13 8.50356e-05 +152 *1708:116 *1958:92 1.72221e-05 +153 *1708:116 *1958:109 6.85153e-05 +154 *1708:116 *1959:10 0.000667744 +155 *1708:116 *1959:12 0.000297099 +156 *1708:116 *2737:29 9.94764e-05 +157 *1708:116 *2797:47 0.000687531 +158 *1708:116 *2978:8 0.00111181 +159 *1708:116 *2978:20 3.9199e-05 +160 *1708:116 *4840:155 0.000212074 +161 *1708:116 *5458:94 0.00158151 +162 *1708:124 *20670:B1 5.481e-05 +163 *1708:124 *1799:21 9.04224e-05 +164 *1708:124 *2846:14 0.00187582 +165 *1708:124 *2978:8 0.000510828 +166 *1708:124 *4888:8 0.000169078 +167 *1708:124 *4888:19 0.000475704 +168 *1708:124 *5921:72 3.31745e-05 +169 *1708:137 *20399:A1 6.50727e-05 +170 *1708:137 *20399:B1 0.000166132 +171 *1708:137 *20548:A 7.39264e-05 +172 *1708:137 *1945:34 7.14746e-05 +173 *1708:137 *2523:8 7.50872e-05 +174 *1708:137 *2846:14 0.000133166 +175 *1708:137 *2978:8 0.00049015 +176 *1708:137 *5251:25 9.80242e-07 +177 *1708:137 *5853:611 0.00053326 +178 *1708:139 *20399:B1 2.81262e-05 +179 *1708:139 *20548:A 0.000612779 +180 *19693:B *21383:A2 0.000129121 +181 *19808:B *1708:70 0.000805514 +182 *19820:B2 *1708:95 0.00310898 +183 *21565:A2 *1708:70 0.00209501 +184 *22210:A1 *1708:95 9.00714e-07 +185 *22555:B1 *1708:95 9.32704e-05 +186 *24430:D *21712:B2 0.000130777 +187 *24623:D *1708:137 0.000174175 +188 *1439:424 *21308:B2 0.000253916 +189 *1449:59 *1708:34 0 +190 *1449:59 *1708:49 0 +191 *1449:59 *1708:70 0 +192 *1461:88 *21383:A2 0.00022117 +193 *1497:5 *21383:A2 0 +194 *1497:17 *21383:A2 0.000164815 +195 *1510:45 *1708:27 0.00102126 +196 *1510:64 *21938:A2 0.000213458 +197 *1510:99 *1708:70 0.000846929 +198 *1541:69 *21938:A2 0.000718456 +199 *1544:51 *19956:B2 1.89527e-06 +200 *1561:50 *1708:70 0.000401879 +201 *1583:103 *21549:B2 0.000624943 +202 *1583:103 *21838:B2 0.000107496 +203 *1583:103 *1708:73 0.000310984 +204 *1584:69 *1708:95 0.000183879 +205 *1602:37 *1708:95 0.00106701 +206 *1607:29 *21712:B2 0.000790578 +207 *1614:104 *1708:104 0.000941881 +208 *1655:88 *1708:95 0.000104754 +209 *1656:37 *21712:B2 0.000372132 +210 *1668:85 *1708:70 2.98486e-05 +211 *1677:108 *19956:B2 0.00036844 +212 *1678:69 *1708:70 0.00155572 +213 *1684:48 *1708:49 0.000262424 +214 *1684:48 *1708:70 0.000922031 +215 *1684:85 *1708:70 0.00108224 +216 *1685:26 *21938:A2 0.00146042 +217 *1686:8 *19956:B2 3.2109e-05 +218 *1706:21 *21938:A2 3.73639e-05 +219 *1706:118 *21938:A2 0.000446321 +*RES +1 *19951:X *1708:5 12.191 +2 *1708:5 *21383:A2 24.5687 +3 *1708:5 *1708:27 39.6261 +4 *1708:27 *1708:34 20.9297 +5 *1708:34 *21938:A2 24.9898 +6 *1708:34 *1708:49 0.96486 +7 *1708:49 *19956:B2 22.0532 +8 *1708:49 *1708:70 24.4196 +9 *1708:70 *1708:73 14.6517 +10 *1708:73 *1708:95 46.131 +11 *1708:95 *1708:104 17.4047 +12 *1708:104 *1708:111 5.58877 +13 *1708:111 *1708:116 47.4861 +14 *1708:116 *1708:124 45.1642 +15 *1708:124 *1708:137 43.9278 +16 *1708:137 *1708:139 7.93324 +17 *1708:139 *6156:DIODE 9.97254 +18 *1708:139 *20548:B 9.24915 +19 *1708:111 *21712:B2 48.0345 +20 *1708:104 *21308:B2 20.756 +21 *1708:95 *21225:A2 20.1272 +22 *1708:73 *21549:B2 18.171 +23 *1708:70 *21838:B2 37.5912 +*END + +*D_NET *1709 0.0809282 +*CONN +*I *19955:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6157:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19952:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *19955:A1 2.3034e-05 +2 *6157:DIODE 0.00018315 +3 *19952:Y 0.00116862 +4 *1709:87 0.00425105 +5 *1709:78 0.00529186 +6 *1709:69 0.00169645 +7 *1709:65 0.0012353 +8 *1709:59 0.00115319 +9 *1709:54 0.00158922 +10 *1709:53 0.00212739 +11 *1709:48 0.00165282 +12 *1709:47 0.00119743 +13 *1709:40 0.00240887 +14 *1709:27 0.00299631 +15 *1709:13 0.00220617 +16 *6157:DIODE *19955:B1 4.66492e-05 +17 *6157:DIODE *22800:B1 6.63489e-05 +18 *6157:DIODE *1710:26 0.000107496 +19 *1709:13 *19952:A 0.000207266 +20 *1709:13 *20263:A 1.03403e-05 +21 *1709:13 *1904:7 0.000161794 +22 *1709:13 *1919:7 4.67626e-05 +23 *1709:13 *5884:32 0.000239283 +24 *1709:27 *20269:A1 4.96417e-05 +25 *1709:27 *20269:A2 5.99691e-05 +26 *1709:27 *20325:A1 0.000262473 +27 *1709:27 *20327:B2 5.11183e-05 +28 *1709:27 *1904:7 2.63669e-05 +29 *1709:27 *1904:21 0.000531058 +30 *1709:27 *2380:60 0.000380204 +31 *1709:27 *2451:36 1.5714e-05 +32 *1709:27 *2610:29 5.04829e-06 +33 *1709:27 *4819:117 2.90718e-05 +34 *1709:27 *5494:10 0.000317939 +35 *1709:40 *19562:S 0.000178344 +36 *1709:40 *21412:C1 0.00116486 +37 *1709:40 *1741:40 3.17436e-05 +38 *1709:40 *2287:82 0.000130457 +39 *1709:40 *2384:32 6.03122e-05 +40 *1709:40 *2448:146 5.76799e-05 +41 *1709:40 *2460:40 0.00129133 +42 *1709:40 *2570:66 6.51679e-05 +43 *1709:40 *2584:45 1.79334e-05 +44 *1709:40 *2635:47 0.00317001 +45 *1709:40 *2679:37 1.60578e-06 +46 *1709:40 *2900:111 1.5296e-05 +47 *1709:40 *4814:64 0.000404154 +48 *1709:40 *4823:85 2.84859e-05 +49 *1709:40 *5494:10 0.00149591 +50 *1709:40 *5529:11 3.21376e-05 +51 *1709:40 *5592:102 0.000223844 +52 *1709:40 *5864:747 0.000915206 +53 *1709:40 *5864:753 0.000365237 +54 *1709:47 *2448:146 4.7315e-05 +55 *1709:47 *2978:47 5.73418e-05 +56 *1709:47 *2989:63 2.61955e-05 +57 *1709:47 *5529:29 3.99086e-06 +58 *1709:47 *5898:201 1.41976e-05 +59 *1709:48 *1741:56 1.3706e-05 +60 *1709:48 *2282:78 0.00073643 +61 *1709:48 *2389:56 1.3813e-05 +62 *1709:48 *2389:72 0.000167179 +63 *1709:48 *2391:34 0.000403215 +64 *1709:48 *2391:77 0.000118815 +65 *1709:48 *2938:34 0.00440844 +66 *1709:48 *5913:18 0.00311723 +67 *1709:53 *2729:77 0.000170921 +68 *1709:53 *4974:9 0.000144123 +69 *1709:54 *2380:84 0.000834485 +70 *1709:54 *2896:58 0.00019635 +71 *1709:54 *4805:92 0 +72 *1709:54 *4809:52 1.3813e-05 +73 *1709:54 *4843:421 0.00081346 +74 *1709:54 *4843:432 9.90599e-06 +75 *1709:54 *5560:10 1.3813e-05 +76 *1709:54 *5864:459 0 +77 *1709:54 *5864:494 0.000237969 +78 *1709:54 *5864:503 0.000841933 +79 *1709:59 *24808:CLK 0.000924899 +80 *1709:59 *5855:298 7.98171e-06 +81 *1709:65 *20251:B 0.00111337 +82 *1709:78 *20170:A1 0.000151726 +83 *1709:78 *20834:A 6.39068e-05 +84 *1709:78 *20852:A3 9.95542e-06 +85 *1709:78 *20856:B2 0.000452343 +86 *1709:78 *21784:A 0.000263483 +87 *1709:78 *1864:27 0 +88 *1709:78 *2106:8 5.4694e-06 +89 *1709:78 *2112:22 0.000103006 +90 *1709:78 *2115:14 1.43848e-05 +91 *1709:78 *3076:46 0.00139743 +92 *1709:78 *4804:21 5.4737e-05 +93 *1709:78 *4833:70 0 +94 *1709:78 *5857:189 0 +95 *1709:78 *6028:102 3.5729e-05 +96 *1709:87 *21370:A1_N 0.000120377 +97 *1709:87 *21472:B1 0.00145274 +98 *1709:87 *22800:B1 7.92757e-06 +99 *1709:87 *23941:S 0.000407097 +100 *1709:87 *1715:127 0.000232235 +101 *1709:87 *1771:24 8.56016e-05 +102 *1709:87 *1882:18 0.000523826 +103 *1709:87 *2428:96 3.08738e-05 +104 *1709:87 *2667:72 0.00193316 +105 *1709:87 *2720:24 0.00249333 +106 *1709:87 *2880:20 0 +107 *1709:87 *2924:89 0.00255578 +108 *1709:87 *4830:71 0.000179188 +109 *1709:87 *4844:303 0 +110 *1709:87 *5563:45 0.000120232 +111 *20251:A *1709:65 3.56872e-05 +112 *20251:A *1709:69 1.41976e-05 +113 *20319:A2 *1709:13 8.04914e-05 +114 *20319:B1 *1709:13 2.16355e-05 +115 *20319:C1 *1709:13 1.19856e-05 +116 *20325:B1 *1709:27 0.000516993 +117 *24039:S *1709:27 0.000153225 +118 *24810:D *1709:54 8.32283e-05 +119 *324:11 *1709:65 0.000243557 +120 *476:108 *1709:59 0.00120846 +121 *500:48 *1709:54 7.5909e-06 +122 *500:60 *1709:54 0.00221457 +123 *510:55 *1709:65 0.00161187 +124 *527:44 *1709:48 0.000368968 +125 *535:25 *1709:54 3.98766e-05 +126 *598:8 *1709:27 0.000255213 +127 *1439:404 *1709:13 5.92192e-05 +128 *1439:413 *1709:13 0.000192272 +129 *1439:416 *1709:13 6.23875e-05 +130 *1443:18 *1709:87 9.01968e-05 +131 *1573:91 *1709:69 6.08467e-05 +132 *1573:95 *1709:69 0.00190239 +133 *1636:68 *1709:87 0.000393326 +134 *1637:42 *1709:87 0.000217396 +135 *1679:37 *1709:69 0.00161379 +136 *1706:146 *1709:87 0.000878235 +*RES +1 *19952:Y *1709:13 39.9877 +2 *1709:13 *1709:27 49.6516 +3 *1709:27 *1709:40 45.6177 +4 *1709:40 *1709:47 18.0666 +5 *1709:47 *1709:48 72.8401 +6 *1709:48 *1709:53 26.9161 +7 *1709:53 *1709:54 55.8148 +8 *1709:54 *1709:59 24.1431 +9 *1709:59 *1709:65 36.5652 +10 *1709:65 *1709:69 26.8529 +11 *1709:69 *1709:78 47.9824 +12 *1709:78 *1709:87 25.0286 +13 *1709:87 *6157:DIODE 13.3002 +14 *1709:87 *19955:A1 9.82786 +*END + +*D_NET *1710 0.0220799 +*CONN +*I *19955:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22732:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22414:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19953:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19955:B1 1.30387e-05 +2 *22732:B1 0.000122041 +3 *22414:B1 0.00038538 +4 *19953:Y 9.51781e-05 +5 *1710:26 0.00204013 +6 *1710:18 0.00200706 +7 *1710:8 0.00282516 +8 *1710:7 0.00245903 +9 *19955:B1 *22800:B1 6.08467e-05 +10 *22414:B1 *22749:A1 3.31745e-05 +11 *22414:B1 *2773:28 7.59222e-05 +12 *22414:B1 *3179:29 0.000347214 +13 *22732:B1 *3406:14 6.89044e-05 +14 *22732:B1 *3496:42 5.67744e-06 +15 *22732:B1 *3496:52 1.33243e-05 +16 *22732:B1 *3498:64 7.8601e-05 +17 *22732:B1 *3498:75 6.50727e-05 +18 *1710:7 *2928:24 1.82679e-05 +19 *1710:8 *20029:B1 1.66771e-05 +20 *1710:8 *20354:A1 0.000182401 +21 *1710:8 *21339:B2 0.000136838 +22 *1710:8 *21411:C1 0.000118485 +23 *1710:8 *21719:B1 6.48631e-05 +24 *1710:8 *21842:A 0.000211264 +25 *1710:8 *21884:A 0.000114679 +26 *1710:8 *21963:B2 0.000167107 +27 *1710:8 *2399:6 0.00287968 +28 *1710:8 *2587:16 0.00063079 +29 *1710:8 *2587:18 0.0021855 +30 *1710:8 *2734:24 1.91246e-05 +31 *1710:18 *19955:B2 0.000155877 +32 *1710:18 *21864:C1 3.98732e-05 +33 *1710:18 *2740:27 4.65445e-05 +34 *1710:18 *3208:21 0.000101489 +35 *1710:18 *5452:11 3.22316e-06 +36 *1710:26 *21724:B1 0 +37 *1710:26 *21725:B1 4.42033e-05 +38 *1710:26 *22800:B1 0.000200794 +39 *1710:26 *1729:80 1.5714e-05 +40 *1710:26 *2587:18 0.00146047 +41 *1710:26 *2726:22 7.22263e-05 +42 *1710:26 *2744:23 0.000291512 +43 *1710:26 *3496:52 0.000465664 +44 *1710:26 *3498:44 2.05342e-06 +45 *1710:26 *3498:64 0.000302433 +46 *1710:26 *4821:41 0.000119925 +47 *6157:DIODE *19955:B1 4.66492e-05 +48 *6157:DIODE *1710:26 0.000107496 +49 *19671:A *1710:8 3.63593e-05 +50 *19980:B2 *1710:8 0.000116721 +51 *19980:B2 *1710:18 0.000481625 +52 *1450:20 *1710:8 2.27135e-05 +53 *1450:20 *1710:18 4.15873e-06 +54 *1510:45 *1710:8 0.000359176 +55 *1564:11 *1710:26 2.60717e-05 +56 *1651:52 *22414:B1 0.000115451 +*RES +1 *19953:Y *1710:7 15.0271 +2 *1710:7 *1710:8 85.0901 +3 *1710:8 *1710:18 21.1176 +4 *1710:18 *1710:26 45.7499 +5 *1710:26 *22414:B1 30.1566 +6 *1710:26 *22732:B1 17.6236 +7 *1710:18 *19955:B1 9.97254 +*END + +*D_NET *1711 0.0288928 +*CONN +*I *19955:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21927:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21844:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21530:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *21718:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20349:B I *D sky130_fd_sc_hd__or2_1 +*I *19954:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *19955:B2 0.000225324 +2 *21927:A2 1.26553e-05 +3 *21844:A2 0.000911431 +4 *21530:B2 0.000111321 +5 *21718:A2 0 +6 *20349:B 0.000102765 +7 *19954:X 0.000282621 +8 *1711:87 0.00196591 +9 *1711:65 0.00242408 +10 *1711:38 0.00143359 +11 *1711:18 0.00192509 +12 *1711:16 0.00263106 +13 *1711:15 0.00200732 +14 *1711:7 0.0017412 +15 *19955:B2 *2740:27 0.000163751 +16 *19955:B2 *2960:16 8.11083e-05 +17 *19955:B2 *3208:21 9.80784e-05 +18 *20349:B *5870:272 4.31118e-05 +19 *21530:B2 *21718:B1 0.000179579 +20 *21530:B2 *3014:7 0.000455422 +21 *21530:B2 *4829:23 3.58602e-05 +22 *21844:A2 *20575:A 0.000161775 +23 *21844:A2 *20583:A1 0.000375169 +24 *21844:A2 *1802:187 2.78407e-05 +25 *21844:A2 *2966:23 0.000230117 +26 *1711:7 *4844:339 0.000393863 +27 *1711:15 *1725:17 0.000197511 +28 *1711:15 *1784:13 0 +29 *1711:15 *1802:175 9.78555e-05 +30 *1711:16 *6178:DIODE 2.37478e-05 +31 *1711:16 *20578:A1 7.84361e-05 +32 *1711:16 *20582:A1 0.000211007 +33 *1711:16 *21207:A 5.75757e-05 +34 *1711:16 *21389:B1 0 +35 *1711:16 *21390:C1 1.80266e-05 +36 *1711:16 *21722:A 0.000217629 +37 *1711:16 *1802:175 0.000177271 +38 *1711:16 *1802:177 0.000595757 +39 *1711:16 *1802:187 7.85591e-05 +40 *1711:16 *2753:67 6.71933e-05 +41 *1711:16 *5830:41 0 +42 *1711:16 *5870:270 8.15952e-06 +43 *1711:18 *20583:A1 0 +44 *1711:18 *5830:22 1.15258e-05 +45 *1711:18 *5830:41 0 +46 *1711:18 *5870:270 3.70312e-05 +47 *1711:18 *5870:272 0.000135848 +48 *1711:38 *20356:A2 7.42651e-05 +49 *1711:38 *21718:B1 4.31703e-05 +50 *1711:38 *2675:28 0.000300565 +51 *1711:38 *2742:9 5.88657e-05 +52 *1711:38 *2928:24 1.65872e-05 +53 *1711:38 *3014:7 0.000259671 +54 *1711:38 *3014:30 0.000198045 +55 *1711:65 *21926:A1 0.000340687 +56 *1711:65 *21927:B1 5.039e-05 +57 *1711:65 *2469:35 0.000365964 +58 *1711:65 *2979:46 1.5254e-05 +59 *1711:87 *6200:DIODE 1.41291e-05 +60 *1711:87 *19962:A2 3.37888e-05 +61 *1711:87 *19962:B1 4.66492e-05 +62 *1711:87 *19979:B1 1.05272e-06 +63 *1711:87 *21472:B1 2.43314e-05 +64 *1711:87 *21927:B2 7.12632e-06 +65 *1711:87 *21946:C 0.000164843 +66 *1711:87 *1714:42 2.38934e-06 +67 *1711:87 *1716:71 0.000211464 +68 *1711:87 *1718:5 0.000205006 +69 *1711:87 *2338:14 4.91225e-06 +70 *1711:87 *2519:33 0.000256703 +71 *1711:87 *2936:67 2.27118e-06 +72 *1711:87 *2960:16 0.000402457 +73 *1711:87 *2979:46 6.73707e-05 +74 *19671:C *20349:B 5.1573e-05 +75 *19671:C *1711:18 0.000214359 +76 *19805:A *1711:15 5.46889e-05 +77 *19883:A *1711:15 0.000515799 +78 *19883:A *1711:65 1.5714e-05 +79 *19960:A *1711:87 0.000126318 +80 *20002:B *1711:16 0.000214224 +81 *21275:A *1711:87 4.97617e-05 +82 *24515:D *1711:65 7.13655e-06 +83 *462:64 *1711:15 0.000182386 +84 *476:46 *1711:65 1.30371e-05 +85 *516:19 *1711:65 0.000366111 +86 *1427:13 *1711:15 0.000423057 +87 *1427:153 *1711:15 0.000543055 +88 *1435:42 *1711:65 0.00030199 +89 *1439:243 *20349:B 1.44467e-05 +90 *1461:195 *1711:87 3.50779e-05 +91 *1492:46 *1711:38 2.20585e-05 +92 *1515:133 *1711:65 0 +93 *1544:51 *1711:87 0.000140882 +94 *1563:10 *1711:16 0.000362562 +95 *1573:46 *1711:38 0.000937185 +96 *1640:8 *1711:15 2.01082e-05 +97 *1640:8 *1711:65 3.57291e-06 +98 *1640:12 *1711:65 0 +99 *1641:10 *1711:65 0.000173425 +100 *1677:49 *21927:A2 6.50586e-05 +101 *1677:93 *1711:87 0 +102 *1680:27 *1711:87 4.53536e-05 +103 *1689:28 *1711:16 1.66771e-05 +104 *1689:47 *1711:65 0.000401667 +105 *1691:44 *1711:16 1.5714e-05 +106 *1706:21 *21927:A2 2.65667e-05 +107 *1706:21 *1711:65 0.000108028 +108 *1706:21 *1711:87 6.82394e-05 +109 *1710:18 *19955:B2 0.000155877 +*RES +1 *19954:X *1711:7 18.3548 +2 *1711:7 *1711:15 27.9059 +3 *1711:15 *1711:16 37.3361 +4 *1711:16 *1711:18 13.4591 +5 *1711:18 *20349:B 16.8269 +6 *1711:18 *1711:38 38.0413 +7 *1711:38 *21718:A2 9.24915 +8 *1711:38 *21530:B2 14.9881 +9 *1711:16 *21844:A2 28.3376 +10 *1711:7 *1711:65 26.2747 +11 *1711:65 *21927:A2 14.4725 +12 *1711:65 *1711:87 45.695 +13 *1711:87 *19955:B2 24.7222 +*END + +*D_NET *1712 0.00251562 +*CONN +*I *19956:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19955:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19956:C1 0.000844208 +2 *19955:X 0.000844208 +3 *19956:C1 *20052:B 0.000340742 +4 *19956:C1 *22800:B1 0.000234731 +5 *19956:C1 *1716:68 0.000133992 +6 *19956:C1 *2515:27 6.08467e-05 +7 *19956:B2 *19956:C1 3.04443e-05 +8 *1686:8 *19956:C1 2.64442e-05 +*RES +1 *19955:X *19956:C1 41.9763 +*END + +*D_NET *1713 0.00083496 +*CONN +*I *19981:A I *D sky130_fd_sc_hd__and4_1 +*I *19956:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19981:A 0.000270806 +2 *19956:X 0.000270806 +3 *19981:A *1716:68 2.01283e-05 +4 *19981:A *1808:11 2.77625e-06 +5 *19981:A *2749:38 1.91391e-05 +6 *19929:B2 *19981:A 7.94462e-05 +7 *19956:A2 *19981:A 0.000166811 +8 *19956:B2 *19981:A 5.04829e-06 +*RES +1 *19956:X *19981:A 32.2721 +*END + +*D_NET *1714 0.0350722 +*CONN +*I *19962:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19957:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19962:A1 0.000361726 +2 *19957:Y 2.84672e-05 +3 *1714:42 0.00303183 +4 *1714:36 0.00380161 +5 *1714:28 0.00121619 +6 *1714:27 8.46882e-05 +7 *1714:25 0.000796108 +8 *1714:24 0.0028331 +9 *1714:11 0.0045069 +10 *1714:8 0.00249838 +11 *19962:A1 *19962:A2 2.89954e-05 +12 *19962:A1 *21927:A1 0.000830957 +13 *19962:A1 *1715:99 1.52551e-05 +14 *19962:A1 *1717:5 7.98171e-06 +15 *19962:A1 *2338:14 0.000107496 +16 *19962:A1 *2519:33 3.52699e-05 +17 *19962:A1 *2858:27 1.5714e-05 +18 *19962:A1 *2960:16 2.50646e-05 +19 *1714:8 *5938:8 7.86847e-05 +20 *1714:11 *1755:27 4.82656e-05 +21 *1714:11 *2502:17 0.000340357 +22 *1714:11 *5801:49 8.28759e-06 +23 *1714:24 *21116:B2 0.000317671 +24 *1714:24 *24060:S 0 +25 *1714:24 *24066:A1 8.35558e-05 +26 *1714:24 *1725:33 5.56606e-05 +27 *1714:24 *1786:34 0 +28 *1714:24 *2704:53 3.18208e-05 +29 *1714:24 *2878:44 8.92601e-06 +30 *1714:24 *3014:69 0.000305129 +31 *1714:24 *5452:11 0.00023081 +32 *1714:24 *5485:191 4.89581e-05 +33 *1714:24 *5997:12 8.71534e-05 +34 *1714:25 *1801:49 0.00122295 +35 *1714:25 *6001:84 0.000247443 +36 *1714:36 *20685:B2 6.06269e-06 +37 *1714:36 *2037:40 3.4875e-05 +38 *1714:36 *2939:35 0.00145126 +39 *1714:36 *2994:12 0.000220168 +40 *1714:36 *5860:91 6.51527e-05 +41 *1714:42 *6200:DIODE 3.81056e-05 +42 *1714:42 *21462:A1 0.00101172 +43 *1714:42 *21939:B1 3.75221e-05 +44 *1714:42 *21939:C1 2.75742e-06 +45 *1714:42 *21946:A 0.000160617 +46 *1714:42 *21946:B 5.17273e-05 +47 *1714:42 *21946:C 6.50683e-06 +48 *1714:42 *2895:7 0.000152979 +49 *1714:42 *2948:27 1.21551e-05 +50 *1714:42 *2960:16 2.86827e-05 +51 *21275:A *1714:42 1.41181e-05 +52 *21275:B *1714:42 2.40736e-05 +53 *21939:A2 *1714:42 0.000154145 +54 *21939:B2 *1714:42 7.41583e-05 +55 *24445:D *1714:42 0.000111722 +56 *475:12 *1714:24 0.00154679 +57 *657:310 *1714:11 0.000393863 +58 *1438:81 *1714:24 0 +59 *1448:213 *1714:25 0.00153221 +60 *1471:160 *1714:8 7.50872e-05 +61 *1515:60 *1714:24 6.23101e-05 +62 *1521:75 *1714:25 0.00325748 +63 *1522:26 *1714:11 3.948e-05 +64 *1541:69 *19962:A1 0.00100687 +65 *1641:26 *1714:42 1.25867e-05 +66 *1697:39 *1714:11 1.4091e-06 +67 *1698:117 *1714:36 4.69495e-06 +68 *1704:6 *19962:A1 1.91246e-05 +69 *1706:31 *1714:42 0.000117984 +70 *1711:87 *1714:42 2.38934e-06 +*RES +1 *19957:Y *1714:8 19.6659 +2 *1714:8 *1714:11 40.1634 +3 *1714:11 *1714:24 30.394 +4 *1714:24 *1714:25 41.2095 +5 *1714:25 *1714:27 9.24915 +6 *1714:27 *1714:28 104.301 +7 *1714:28 *1714:36 39.2402 +8 *1714:36 *1714:42 49.3175 +9 *1714:42 *19962:A1 21.1899 +*END + +*D_NET *1715 0.0528076 +*CONN +*I *21340:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21370:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *19962:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21927:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21560:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21676:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21218:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *21863:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19958:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21340:B2 0 +2 *21370:B2 0 +3 *19962:A2 0.00021183 +4 *21927:B2 1.58518e-05 +5 *21560:A2 0.000625743 +6 *21676:B2 3.69077e-05 +7 *21218:B2 6.78727e-05 +8 *21863:A2 0 +9 *19958:X 2.3034e-05 +10 *1715:151 0.000933632 +11 *1715:127 0.0035928 +12 *1715:99 0.00341936 +13 *1715:89 0.0014287 +14 *1715:67 0.00233013 +15 *1715:49 0.00183181 +16 *1715:48 0.00139751 +17 *1715:36 0.00222482 +18 *1715:29 0.00149095 +19 *1715:10 0.00109538 +20 *1715:5 0.00134188 +21 *19962:A2 *19962:B1 1.03403e-05 +22 *19962:A2 *19962:B2 3.3347e-05 +23 *19962:A2 *2338:14 4.97617e-05 +24 *19962:A2 *2936:22 7.60356e-05 +25 *19962:A2 *2936:67 4.78949e-05 +26 *21218:B2 *1725:41 0.000116986 +27 *21218:B2 *1764:32 0.000113374 +28 *21218:B2 *2285:13 6.3657e-05 +29 *21218:B2 *4863:154 6.08467e-05 +30 *21560:A2 *21560:C1 0.00173083 +31 *21560:A2 *21565:D1 0.000146156 +32 *21560:A2 *22761:D 6.98729e-05 +33 *21560:A2 *2628:10 3.03541e-05 +34 *21560:A2 *2979:20 1.92481e-05 +35 *21560:A2 *3448:20 0.000168315 +36 *21560:A2 *3678:21 8.6297e-06 +37 *21560:A2 *3751:27 0.000300565 +38 *21676:B2 *21676:A1 3.01683e-06 +39 *1715:10 *21863:A1 6.08467e-05 +40 *1715:10 *2703:36 8.97257e-05 +41 *1715:29 *21879:A 1.07248e-05 +42 *1715:29 *2703:36 0.000127911 +43 *1715:29 *2749:10 0.000135644 +44 *1715:29 *2785:30 0.000321346 +45 *1715:29 *2844:24 5.482e-05 +46 *1715:29 *2895:7 0.0014273 +47 *1715:29 *2948:27 0.00152707 +48 *1715:36 *1764:32 0 +49 *1715:36 *1947:185 6.5713e-05 +50 *1715:36 *2285:16 0.000143032 +51 *1715:36 *2785:30 0.000380037 +52 *1715:36 *2844:24 7.48893e-05 +53 *1715:36 *2913:15 0.000247443 +54 *1715:48 *20641:A1 3.38973e-05 +55 *1715:48 *24477:CLK 5.27006e-05 +56 *1715:48 *1725:41 0.000103717 +57 *1715:48 *1764:32 0.00219381 +58 *1715:48 *1764:43 1.86178e-05 +59 *1715:48 *1947:167 0.0003111 +60 *1715:48 *1947:181 0.000261967 +61 *1715:48 *2274:30 1.53722e-05 +62 *1715:48 *2836:8 0 +63 *1715:49 *20638:A1 2.41274e-06 +64 *1715:49 *21676:A1 4.30017e-06 +65 *1715:49 *21676:A2 0.000158371 +66 *1715:49 *21677:C1 9.19632e-06 +67 *1715:67 *21571:B1 2.88533e-05 +68 *1715:67 *21676:A1 3.024e-05 +69 *1715:67 *21676:A2 9.55447e-05 +70 *1715:67 *24501:CLK 1.88152e-05 +71 *1715:67 *2612:77 0.000426401 +72 *1715:67 *2627:37 9.51125e-05 +73 *1715:67 *2628:10 1.41976e-05 +74 *1715:67 *2979:20 3.8627e-06 +75 *1715:89 *21864:C1 0.000459556 +76 *1715:89 *2943:25 9.72199e-05 +77 *1715:99 *21864:C1 0.00158502 +78 *1715:99 *21927:A1 0.000134093 +79 *1715:99 *2960:16 0.000740214 +80 *1715:127 *21370:B1 0.000403498 +81 *1715:127 *21472:B1 9.97299e-06 +82 *1715:127 *21650:A 5.1493e-06 +83 *1715:127 *21864:C1 0.00107909 +84 *1715:127 *21915:B2 0.000361311 +85 *1715:127 *1749:80 0.000815303 +86 *1715:127 *2429:25 7.65976e-05 +87 *1715:127 *2667:72 0.000278115 +88 *1715:127 *2960:16 0.000914937 +89 *1715:127 *3487:30 0.000235513 +90 *1715:127 *5857:298 0.000485863 +91 *1715:127 *5870:527 0.000275228 +92 *1715:127 *5870:542 0.000249758 +93 *1715:151 *21340:A1 5.37924e-06 +94 *1715:151 *21340:B1 4.31603e-06 +95 *1715:151 *21340:C1 1.49212e-05 +96 *1715:151 *21365:B1 0.000229219 +97 *1715:151 *21365:B2 0.000158357 +98 *1715:151 *21370:A1_N 3.31745e-05 +99 *1715:151 *21370:B1 6.08467e-05 +100 *1715:151 *21690:A1 0.000195133 +101 *1715:151 *2421:138 0.000310211 +102 *1715:151 *2424:8 5.41377e-05 +103 *1715:151 *3144:16 0.000183942 +104 *1715:151 *3496:29 0.000129208 +105 *1715:151 *4876:7 0.0003012 +106 *19958:A *1715:10 6.36477e-05 +107 *19958:A *1715:89 1.41976e-05 +108 *19958:B *1715:10 6.08467e-05 +109 *19962:A1 *19962:A2 2.89954e-05 +110 *19962:A1 *1715:99 1.52551e-05 +111 *21334:B2 *1715:151 1.70077e-05 +112 *21340:A2 *1715:151 3.08827e-05 +113 *21345:B2 *1715:48 0.000108656 +114 *21571:A2 *21560:A2 1.41976e-05 +115 *21571:A2 *1715:67 8.54574e-05 +116 *21571:B2 *1715:67 5.07002e-06 +117 *21788:A2 *1715:48 7.02875e-05 +118 *21938:A2 *1715:89 6.20662e-06 +119 *21938:A2 *1715:99 3.32085e-05 +120 *494:44 *1715:127 0.000319056 +121 *494:44 *1715:151 1.5613e-05 +122 *1424:71 *1715:89 7.26959e-06 +123 *1424:88 *1715:89 9.97706e-05 +124 *1435:21 *1715:10 0.000792946 +125 *1442:39 *1715:89 0.00163743 +126 *1442:73 *1715:48 0.000223406 +127 *1461:248 *1715:36 0.00079962 +128 *1502:176 *21560:A2 9.32983e-05 +129 *1502:177 *21560:A2 0.00231 +130 *1510:64 *1715:99 2.39277e-06 +131 *1544:51 *19962:A2 4.61004e-06 +132 *1551:47 *1715:151 8.3506e-05 +133 *1604:42 *1715:89 0 +134 *1637:42 *1715:127 3.9845e-05 +135 *1677:22 *1715:10 4.42142e-05 +136 *1677:45 *1715:10 0.000546249 +137 *1677:45 *1715:89 0.000997598 +138 *1677:49 *21927:B2 7.86825e-06 +139 *1677:93 *21927:B2 3.5534e-06 +140 *1677:139 *1715:10 5.41377e-05 +141 *1677:139 *1715:29 0.000117186 +142 *1706:21 *1715:89 0.000143884 +143 *1706:54 *1715:48 4.69495e-06 +144 *1706:157 *1715:151 8.3506e-05 +145 *1709:87 *1715:127 0.000232235 +146 *1711:87 *19962:A2 3.37888e-05 +147 *1711:87 *21927:B2 7.12632e-06 +*RES +1 *19958:X *1715:5 9.82786 +2 *1715:5 *1715:10 20.9205 +3 *1715:10 *21863:A2 13.7491 +4 *1715:10 *1715:29 37.6832 +5 *1715:29 *1715:36 33.9135 +6 *1715:36 *21218:B2 16.7198 +7 *1715:36 *1715:48 48.2725 +8 *1715:48 *1715:49 2.38721 +9 *1715:49 *21676:B2 10.2378 +10 *1715:49 *1715:67 28.3089 +11 *1715:67 *21560:A2 49.6411 +12 *1715:5 *1715:89 14.4323 +13 *1715:89 *21927:B2 17.4965 +14 *1715:89 *1715:99 2.2681 +15 *1715:99 *19962:A2 22.3994 +16 *1715:99 *1715:127 35.4418 +17 *1715:127 *21370:B2 9.24915 +18 *1715:127 *1715:151 43.4455 +19 *1715:151 *21340:B2 9.24915 +*END + +*D_NET *1716 0.0675191 +*CONN +*I *22239:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22528:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *6159:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19962:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6158:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19959:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22239:B1 0 +2 *22528:B1 7.00029e-05 +3 *6159:DIODE 0 +4 *19962:B1 0.000189073 +5 *6158:DIODE 0 +6 *19959:Y 0.00153491 +7 *1716:89 0.000116515 +8 *1716:71 0.000330441 +9 *1716:68 0.00284302 +10 *1716:57 0.00445724 +11 *1716:51 0.00180503 +12 *1716:46 0.00212873 +13 *1716:45 0.00611477 +14 *1716:35 0.00509227 +15 *1716:24 0.00244085 +16 *1716:16 0.0029655 +17 *19962:B1 *19962:B2 5.83326e-05 +18 *19962:B1 *1718:5 1.65872e-05 +19 *19962:B1 *2338:14 1.75155e-06 +20 *22528:B1 *22528:A1 0.000182116 +21 *22528:B1 *22528:B2 6.08467e-05 +22 *1716:16 *20434:B 0.000622179 +23 *1716:16 *1720:14 0.00109383 +24 *1716:16 *1729:34 0.000183569 +25 *1716:16 *2837:28 0.000273164 +26 *1716:16 *2846:23 0.000276374 +27 *1716:16 *2846:36 0.00129623 +28 *1716:16 *3175:131 0.000182168 +29 *1716:16 *3533:108 0.000158469 +30 *1716:24 *1720:20 0.000111069 +31 *1716:24 *1725:181 3.51375e-05 +32 *1716:24 *1799:34 0.000127212 +33 *1716:24 *2837:28 0.000263843 +34 *1716:24 *2846:36 3.66453e-05 +35 *1716:24 *5457:13 5.60804e-05 +36 *1716:35 *21814:B1 0.000131355 +37 *1716:35 *22236:B2 9.08427e-05 +38 *1716:35 *1720:20 3.79371e-05 +39 *1716:35 *1720:36 4.10309e-05 +40 *1716:35 *2674:8 1.30422e-05 +41 *1716:35 *3476:127 0.000138313 +42 *1716:35 *3541:180 0.000313702 +43 *1716:35 *3739:8 0.000438119 +44 *1716:35 *5924:37 2.48044e-05 +45 *1716:45 *21814:B1 0.000357577 +46 *1716:45 *22528:A1 0.000157671 +47 *1716:45 *1723:114 0.000597564 +48 *1716:45 *2680:27 0.000434076 +49 *1716:45 *2812:18 0.000580577 +50 *1716:45 *3106:100 0.000397126 +51 *1716:45 *3507:39 0.00243713 +52 *1716:45 *3511:93 0.000163116 +53 *1716:45 *3527:91 7.85724e-05 +54 *1716:45 *3541:180 0.000107782 +55 *1716:45 *3688:22 0.00220326 +56 *1716:45 *5909:38 0.000156923 +57 *1716:45 *5924:37 5.46505e-05 +58 *1716:46 *20608:A2 4.44699e-05 +59 *1716:46 *21516:A 1.27831e-06 +60 *1716:46 *1761:8 0.00016524 +61 *1716:46 *2012:8 2.77564e-05 +62 *1716:46 *2012:45 0.00097025 +63 *1716:46 *2013:54 0.000914361 +64 *1716:46 *2597:29 0.000857343 +65 *1716:46 *2598:12 2.84131e-05 +66 *1716:46 *2649:37 1.07529e-05 +67 *1716:46 *2709:14 0.000120275 +68 *1716:46 *2709:24 5.53783e-05 +69 *1716:46 *2844:67 8.79457e-05 +70 *1716:46 *2933:40 2.12595e-05 +71 *1716:46 *2979:20 1.80225e-05 +72 *1716:46 *3002:33 0.000132567 +73 *1716:46 *5128:8 2.0388e-06 +74 *1716:51 *20605:A 6.23875e-05 +75 *1716:57 *24636:CLK 0.000110777 +76 *1716:57 *1726:28 5.41377e-05 +77 *1716:57 *1755:110 0.000136789 +78 *1716:57 *2013:15 6.20453e-05 +79 *1716:57 *2013:32 8.11792e-05 +80 *1716:57 *2013:54 4.29932e-05 +81 *1716:57 *2703:43 0.000186586 +82 *1716:57 *3005:14 3.15361e-05 +83 *1716:57 *3373:42 0.000409064 +84 *1716:57 *4931:10 0.000519737 +85 *1716:57 *4931:17 0.000232586 +86 *1716:57 *5869:108 4.07914e-06 +87 *1716:68 *19979:B2 0.00025175 +88 *1716:68 *19980:C1 7.11111e-05 +89 *1716:68 *22424:C1 0.000517565 +90 *1716:68 *22490:A1 2.17109e-05 +91 *1716:68 *22806:B1 2.34361e-05 +92 *1716:68 *1755:110 4.50511e-05 +93 *1716:68 *2519:12 2.61574e-05 +94 *1716:68 *2701:17 0.00096244 +95 *1716:68 *2746:17 0.000108961 +96 *1716:68 *2749:38 4.51619e-05 +97 *1716:68 *3002:8 8.07914e-05 +98 *1716:68 *3144:35 2.92975e-05 +99 *1716:68 *3208:51 0.0004379 +100 *1716:89 *22528:A1 6.08467e-05 +101 *1716:89 *1796:12 3.63738e-05 +102 *1716:89 *2674:8 3.29488e-05 +103 *19790:B1 *1716:35 1.91391e-05 +104 *19808:B *1716:45 0.00240437 +105 *19810:B1 *1716:35 1.5714e-05 +106 *19819:B *1716:68 7.92757e-06 +107 *19836:A *1716:46 0.000364062 +108 *19929:B2 *1716:68 1.46362e-05 +109 *19956:B1 *1716:68 9.66809e-05 +110 *19956:B2 *1716:68 1.38715e-05 +111 *19956:C1 *1716:68 0.000133992 +112 *19962:A2 *19962:B1 1.03403e-05 +113 *19981:A *1716:68 2.01283e-05 +114 *24498:D *1716:57 1.49935e-05 +115 *1435:67 *1716:57 0.000124357 +116 *1435:79 *1716:57 0.00148807 +117 *1448:180 *1716:68 0.000253635 +118 *1496:68 *1716:68 3.4787e-05 +119 *1501:53 *1716:57 7.50654e-06 +120 *1501:70 *1716:57 2.74722e-05 +121 *1543:39 *1716:35 0.00038709 +122 *1550:41 *1716:16 0.00025175 +123 *1554:42 *1716:35 3.02354e-05 +124 *1560:36 *1716:46 0.00239272 +125 *1561:50 *1716:45 0.00140031 +126 *1562:50 *1716:35 0.000244574 +127 *1573:196 *22528:B1 0.000119362 +128 *1573:196 *1716:89 1.65872e-05 +129 *1577:13 *1716:68 1.41291e-05 +130 *1595:101 *1716:24 0.00121945 +131 *1595:101 *1716:35 0.000339523 +132 *1611:8 *1716:16 3.73334e-05 +133 *1634:66 *1716:24 0.00181072 +134 *1635:131 *1716:16 5.39404e-06 +135 *1647:35 *1716:24 5.45618e-05 +136 *1658:73 *1716:16 0.000211526 +137 *1668:85 *1716:45 3.17436e-05 +138 *1675:15 *1716:68 0.000317671 +139 *1677:150 *1716:51 6.23875e-05 +140 *1677:150 *1716:57 4.99151e-05 +141 *1684:45 *1716:68 1.5714e-05 +142 *1685:26 *1716:68 0.000238027 +143 *1686:8 *1716:68 0 +144 *1707:43 *1716:57 2.29295e-05 +145 *1707:43 *1716:68 0.00070545 +146 *1708:70 *1716:45 8.3051e-06 +147 *1711:87 *19962:B1 4.66492e-05 +148 *1711:87 *1716:71 0.000211464 +*RES +1 *19959:Y *1716:16 45.8724 +2 *1716:16 *1716:24 11.4489 +3 *1716:24 *1716:35 27.2172 +4 *1716:35 *1716:45 17.4852 +5 *1716:45 *1716:46 70.141 +6 *1716:46 *1716:51 10.8326 +7 *1716:51 *1716:57 48.2086 +8 *1716:57 *1716:68 46.7266 +9 *1716:68 *1716:71 6.88721 +10 *1716:71 *6158:DIODE 9.24915 +11 *1716:71 *19962:B1 12.625 +12 *1716:35 *1716:89 9.38605 +13 *1716:89 *6159:DIODE 9.24915 +14 *1716:89 *22528:B1 12.2151 +15 *1716:24 *22239:B1 13.7491 +*END + +*D_NET *1717 0.112911 +*CONN +*I *21456:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21181:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21085:B I *D sky130_fd_sc_hd__or2_1 +*I *22035:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21611:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *21795:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *6133:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21422:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21352:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19962:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19960:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21456:A2 0.00217289 +2 *21181:A2 4.54988e-05 +3 *21085:B 0 +4 *22035:A2 0.000384693 +5 *21611:B2 0.00162238 +6 *21795:A2 0.000596308 +7 *6133:DIODE 8.09968e-05 +8 *21422:A2 0.000299177 +9 *21352:A2 0.000272459 +10 *19962:B2 7.93319e-05 +11 *19960:X 0.000305536 +12 *1717:163 0.000967624 +13 *1717:142 0.00514981 +14 *1717:133 0.00331892 +15 *1717:106 0.00709492 +16 *1717:102 0.00309761 +17 *1717:78 0.000404483 +18 *1717:77 0.000105307 +19 *1717:76 0.000152877 +20 *1717:68 0.00817797 +21 *1717:45 0.00835052 +22 *1717:38 0.0037281 +23 *1717:24 0.00489427 +24 *1717:5 0.000974421 +25 *6133:DIODE *21424:D 0.000105515 +26 *21181:A2 *2452:51 3.88213e-05 +27 *21181:A2 *3493:45 3.88213e-05 +28 *21352:A2 *2396:23 0.000259955 +29 *21352:A2 *2410:8 0.000306887 +30 *21352:A2 *2411:26 3.42759e-05 +31 *21422:A2 *21423:C1 0.000253916 +32 *21456:A2 *21456:B1 1.47978e-05 +33 *21456:A2 *21969:A1 0.0011361 +34 *21456:A2 *22803:B2 0.000479667 +35 *21456:A2 *1721:12 2.05082e-05 +36 *21456:A2 *1800:8 0.000601512 +37 *21456:A2 *1804:64 0.000110589 +38 *21456:A2 *2611:23 0.000518346 +39 *21456:A2 *2726:22 0.000210143 +40 *21456:A2 *2934:22 6.03237e-05 +41 *21456:A2 *2936:67 0.000885766 +42 *21456:A2 *3685:22 0.000135958 +43 *21456:A2 *5475:83 6.03237e-05 +44 *21611:B2 *21611:B1 0.000110844 +45 *21611:B2 *21975:B2 0 +46 *21611:B2 *22295:A1 0.000160012 +47 *21611:B2 *2266:13 0.000153861 +48 *21611:B2 *2362:20 0.000402101 +49 *21611:B2 *2452:14 0.000141458 +50 *21611:B2 *2488:14 5.15161e-05 +51 *21611:B2 *3157:225 6.25468e-06 +52 *21611:B2 *4822:80 6.91182e-06 +53 *21611:B2 *4823:45 0.000187436 +54 *21611:B2 *5865:70 0 +55 *21611:B2 *5865:88 0 +56 *22035:A2 *21095:A2 6.63489e-05 +57 *22035:A2 *22035:A1 0.00101539 +58 *22035:A2 *22035:B1 5.04829e-06 +59 *22035:A2 *2729:38 0.000398089 +60 *22035:A2 *5859:115 8.61838e-05 +61 *1717:5 *2338:14 9.80242e-07 +62 *1717:24 *21969:A1 0.000413864 +63 *1717:24 *2519:33 0.000112774 +64 *1717:24 *2749:38 5.60804e-05 +65 *1717:24 *2858:27 0.000205264 +66 *1717:24 *2936:67 0.000867665 +67 *1717:38 *21351:B1 0.00122395 +68 *1717:38 *22786:A1 8.33959e-05 +69 *1717:38 *1790:43 7.14746e-05 +70 *1717:38 *3063:30 7.48744e-05 +71 *1717:38 *3144:23 0.00212255 +72 *1717:38 *3500:33 5.22909e-05 +73 *1717:38 *3500:38 6.08467e-05 +74 *1717:45 *21352:B2 0.000844834 +75 *1717:45 *21690:A1 0.000634907 +76 *1717:45 *2273:14 0 +77 *1717:45 *3500:33 0.000484915 +78 *1717:45 *4824:180 4.73449e-05 +79 *1717:68 *21690:A1 0.000225239 +80 *1717:68 *2300:70 0.00178384 +81 *1717:68 *2313:56 0.00040527 +82 *1717:68 *2383:25 0.00379831 +83 *1717:68 *2391:49 0 +84 *1717:68 *2398:100 0.000126565 +85 *1717:68 *2415:19 0.000592994 +86 *1717:68 *2457:16 0.00171045 +87 *1717:68 *2813:47 0.00052463 +88 *1717:68 *3111:125 4.86554e-05 +89 *1717:68 *3196:12 4.61094e-06 +90 *1717:68 *3206:15 7.36522e-06 +91 *1717:68 *3471:139 0.000291843 +92 *1717:68 *3472:30 0.0001444 +93 *1717:68 *3472:43 0.000505218 +94 *1717:68 *3521:165 0.000401879 +95 *1717:68 *3547:26 0 +96 *1717:68 *4816:125 0.000537358 +97 *1717:76 *21443:B 0.000104754 +98 *1717:76 *2463:11 1.91391e-05 +99 *1717:76 *3142:211 1.5714e-05 +100 *1717:102 *21242:C1 5.11419e-05 +101 *1717:102 *22712:B1 0.000130327 +102 *1717:102 *2244:73 0.000365052 +103 *1717:102 *2313:56 0.000234579 +104 *1717:102 *2477:26 0.000447733 +105 *1717:102 *2479:52 2.31416e-05 +106 *1717:102 *2503:48 0.000703544 +107 *1717:102 *2880:20 9.74756e-05 +108 *1717:102 *2882:74 0.000901651 +109 *1717:102 *3111:125 0.000304305 +110 *1717:102 *3521:165 0.00197952 +111 *1717:106 *22378:A1 8.09106e-06 +112 *1717:106 *2244:73 0.000153427 +113 *1717:106 *2882:74 0.00285084 +114 *1717:106 *3151:216 0.00205753 +115 *1717:106 *3333:33 0.000104754 +116 *1717:106 *3476:154 7.40684e-06 +117 *1717:106 *3476:172 3.26209e-05 +118 *1717:133 *6192:DIODE 0.000438346 +119 *1717:133 *21279:B1 0.000233634 +120 *1717:133 *22598:B 2.16355e-05 +121 *1717:133 *22598:C 2.05234e-05 +122 *1717:133 *1721:118 0.000350015 +123 *1717:133 *1744:204 0.000210531 +124 *1717:133 *1788:102 0.000294093 +125 *1717:133 *1796:12 0.000186817 +126 *1717:133 *2527:13 0.000656165 +127 *1717:133 *2822:12 1.5714e-05 +128 *1717:133 *2825:92 0.000390267 +129 *1717:133 *2827:49 0.000500756 +130 *1717:133 *2892:21 0.000494142 +131 *1717:133 *3106:68 3.08628e-05 +132 *1717:133 *3278:17 0.000502558 +133 *1717:133 *3471:227 0.000141494 +134 *1717:133 *3474:139 0.000328999 +135 *1717:133 *3476:127 7.42407e-05 +136 *1717:133 *3547:17 0.000526341 +137 *1717:133 *5924:37 7.57858e-05 +138 *1717:142 *21875:A1 0.000602317 +139 *1717:142 *2827:49 0.000333105 +140 *1717:142 *2893:20 2.31416e-05 +141 *1717:142 *3278:17 0.0045782 +142 *1717:142 *5890:36 0.00190833 +143 *1717:163 *24210:SET_B 3.63738e-05 +144 *1717:163 *2738:13 0.000229406 +145 *1717:163 *2806:8 1.22858e-05 +146 *1717:163 *5890:36 0.000609331 +147 *19787:B *1717:5 0.000158451 +148 *19929:B1 *1717:68 4.05506e-05 +149 *19962:A1 *1717:5 7.98171e-06 +150 *19962:A2 *19962:B2 3.3347e-05 +151 *19962:B1 *19962:B2 5.83326e-05 +152 *21242:A2 *1717:102 1.54738e-05 +153 *21242:A2 *1717:106 8.41266e-06 +154 *21417:B2 *21181:A2 6.08467e-05 +155 *21456:B2 *21456:A2 5.41588e-05 +156 *21652:A2 *1717:45 3.39779e-05 +157 *21658:B2 *1717:106 6.03779e-05 +158 *21731:A2 *1717:24 6.23101e-05 +159 *21795:B2 *21795:A2 0.000331653 +160 *22011:B2 *1717:133 0.000358205 +161 *22556:A1 *21795:A2 3.01683e-06 +162 *324:27 *1717:102 0.000236521 +163 *518:15 *1717:133 0.000347214 +164 *533:8 *21352:A2 6.93349e-06 +165 *1443:60 *1717:76 3.33292e-05 +166 *1502:204 *1717:45 0.000255408 +167 *1510:116 *21456:A2 2.91863e-05 +168 *1541:41 *1717:5 0.000107496 +169 *1542:101 *21422:A2 4.75845e-05 +170 *1551:172 *1717:163 0.000223413 +171 *1553:36 *1717:38 7.50872e-05 +172 *1558:24 *1717:106 2.44032e-05 +173 *1562:50 *21795:A2 1.4091e-06 +174 *1570:80 *21795:A2 0.000132698 +175 *1573:166 *21181:A2 1.03403e-05 +176 *1577:13 *1717:38 0.00119757 +177 *1577:165 *1717:45 0.000722763 +178 *1577:165 *1717:68 9.81454e-05 +179 *1584:69 *1717:133 0.000226438 +180 *1598:68 *1717:133 0.000110297 +181 *1610:155 *21611:B2 0.000153427 +182 *1610:181 *21611:B2 7.86728e-05 +183 *1614:55 *1717:133 1.5714e-05 +184 *1614:104 *1717:142 0 +185 *1627:149 *22035:A2 1.34424e-05 +186 *1631:97 *22035:A2 0.000631415 +187 *1642:39 *21456:A2 0.000463744 +188 *1643:21 *1717:133 0.000193819 +189 *1645:118 *1717:142 0.000206449 +190 *1645:133 *1717:142 0.00131526 +191 *1649:80 *1717:45 0.000168434 +192 *1662:40 *21456:A2 1.26672e-05 +193 *1679:37 *1717:68 0.000707668 +194 *1684:85 *21456:A2 0.000114336 +195 *1704:6 *1717:24 0.000202299 +196 *1708:70 *21456:A2 0.000236033 +197 *1708:104 *1717:142 0 +198 *1708:104 *1717:163 0 +*RES +1 *19960:X *1717:5 13.8548 +2 *1717:5 *19962:B2 11.1481 +3 *1717:5 *1717:24 14.8583 +4 *1717:24 *1717:38 48.8472 +5 *1717:38 *1717:45 32.7454 +6 *1717:45 *21352:A2 25.6062 +7 *1717:45 *1717:68 23.0273 +8 *1717:68 *1717:76 8.78865 +9 *1717:76 *1717:77 9.24915 +10 *1717:77 *1717:78 127.479 +11 *1717:78 *21422:A2 23.1039 +12 *1717:76 *6133:DIODE 10.5271 +13 *1717:68 *1717:102 7.66153 +14 *1717:102 *1717:106 4.9012 +15 *1717:106 *1717:133 47.1221 +16 *1717:133 *21795:A2 18.7256 +17 *1717:106 *1717:142 12.8525 +18 *1717:142 *21611:B2 27.8179 +19 *1717:142 *1717:163 10.779 +20 *1717:163 *22035:A2 25.5256 +21 *1717:163 *21085:B 9.24915 +22 *1717:102 *21181:A2 18.6352 +23 *1717:24 *21456:A2 40.0201 +*END + +*D_NET *1718 0.00821724 +*CONN +*I *19962:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21472:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *19961:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *19962:C1 0 +2 *21472:B1 0.00106064 +3 *19961:X 8.70611e-05 +4 *1718:5 0.0011477 +5 *21472:B1 *21472:A1 0.000116014 +6 *21472:B1 *21472:C1 4.31603e-06 +7 *21472:B1 *2519:33 3.19196e-05 +8 *21472:B1 *2935:13 1.38817e-05 +9 *21472:B1 *2936:67 1.84334e-05 +10 *21472:B1 *2960:16 3.45987e-05 +11 *1718:5 *2338:14 5.01531e-05 +12 *19962:B1 *1718:5 1.65872e-05 +13 *21472:A2 *21472:B1 9.95922e-06 +14 *22728:A1 *21472:B1 0.000640409 +15 *543:12 *21472:B1 7.57672e-05 +16 *1541:69 *21472:B1 0.000424826 +17 *1544:51 *21472:B1 7.72394e-06 +18 *1671:104 *21472:B1 0.000205243 +19 *1671:126 *21472:B1 1.92172e-05 +20 *1706:118 *21472:B1 0.000425846 +21 *1706:146 *21472:B1 0.00213489 +22 *1709:87 *21472:B1 0.00145274 +23 *1711:87 *21472:B1 2.43314e-05 +24 *1711:87 *1718:5 0.000205006 +25 *1715:127 *21472:B1 9.97299e-06 +*RES +1 *19961:X *1718:5 12.191 +2 *1718:5 *21472:B1 39.4225 +3 *1718:5 *19962:C1 9.24915 +*END + +*D_NET *1719 0.00167862 +*CONN +*I *19981:B I *D sky130_fd_sc_hd__and4_1 +*I *19962:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19981:B 0.000350761 +2 *19962:X 0.000350761 +3 *19981:B *19981:D 6.13567e-05 +4 *19981:B *22800:B1 0.000527757 +5 *19981:B *2338:14 2.65831e-05 +6 *19981:B *2744:23 0.000169885 +7 *19981:B *4821:41 0.000169885 +8 *1541:41 *19981:B 2.16355e-05 +*RES +1 *19962:X *19981:B 38.5267 +*END + +*D_NET *1720 0.0478894 +*CONN +*I *22236:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22554:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19971:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19963:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22236:A1 4.69788e-05 +2 *22554:A1 0.000337099 +3 *19971:A1 0.000995062 +4 *19963:Y 0.00108307 +5 *1720:62 0.0031696 +6 *1720:61 0.0025401 +7 *1720:52 0.00183804 +8 *1720:36 0.00154114 +9 *1720:20 0.00275842 +10 *1720:19 0.00243657 +11 *1720:14 0.00128547 +12 *1720:11 0.00233162 +13 *19971:A1 *21468:B2 0.000505227 +14 *19971:A1 *21987:C1 0.000301209 +15 *19971:A1 *1723:47 0.000620639 +16 *19971:A1 *1805:12 0.000517542 +17 *19971:A1 *2502:42 0.000387186 +18 *19971:A1 *3688:22 0.000472898 +19 *22236:A1 *22236:B2 1.06706e-05 +20 *22236:A1 *22237:C1 0.000108581 +21 *22554:A1 *24909:A 5.01835e-05 +22 *22554:A1 *1751:145 0.000386319 +23 *22554:A1 *3502:197 0.000145324 +24 *22554:A1 *3529:62 8.25353e-05 +25 *1720:11 *2602:32 0.000287962 +26 *1720:11 *2837:17 0.000970875 +27 *1720:11 *4869:8 0.000541348 +28 *1720:11 *4869:23 0.000187361 +29 *1720:14 *22585:A2 0.000103348 +30 *1720:14 *22585:B2 7.86825e-06 +31 *1720:14 *1729:23 8.03699e-06 +32 *1720:14 *1729:34 2.78219e-06 +33 *1720:14 *2797:47 0.00112549 +34 *1720:14 *2846:16 0.00103056 +35 *1720:14 *2846:23 6.38982e-06 +36 *1720:14 *3533:119 4.31485e-06 +37 *1720:14 *4942:22 8.21849e-06 +38 *1720:19 *2551:38 0.000107496 +39 *1720:20 *21759:C1 0.000187084 +40 *1720:20 *21766:C 3.88655e-06 +41 *1720:20 *21782:B1 0.000198659 +42 *1720:20 *22247:B2 8.23984e-05 +43 *1720:20 *22270:B2 1.5714e-05 +44 *1720:20 *22565:A2 0.000204361 +45 *1720:20 *22566:A2 0.000178033 +46 *1720:20 *22585:A2 8.12625e-05 +47 *1720:20 *22586:A2 0.000109262 +48 *1720:20 *22748:A2 0.000310031 +49 *1720:20 *22802:B1 1.02986e-05 +50 *1720:20 *1721:154 0 +51 *1720:20 *1721:162 7.58652e-05 +52 *1720:20 *1790:130 0.000419393 +53 *1720:20 *2093:158 6.36561e-06 +54 *1720:20 *2093:181 1.87113e-05 +55 *1720:20 *2535:40 5.51771e-05 +56 *1720:20 *2843:51 8.23545e-05 +57 *1720:20 *3493:146 8.3506e-05 +58 *1720:20 *3507:180 0.00012774 +59 *1720:20 *3527:166 8.23875e-05 +60 *1720:20 *3531:171 0.000410711 +61 *1720:20 *3533:119 6.34564e-05 +62 *1720:20 *3562:16 2.54271e-05 +63 *1720:20 *3739:8 0.00108177 +64 *1720:36 *22236:B2 0.000272122 +65 *1720:36 *2674:8 9.70894e-05 +66 *1720:52 *22773:A2 1.24546e-05 +67 *1720:52 *2539:12 0.000716256 +68 *1720:52 *2564:68 7.43088e-05 +69 *1720:52 *2674:8 2.31373e-05 +70 *1720:52 *2805:22 0.000360938 +71 *1720:52 *2811:26 0.000114668 +72 *1720:52 *2916:20 1.60156e-05 +73 *1720:52 *5924:37 0.000485141 +74 *1720:61 *1721:94 0.000236326 +75 *1720:61 *1751:145 9.29508e-05 +76 *1720:61 *3529:62 0.000478779 +77 *1720:62 *21599:C1 0.00162807 +78 *1720:62 *22502:B1 0.000467485 +79 *1720:62 *1721:88 1.24195e-05 +80 *1720:62 *1796:12 0.00194462 +81 *1720:62 *1800:33 0.000368327 +82 *1720:62 *2652:28 0.000113895 +83 *1720:62 *2674:8 0.00055611 +84 *1720:62 *3668:18 0.000866502 +85 *19790:B1 *1720:36 2.27135e-05 +86 *19791:B1 *1720:52 0.000109247 +87 *19810:B1 *1720:36 1.00004e-05 +88 *19822:B *1720:36 0.000110297 +89 *19907:C *1720:19 2.20583e-05 +90 *21565:A2 *19971:A1 5.60804e-05 +91 *22223:B1 *22554:A1 0.000393863 +92 *22224:A1 *1720:14 0.000180002 +93 *22231:B1 *1720:20 4.0605e-06 +94 *22236:B1 *1720:36 7.61444e-07 +95 *22236:B1 *1720:52 3.5534e-06 +96 *22237:A1 *22236:A1 2.17535e-05 +97 *22566:A1 *1720:20 0.000283492 +98 *1153:15 *1720:11 3.07159e-05 +99 *1459:129 *1720:62 1.78567e-05 +100 *1543:39 *1720:20 0.00036249 +101 *1543:39 *1720:36 6.3657e-05 +102 *1543:40 *1720:20 0.00010747 +103 *1561:154 *1720:62 0.000179105 +104 *1562:50 *1720:36 4.99151e-05 +105 *1562:50 *1720:52 0.000101888 +106 *1570:76 *22554:A1 0.000109247 +107 *1570:76 *1720:52 0.000215939 +108 *1576:86 *1720:36 0.000114544 +109 *1595:101 *1720:20 1.98321e-05 +110 *1596:14 *1720:62 9.58129e-05 +111 *1598:68 *22554:A1 5.01835e-05 +112 *1609:26 *1720:14 0.000943592 +113 *1611:8 *1720:20 0.00010686 +114 *1623:18 *1720:20 0.0001206 +115 *1625:83 *1720:61 3.21478e-05 +116 *1626:8 *1720:11 0.0001821 +117 *1627:13 *1720:62 0.000111201 +118 *1642:46 *1720:62 8.72537e-06 +119 *1647:35 *1720:52 0.00116163 +120 *1666:11 *1720:20 7.13655e-06 +121 *1716:16 *1720:14 0.00109383 +122 *1716:24 *1720:20 0.000111069 +123 *1716:35 *1720:20 3.79371e-05 +124 *1716:35 *1720:36 4.10309e-05 +*RES +1 *19963:Y *1720:11 47.1594 +2 *1720:11 *1720:14 49.9335 +3 *1720:14 *1720:19 10.278 +4 *1720:19 *1720:20 67.8571 +5 *1720:20 *1720:36 28.153 +6 *1720:36 *1720:52 30.4166 +7 *1720:52 *1720:61 4.42302 +8 *1720:61 *1720:62 70.7639 +9 *1720:62 *19971:A1 36.7214 +10 *1720:52 *22554:A1 23.518 +11 *1720:36 *22236:A1 15.5576 +*END + +*D_NET *1721 0.0688208 +*CONN +*I *20384:B I *D sky130_fd_sc_hd__or2_1 +*I *6160:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21289:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21396:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *21229:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21813:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21695:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21468:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21909:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19971:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19964:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20384:B 0 +2 *6160:DIODE 2.77422e-05 +3 *21289:B2 0.000317481 +4 *21396:B2 0.000326145 +5 *21229:A2 0 +6 *21813:A2 0 +7 *21695:A2 0.000174229 +8 *21468:A2 6.20468e-05 +9 *21909:B2 0.000842013 +10 *19971:A2 0.00032852 +11 *19964:X 0.000247622 +12 *1721:184 0.000268875 +13 *1721:167 0.00141022 +14 *1721:166 0.00109274 +15 *1721:164 0.000986787 +16 *1721:162 0.00241188 +17 *1721:154 0.00328715 +18 *1721:118 0.00249547 +19 *1721:107 0.00422987 +20 *1721:94 0.000601573 +21 *1721:88 0.00147223 +22 *1721:66 0.00269811 +23 *1721:44 0.00154991 +24 *1721:25 0.0014097 +25 *1721:12 0.000869574 +26 *19971:A2 *1723:47 2.02035e-05 +27 *19971:A2 *2338:52 0.000763746 +28 *19971:A2 *2407:22 0.00076158 +29 *19971:A2 *3198:48 8.13812e-06 +30 *21289:B2 *21289:B1 6.08467e-05 +31 *21289:B2 *21290:A1 0.000110306 +32 *21289:B2 *1725:192 0.000182772 +33 *21289:B2 *2266:13 0.000366764 +34 *21289:B2 *2293:22 5.01835e-05 +35 *21289:B2 *2350:38 6.48007e-05 +36 *21289:B2 *2352:7 2.77625e-06 +37 *21396:B2 *21229:A1 0.000110364 +38 *21396:B2 *21229:B2 6.08467e-05 +39 *21396:B2 *21396:A2 5.56876e-06 +40 *21396:B2 *21396:B1 7.45472e-05 +41 *21396:B2 *2455:7 0.000125655 +42 *21396:B2 *3466:201 0.000157376 +43 *21468:A2 *3685:22 6.30741e-05 +44 *21468:A2 *5455:12 5.60804e-05 +45 *21695:A2 *1723:77 3.77568e-05 +46 *21695:A2 *1762:119 0.000296893 +47 *21695:A2 *1798:84 0.000101751 +48 *21695:A2 *2543:88 0.000585392 +49 *21909:B2 *21909:B1 4.42631e-05 +50 *21909:B2 *1723:47 0.000742078 +51 *21909:B2 *1802:80 0.000155698 +52 *21909:B2 *2502:42 6.75817e-06 +53 *21909:B2 *2563:26 1.03546e-05 +54 *21909:B2 *2751:8 1.91391e-05 +55 *21909:B2 *3166:36 0.00146593 +56 *21909:B2 *3185:79 2.16945e-05 +57 *21909:B2 *3719:44 1.91391e-05 +58 *1721:12 *21455:A2 0.0001931 +59 *1721:12 *1728:10 5.35941e-05 +60 *1721:12 *2510:73 2.75462e-05 +61 *1721:12 *2934:22 9.22833e-06 +62 *1721:12 *5475:83 0.000261629 +63 *1721:25 *1798:36 0.000359169 +64 *1721:44 *1798:36 0.000538025 +65 *1721:44 *3688:22 0.000409588 +66 *1721:66 *21696:B1 0.000182008 +67 *1721:66 *22664:B2 0.000207143 +68 *1721:66 *1731:107 6.22732e-06 +69 *1721:66 *1798:36 0.00109537 +70 *1721:66 *3688:22 0.00128651 +71 *1721:88 *21813:B2 2.92491e-05 +72 *1721:88 *1723:77 2.58521e-05 +73 *1721:88 *1788:89 5.1595e-05 +74 *1721:88 *2556:28 0.000298507 +75 *1721:88 *2664:14 0.000223771 +76 *1721:88 *2674:8 0.000287351 +77 *1721:88 *3739:8 0.000298507 +78 *1721:88 *4850:17 0.000205101 +79 *1721:94 *22555:C1 9.12416e-06 +80 *1721:94 *1751:145 0.000230919 +81 *1721:94 *1788:89 2.23357e-05 +82 *1721:94 *2674:8 0.000129554 +83 *1721:94 *2827:61 5.85325e-05 +84 *1721:107 *21808:D 5.60804e-05 +85 *1721:107 *22555:C1 5.35941e-05 +86 *1721:107 *1799:34 0.000856604 +87 *1721:107 *2674:8 4.89753e-05 +88 *1721:107 *3511:68 6.23101e-05 +89 *1721:118 *21229:B2 1.65872e-05 +90 *1721:118 *21229:C1 2.16355e-05 +91 *1721:118 *21549:B1 0.000545475 +92 *1721:118 *22011:A1 6.53312e-05 +93 *1721:118 *22011:B1 1.83151e-05 +94 *1721:118 *22011:C1 0.000539203 +95 *1721:118 *22598:B 1.91246e-05 +96 *1721:118 *22600:A2 0.000203595 +97 *1721:118 *22600:B2 0.000650613 +98 *1721:118 *2604:50 0.000170889 +99 *1721:118 *3044:41 0.000156955 +100 *1721:118 *3106:47 0.000252072 +101 *1721:118 *3106:68 0.000273572 +102 *1721:118 *3186:14 1.66771e-05 +103 *1721:118 *3466:201 2.71757e-05 +104 *1721:118 *3466:203 6.7671e-06 +105 *1721:118 *3466:228 6.17722e-05 +106 *1721:118 *3471:227 0.000131362 +107 *1721:118 *3541:180 0.000241554 +108 *1721:118 *3569:13 0.000111802 +109 *1721:154 *22247:A1 2.20687e-05 +110 *1721:154 *1796:10 6.04806e-05 +111 *1721:154 *1799:34 0.00107979 +112 *1721:154 *2093:158 3.18827e-05 +113 *1721:154 *2093:181 3.47962e-05 +114 *1721:154 *2288:24 2.57465e-06 +115 *1721:154 *2535:40 9.63693e-06 +116 *1721:154 *2674:8 0.00037184 +117 *1721:154 *2771:58 0.000348071 +118 *1721:154 *2987:69 0.000175937 +119 *1721:154 *3062:18 8.3506e-05 +120 *1721:154 *3493:88 5.60804e-05 +121 *1721:162 *20040:B2 6.34524e-06 +122 *1721:162 *21766:C 0.000156593 +123 *1721:162 *21782:B1 2.26549e-05 +124 *1721:162 *22217:A2 9.69052e-06 +125 *1721:162 *22231:B2 0.000116713 +126 *1721:162 *22565:B2 0.000171334 +127 *1721:162 *22566:A2 4.89536e-05 +128 *1721:162 *22566:B2 8.91782e-05 +129 *1721:162 *22586:C1 6.50286e-05 +130 *1721:162 *2535:40 5.88009e-05 +131 *1721:162 *2780:25 2.77109e-05 +132 *1721:162 *2843:20 4.40861e-05 +133 *1721:162 *2843:31 9.10232e-06 +134 *1721:162 *2843:51 2.07321e-05 +135 *1721:162 *3190:107 5.49916e-05 +136 *1721:162 *3507:180 2.40371e-05 +137 *1721:162 *3513:165 0.000100741 +138 *1721:162 *3543:149 1.66626e-05 +139 *1721:162 *3562:16 7.48886e-05 +140 *1721:164 *22217:A1 7.86825e-06 +141 *1721:164 *22217:A2 6.34651e-06 +142 *1721:164 *22273:B2 7.17386e-05 +143 *1721:164 *1741:21 0 +144 *1721:164 *2843:16 0.000332761 +145 *1721:164 *2843:20 0.000755322 +146 *1721:164 *2846:36 2.1558e-06 +147 *1721:164 *3035:40 7.26959e-06 +148 *1721:164 *3164:131 2.02035e-05 +149 *1721:164 *3166:123 4.4486e-06 +150 *1721:164 *3168:160 6.1096e-05 +151 *1721:167 *19959:A 0.00228408 +152 *1721:167 *1771:140 0.00141724 +153 *1721:167 *2820:27 0.000850234 +154 *1721:167 *2821:11 0.000294282 +155 *1721:167 *3035:40 0.000113968 +156 *1721:184 *20384:A 0.000544481 +157 *1721:184 *2843:16 0.000142073 +158 *1721:184 *3035:40 5.36171e-05 +159 *19841:B2 *1721:154 7.09666e-06 +160 *19841:B2 *1721:162 2.24715e-05 +161 *19844:A *1721:88 2.57986e-05 +162 *19844:B *1721:88 0.000298399 +163 *19877:B *1721:88 0.000122527 +164 *19885:A2 *1721:88 3.81416e-06 +165 *19885:B1 *21695:A2 3.77568e-05 +166 *19885:B1 *1721:88 0.000304331 +167 *19885:B2 *1721:88 6.7671e-06 +168 *19908:A *1721:154 5.42014e-06 +169 *19908:D *1721:162 0.000582635 +170 *21456:A2 *1721:12 2.05082e-05 +171 *21630:A2 *1721:154 0.000307939 +172 *22011:A2 *1721:118 0.000161736 +173 *22596:B1 *1721:162 2.64655e-05 +174 *1418:203 *1721:167 0.00039327 +175 *1435:125 *21468:A2 5.60804e-05 +176 *1496:106 *1721:12 5.80995e-05 +177 *1502:193 *21909:B2 1.47978e-05 +178 *1537:208 *1721:88 6.14756e-06 +179 *1550:41 *1721:167 0.000613915 +180 *1561:154 *1721:88 6.51637e-05 +181 *1566:95 *6160:DIODE 6.08467e-05 +182 *1566:95 *1721:184 0.0006323 +183 *1590:10 *1721:154 0 +184 *1595:101 *1721:154 0.00041553 +185 *1596:31 *1721:107 0.000502186 +186 *1596:65 *1721:107 0.000207526 +187 *1596:65 *1721:154 0.000186167 +188 *1608:156 *21289:B2 2.41274e-06 +189 *1611:8 *1721:162 0.00069813 +190 *1611:8 *1721:164 0 +191 *1619:62 *1721:167 7.5301e-06 +192 *1623:18 *1721:162 4.01832e-05 +193 *1636:108 *21468:A2 5.8518e-05 +194 *1642:39 *1721:12 0.000121784 +195 *1642:39 *1721:25 0.000272742 +196 *1642:39 *1721:44 4.45853e-05 +197 *1642:46 *1721:88 0.00021476 +198 *1646:35 *1721:162 0.000116725 +199 *1647:35 *1721:154 0.000751783 +200 *1658:99 *1721:167 0.00294806 +201 *1666:11 *1721:162 1.91391e-05 +202 *1668:85 *1721:66 0.00105586 +203 *1708:70 *1721:12 5.11419e-05 +204 *1708:70 *1721:25 3.80286e-05 +205 *1708:70 *1721:66 0.00142507 +206 *1717:133 *1721:118 0.000350015 +207 *1720:20 *1721:154 0 +208 *1720:20 *1721:162 7.58652e-05 +209 *1720:61 *1721:94 0.000236326 +210 *1720:62 *1721:88 1.24195e-05 +*RES +1 *19964:X *1721:12 19.4911 +2 *1721:12 *19971:A2 19.0322 +3 *1721:12 *1721:25 1.19347 +4 *1721:25 *21909:B2 21.9839 +5 *1721:25 *1721:44 1.30777 +6 *1721:44 *21468:A2 18.7918 +7 *1721:44 *1721:66 10.1985 +8 *1721:66 *21695:A2 21.367 +9 *1721:66 *1721:88 48.7627 +10 *1721:88 *1721:94 9.54829 +11 *1721:94 *21813:A2 13.7491 +12 *1721:94 *1721:107 7.03626 +13 *1721:107 *1721:118 45.6809 +14 *1721:118 *21229:A2 9.24915 +15 *1721:118 *21396:B2 18.388 +16 *1721:107 *1721:154 35.4785 +17 *1721:154 *1721:162 43.2717 +18 *1721:162 *1721:164 21.1412 +19 *1721:164 *1721:166 4.5 +20 *1721:166 *1721:167 57.293 +21 *1721:167 *21289:B2 27.7514 +22 *1721:164 *1721:184 14.9564 +23 *1721:184 *6160:DIODE 9.97254 +24 *1721:184 *20384:B 9.24915 +*END + +*D_NET *1722 0.0284156 +*CONN +*I *22405:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19971:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22734:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19965:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22405:B1 5.54606e-05 +2 *19971:B1 2.93762e-05 +3 *22734:A1 2.73869e-05 +4 *19965:Y 0.00024981 +5 *1722:76 0.00113884 +6 *1722:43 0.00119073 +7 *1722:40 0.00194723 +8 *1722:20 0.00291019 +9 *1722:13 0.00337298 +10 *1722:10 0.00266142 +11 *19971:B1 *19971:C1 2.61237e-05 +12 *22405:B1 *22405:A1 1.58551e-05 +13 *22405:B1 *22406:C1 0.000133826 +14 *22734:A1 *22735:C1 2.3666e-05 +15 *22734:A1 *1739:58 2.15488e-07 +16 *1722:10 *1732:70 0.000199609 +17 *1722:10 *1740:125 0.000196192 +18 *1722:13 *20415:A 0.000205101 +19 *1722:13 *20416:A 1.88152e-05 +20 *1722:13 *24583:RESET_B 0.000193695 +21 *1722:13 *2649:37 0.000345048 +22 *1722:13 *4927:141 6.72229e-05 +23 *1722:13 *5681:19 1.58551e-05 +24 *1722:13 *5856:183 0.000457735 +25 *1722:13 *5869:611 2.8182e-06 +26 *1722:13 *5869:625 0.000650687 +27 *1722:20 *2523:59 0.00082221 +28 *1722:20 *3452:21 0.000184605 +29 *1722:20 *3529:106 0.000177463 +30 *1722:40 *22493:B2 3.31745e-05 +31 *1722:40 *22494:C1 0.000158451 +32 *1722:40 *22735:A2 0.000134505 +33 *1722:40 *23925:B 0.0003588 +34 *1722:40 *2563:26 0.000316272 +35 *1722:40 *2583:9 6.4474e-05 +36 *1722:40 *3155:77 0.00026657 +37 *1722:40 *3157:75 0.00026648 +38 *1722:40 *3177:208 0.000634013 +39 *1722:40 *3452:21 0.000150121 +40 *1722:40 *3507:78 0.000491339 +41 *1722:40 *3513:57 0.000367925 +42 *1722:40 *3529:106 0.000157331 +43 *1722:40 *3682:22 0.00145807 +44 *1722:40 *3699:23 1.48618e-05 +45 *1722:40 *5455:12 1.86911e-06 +46 *1722:43 *22735:C1 1.03403e-05 +47 *1722:76 *21565:D1 1.58551e-05 +48 *1722:76 *21987:C1 6.94764e-05 +49 *1722:76 *22735:B2 7.59481e-06 +50 *1722:76 *22735:C1 7.92757e-06 +51 *1722:76 *22742:A 0.00025447 +52 *1722:76 *1794:118 1.86421e-05 +53 *1722:76 *2933:81 0.000724714 +54 *1722:76 *2934:22 7.51866e-05 +55 *1722:76 *2997:42 9.66954e-05 +56 *1722:76 *2998:57 0.000168485 +57 *1722:76 *2999:43 0.000244415 +58 *1722:76 *2999:65 2.53145e-06 +59 *1722:76 *3128:99 0.000215708 +60 *1722:76 *3198:48 0.000118854 +61 *1722:76 *3442:18 0.000219184 +62 *1722:76 *3751:27 0.000592461 +63 *21920:B2 *1722:40 0.00151583 +64 *22735:A1 *1722:76 0.000107496 +65 *24583:D *1722:13 1.20637e-06 +66 *1454:59 *1722:20 0.00051511 +67 *1537:36 *1722:40 0.0002891 +68 *1631:10 *1722:20 0.000511512 +69 *1645:31 *1722:76 0.000347214 +70 *1697:75 *1722:76 9.11993e-05 +*RES +1 *19965:Y *1722:10 24.1294 +2 *1722:10 *1722:13 40.718 +3 *1722:13 *1722:20 32.6423 +4 *1722:20 *1722:40 47.8391 +5 *1722:40 *1722:43 5.2234 +6 *1722:43 *22734:A1 10.2378 +7 *1722:43 *1722:76 48.2162 +8 *1722:76 *19971:B1 14.7378 +9 *1722:20 *22405:B1 15.6059 +*END + +*D_NET *1723 0.0521657 +*CONN +*I *21986:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20559:B I *D sky130_fd_sc_hd__or2_1 +*I *21813:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21730:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21531:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19971:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19966:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21986:A2 3.61297e-05 +2 *20559:B 0.00211243 +3 *21813:B2 0.00110005 +4 *21730:B2 5.05641e-05 +5 *21531:A2 5.38175e-05 +6 *19971:B2 4.54012e-05 +7 *19966:X 0.000326279 +8 *1723:114 0.00290342 +9 *1723:100 0.00300037 +10 *1723:77 0.00400541 +11 *1723:62 0.00147119 +12 *1723:47 0.0028258 +13 *1723:26 0.00219307 +14 *1723:24 0.00254532 +15 *1723:7 0.00273514 +16 *19971:B2 *21987:C1 6.08467e-05 +17 *19971:B2 *2997:42 1.65872e-05 +18 *20559:B *20419:A1 1.20637e-06 +19 *20559:B *20559:A 0.000298399 +20 *20559:B *20560:A 3.31745e-05 +21 *20559:B *24630:RESET_B 0.000828046 +22 *20559:B *1784:166 0.000101073 +23 *20559:B *2723:5 0.000326898 +24 *20559:B *5681:19 0.000280358 +25 *21531:A2 *21525:C 6.65505e-05 +26 *21531:A2 *21531:A1 1.58551e-05 +27 *21730:B2 *2751:7 0.000107496 +28 *21813:B2 *20022:A1 8.28675e-06 +29 *21813:B2 *21813:B1 0.000343543 +30 *21813:B2 *21814:C1 7.76105e-06 +31 *21813:B2 *21858:B 6.08467e-05 +32 *21813:B2 *21901:B 7.94462e-05 +33 *21813:B2 *1771:70 1.54479e-05 +34 *21813:B2 *3533:62 0.000156955 +35 *21813:B2 *3537:58 9.80784e-05 +36 *21813:B2 *4808:90 0.000312581 +37 *21813:B2 *4850:17 0.000310793 +38 *1723:7 *2932:12 6.78439e-05 +39 *1723:24 *21987:C1 7.09666e-06 +40 *1723:24 *22734:B1 0.000678699 +41 *1723:24 *1798:17 2.33852e-05 +42 *1723:24 *2505:75 0.00062766 +43 *1723:24 *2932:12 2.398e-06 +44 *1723:24 *2933:43 4.2372e-05 +45 *1723:24 *2933:81 0.000186328 +46 *1723:24 *2994:55 0.000400154 +47 *1723:24 *2997:42 0.000106284 +48 *1723:24 *2998:57 0.000403403 +49 *1723:24 *3023:41 1.27402e-05 +50 *1723:24 *3196:60 5.4678e-05 +51 *1723:24 *3448:20 5.4678e-05 +52 *1723:26 *21987:C1 9.36441e-05 +53 *1723:26 *2997:30 4.15661e-05 +54 *1723:26 *3023:41 2.8328e-05 +55 *1723:47 *21531:B1 2.01503e-05 +56 *1723:47 *22005:A1 0.000778798 +57 *1723:47 *22751:A1 2.01428e-05 +58 *1723:47 *1807:20 0.00130679 +59 *1723:47 *2502:42 2.69702e-06 +60 *1723:47 *2751:8 9.61123e-05 +61 *1723:47 *2916:20 0.00128425 +62 *1723:47 *2997:30 0.00046236 +63 *1723:47 *3166:36 0.000123247 +64 *1723:47 *3198:48 0 +65 *1723:47 *3678:21 0.000228709 +66 *1723:47 *3688:22 3.73639e-05 +67 *1723:47 *3736:18 0.000774555 +68 *1723:62 *21531:B1 3.04443e-05 +69 *1723:62 *21695:A1 0.000226438 +70 *1723:62 *2539:35 3.29488e-05 +71 *1723:62 *2751:8 0.000956397 +72 *1723:62 *3148:226 0.000226438 +73 *1723:77 *21696:A1 0.000110297 +74 *1723:77 *21730:B1 1.27624e-05 +75 *1723:77 *22539:B1 0.000814341 +76 *1723:77 *1762:119 0.000143626 +77 *1723:77 *1771:70 4.0605e-06 +78 *1723:77 *2539:35 0.000199215 +79 *1723:100 *21695:B2 0.00219664 +80 *1723:100 *22788:A1 5.21128e-05 +81 *1723:100 *1798:84 0.000586888 +82 *1723:100 *2543:88 4.79321e-06 +83 *1723:100 *2733:5 7.92757e-06 +84 *1723:100 *3148:289 0.000112863 +85 *1723:100 *3511:93 0.000116324 +86 *1723:114 *22477:C1 2.45002e-05 +87 *1723:114 *22479:C1 8.3897e-06 +88 *1723:114 *22788:B2 5.04829e-06 +89 *1723:114 *1776:15 0.000185987 +90 *1723:114 *2543:28 0.000250254 +91 *1723:114 *2649:37 0.000440512 +92 *1723:114 *2733:5 2.92975e-05 +93 *1723:114 *2812:18 0.000590436 +94 *1723:114 *3452:21 4.15082e-05 +95 *1723:114 *3531:84 0.000119217 +96 *1723:114 *3533:217 8.28675e-06 +97 *19877:B *21813:B2 8.03667e-05 +98 *19885:B1 *1723:77 2.80643e-05 +99 *19966:B *1723:7 2.16355e-05 +100 *19971:A1 *1723:47 0.000620639 +101 *19971:A2 *1723:47 2.02035e-05 +102 *20042:A *1723:7 6.50727e-05 +103 *21531:B2 *21531:A2 2.57986e-05 +104 *21564:A2 *1723:24 0.000404628 +105 *21564:B2 *1723:24 7.92757e-06 +106 *21565:A2 *1723:47 0.000403991 +107 *21695:A2 *1723:77 3.77568e-05 +108 *21909:B2 *1723:47 0.000742078 +109 *22736:B1 *1723:47 3.40325e-05 +110 *1427:99 *20559:B 7.31033e-05 +111 *1454:58 *1723:7 0.00025175 +112 *1459:129 *1723:77 1.92172e-05 +113 *1467:198 *20559:B 0.000167299 +114 *1485:126 *1723:7 0.000977336 +115 *1586:33 *21813:B2 2.16057e-05 +116 *1588:88 *1723:47 2.661e-05 +117 *1588:88 *1723:62 0.000877589 +118 *1604:100 *1723:114 0.000311572 +119 *1636:108 *1723:77 3.04443e-05 +120 *1642:46 *21813:B2 0.000224388 +121 *1647:10 *1723:62 3.74433e-05 +122 *1647:10 *1723:77 0.000192118 +123 *1658:43 *21813:B2 0.00047074 +124 *1677:157 *1723:7 0.000157728 +125 *1677:163 *1723:7 8.93134e-05 +126 *1677:172 *1723:7 3.84508e-05 +127 *1677:187 *1723:24 5.96198e-05 +128 *1684:85 *1723:24 0.000117171 +129 *1688:98 *1723:77 0.000438346 +130 *1716:45 *1723:114 0.000597564 +131 *1721:88 *21813:B2 2.92491e-05 +132 *1721:88 *1723:77 2.58521e-05 +*RES +1 *19966:X *1723:7 23.5724 +2 *1723:7 *1723:24 45.5262 +3 *1723:24 *1723:26 2.6625 +4 *1723:26 *19971:B2 15.0271 +5 *1723:26 *1723:47 25.7946 +6 *1723:47 *21531:A2 15.5817 +7 *1723:47 *1723:62 27.7827 +8 *1723:62 *21730:B2 15.0271 +9 *1723:62 *1723:77 30.2958 +10 *1723:77 *21813:B2 43.0942 +11 *1723:77 *1723:100 46.7566 +12 *1723:100 *1723:114 37.3621 +13 *1723:114 *20559:B 47.3962 +14 *1723:24 *21986:A2 14.4819 +*END + +*D_NET *1724 0.0791739 +*CONN +*I *22208:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22566:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6161:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19970:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19967:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22208:B1 0.00153591 +2 *22566:B1 0.000107105 +3 *6161:DIODE 0 +4 *19970:A1 0 +5 *19967:Y 9.2607e-05 +6 *1724:76 0.00169033 +7 *1724:61 0.00866256 +8 *1724:48 0.011975 +9 *1724:39 0.00440958 +10 *1724:29 0.00168318 +11 *1724:18 0.00173116 +12 *1724:8 0.00296889 +13 *1724:7 0.00186901 +14 *22208:B1 *22208:C1 1.92172e-05 +15 *22208:B1 *1733:85 2.87136e-06 +16 *22208:B1 *1787:23 0.000211478 +17 *22208:B1 *1789:82 8.62625e-06 +18 *22208:B1 *2298:17 0.000314365 +19 *22208:B1 *2654:17 7.44271e-06 +20 *22208:B1 *2796:18 4.91394e-06 +21 *22208:B1 *2845:14 6.21462e-05 +22 *22208:B1 *2963:57 0.000343604 +23 *22208:B1 *2963:127 0.000275542 +24 *22208:B1 *2987:15 0 +25 *22208:B1 *3155:139 4.03478e-05 +26 *22208:B1 *3164:144 8.67924e-06 +27 *22208:B1 *3166:123 7.98171e-06 +28 *22566:B1 *21831:B1 1.41976e-05 +29 *22566:B1 *22566:B2 1.2372e-05 +30 *22566:B1 *1790:130 0.000162073 +31 *22566:B1 *3153:225 1.88152e-05 +32 *1724:7 *21153:B1 1.31764e-05 +33 *1724:8 *20480:A2 1.03986e-05 +34 *1724:8 *21612:A 7.14746e-05 +35 *1724:8 *24592:CLK 0.000123582 +36 *1724:8 *1971:22 0.000137404 +37 *1724:8 *1971:24 0.000758029 +38 *1724:8 *1971:28 0.000541534 +39 *1724:8 *2552:10 0 +40 *1724:8 *3860:30 0.000137875 +41 *1724:8 *4106:62 0 +42 *1724:8 *4841:44 0 +43 *1724:8 *4884:144 0 +44 *1724:8 *5856:16 0.000320969 +45 *1724:8 *5861:10 0 +46 *1724:18 *20493:A 4.31539e-05 +47 *1724:18 *20495:A2 5.481e-05 +48 *1724:18 *20495:B2 3.58951e-06 +49 *1724:18 *21612:A 2.65667e-05 +50 *1724:18 *1977:8 5.383e-06 +51 *1724:18 *2685:25 8.65002e-05 +52 *1724:18 *4739:22 0.00105876 +53 *1724:18 *4739:30 0.000561846 +54 *1724:18 *4889:124 3.82228e-05 +55 *1724:18 *5855:12 0.000319741 +56 *1724:18 *5855:14 0.000752439 +57 *1724:18 *5855:25 0.000105719 +58 *1724:29 *24579:CLK 0.00010051 +59 *1724:29 *1976:6 0.000134323 +60 *1724:29 *1976:18 0.000302967 +61 *1724:29 *2796:8 0.000147193 +62 *1724:29 *2796:14 0.000102899 +63 *1724:39 *20677:A2 0.000216863 +64 *1724:39 *20677:B2 0.000112236 +65 *1724:39 *22472:B1 0.000176063 +66 *1724:39 *24906:CLK 5.04829e-06 +67 *1724:39 *2620:40 0.000245709 +68 *1724:39 *2671:10 0.000334616 +69 *1724:39 *2728:8 0.000382604 +70 *1724:39 *3035:10 0.00018911 +71 *1724:39 *3166:107 0.000229523 +72 *1724:39 *3168:132 1.80887e-05 +73 *1724:39 *4106:69 0.000325369 +74 *1724:39 *5853:46 0 +75 *1724:48 *1733:85 5.47232e-06 +76 *1724:48 *2685:25 0.000140978 +77 *1724:48 *2764:48 0 +78 *1724:48 *2796:18 8.93431e-05 +79 *1724:48 *2853:22 0 +80 *1724:48 *2954:27 3.46242e-06 +81 *1724:48 *3033:36 0 +82 *1724:48 *3040:18 0.000173213 +83 *1724:48 *3114:180 6.86213e-05 +84 *1724:48 *5050:8 1.91391e-05 +85 *1724:48 *5861:89 3.13394e-05 +86 *1724:61 *20039:B1 6.08467e-05 +87 *1724:61 *22047:B1 0.00249528 +88 *1724:61 *1794:96 2.16355e-05 +89 *1724:61 *1809:80 0.00216759 +90 *1724:61 *2505:75 0.00292582 +91 *1724:61 *2521:27 0.000431689 +92 *1724:61 *2593:30 0.000108729 +93 *1724:61 *2833:57 1.91246e-05 +94 *1724:61 *3040:18 0.000263933 +95 *1724:61 *3131:41 0.00369805 +96 *1724:61 *3157:54 1.5714e-05 +97 *1724:61 *3373:15 0.00400694 +98 *1724:61 *3531:157 8.60272e-05 +99 *1724:61 *3717:14 0.00677659 +100 *1724:61 *4739:51 4.0336e-05 +101 *1724:61 *5815:64 0.000225171 +102 *1724:61 *6021:14 0 +103 *1724:76 *22566:C1 8.73244e-06 +104 *1724:76 *22596:C1 5.85325e-05 +105 *1724:76 *1790:130 2.77419e-05 +106 *1724:76 *2837:49 0.00108675 +107 *1724:76 *3114:180 0.000267831 +108 *1724:76 *3192:181 0.000928417 +109 *1724:76 *3373:15 0.00113849 +110 *19875:B1 *22208:B1 1.76621e-05 +111 *19970:B2 *1724:61 0.000197191 +112 *22418:A1 *1724:76 0.000613035 +113 *24589:D *1724:8 5.53934e-05 +114 *1439:438 *1724:29 0.000154145 +115 *1585:8 *1724:8 0.00045911 +116 *1593:26 *1724:76 6.2048e-06 +117 *1627:171 *22208:B1 3.55968e-05 +118 *1646:12 *22208:B1 0.000132956 +119 *1688:34 *1724:48 5.60804e-05 +120 *1688:43 *1724:76 7.6583e-05 +121 *1706:87 *1724:61 0.0012577 +122 *1707:39 *22208:B1 0.00228284 +123 *1707:39 *1724:48 3.57186e-06 +*RES +1 *19967:Y *1724:7 15.0271 +2 *1724:7 *1724:8 57.891 +3 *1724:8 *1724:18 47.2222 +4 *1724:18 *1724:29 31.3898 +5 *1724:29 *1724:39 46.7942 +6 *1724:39 *1724:48 12.2628 +7 *1724:48 *1724:61 42.7935 +8 *1724:61 *19970:A1 9.24915 +9 *1724:48 *1724:76 13.3929 +10 *1724:76 *6161:DIODE 9.24915 +11 *1724:76 *22566:B1 12.2151 +12 *1724:39 *22208:B1 32.573 +*END + +*D_NET *1725 0.181389 +*CONN +*I *21378:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19970:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21512:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20472:B I *D sky130_fd_sc_hd__or2_1 +*I *21314:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21235:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21826:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21909:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21683:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19968:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21378:A2 0.000121656 +2 *19970:A2 9.00317e-05 +3 *21512:A2 0.000297836 +4 *20472:B 0.000124624 +5 *21314:A2 0 +6 *21235:B2 0.00197597 +7 *21826:A2 0.000148609 +8 *21909:A2 0 +9 *21683:B2 0.000171013 +10 *19968:X 0 +11 *1725:270 0.00116245 +12 *1725:267 0.00206818 +13 *1725:220 0.00381324 +14 *1725:218 0.00397671 +15 *1725:210 0.00303969 +16 *1725:205 0.00393145 +17 *1725:201 0.00241428 +18 *1725:192 0.00224526 +19 *1725:181 0.00765729 +20 *1725:163 0.00703093 +21 *1725:144 0.00478535 +22 *1725:115 0.00594703 +23 *1725:97 0.00183854 +24 *1725:75 0.00602136 +25 *1725:69 0.00229386 +26 *1725:47 0.00212842 +27 *1725:41 0.00251795 +28 *1725:33 0.00533719 +29 *1725:17 0.0105757 +30 *1725:4 0.00792317 +31 *19970:A2 *1794:96 0.000107496 +32 *19970:A2 *2976:58 1.5714e-05 +33 *19970:A2 *3157:54 1.04747e-05 +34 *20472:B *1884:201 0.000115746 +35 *20472:B *2685:8 0.000112148 +36 *20472:B *4942:7 0.000158357 +37 *20472:B *5921:70 5.97411e-05 +38 *21235:B2 *21630:B1 3.85719e-06 +39 *21235:B2 *22325:A1 2.35995e-05 +40 *21235:B2 *22325:A2 5.39707e-05 +41 *21235:B2 *1800:119 5.36085e-05 +42 *21235:B2 *2881:51 5.36085e-05 +43 *21235:B2 *3064:35 0.000113478 +44 *21235:B2 *3120:163 0.00146988 +45 *21235:B2 *3146:157 9.22225e-05 +46 *21235:B2 *5457:13 0.00379751 +47 *21378:A2 *1744:21 6.92705e-05 +48 *21378:A2 *2424:8 6.08788e-05 +49 *21512:A2 *21512:B2 8.27055e-05 +50 *21512:A2 *21512:C1 2.52699e-05 +51 *21512:A2 *22061:A 7.92757e-06 +52 *21512:A2 *3120:163 1.97655e-05 +53 *21512:A2 *3202:14 9.12723e-05 +54 *21512:A2 *4813:110 0.000106365 +55 *21683:B2 *21683:B1 6.17304e-05 +56 *21683:B2 *22476:B1 2.72577e-05 +57 *21683:B2 *2713:38 0.000160714 +58 *21683:B2 *3208:72 0.00016235 +59 *21826:A2 *21839:B2 4.54435e-05 +60 *21826:A2 *2842:13 2.16355e-05 +61 *21826:A2 *2854:21 5.04829e-06 +62 *21826:A2 *3128:142 1.66771e-05 +63 *21826:A2 *3190:58 1.66771e-05 +64 *1725:17 *24054:S 0 +65 *1725:17 *24655:SET_B 0 +66 *1725:17 *1754:10 0.000417139 +67 *1725:17 *1784:13 0 +68 *1725:17 *2502:11 0 +69 *1725:17 *2603:13 0.00156691 +70 *1725:17 *2922:33 3.52699e-05 +71 *1725:17 *5908:9 0 +72 *1725:33 *22836:B 0.000200267 +73 *1725:33 *22837:A2 9.96342e-05 +74 *1725:33 *22837:B1 0.000408283 +75 *1725:33 *24016:A1 2.53624e-06 +76 *1725:33 *24060:S 6.22502e-06 +77 *1725:33 *2219:46 0 +78 *1725:33 *2429:25 0.000211665 +79 *1725:33 *2704:53 2.11551e-05 +80 *1725:33 *2878:44 0.000445809 +81 *1725:33 *3177:114 0.000107079 +82 *1725:33 *4802:18 0.00034034 +83 *1725:33 *4828:139 7.96382e-05 +84 *1725:33 *5443:8 7.31951e-05 +85 *1725:33 *5476:26 0 +86 *1725:33 *5485:172 0.000336893 +87 *1725:33 *5485:191 0.000108438 +88 *1725:33 *5563:45 0 +89 *1725:41 *24992:A 0.000511613 +90 *1725:41 *1755:98 0.000289471 +91 *1725:41 *1764:32 0.000163885 +92 *1725:41 *2274:30 0.000346528 +93 *1725:41 *2284:28 0.000182324 +94 *1725:41 *2785:30 0 +95 *1725:41 *5856:500 0.00059339 +96 *1725:41 *5856:526 0.000411032 +97 *1725:47 *1761:56 0.00102886 +98 *1725:47 *2913:21 5.8334e-05 +99 *1725:69 *22410:A1 0.000388329 +100 *1725:69 *24497:RESET_B 0.000202726 +101 *1725:69 *1732:92 2.94223e-05 +102 *1725:69 *1761:42 3.4149e-07 +103 *1725:69 *1803:13 0.000679089 +104 *1725:69 *1803:16 0.000143616 +105 *1725:69 *2713:38 0.000180192 +106 *1725:69 *2833:57 4.85654e-05 +107 *1725:69 *2976:58 0.000738547 +108 *1725:69 *2979:29 0.000256132 +109 *1725:69 *3157:54 0.00044642 +110 *1725:69 *3179:44 7.09666e-06 +111 *1725:69 *3179:64 0.000133699 +112 *1725:69 *3208:72 9.09818e-05 +113 *1725:75 *1795:29 6.15246e-05 +114 *1725:75 *2505:75 0.00158888 +115 *1725:75 *2964:59 3.18935e-05 +116 *1725:75 *3114:66 0.000670445 +117 *1725:75 *3131:41 0.000137598 +118 *1725:75 *3398:17 0.000101394 +119 *1725:75 *3432:31 0.000363086 +120 *1725:97 *21219:C1 0.000438346 +121 *1725:97 *1798:17 0.00164596 +122 *1725:97 *2285:23 0.000664465 +123 *1725:97 *2590:36 0.000268362 +124 *1725:97 *2611:23 1.91391e-05 +125 *1725:97 *2628:17 0.000441583 +126 *1725:97 *2922:58 0.00171111 +127 *1725:97 *2959:35 0.000202101 +128 *1725:97 *3023:41 9.27741e-05 +129 *1725:97 *3406:14 1.5714e-05 +130 *1725:97 *3719:44 0.000263281 +131 *1725:115 *21945:B1 0.000170306 +132 *1725:115 *22005:A1 0.00122529 +133 *1725:115 *22481:C1 0.000316596 +134 *1725:115 *1730:113 0.000211635 +135 *1725:115 *1744:197 0.000202101 +136 *1725:115 *2608:62 0.000346971 +137 *1725:115 *3014:82 0.000211993 +138 *1725:115 *3114:66 0.000455857 +139 *1725:115 *3114:160 0.00174614 +140 *1725:115 *3398:17 0.00303518 +141 *1725:115 *3519:159 0.000179089 +142 *1725:115 *3523:35 0.000339786 +143 *1725:115 *3537:88 0.00113672 +144 *1725:144 *19813:A 0.000634289 +145 *1725:144 *21549:B1 0.000340425 +146 *1725:144 *21902:A 0.000123072 +147 *1725:144 *22054:C1 0.0014198 +148 *1725:144 *1730:113 2.70402e-05 +149 *1725:144 *1744:197 5.60804e-05 +150 *1725:144 *1788:115 0.000127536 +151 *1725:144 *2564:68 4.12192e-05 +152 *1725:144 *2783:56 0.000729799 +153 *1725:144 *3114:160 0.000262424 +154 *1725:144 *3398:17 0.00133665 +155 *1725:144 *3523:127 0.00026833 +156 *1725:144 *3537:88 9.19393e-05 +157 *1725:144 *5458:13 0.00213279 +158 *1725:163 *3523:127 0.000167672 +159 *1725:181 *21806:A1 0.00281996 +160 *1725:181 *1783:38 8.20799e-06 +161 *1725:181 *2339:8 4.69495e-06 +162 *1725:181 *2340:24 0.000359562 +163 *1725:181 *2358:16 1.91246e-05 +164 *1725:181 *2374:26 0.000457811 +165 *1725:181 *2531:20 0.000250882 +166 *1725:181 *2554:21 6.66553e-05 +167 *1725:181 *2554:35 5.44242e-05 +168 *1725:181 *2556:19 6.21462e-05 +169 *1725:181 *2564:33 0.00254728 +170 *1725:181 *2602:32 0.000664008 +171 *1725:181 *2654:22 0.000109255 +172 *1725:181 *2728:41 0 +173 *1725:181 *2729:20 0.00125342 +174 *1725:181 *2738:13 9.95119e-06 +175 *1725:181 *2818:24 0 +176 *1725:181 *2818:30 0 +177 *1725:181 *2837:28 0.00115131 +178 *1725:181 *2963:33 0 +179 *1725:181 *2963:42 1.55976e-05 +180 *1725:181 *2986:17 4.9425e-05 +181 *1725:181 *2987:50 0.00130467 +182 *1725:181 *3523:127 8.26809e-05 +183 *1725:181 *3533:119 2.36441e-05 +184 *1725:181 *4822:66 0.000663845 +185 *1725:181 *4873:17 0.0016913 +186 *1725:192 *21199:A1 0.00108783 +187 *1725:192 *21289:B1 6.3609e-05 +188 *1725:192 *21314:A1 0.000111708 +189 *1725:192 *21315:C1 6.22703e-05 +190 *1725:192 *1771:151 6.50727e-05 +191 *1725:192 *2266:13 4.7492e-05 +192 *1725:192 *2306:37 0.000121159 +193 *1725:192 *2339:8 9.14751e-06 +194 *1725:192 *2350:38 0.000105599 +195 *1725:192 *2729:38 3.30161e-05 +196 *1725:192 *4816:55 0.00124649 +197 *1725:192 *4956:27 0.00174964 +198 *1725:201 *20918:B1 8.91507e-05 +199 *1725:201 *24217:RESET_B 7.86825e-06 +200 *1725:201 *1884:71 0 +201 *1725:201 *2243:8 0.000230992 +202 *1725:201 *2252:8 0.000480314 +203 *1725:201 *2252:43 0.000478985 +204 *1725:201 *2258:12 0.000131779 +205 *1725:201 *2306:37 1.2012e-05 +206 *1725:201 *2493:36 0.000107063 +207 *1725:205 *20437:A1 9.55754e-05 +208 *1725:205 *20437:A2 2.65831e-05 +209 *1725:205 *20437:B1 6.08467e-05 +210 *1725:205 *20441:B2 0.000671409 +211 *1725:205 *2053:16 7.81681e-06 +212 *1725:205 *2331:8 0.000155833 +213 *1725:205 *2347:36 0.000106023 +214 *1725:205 *2384:10 0.000652984 +215 *1725:205 *2416:14 0.00100939 +216 *1725:205 *2770:8 0.000492514 +217 *1725:205 *4845:662 6.03594e-05 +218 *1725:205 *4845:666 0.00125076 +219 *1725:210 *2030:50 0.000148492 +220 *1725:210 *3046:11 1.71266e-05 +221 *1725:218 *2531:6 3.74738e-05 +222 *1725:218 *3070:8 0 +223 *1725:218 *5106:8 0.00016906 +224 *1725:218 *5859:28 7.05745e-05 +225 *1725:218 *5859:36 5.52161e-05 +226 *1725:220 *20031:A 2.23259e-05 +227 *1725:220 *20670:A1 3.10783e-05 +228 *1725:220 *20670:B1 2.16355e-05 +229 *1725:220 *20783:B1 1.10258e-05 +230 *1725:220 *20783:B2 1.43983e-05 +231 *1725:220 *24456:CLK 3.82228e-05 +232 *1725:220 *24545:CLK 0.000163198 +233 *1725:220 *1787:10 7.04549e-06 +234 *1725:220 *2076:9 0.000236901 +235 *1725:220 *2552:13 0.000141881 +236 *1725:220 *2826:7 2.63944e-05 +237 *1725:220 *3057:7 0.000294093 +238 *1725:220 *5311:10 3.9516e-06 +239 *1725:220 *5312:13 3.14978e-05 +240 *1725:267 *21339:B2 1.65872e-05 +241 *1725:267 *24939:A 0.000326351 +242 *1725:267 *1766:11 4.2372e-05 +243 *1725:267 *2145:25 0.000143079 +244 *1725:270 *19611:B1 0.000311221 +245 *1725:270 *19616:B1 4.0752e-05 +246 *1725:270 *21397:C 0.000276946 +247 *1725:270 *2450:29 0.00122607 +248 *1725:270 *2650:14 0.000268798 +249 *1725:270 *4868:21 0.000915471 +250 *19616:A2 *1725:270 0.000304777 +251 *19805:A *1725:17 0.000311108 +252 *19810:B1 *1725:144 1.87593e-05 +253 *19820:B2 *1725:144 0.000711928 +254 *19968:A *1725:17 6.87762e-05 +255 *19993:A *1725:17 0 +256 *20010:B *1725:17 0.000196606 +257 *20010:B *1725:267 0.000210221 +258 *21218:B2 *1725:41 0.000116986 +259 *21289:B2 *1725:192 0.000182772 +260 *21992:B2 *1725:75 0.000371768 +261 *22023:B2 *1725:181 9.58337e-05 +262 *22029:B2 *21512:A2 0.000258772 +263 *22041:B2 *21235:B2 0.000865839 +264 *22210:A1 *21235:B2 0.000231479 +265 *22224:A1 *1725:181 1.93857e-05 +266 *22726:B1 *1725:144 1.67867e-05 +267 *24202:D *1725:33 6.65016e-05 +268 *24202:RESET_B *1725:33 1.12605e-05 +269 *24460:D *1725:205 2.72876e-05 +270 *24545:D *1725:218 0 +271 *24897:D *1725:270 0.000457655 +272 *448:15 *1725:33 0.000474049 +273 *468:17 *1725:17 0.00065264 +274 *468:54 *1725:17 0.000132032 +275 *1424:140 *1725:267 1.01044e-05 +276 *1424:150 *1725:267 1.75195e-05 +277 *1428:54 *21378:A2 0.000179612 +278 *1449:27 *1725:17 0.000260411 +279 *1452:42 *21378:A2 2.43314e-05 +280 *1452:42 *1725:270 0.000546741 +281 *1453:31 *1725:270 0.000164843 +282 *1453:40 *1725:270 6.50586e-05 +283 *1466:62 *1725:47 5.88009e-05 +284 *1467:117 *1725:17 0.000233103 +285 *1482:81 *1725:33 0.000353967 +286 *1485:230 *1725:17 0.000180983 +287 *1496:48 *1725:17 3.37422e-05 +288 *1502:135 *1725:47 6.22259e-05 +289 *1506:87 *1725:17 0.000309484 +290 *1510:16 *1725:17 3.50469e-05 +291 *1515:60 *1725:33 0.00138837 +292 *1515:130 *1725:17 1.18923e-05 +293 *1551:162 *1725:192 1.00846e-05 +294 *1551:172 *1725:181 0.00056423 +295 *1551:172 *1725:192 3.93822e-05 +296 *1583:113 *1725:144 0.000277771 +297 *1592:115 *21235:B2 0.000353964 +298 *1596:65 *1725:144 8.00779e-06 +299 *1598:85 *21512:A2 0.000174356 +300 *1602:45 *21512:A2 3.52699e-05 +301 *1605:46 *1725:144 0.000611212 +302 *1608:156 *1725:192 6.89789e-05 +303 *1614:120 *1725:201 0.00042596 +304 *1620:60 *21235:B2 0.000131663 +305 *1620:113 *1725:181 5.47204e-05 +306 *1631:90 *1725:192 7.09666e-06 +307 *1634:66 *1725:144 6.5358e-05 +308 *1634:66 *1725:163 4.47331e-05 +309 *1634:66 *1725:181 0.00152365 +310 *1655:226 *1725:192 3.99086e-06 +311 *1656:37 *1725:181 0.000218879 +312 *1658:99 *1725:181 0.000227 +313 *1671:21 *1725:267 0.000146605 +314 *1688:117 *1725:115 0.000385616 +315 *1706:37 *21683:B2 3.75603e-05 +316 *1707:43 *1725:33 0.0019257 +317 *1711:15 *1725:17 0.000197511 +318 *1714:24 *1725:33 5.56606e-05 +319 *1715:48 *1725:41 0.000103717 +320 *1716:24 *1725:181 3.51375e-05 +*RES +1 *19968:X *1725:4 9.24915 +2 *1725:4 *1725:17 38.3199 +3 *1725:17 *1725:33 48.551 +4 *1725:33 *1725:41 49.6258 +5 *1725:41 *1725:47 23.896 +6 *1725:47 *21683:B2 19.3099 +7 *1725:47 *1725:69 44.1983 +8 *1725:69 *1725:75 7.66464 +9 *1725:75 *1725:97 41.7369 +10 *1725:97 *21909:A2 9.24915 +11 *1725:75 *1725:115 14.4692 +12 *1725:115 *21826:A2 20.4384 +13 *1725:115 *1725:144 20.3013 +14 *1725:144 *21235:B2 25.5343 +15 *1725:144 *1725:163 0.39334 +16 *1725:163 *1725:181 32.4587 +17 *1725:181 *21314:A2 9.24915 +18 *1725:181 *1725:192 48.1803 +19 *1725:192 *1725:201 45.3712 +20 *1725:201 *1725:205 48.6226 +21 *1725:205 *1725:210 45.7726 +22 *1725:210 *1725:218 12.3823 +23 *1725:218 *1725:220 52.3015 +24 *1725:220 *20472:B 22.9077 +25 *1725:163 *21512:A2 25.0344 +26 *1725:69 *19970:A2 15.4058 +27 *1725:4 *1725:267 29.7715 +28 *1725:267 *1725:270 47.3733 +29 *1725:270 *21378:A2 17.9655 +*END + +*D_NET *1726 0.0332038 +*CONN +*I *19970:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19969:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *19970:B1 0.00108766 +2 *19969:Y 0 +3 *1726:28 0.00260628 +4 *1726:16 0.0026535 +5 *1726:6 0.0043836 +6 *1726:5 0.00324872 +7 *19970:B1 *1793:14 0.000354927 +8 *19970:B1 *2943:52 0.000138791 +9 *19970:B1 *2979:29 0.000303208 +10 *19970:B1 *3134:47 1.91246e-05 +11 *19970:B1 *3179:103 4.54381e-05 +12 *1726:6 *21738:A 0.000398275 +13 *1726:6 *23942:A1 0.000644752 +14 *1726:6 *24653:CLK 9.86598e-05 +15 *1726:6 *1783:82 0.000160384 +16 *1726:6 *2789:8 1.06568e-05 +17 *1726:6 *3177:105 0.000188073 +18 *1726:6 *4844:441 7.07196e-05 +19 *1726:6 *5475:29 6.04912e-06 +20 *1726:6 *5475:31 0.000748063 +21 *1726:6 *5475:38 0.000102468 +22 *1726:6 *5806:11 0 +23 *1726:6 *5806:43 0 +24 *1726:6 *5807:14 0 +25 *1726:6 *5811:12 3.87501e-05 +26 *1726:6 *5811:22 0.000126859 +27 *1726:6 *5860:222 6.3191e-06 +28 *1726:6 *5860:231 0.000122289 +29 *1726:6 *5860:247 0.000245742 +30 *1726:6 *5874:97 0.00118616 +31 *1726:6 *5996:6 0.00226425 +32 *1726:16 *24925:A 0.000145396 +33 *1726:16 *2789:12 0.00188911 +34 *1726:16 *4855:24 0.00158432 +35 *1726:16 *5475:38 0.000570053 +36 *1726:28 *21777:A1 0 +37 *1726:28 *1793:14 0.00228206 +38 *1726:28 *1808:40 0.000838724 +39 *1726:28 *2274:38 1.59052e-05 +40 *1726:28 *2627:24 4.0143e-05 +41 *1726:28 *3005:14 5.05252e-05 +42 *1726:28 *3671:83 0.00117244 +43 *1726:28 *5476:51 0.000387626 +44 *1726:28 *5869:319 6.50727e-05 +45 *1726:28 *5869:331 0.000139978 +46 *1726:28 *5869:338 1.15741e-05 +47 *19727:B2 *1726:6 5.19347e-05 +48 *20605:B *19970:B1 0.000446985 +49 *24793:D *1726:6 0.00122642 +50 *24843:D *1726:6 0.000114679 +51 *1301:13 *1726:6 0.000139605 +52 *1301:13 *1726:16 2.70521e-05 +53 *1482:48 *1726:28 2.86549e-05 +54 *1662:25 *19970:B1 0.00043685 +55 *1687:13 *1726:6 0.000224783 +56 *1716:57 *1726:28 5.41377e-05 +*RES +1 *19969:Y *1726:5 13.7491 +2 *1726:5 *1726:6 115.306 +3 *1726:6 *1726:16 49.0221 +4 *1726:16 *1726:28 48.6591 +5 *1726:28 *19970:B1 38.8221 +*END + +*D_NET *1727 0.00666543 +*CONN +*I *19971:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19970:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19971:C1 0.00040615 +2 *19970:X 0.00144236 +3 *1727:25 0.000482238 +4 *1727:18 0.000103342 +5 *1727:17 2.7253e-05 +6 *1727:15 0.00144236 +7 *19971:C1 *21920:A1 9.94284e-06 +8 *19971:C1 *1794:118 0.000110306 +9 *19971:C1 *2338:52 2.38934e-06 +10 *19971:C1 *2933:81 0 +11 *1727:15 *20039:B1 0.000753521 +12 *1727:15 *21992:A1 3.36767e-05 +13 *1727:15 *1794:118 0.000258222 +14 *1727:15 *2932:12 0.000161058 +15 *1727:15 *2933:81 0.00014998 +16 *1727:15 *3003:57 0.000400961 +17 *1727:15 *3162:62 2.05972e-05 +18 *19971:B1 *19971:C1 2.61237e-05 +19 *21920:B2 *19971:C1 4.97617e-05 +20 *21920:B2 *1727:15 0.000726321 +21 *21920:B2 *1727:25 5.88657e-05 +*RES +1 *19970:X *1727:15 47.7816 +2 *1727:15 *1727:17 9.24915 +3 *1727:17 *1727:18 42.9474 +4 *1727:18 *1727:25 45.3182 +5 *1727:25 *19971:C1 15.8079 +*END + +*D_NET *1728 0.00998864 +*CONN +*I *19981:C I *D sky130_fd_sc_hd__and4_1 +*I *19971:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19981:C 0.0011573 +2 *19971:X 0.00140226 +3 *1728:10 0.00255956 +4 *19981:C *19981:D 0.000233699 +5 *19981:C *1735:91 0.000277784 +6 *19981:C *1804:37 9.82835e-06 +7 *19981:C *2936:67 7.58067e-06 +8 *19981:C *3500:65 0.000436 +9 *1728:10 *20049:A1 5.21307e-05 +10 *1728:10 *21987:B1 0.000343543 +11 *1728:10 *22436:B1 2.43247e-05 +12 *1728:10 *22731:B2 3.58525e-05 +13 *1728:10 *22803:B1 0.00026824 +14 *1728:10 *22803:B2 5.98655e-05 +15 *1728:10 *1804:37 0.000422064 +16 *1728:10 *1804:64 4.22931e-05 +17 *1728:10 *2505:75 0.000145056 +18 *1728:10 *2936:67 2.03016e-05 +19 *1728:10 *3500:65 1.88681e-05 +20 *1728:10 *3502:58 0.000183817 +21 *1728:10 *3670:11 0.000125896 +22 *6089:DIODE *19981:C 1.01553e-05 +23 *19929:A1 *19981:C 1.5714e-05 +24 *19956:A1 *19981:C 0.00011793 +25 *20044:B *19981:C 0.000974846 +26 *21449:A2 *1728:10 8.22812e-05 +27 *541:28 *1728:10 2.27135e-05 +28 *1496:106 *1728:10 3.21482e-05 +29 *1544:51 *19981:C 0.000135192 +30 *1544:76 *19981:C 8.98668e-05 +31 *1563:81 *1728:10 0.000120695 +32 *1662:29 *1728:10 4.65318e-05 +33 *1677:108 *19981:C 6.07748e-05 +34 *1678:69 *1728:10 0.000399923 +35 *1721:12 *1728:10 5.35941e-05 +*RES +1 *19971:X *1728:10 49.8479 +2 *1728:10 *19981:C 46.6273 +*END + +*D_NET *1729 0.0778264 +*CONN +*I *22585:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19980:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22208:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19972:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22585:B1 0 +2 *19980:A1 7.83439e-05 +3 *22208:A1 1.47608e-05 +4 *19972:Y 0.000343345 +5 *1729:80 0.00366693 +6 *1729:72 0.00414216 +7 *1729:64 0.00454346 +8 *1729:63 0.00405577 +9 *1729:58 0.00103883 +10 *1729:51 0.00207485 +11 *1729:35 0.00212707 +12 *1729:34 0.00142788 +13 *1729:23 0.00070441 +14 *1729:19 0.00138226 +15 *1729:13 0.00143867 +16 *19980:A1 *19981:D 0.000115423 +17 *19980:A1 *1730:23 9.95079e-05 +18 *19980:A1 *1730:43 7.88522e-05 +19 *22208:A1 *22208:A2 6.08467e-05 +20 *22208:A1 *3164:144 6.08467e-05 +21 *1729:13 *1958:92 2.90821e-05 +22 *1729:13 *2764:29 0.000307037 +23 *1729:19 *1741:21 0.0016151 +24 *1729:19 *1787:17 8.16889e-05 +25 *1729:19 *1789:58 0.000412358 +26 *1729:19 *2350:13 0.000273824 +27 *1729:19 *2738:13 2.37478e-05 +28 *1729:19 *2846:16 0.000424039 +29 *1729:19 *2846:23 0.000150304 +30 *1729:19 *3155:146 6.63489e-05 +31 *1729:19 *5855:99 0.00025175 +32 *1729:19 *5867:223 1.5714e-05 +33 *1729:23 *22208:A2 2.79645e-05 +34 *1729:23 *22249:A 0.000203595 +35 *1729:23 *3164:144 0.000240362 +36 *1729:23 *4942:22 0.000335299 +37 *1729:34 *22585:B2 7.09666e-06 +38 *1729:34 *3175:131 0.000185766 +39 *1729:35 *20863:A1 0.000650724 +40 *1729:35 *3065:19 3.79253e-05 +41 *1729:35 *3168:119 0.000538117 +42 *1729:35 *3175:125 0.00406416 +43 *1729:51 *20861:A 0.000109953 +44 *1729:51 *20863:A1 9.82896e-06 +45 *1729:51 *20863:B2 0.000180018 +46 *1729:51 *21551:A 0.000442555 +47 *1729:51 *2468:8 0.00022279 +48 *1729:51 *3040:10 1.25173e-05 +49 *1729:51 *4665:57 0.000515095 +50 *1729:51 *4873:11 8.93604e-05 +51 *1729:58 *1784:71 0 +52 *1729:58 *2531:24 0.00153378 +53 *1729:58 *2684:24 0.000515598 +54 *1729:58 *4940:6 0.000523239 +55 *1729:58 *6001:26 1.69821e-05 +56 *1729:63 *20887:A 6.08467e-05 +57 *1729:63 *2138:59 0.000309847 +58 *1729:63 *5082:101 0.000353686 +59 *1729:64 *20420:A2 1.2654e-05 +60 *1729:64 *20420:B2 0.000402567 +61 *1729:64 *20421:B2 0.000162886 +62 *1729:64 *20521:B 7.81956e-05 +63 *1729:64 *20525:A1 0.000115772 +64 *1729:64 *20534:B1 0.000137446 +65 *1729:64 *20890:A1 0.000184931 +66 *1729:64 *20892:A2 2.95757e-05 +67 *1729:64 *21673:A 7.30564e-05 +68 *1729:64 *21676:A1 1.26179e-05 +69 *1729:64 *21676:B1 0.000159791 +70 *1729:64 *21677:C1 5.57532e-05 +71 *1729:64 *24556:SET_B 7.14746e-05 +72 *1729:64 *24888:CLK 7.49659e-06 +73 *1729:64 *1731:101 0.00067203 +74 *1729:64 *1752:10 0.000249167 +75 *1729:64 *1764:32 0.000592885 +76 *1729:64 *1764:43 0.000840671 +77 *1729:64 *1773:28 0.000938358 +78 *1729:64 *1773:41 0.000181255 +79 *1729:64 *1773:42 0.000126249 +80 *1729:64 *1947:115 7.94647e-05 +81 *1729:64 *1947:137 0.000247929 +82 *1729:64 *1947:149 0.000395611 +83 *1729:64 *1947:157 0.0002212 +84 *1729:64 *1952:10 5.94319e-06 +85 *1729:64 *1952:12 1.03966e-05 +86 *1729:64 *1953:6 0.000326985 +87 *1729:64 *1953:23 0.00039726 +88 *1729:64 *2285:16 4.68019e-05 +89 *1729:64 *2531:30 0.00197155 +90 *1729:64 *2624:45 0.000381052 +91 *1729:64 *2672:21 7.06474e-05 +92 *1729:64 *2933:10 6.71463e-05 +93 *1729:64 *2933:22 9.10232e-06 +94 *1729:64 *2933:26 0 +95 *1729:64 *2943:63 0.000366301 +96 *1729:64 *5227:8 4.83562e-06 +97 *1729:64 *5869:386 1.23028e-05 +98 *1729:64 *5869:640 0.000276105 +99 *1729:64 *5869:649 0.000702667 +100 *1729:72 *2505:75 0.00036633 +101 *1729:80 *19980:B1 4.78731e-05 +102 *1729:80 *20412:B1 7.37583e-05 +103 *1729:80 *21665:B2 1.62637e-05 +104 *1729:80 *21969:A1 1.43943e-05 +105 *1729:80 *22490:A1 0.00110064 +106 *1729:80 *24634:SET_B 0.000351227 +107 *1729:80 *1735:91 0.0018575 +108 *1729:80 *1808:23 0.0005091 +109 *1729:80 *1947:212 0.000382346 +110 *1729:80 *2429:25 0.000186725 +111 *1729:80 *2651:13 0.000855175 +112 *1729:80 *2732:47 0.000253165 +113 *1729:80 *2844:24 0.00105166 +114 *1729:80 *3144:35 0.00161083 +115 *1729:80 *3177:168 0.000141226 +116 *1729:80 *4821:41 1.91391e-05 +117 *1729:80 *5854:586 2.01535e-05 +118 *1729:80 *5854:606 0.000438957 +119 *1729:80 *5860:75 0.000121961 +120 *1729:80 *5869:92 0.000103948 +121 *6089:DIODE *1729:80 1.68253e-05 +122 *19875:A1 *1729:35 0.00170508 +123 *19907:D *1729:35 0.000374373 +124 *19956:B2 *1729:80 1.87619e-06 +125 *19970:B2 *1729:72 6.95067e-05 +126 *20788:B *1729:51 0.0013763 +127 *24344:D *1729:64 0.000177238 +128 *24346:D *1729:64 9.60216e-05 +129 *24360:D *1729:51 0.000209312 +130 *24627:D *1729:64 0.0001403 +131 *1427:99 *1729:64 0.000304983 +132 *1442:74 *1729:72 0.000881434 +133 *1461:249 *1729:72 1.2366e-05 +134 *1461:249 *1729:80 0.000872692 +135 *1471:200 *1729:72 0.000919004 +136 *1471:200 *1729:80 0.000192016 +137 *1521:28 *1729:58 1.39703e-05 +138 *1521:36 *1729:64 0.000185381 +139 *1544:51 *1729:80 0.000611474 +140 *1575:29 *1729:58 2.28432e-05 +141 *1582:52 *1729:35 0.000230773 +142 *1595:39 *1729:13 0.000304791 +143 *1609:26 *1729:19 6.08467e-05 +144 *1609:26 *1729:23 0.000315416 +145 *1611:8 *1729:34 0.000687129 +146 *1624:23 *1729:51 8.41257e-05 +147 *1624:38 *1729:51 0.000381223 +148 *1646:11 *1729:13 0.000294093 +149 *1658:73 *1729:58 0.00164375 +150 *1677:108 *1729:80 1.42924e-05 +151 *1683:29 *1729:80 2.01888e-05 +152 *1689:94 *1729:64 0.00153365 +153 *1707:43 *1729:80 0.00126515 +154 *1708:116 *1729:13 8.50356e-05 +155 *1710:26 *1729:80 1.5714e-05 +156 *1716:16 *1729:34 0.000183569 +157 *1720:14 *1729:23 8.03699e-06 +158 *1720:14 *1729:34 2.78219e-06 +*RES +1 *19972:Y *1729:13 31.574 +2 *1729:13 *1729:19 49.0702 +3 *1729:19 *1729:23 14.6721 +4 *1729:23 *22208:A1 9.97254 +5 *1729:23 *1729:34 22.4591 +6 *1729:34 *1729:35 50.0831 +7 *1729:35 *1729:51 49.7012 +8 *1729:51 *1729:58 47.2231 +9 *1729:58 *1729:63 13.051 +10 *1729:63 *1729:64 146.547 +11 *1729:64 *1729:72 25.5908 +12 *1729:72 *1729:80 49.8234 +13 *1729:80 *19980:A1 16.7392 +14 *1729:34 *22585:B1 9.24915 +*END + +*D_NET *1730 0.154452 +*CONN +*I *21194:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21278:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20434:B I *D sky130_fd_sc_hd__or2_1 +*I *21944:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21549:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21839:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19980:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21690:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21365:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *19973:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21194:B2 0 +2 *21278:B2 0.0026764 +3 *20434:B 0.00158625 +4 *21944:A2 0.000878104 +5 *21549:A2 0.00123395 +6 *21839:A2 0.000201419 +7 *19980:A2 0 +8 *21690:A2 0 +9 *21365:B2 0.000241119 +10 *19973:X 0.000193039 +11 *1730:240 0.00734554 +12 *1730:184 0.00739808 +13 *1730:150 0.00524922 +14 *1730:127 0.00259697 +15 *1730:113 0.00689422 +16 *1730:97 0.0154154 +17 *1730:92 0.00205136 +18 *1730:87 0.0020219 +19 *1730:83 0.00228542 +20 *1730:75 0.00253315 +21 *1730:48 0.0011978 +22 *1730:43 0.0019321 +23 *1730:23 0.0010825 +24 *1730:20 0.00106928 +25 *1730:8 0.00287783 +26 *20434:B *20384:A 0.000380256 +27 *20434:B *20434:A 4.99151e-05 +28 *20434:B *1741:21 0.000693088 +29 *20434:B *2350:13 3.82228e-05 +30 *20434:B *2452:14 0.000168737 +31 *20434:B *2531:20 5.72818e-05 +32 *20434:B *2837:24 0.000404295 +33 *20434:B *2837:28 0.00139905 +34 *20434:B *2846:36 0.000417791 +35 *20434:B *2978:20 0.000112488 +36 *20434:B *3035:40 0.000181221 +37 *20434:B *3220:12 2.37827e-05 +38 *20434:B *4869:23 0.000253916 +39 *21278:B2 *21279:C1 0.000160617 +40 *21278:B2 *22281:A2 0.00225494 +41 *21278:B2 *22612:B1 0.000114394 +42 *21278:B2 *2374:48 0.000125186 +43 *21278:B2 *2508:11 0.00012363 +44 *21278:B2 *2850:42 0.0011696 +45 *21278:B2 *2881:51 3.00273e-05 +46 *21278:B2 *3010:68 0.000720423 +47 *21278:B2 *3146:181 0.000358345 +48 *21278:B2 *3222:41 0.000547048 +49 *21278:B2 *3527:186 0.000308945 +50 *21278:B2 *3527:207 3.17436e-05 +51 *21278:B2 *4873:17 9.49313e-05 +52 *21365:B2 *21365:B1 1.67404e-05 +53 *21365:B2 *21690:C1 0.000336533 +54 *21365:B2 *3496:29 0.000358585 +55 *21549:A2 *21549:A1 9.12416e-06 +56 *21549:A2 *21901:D 0.00041111 +57 *21549:A2 *22556:C1 0.000170967 +58 *21549:A2 *2811:26 0.000863718 +59 *21549:A2 *2857:52 0.00100522 +60 *21549:A2 *3186:14 9.17656e-06 +61 *21549:A2 *5919:40 0.000277938 +62 *21839:A2 *21858:D 4.69495e-06 +63 *21839:A2 *2697:32 1.5714e-05 +64 *21839:A2 *4850:55 0.000441147 +65 *21944:A2 *22047:B1 1.77894e-05 +66 *21944:A2 *22440:A1 4.95421e-05 +67 *21944:A2 *22440:A2 6.36477e-05 +68 *21944:A2 *22440:B1 2.99978e-05 +69 *21944:A2 *1732:34 1.42649e-05 +70 *21944:A2 *2771:33 1.5714e-05 +71 *21944:A2 *2956:62 3.07848e-05 +72 *21944:A2 *3067:26 0.000589531 +73 *21944:A2 *3394:7 1.58551e-05 +74 *21944:A2 *3523:127 0.000153427 +75 *1730:8 *3400:8 0.000220306 +76 *1730:20 *19981:D 5.25994e-05 +77 *1730:20 *21724:A2 0.000677494 +78 *1730:20 *1733:127 0.000122488 +79 *1730:20 *1735:91 5.3627e-06 +80 *1730:20 *1735:175 0.000200794 +81 *1730:20 *2651:29 0.000178065 +82 *1730:20 *2858:34 0.000202626 +83 *1730:23 *19980:B1 6.08467e-05 +84 *1730:23 *19980:C1 0.000107496 +85 *1730:23 *19981:D 8.51641e-05 +86 *1730:23 *2744:23 5.51483e-06 +87 *1730:43 *19981:D 1.69574e-05 +88 *1730:43 *21745:D 3.58208e-05 +89 *1730:43 *2718:17 0.000114518 +90 *1730:43 *2744:23 0.000255708 +91 *1730:43 *2773:10 0.000267163 +92 *1730:43 *3204:36 0.000154145 +93 *1730:43 *3206:33 8.29362e-05 +94 *1730:43 *3669:8 0.000228689 +95 *1730:48 *21652:A1 0.000881879 +96 *1730:48 *21690:A1 2.31718e-05 +97 *1730:48 *3496:29 7.57527e-05 +98 *1730:48 *4865:24 0.000782108 +99 *1730:75 *22468:A1 4.31722e-05 +100 *1730:75 *22468:A2 0.00041745 +101 *1730:75 *22468:B1 7.61196e-06 +102 *1730:75 *1766:82 0.000167912 +103 *1730:75 *2864:93 0.00127665 +104 *1730:75 *2974:34 0.000649905 +105 *1730:75 *3400:8 0.000316268 +106 *1730:75 *4931:5 0.000589905 +107 *1730:75 *4931:10 0.000296893 +108 *1730:83 *20451:A1 8.47289e-05 +109 *1730:83 *21960:A 6.50727e-05 +110 *1730:83 *24607:RESET_B 4.06462e-05 +111 *1730:83 *1755:110 0.000544347 +112 *1730:83 *1762:40 0.000258142 +113 *1730:83 *2971:24 6.98485e-05 +114 *1730:83 *2974:13 4.18084e-05 +115 *1730:87 *1808:26 0.000294404 +116 *1730:87 *2274:38 0.000512334 +117 *1730:87 *2803:61 7.80077e-05 +118 *1730:87 *2836:8 9.20823e-05 +119 *1730:87 *5856:203 0.000158357 +120 *1730:87 *5860:62 0.000891164 +121 *1730:92 *2789:12 0.000860296 +122 *1730:92 *4855:14 2.00987e-05 +123 *1730:92 *4936:8 0.00095274 +124 *1730:97 *24523:RESET_B 0.000135136 +125 *1730:97 *1784:47 7.75018e-05 +126 *1730:97 *1795:29 0.00146496 +127 *1730:97 *2704:72 0.000345867 +128 *1730:97 *2839:27 0 +129 *1730:97 *4855:14 0.00150596 +130 *1730:97 *4936:8 4.69204e-06 +131 *1730:113 *21772:A1 1.43499e-05 +132 *1730:113 *21777:A1 0 +133 *1730:113 *21808:A 1.48845e-06 +134 *1730:113 *21808:D 1.36949e-05 +135 *1730:113 *21825:A1 6.76033e-05 +136 *1730:113 *21838:B1 0.000421408 +137 *1730:113 *1744:197 0.000676402 +138 *1730:113 *1757:106 0.000526318 +139 *1730:113 *1757:120 0.000516718 +140 *1730:113 *1783:38 0.00417298 +141 *1730:113 *1784:47 0 +142 *1730:113 *1801:70 0.00207871 +143 *1730:113 *2672:40 0.000319079 +144 *1730:113 *2753:21 3.27675e-05 +145 *1730:113 *2836:20 2.8576e-05 +146 *1730:113 *2844:67 0.000188198 +147 *1730:113 *3004:15 0.000168575 +148 *1730:113 *3388:13 0.00059369 +149 *1730:113 *3406:29 0.000476903 +150 *1730:113 *3527:84 0.00199712 +151 *1730:113 *3702:20 1.46282e-05 +152 *1730:113 *5904:110 0.00112998 +153 *1730:127 *21808:D 9.89028e-07 +154 *1730:127 *2836:20 8.41266e-06 +155 *1730:127 *3406:29 5.76913e-05 +156 *1730:127 *5919:40 8.01625e-07 +157 *1730:150 *2556:19 0.00151916 +158 *1730:150 *3029:33 0.000197695 +159 *1730:150 *3493:88 0.000217396 +160 *1730:150 *3493:130 6.23101e-05 +161 *1730:150 *3523:98 0.00131192 +162 *1730:150 *3705:17 9.63961e-05 +163 *1730:150 *5919:40 2.44786e-05 +164 *1730:184 *2654:22 0.00193076 +165 *1730:184 *2850:42 0.00157808 +166 *1730:184 *2987:50 0.00015487 +167 *1730:184 *3705:17 0.000171484 +168 *1730:184 *4873:17 2.6178e-05 +169 *1730:184 *5908:87 0 +170 *1730:240 *21194:C1 0.000530149 +171 *1730:240 *21537:A2 3.03741e-05 +172 *1730:240 *22331:A2 4.04556e-05 +173 *1730:240 *22499:B1 2.85289e-05 +174 *1730:240 *22503:B2 0.000445469 +175 *1730:240 *22513:C 0.000581702 +176 *1730:240 *22661:B1 0.000129818 +177 *1730:240 *1751:35 3.67627e-05 +178 *1730:240 *1762:63 0 +179 *1730:240 *1795:29 0.000978367 +180 *1730:240 *2548:41 0.000104099 +181 *1730:240 *2549:17 0.00074861 +182 *1730:240 *2593:47 0.000768162 +183 *1730:240 *2593:54 0.00064199 +184 *1730:240 *2597:15 0 +185 *1730:240 *2598:17 0.00079252 +186 *1730:240 *2624:54 9.7653e-05 +187 *1730:240 *2704:72 0.00364271 +188 *1730:240 *2708:32 1.66454e-06 +189 *1730:240 *2825:12 0.000133228 +190 *1730:240 *3052:77 2.65818e-05 +191 *1730:240 *3148:239 0.000266688 +192 *1730:240 *3175:71 0.000190566 +193 *1730:240 *3196:41 0.00193289 +194 *1730:240 *3280:16 0.000132436 +195 *1730:240 *3457:20 2.57863e-05 +196 *1730:240 *3502:124 0.000152597 +197 *1730:240 *3519:93 0.00177414 +198 *1730:240 *4856:18 0.000788798 +199 *1730:240 *4870:134 2.25525e-05 +200 *19787:A *1730:43 6.08467e-05 +201 *19787:B *1730:43 0.000191623 +202 *19789:A *1730:113 8.10643e-06 +203 *19791:B1 *21549:A2 0.000230382 +204 *19820:A2 *1730:150 0.000260643 +205 *19820:A2 *1730:184 0.000426075 +206 *19831:A1 *21944:A2 0.000110297 +207 *19842:A2 *21278:B2 0 +208 *19842:A2 *1730:184 0 +209 *19863:B1 *21278:B2 6.03122e-05 +210 *19895:B2 *21278:B2 6.71035e-06 +211 *19973:B *1730:8 0.000107496 +212 *19976:B *1730:8 0.000161691 +213 *19976:B *1730:75 4.42033e-05 +214 *19980:A1 *1730:23 9.95079e-05 +215 *19980:A1 *1730:43 7.88522e-05 +216 *19980:B2 *1730:23 2.07503e-05 +217 *19980:B2 *1730:43 3.41459e-05 +218 *20034:A *1730:20 7.77937e-05 +219 *21194:A2 *1730:240 0.000190903 +220 *21476:A2 *1730:240 0.000939973 +221 *21543:B2 *1730:240 0.000726854 +222 *21583:A2 *1730:240 2.47287e-05 +223 *21652:A2 *1730:48 0.000138606 +224 *21724:B2 *1730:20 0.000159371 +225 *22728:B1 *1730:43 7.9371e-05 +226 *324:27 *21549:A2 6.26584e-07 +227 *324:27 *1730:127 5.30605e-06 +228 *494:44 *21365:B2 8.795e-05 +229 *514:28 *1730:83 0.000319905 +230 *1435:281 *1730:150 0.000222691 +231 *1442:30 *1730:20 0.000107496 +232 *1448:180 *1730:8 5.15415e-05 +233 *1459:70 *1730:97 0.000235319 +234 *1466:62 *1730:83 0.000313326 +235 *1467:138 *1730:87 0.00132324 +236 *1485:123 *1730:97 6.51527e-05 +237 *1485:140 *1730:97 0.000120725 +238 *1485:142 *1730:97 0.000463203 +239 *1501:53 *1730:83 0.000135629 +240 *1502:204 *1730:48 0.00013521 +241 *1541:128 *1730:75 0.000229589 +242 *1544:76 *1730:20 0.00025643 +243 *1545:11 *1730:43 5.97411e-05 +244 *1545:17 *1730:48 0.000127631 +245 *1550:101 *21944:A2 5.76913e-05 +246 *1550:101 *1730:150 9.7608e-05 +247 *1551:47 *21365:B2 7.5909e-06 +248 *1551:47 *1730:48 4.02726e-06 +249 *1552:35 *1730:48 0.000104572 +250 *1553:13 *1730:43 5.51483e-06 +251 *1553:13 *1730:48 0.00108034 +252 *1553:27 *1730:48 2.5428e-05 +253 *1561:88 *21944:A2 0.000101713 +254 *1564:17 *1730:48 0.00117475 +255 *1564:206 *1730:48 0.00068023 +256 *1577:74 *1730:240 0.000456024 +257 *1582:101 *21944:A2 7.27023e-06 +258 *1588:131 *21549:A2 0.000216291 +259 *1591:39 *21278:B2 8.20403e-05 +260 *1596:65 *1730:150 3.17436e-05 +261 *1605:46 *21944:A2 3.17436e-05 +262 *1615:20 *21278:B2 0.000255989 +263 *1617:33 *21278:B2 9.6114e-05 +264 *1620:107 *21278:B2 5.09941e-05 +265 *1625:23 *1730:113 0.000703961 +266 *1625:115 *1730:184 0.000119034 +267 *1625:146 *1730:184 0.000989229 +268 *1639:99 *1730:43 0.000338836 +269 *1641:158 *21944:A2 0.000315868 +270 *1650:14 *1730:43 7.84457e-06 +271 *1678:37 *1730:20 0.000587513 +272 *1689:57 *1730:83 0.000210077 +273 *1698:130 *1730:75 6.59451e-05 +274 *1715:151 *21365:B2 0.000158357 +275 *1716:16 *20434:B 0.000622179 +276 *1725:115 *1730:113 0.000211635 +277 *1725:144 *1730:113 2.70402e-05 +*RES +1 *19973:X *1730:8 19.3507 +2 *1730:8 *1730:20 47.0331 +3 *1730:20 *1730:23 4.07513 +4 *1730:23 *1730:43 35.7165 +5 *1730:43 *1730:48 44.211 +6 *1730:48 *21365:B2 23.506 +7 *1730:48 *21690:A2 13.7491 +8 *1730:23 *19980:A2 9.24915 +9 *1730:8 *1730:75 46.2015 +10 *1730:75 *1730:83 41.8375 +11 *1730:83 *1730:87 48.3355 +12 *1730:87 *1730:92 24.0291 +13 *1730:92 *1730:97 34.3127 +14 *1730:97 *1730:113 21.4674 +15 *1730:113 *21839:A2 22.6568 +16 *1730:113 *1730:127 0.365823 +17 *1730:127 *21549:A2 24.835 +18 *1730:127 *1730:150 6.32222 +19 *1730:150 *21944:A2 30.2365 +20 *1730:150 *1730:184 10.5225 +21 *1730:184 *20434:B 38.9281 +22 *1730:184 *21278:B2 31.5664 +23 *1730:97 *1730:240 49.2644 +24 *1730:240 *21194:B2 9.24915 +*END + +*D_NET *1731 0.122605 +*CONN +*I *19980:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22246:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22539:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19974:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19980:B1 0.00101536 +2 *22246:B1 4.20488e-05 +3 *22539:B1 0.00108702 +4 *19974:Y 0.00111333 +5 *1731:136 0.00254735 +6 *1731:107 0.00454051 +7 *1731:101 0.00468068 +8 *1731:93 0.00321527 +9 *1731:89 0.00478369 +10 *1731:85 0.00389799 +11 *1731:78 0.00226414 +12 *1731:70 0.00277132 +13 *1731:68 0.00215244 +14 *1731:65 0.00169117 +15 *1731:59 0.00246795 +16 *1731:50 0.00297989 +17 *1731:39 0.0036165 +18 *1731:32 0.00477816 +19 *1731:20 0.00394852 +20 *1731:13 0.00381401 +21 *19980:B1 *21665:B2 0.00042693 +22 *19980:B1 *21969:A1 0.000287764 +23 *19980:B1 *1790:19 0.000185785 +24 *19980:B1 *2338:41 5.60804e-05 +25 *19980:B1 *3063:29 0.000111724 +26 *19980:B1 *4821:41 0.000114217 +27 *22246:B1 *1778:10 6.75916e-05 +28 *22539:B1 *21707:A1 1.88014e-05 +29 *22539:B1 *22540:C1 0.000193879 +30 *22539:B1 *1778:10 6.1096e-05 +31 *22539:B1 *2569:41 0.000111646 +32 *22539:B1 *2772:97 0.000104773 +33 *1731:13 *21037:A1 7.65861e-05 +34 *1731:13 *24240:RESET_B 0.000157938 +35 *1731:13 *24240:CLK 6.35396e-05 +36 *1731:13 *24331:SET_B 0.00036013 +37 *1731:13 *2180:13 6.36477e-05 +38 *1731:13 *2393:21 0.000300565 +39 *1731:13 *5387:7 8.42844e-05 +40 *1731:13 *5859:352 8.01687e-05 +41 *1731:20 *21524:C1 0.000372613 +42 *1731:20 *2145:35 5.48679e-05 +43 *1731:20 *2145:42 1.03824e-05 +44 *1731:20 *2928:31 0.00164595 +45 *1731:20 *5860:491 0.000122083 +46 *1731:20 *5870:436 0.000149355 +47 *1731:32 *1735:10 1.35431e-05 +48 *1731:32 *2505:16 0.000129579 +49 *1731:32 *2860:11 0.00400871 +50 *1731:32 *2946:8 0.00061088 +51 *1731:32 *2963:102 0.000347951 +52 *1731:32 *2966:14 0.000370705 +53 *1731:32 *4922:10 1.91391e-05 +54 *1731:32 *5830:41 0.000218605 +55 *1731:39 *20218:A 0.000118796 +56 *1731:39 *21668:A 0.000211492 +57 *1731:39 *1786:25 0 +58 *1731:39 *2561:22 0.00275197 +59 *1731:39 *3014:48 0.00486186 +60 *1731:39 *4844:441 0.00220202 +61 *1731:39 *4876:66 0.000982051 +62 *1731:39 *5874:79 6.25468e-06 +63 *1731:50 *24887:CLK 0.000295194 +64 *1731:50 *2561:26 4.25398e-05 +65 *1731:50 *5474:63 3.51113e-05 +66 *1731:50 *5474:70 0.000315176 +67 *1731:50 *5477:9 4.37999e-05 +68 *1731:50 *5814:38 3.77568e-05 +69 *1731:50 *5852:53 0.000380277 +70 *1731:50 *5898:73 3.33771e-05 +71 *1731:59 *20107:A3 4.99782e-05 +72 *1731:59 *20152:C 0.000141935 +73 *1731:59 *24825:CLK 5.14526e-05 +74 *1731:59 *2405:5 1.21461e-06 +75 *1731:59 *2426:28 0.000342558 +76 *1731:59 *3097:6 1.07529e-05 +77 *1731:59 *5929:42 0.000534285 +78 *1731:65 *20152:B 5.24566e-05 +79 *1731:65 *24030:S 2.15656e-05 +80 *1731:65 *1756:25 0.000122389 +81 *1731:65 *1815:73 0.000181457 +82 *1731:65 *1815:82 0.000439543 +83 *1731:65 *5473:86 9.97203e-05 +84 *1731:65 *5482:24 0.000119996 +85 *1731:65 *5482:37 3.89332e-06 +86 *1731:68 *1734:18 4.69204e-06 +87 *1731:68 *2426:16 0.00156996 +88 *1731:68 *2864:44 0.000353052 +89 *1731:70 *19769:A1 0.000203603 +90 *1731:70 *24070:A0 0.00092114 +91 *1731:70 *1734:18 0.000341271 +92 *1731:70 *1755:32 0.00188871 +93 *1731:70 *2711:16 0.000389067 +94 *1731:70 *2714:10 0.000454818 +95 *1731:70 *3860:151 7.26748e-05 +96 *1731:70 *5458:22 0.000303331 +97 *1731:70 *5928:30 6.54928e-05 +98 *1731:78 *23026:B1 3.85712e-05 +99 *1731:78 *23356:B 4.76283e-05 +100 *1731:78 *23620:B1 0.000207394 +101 *1731:78 *23620:C1 1.55462e-05 +102 *1731:78 *23621:B 0.000741798 +103 *1731:78 *3840:179 0.00113203 +104 *1731:78 *3868:233 5.71866e-05 +105 *1731:78 *3891:24 0 +106 *1731:78 *3900:34 1.10831e-05 +107 *1731:78 *3900:36 1.27831e-06 +108 *1731:78 *3900:40 2.47848e-05 +109 *1731:78 *3937:32 0 +110 *1731:78 *5456:82 0 +111 *1731:85 *23026:B1 7.84444e-05 +112 *1731:85 *23229:A 0.000343552 +113 *1731:85 *23256:A 1.41976e-05 +114 *1731:85 *23355:B 0 +115 *1731:85 *23476:A 5.04734e-05 +116 *1731:85 *3937:32 0 +117 *1731:85 *3938:30 0 +118 *1731:85 *4106:227 0.000285697 +119 *1731:85 *4106:245 1.34424e-05 +120 *1731:85 *4165:8 0.000154145 +121 *1731:85 *4264:13 9.68902e-05 +122 *1731:85 *4328:31 1.52114e-05 +123 *1731:89 *23256:A 8.29362e-05 +124 *1731:89 *23266:B 0.000247443 +125 *1731:89 *23272:A 8.92931e-05 +126 *1731:89 *1808:57 0.000661474 +127 *1731:89 *2704:59 4.41591e-05 +128 *1731:89 *4008:104 0.000774416 +129 *1731:89 *4017:51 0.000128847 +130 *1731:89 *4126:238 0.000742711 +131 *1731:93 *20570:A1 0.00014663 +132 *1731:93 *2001:27 0.000369337 +133 *1731:93 *3368:53 0.000114364 +134 *1731:93 *4799:21 3.99287e-05 +135 *1731:93 *4801:22 5.9367e-05 +136 *1731:101 *1773:41 0.000668367 +137 *1731:101 *2998:13 0.00135906 +138 *1731:107 *20415:B 0.000348122 +139 *1731:107 *21696:B1 0.000418136 +140 *1731:107 *22482:C 0.000224705 +141 *1731:107 *22664:B2 2.08126e-05 +142 *1731:107 *22763:A2 0.000112001 +143 *1731:107 *22790:A1 0.000253039 +144 *1731:107 *22794:A1 0.00120232 +145 *1731:107 *1762:86 0.00406994 +146 *1731:107 *1762:119 3.76031e-05 +147 *1731:107 *1772:71 0.000326056 +148 *1731:107 *2543:28 0.000205555 +149 *1731:107 *2597:29 0.000253434 +150 *1731:107 *2608:32 0.000847481 +151 *1731:107 *2750:56 0.000228799 +152 *1731:107 *2866:21 0.000263669 +153 *1731:107 *2993:48 8.98943e-05 +154 *1731:107 *3114:160 0.000163377 +155 *1731:107 *3131:41 0.000248167 +156 *1731:107 *3509:60 2.36441e-05 +157 *1731:136 *20911:A2 3.63593e-05 +158 *1731:136 *22782:B1 0.000208479 +159 *1731:136 *22800:B1 0.000130501 +160 *1731:136 *24939:A 4.87198e-05 +161 *1731:136 *2145:8 0.000823383 +162 *1731:136 *2675:63 3.88655e-06 +163 *1731:136 *2727:8 0.00124552 +164 *1731:136 *2923:16 7.48876e-06 +165 *1731:136 *3737:8 3.11247e-05 +166 *1731:136 *5854:489 2.67538e-05 +167 *1731:136 *5857:286 4.49767e-05 +168 *19635:A2 *1731:93 0.000158451 +169 *19671:A *1731:32 0.000118073 +170 *19673:A *1731:32 1.5714e-05 +171 *19980:B2 *19980:B1 6.50586e-05 +172 *20128:B *1731:65 5.481e-05 +173 *20906:A *1731:136 4.18944e-06 +174 *21598:A2 *19980:B1 0.000427854 +175 *22728:B1 *19980:B1 0.000190392 +176 *24240:D *1731:13 9.90695e-05 +177 *24331:D *1731:136 0.000151741 +178 *24333:D *1731:20 4.37999e-05 +179 *74:18 *1731:32 0.000707178 +180 *74:21 *1731:59 1.65582e-05 +181 *484:26 *1731:65 1.58236e-05 +182 *514:65 *1731:59 0.000160617 +183 *543:12 *1731:20 0.000125556 +184 *543:12 *1731:136 0 +185 *543:21 *1731:20 0.00117481 +186 *1427:48 *1731:70 0.000375002 +187 *1439:270 *1731:39 5.82465e-05 +188 *1439:290 *1731:39 2.26357e-05 +189 *1467:118 *1731:68 0.000826084 +190 *1467:118 *1731:70 0.000265004 +191 *1467:127 *1731:78 0.000206696 +192 *1471:117 *1731:65 1.37421e-05 +193 *1483:37 *1731:93 0.000999261 +194 *1497:36 *1731:32 0 +195 *1521:62 *1731:93 6.3082e-06 +196 *1525:24 *1731:70 0.000745269 +197 *1534:22 *1731:65 2.16355e-05 +198 *1544:51 *19980:B1 1.87593e-05 +199 *1557:10 *1731:136 9.80784e-05 +200 *1557:21 *1731:136 0.000131727 +201 *1584:20 *22539:B1 1.91916e-05 +202 *1588:36 *1731:136 1.13071e-05 +203 *1588:107 *22539:B1 0.000154145 +204 *1597:30 *1731:93 5.20546e-06 +205 *1637:87 *22539:B1 0.000199429 +206 *1642:46 *22246:B1 8.32231e-05 +207 *1642:46 *22539:B1 5.74984e-05 +208 *1648:52 *22539:B1 1.98326e-06 +209 *1650:8 *1731:20 1.12605e-05 +210 *1650:8 *1731:136 0.000564909 +211 *1651:16 *1731:136 2.33359e-05 +212 *1669:20 *1731:20 3.83509e-05 +213 *1671:102 *1731:136 0.000435218 +214 *1688:117 *1731:107 0.000534924 +215 *1689:71 *1731:107 0.000197545 +216 *1696:31 *1731:32 0.000586932 +217 *1696:45 *1731:32 0.000492353 +218 *1721:66 *1731:107 6.22732e-06 +219 *1723:77 *22539:B1 0.000814341 +220 *1729:64 *1731:101 0.00067203 +221 *1729:80 *19980:B1 4.78731e-05 +222 *1730:23 *19980:B1 6.08467e-05 +*RES +1 *19974:Y *1731:13 45.5784 +2 *1731:13 *1731:20 48.0771 +3 *1731:20 *1731:32 47.4259 +4 *1731:32 *1731:39 48.5635 +5 *1731:39 *1731:50 46.4126 +6 *1731:50 *1731:59 39.5035 +7 *1731:59 *1731:65 33.0288 +8 *1731:65 *1731:68 29.3486 +9 *1731:68 *1731:70 69.3105 +10 *1731:70 *1731:78 44.4758 +11 *1731:78 *1731:85 30.7002 +12 *1731:85 *1731:89 49.0371 +13 *1731:89 *1731:93 49.6009 +14 *1731:93 *1731:101 42.2415 +15 *1731:101 *1731:107 31.7748 +16 *1731:107 *22539:B1 46.22 +17 *1731:107 *22246:B1 15.3735 +18 *1731:13 *1731:136 51.0394 +19 *1731:136 *19980:B1 26.3155 +*END + +*D_NET *1732 0.0779447 +*CONN +*I *22730:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *22422:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *19979:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19975:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22730:A1 0.000210903 +2 *22422:A1 0.00019341 +3 *19979:A1 0.00032946 +4 *19975:Y 0.000482781 +5 *1732:92 0.00262914 +6 *1732:81 0.0038735 +7 *1732:76 0.00157382 +8 *1732:74 0.000989676 +9 *1732:70 0.00201346 +10 *1732:67 0.00224335 +11 *1732:50 0.00212252 +12 *1732:35 0.00479832 +13 *1732:34 0.00500905 +14 *1732:18 0.00134907 +15 *1732:12 0.00248249 +16 *1732:10 0.00274738 +17 *19979:A1 *19979:A2 0.000127189 +18 *19979:A1 *1733:127 0.00026068 +19 *19979:A1 *2979:46 0.000476889 +20 *19979:A1 *2999:38 1.29689e-05 +21 *22422:A1 *22422:A2 7.52371e-05 +22 *22730:A1 *22730:B2 0.000154145 +23 *22730:A1 *2344:11 0.000724387 +24 *22730:A1 *2538:13 0.00017628 +25 *1732:10 *2298:8 0.00118475 +26 *1732:10 *2602:12 0.00112173 +27 *1732:10 *2987:10 4.62947e-05 +28 *1732:10 *5853:32 1.3813e-05 +29 *1732:12 *19777:A 0.000199346 +30 *1732:12 *20680:A1 0.000160481 +31 *1732:12 *21764:A1 3.44695e-05 +32 *1732:12 *22466:B1 5.33358e-06 +33 *1732:12 *22510:B2 6.4674e-06 +34 *1732:12 *2552:14 0.000350753 +35 *1732:12 *2564:18 2.02268e-05 +36 *1732:12 *2661:13 0.000350283 +37 *1732:12 *2778:19 0.000298273 +38 *1732:12 *2795:12 4.51017e-05 +39 *1732:12 *2796:26 0.00043578 +40 *1732:12 *2796:30 0.000517597 +41 *1732:12 *2987:10 1.62497e-05 +42 *1732:12 *2987:15 0.00163764 +43 *1732:12 *3120:195 0.000190292 +44 *1732:12 *3513:143 0 +45 *1732:12 *5853:32 0.000242313 +46 *1732:12 *5867:116 0.000352946 +47 *1732:12 *5867:125 0.00124249 +48 *1732:18 *22466:B1 3.40382e-05 +49 *1732:18 *22466:C1 0.000101971 +50 *1732:18 *2796:30 3.08533e-05 +51 *1732:18 *3111:234 5.45361e-05 +52 *1732:34 *21838:B1 0.00112762 +53 *1732:34 *22047:B1 0.000151018 +54 *1732:34 *22422:B2 6.22259e-05 +55 *1732:34 *22762:B1 0.00112762 +56 *1732:34 *1795:62 6.22732e-06 +57 *1732:34 *2565:49 0.000177764 +58 *1732:34 *2771:33 3.54949e-06 +59 *1732:34 *3029:33 1.50936e-05 +60 *1732:34 *3111:234 1.5714e-05 +61 *1732:34 *3192:230 0.000128436 +62 *1732:34 *3671:20 0.000204366 +63 *1732:35 *1776:79 0.00150337 +64 *1732:35 *3537:103 1.88152e-05 +65 *1732:35 *4933:169 9.43616e-05 +66 *1732:50 *21824:A 9.40212e-05 +67 *1732:50 *1809:26 0.000507422 +68 *1732:50 *2840:13 0.000510732 +69 *1732:50 *2852:19 2.42739e-05 +70 *1732:50 *2943:78 0.00081263 +71 *1732:50 *3411:57 2.44914e-05 +72 *1732:50 *5854:45 0.000457596 +73 *1732:67 *21812:A 6.31931e-05 +74 *1732:67 *1784:55 0.000214976 +75 *1732:67 *1784:165 0 +76 *1732:67 *2753:21 0 +77 *1732:67 *2785:102 0.000308378 +78 *1732:67 *2810:60 0.000102893 +79 *1732:67 *2852:19 1.56942e-05 +80 *1732:67 *3089:22 0.00111607 +81 *1732:67 *3411:42 0.000187413 +82 *1732:67 *3411:57 0.000272841 +83 *1732:67 *4799:10 0.00110637 +84 *1732:67 *5909:38 6.57795e-05 +85 *1732:70 *1740:110 0.00104779 +86 *1732:70 *1740:125 9.47262e-05 +87 *1732:70 *1784:55 0.000426217 +88 *1732:70 *1784:165 0.000125507 +89 *1732:70 *2998:8 0.000272164 +90 *1732:70 *3411:32 0.000600614 +91 *1732:70 *3411:42 9.00364e-06 +92 *1732:70 *4797:30 5.09329e-05 +93 *1732:70 *5801:91 0.000172135 +94 *1732:70 *5856:183 2.57809e-05 +95 *1732:70 *5856:186 0.000783989 +96 *1732:70 *5856:194 0.000125683 +97 *1732:74 *20568:A 0.000225627 +98 *1732:74 *24476:CLK 8.08437e-05 +99 *1732:74 *2561:41 0.000595464 +100 *1732:74 *3089:12 0.000169269 +101 *1732:74 *4797:45 0.00141851 +102 *1732:81 *1733:19 0.00101108 +103 *1732:81 *1756:61 0.000184062 +104 *1732:81 *1756:67 0.00017406 +105 *1732:81 *1808:40 0.000983754 +106 *1732:81 *3671:83 0.000111708 +107 *1732:92 *20049:A1 4.69794e-06 +108 *1732:92 *22410:B1 0.000116564 +109 *1732:92 *22426:C 5.82976e-06 +110 *1732:92 *22429:B1 0.000525791 +111 *1732:92 *1756:83 2.09495e-05 +112 *1732:92 *1761:42 0.000760591 +113 *1732:92 *2713:38 9.03762e-06 +114 *1732:92 *2955:43 0.00107092 +115 *1732:92 *2979:42 0.000221355 +116 *1732:92 *2979:46 1.30402e-05 +117 *1732:92 *2999:38 0.00010115 +118 *1732:92 *3028:50 8.71423e-05 +119 *1732:92 *3168:29 0.000735883 +120 *1732:92 *3411:16 0.00018139 +121 *1732:92 *5305:8 4.18215e-06 +122 *1732:92 *5674:45 0.000422004 +123 *19595:A *1732:12 0.000269932 +124 *19795:A *19979:A1 1.23804e-05 +125 *19795:A *1732:92 0.000477575 +126 *21944:A2 *1732:34 1.42649e-05 +127 *22730:B1 *22730:A1 6.36477e-05 +128 *24649:D *1732:12 9.32927e-05 +129 *1438:119 *1732:50 0.000213207 +130 *1448:222 *1732:74 0.00188347 +131 *1485:185 *1732:35 0.000620893 +132 *1506:128 *1732:67 2.92718e-05 +133 *1521:46 *1732:50 1.5613e-05 +134 *1541:108 *1732:92 3.53738e-05 +135 *1541:136 *1732:81 7.39264e-05 +136 *1550:101 *1732:34 0.000450794 +137 *1554:36 *1732:35 3.04247e-05 +138 *1565:12 *1732:10 0.000109645 +139 *1565:12 *1732:12 0.000131302 +140 *1566:55 *22422:A1 9.47944e-05 +141 *1566:55 *1732:35 0.00115384 +142 *1597:16 *1732:50 0.000809032 +143 *1625:146 *1732:12 0.00115809 +144 *1640:24 *1732:92 0 +145 *1641:158 *1732:34 0.000157659 +146 *1660:13 *1732:92 0.000304291 +147 *1688:34 *1732:12 1.5714e-05 +148 *1689:94 *1732:35 7.65374e-05 +149 *1706:54 *1732:81 0.00218018 +150 *1722:10 *1732:70 0.000199609 +151 *1725:69 *1732:92 2.94223e-05 +*RES +1 *19975:Y *1732:10 37.1771 +2 *1732:10 *1732:12 86.7511 +3 *1732:12 *1732:18 5.37385 +4 *1732:18 *1732:34 18.8602 +5 *1732:34 *1732:35 61.1752 +6 *1732:35 *1732:50 49.2088 +7 *1732:50 *1732:67 46.3825 +8 *1732:67 *1732:70 46.8191 +9 *1732:70 *1732:74 47.2067 +10 *1732:74 *1732:76 4.5 +11 *1732:76 *1732:81 48.4675 +12 *1732:81 *1732:92 46.522 +13 *1732:92 *19979:A1 23.6786 +14 *1732:34 *22422:A1 13.2037 +15 *1732:18 *22730:A1 22.237 +*END + +*D_NET *1733 0.0771757 +*CONN +*I *21665:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19979:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21512:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21974:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20491:B I *D sky130_fd_sc_hd__or2_1 +*I *21831:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *19976:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21665:A2 0 +2 *19979:A2 9.28024e-05 +3 *21512:B2 0.000485188 +4 *21974:B2 0.000582869 +5 *20491:B 0.000522973 +6 *21831:A2 0.000131945 +7 *19976:X 0 +8 *1733:127 0.000776684 +9 *1733:85 0.00213981 +10 *1733:75 0.00319373 +11 *1733:52 0.00211958 +12 *1733:45 0.00537599 +13 *1733:26 0.00667576 +14 *1733:25 0.00280194 +15 *1733:20 0.0014189 +16 *1733:19 0.00211967 +17 *1733:14 0.00272302 +18 *1733:4 0.00268274 +19 *19979:A2 *21665:B2 3.12828e-05 +20 *19979:A2 *2999:38 3.26668e-05 +21 *20491:B *20491:A 0.000111708 +22 *20491:B *20492:A 1.96574e-05 +23 *20491:B *20678:A2 1.07248e-05 +24 *20491:B *24906:CLK 6.4266e-05 +25 *20491:B *2685:25 1.5714e-05 +26 *20491:B *2764:48 0.000308207 +27 *20491:B *4739:30 0.00041513 +28 *20491:B *4889:55 7.37416e-05 +29 *20491:B *4889:76 0.000107496 +30 *21512:B2 *22061:A 0.000271856 +31 *21512:B2 *2567:41 6.35286e-05 +32 *21512:B2 *2672:64 0.000154145 +33 *21512:B2 *2782:10 1.91391e-05 +34 *21512:B2 *2873:29 1.5714e-05 +35 *21512:B2 *2987:69 0.000158451 +36 *21831:A2 *21831:B1 6.36477e-05 +37 *21831:A2 *22576:B1 0.00020546 +38 *21831:A2 *1790:130 1.03403e-05 +39 *21831:A2 *3192:181 0.000209692 +40 *21974:B2 *21974:A2 0.00147937 +41 *21974:B2 *22225:C 0.000168572 +42 *21974:B2 *22319:B1 0.000678888 +43 *21974:B2 *2564:21 0.00117469 +44 *21974:B2 *2850:34 0.000147623 +45 *1733:14 *20610:A2 2.79569e-05 +46 *1733:14 *22429:A2 1.79794e-05 +47 *1733:14 *22437:A1 0.00202147 +48 *1733:14 *22437:B2 0.000134826 +49 *1733:14 *1735:175 6.50727e-05 +50 *1733:14 *2012:10 8.54931e-06 +51 *1733:14 *2514:45 0.000336909 +52 *1733:14 *2713:27 0.000197511 +53 *1733:14 *2979:32 0.000316347 +54 *1733:14 *2979:42 0.000280013 +55 *1733:14 *3002:8 0.000228099 +56 *1733:14 *3003:34 3.20014e-05 +57 *1733:14 *3162:30 2.09895e-05 +58 *1733:14 *3162:62 0.000116855 +59 *1733:20 *21491:A 0.000312715 +60 *1733:20 *2702:37 0.0017026 +61 *1733:20 *2844:53 0.00010054 +62 *1733:25 *1761:8 0.000111708 +63 *1733:26 *20420:A1 0 +64 *1733:26 *20488:A1 0.000157253 +65 *1733:26 *20488:A2 1.05255e-05 +66 *1733:26 *20488:B2 5.08346e-05 +67 *1733:26 *20534:B1 4.12833e-05 +68 *1733:26 *20536:B1 0.000301582 +69 *1733:26 *21491:A 8.20492e-06 +70 *1733:26 *21847:A 0.000206132 +71 *1733:26 *24552:CLK 0.000290553 +72 *1733:26 *1795:53 0.000113864 +73 *1733:26 *1974:18 0.000674248 +74 *1733:26 *1974:20 0.00050828 +75 *1733:26 *1974:24 0.000342479 +76 *1733:26 *2649:37 3.88655e-06 +77 *1733:26 *2660:94 0.000741232 +78 *1733:26 *2863:8 0 +79 *1733:26 *4852:11 0 +80 *1733:26 *4859:8 4.63438e-05 +81 *1733:26 *4927:103 0.000189816 +82 *1733:26 *4927:112 0.00010594 +83 *1733:26 *4927:123 0.000520158 +84 *1733:26 *4927:138 0.000364732 +85 *1733:26 *5219:11 2.44316e-05 +86 *1733:26 *5869:689 0.000212807 +87 *1733:26 *5904:110 1.81263e-05 +88 *1733:45 *20536:B1 8.93503e-05 +89 *1733:45 *22047:B1 3.89721e-05 +90 *1733:45 *22758:A1 0 +91 *1733:45 *1989:8 9.57557e-06 +92 *1733:45 *2288:24 0.000456017 +93 *1733:45 *2567:35 0.00119627 +94 *1733:45 *2592:34 2.36648e-05 +95 *1733:45 *3066:11 1.9101e-05 +96 *1733:45 *3533:88 6.20477e-05 +97 *1733:45 *3533:95 7.70102e-05 +98 *1733:45 *3671:20 0.000434966 +99 *1733:45 *4859:8 8.11083e-05 +100 *1733:45 *5457:13 0 +101 *1733:45 *5851:42 3.31188e-05 +102 *1733:45 *5851:70 4.77135e-05 +103 *1733:45 *5858:59 6.60853e-05 +104 *1733:45 *5869:718 7.44128e-05 +105 *1733:52 *22238:B 0.000687791 +106 *1733:52 *1787:40 0.000230866 +107 *1733:52 *2556:19 0.00129906 +108 *1733:52 *3122:198 0.000242288 +109 *1733:75 *21814:B1 0.000439923 +110 *1733:75 *1787:40 0.00131758 +111 *1733:75 *3533:108 0.000107238 +112 *1733:85 *19870:A 9.5562e-05 +113 *1733:85 *20678:A2 7.11283e-05 +114 *1733:85 *24906:CLK 9.20284e-05 +115 *1733:85 *2564:21 0.000500678 +116 *1733:85 *2764:48 0.000409476 +117 *1733:85 *2796:18 0 +118 *1733:85 *2956:42 2.8182e-06 +119 *1733:85 *3162:121 0.0003146 +120 *1733:85 *3162:123 2.09851e-05 +121 *1733:127 *21665:B2 4.44699e-05 +122 *1733:127 *1735:175 0.000344954 +123 *1733:127 *2999:38 3.14838e-05 +124 *19795:A *1733:127 0.000126934 +125 *19874:A3 *1733:85 0.000302705 +126 *19875:A1 *1733:75 4.64292e-05 +127 *19875:B1 *1733:75 0.000283616 +128 *19979:A1 *19979:A2 0.000127189 +129 *19979:A1 *1733:127 0.00026068 +130 *20885:A *1733:45 0.000283358 +131 *21498:A2 *1733:75 0.000114166 +132 *21511:B2 *21512:B2 0.000180985 +133 *21511:B2 *1733:45 0.000625682 +134 *21512:A2 *21512:B2 8.27055e-05 +135 *21630:A2 *21512:B2 0.000438252 +136 *22029:B2 *21512:B2 0.000294623 +137 *22208:B1 *1733:85 2.87136e-06 +138 *24551:D *1733:26 0.000116493 +139 *74:36 *1733:20 0.00243156 +140 *74:36 *1733:26 0.000240121 +141 *514:16 *1733:14 0.000571414 +142 *1442:97 *1733:26 0.000352095 +143 *1461:261 *1733:25 0.000107496 +144 *1541:128 *1733:14 9.55496e-05 +145 *1569:54 *1733:26 8.44387e-05 +146 *1587:137 *1733:14 0.00248855 +147 *1594:85 *1733:52 0.000445325 +148 *1594:85 *1733:75 0.000247242 +149 *1595:60 *1733:75 0.000106978 +150 *1595:75 *21831:A2 4.25298e-05 +151 *1598:91 *21512:B2 0.000193999 +152 *1603:35 *1733:26 0.000805982 +153 *1603:48 *1733:26 0.000200243 +154 *1625:106 *1733:45 7.04678e-05 +155 *1625:115 *1733:45 7.18959e-05 +156 *1627:171 *1733:75 2.53624e-06 +157 *1635:131 *1733:75 5.60804e-05 +158 *1643:28 *21831:A2 3.88358e-05 +159 *1689:135 *21512:B2 0.000212015 +160 *1689:135 *1733:45 0.00380724 +161 *1690:108 *1733:26 0 +162 *1690:120 *1733:26 0.000112307 +163 *1706:46 *1733:14 0.00012774 +164 *1706:87 *1733:14 4.15661e-05 +165 *1707:39 *1733:75 1.32841e-05 +166 *1724:48 *1733:85 5.47232e-06 +167 *1730:20 *1733:127 0.000122488 +168 *1732:81 *1733:19 0.00101108 +*RES +1 *19976:X *1733:4 9.24915 +2 *1733:4 *1733:14 47.8458 +3 *1733:14 *1733:19 20.8155 +4 *1733:19 *1733:20 48.7555 +5 *1733:20 *1733:25 10.278 +6 *1733:25 *1733:26 86.3358 +7 *1733:26 *1733:45 32.5819 +8 *1733:45 *1733:52 4.4546 +9 *1733:52 *21831:A2 19.3294 +10 *1733:52 *1733:75 22.1158 +11 *1733:75 *1733:85 37.5032 +12 *1733:85 *20491:B 31.1199 +13 *1733:75 *21974:B2 43.4011 +14 *1733:45 *21512:B2 33.0296 +15 *1733:4 *1733:127 18.0025 +16 *1733:127 *19979:A2 16.4116 +17 *1733:127 *21665:A2 13.7491 +*END + +*D_NET *1734 0.0406165 +*CONN +*I *19979:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19977:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19979:B1 0.000359691 +2 *19977:Y 0.000204119 +3 *1734:27 0.0033414 +4 *1734:26 0.00778734 +5 *1734:18 0.00626827 +6 *1734:17 0.00157603 +7 *1734:13 0.00126449 +8 *1734:7 0.00135522 +9 *19979:B1 *2979:46 0 +10 *19979:B1 *2999:38 0.000264373 +11 *1734:7 *19977:A 2.85139e-05 +12 *1734:13 *22137:B 0 +13 *1734:13 *2812:11 7.86825e-06 +14 *1734:13 *5735:24 0.00101602 +15 *1734:13 *5800:32 0.000129632 +16 *1734:13 *5926:56 0.000680016 +17 *1734:13 *5926:60 0.000132729 +18 *1734:17 *5916:23 2.16355e-05 +19 *1734:17 *5916:33 2.48809e-05 +20 *1734:17 *5916:35 0.000254178 +21 *1734:18 *20121:A 0.000347249 +22 *1734:18 *1755:27 0.000453172 +23 *1734:18 *1841:16 0.000335606 +24 *1734:18 *2405:20 0 +25 *1734:18 *2426:16 0.000747449 +26 *1734:18 *5451:8 0.000311563 +27 *1734:18 *5451:12 0.000243663 +28 *1734:18 *5735:12 0 +29 *1734:18 *5800:32 0.000254541 +30 *1734:18 *5928:30 0.00119926 +31 *1734:26 *21927:B1 0.000711495 +32 *1734:26 *24057:A1 9.76424e-07 +33 *1734:26 *1761:74 0.00069499 +34 *1734:26 *2964:35 0 +35 *1734:26 *5452:11 0.00329277 +36 *1734:26 *5452:23 0.000247147 +37 *1734:27 *21946:C 0.000396673 +38 *1734:27 *2948:27 0.00397148 +39 *19973:B *19979:B1 0.000146065 +40 *21939:B2 *1734:27 5.51483e-06 +41 *24658:D *1734:26 0 +42 *24814:RESET_B *1734:18 0.000176237 +43 *25009:A *1734:13 0.000207068 +44 *25024:A *1734:13 9.61451e-05 +45 *1427:36 *1734:26 0 +46 *1439:356 *1734:26 6.77459e-05 +47 *1461:228 *1734:26 0.000698603 +48 *1515:133 *19979:B1 6.6187e-05 +49 *1525:24 *1734:18 0.000275467 +50 *1677:93 *19979:B1 8.08437e-05 +51 *1690:67 *1734:13 1.00981e-05 +52 *1690:67 *1734:17 0.000515054 +53 *1711:87 *19979:B1 1.05272e-06 +54 *1731:68 *1734:18 4.69204e-06 +55 *1731:70 *1734:18 0.000341271 +*RES +1 *19977:Y *1734:7 16.691 +2 *1734:7 *1734:13 47.493 +3 *1734:13 *1734:17 10.2148 +4 *1734:17 *1734:18 61.4207 +5 *1734:18 *1734:26 37.9247 +6 *1734:26 *1734:27 50.0831 +7 *1734:27 *19979:B1 27.1404 +*END + +*D_NET *1735 0.0820029 +*CONN +*I *21682:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21351:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21801:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21193:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19979:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21938:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21389:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21570:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *19978:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21682:B2 0 +2 *21351:B2 0.000412846 +3 *21801:A2 0.000506174 +4 *21193:B2 0.000146401 +5 *19979:B2 0.000108342 +6 *21938:B2 4.62684e-05 +7 *21389:A2 0.000136988 +8 *21570:A2 0.000633148 +9 *19978:X 4.51842e-05 +10 *1735:175 0.001386 +11 *1735:132 0.00271822 +12 *1735:100 0.00476485 +13 *1735:91 0.00638799 +14 *1735:78 0.00500904 +15 *1735:67 0.000728208 +16 *1735:52 0.0010395 +17 *1735:45 0.00165738 +18 *1735:36 0.0017534 +19 *1735:16 0.00106339 +20 *1735:10 0.002838 +21 *1735:7 0.00206625 +22 *19979:B2 *19980:C1 2.61955e-05 +23 *19979:B2 *3144:35 2.57986e-05 +24 *21193:B2 *21193:A2 5.04829e-06 +25 *21193:B2 *22332:A2 5.8973e-05 +26 *21193:B2 *1788:46 5.481e-05 +27 *21193:B2 *2274:71 0.000104754 +28 *21193:B2 *2546:28 2.37478e-05 +29 *21193:B2 *2596:18 1.66626e-05 +30 *21193:B2 *3139:122 3.58531e-05 +31 *21351:B2 *21351:B1 0.00090385 +32 *21351:B2 *21893:B1 1.20411e-05 +33 *21351:B2 *2396:23 3.51785e-06 +34 *21351:B2 *2397:85 0.000107496 +35 *21351:B2 *2421:138 3.65454e-05 +36 *21351:B2 *3144:16 0.000107496 +37 *21389:A2 *21389:B2 0.000214341 +38 *21570:A2 *21570:A1 1.43983e-05 +39 *21570:A2 *5858:233 0.000360145 +40 *21801:A2 *21800:B1 1.22756e-05 +41 *21801:A2 *2523:123 4.15661e-05 +42 *21801:A2 *2541:48 2.33274e-05 +43 *21801:A2 *2991:70 0.000113812 +44 *21801:A2 *3483:163 0.000205101 +45 *21801:A2 *3607:10 4.75903e-05 +46 *21801:A2 *4815:122 0.000207901 +47 *21938:B2 *6102:DIODE 7.08059e-05 +48 *21938:B2 *21938:B1 4.62432e-06 +49 *1735:10 *21933:B1 5.56367e-05 +50 *1735:10 *2833:8 0.00059131 +51 *1735:10 *2835:8 2.59499e-05 +52 *1735:10 *2943:25 0.00113839 +53 *1735:10 *2946:8 0 +54 *1735:16 *20604:B2 0.000130501 +55 *1735:16 *21521:A 0.000151436 +56 *1735:16 *2447:9 0.000752727 +57 *1735:16 *2578:8 7.00667e-05 +58 *1735:16 *5076:10 1.25395e-05 +59 *1735:16 *5860:132 0.000319886 +60 *1735:36 *21389:B1 1.27831e-06 +61 *1735:36 *21390:A1 6.11074e-05 +62 *1735:36 *21390:B1 7.74361e-05 +63 *1735:36 *21390:C1 4.12833e-05 +64 *1735:36 *21884:A 0.000224381 +65 *1735:36 *24522:CLK 0.00010051 +66 *1735:36 *1785:12 7.71221e-05 +67 *1735:36 *2447:9 0.000131494 +68 *1735:36 *2447:26 0.00114648 +69 *1735:36 *2578:8 0.00023932 +70 *1735:36 *4922:11 6.50727e-05 +71 *1735:36 *4922:13 0.000675367 +72 *1735:36 *5830:41 0 +73 *1735:45 *2833:8 0.00128723 +74 *1735:45 *2833:21 0.00135831 +75 *1735:45 *2943:25 0.00263056 +76 *1735:52 *6102:DIODE 1.72202e-05 +77 *1735:52 *21938:B1 3.88662e-05 +78 *1735:67 *6102:DIODE 5.51483e-06 +79 *1735:67 *21938:A1 2.16355e-05 +80 *1735:67 *21946:B 0.000112551 +81 *1735:67 *2700:26 0.000380355 +82 *1735:78 *2700:26 1.55047e-05 +83 *1735:78 *3144:35 4.25398e-05 +84 *1735:78 *3442:14 1.5714e-05 +85 *1735:91 *21351:B1 0.00315581 +86 *1735:91 *3144:23 0.00161396 +87 *1735:91 *3485:90 0.000209071 +88 *1735:100 *21193:B1 0.00288676 +89 *1735:100 *2398:100 8.56151e-05 +90 *1735:100 *2577:36 0.000292961 +91 *1735:100 *2596:14 3.09172e-05 +92 *1735:100 *2907:6 3.77568e-05 +93 *1735:100 *3106:42 0.00192572 +94 *1735:100 *3485:90 5.55275e-05 +95 *1735:100 *3487:133 0.000458079 +96 *1735:100 *4819:72 8.24154e-05 +97 *1735:100 *4824:180 0.000542032 +98 *1735:100 *4856:18 4.57473e-05 +99 *1735:132 *22322:A2 5.97745e-05 +100 *1735:132 *22327:B2 8.23644e-05 +101 *1735:132 *22330:A2 0.000412797 +102 *1735:132 *22334:C1 8.34608e-05 +103 *1735:132 *22540:A2 0.000856555 +104 *1735:132 *22602:B1 0.000137356 +105 *1735:132 *22669:A1 0.000308909 +106 *1735:132 *24917:A 0.000133924 +107 *1735:132 *2503:99 2.14817e-05 +108 *1735:132 *2572:60 0.00011195 +109 *1735:132 *2629:37 0.000344216 +110 *1735:132 *2930:64 0.000100889 +111 *1735:132 *3106:42 0.000597019 +112 *1735:132 *3114:102 0.000139768 +113 *1735:132 *3487:133 0.00107165 +114 *1735:132 *3521:33 0.000701819 +115 *1735:175 *21682:A1 0.000172555 +116 *1735:175 *2715:38 1.22858e-05 +117 *1735:175 *3442:14 0.000410397 +118 *6089:DIODE *1735:91 0.000354661 +119 *19929:B1 *1735:91 4.19354e-05 +120 *19976:B *1735:175 2.61012e-05 +121 *19981:C *1735:91 0.000277784 +122 *20034:A *1735:91 3.06835e-05 +123 *20217:B *21570:A2 0.000165521 +124 *21652:A2 *21351:B2 0.000730011 +125 *21652:A2 *1735:91 3.71926e-05 +126 *21682:A2 *1735:175 6.50586e-05 +127 *21938:A2 *1735:52 1.61631e-05 +128 *21938:A2 *1735:67 6.50586e-05 +129 *506:16 *1735:132 0.00028406 +130 *514:28 *1735:175 9.28993e-05 +131 *533:8 *21351:B2 3.65454e-05 +132 *547:21 *1735:100 0.000278632 +133 *1424:88 *1735:52 0.000237638 +134 *1424:88 *1735:67 2.08019e-05 +135 *1424:104 *1735:67 9.65149e-05 +136 *1439:260 *21570:A2 0.000477313 +137 *1439:264 *21570:A2 0.000131055 +138 *1442:30 *1735:67 0.000190586 +139 *1442:30 *1735:175 7.39264e-05 +140 *1471:84 *21570:A2 0.00280102 +141 *1485:54 *1735:7 6.08467e-05 +142 *1497:36 *1735:10 0.000830034 +143 *1515:133 *1735:67 9.39114e-06 +144 *1544:76 *1735:91 4.00811e-05 +145 *1564:31 *1735:91 6.41684e-05 +146 *1573:8 *1735:175 4.7918e-05 +147 *1573:18 *1735:16 4.6839e-05 +148 *1577:13 *1735:91 0.000554407 +149 *1577:17 *1735:91 0.000394258 +150 *1577:165 *1735:91 0.000120955 +151 *1583:53 *1735:132 0.000954664 +152 *1587:23 *1735:36 0.000164509 +153 *1614:30 *21801:A2 0.000313331 +154 *1616:42 *21193:B2 3.03785e-05 +155 *1655:61 *21801:A2 8.90266e-05 +156 *1677:45 *1735:52 0.000837348 +157 *1678:35 *1735:175 0.000186844 +158 *1691:17 *1735:67 0.00010901 +159 *1698:130 *1735:67 0.000353244 +160 *1698:130 *1735:78 9.83233e-05 +161 *1698:130 *1735:175 0.000406265 +162 *1707:43 *1735:91 0.00153784 +163 *1716:68 *19979:B2 0.00025175 +164 *1729:80 *1735:91 0.0018575 +165 *1730:20 *1735:91 5.3627e-06 +166 *1730:20 *1735:175 0.000200794 +167 *1731:32 *1735:10 1.35431e-05 +168 *1733:14 *1735:175 6.50727e-05 +169 *1733:127 *1735:175 0.000344954 +*RES +1 *19978:X *1735:7 14.4725 +2 *1735:7 *1735:10 32.9081 +3 *1735:10 *1735:16 24.7181 +4 *1735:16 *21570:A2 40.4757 +5 *1735:10 *1735:36 47.1043 +6 *1735:36 *21389:A2 16.1364 +7 *1735:7 *1735:45 51.247 +8 *1735:45 *1735:52 18.7268 +9 *1735:52 *21938:B2 10.9612 +10 *1735:52 *1735:67 21.4824 +11 *1735:67 *19979:B2 17.2697 +12 *1735:67 *1735:78 2.03962 +13 *1735:78 *1735:91 26.6498 +14 *1735:91 *1735:100 24.7522 +15 *1735:100 *21193:B2 20.4491 +16 *1735:100 *1735:132 46.4445 +17 *1735:132 *21801:A2 36.2627 +18 *1735:91 *21351:B2 20.693 +19 *1735:78 *1735:175 49.1738 +20 *1735:175 *21682:B2 9.24915 +*END + +*D_NET *1736 0.00311102 +*CONN +*I *19980:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19979:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19980:C1 0.000843154 +2 *19979:X 0.000843154 +3 *19980:C1 *19981:D 7.92757e-06 +4 *19819:A *19980:C1 0.000107496 +5 *19819:B *19980:C1 6.50727e-05 +6 *19979:B2 *19980:C1 2.61955e-05 +7 *20034:A *19980:C1 0.000495726 +8 *1515:142 *19980:C1 0.000347214 +9 *1577:13 *19980:C1 0.000160617 +10 *1685:26 *19980:C1 3.58552e-05 +11 *1716:68 *19980:C1 7.11111e-05 +12 *1730:23 *19980:C1 0.000107496 +*RES +1 *19979:X *19980:C1 40.8995 +*END + +*D_NET *1737 0.00256379 +*CONN +*I *19981:D I *D sky130_fd_sc_hd__and4_1 +*I *19980:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *19981:D 0.0008745 +2 *19980:X 0.0008745 +3 *19981:D *20052:B 1.06334e-05 +4 *19981:D *2858:27 0.000111987 +5 *19981:D *2858:34 6.61215e-06 +6 *19981:D *2936:67 5.57532e-05 +7 *6089:DIODE *19981:D 8.3897e-06 +8 *19980:A1 *19981:D 0.000115423 +9 *19980:C1 *19981:D 7.92757e-06 +10 *19981:B *19981:D 6.13567e-05 +11 *19981:C *19981:D 0.000233699 +12 *20034:A *19981:D 8.62625e-06 +13 *1704:6 *19981:D 3.96589e-05 +14 *1730:20 *19981:D 5.25994e-05 +15 *1730:23 *19981:D 8.51641e-05 +16 *1730:43 *19981:D 1.69574e-05 +*RES +1 *19980:X *19981:D 44.9946 +*END + +*D_NET *1738 0.00204962 +*CONN +*I *20052:B I *D sky130_fd_sc_hd__and4_2 +*I *19981:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *20052:B 0.000278345 +2 *19981:X 0.000278345 +3 *20052:B *22800:B1 0.000344968 +4 *20052:B *1808:11 7.67019e-05 +5 *20052:B *2744:23 0.000103378 +6 *20052:B *2858:27 4.15661e-05 +7 *20052:B *3208:21 0.000247443 +8 *20052:B *4821:41 0.000103378 +9 *19956:A2 *20052:B 0.000214992 +10 *19956:C1 *20052:B 0.000340742 +11 *19981:D *20052:B 1.06334e-05 +12 *1704:6 *20052:B 9.12416e-06 +*RES +1 *19981:X *20052:B 47.4633 +*END + +*D_NET *1739 0.0347741 +*CONN +*I *22407:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22735:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *19990:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19982:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22407:B1 2.3034e-05 +2 *22735:B1 0 +3 *19990:A1 0.00179262 +4 *19982:Y 4.66862e-05 +5 *1739:58 0.00120028 +6 *1739:46 0.00245779 +7 *1739:41 0.00233127 +8 *1739:22 0.00351703 +9 *1739:8 0.00250764 +10 *1739:7 0.00192669 +11 *19990:A1 *23942:A0 0.000727895 +12 *19990:A1 *2400:12 0.00316293 +13 *19990:A1 *3063:16 0.000147403 +14 *19990:A1 *5908:9 8.08061e-05 +15 *1739:7 *4863:58 0.000311235 +16 *1739:7 *5253:9 0.000315461 +17 *1739:8 *24219:RESET_B 0.00046383 +18 *1739:8 *2753:8 1.51658e-05 +19 *1739:8 *2753:49 0.000278716 +20 *1739:8 *2947:12 0.000137876 +21 *1739:8 *2971:44 0.000820103 +22 *1739:22 *2003:61 0.000366849 +23 *1739:22 *2502:11 1.91246e-05 +24 *1739:22 *2947:12 6.22423e-05 +25 *1739:22 *2947:20 0.000392136 +26 *1739:22 *5080:9 3.55296e-05 +27 *1739:41 *21938:B1 0 +28 *1739:41 *21988:A 0.000122272 +29 *1739:41 *22446:B1 0 +30 *1739:41 *1792:97 9.92424e-05 +31 *1739:41 *2003:61 0.000473819 +32 *1739:41 *2003:71 0.000548734 +33 *1739:41 *2947:20 0.000128035 +34 *1739:41 *5077:8 7.91779e-05 +35 *1739:46 *21939:C1 1.58355e-05 +36 *1739:46 *22407:A1 0.000107496 +37 *1739:46 *22407:A2 5.04829e-06 +38 *1739:46 *22407:B2 1.65872e-05 +39 *1739:46 *22423:A2 0.00021476 +40 *1739:46 *22446:B1 0 +41 *1739:46 *22446:C1 0.000275272 +42 *1739:46 *22489:A1 7.08433e-05 +43 *1739:46 *1791:28 8.87632e-05 +44 *1739:46 *1791:39 0.00104709 +45 *1739:46 *1792:97 4.31436e-05 +46 *1739:46 *1792:101 6.74763e-05 +47 *1739:46 *2913:30 5.51483e-06 +48 *1739:46 *3379:8 0.00101291 +49 *1739:58 *22407:A1 0.000205101 +50 *1739:58 *22407:B2 6.11872e-05 +51 *1739:58 *22429:C1 0.000768099 +52 *1739:58 *22433:C1 0.0001214 +53 *1739:58 *22438:C 5.65931e-05 +54 *1739:58 *22735:C1 4.31603e-06 +55 *1739:58 *2913:30 1.17054e-05 +56 *1739:58 *3164:54 0.000703784 +57 *1739:58 *3361:12 0.000234775 +58 *1739:58 *3382:8 0.000770444 +59 *19739:B *1739:8 0 +60 *19739:B *1739:22 0 +61 *19937:C1 *1739:8 5.58966e-05 +62 *19946:B2 *19990:A1 6.19144e-05 +63 *22734:A1 *1739:58 2.15488e-07 +64 *462:22 *1739:22 3.31733e-05 +65 *464:31 *1739:22 5.04829e-06 +66 *1454:35 *1739:41 1.67637e-05 +67 *1459:21 *1739:22 4.2372e-05 +68 *1461:61 *1739:22 0.000250631 +69 *1461:61 *1739:41 0.000352876 +70 *1461:88 *19990:A1 3.63948e-05 +71 *1472:12 *1739:8 8.25226e-05 +72 *1472:14 *1739:8 6.53632e-05 +73 *1492:16 *19990:A1 0.000103304 +74 *1492:112 *19990:A1 4.76283e-05 +75 *1506:16 *1739:22 0.000140472 +76 *1511:50 *1739:8 0.000138879 +77 *1511:56 *1739:8 0 +78 *1515:130 *1739:22 0 +79 *1516:20 *1739:8 0.00255866 +80 *1677:45 *1739:41 1.9101e-05 +81 *1688:118 *1739:41 0.000222323 +82 *1689:28 *1739:8 2.18679e-05 +83 *1706:31 *1739:46 1.89411e-05 +*RES +1 *19982:Y *1739:7 17.2456 +2 *1739:7 *1739:8 62.9596 +3 *1739:8 *1739:22 28.9888 +4 *1739:22 *19990:A1 29.1435 +5 *1739:22 *1739:41 32.5362 +6 *1739:41 *1739:46 41.8683 +7 *1739:46 *1739:58 45.4478 +8 *1739:58 *22735:B1 9.24915 +9 *1739:46 *22407:B1 9.82786 +*END + +*D_NET *1740 0.0947548 +*CONN +*I *21826:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21945:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *19990:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21737:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20453:B I *D sky130_fd_sc_hd__or2_1 +*I *21505:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *19983:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21826:B2 8.86839e-05 +2 *21945:B2 0.000268384 +3 *19990:A2 0 +4 *21737:A2 2.68274e-05 +5 *20453:B 0.000566327 +6 *21505:B2 0 +7 *19983:X 0.000640596 +8 *1740:139 0.00229886 +9 *1740:131 0.00286713 +10 *1740:125 0.00167483 +11 *1740:110 0.00713941 +12 *1740:98 0.00769547 +13 *1740:65 0.00198386 +14 *1740:48 0.00117925 +15 *1740:38 0.00329725 +16 *1740:36 0.00166577 +17 *1740:22 0.00197687 +18 *1740:16 0.00281685 +19 *1740:14 0.0011135 +20 *20453:B *2835:14 1.66626e-05 +21 *20453:B *3014:39 0.00153354 +22 *20453:B *4832:109 0 +23 *21737:A2 *2757:7 2.41274e-06 +24 *21826:B2 *21826:B1 9.95922e-06 +25 *21826:B2 *21839:B2 1.4091e-06 +26 *21945:B2 *21945:B1 7.09666e-06 +27 *21945:B2 *3162:102 0.000379763 +28 *21945:B2 *3539:94 0.000351059 +29 *1740:14 *2003:48 0.000128552 +30 *1740:14 *2274:22 5.13677e-05 +31 *1740:22 *23942:A0 0.00286822 +32 *1740:22 *1768:27 0.000311142 +33 *1740:22 *2808:32 0.000281041 +34 *1740:22 *2809:31 3.03034e-05 +35 *1740:36 *21737:C1 0.000194945 +36 *1740:36 *2270:8 3.88358e-05 +37 *1740:36 *2450:29 0.000653682 +38 *1740:36 *3063:16 3.92279e-05 +39 *1740:38 *2270:8 0.00145408 +40 *1740:38 *2586:23 0.000338085 +41 *1740:38 *2586:31 0.000201647 +42 *1740:38 *3063:16 4.37588e-05 +43 *1740:48 *2270:8 0.000157378 +44 *1740:48 *2740:8 0.000229066 +45 *1740:48 *2740:18 0.000542705 +46 *1740:48 *2743:11 0.000128866 +47 *1740:48 *2839:18 0.00136501 +48 *1740:65 *21844:B1 0.00104159 +49 *1740:65 *1785:12 0.000595715 +50 *1740:65 *3014:30 0.00142799 +51 *1740:65 *4922:30 9.13752e-05 +52 *1740:65 *4922:38 0.000143215 +53 *1740:65 *5860:433 0.000126378 +54 *1740:65 *5874:79 0.000290005 +55 *1740:98 *21939:B1 0.000316484 +56 *1740:98 *22446:B1 0.000121106 +57 *1740:98 *1757:57 0.000137356 +58 *1740:98 *1792:17 0.000633581 +59 *1740:98 *1792:97 2.44566e-05 +60 *1740:98 *2951:28 0.000152934 +61 *1740:98 *3003:24 0.000737148 +62 *1740:98 *3016:22 5.1493e-06 +63 *1740:98 *5082:17 0.000375224 +64 *1740:110 *20418:B1 0.000589631 +65 *1740:110 *22435:C1 0 +66 *1740:110 *22808:A1 9.10102e-05 +67 *1740:110 *1753:16 0.00306067 +68 *1740:110 *1762:63 0.000262424 +69 *1740:110 *1797:20 4.06634e-05 +70 *1740:110 *2521:27 0.000145031 +71 *1740:110 *2571:15 3.27356e-05 +72 *1740:110 *2703:60 0 +73 *1740:110 *2812:18 0.00582813 +74 *1740:110 *3028:30 0.000280109 +75 *1740:110 *3134:62 0 +76 *1740:110 *4797:30 0.00105607 +77 *1740:110 *5475:83 0.000120804 +78 *1740:110 *5563:45 0.00302247 +79 *1740:110 *5682:22 8.49442e-05 +80 *1740:110 *5851:116 0.000441483 +81 *1740:125 *20524:B2 4.41867e-05 +82 *1740:125 *20528:A2 5.8518e-05 +83 *1740:125 *20560:A 5.481e-05 +84 *1740:125 *1784:55 6.1661e-06 +85 *1740:125 *1784:165 7.49142e-05 +86 *1740:125 *1784:166 2.65831e-05 +87 *1740:125 *1997:7 5.04829e-06 +88 *1740:125 *2603:74 0.000204294 +89 *1740:125 *3411:42 6.70246e-05 +90 *1740:125 *5851:84 0.000117307 +91 *1740:125 *5851:95 0.000130071 +92 *1740:125 *5856:183 0.000432698 +93 *1740:131 *1985:12 7.6993e-05 +94 *1740:131 *1985:46 0.000284048 +95 *1740:131 *2785:102 5.5399e-05 +96 *1740:131 *2943:68 0.000105227 +97 *1740:131 *5854:67 0.00021498 +98 *1740:131 *5854:100 0.000316926 +99 *1740:131 *5856:160 0.000535356 +100 *1740:131 *5856:168 0.000138836 +101 *1740:139 *20533:A1 1.65872e-05 +102 *1740:139 *21772:C1 8.78262e-05 +103 *1740:139 *21826:B1 7.13972e-05 +104 *1740:139 *1744:179 0.00166606 +105 *1740:139 *2614:35 7.09666e-06 +106 *1740:139 *2838:101 1.745e-05 +107 *1740:139 *2841:7 0.000392151 +108 *1740:139 *3533:79 3.20455e-05 +109 *1740:139 *5270:10 7.36804e-06 +110 *1740:139 *5904:86 8.34211e-06 +111 *1740:139 *5904:91 3.39118e-05 +112 *19934:A *1740:14 6.92705e-05 +113 *19956:A1 *1740:110 0.0030285 +114 *19983:A *1740:14 0.00115392 +115 *19985:A *1740:22 2.72352e-05 +116 *19985:A *1740:36 0.00012883 +117 *19987:A *1740:98 5.64166e-05 +118 *21772:B2 *1740:139 0.000154974 +119 *21938:A2 *1740:98 0.00017124 +120 *24329:D *1740:36 0.00012568 +121 *24554:D *1740:125 0.000119232 +122 *24875:D *1740:38 7.61444e-07 +123 *24875:D *1740:48 1.56949e-05 +124 *74:18 *1740:65 0.00179299 +125 *1424:151 *1740:36 0.000585035 +126 *1424:156 *1740:36 2.72488e-05 +127 *1425:11 *1740:16 0.00018353 +128 *1425:41 *1740:16 0.00014775 +129 *1425:68 *1740:16 0.00029287 +130 *1437:33 *1740:16 0.000142548 +131 *1437:37 *1740:16 0.000289715 +132 *1439:252 *1740:65 5.94679e-05 +133 *1439:260 *20453:B 2.16355e-05 +134 *1450:48 *1740:36 1.03996e-05 +135 *1455:105 *1740:125 5.13151e-05 +136 *1459:18 *1740:14 7.77309e-06 +137 *1461:67 *1740:98 2.1203e-06 +138 *1467:76 *1740:38 0.000553944 +139 *1485:50 *1740:14 0.000714657 +140 *1488:8 *21737:A2 6.08467e-05 +141 *1492:16 *1740:36 0.000287195 +142 *1492:35 *1740:36 0.000523388 +143 *1492:112 *1740:22 3.63593e-05 +144 *1492:112 *1740:36 3.90021e-05 +145 *1497:36 *20453:B 2.37478e-05 +146 *1506:128 *1740:131 0.00188945 +147 *1506:128 *1740:139 5.41399e-05 +148 *1511:23 *1740:98 7.09666e-06 +149 *1515:133 *1740:16 8.43122e-05 +150 *1515:133 *1740:98 6.02693e-05 +151 *1520:34 *1740:48 3.583e-05 +152 *1537:36 *1740:110 0.000759498 +153 *1544:13 *1740:14 0.000114764 +154 *1563:46 *1740:22 0.000116268 +155 *1573:33 *20453:B 0.000170378 +156 *1604:11 *1740:98 8.98279e-05 +157 *1641:10 *1740:14 3.80436e-07 +158 *1641:10 *1740:16 3.07483e-05 +159 *1641:10 *1740:98 5.77559e-05 +160 *1658:57 *1740:131 3.28375e-05 +161 *1658:57 *1740:139 0.000116111 +162 *1669:34 *1740:48 0.00182724 +163 *1689:88 *1740:131 0.00102605 +164 *1691:17 *1740:14 0.000160693 +165 *1698:38 *1740:98 0.000400335 +166 *1698:54 *1740:98 0.000251655 +167 *1722:10 *1740:125 0.000196192 +168 *1732:70 *1740:110 0.00104779 +169 *1732:70 *1740:125 9.47262e-05 +*RES +1 *19983:X *1740:14 37.9711 +2 *1740:14 *1740:16 14.2896 +3 *1740:16 *1740:22 11.5588 +4 *1740:22 *1740:36 32.7364 +5 *1740:36 *1740:38 27.7853 +6 *1740:38 *1740:48 48.0105 +7 *1740:48 *21505:B2 13.7491 +8 *1740:38 *1740:65 48.3962 +9 *1740:65 *20453:B 23.7038 +10 *1740:36 *21737:A2 14.4725 +11 *1740:22 *19990:A2 13.7491 +12 *1740:16 *1740:98 49.9408 +13 *1740:98 *1740:110 48.8709 +14 *1740:110 *1740:125 43.6306 +15 *1740:125 *1740:131 44.4833 +16 *1740:131 *1740:139 44.5795 +17 *1740:139 *21945:B2 26.3099 +18 *1740:139 *21826:B2 10.9612 +*END + +*D_NET *1741 0.134756 +*CONN +*I *19990:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6162:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19984:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *19990:B1 2.3034e-05 +2 *6162:DIODE 4.32574e-05 +3 *19984:Y 0 +4 *1741:115 0.00186604 +5 *1741:105 0.00271533 +6 *1741:93 0.00538509 +7 *1741:92 0.00446951 +8 *1741:90 0.00267517 +9 *1741:81 0.0036106 +10 *1741:70 0.0014045 +11 *1741:69 0.000518496 +12 *1741:64 0.000837718 +13 *1741:63 0.000913651 +14 *1741:56 0.000716308 +15 *1741:55 0.00139149 +16 *1741:44 0.00151205 +17 *1741:40 0.00753691 +18 *1741:21 0.00822535 +19 *1741:6 0.00577832 +20 *1741:5 0.00437836 +21 *6162:DIODE *19990:C1 6.08467e-05 +22 *6162:DIODE *20013:A 1.98996e-05 +23 *1741:6 *20429:A1 0.000268195 +24 *1741:6 *20429:B2 0.000540911 +25 *1741:6 *20430:A2 0.000150396 +26 *1741:6 *20464:A2 0.000165481 +27 *1741:6 *20467:A1 0.000148129 +28 *1741:6 *20546:B1 0.000794333 +29 *1741:6 *21446:A 0.000119523 +30 *1741:6 *21905:A 0.000160282 +31 *1741:6 *24429:CLK 1.45398e-05 +32 *1741:6 *24433:CLK 0 +33 *1741:6 *1955:18 0.000257864 +34 *1741:6 *1955:27 2.04806e-05 +35 *1741:6 *1955:42 7.41203e-05 +36 *1741:6 *1956:23 0.000196653 +37 *1741:6 *1958:92 3.65413e-05 +38 *1741:6 *1958:109 0.000217878 +39 *1741:6 *2566:28 0.000200048 +40 *1741:6 *2737:6 0.00147489 +41 *1741:6 *2737:10 0.000356365 +42 *1741:6 *2737:29 7.52736e-05 +43 *1741:6 *2809:6 0 +44 *1741:6 *2919:20 0.000184688 +45 *1741:6 *2978:8 0 +46 *1741:6 *4830:11 0.000139435 +47 *1741:6 *4838:210 0 +48 *1741:6 *4887:8 0.000101036 +49 *1741:6 *4887:17 1.14891e-05 +50 *1741:6 *4887:26 6.60841e-05 +51 *1741:6 *4888:55 0.000104935 +52 *1741:6 *4888:98 0 +53 *1741:6 *5251:31 0.000393884 +54 *1741:6 *5853:611 7.77309e-06 +55 *1741:6 *5887:10 0.00140433 +56 *1741:21 *20434:A 1.12969e-05 +57 *1741:21 *21814:B1 0.000114494 +58 *1741:21 *1787:17 0.000113371 +59 *1741:21 *1958:20 0.000204088 +60 *1741:21 *2737:29 2.24509e-05 +61 *1741:21 *2797:47 0.000137918 +62 *1741:21 *2827:13 0.000287421 +63 *1741:21 *2843:12 0 +64 *1741:21 *2846:23 9.54183e-05 +65 *1741:21 *2918:16 0.00038659 +66 *1741:21 *3035:40 1.8886e-05 +67 *1741:21 *4840:173 0.000413833 +68 *1741:21 *5161:6 1.47202e-05 +69 *1741:21 *5867:176 1.32509e-05 +70 *1741:40 *21572:A 2.75033e-05 +71 *1741:40 *22249:A 0.000163085 +72 *1741:40 *22274:A2 5.65354e-05 +73 *1741:40 *22274:B1 2.33103e-06 +74 *1741:40 *22275:C1 4.32904e-05 +75 *1741:40 *22385:B1 0.00029283 +76 *1741:40 *22404:A 0.0003503 +77 *1741:40 *24764:SET_B 2.23325e-05 +78 *1741:40 *1886:49 0 +79 *1741:40 *2266:36 4.31485e-06 +80 *1741:40 *2293:38 6.057e-05 +81 *1741:40 *2298:17 0.00606699 +82 *1741:40 *2357:50 0.000242776 +83 *1741:40 *2384:32 0.000177202 +84 *1741:40 *2460:40 0.000490038 +85 *1741:40 *2635:35 0 +86 *1741:40 *2635:47 0.0011526 +87 *1741:40 *2679:37 3.90312e-05 +88 *1741:40 *2978:29 0.014088 +89 *1741:40 *2989:47 0.000288257 +90 *1741:40 *3164:188 1.31782e-05 +91 *1741:40 *4814:64 0.000214287 +92 *1741:40 *4815:35 0.000234993 +93 *1741:40 *4818:110 0 +94 *1741:40 *4825:95 0.000175971 +95 *1741:40 *4942:22 0.000187421 +96 *1741:40 *5091:9 0.000247164 +97 *1741:40 *5091:22 5.86131e-05 +98 *1741:40 *5493:51 0 +99 *1741:44 *19562:S 6.34651e-06 +100 *1741:44 *2320:56 0.000668655 +101 *1741:44 *2433:106 0.000266309 +102 *1741:44 *2570:57 0.000675353 +103 *1741:44 *2687:42 0.00210531 +104 *1741:44 *2692:31 0 +105 *1741:44 *4825:95 9.39114e-06 +106 *1741:44 *4833:138 0.000211966 +107 *1741:55 *2421:91 0.000102098 +108 *1741:55 *2989:63 1.56384e-05 +109 *1741:55 *4823:85 0.000628648 +110 *1741:55 *4829:142 1.59852e-05 +111 *1741:55 *4829:145 0.000628648 +112 *1741:55 *5529:29 0 +113 *1741:56 *2389:72 0.000320081 +114 *1741:56 *2391:34 0.00027484 +115 *1741:56 *2391:77 0.000118737 +116 *1741:56 *5913:18 0.00314286 +117 *1741:63 *2282:68 6.07912e-05 +118 *1741:63 *2389:72 3.52155e-05 +119 *1741:63 *3212:49 8.72257e-05 +120 *1741:64 *2389:56 0.00507344 +121 *1741:64 *2389:72 6.34651e-06 +122 *1741:64 *2391:34 0.000188051 +123 *1741:64 *2882:36 4.31485e-06 +124 *1741:64 *2989:91 0.000409857 +125 *1741:64 *3212:52 0.000128785 +126 *1741:64 *5898:168 1.59781e-05 +127 *1741:69 *3212:64 1.03434e-05 +128 *1741:70 *2295:14 1.72799e-05 +129 *1741:70 *2423:69 0.00158182 +130 *1741:70 *2446:54 0.000237959 +131 *1741:70 *2882:36 0.000254036 +132 *1741:70 *5898:168 3.72318e-05 +133 *1741:81 *2267:40 0.000104426 +134 *1741:81 *2291:26 0.000407421 +135 *1741:81 *2433:141 0.000118166 +136 *1741:81 *2446:62 0 +137 *1741:81 *2882:19 2.41274e-06 +138 *1741:81 *4828:107 0.000158451 +139 *1741:81 *5683:33 6.58286e-06 +140 *1741:81 *5725:13 0.000161911 +141 *1741:81 *5898:164 0.000154145 +142 *1741:90 *20831:A2 0 +143 *1741:90 *24735:RESET_B 5.64511e-05 +144 *1741:90 *24738:CLK 3.6455e-05 +145 *1741:90 *2896:7 0.000166858 +146 *1741:90 *4911:38 2.83842e-05 +147 *1741:90 *5855:378 0.000597602 +148 *1741:90 *5857:196 0 +149 *1741:90 *5956:45 0.000176143 +150 *1741:93 *19611:A1 0.000158357 +151 *1741:93 *20519:B1 2.65831e-05 +152 *1741:93 *20519:B2 5.11963e-05 +153 *1741:93 *22515:B 0.000192172 +154 *1741:93 *22515:C 5.97576e-05 +155 *1741:93 *24368:CLK 4.15685e-05 +156 *1741:93 *2420:39 2.18041e-06 +157 *1741:93 *5259:17 6.27643e-05 +158 *1741:93 *5864:196 9.48744e-05 +159 *1741:93 *5945:11 0.000137293 +160 *1741:93 *5949:22 2.16355e-05 +161 *1741:93 *5949:41 0.000214877 +162 *1741:105 *21234:B1 7.38555e-05 +163 *1741:105 *24902:RESET_B 4.20506e-05 +164 *1741:105 *24902:CLK 0.000650818 +165 *1741:105 *2825:7 6.08467e-05 +166 *1741:105 *5857:286 0.000403338 +167 *1741:105 *5864:41 1.31166e-05 +168 *1741:115 *19990:B2 0.000137746 +169 *1741:115 *20013:A 7.92757e-06 +170 *1741:115 *20910:A1 0.000239605 +171 *1741:115 *20910:B1 1.00981e-05 +172 *1741:115 *20910:B2 3.68685e-05 +173 *1741:115 *20911:B2 3.99086e-06 +174 *1741:115 *5857:286 0.00167085 +175 mgmt_gpio_oeb[11] *1741:44 2.18821e-05 +176 *20434:B *1741:21 0.000693088 +177 *24368:D *1741:90 1.35179e-05 +178 *24368:D *1741:93 6.08467e-05 +179 *24369:D *1741:93 1.00981e-05 +180 *24560:D *1741:93 7.2245e-05 +181 *24622:D *1741:6 5.0459e-05 +182 *460:84 *1741:90 0.00020614 +183 *476:100 *1741:64 0.000116464 +184 *476:100 *1741:70 0.000139306 +185 *520:28 *1741:81 0.000604842 +186 *527:44 *1741:56 0.000312147 +187 *527:46 *1741:64 0.00534777 +188 *527:51 *1741:69 0.0001395 +189 *527:52 *1741:70 0.00334103 +190 *535:38 *1741:70 0.00131738 +191 *1428:54 *1741:105 0.000127758 +192 *1450:122 *1741:90 8.62625e-06 +193 *1452:51 *1741:105 0.000131169 +194 *1492:13 *6162:DIODE 0.000158357 +195 *1492:13 *1741:115 0.000139947 +196 *1492:121 *1741:105 6.50727e-05 +197 *1607:18 *1741:6 0.00400495 +198 *1611:8 *1741:21 5.4678e-05 +199 *1658:86 *1741:40 2.81876e-05 +200 *1688:75 *1741:55 0.000202399 +201 *1688:76 *1741:56 0.00349715 +202 *1709:40 *1741:40 3.17436e-05 +203 *1709:48 *1741:56 1.3706e-05 +204 *1721:164 *1741:21 0 +205 *1729:19 *1741:21 0.0016151 +*RES +1 *19984:Y *1741:5 13.7491 +2 *1741:5 *1741:6 167.31 +3 *1741:6 *1741:21 49.3232 +4 *1741:21 *1741:40 44.3103 +5 *1741:40 *1741:44 43.0818 +6 *1741:44 *1741:55 37.5262 +7 *1741:55 *1741:56 57.0605 +8 *1741:56 *1741:63 13.5815 +9 *1741:63 *1741:64 86.9587 +10 *1741:64 *1741:69 11.2184 +11 *1741:69 *1741:70 53.3233 +12 *1741:70 *1741:81 41.0521 +13 *1741:81 *1741:90 45.806 +14 *1741:90 *1741:92 4.5 +15 *1741:92 *1741:93 63.9482 +16 *1741:93 *1741:105 32.5686 +17 *1741:105 *1741:115 33.5415 +18 *1741:115 *6162:DIODE 11.0817 +19 *1741:115 *19990:B1 9.82786 +*END + +*D_NET *1742 0.0923697 +*CONN +*I *21712:A2 I *D sky130_fd_sc_hd__o22a_4 +*I *21968:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19990:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21794:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19985:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21712:A2 7.79642e-05 +2 *21968:A2 0.00027298 +3 *19990:B2 0.000231995 +4 *21794:B2 0.000254138 +5 *19985:X 0 +6 *1742:93 0.00561166 +7 *1742:86 0.00683694 +8 *1742:80 0.00275665 +9 *1742:78 0.00149232 +10 *1742:76 0.0042697 +11 *1742:75 0.00445716 +12 *1742:67 0.00392206 +13 *1742:60 0.00558767 +14 *1742:30 0.00250313 +15 *1742:13 0.000668983 +16 *1742:4 0.00035297 +17 *19990:B2 *20013:A 0.000253916 +18 *19990:B2 *2586:43 0.000216109 +19 *19990:B2 *2761:6 0.000216109 +20 *21712:A2 *21701:B1 0.000142484 +21 *21712:A2 *2850:12 2.0456e-06 +22 *21794:B2 *24332:CLK 4.55972e-05 +23 *21968:A2 *22004:A1 2.16355e-05 +24 *21968:A2 *2757:8 0.000188198 +25 *21968:A2 *2949:32 2.16355e-05 +26 *21968:A2 *3063:29 0.00019178 +27 *1742:13 *24332:CLK 7.92757e-06 +28 *1742:30 *2586:43 0.000607978 +29 *1742:60 *20907:A 6.7671e-06 +30 *1742:60 *21698:A 0.000108947 +31 *1742:60 *24896:SET_B 5.44807e-05 +32 *1742:60 *24896:CLK 0.000162774 +33 *1742:60 *2145:8 0.000111708 +34 *1742:60 *2581:39 1.66626e-05 +35 *1742:60 *2727:7 9.80242e-07 +36 *1742:60 *4919:36 5.04829e-06 +37 *1742:67 *21411:B1 0.00092193 +38 *1742:67 *21926:A1 0.0057273 +39 *1742:67 *24365:RESET_B 1.51658e-05 +40 *1742:67 *2063:82 1.25165e-05 +41 *1742:67 *2426:89 0 +42 *1742:67 *2440:54 0.000268209 +43 *1742:67 *2471:35 0.000429373 +44 *1742:67 *3177:37 0.00010839 +45 *1742:67 *4876:119 0.00105492 +46 *1742:75 *24695:RESET_B 0.00011818 +47 *1742:75 *4845:344 0.000187483 +48 *1742:75 *5864:220 0.000113968 +49 *1742:75 *5864:226 9.14387e-06 +50 *1742:76 *20140:B2 0.000528904 +51 *1742:76 *20896:B2 0.000302687 +52 *1742:76 *20897:B2 4.58785e-05 +53 *1742:76 *23941:S 0.000727527 +54 *1742:76 *24224:SET_B 0.000116986 +55 *1742:76 *2256:14 0.00044052 +56 *1742:76 *2295:78 0.000202161 +57 *1742:76 *2415:22 0.000142997 +58 *1742:76 *2415:26 7.27589e-05 +59 *1742:76 *2809:24 0.000125365 +60 *1742:76 *3050:13 4.95589e-05 +61 *1742:76 *4805:47 6.96605e-05 +62 *1742:76 *4810:22 0.000116894 +63 *1742:76 *4820:71 1.37367e-05 +64 *1742:76 *4821:62 0.00606683 +65 *1742:76 *4844:231 0.000344349 +66 *1742:76 *5235:11 7.7321e-05 +67 *1742:76 *5368:9 0.000115534 +68 *1742:76 *5853:260 3.11555e-05 +69 *1742:76 *5855:273 0.000409851 +70 *1742:76 *5855:277 0.000806206 +71 *1742:76 *5855:418 0.000453176 +72 *1742:76 *5862:202 0.000314302 +73 *1742:76 *5862:227 0.000239826 +74 *1742:76 *5862:238 9.55862e-05 +75 *1742:76 *5862:247 0.000581763 +76 *1742:76 *5864:226 0.00029372 +77 *1742:80 *20657:A1 0.000101987 +78 *1742:80 *24230:RESET_B 3.63593e-05 +79 *1742:80 *2028:8 4.33979e-05 +80 *1742:80 *2028:16 0.000157503 +81 *1742:80 *2028:43 0.000463531 +82 *1742:80 *2028:54 0.000173687 +83 *1742:80 *2028:67 0.000513778 +84 *1742:80 *2389:96 0.00100894 +85 *1742:80 *4820:71 0.0030477 +86 *1742:80 *4820:78 1.6267e-05 +87 *1742:86 *20658:B2 0.000123273 +88 *1742:86 *20960:A2 3.82927e-05 +89 *1742:86 *20963:B1 6.51527e-05 +90 *1742:86 *24465:CLK 7.36804e-06 +91 *1742:86 *2028:67 0.000551915 +92 *1742:86 *2433:58 4.34761e-05 +93 *1742:86 *2631:11 0 +94 *1742:86 *4820:78 0.0019601 +95 *1742:86 *4820:83 3.54844e-05 +96 *1742:86 *4844:183 4.96941e-06 +97 *1742:86 *5418:10 3.74542e-05 +98 *1742:86 *5853:227 0.000147802 +99 *1742:93 *20958:B1 3.45299e-05 +100 *1742:93 *20961:B1 3.77568e-05 +101 *1742:93 *22023:B1 0.000276597 +102 *1742:93 *22024:A1 6.49138e-06 +103 *1742:93 *22259:B2 0.000108986 +104 *1742:93 *22259:C1 0.000879612 +105 *1742:93 *1790:180 5.05516e-05 +106 *1742:93 *2262:43 1.86997e-05 +107 *1742:93 *2343:25 6.21462e-05 +108 *1742:93 *2372:60 1.7592e-05 +109 *1742:93 *2473:37 0 +110 *1742:93 *2541:23 2.81538e-06 +111 *1742:93 *2729:38 0.00368416 +112 *1742:93 *2738:13 0.000669065 +113 *1742:93 *2950:76 4.30099e-05 +114 *1742:93 *3134:194 8.51621e-05 +115 *1742:93 *3134:214 0.000107574 +116 *1742:93 *3146:221 0.00163724 +117 *1742:93 *4820:83 0.000101755 +118 *1742:93 *4834:69 0.00169702 +119 *1742:93 *4835:49 0.00258742 +120 *1742:93 *4844:183 0.000464844 +121 *1742:93 *5418:10 8.72537e-06 +122 *1742:93 *5855:178 0.000795563 +123 *1742:93 *5859:132 0.00171975 +124 *19793:A *21968:A2 7.39264e-05 +125 *19985:A *21794:B2 6.50727e-05 +126 *19985:B *21794:B2 0.000235823 +127 *20906:A *1742:60 1.03403e-05 +128 *21085:A *1742:93 9.24219e-06 +129 *21169:B *1742:67 3.20069e-06 +130 *21712:B2 *21712:A2 6.50593e-05 +131 *22023:B2 *1742:93 0.000133572 +132 *24295:D *1742:86 0.000123135 +133 *24298:D *1742:93 0.000106413 +134 *24332:D *1742:30 2.65831e-05 +135 *24367:D *1742:67 1.27624e-05 +136 *24467:D *1742:80 9.83674e-06 +137 *24695:D *1742:75 0.000122083 +138 *482:46 *1742:76 2.57186e-05 +139 *482:46 *1742:80 2.52335e-05 +140 *482:66 *1742:80 3.58581e-05 +141 *489:78 *1742:93 1.43499e-05 +142 *502:24 *1742:76 0.000720636 +143 *502:26 *1742:75 0 +144 *502:26 *1742:76 0.000335956 +145 *529:35 *1742:76 1.5714e-05 +146 *1450:132 *1742:76 0.000596997 +147 *1450:136 *1742:76 0.000154495 +148 *1453:60 *1742:60 2.01503e-05 +149 *1492:121 *1742:13 7.98171e-06 +150 *1492:121 *1742:30 2.77625e-06 +151 *1511:23 *21794:B2 0.000107496 +152 *1552:8 *1742:60 0.000254723 +153 *1588:14 *21968:A2 0.000211478 +154 *1588:17 *21968:A2 7.7434e-05 +155 *1588:17 *1742:60 0.00016707 +156 *1631:90 *1742:93 0.000285785 +157 *1631:126 *1742:93 0.000364051 +158 *1637:16 *1742:30 0.000525075 +159 *1637:42 *1742:30 8.78277e-05 +160 *1654:29 *1742:93 1.43499e-05 +161 *1679:30 *1742:76 7.73065e-05 +162 *1741:115 *19990:B2 0.000137746 +*RES +1 *19985:X *1742:4 9.24915 +2 *1742:4 *21794:B2 16.0973 +3 *1742:4 *1742:13 1.8326 +4 *1742:13 *19990:B2 26.763 +5 *1742:13 *1742:30 22.076 +6 *1742:30 *21968:A2 27.1247 +7 *1742:30 *1742:60 44.0073 +8 *1742:60 *1742:67 46.845 +9 *1742:67 *1742:75 19.3802 +10 *1742:75 *1742:76 168.971 +11 *1742:76 *1742:78 0.732798 +12 *1742:78 *1742:80 59.1368 +13 *1742:80 *1742:86 42.3313 +14 *1742:86 *1742:93 49.226 +15 *1742:93 *21712:A2 16.6193 +*END + +*D_NET *1743 0.00311643 +*CONN +*I *19989:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19986:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19989:A1 0.000374895 +2 *19986:Y 0.000374895 +3 *19989:A1 *21885:A 2.16355e-05 +4 *19989:A1 *21887:A1 0.000175485 +5 *19989:A1 *25295:A 0.000362887 +6 *19989:A1 *2276:13 0.000259585 +7 *19989:A1 *5775:13 8.62625e-06 +8 *19989:A1 *5776:11 0.000161234 +9 *19989:A1 *5856:436 8.96809e-05 +10 *19989:A1 *5860:327 4.91225e-06 +11 *19989:A1 *5871:63 0.000217602 +12 *19675:A *19989:A1 4.82966e-05 +13 *19675:B *19989:A1 0.000169041 +14 *19678:S *19989:A1 4.81452e-05 +15 *1489:5 *19989:A1 0.00052425 +16 *1492:88 *19989:A1 0.000275256 +*RES +1 *19986:Y *19989:A1 47.273 +*END + +*D_NET *1744 0.156785 +*CONN +*I *21205:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21736:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21588:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *19989:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21932:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21825:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21272:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21378:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19987:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21205:B2 0.00103866 +2 *21736:B2 4.28357e-05 +3 *21588:B2 0.000369585 +4 *19989:A2 0 +5 *21932:A2 0 +6 *21825:A2 1.48314e-05 +7 *21272:A2 0.000112381 +8 *21378:B2 0 +9 *19987:X 2.06324e-05 +10 *1744:219 0.00155471 +11 *1744:204 0.00221309 +12 *1744:197 0.00194186 +13 *1744:179 0.00253461 +14 *1744:171 0.00201065 +15 *1744:156 0.00159172 +16 *1744:147 0.00403257 +17 *1744:144 0.00330666 +18 *1744:141 0.00150437 +19 *1744:131 0.00269155 +20 *1744:125 0.00238674 +21 *1744:119 0.00185293 +22 *1744:110 0.0024049 +23 *1744:108 0.00268816 +24 *1744:100 0.00262868 +25 *1744:99 0.00331823 +26 *1744:95 0.00300737 +27 *1744:88 0.00234771 +28 *1744:83 0.00235731 +29 *1744:77 0.00197216 +30 *1744:61 0.00166635 +31 *1744:53 0.00192377 +32 *1744:45 0.00167649 +33 *1744:42 0.00288525 +34 *1744:38 0.00216556 +35 *1744:31 0.00254654 +36 *1744:24 0.00247757 +37 *1744:21 0.00159992 +38 *1744:13 0.00135128 +39 *1744:7 0.00575421 +40 *1744:5 0.00481284 +41 *21205:B2 *21205:B1 6.50727e-05 +42 *21205:B2 *21377:A1 1.66626e-05 +43 *21205:B2 *21377:B1 0 +44 *21205:B2 *2270:91 0.000204338 +45 *21205:B2 *2270:97 0 +46 *21205:B2 *2272:20 0.000583428 +47 *21205:B2 *2273:6 0 +48 *21205:B2 *2434:8 0.00011303 +49 *21272:A2 *6170:DIODE 2.95884e-05 +50 *21272:A2 *21272:B1 0.000159681 +51 *21272:A2 *2293:65 6.7671e-06 +52 *21588:B2 *21588:A1 6.36477e-05 +53 *21588:B2 *21588:B1 6.36477e-05 +54 *21588:B2 *25267:A 0 +55 *21588:B2 *5745:33 0 +56 *21588:B2 *5856:436 3.55345e-05 +57 *21736:B2 *20241:A1 1.43848e-05 +58 *21736:B2 *21736:A1 1.77537e-06 +59 *21736:B2 *2755:14 5.22654e-06 +60 *21825:A2 *2841:7 6.08467e-05 +61 *1744:7 *21757:A 2.16355e-05 +62 *1744:7 *24772:CLK 0.000140461 +63 *1744:7 *2468:130 0.000575087 +64 *1744:7 *4844:339 7.82162e-06 +65 *1744:7 *4854:16 0.000514573 +66 *1744:13 *21378:B1 6.08467e-05 +67 *1744:13 *2393:21 0.000350396 +68 *1744:13 *2437:32 0.000154145 +69 *1744:13 *4919:53 2.01707e-05 +70 *1744:13 *5864:11 0.000346395 +71 *1744:13 *5864:16 9.24241e-05 +72 *1744:21 *21378:A1 0.000111722 +73 *1744:21 *2433:153 0.0020671 +74 *1744:21 *2437:32 0.0023175 +75 *1744:24 *1982:38 9.1157e-05 +76 *1744:24 *2428:87 0.000141144 +77 *1744:24 *2437:24 0.00163738 +78 *1744:24 *2720:12 7.77309e-06 +79 *1744:24 *4843:517 0 +80 *1744:24 *5864:68 0 +81 *1744:24 *5871:423 0 +82 *1744:31 *24286:RESET_B 2.95757e-05 +83 *1744:31 *24752:RESET_B 0.000110147 +84 *1744:31 *24755:RESET_B 0.000153519 +85 *1744:31 *2437:24 0 +86 *1744:31 *4829:45 1.87469e-05 +87 *1744:31 *4843:517 0.000408381 +88 *1744:31 *4843:525 0.00018514 +89 *1744:31 *4878:111 1.41761e-05 +90 *1744:31 *4952:8 0.000197171 +91 *1744:31 *4952:19 0.000131716 +92 *1744:31 *5871:399 0.000193657 +93 *1744:31 *5871:419 7.33231e-05 +94 *1744:31 *5871:423 0.000737999 +95 *1744:38 *20237:A2 0.000143478 +96 *1744:38 *24976:A 3.42931e-05 +97 *1744:38 *1893:81 0.000148129 +98 *1744:38 *1894:51 6.31809e-05 +99 *1744:38 *2420:34 0 +100 *1744:38 *2437:8 0.000161426 +101 *1744:38 *2528:10 0.00061921 +102 *1744:38 *4829:23 9.05137e-05 +103 *1744:38 *4943:8 2.09429e-05 +104 *1744:38 *4950:11 0.000646512 +105 *1744:42 *23986:A1 0 +106 *1744:42 *24008:A1 0.000118166 +107 *1744:42 *1934:32 1.32509e-05 +108 *1744:42 *2273:6 0 +109 *1744:42 *2434:8 0.00132702 +110 *1744:53 *20241:B1 3.58044e-05 +111 *1744:53 *20241:B2 6.08467e-05 +112 *1744:53 *24748:SET_B 7.84982e-05 +113 *1744:53 *2393:9 0.000922747 +114 *1744:53 *4915:62 1.66771e-05 +115 *1744:53 *4954:27 8.11463e-06 +116 *1744:61 *25267:A 0.000658075 +117 *1744:61 *2276:13 0.000540793 +118 *1744:61 *2393:9 0.000466242 +119 *1744:61 *4917:28 0 +120 *1744:61 *5773:11 5.92342e-05 +121 *1744:77 *19687:B1 0.000139836 +122 *1744:77 *21932:A1 0.000111438 +123 *1744:77 *24873:CLK 2.54028e-05 +124 *1744:77 *1746:8 4.43331e-05 +125 *1744:77 *2466:11 0.000158143 +126 *1744:77 *2944:15 0.00100886 +127 *1744:83 *19687:B1 0.000261128 +128 *1744:83 *19697:A1 0.00109098 +129 *1744:83 *19697:B1 1.03403e-05 +130 *1744:83 *21932:A1 0.000192037 +131 *1744:83 *2579:7 2.26713e-06 +132 *1744:88 *21522:A 3.82145e-05 +133 *1744:88 *24863:SET_B 0.000166947 +134 *1744:88 *2579:8 0 +135 *1744:88 *4924:23 3.08133e-05 +136 *1744:88 *5870:174 0.000154396 +137 *1744:88 *5870:191 0.000132019 +138 *1744:88 *5870:200 8.61791e-05 +139 *1744:95 *24758:RESET_B 0.000272415 +140 *1744:95 *1965:7 8.64351e-05 +141 *1744:95 *1965:9 6.6352e-05 +142 *1744:95 *1965:14 4.43826e-05 +143 *1744:95 *2864:11 0.000998917 +144 *1744:95 *2864:15 0.000609703 +145 *1744:95 *2864:19 5.25013e-05 +146 *1744:95 *5087:8 0.000271606 +147 *1744:95 *5851:301 0.000180646 +148 *1744:95 *5870:94 4.78065e-05 +149 *1744:95 *5870:136 0.000110084 +150 *1744:99 *21908:B1 7.48797e-05 +151 *1744:99 *24760:CLK 0.000181981 +152 *1744:99 *2864:19 2.35418e-05 +153 *1744:99 *2922:7 5.75794e-05 +154 *1744:99 *5870:94 0.000240351 +155 *1744:100 *2563:8 0 +156 *1744:100 *2838:20 0.000327895 +157 *1744:100 *2922:13 0.000197573 +158 *1744:100 *4876:69 0.000950272 +159 *1744:108 *21158:A 3.17266e-05 +160 *1744:108 *2623:16 0.00138602 +161 *1744:108 *5671:32 0.000298752 +162 *1744:110 *24054:S 0.000174248 +163 *1744:110 *2603:16 0.000333497 +164 *1744:110 *2623:18 0 +165 *1744:110 *5671:32 0.000613596 +166 *1744:110 *5994:8 1.38872e-05 +167 *1744:110 *5995:14 0.000159555 +168 *1744:110 *5998:18 0.00156582 +169 *1744:110 *6001:84 0.000211262 +170 *1744:119 *20158:A1 0.000233305 +171 *1744:119 *1818:38 0.00015607 +172 *1744:119 *2911:30 0.000118485 +173 *1744:119 *5994:8 0.000414516 +174 *1744:119 *5995:14 3.25863e-06 +175 *1744:119 *5998:18 0.000268884 +176 *1744:125 *1755:50 0.00010623 +177 *1744:125 *2405:35 0.000983785 +178 *1744:125 *3951:13 2.41274e-06 +179 *1744:125 *4803:12 9.10232e-06 +180 *1744:125 *5475:59 0.000918583 +181 *1744:125 *5858:571 0.000182869 +182 *1744:125 *5998:18 7.26748e-05 +183 *1744:131 *23483:A 0.000111722 +184 *1744:131 *23483:B 0.000217951 +185 *1744:131 *23485:A 6.52283e-05 +186 *1744:131 *23485:D 0.000110297 +187 *1744:131 *23760:A1 1.80122e-05 +188 *1744:131 *23792:B 7.50722e-05 +189 *1744:131 *1808:57 6.50727e-05 +190 *1744:131 *2711:36 0.000238598 +191 *1744:131 *6001:62 0.000490465 +192 *1744:141 *23153:A1 0 +193 *1744:141 *23155:A 5.04829e-06 +194 *1744:141 *23155:B 0 +195 *1744:141 *23530:B 0.000630398 +196 *1744:141 *1808:57 0.000702465 +197 *1744:141 *2784:42 0.000108493 +198 *1744:141 *4001:138 0.000620107 +199 *1744:141 *4001:152 0.000144254 +200 *1744:141 *4515:21 3.39089e-05 +201 *1744:144 *3886:8 0.000377008 +202 *1744:144 *3888:11 0.000500413 +203 *1744:144 *4486:14 0 +204 *1744:144 *4487:10 6.87762e-05 +205 *1744:147 *24627:CLK 0.000368535 +206 *1744:156 *1973:29 0.000443077 +207 *1744:156 *1973:33 0.000188451 +208 *1744:156 *2583:9 0.00194677 +209 *1744:156 *2616:34 0.000224452 +210 *1744:156 *2993:48 3.58208e-05 +211 *1744:156 *2998:13 0.00204514 +212 *1744:156 *5856:183 0.000219477 +213 *1744:156 *5869:588 3.29488e-05 +214 *1744:171 *20483:B 0.000203595 +215 *1744:171 *1973:29 1.26179e-05 +216 *1744:171 *2608:32 0.000266693 +217 *1744:171 *2833:73 0.000349346 +218 *1744:171 *5592:33 0.00063206 +219 *1744:171 *5869:611 0.000110017 +220 *1744:179 *20533:A1 6.08467e-05 +221 *1744:179 *21694:A 0.000349336 +222 *1744:179 *21772:C1 3.10307e-05 +223 *1744:179 *21825:B1 0.000359569 +224 *1744:179 *1775:12 0.000896859 +225 *1744:179 *2709:14 6.92505e-05 +226 *1744:179 *2841:7 0.000200794 +227 *1744:179 *2844:67 3.15733e-05 +228 *1744:179 *4850:68 9.05022e-05 +229 *1744:179 *5270:10 2.95757e-05 +230 *1744:197 *22470:A 0.000298399 +231 *1744:197 *22470:C 3.71311e-05 +232 *1744:197 *22483:A2 0.000378832 +233 *1744:197 *1757:120 0.000726271 +234 *1744:197 *2836:20 0.000715538 +235 *1744:197 *2862:17 1.9101e-05 +236 *1744:197 *3166:97 1.5714e-05 +237 *1744:197 *3519:159 0.000389727 +238 *1744:204 *22470:C 1.55025e-05 +239 *1744:204 *22598:C 0.000158451 +240 *1744:204 *1757:120 7.5301e-06 +241 *1744:204 *2569:27 0.000159515 +242 *1744:204 *3015:26 0.000158117 +243 *1744:204 *3106:81 0.000146867 +244 *1744:204 *3474:130 0.00183842 +245 *1744:219 *21272:B1 0 +246 *1744:219 *21795:A1 0.000769197 +247 *1744:219 *22269:B1 0.00069642 +248 *1744:219 *22556:A2 3.17103e-05 +249 *1744:219 *22695:B1 2.9077e-05 +250 *1744:219 *2286:53 4.97935e-05 +251 *1744:219 *2293:65 1.58551e-05 +252 *1744:219 *2295:82 1.63384e-05 +253 *1744:219 *2527:24 3.29488e-05 +254 *1744:219 *2613:48 5.34805e-06 +255 *1744:219 *2857:18 4.31485e-06 +256 *1744:219 *2892:21 0.000788653 +257 *1744:219 *2892:37 0.000355342 +258 *1744:219 *3139:192 0.000107025 +259 *1744:219 *3471:227 0.000198107 +260 *1744:219 *3489:17 0.000161234 +261 *1744:219 *3564:28 8.78153e-05 +262 *6109:DIODE *1744:38 0.000258142 +263 *19580:A *1744:7 2.16355e-05 +264 *19580:B *1744:7 0.000107101 +265 *19641:B *1744:99 1.80122e-05 +266 *19687:A2 *1744:77 0.000111404 +267 *19722:B2 *1744:100 3.37543e-05 +268 *19727:A2 *1744:100 0.00015602 +269 *19836:A *1744:179 0.000304652 +270 *19954:B *1744:7 9.97706e-05 +271 *19987:B *1744:5 0 +272 *21378:A2 *1744:21 6.92705e-05 +273 *21410:A2 *1744:7 1.10297e-05 +274 *21908:A2 *1744:99 5.46286e-05 +275 *21932:B2 *1744:88 4.78409e-05 +276 *22556:A1 *1744:219 6.36477e-05 +277 *23972:S *1744:38 4.82966e-05 +278 *23988:S *1744:38 9.75356e-05 +279 *24008:A0 *1744:42 0.000182069 +280 *24062:A0 *1744:110 0.000115772 +281 *24175:SET_B *1744:108 0.000172676 +282 *24222:D *1744:88 0.000174175 +283 *24562:D *1744:24 0.000271181 +284 *24583:D *1744:171 0.000115585 +285 *24584:D *1744:156 0.000101133 +286 *24602:D *1744:95 2.65831e-05 +287 *24663:D *21588:B2 4.03058e-05 +288 *24755:D *1744:38 3.14978e-05 +289 *24798:D *1744:119 0.000168681 +290 *24845:D *1744:100 7.09395e-05 +291 *24864:D *1744:83 6.27718e-05 +292 *24874:D *1744:61 3.17474e-05 +293 *24897:D *1744:13 5.64166e-05 +294 *518:15 *1744:204 0.0018342 +295 *518:15 *1744:219 3.16381e-05 +296 *518:82 *1744:42 0.000130792 +297 *521:11 *1744:42 0 +298 *522:18 *1744:13 0 +299 *524:44 *1744:38 7.50722e-05 +300 *529:74 *1744:24 0 +301 *534:8 *1744:31 2.49834e-05 +302 *534:8 *1744:38 0.000101454 +303 *548:11 *1744:42 0 +304 *1427:190 *1744:7 3.31745e-05 +305 *1438:83 *1744:141 0.0014365 +306 *1439:213 *21736:B2 8.91699e-06 +307 *1442:108 *1744:179 0.000147736 +308 *1448:56 *1744:7 0.000890428 +309 *1453:31 *1744:13 7.76918e-05 +310 *1453:40 *1744:13 6.67152e-05 +311 *1453:51 *1744:13 0.000147628 +312 *1454:59 *1744:179 3.20544e-05 +313 *1467:96 *1744:99 2.20702e-05 +314 *1467:134 *1744:125 0.000253697 +315 *1471:96 *1744:100 0.000861007 +316 *1471:96 *1744:108 0.000746282 +317 *1483:48 *1744:125 0.000252767 +318 *1483:52 *1744:125 5.27224e-05 +319 *1497:113 *1744:77 0.00108179 +320 *1502:90 *21736:B2 6.92705e-05 +321 *1506:87 *1744:110 0.00129572 +322 *1509:20 *1744:100 0.000286092 +323 *1509:30 *1744:100 0 +324 *1511:56 *1744:88 0.000384696 +325 *1515:78 *1744:131 0.000174104 +326 *1516:20 *1744:88 0 +327 *1516:38 *1744:88 2.97532e-05 +328 *1521:68 *1744:119 0.000525034 +329 *1521:68 *1744:125 0.000323672 +330 *1562:39 *21272:A2 0.000392927 +331 *1562:39 *1744:219 0.000283 +332 *1562:41 *1744:219 0.00035641 +333 *1569:71 *1744:171 7.39842e-05 +334 *1569:78 *1744:156 0.000178575 +335 *1569:78 *1744:171 4.25398e-05 +336 *1598:68 *1744:204 0.000107496 +337 *1608:43 *1744:219 0.000151057 +338 *1608:46 *1744:219 2.77564e-05 +339 *1625:23 *1744:179 1.65872e-05 +340 *1625:36 *21825:A2 1.88014e-05 +341 *1625:36 *1744:179 2.57986e-05 +342 *1627:79 *1744:219 0.0013945 +343 *1635:47 *1744:219 3.38973e-05 +344 *1669:66 *21205:B2 4.19401e-06 +345 *1669:129 *1744:13 6.08572e-05 +346 *1689:47 *1744:7 7.92757e-06 +347 *1717:133 *1744:204 0.000210531 +348 *1725:115 *1744:197 0.000202101 +349 *1725:144 *1744:197 5.60804e-05 +350 *1730:113 *1744:197 0.000676402 +351 *1740:139 *1744:179 0.00166606 +*RES +1 *19987:X *1744:5 9.82786 +2 *1744:5 *1744:7 71.7127 +3 *1744:7 *1744:13 36.766 +4 *1744:13 *21378:B2 9.24915 +5 *1744:13 *1744:21 30.7352 +6 *1744:21 *1744:24 34.9178 +7 *1744:24 *1744:31 38.1664 +8 *1744:31 *1744:38 48.1256 +9 *1744:38 *1744:42 31.4898 +10 *1744:42 *1744:45 5.40742 +11 *1744:45 *1744:53 20.8777 +12 *1744:53 *1744:61 32.5036 +13 *1744:61 *1744:77 35.1193 +14 *1744:77 *1744:83 28.0919 +15 *1744:83 *1744:88 43.2217 +16 *1744:88 *1744:95 39.7627 +17 *1744:95 *1744:99 32.399 +18 *1744:99 *1744:100 46.6792 +19 *1744:100 *1744:108 42.977 +20 *1744:108 *1744:110 59.1612 +21 *1744:110 *1744:119 36.5416 +22 *1744:119 *1744:125 46.1775 +23 *1744:125 *1744:131 35.8074 +24 *1744:131 *1744:141 47.6108 +25 *1744:141 *1744:144 13.8065 +26 *1744:144 *1744:147 45.7095 +27 *1744:147 *1744:156 49.9394 +28 *1744:156 *1744:171 30.1919 +29 *1744:171 *1744:179 48.2525 +30 *1744:179 *1744:197 33.1101 +31 *1744:197 *1744:204 42.6104 +32 *1744:204 *1744:219 46.4231 +33 *1744:219 *21272:A2 13.8548 +34 *1744:179 *21825:A2 9.97254 +35 *1744:83 *21932:A2 9.24915 +36 *1744:61 *19989:A2 9.24915 +37 *1744:53 *21588:B2 26.8379 +38 *1744:45 *21736:B2 18.6352 +39 *1744:42 *21205:B2 39.0654 +*END + +*D_NET *1745 0.000622775 +*CONN +*I *19989:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *19988:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19989:B1 0.000185246 +2 *19988:Y 0.000185246 +3 *19989:B1 *24873:CLK 0 +4 *19989:B1 *5767:13 0.000175485 +5 *19675:A *19989:B1 5.92342e-05 +6 *1492:88 *19989:B1 1.75625e-05 +*RES +1 *19988:Y *19989:B1 31.5781 +*END + +*D_NET *1746 0.0184866 +*CONN +*I *19990:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19989:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *19990:C1 0.000909019 +2 *19989:X 0.000103247 +3 *1746:8 0.00404013 +4 *1746:7 0.00323436 +5 *19990:C1 *20013:A 2.16355e-05 +6 *19990:C1 *24332:CLK 0.000444886 +7 *19990:C1 *2586:43 1.45198e-05 +8 *19990:C1 *4980:7 3.4123e-05 +9 *1746:7 *2276:13 0.000169041 +10 *1746:8 *20912:A2 0.000140783 +11 *1746:8 *20912:B1 3.63593e-05 +12 *1746:8 *20912:B2 1.22613e-05 +13 *1746:8 *20953:B2 6.34651e-06 +14 *1746:8 *21530:A1 0.000148467 +15 *1746:8 *24241:CLK 0 +16 *1746:8 *1780:15 3.80356e-05 +17 *1746:8 *2145:25 0.000267098 +18 *1746:8 *2146:19 0.000138882 +19 *1746:8 *2159:40 0.00030987 +20 *1746:8 *2270:8 0 +21 *1746:8 *2270:28 0.00112723 +22 *1746:8 *2586:23 0 +23 *1746:8 *2970:8 0.00322943 +24 *1746:8 *4917:28 0 +25 *1746:8 *4918:16 0 +26 *1746:8 *5870:392 0.000597765 +27 *1746:8 *5870:425 0.000279686 +28 *6162:DIODE *19990:C1 6.08467e-05 +29 *19675:A *1746:8 7.10845e-05 +30 *21530:A2 *1746:8 0.000765491 +31 *476:54 *1746:8 2.692e-05 +32 *1439:231 *1746:8 0.000374844 +33 *1511:6 *19990:C1 0.000448484 +34 *1637:25 *1746:8 0.000518841 +35 *1669:15 *1746:8 0.000181033 +36 *1669:54 *1746:8 4.2018e-05 +37 *1671:21 *1746:8 0.000622787 +38 *1690:26 *1746:8 2.67416e-05 +39 *1744:77 *1746:8 4.43331e-05 +*RES +1 *19989:X *1746:7 15.5817 +2 *1746:7 *1746:8 116.442 +3 *1746:8 *19990:C1 40.8517 +*END + +*D_NET *1747 0.00203775 +*CONN +*I *20013:A I *D sky130_fd_sc_hd__and4_1 +*I *19990:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *20013:A 0.000724954 +2 *19990:X 0.000724954 +3 *6162:DIODE *20013:A 1.98996e-05 +4 *19990:B2 *20013:A 0.000253916 +5 *19990:C1 *20013:A 2.16355e-05 +6 *1424:140 *20013:A 0.000226539 +7 *1492:13 *20013:A 5.79262e-05 +8 *1741:115 *20013:A 7.92757e-06 +*RES +1 *19990:X *20013:A 33.6414 +*END + +*D_NET *1748 0.0164832 +*CONN +*I *19998:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19991:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19998:A1 0.00179396 +2 *19991:Y 0.00066074 +3 *1748:19 0.00439767 +4 *1748:16 0.00326445 +5 *19998:A1 *20580:A1 0 +6 *19998:A1 *1753:37 2.52287e-06 +7 *19998:A1 *2004:10 2.20585e-05 +8 *1748:16 *24893:CLK 0.000115934 +9 *1748:16 *1760:8 4.0143e-05 +10 *1748:16 *2259:15 2.48636e-05 +11 *1748:16 *2784:12 8.62625e-06 +12 *1748:16 *2784:23 4.46284e-06 +13 *1748:16 *2963:102 0.000327708 +14 *1748:19 *21933:B1 3.21733e-05 +15 *1748:19 *2445:23 2.66997e-05 +16 *1748:19 *2943:7 8.15039e-05 +17 *24520:D *1748:19 9.18559e-06 +18 *24522:D *19998:A1 0.000158357 +19 *1472:14 *19998:A1 0.000241285 +20 *1502:42 *1748:16 3.65454e-05 +21 *1506:35 *19998:A1 0.000467927 +22 *1506:45 *19998:A1 3.99086e-06 +23 *1587:30 *1748:19 0.00243783 +24 *1587:38 *1748:16 0.00198567 +25 *1697:110 *19998:A1 0.000338913 +*RES +1 *19991:Y *1748:16 30.2407 +2 *1748:16 *1748:19 45.7095 +3 *1748:19 *19998:A1 44.0479 +*END + +*D_NET *1749 0.0646251 +*CONN +*I *21554:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21352:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21665:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21371:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *21864:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *20227:B I *D sky130_fd_sc_hd__or2_1 +*I *21224:A2 I *D sky130_fd_sc_hd__o22a_4 +*I *21959:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19998:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19993:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21554:A2 0.00153553 +2 *21352:B2 0.000660209 +3 *21665:B2 0.00295388 +4 *21371:B2 0.000266466 +5 *21864:A2 7.85986e-05 +6 *20227:B 0 +7 *21224:A2 0.000735041 +8 *21959:B2 0.000233979 +9 *19998:B2 8.59936e-05 +10 *19993:X 0.000736603 +11 *1749:120 0.00257757 +12 *1749:95 0.00346141 +13 *1749:80 0.00136483 +14 *1749:65 0.00267587 +15 *1749:47 0.00237181 +16 *1749:29 0.00227468 +17 *1749:28 0.00202854 +18 *1749:26 0.00115718 +19 *1749:8 0.00197978 +20 *21224:A2 *20227:A 6.50586e-05 +21 *21224:A2 *20230:B2 7.25274e-05 +22 *21224:A2 *20231:B1 6.08467e-05 +23 *21224:A2 *24753:RESET_B 7.5108e-05 +24 *21224:A2 *1893:62 5.04829e-06 +25 *21224:A2 *1893:74 8.06285e-05 +26 *21224:A2 *2437:23 9.80466e-05 +27 *21224:A2 *4829:14 0.000242943 +28 *21224:A2 *4845:382 7.49635e-05 +29 *21224:A2 *4915:123 4.72872e-05 +30 *21224:A2 *4915:139 7.26588e-05 +31 *21224:A2 *4950:39 2.58814e-05 +32 *21352:B2 *21352:B1 6.08467e-05 +33 *21352:B2 *21352:C1 0.000212424 +34 *21352:B2 *21353:D 3.45034e-05 +35 *21352:B2 *21893:B1 0.000209897 +36 *21352:B2 *2396:23 0.000116 +37 *21352:B2 *2415:19 0.000302597 +38 *21352:B2 *2577:36 0.000111708 +39 *21352:B2 *2581:42 5.39635e-06 +40 *21352:B2 *2609:24 2.02035e-05 +41 *21352:B2 *2729:121 9.42876e-06 +42 *21352:B2 *3185:25 5.7135e-05 +43 *21352:B2 *3500:18 0.000141126 +44 *21352:B2 *4824:180 0.000132085 +45 *21371:B2 *21371:B1 4.46006e-05 +46 *21371:B2 *21371:C1 0.000200794 +47 *21371:B2 *2428:116 5.74804e-05 +48 *21371:B2 *2581:39 1.65872e-05 +49 *21371:B2 *2581:42 4.69495e-06 +50 *21371:B2 *2609:24 1.91246e-05 +51 *21371:B2 *2688:11 6.10823e-05 +52 *21554:A2 *21554:B1 0.000212049 +53 *21554:A2 *21882:A1 0.001367 +54 *21554:A2 *21981:A1 7.02172e-06 +55 *21554:A2 *21995:D 0 +56 *21554:A2 *2576:76 9.21233e-05 +57 *21554:A2 *2975:50 0.00204415 +58 *21554:A2 *3131:41 7.67972e-05 +59 *21554:A2 *3136:42 7.12406e-05 +60 *21554:A2 *5853:334 5.76913e-05 +61 *21665:B2 *21969:A1 0.000370686 +62 *21665:B2 *1790:19 4.0007e-05 +63 *21665:B2 *2688:11 0.000524791 +64 *21665:B2 *2726:22 0.000114078 +65 *21665:B2 *2999:38 7.53342e-05 +66 *21665:B2 *3063:29 0.000116268 +67 *21665:B2 *3144:35 3.26582e-06 +68 *21665:B2 *3204:36 0.000727542 +69 *21864:A2 *21864:B1 1.41976e-05 +70 *21864:A2 *21915:B1 0.000304871 +71 *21864:A2 *2880:20 0.000111802 +72 *21864:A2 *3076:67 3.55126e-05 +73 *21959:B2 *21959:B1 2.65831e-05 +74 *21959:B2 *21959:C1 0.000258128 +75 *21959:B2 *2903:8 0.000123546 +76 *21959:B2 *2973:10 0.000398089 +77 *1749:26 *24239:CLK 1.48605e-05 +78 *1749:26 *2181:24 2.60879e-06 +79 *1749:26 *2967:14 0 +80 *1749:26 *5726:29 0.00284272 +81 *1749:29 *2180:27 4.28309e-05 +82 *1749:29 *2641:19 0 +83 *1749:29 *2903:8 1.94327e-05 +84 *1749:29 *4876:49 0 +85 *1749:47 *1893:74 0.00020502 +86 *1749:47 *2259:15 0 +87 *1749:47 *2273:6 0.000639569 +88 *1749:47 *2385:14 0.000631163 +89 *1749:47 *3547:26 0.000362753 +90 *1749:65 *20203:A2 9.60216e-05 +91 *1749:65 *2180:27 3.2586e-05 +92 *1749:65 *2393:12 0.000227772 +93 *1749:65 *2876:8 0.00283784 +94 *1749:65 *2876:34 3.618e-05 +95 *1749:65 *2904:8 0.000255769 +96 *1749:65 *5854:466 0.000200729 +97 *1749:65 *5870:474 8.83837e-05 +98 *1749:65 *5870:486 2.16797e-05 +99 *1749:80 *21915:B2 0.000827678 +100 *1749:80 *21995:D 0 +101 *1749:80 *22764:A2 0 +102 *1749:80 *2667:72 1.86911e-06 +103 *1749:80 *2876:34 4.27737e-05 +104 *1749:80 *2975:50 0.000868219 +105 *1749:95 *22764:A2 0 +106 *1749:95 *2975:50 0.000223647 +107 *1749:120 *22764:A2 0 +108 *1749:120 *2975:50 0.000566198 +109 *6089:DIODE *21665:B2 3.77034e-05 +110 *19929:A1 *21665:B2 0.000271227 +111 *19929:A2 *21665:B2 7.09666e-06 +112 *19929:B1 *21665:B2 0.000786285 +113 *19932:A *1749:8 0.000158357 +114 *19932:B *1749:8 7.92757e-06 +115 *19939:B *1749:8 5.54585e-05 +116 *19945:A1 *1749:8 7.0179e-06 +117 *19946:C1 *1749:8 2.85921e-05 +118 *19979:A2 *21665:B2 3.12828e-05 +119 *19980:B1 *21665:B2 0.00042693 +120 *21383:B2 *1749:8 4.79289e-05 +121 *21554:B2 *21554:A2 4.15537e-05 +122 *21665:B1 *21665:B2 0.00052617 +123 *24755:D *21224:A2 8.50131e-05 +124 *24772:D *1749:65 7.86847e-05 +125 *74:18 *1749:47 0 +126 *460:63 *1749:26 0.00102621 +127 *497:107 *1749:47 0.000172549 +128 *497:111 *1749:47 0.000357606 +129 *1439:145 *21371:B2 0.000400335 +130 *1461:109 *1749:8 0.000183512 +131 *1461:117 *1749:8 4.51176e-05 +132 *1467:30 *19998:B2 0.000170383 +133 *1467:30 *1749:8 0.000235967 +134 *1471:40 *1749:8 0.000298646 +135 *1471:42 *1749:8 0.000110819 +136 *1496:16 *1749:8 0.000205006 +137 *1496:75 *21665:B2 3.55859e-05 +138 *1541:90 *21665:B2 7.77744e-05 +139 *1545:11 *21665:B2 0.00203997 +140 *1545:32 *21665:B2 0.000244 +141 *1552:8 *1749:65 0.000268413 +142 *1552:8 *1749:80 0.000149599 +143 *1552:52 *21554:A2 0.000100002 +144 *1553:36 *21554:A2 0 +145 *1639:85 *21665:B2 0.000451277 +146 *1669:97 *21959:B2 0.000286446 +147 *1669:97 *1749:29 0.000612568 +148 *1669:97 *1749:65 0.000626437 +149 *1669:181 *21554:A2 0.000340567 +150 *1675:15 *21665:B2 4.07199e-05 +151 *1675:32 *21665:B2 9.86694e-05 +152 *1680:52 *1749:80 3.55859e-05 +153 *1689:28 *1749:8 8.66283e-05 +154 *1689:35 *1749:8 1.99382e-05 +155 *1690:27 *1749:26 0.00207052 +156 *1695:42 *1749:26 0.000191907 +157 *1696:10 *1749:8 0.00012051 +158 *1696:19 *19998:B2 6.90875e-05 +159 *1696:19 *1749:8 7.0079e-05 +160 *1696:62 *1749:47 0.000764624 +161 *1701:54 *1749:8 0.000122206 +162 *1715:127 *1749:80 0.000815303 +163 *1717:45 *21352:B2 0.000844834 +164 *1729:80 *21665:B2 1.62637e-05 +165 *1733:127 *21665:B2 4.44699e-05 +*RES +1 *19993:X *1749:8 36.6547 +2 *1749:8 *19998:B2 17.0345 +3 *1749:8 *1749:26 47.3404 +4 *1749:26 *1749:28 3.36879 +5 *1749:28 *1749:29 11.798 +6 *1749:29 *21959:B2 23.7164 +7 *1749:29 *1749:47 30.3354 +8 *1749:47 *21224:A2 36.6166 +9 *1749:47 *20227:B 9.24915 +10 *1749:28 *1749:65 59.3444 +11 *1749:65 *21864:A2 17.2456 +12 *1749:65 *1749:80 10.1834 +13 *1749:80 *21371:B2 22.7964 +14 *1749:80 *1749:95 0.507644 +15 *1749:95 *21665:B2 49.2822 +16 *1749:95 *1749:120 1.30777 +17 *1749:120 *21352:B2 37.2643 +18 *1749:120 *21554:A2 29.578 +*END + +*D_NET *1750 0.0368612 +*CONN +*I *22237:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22529:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *19997:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *19994:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22237:B1 0 +2 *22529:A1 0.000252885 +3 *19997:A1 0.000778224 +4 *19994:Y 0.000890164 +5 *1750:75 0.000271918 +6 *1750:73 0.00153642 +7 *1750:69 0.00243852 +8 *1750:49 0.00317508 +9 *1750:45 0.00290069 +10 *1750:15 0.00231513 +11 *19997:A1 *21518:A1 0.000168543 +12 *19997:A1 *21518:C1 0.000487012 +13 *19997:A1 *21531:A1 4.31086e-05 +14 *19997:A1 *22005:A1 4.65853e-05 +15 *19997:A1 *24912:A 5.04829e-06 +16 *19997:A1 *3521:41 6.59132e-05 +17 *22529:A1 *22237:B2 0.000114594 +18 *22529:A1 *22237:C1 1.47978e-05 +19 *22529:A1 *22529:A2 4.52041e-05 +20 *22529:A1 *3200:14 0.000110306 +21 *1750:15 *19994:A 6.08467e-05 +22 *1750:15 *22299:A1 6.53098e-05 +23 *1750:15 *22299:C1 1.41976e-05 +24 *1750:15 *22304:B1 3.82228e-05 +25 *1750:15 *22305:C1 0.000247443 +26 *1750:15 *22307:A2 0.000156946 +27 *1750:15 *22307:B2 4.31603e-06 +28 *1750:15 *22308:A2 0.000154145 +29 *1750:15 *3142:233 0.000203604 +30 *1750:15 *3471:108 8.5985e-05 +31 *1750:15 *4810:49 0.000130517 +32 *1750:15 *4813:37 0.00101106 +33 *1750:15 *4818:56 8.5985e-05 +34 *1750:15 *4819:53 0.000556701 +35 *1750:45 *21193:A1 9.82202e-06 +36 *1750:45 *21690:A1 6.03237e-05 +37 *1750:45 *22298:A2 6.08467e-05 +38 *1750:45 *22299:A1 0.000492103 +39 *1750:45 *22299:C1 0.000133686 +40 *1750:45 *22330:A2 0.000688733 +41 *1750:45 *22334:C1 0.000345048 +42 *1750:45 *22646:A1 8.03915e-05 +43 *1750:45 *22647:C1 0.000107496 +44 *1750:45 *2411:26 3.63738e-05 +45 *1750:45 *2411:34 0 +46 *1750:45 *2554:56 9.21475e-05 +47 *1750:45 *2629:37 0.000515598 +48 *1750:45 *2694:38 5.70573e-06 +49 *1750:45 *3122:39 0.000602366 +50 *1750:45 *3175:257 0.000194182 +51 *1750:45 *3513:31 6.51679e-05 +52 *1750:45 *3513:39 3.00777e-05 +53 *1750:45 *3523:276 1.39416e-05 +54 *1750:45 *3529:13 0.000398786 +55 *1750:45 *3533:30 2.1203e-06 +56 *1750:45 *4808:101 0.000152164 +57 *1750:45 *4808:121 3.45034e-05 +58 *1750:49 *21518:A1 0.00264646 +59 *1750:49 *2508:26 0.000192314 +60 *1750:49 *2508:31 6.11074e-05 +61 *1750:49 *2539:35 0.000525753 +62 *1750:49 *2667:99 0.000368578 +63 *1750:49 *3144:116 4.86079e-05 +64 *1750:49 *3280:16 0.000256846 +65 *1750:49 *3521:41 9.59231e-05 +66 *1750:69 *2675:68 0.00101662 +67 *1750:69 *2675:95 0.000363103 +68 *1750:69 *2812:18 0.000259894 +69 *1750:69 *2815:48 0.000267231 +70 *1750:73 *21604:B1 0.000128819 +71 *1750:73 *21605:B1 6.74182e-05 +72 *1750:73 *22237:A2 3.31882e-05 +73 *1750:73 *22237:B2 5.94319e-06 +74 *1750:73 *2539:12 5.33881e-06 +75 *1750:73 *2556:28 0.000287563 +76 *1750:73 *4848:8 6.5094e-05 +77 *1750:75 *22237:B2 1.41976e-05 +78 *19633:A *19997:A1 6.36477e-05 +79 *19633:B *19997:A1 6.08467e-05 +80 *19900:A *1750:73 0.000127189 +81 *21874:B2 *22529:A1 0 +82 *22237:A1 *22529:A1 5.99529e-06 +83 *22237:A1 *1750:73 1.03434e-05 +84 *22237:A1 *1750:75 2.16355e-05 +85 *22529:B1 *22529:A1 5.99529e-06 +86 *1462:5 *19997:A1 4.69414e-05 +87 *1537:71 *19997:A1 0.000174834 +88 *1542:65 *22529:A1 0.000620108 +89 *1563:105 *19997:A1 7.74848e-05 +90 *1570:50 *1750:69 0.000101913 +91 *1570:50 *1750:73 8.94611e-05 +92 *1576:28 *1750:15 1.77472e-05 +93 *1584:140 *1750:49 0.000815562 +94 *1602:37 *1750:73 0.001413 +95 *1647:10 *1750:73 8.94485e-05 +96 *1647:35 *1750:73 0.0015806 +97 *1649:18 *1750:69 0.000660977 +98 *1649:123 *1750:69 0.000988789 +99 *1662:63 *19997:A1 8.19494e-05 +100 *1680:137 *1750:45 0.000499685 +*RES +1 *19994:Y *1750:15 46.5333 +2 *1750:15 *1750:45 49.7525 +3 *1750:45 *1750:49 12.796 +4 *1750:49 *19997:A1 30.7797 +5 *1750:49 *1750:69 8.45291 +6 *1750:69 *1750:73 49.4741 +7 *1750:73 *1750:75 0.723396 +8 *1750:75 *22529:A1 19.1114 +9 *1750:75 *22237:B1 9.24915 +*END + +*D_NET *1751 0.0812505 +*CONN +*I *21696:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21273:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21395:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21845:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *19997:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21543:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20613:B I *D sky130_fd_sc_hd__or2_1 +*I *21212:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21981:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *19995:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21696:B2 0 +2 *21273:B2 0.000470006 +3 *21395:A2 0.00187701 +4 *21845:B2 0.000263223 +5 *19997:A2 5.18467e-05 +6 *21543:A2 6.76808e-05 +7 *20613:B 0.00211813 +8 *21212:B2 7.83086e-05 +9 *21981:A2 0.00109937 +10 *19995:X 0.00116542 +11 *1751:145 0.00523494 +12 *1751:131 0.00436875 +13 *1751:120 0.00219556 +14 *1751:102 0.00122192 +15 *1751:70 0.00315347 +16 *1751:46 0.00255284 +17 *1751:35 0.00242027 +18 *1751:26 0.00219409 +19 *1751:23 0.00131124 +20 *20613:B *22517:A 0 +21 *20613:B *2256:62 3.07997e-05 +22 *20613:B *2440:55 0.000908751 +23 *20613:B *2520:91 0.00228236 +24 *20613:B *2636:28 9.39716e-05 +25 *20613:B *3151:141 0.000679087 +26 *20613:B *3196:23 0.000233934 +27 *20613:B *3198:18 0.000978671 +28 *20613:B *3471:6 3.309e-05 +29 *20613:B *3500:99 0.000429092 +30 *20613:B *3509:24 0.00125529 +31 *20613:B *4805:73 0.000169911 +32 *20613:B *4818:73 0.000331622 +33 *21212:B2 *2368:34 8.8078e-05 +34 *21212:B2 *3523:16 8.4653e-05 +35 *21273:B2 *21229:B1 0.000153427 +36 *21273:B2 *21273:B1 1.09551e-05 +37 *21273:B2 *21279:B1 2.05082e-05 +38 *21273:B2 *1802:143 2.661e-05 +39 *21273:B2 *2368:64 8.51172e-05 +40 *21273:B2 *2527:13 0.000157671 +41 *21395:A2 *2295:82 5.70933e-05 +42 *21395:A2 *2383:25 8.20799e-06 +43 *21395:A2 *2384:45 0.000250478 +44 *21395:A2 *2384:59 0.00011526 +45 *21395:A2 *2417:82 0.000761332 +46 *21395:A2 *2455:7 0.000484995 +47 *21395:A2 *2456:22 0.0014396 +48 *21395:A2 *2908:29 0.000468543 +49 *21395:A2 *3139:254 0.000156955 +50 *21395:A2 *3348:21 0.000387011 +51 *21395:A2 *3474:218 0.000138671 +52 *21395:A2 *3620:30 0.000344039 +53 *21395:A2 *3632:25 6.89572e-05 +54 *21395:A2 *5919:72 0.00158184 +55 *21543:A2 *21543:B1 1.22436e-05 +56 *21543:A2 *2595:25 1.47978e-05 +57 *21543:A2 *2600:11 0.000156955 +58 *21543:A2 *3471:24 2.77625e-06 +59 *21845:B2 *21858:D 6.34651e-06 +60 *21845:B2 *2857:52 3.40268e-05 +61 *21845:B2 *3533:62 0.000455775 +62 *21981:A2 *21981:A1 1.47978e-05 +63 *21981:A2 *2590:17 7.75093e-05 +64 *21981:A2 *2641:30 0.000748758 +65 *21981:A2 *2930:59 3.9321e-05 +66 *21981:A2 *2931:26 2.01503e-05 +67 *21981:A2 *2991:27 4.80635e-06 +68 *21981:A2 *2991:54 1.64789e-05 +69 *21981:A2 *3124:47 0.000416957 +70 *21981:A2 *3136:42 0.000143328 +71 *21981:A2 *3136:48 0.000174979 +72 *21981:A2 *3142:46 0.000202408 +73 *21981:A2 *3142:98 0.000514534 +74 *21981:A2 *3142:108 2.26985e-05 +75 *21981:A2 *3166:36 0.000405415 +76 *21981:A2 *3498:132 9.76521e-05 +77 *1751:23 *2548:41 0.000207892 +78 *1751:23 *2641:68 0.000107496 +79 *1751:23 *2641:80 2.81262e-05 +80 *1751:23 *2932:61 0.000390461 +81 *1751:23 *2997:30 0.000134607 +82 *1751:23 *3678:21 0.000179078 +83 *1751:23 *3685:22 0.000205101 +84 *1751:23 *3722:14 0.000523997 +85 *1751:26 *22744:A2 8.1879e-05 +86 *1751:26 *1805:12 4.92747e-05 +87 *1751:35 *21476:B1 7.13655e-06 +88 *1751:35 *21537:A1 7.93617e-05 +89 *1751:35 *21537:A2 0.000489933 +90 *1751:35 *21543:C1 0.000244976 +91 *1751:35 *2600:11 0.000573987 +92 *1751:35 *3471:24 5.99529e-06 +93 *1751:35 *3474:42 0.00335275 +94 *1751:35 *4870:134 0.00194906 +95 *1751:46 *22331:B1 0.000104977 +96 *1751:46 *2930:64 0.000218483 +97 *1751:46 *4856:18 1.66626e-05 +98 *1751:70 *22326:B2 0.000153427 +99 *1751:70 *1802:116 0.00124662 +100 *1751:70 *3185:79 0.000153427 +101 *1751:70 *3498:132 1.50739e-05 +102 *1751:70 *3500:99 0.00166487 +103 *1751:70 *3509:24 6.77459e-05 +104 *1751:102 *22744:A2 3.74344e-05 +105 *1751:102 *1805:12 6.64971e-05 +106 *1751:120 *20050:B1 5.73392e-05 +107 *1751:120 *20050:C1 6.08467e-05 +108 *1751:120 *21467:A2 0.000320668 +109 *1751:120 *21696:C1 0.000294093 +110 *1751:120 *21707:B1 3.53797e-05 +111 *1751:120 *22737:B1 6.97834e-05 +112 *1751:120 *1772:71 0.000163707 +113 *1751:120 *1784:166 0.000121159 +114 *1751:120 *1805:12 6.58544e-05 +115 *1751:120 *2531:65 8.38894e-05 +116 *1751:120 *2726:22 6.3657e-05 +117 *1751:120 *3719:10 0.000246387 +118 *1751:120 *3722:8 1.51176e-05 +119 *1751:131 *20022:A1 0.000169453 +120 *1751:131 *21695:A1 0.000252386 +121 *1751:131 *21696:B1 6.30741e-05 +122 *1751:131 *1762:119 7.71503e-05 +123 *1751:131 *3509:60 6.55666e-05 +124 *1751:131 *3688:22 0.00155993 +125 *1751:131 *4808:90 2.55661e-06 +126 *1751:145 *20022:A1 8.43929e-06 +127 *1751:145 *22554:B1 0.000366684 +128 *1751:145 *24909:A 6.23101e-05 +129 *1751:145 *1800:75 2.9077e-05 +130 *1751:145 *2564:68 0.0014131 +131 *1751:145 *2887:66 0.000507656 +132 *1751:145 *2990:62 0.000507567 +133 *1751:145 *3217:14 0.000129091 +134 *1751:145 *3511:68 0.000859504 +135 *1751:145 *3511:147 0.000513539 +136 *1751:145 *3529:39 8.18845e-05 +137 *1751:145 *3529:62 6.3502e-06 +138 *1751:145 *3531:43 0.00124522 +139 *1751:145 *3533:38 0.00269913 +140 *1751:145 *3533:62 0.000202101 +141 *19633:B *19997:A2 2.21251e-05 +142 *19633:B *1751:102 1.91391e-05 +143 *19633:B *1751:120 2.13165e-05 +144 *19995:B *1751:23 0.000181901 +145 *21273:A2 *21273:B2 1.53212e-05 +146 *21309:B2 *21273:B2 0.000192299 +147 *21543:B2 *21543:A2 2.16355e-05 +148 *21583:A2 *1751:35 0.000207143 +149 *21981:B2 *21981:A2 1.41181e-05 +150 *22554:A1 *1751:145 0.000386319 +151 *22736:A1 *1751:23 0.000195399 +152 *506:16 *1751:46 0.000159997 +153 *1435:251 *1751:131 0.000191122 +154 *1496:130 *1751:120 0.000165602 +155 *1535:51 *21845:B2 3.54436e-05 +156 *1535:51 *1751:145 6.22732e-06 +157 *1535:56 *1751:26 0.000221283 +158 *1535:56 *1751:102 0.000250744 +159 *1535:56 *1751:120 0.000367301 +160 *1544:133 *1751:131 0.000816778 +161 *1552:65 *21981:A2 0.000295603 +162 *1552:65 *1751:46 0.000313992 +163 *1562:9 *21395:A2 0.000205101 +164 *1570:15 *1751:120 5.71552e-05 +165 *1577:74 *21981:A2 5.01835e-05 +166 *1577:74 *1751:46 0.000227581 +167 *1577:97 *21273:B2 0.000558458 +168 *1577:97 *1751:145 0.000507567 +169 *1586:33 *21845:B2 3.73224e-05 +170 *1625:83 *1751:145 1.44198e-05 +171 *1635:42 *1751:145 3.2844e-05 +172 *1658:43 *21845:B2 0.00032605 +173 *1691:87 *21981:A2 0.000253014 +174 *1720:61 *1751:145 9.29508e-05 +175 *1721:94 *1751:145 0.000230919 +176 *1730:240 *1751:35 3.67627e-05 +*RES +1 *19995:X *1751:23 47.9171 +2 *1751:23 *1751:26 9.03113 +3 *1751:26 *1751:35 25.6804 +4 *1751:35 *1751:46 19.1437 +5 *1751:46 *21981:A2 45.1256 +6 *1751:46 *1751:70 6.40179 +7 *1751:70 *21212:B2 19.1576 +8 *1751:70 *20613:B 40.099 +9 *1751:35 *21543:A2 11.6605 +10 *1751:26 *1751:102 5.36164 +11 *1751:102 *19997:A2 14.4725 +12 *1751:102 *1751:120 42.152 +13 *1751:120 *1751:131 14.5773 +14 *1751:131 *21845:B2 18.6118 +15 *1751:131 *1751:145 9.68927 +16 *1751:145 *21395:A2 37.8684 +17 *1751:145 *21273:B2 21.2501 +18 *1751:120 *21696:B2 9.24915 +*END + +*D_NET *1752 0.0174781 +*CONN +*I *19997:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *19996:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *19997:B1 0.00165057 +2 *19996:Y 0.000316023 +3 *1752:14 0.00426938 +4 *1752:10 0.00293484 +5 *19997:B1 *22727:A1 0.000107496 +6 *19997:B1 *22737:B1 8.71956e-05 +7 *19997:B1 *1753:16 0.00151113 +8 *19997:B1 *2571:67 0.000523521 +9 *19997:B1 *2599:26 0.000825763 +10 *19997:B1 *3128:87 1.56949e-05 +11 *19997:B1 *3166:36 0.000503416 +12 *19997:B1 *3196:108 5.11466e-05 +13 *19997:B1 *3471:51 4.82309e-05 +14 *19997:B1 *3474:58 8.86403e-05 +15 *19997:B1 *3668:13 0.000205101 +16 *1752:10 *20421:B2 0.000252765 +17 *1752:10 *3002:46 0.000300565 +18 *1752:14 *22428:B1 4.70202e-05 +19 *1752:14 *22503:A1 2.07365e-05 +20 *1752:14 *22811:A1 0.000154145 +21 *1752:14 *22811:B2 1.1718e-05 +22 *1752:14 *1764:65 0.00154782 +23 *1752:14 *2583:27 3.56102e-05 +24 *1752:14 *2597:12 1.59527e-05 +25 *1752:14 *2608:43 0.000922323 +26 *1752:14 *3157:62 2.57465e-06 +27 *1752:14 *3511:104 0.000566048 +28 *1752:14 *3529:117 3.33519e-05 +29 *19633:B *19997:B1 2.29949e-05 +30 *19997:B2 *19997:B1 9.95922e-06 +31 *1459:87 *19997:B1 0.00012829 +32 *1462:22 *19997:B1 1.89453e-05 +33 *1729:64 *1752:10 0.000249167 +*RES +1 *19996:Y *1752:10 26.4843 +2 *1752:10 *1752:14 48.5768 +3 *1752:14 *19997:B1 49.5091 +*END + +*D_NET *1753 0.0568716 +*CONN +*I *19998:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19997:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *19998:C1 3.68372e-05 +2 *19997:X 0.00500826 +3 *1753:37 0.0041187 +4 *1753:35 0.00441235 +5 *1753:30 0.00191953 +6 *1753:26 0.00350462 +7 *1753:24 0.00281048 +8 *1753:16 0.00590316 +9 *1753:16 *21825:A1 0.000150778 +10 *1753:16 *22005:A1 0.00167329 +11 *1753:16 *22428:B1 0.00109397 +12 *1753:16 *22737:B1 1.91391e-05 +13 *1753:16 *22808:A1 0.000197419 +14 *1753:16 *1764:94 0.000104754 +15 *1753:16 *2571:67 4.2429e-05 +16 *1753:16 *2839:27 9.84137e-05 +17 *1753:16 *3411:32 0.000780013 +18 *1753:16 *3452:21 0.000497721 +19 *1753:16 *3471:51 1.91391e-05 +20 *1753:16 *3722:14 6.34564e-05 +21 *1753:16 *4799:22 0.000787245 +22 *1753:16 *5682:22 1.07802e-06 +23 *1753:24 *20639:A2 4.99151e-05 +24 *1753:24 *2021:34 5.13937e-05 +25 *1753:24 *2021:41 0.000650007 +26 *1753:24 *2510:54 2.40051e-05 +27 *1753:24 *2704:59 2.32942e-05 +28 *1753:24 *5801:84 0.00123531 +29 *1753:24 *5856:194 0.000100137 +30 *1753:26 *20370:A 0.000139435 +31 *1753:26 *20374:A1 5.41227e-05 +32 *1753:26 *21985:A 0.000169093 +33 *1753:26 *1936:22 0.000508886 +34 *1753:26 *1936:24 4.55115e-05 +35 *1753:26 *1937:43 0 +36 *1753:26 *2510:54 0.000910859 +37 *1753:26 *2810:30 0.000901602 +38 *1753:26 *2993:20 0.000132495 +39 *1753:26 *2993:29 0.00156168 +40 *1753:26 *4905:109 8.17829e-06 +41 *1753:26 *5801:78 0.00255328 +42 *1753:26 *5801:84 2.01595e-05 +43 *1753:26 *5856:232 8.75509e-05 +44 *1753:26 *5869:163 0.000354465 +45 *1753:30 *20379:A2 0.000205685 +46 *1753:30 *20380:A1 0.00019704 +47 *1753:30 *21667:A 0.00035138 +48 *1753:30 *1939:8 0.00013822 +49 *1753:30 *1939:10 0.000213222 +50 *1753:30 *1939:12 0.00034425 +51 *1753:30 *2516:16 0 +52 *1753:30 *2810:30 0.00057342 +53 *1753:30 *2964:14 0.000690386 +54 *1753:30 *2993:18 1.45799e-05 +55 *1753:30 *2993:20 0.000120512 +56 *1753:30 *3177:130 0.00030127 +57 *1753:30 *4894:76 3.17385e-05 +58 *1753:30 *5860:260 0 +59 *1753:30 *5917:431 8.1849e-05 +60 *1753:35 *1783:89 0.000746296 +61 *1753:35 *2702:7 0.00041102 +62 *1753:37 *20601:A1 1.75637e-06 +63 *1753:37 *20601:A2 5.73392e-05 +64 *1753:37 *20601:B2 7.11044e-05 +65 *1753:37 *2009:5 6.23875e-05 +66 *1753:37 *2971:41 3.17103e-05 +67 *1753:37 *4843:633 4.75654e-05 +68 *1753:37 *4926:54 0.000206372 +69 *1753:37 *5074:10 3.75221e-05 +70 *19633:B *1753:16 0.000942931 +71 *19997:B1 *1753:16 0.00151113 +72 *19998:A1 *1753:37 2.52287e-06 +73 *19998:B1 *19998:C1 3.98241e-05 +74 *24479:D *1753:24 0.000126589 +75 *24505:D *1753:37 0.000313495 +76 *24655:D *1753:30 5.70289e-05 +77 *24657:D *1753:30 6.89074e-05 +78 *1422:31 *1753:26 0.000139435 +79 *1459:87 *1753:16 2.22442e-06 +80 *1462:22 *1753:16 3.84257e-05 +81 *1506:35 *1753:37 2.93863e-05 +82 *1668:50 *1753:35 0.00150844 +83 *1668:50 *1753:37 0.000212821 +84 *1697:17 *1753:37 4.57457e-06 +85 *1697:97 *1753:37 3.16065e-06 +86 *1697:110 *1753:37 0.00104926 +87 *1740:110 *1753:16 0.00306067 +*RES +1 *19997:X *1753:16 48.3412 +2 *1753:16 *1753:24 36.5832 +3 *1753:24 *1753:26 78.0308 +4 *1753:26 *1753:30 49.9035 +5 *1753:30 *1753:35 21.8856 +6 *1753:35 *1753:37 62.839 +7 *1753:37 *19998:C1 10.6477 +*END + +*D_NET *1754 0.00526125 +*CONN +*I *20013:B I *D sky130_fd_sc_hd__and4_1 +*I *19998:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *20013:B 0 +2 *19998:X 1.59735e-05 +3 *1754:10 0.00114111 +4 *1754:7 0.00115709 +5 *1754:10 *1758:11 0 +6 *1754:10 *1784:13 3.55859e-05 +7 *1754:10 *1792:76 3.77568e-05 +8 *1754:10 *2936:16 0.000215484 +9 *19946:B2 *1754:10 0.00021289 +10 *19947:D *1754:10 0.0001511 +11 *19968:A *1754:10 1.55463e-05 +12 *19985:B *1754:10 0.000115772 +13 *496:31 *1754:7 6.50586e-05 +14 *1428:24 *1754:10 8.5896e-05 +15 *1449:27 *1754:10 0.00010703 +16 *1467:76 *1754:7 1.43983e-05 +17 *1496:16 *1754:10 5.68225e-06 +18 *1695:42 *1754:10 2.60879e-06 +19 *1696:19 *1754:10 0 +20 *1704:6 *1754:10 0.00146513 +21 *1725:17 *1754:10 0.000417139 +*RES +1 *19998:X *1754:7 14.4725 +2 *1754:7 *1754:10 42.8742 +3 *1754:10 *20013:B 9.24915 +*END + +*D_NET *1755 0.122295 +*CONN +*I *20003:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22423:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22740:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19999:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20003:A1 0.00069789 +2 *22423:A1 0.000960848 +3 *22740:A1 0.00022069 +4 *19999:Y 1.21701e-05 +5 *1755:110 0.00303125 +6 *1755:98 0.00320895 +7 *1755:77 0.0014243 +8 *1755:76 0.00344372 +9 *1755:67 0.00402505 +10 *1755:58 0.00312834 +11 *1755:57 0.00200651 +12 *1755:51 0.00487883 +13 *1755:50 0.00424523 +14 *1755:45 0.00117213 +15 *1755:38 0.00250289 +16 *1755:33 0.00334342 +17 *1755:32 0.00377737 +18 *1755:27 0.00152465 +19 *1755:21 0.00673406 +20 *1755:19 0.00604743 +21 *1755:15 0.00226427 +22 *1755:5 0.00279198 +23 *20003:A1 *20003:B1 8.23815e-05 +24 *20003:A1 *20003:B2 1.20529e-05 +25 *20003:A1 *20003:C1 1.28807e-05 +26 *20003:A1 *1757:37 0.000160617 +27 *20003:A1 *1792:37 0.00114982 +28 *20003:A1 *2833:8 0.00114815 +29 *22423:A1 *20685:A1 0.000154145 +30 *22423:A1 *21597:A 0.000144254 +31 *22423:A1 *22512:B1 4.8866e-05 +32 *22423:A1 *22512:B2 4.53552e-05 +33 *22423:A1 *22512:C1 8.39941e-06 +34 *22423:A1 *2651:13 4.91225e-06 +35 *22740:A1 *3155:125 0.000316171 +36 *22740:A1 *3543:188 9.12416e-06 +37 *22740:A1 *3543:192 9.75895e-05 +38 *1755:15 *20345:S 0.000131266 +39 *1755:15 *20348:A 1.58551e-05 +40 *1755:15 *21980:B1 1.23668e-05 +41 *1755:15 *2442:17 1.1957e-05 +42 *1755:15 *5851:502 0.000438688 +43 *1755:19 *24892:CLK 0.000370801 +44 *1755:19 *5178:7 8.41713e-05 +45 *1755:19 *5851:502 6.08467e-05 +46 *1755:21 *20129:B2 1.4091e-06 +47 *1755:21 *20332:B 1.7883e-05 +48 *1755:21 *20382:A1 0.000227664 +49 *1755:21 *20382:B1 6.12686e-06 +50 *1755:21 *20382:B2 1.84293e-05 +51 *1755:21 *21128:A1 2.77625e-06 +52 *1755:21 *21128:A2 9.31436e-05 +53 *1755:21 *24026:S 0.000550953 +54 *1755:21 *24892:CLK 0.000172187 +55 *1755:21 *1939:24 4.801e-05 +56 *1755:21 *2218:39 0.000155183 +57 *1755:21 *3098:16 0.000459722 +58 *1755:27 *2405:20 1.27831e-06 +59 *1755:27 *2405:24 0 +60 *1755:27 *2505:54 0.000612498 +61 *1755:27 *4867:38 0.000167981 +62 *1755:27 *5735:12 0.000708263 +63 *1755:27 *5800:32 0.000109396 +64 *1755:27 *5928:30 0.000743306 +65 *1755:32 *2711:16 0.000202659 +66 *1755:32 *5458:22 0.000133823 +67 *1755:33 *24068:A1 3.4123e-05 +68 *1755:33 *24072:A1 0.000417285 +69 *1755:33 *2429:13 0.00082722 +70 *1755:33 *5854:578 2.65831e-05 +71 *1755:33 *5869:201 1.58551e-05 +72 *1755:38 *2563:8 0.000128492 +73 *1755:38 *2563:17 0.000167151 +74 *1755:38 *5854:140 0.000113267 +75 *1755:38 *5854:142 0.000367744 +76 *1755:45 *24925:A 2.95757e-05 +77 *1755:45 *24928:A 6.08467e-05 +78 *1755:45 *2864:71 0.000171985 +79 *1755:45 *4855:24 0.00156 +80 *1755:45 *5475:38 0.000150005 +81 *1755:45 *5994:7 6.08467e-05 +82 *1755:51 *22976:A 5.88594e-05 +83 *1755:51 *22976:B 2.16355e-05 +84 *1755:51 *23041:A 3.4378e-05 +85 *1755:51 *24792:CLK 0.000107496 +86 *1755:51 *3862:15 0.00220177 +87 *1755:51 *3886:7 2.08649e-05 +88 *1755:51 *3951:13 7.98171e-06 +89 *1755:51 *4618:19 1.26831e-05 +90 *1755:57 *21678:A 7.97098e-06 +91 *1755:57 *23621:A_N 0 +92 *1755:58 *3903:20 1.87269e-05 +93 *1755:58 *4377:16 0.00161773 +94 *1755:58 *5453:106 0.00568529 +95 *1755:58 *5456:106 0.00119275 +96 *1755:58 *5930:14 0.00264015 +97 *1755:67 *23648:A 0.000148998 +98 *1755:67 *23648:D 6.64392e-05 +99 *1755:67 *23848:A 8.59083e-05 +100 *1755:67 *23848:C 0.000133804 +101 *1755:67 *23848:D 0.000290813 +102 *1755:67 *2838:45 5.78336e-05 +103 *1755:67 *2838:57 0.000278257 +104 *1755:67 *4745:43 0.000445425 +105 *1755:67 *5816:8 0.000113107 +106 *1755:76 *20724:A1 3.57291e-06 +107 *1755:76 *1763:59 2.77419e-05 +108 *1755:76 *1784:58 9.84424e-06 +109 *1755:76 *3002:69 0.00334831 +110 *1755:76 *4549:10 0.000350683 +111 *1755:76 *4646:18 0.000136337 +112 *1755:76 *5453:21 0.00524478 +113 *1755:77 *20889:A2 0.000158451 +114 *1755:77 *1763:65 0.00246713 +115 *1755:77 *1809:19 7.62639e-05 +116 *1755:77 *1809:26 0.00060821 +117 *1755:98 *20446:A 0.000101133 +118 *1755:98 *24634:CLK 5.481e-05 +119 *1755:98 *24966:A 0.000160617 +120 *1755:98 *1764:32 0.00028102 +121 *1755:98 *2627:24 9.75356e-05 +122 *1755:98 *2864:71 0.000513886 +123 *1755:98 *2864:82 0.00017442 +124 *1755:98 *5858:166 0.000451196 +125 *1755:110 *21351:B1 8.9096e-05 +126 *1755:110 *2517:13 1.43196e-05 +127 *1755:110 *2715:38 0.000107178 +128 *1755:110 *2746:17 0.000372907 +129 *1755:110 *2971:24 1.49935e-05 +130 *1755:110 *2976:45 0.000472003 +131 *1755:110 *3177:168 0.000112175 +132 *1755:110 *4828:139 0.000745467 +133 *1755:110 *5869:108 0 +134 *19943:B *1755:5 4.76794e-05 +135 *19943:B *1755:15 0.000392284 +136 *19945:A2 *1755:5 6.08467e-05 +137 *19945:A2 *1755:15 0.000186597 +138 *20128:A *1755:21 1.00846e-05 +139 *20158:A2 *1755:45 6.51527e-05 +140 *20161:A2 *1755:45 6.08467e-05 +141 *22423:B1 *22423:A1 0.000357854 +142 *24068:S *1755:33 0.000198652 +143 *24137:D *1755:67 1.87611e-05 +144 *24608:D *1755:45 8.01837e-05 +145 *24654:D *1755:21 1.75155e-06 +146 *24676:D *1755:15 2.33638e-05 +147 *24792:D *1755:45 0.000383065 +148 *24792:D *1755:51 0.000101637 +149 *24814:RESET_B *1755:27 0.000176237 +150 *24831:RESET_B *1755:57 2.7285e-05 +151 *25018:A *1755:57 6.50727e-05 +152 *551:13 *1755:21 6.1784e-05 +153 *1427:22 *1755:19 5.51483e-06 +154 *1466:62 *1755:110 5.4678e-05 +155 *1467:118 *1755:32 0.00163963 +156 *1467:124 *1755:32 1.225e-05 +157 *1471:160 *1755:27 1.05601e-05 +158 *1482:48 *1755:45 2.69795e-05 +159 *1482:50 *1755:45 0.000835819 +160 *1482:52 *1755:45 0.000313615 +161 *1485:66 *1755:15 0.000839567 +162 *1497:27 *1755:15 9.19946e-05 +163 *1506:99 *1755:45 5.26299e-05 +164 *1520:17 *1755:15 0.000111722 +165 *1521:68 *1755:50 0.000102632 +166 *1546:29 *22740:A1 2.43314e-05 +167 *1546:29 *1755:77 0.00143519 +168 *1573:8 *1755:110 0 +169 *1638:11 *1755:110 0.000108248 +170 *1668:56 *1755:21 0.000396754 +171 *1689:57 *1755:110 0.000211464 +172 *1690:124 *1755:77 0.00263868 +173 *1697:33 *1755:21 0.00126081 +174 *1700:10 *1755:15 0.00032188 +175 *1714:11 *1755:27 4.82656e-05 +176 *1716:57 *1755:110 0.000136789 +177 *1716:68 *1755:110 4.50511e-05 +178 *1725:41 *1755:98 0.000289471 +179 *1730:83 *1755:110 0.000544347 +180 *1731:70 *1755:32 0.00188871 +181 *1734:18 *1755:27 0.000453172 +182 *1744:125 *1755:50 0.00010623 +*RES +1 *19999:Y *1755:5 9.97254 +2 *1755:5 *1755:15 46.6883 +3 *1755:15 *1755:19 5.73894 +4 *1755:19 *1755:21 89.46 +5 *1755:21 *1755:27 46.1635 +6 *1755:27 *1755:32 43.2217 +7 *1755:32 *1755:33 68.385 +8 *1755:33 *1755:38 17.8913 +9 *1755:38 *1755:45 49.9442 +10 *1755:45 *1755:50 10.832 +11 *1755:50 *1755:51 62.0071 +12 *1755:51 *1755:57 17.1715 +13 *1755:57 *1755:58 113.12 +14 *1755:58 *1755:67 48.1839 +15 *1755:67 *1755:76 26.6765 +16 *1755:76 *1755:77 52.8561 +17 *1755:77 *22740:A1 24.6489 +18 *1755:38 *1755:98 46.2592 +19 *1755:98 *1755:110 46.0003 +20 *1755:110 *22423:A1 24.2717 +21 *1755:5 *20003:A1 44.9136 +*END + +*D_NET *1756 0.0659379 +*CONN +*I *20003:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22739:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22410:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20000:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20003:B1 0.000220947 +2 *22739:B1 0.000325289 +3 *22410:B1 0.000247194 +4 *20000:Y 8.98833e-05 +5 *1756:105 0.00197336 +6 *1756:101 0.00240955 +7 *1756:83 0.0017213 +8 *1756:67 0.00239032 +9 *1756:61 0.00443187 +10 *1756:60 0.00479299 +11 *1756:49 0.0029827 +12 *1756:43 0.00250773 +13 *1756:40 0.00132303 +14 *1756:31 0.00167223 +15 *1756:25 0.00233057 +16 *1756:24 0.00226101 +17 *1756:8 0.00165993 +18 *20003:B1 *20003:B2 4.80635e-06 +19 *20003:B1 *20003:C1 4.95103e-05 +20 *20003:B1 *1757:37 0.000116111 +21 *20003:B1 *2810:20 5.04829e-06 +22 *22410:B1 *22411:C1 0.000111708 +23 *22410:B1 *2619:57 3.8122e-05 +24 *22410:B1 *3028:50 0.000113153 +25 *22410:B1 *3148:254 0.000546741 +26 *22739:B1 *22738:A2 6.08467e-05 +27 *22739:B1 *22739:B2 0.000302686 +28 *22739:B1 *22739:C1 1.47978e-05 +29 *22739:B1 *22742:C 3.13254e-05 +30 *22739:B1 *3004:31 1.55025e-05 +31 *1756:8 *2878:25 0.000294226 +32 *1756:8 *5917:390 8.62625e-06 +33 *1756:8 *5917:399 9.60366e-05 +34 *1756:8 *5917:408 0.000208915 +35 *1756:24 *24653:RESET_B 0.000658788 +36 *1756:24 *1783:82 0.000693161 +37 *1756:24 *2758:22 1.41853e-05 +38 *1756:24 *2803:33 0.000160384 +39 *1756:24 *4878:40 0 +40 *1756:24 *5854:173 0.000753453 +41 *1756:24 *5898:63 1.09738e-05 +42 *1756:25 *21127:A 4.33066e-05 +43 *1756:25 *21157:A 0.00032275 +44 *1756:25 *21158:A 4.33655e-05 +45 *1756:25 *24175:CLK 6.50727e-05 +46 *1756:25 *24998:A 0.000171273 +47 *1756:25 *1783:71 0.00208121 +48 *1756:25 *4863:117 0.000683189 +49 *1756:25 *5898:63 0.000559532 +50 *1756:31 *19749:A 0 +51 *1756:31 *20120:A 0.000977214 +52 *1756:31 *20125:A 0.000117271 +53 *1756:31 *20126:A 0.000165853 +54 *1756:31 *20127:A 4.17467e-05 +55 *1756:31 *23955:S 0.000152357 +56 *1756:31 *1845:153 0.000269147 +57 *1756:31 *2502:17 1.28326e-05 +58 *1756:31 *2505:50 0.000613856 +59 *1756:31 *3098:16 9.96342e-05 +60 *1756:31 *4867:169 8.07939e-05 +61 *1756:31 *5898:131 0 +62 *1756:40 *24828:CLK_N 4.52614e-05 +63 *1756:40 *4867:169 0 +64 *1756:43 *2939:13 0.000579032 +65 *1756:43 *5465:7 3.29167e-05 +66 *1756:49 *23031:A 1.01044e-05 +67 *1756:49 *23033:A 0 +68 *1756:49 *23033:B 0.0001095 +69 *1756:49 *23368:A 0 +70 *1756:49 *23372:A 1.17054e-05 +71 *1756:49 *1786:34 0 +72 *1756:49 *1786:43 0 +73 *1756:49 *2715:38 0 +74 *1756:49 *2864:71 5.04829e-06 +75 *1756:49 *4272:12 1.44611e-05 +76 *1756:49 *4278:15 7.65374e-05 +77 *1756:60 *23038:A1 2.7312e-05 +78 *1756:60 *23038:B1 0.000213967 +79 *1756:60 *23039:B1 0.000114523 +80 *1756:60 *23374:B 0.000169078 +81 *1756:60 *23376:A 5.51457e-05 +82 *1756:60 *23437:A 0 +83 *1756:60 *1801:52 0.000517201 +84 *1756:60 *2561:26 0.000174785 +85 *1756:60 *2619:56 1.5714e-05 +86 *1756:60 *3868:251 0.000139435 +87 *1756:60 *3947:23 0.00010972 +88 *1756:60 *4280:17 2.01595e-05 +89 *1756:60 *4870:26 0 +90 *1756:60 *5476:38 0 +91 *1756:60 *5476:51 0.00014979 +92 *1756:61 *23378:B 2.65831e-05 +93 *1756:61 *23380:A 5.481e-05 +94 *1756:61 *4289:11 6.61904e-06 +95 *1756:67 *22411:A1 0.000540283 +96 *1756:67 *3671:83 1.65872e-05 +97 *1756:83 *22735:B2 0 +98 *1756:83 *1761:40 1.06772e-05 +99 *1756:83 *1761:42 9.97297e-06 +100 *1756:83 *2285:19 0.000729645 +101 *1756:83 *2616:52 4.64314e-05 +102 *1756:83 *2998:28 0.000390278 +103 *1756:83 *3028:50 0.000867576 +104 *1756:83 *3157:62 0.000390278 +105 *1756:101 *2758:22 0.00227852 +106 *1756:101 *4832:116 1.81897e-05 +107 *1756:101 *5854:173 0.000117308 +108 *1756:101 *5854:305 0.000875227 +109 *1756:101 *5858:223 8.72065e-05 +110 *1756:105 *2835:8 0.000246362 +111 *1756:105 *2976:18 7.2438e-05 +112 *19754:B1 *1756:40 0.000517897 +113 *19936:B1 *1756:105 0.00132405 +114 *20003:A1 *20003:B1 8.23815e-05 +115 *20124:S *1756:31 0 +116 *20128:B *1756:25 0.000154145 +117 *20128:B *1756:31 0 +118 *21113:B1 *1756:49 0.000276208 +119 *24206:D *1756:49 3.1218e-05 +120 *24653:D *1756:24 0.000110593 +121 *24834:RESET_B *1756:43 3.70275e-05 +122 *443:10 *1756:24 5.9708e-05 +123 *459:31 *1756:40 1.20314e-05 +124 *460:30 *1756:60 0.000327005 +125 *493:10 *1756:24 6.84784e-06 +126 *514:41 *1756:43 0.000746282 +127 *514:58 *1756:31 0 +128 *1424:8 *1756:105 0.000142686 +129 *1424:15 *1756:105 0.000814184 +130 *1438:81 *1756:49 0 +131 *1438:83 *1756:49 0.000254899 +132 *1455:73 *1756:40 7.50722e-05 +133 *1466:41 *1756:105 3.12141e-05 +134 *1471:111 *1756:24 4.41528e-05 +135 *1471:117 *1756:25 0.000858473 +136 *1497:22 *1756:105 0.000470187 +137 *1497:27 *1756:105 0.00046518 +138 *1506:6 *1756:105 8.6931e-05 +139 *1506:16 *1756:105 7.5108e-05 +140 *1515:12 *1756:105 2.47663e-05 +141 *1515:60 *1756:60 0.000266639 +142 *1524:10 *1756:31 0 +143 *1524:10 *1756:40 4.09756e-05 +144 *1526:28 *1756:40 0 +145 *1534:22 *1756:25 4.66492e-05 +146 *1541:136 *1756:67 0.00130025 +147 *1560:52 *1756:83 0.00100343 +148 *1573:18 *1756:105 0 +149 *1689:69 *1756:67 0.000154145 +150 *1690:56 *1756:24 0.000485061 +151 *1697:45 *1756:40 0.00100993 +152 *1706:46 *22410:B1 0.000154145 +153 *1706:54 *1756:61 0.000137359 +154 *1706:54 *1756:67 0.000167206 +155 *1731:65 *1756:25 0.000122389 +156 *1732:81 *1756:61 0.000184062 +157 *1732:81 *1756:67 0.00017406 +158 *1732:92 *22410:B1 0.000116564 +159 *1732:92 *1756:83 2.09495e-05 +*RES +1 *20000:Y *1756:8 23.4032 +2 *1756:8 *1756:24 43.1541 +3 *1756:24 *1756:25 51.7469 +4 *1756:25 *1756:31 46.4422 +5 *1756:31 *1756:40 33.7441 +6 *1756:40 *1756:43 22.9707 +7 *1756:43 *1756:49 47.8385 +8 *1756:49 *1756:60 47.5424 +9 *1756:60 *1756:61 49.8058 +10 *1756:61 *1756:67 27.709 +11 *1756:67 *22410:B1 22.6811 +12 *1756:67 *1756:83 47.3127 +13 *1756:83 *22739:B1 21.5859 +14 *1756:8 *1756:101 38.731 +15 *1756:101 *1756:105 49.9881 +16 *1756:105 *20003:B1 19.6569 +*END + +*D_NET *1757 0.09207 +*CONN +*I *21462:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21832:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21963:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20003:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21671:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20376:B I *D sky130_fd_sc_hd__or2_1 +*I *20001:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21462:A2 5.23622e-05 +2 *21832:B2 0.000604741 +3 *21963:B2 0.000737578 +4 *20003:B2 3.95291e-05 +5 *21671:B2 1.91862e-05 +6 *20376:B 0.000621282 +7 *20001:X 0 +8 *1757:120 0.00376898 +9 *1757:106 0.0133784 +10 *1757:87 0.0111671 +11 *1757:81 0.00149284 +12 *1757:57 0.00197124 +13 *1757:48 0.00232829 +14 *1757:37 0.00242239 +15 *1757:8 0.000991729 +16 *1757:4 0.00212704 +17 *20003:B2 *20003:C1 7.23852e-05 +18 *20376:B *21670:B2 2.72472e-05 +19 *20376:B *2704:31 0.000180277 +20 *20376:B *2705:33 6.08467e-05 +21 *21462:A2 *2515:15 2.53145e-06 +22 *21671:B2 *2702:11 6.13007e-06 +23 *21832:B2 *22470:C 9.35069e-05 +24 *21832:B2 *22773:B1 4.22146e-05 +25 *21832:B2 *1788:102 0.000195432 +26 *21832:B2 *1788:115 2.4898e-05 +27 *21832:B2 *2778:35 0.000199698 +28 *21832:B2 *2778:54 1.03403e-05 +29 *21832:B2 *2889:45 0.000149176 +30 *21832:B2 *3461:15 0.000111802 +31 *21832:B2 *3493:101 8.82956e-05 +32 *21963:B2 *21926:A1 0.00117542 +33 *21963:B2 *21968:B1 0.000107496 +34 *21963:B2 *1766:11 0 +35 *21963:B2 *1766:13 0 +36 *21963:B2 *2949:32 0.000107169 +37 *1757:8 *2702:11 4.79321e-06 +38 *1757:37 *24447:CLK 2.16355e-05 +39 *1757:37 *1792:37 3.06267e-05 +40 *1757:37 *2732:29 3.27801e-05 +41 *1757:37 *2810:20 4.88366e-05 +42 *1757:48 *1792:37 0.000131132 +43 *1757:48 *2732:29 0.00112755 +44 *1757:48 *2834:17 0.000230586 +45 *1757:48 *5917:577 8.22553e-05 +46 *1757:48 *5917:582 0.000278947 +47 *1757:57 *20585:A1 3.75352e-05 +48 *1757:57 *20585:A2 0.000411037 +49 *1757:57 *1792:97 3.09979e-05 +50 *1757:57 *3016:7 0.000746268 +51 *1757:57 *3016:22 5.88009e-05 +52 *1757:81 *2732:29 6.58801e-05 +53 *1757:81 *2834:17 0.000636961 +54 *1757:81 *2999:26 0.000153444 +55 *1757:81 *5860:91 0.000368177 +56 *1757:87 *21462:B1 6.50586e-05 +57 *1757:87 *2515:15 6.89953e-05 +58 *1757:87 *2833:21 9.90599e-06 +59 *1757:87 *2833:27 0.000185684 +60 *1757:87 *2833:57 8.70694e-05 +61 *1757:87 *2895:11 0.00105345 +62 *1757:87 *2943:52 4.70624e-05 +63 *1757:106 *21683:A1 0.00203468 +64 *1757:106 *22779:D 0.00308333 +65 *1757:106 *23626:A 7.12597e-06 +66 *1757:106 *1809:35 0.000142098 +67 *1757:106 *1985:56 2.01653e-05 +68 *1757:106 *1986:8 9.2346e-06 +69 *1757:106 *1986:10 8.43594e-05 +70 *1757:106 *2660:80 0.000764705 +71 *1757:106 *2784:42 0 +72 *1757:106 *2785:63 0.00244006 +73 *1757:106 *2785:102 7.90451e-05 +74 *1757:106 *2836:20 2.50646e-05 +75 *1757:106 *2878:44 0.00755935 +76 *1757:106 *2955:43 0.000984876 +77 *1757:106 *2963:93 0.000197684 +78 *1757:106 *3368:47 2.95956e-05 +79 *1757:106 *3840:196 0.00068827 +80 *1757:106 *3947:23 6.23101e-05 +81 *1757:106 *4011:22 0.00168531 +82 *1757:106 *4089:27 0.00122552 +83 *1757:106 *4528:17 0.00063329 +84 *1757:106 *4676:27 3.25697e-05 +85 *1757:106 *5674:45 0.00537719 +86 *1757:106 *5852:117 0 +87 *1757:106 *5856:146 0.000230329 +88 *1757:120 *22470:A 0.000139075 +89 *1757:120 *2672:40 0.000309754 +90 *1757:120 *2810:61 0.000535871 +91 *1757:120 *2836:20 0.00412473 +92 *1757:120 *2838:101 0.000254306 +93 *1757:120 *3128:155 5.88009e-05 +94 *1757:120 *3157:126 0.000293699 +95 *1757:120 *3166:97 1.27402e-05 +96 *1757:120 *3521:64 6.22259e-05 +97 *1757:120 *5904:110 0.00111239 +98 *20001:B *1757:8 7.22498e-05 +99 *20001:B *1757:37 5.0715e-05 +100 *20003:A1 *20003:B2 1.20529e-05 +101 *20003:A1 *1757:37 0.000160617 +102 *20003:A2 *1757:37 4.49912e-05 +103 *20003:B1 *20003:B2 4.80635e-06 +104 *20003:B1 *1757:37 0.000116111 +105 *20376:A *20376:B 6.50727e-05 +106 *21670:A2 *20376:B 5.04829e-06 +107 *24447:D *1757:37 1.71806e-05 +108 *464:10 *20376:B 0.000367044 +109 *466:17 *20376:B 7.5301e-06 +110 *466:25 *1757:37 0 +111 *518:15 *1757:120 5.04829e-06 +112 *1427:165 *1757:57 0.000340742 +113 *1435:45 *1757:57 0.000977268 +114 *1442:13 *1757:57 0.000307037 +115 *1455:49 *1757:8 8.31075e-05 +116 *1455:59 *21671:B2 7.09666e-06 +117 *1461:39 *1757:8 4.91225e-06 +118 *1461:39 *1757:37 2.68729e-05 +119 *1461:61 *1757:37 6.37709e-05 +120 *1461:195 *21963:B2 1.5714e-05 +121 *1471:10 *21963:B2 1.9101e-05 +122 *1510:45 *21963:B2 0.000135887 +123 *1537:267 *1757:57 7.92757e-06 +124 *1625:23 *1757:120 0.00069892 +125 *1641:26 *1757:57 0.000253916 +126 *1684:5 *21462:A2 1.65872e-05 +127 *1684:15 *21462:A2 5.04829e-06 +128 *1684:15 *1757:87 1.65872e-05 +129 *1698:62 *20376:B 0 +130 *1698:62 *21671:B2 1.81081e-06 +131 *1698:62 *1757:8 7.52574e-06 +132 *1698:77 *20376:B 1.21689e-05 +133 *1706:37 *1757:87 0.00136559 +134 *1710:8 *21963:B2 0.000167107 +135 *1730:113 *1757:106 0.000526318 +136 *1730:113 *1757:120 0.000516718 +137 *1740:98 *1757:57 0.000137356 +138 *1744:197 *1757:120 0.000726271 +139 *1744:204 *1757:120 7.5301e-06 +*RES +1 *20001:X *1757:4 9.24915 +2 *1757:4 *1757:8 10.4541 +3 *1757:8 *20376:B 29.4506 +4 *1757:8 *21671:B2 14.3327 +5 *1757:4 *1757:37 31.2525 +6 *1757:37 *20003:B2 15.0513 +7 *1757:37 *1757:48 21.7641 +8 *1757:48 *1757:57 45.7547 +9 *1757:57 *21963:B2 32.0001 +10 *1757:48 *1757:81 19.2781 +11 *1757:81 *1757:87 40.8115 +12 *1757:87 *1757:106 48.661 +13 *1757:106 *1757:120 48.0377 +14 *1757:120 *21832:B2 34.6119 +15 *1757:81 *21462:A2 10.5513 +*END + +*D_NET *1758 0.00871576 +*CONN +*I *20003:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *20002:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *20003:C1 0.00168516 +2 *20002:X 0.000702548 +3 *1758:11 0.00238771 +4 *20003:C1 *1759:13 0.000879758 +5 *1758:11 *1780:11 6.50586e-05 +6 *19579:C *20003:C1 1.12537e-05 +7 *19579:D *20003:C1 0.000136314 +8 *19590:A *20003:C1 1.92172e-05 +9 *19883:A *20003:C1 0.000313357 +10 *19945:A1 *1758:11 7.50722e-05 +11 *19946:B2 *1758:11 2.91073e-05 +12 *20003:A1 *20003:C1 1.28807e-05 +13 *20003:B1 *20003:C1 4.95103e-05 +14 *20003:B2 *20003:C1 7.23852e-05 +15 *20010:B *20003:C1 5.95727e-05 +16 *20010:B *1758:11 0.000400335 +17 *20024:B *20003:C1 0.000139947 +18 *20024:B *1758:11 1.19856e-05 +19 *1427:7 *20003:C1 1.03403e-05 +20 *1459:150 *1758:11 3.58208e-05 +21 *1471:40 *1758:11 0.000649385 +22 *1496:16 *1758:11 0.000268195 +23 *1496:31 *1758:11 0.000133598 +24 *1496:48 *1758:11 0.000318307 +25 *1544:43 *1758:11 0.000108383 +26 *1698:38 *20003:C1 0.000123688 +27 *1698:38 *1758:11 1.68716e-05 +28 *1754:10 *1758:11 0 +*RES +1 *20002:X *1758:11 42.2855 +2 *1758:11 *20003:C1 40.958 +*END + +*D_NET *1759 0.00585805 +*CONN +*I *20013:C I *D sky130_fd_sc_hd__and4_1 +*I *20003:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *20013:C 0.000197232 +2 *20003:X 0.00147971 +3 *1759:13 0.00167694 +4 *20013:C *24924:A 1.65872e-05 +5 *20013:C *1768:27 6.50586e-05 +6 *1759:13 *24924:A 0.00018448 +7 *1759:13 *3003:12 8.98279e-05 +8 *1759:13 *4921:14 9.34404e-05 +9 *19590:A *1759:13 6.50727e-05 +10 *19705:A *1759:13 8.80953e-05 +11 *19705:B *1759:13 4.91225e-06 +12 *19705:C *1759:13 3.5884e-05 +13 *19705:D *1759:13 1.26669e-05 +14 *19941:A *20013:C 6.50727e-05 +15 *19941:B *1759:13 0.000109731 +16 *20003:C1 *1759:13 0.000879758 +17 *20008:B *1759:13 0.000108503 +18 *1424:140 *20013:C 0.000262608 +19 *1438:9 *1759:13 0.000125999 +20 *1438:57 *1759:13 2.41483e-05 +21 *1501:10 *1759:13 0.000111708 +22 *1604:11 *1759:13 0.000160617 +*RES +1 *20003:X *1759:13 48.173 +2 *1759:13 *20013:C 14.4094 +*END + +*D_NET *1760 0.0166665 +*CONN +*I *20012:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *20004:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20012:A1 9.19187e-05 +2 *20004:Y 0.000229705 +3 *1760:8 0.00417903 +4 *1760:7 0.00431682 +5 *20012:A1 *20012:B1 6.64392e-05 +6 *20012:A1 *20012:B2 0.000128554 +7 *20012:A1 *20012:C1 6.08467e-05 +8 *20012:A1 *1764:13 1.15768e-05 +9 *20012:A1 *2810:23 0.000353661 +10 *1760:7 *2945:5 0.000370815 +11 *1760:8 *19713:B1 2.04806e-05 +12 *1760:8 *19715:B1 0 +13 *1760:8 *20223:A1 7.9427e-05 +14 *1760:8 *21668:A 0.000143047 +15 *1760:8 *21671:C1 0.000138651 +16 *1760:8 *21787:A 6.07931e-05 +17 *1760:8 *21950:A 4.6074e-05 +18 *1760:8 *21951:A 0.000200468 +19 *1760:8 *24890:RESET_B 9.8929e-05 +20 *1760:8 *24894:RESET_B 0.00029029 +21 *1760:8 *1764:102 0 +22 *1760:8 *1889:27 0 +23 *1760:8 *1889:29 0 +24 *1760:8 *2702:11 0.00188831 +25 *1760:8 *2704:31 1.57451e-05 +26 *1760:8 *2705:33 0.000221277 +27 *1760:8 *2964:10 0.000182664 +28 *1760:8 *2966:14 1.05601e-05 +29 *1760:8 *3014:48 0 +30 *1760:8 *4928:8 0.000136436 +31 *1760:8 *4928:10 6.79083e-05 +32 *1760:8 *4928:74 0.000167538 +33 *1760:8 *4928:85 0.000131954 +34 *1760:8 *5671:19 0.000158641 +35 *1760:8 *5769:11 8.96342e-05 +36 *1760:8 *5777:29 0.000463385 +37 *1760:8 *5851:233 0.000152818 +38 *1760:8 *5856:305 0 +39 *1760:8 *5856:309 0 +40 *19636:A *1760:8 0 +41 *19708:A *1760:8 0.000151726 +42 *24853:D *1760:8 5.39463e-05 +43 *1439:270 *1760:8 0.00031069 +44 *1439:290 *1760:8 0.000155021 +45 *1461:16 *1760:8 8.98169e-05 +46 *1461:23 *1760:8 0.000138993 +47 *1464:8 *1760:8 0.000506882 +48 *1502:13 *20012:A1 1.88014e-05 +49 *1502:42 *1760:8 0.000575054 +50 *1502:49 *1760:8 1.32514e-05 +51 *1587:30 *1760:8 3.77568e-05 +52 *1748:16 *1760:8 4.0143e-05 +*RES +1 *20004:Y *1760:7 17.8002 +2 *1760:7 *1760:8 124.747 +3 *1760:8 *20012:A1 18.3789 +*END + +*D_NET *1761 0.0329633 +*CONN +*I *22407:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *20012:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22738:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20005:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22407:A1 0.000803892 +2 *20012:B1 0.000467695 +3 *22738:B1 0 +4 *20005:Y 0.00100783 +5 *1761:74 0.00210041 +6 *1761:56 0.0027094 +7 *1761:42 0.00253379 +8 *1761:40 0.0020905 +9 *1761:17 0.00193913 +10 *1761:8 0.00150968 +11 *20012:B1 *20012:B2 0 +12 *20012:B1 *1764:13 1.41307e-05 +13 *20012:B1 *2704:31 3.34943e-05 +14 *20012:B1 *3177:130 7.08723e-06 +15 *22407:A1 *22407:A2 2.60818e-05 +16 *22407:A1 *22454:A1 6.27782e-05 +17 *22407:A1 *22454:B1 0.000882992 +18 *22407:A1 *2913:21 7.00099e-05 +19 *22407:A1 *2913:30 2.27974e-05 +20 *22407:A1 *3208:72 0.000111802 +21 *22407:A1 *3407:23 0.000447768 +22 *1761:8 *20489:B1 0.000789157 +23 *1761:8 *21542:B1 6.10827e-05 +24 *1761:8 *2597:29 0.000125251 +25 *1761:8 *2598:12 0.000160661 +26 *1761:8 *3002:33 8.62625e-06 +27 *1761:8 *3002:42 0.000200794 +28 *1761:17 *20609:A1 0.00010831 +29 *1761:17 *22739:C1 0.000107496 +30 *1761:17 *2509:15 1.03643e-05 +31 *1761:40 *22435:C1 0.00011503 +32 *1761:40 *22735:B2 1.88183e-05 +33 *1761:40 *22738:A2 1.4091e-06 +34 *1761:40 *22738:B2 6.36477e-05 +35 *1761:40 *22739:C1 4.53562e-05 +36 *1761:40 *22757:C1 0.000237409 +37 *1761:40 *2616:52 0.000221834 +38 *1761:40 *2933:40 1.45952e-05 +39 *1761:40 *3028:50 0.000581768 +40 *1761:40 *3148:253 3.39248e-05 +41 *1761:40 *3208:83 0.000104762 +42 *1761:42 *3208:72 8.80283e-06 +43 *1761:42 *3671:83 0.000152595 +44 *1761:56 *20412:B1 0.000132891 +45 *1761:56 *22454:B1 4.50779e-05 +46 *1761:56 *1818:48 0.000454171 +47 *1761:56 *1950:12 4.21218e-06 +48 *1761:56 *1950:41 0.000400538 +49 *1761:56 *2913:21 0.00126226 +50 *1761:56 *3208:72 1.75682e-05 +51 *1761:74 *20012:B2 0 +52 *1761:74 *20412:B1 0.000113122 +53 *1761:74 *20414:A1 0 +54 *1761:74 *20414:A2 2.75599e-05 +55 *1761:74 *20414:B2 0.000122098 +56 *1761:74 *24632:RESET_B 0 +57 *1761:74 *24634:SET_B 8.76878e-05 +58 *1761:74 *24635:CLK 0.000155407 +59 *1761:74 *1762:40 0 +60 *1761:74 *1950:14 0.000329171 +61 *1761:74 *1950:41 2.89395e-05 +62 *1761:74 *3177:148 0.000577145 +63 *1761:74 *5854:586 0.000188993 +64 *1761:74 *5860:75 1.26537e-05 +65 *19576:A *20012:B1 0.000141494 +66 *19576:A *1761:74 0.000170795 +67 *19587:B *20012:B1 2.16355e-05 +68 *20012:A1 *20012:B1 6.64392e-05 +69 *24581:D *1761:8 0.000543049 +70 *24632:D *1761:74 0.000268922 +71 *470:33 *1761:74 0.000120892 +72 *514:28 *1761:74 3.29488e-05 +73 *1454:46 *1761:42 0.000673301 +74 *1454:46 *1761:56 6.22259e-05 +75 *1454:55 *1761:40 0.000351702 +76 *1454:55 *1761:42 4.23384e-05 +77 *1454:59 *1761:8 0.000130937 +78 *1454:59 *1761:17 0.000425716 +79 *1459:87 *1761:17 7.89902e-05 +80 *1461:16 *20012:B1 0.000695046 +81 *1461:228 *1761:74 6.59235e-05 +82 *1461:249 *1761:56 1.20973e-05 +83 *1461:261 *1761:8 0.000919921 +84 *1502:42 *20012:B1 1.1573e-05 +85 *1522:13 *20012:B1 0.000161243 +86 *1560:52 *1761:40 0.000132366 +87 *1560:52 *1761:42 0.000263452 +88 *1604:91 *1761:40 7.57527e-05 +89 *1631:10 *1761:17 0.000427113 +90 *1716:46 *1761:8 0.00016524 +91 *1725:47 *1761:56 0.00102886 +92 *1725:69 *1761:42 3.4149e-07 +93 *1732:92 *1761:42 0.000760591 +94 *1733:25 *1761:8 0.000111708 +95 *1734:26 *1761:74 0.00069499 +96 *1739:46 *22407:A1 0.000107496 +97 *1739:58 *22407:A1 0.000205101 +98 *1756:83 *1761:40 1.06772e-05 +99 *1756:83 *1761:42 9.97297e-06 +*RES +1 *20005:Y *1761:8 46.0998 +2 *1761:8 *1761:17 27.1657 +3 *1761:17 *22738:B1 9.24915 +4 *1761:17 *1761:40 48.2242 +5 *1761:40 *1761:42 21.7641 +6 *1761:42 *1761:56 40.9939 +7 *1761:56 *1761:74 47.7662 +8 *1761:74 *20012:B1 38.3489 +9 *1761:42 *22407:A1 34.5106 +*END + +*D_NET *1762 0.0663623 +*CONN +*I *21968:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21518:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21696:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21851:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20415:B I *D sky130_fd_sc_hd__or2_1 +*I *20012:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20006:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21968:B2 0.000175513 +2 *21518:B2 0 +3 *21696:A2 0 +4 *21851:B2 0.000430194 +5 *20415:B 0.000905055 +6 *20012:B2 0.00063917 +7 *20006:X 0.000242882 +8 *1762:138 0.00323655 +9 *1762:132 0.00100145 +10 *1762:119 0.00167819 +11 *1762:86 0.00314569 +12 *1762:63 0.00524003 +13 *1762:41 0.0039571 +14 *1762:40 0.00314613 +15 *1762:13 0.00407948 +16 *1762:10 0.00525899 +17 *20012:B2 *2702:18 0.000464537 +18 *20012:B2 *2704:31 0.000157927 +19 *20415:B *20420:A2 5.77352e-05 +20 *20415:B *1952:7 0.000350659 +21 *20415:B *2531:42 5.41377e-05 +22 *20415:B *2833:73 6.21488e-06 +23 *20415:B *4852:11 0 +24 *20415:B *4927:138 7.89409e-05 +25 *20415:B *4927:141 0.000642131 +26 *21851:B2 *21851:A1 3.04407e-05 +27 *21851:B2 *21851:A2 0.000200447 +28 *21851:B2 *22784:B1 5.60291e-06 +29 *21851:B2 *3029:33 0.000267432 +30 *21851:B2 *3461:26 0.000241641 +31 *21851:B2 *3507:39 0.000108986 +32 *21851:B2 *3537:67 0.000111708 +33 *21851:B2 *5909:38 0.000104754 +34 *21968:B2 *22004:A1 2.92975e-06 +35 *21968:B2 *2949:32 1.72399e-05 +36 *21968:B2 *3063:29 0.00030195 +37 *21968:B2 *4854:6 0.000295542 +38 *1762:10 *2518:8 0.000463332 +39 *1762:10 *2833:21 4.4402e-05 +40 *1762:10 *2834:17 0.000575691 +41 *1762:13 *4921:31 0.000195085 +42 *1762:40 *20414:A1 7.29679e-05 +43 *1762:40 *20414:A2 0 +44 *1762:40 *1949:14 6.65668e-05 +45 *1762:40 *1949:33 0.00012264 +46 *1762:40 *2702:18 0.000424444 +47 *1762:40 *2702:35 0.000283369 +48 *1762:40 *2702:37 6.84784e-06 +49 *1762:40 *2745:10 0.000147726 +50 *1762:40 *3177:148 0 +51 *1762:40 *5162:8 0.000108707 +52 *1762:41 *21899:C1 0.000116971 +53 *1762:41 *1773:18 0.000103503 +54 *1762:41 *2702:37 0.000497297 +55 *1762:41 *2844:46 0.000339493 +56 *1762:41 *2913:21 0.00015242 +57 *1762:41 *3368:74 1.66626e-05 +58 *1762:41 *3373:42 0.000469621 +59 *1762:63 *21571:B1 8.26102e-05 +60 *1762:63 *21825:A1 0.000274421 +61 *1762:63 *21965:A 0.000171237 +62 *1762:63 *22428:B1 4.5054e-05 +63 *1762:63 *1773:18 8.81102e-05 +64 *1762:63 *2597:15 0.000460398 +65 *1762:63 *2597:29 0.000687616 +66 *1762:63 *2624:54 6.30588e-05 +67 *1762:63 *2812:18 0.000353898 +68 *1762:63 *2844:46 1.93359e-05 +69 *1762:63 *2844:53 0.000283945 +70 *1762:63 *3028:30 0.00177578 +71 *1762:63 *4927:6 1.8662e-05 +72 *1762:63 *4927:11 0.000412501 +73 *1762:86 *22482:B 7.39697e-05 +74 *1762:86 *22488:B2 0.000120121 +75 *1762:86 *22788:B2 0.000162924 +76 *1762:86 *2536:28 7.1671e-05 +77 *1762:86 *2732:47 8.00699e-05 +78 *1762:86 *2750:56 0.000124915 +79 *1762:86 *2812:18 1.43499e-05 +80 *1762:86 *2866:21 5.60804e-05 +81 *1762:86 *3148:226 1.71257e-05 +82 *1762:86 *3166:82 6.03122e-05 +83 *1762:86 *3529:99 0.000117534 +84 *1762:86 *3533:217 0.000353163 +85 *1762:119 *21696:B1 0.000194953 +86 *1762:119 *22664:B2 7.40684e-06 +87 *1762:119 *1771:70 9.82202e-06 +88 *1762:119 *1772:71 0.000347951 +89 *1762:119 *1798:84 0.000298399 +90 *1762:119 *2812:18 0.00013316 +91 *1762:132 *21518:A1 1.03434e-05 +92 *1762:132 *21518:C1 0.000203595 +93 *1762:132 *21531:A1 0.000345048 +94 *1762:132 *21599:C1 1.38097e-05 +95 *1762:132 *21707:B1 0.000467935 +96 *1762:132 *21707:B2 2.07503e-05 +97 *1762:132 *24912:A 3.99086e-06 +98 *1762:132 *1788:86 2.41274e-06 +99 *1762:132 *1798:62 1.88014e-05 +100 *1762:132 *1800:47 0.000596029 +101 *1762:132 *1807:20 4.49121e-05 +102 *1762:132 *2726:22 0.000210712 +103 *1762:132 *3719:26 0.000109568 +104 *1762:132 *3739:8 4.60375e-07 +105 *1762:138 *24515:SET_B 9.80242e-07 +106 *1762:138 *24515:CLK 2.99978e-05 +107 *1762:138 *4921:26 0.000303283 +108 *1762:138 *4921:31 4.97617e-05 +109 *1762:138 *5073:10 8.41713e-05 +110 *1762:138 *5082:17 0.000307023 +111 *19576:A *20012:B2 0 +112 *19893:A *1762:138 0.000576611 +113 *19919:A *1762:40 2.81225e-05 +114 *20012:A1 *20012:B2 0.000128554 +115 *20012:A2 *20012:B2 5.89344e-05 +116 *20012:B1 *20012:B2 0 +117 *21695:A2 *1762:119 0.000296893 +118 *22726:B1 *21851:B2 0.000272415 +119 *24635:D *1762:40 8.60778e-05 +120 *74:36 *1762:63 0.000534478 +121 *470:33 *1762:40 2.81605e-05 +122 *514:28 *1762:40 0.000253916 +123 *1435:182 *1762:132 5.95211e-05 +124 *1448:250 *20415:B 0.000993304 +125 *1448:250 *1762:86 0.000267705 +126 *1455:59 *20012:B2 0.000115103 +127 *1485:230 *1762:138 3.95295e-05 +128 *1502:42 *20012:B2 2.04025e-05 +129 *1502:129 *1762:41 0.000287671 +130 *1515:85 *1762:63 0.000350001 +131 *1515:91 *1762:63 8.62625e-06 +132 *1522:13 *1762:13 2.18523e-06 +133 *1537:12 *1762:41 0.00214002 +134 *1537:36 *1762:41 0.000284072 +135 *1537:80 *1762:132 5.89785e-05 +136 *1537:101 *1762:132 0.000127536 +137 *1541:10 *1762:13 0.000159643 +138 *1541:142 *1762:63 0 +139 *1542:19 *1762:119 1.5714e-05 +140 *1598:47 *1762:132 5.38612e-06 +141 *1636:108 *1762:119 0.000184648 +142 *1637:9 *1762:138 0.00098806 +143 *1639:20 *1762:13 2.7285e-05 +144 *1662:63 *1762:132 0.000592036 +145 *1688:117 *1762:86 0.0014552 +146 *1698:54 *1762:10 6.92705e-05 +147 *1723:77 *1762:119 0.000143626 +148 *1730:83 *1762:40 0.000258142 +149 *1730:240 *1762:63 0 +150 *1731:107 *20415:B 0.000348122 +151 *1731:107 *1762:86 0.00406994 +152 *1731:107 *1762:119 3.76031e-05 +153 *1740:110 *1762:63 0.000262424 +154 *1751:131 *1762:119 7.71503e-05 +155 *1761:74 *20012:B2 0 +156 *1761:74 *1762:40 0 +*RES +1 *20006:X *1762:10 29.5248 +2 *1762:10 *1762:13 31.8444 +3 *1762:13 *20012:B2 32.1211 +4 *1762:13 *1762:40 48.7283 +5 *1762:40 *1762:41 54.3614 +6 *1762:41 *1762:63 45.9935 +7 *1762:63 *20415:B 37.6639 +8 *1762:63 *1762:86 15.6191 +9 *1762:86 *21851:B2 24.9965 +10 *1762:86 *1762:119 29.6544 +11 *1762:119 *21696:A2 9.24915 +12 *1762:119 *1762:132 40.8356 +13 *1762:132 *21518:B2 9.24915 +14 *1762:10 *1762:138 47.8647 +15 *1762:138 *21968:B2 24.6279 +*END + +*D_NET *1763 0.0558609 +*CONN +*I *22413:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *20011:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20007:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22413:B1 0.000907359 +2 *20011:A1 0 +3 *20007:Y 0 +4 *1763:65 0.00272114 +5 *1763:59 0.00295804 +6 *1763:43 0.00325253 +7 *1763:37 0.00431017 +8 *1763:26 0.00486888 +9 *1763:23 0.00107697 +10 *1763:15 0.00262192 +11 *1763:14 0.0030575 +12 *1763:5 0.00306211 +13 *22413:B1 *20019:A 4.6535e-05 +14 *22413:B1 *22413:B2 5.59737e-06 +15 *22413:B1 *22413:C1 0.000374038 +16 *22413:B1 *1775:5 2.16608e-05 +17 *22413:B1 *5852:125 1.10307e-05 +18 *1763:14 *20007:A 0.000200794 +19 *1763:14 *2000:17 0.000180866 +20 *1763:14 *2563:20 3.59723e-05 +21 *1763:14 *4798:53 1.58247e-05 +22 *1763:14 *4798:56 0.000968285 +23 *1763:14 *4936:8 0.000540204 +24 *1763:14 *5674:34 3.39118e-05 +25 *1763:14 *5854:133 5.04829e-06 +26 *1763:15 *2505:57 0.000699834 +27 *1763:15 *2623:26 0.000154145 +28 *1763:15 *2802:12 0.0011078 +29 *1763:15 *3860:151 0.000256285 +30 *1763:15 *5869:212 1.50924e-05 +31 *1763:15 *5998:17 1.80042e-05 +32 *1763:23 *23034:B 0.000144531 +33 *1763:23 *1829:46 9.97888e-05 +34 *1763:23 *3895:48 0.000398125 +35 *1763:23 *5929:38 0.000875589 +36 *1763:26 *1829:34 1.87169e-05 +37 *1763:26 *1829:46 0.00134871 +38 *1763:26 *2398:10 9.75356e-05 +39 *1763:26 *2502:23 4.93146e-05 +40 *1763:26 *2948:14 0.00103691 +41 *1763:37 *20011:B1 0 +42 *1763:37 *20011:B2 1.06706e-05 +43 *1763:37 *21828:A 9.95922e-06 +44 *1763:37 *23942:A0 0.000881118 +45 *1763:37 *1764:31 1.5714e-05 +46 *1763:37 *1829:34 0.000247742 +47 *1763:37 *2400:12 0 +48 *1763:37 *2785:14 0.000222789 +49 *1763:43 *20565:A1 0.000261669 +50 *1763:43 *21984:A 0.000345298 +51 *1763:43 *24479:CLK 0.00010443 +52 *1763:43 *24531:RESET_B 0.000141533 +53 *1763:43 *1997:10 4.37999e-05 +54 *1763:43 *1997:40 0.000158094 +55 *1763:43 *1997:51 4.5227e-05 +56 *1763:43 *1998:44 0.000281349 +57 *1763:43 *4798:42 0.00036986 +58 *1763:43 *4798:46 0.00236989 +59 *1763:43 *4798:53 0.00028511 +60 *1763:43 *5868:6 3.25361e-05 +61 *1763:59 *20724:A1 9.12416e-06 +62 *1763:59 *21824:A 0.000289285 +63 *1763:59 *23859:A1 0.000143079 +64 *1763:59 *24421:RESET_B 0.000162809 +65 *1763:59 *24531:RESET_B 6.01944e-06 +66 *1763:59 *1784:58 9.37736e-06 +67 *1763:59 *1809:26 0.000156593 +68 *1763:59 *2878:51 4.97617e-05 +69 *1763:59 *4702:28 8.27991e-05 +70 *1763:59 *4798:42 0.000357634 +71 *1763:59 *5852:117 0.000470986 +72 *1763:59 *5868:27 0.000220831 +73 *1763:65 *1795:53 1.4091e-06 +74 *1763:65 *1988:15 6.22259e-05 +75 *1763:65 *2597:30 5.88009e-05 +76 *20157:A2 *1763:15 3.31745e-05 +77 *24531:D *1763:43 0.000122083 +78 *24610:D *1763:15 0.000159032 +79 *24796:D *1763:15 0.000730223 +80 *24834:RESET_B *1763:26 9.60216e-05 +81 *74:32 *1763:26 0.000221281 +82 *476:18 *1763:37 0.000438957 +83 *514:44 *1763:26 0.000155006 +84 *514:44 *1763:37 0.000344087 +85 *514:58 *1763:37 0 +86 *657:310 *1763:37 0.000727902 +87 *1438:78 *1763:37 0.00106262 +88 *1439:356 *1763:37 6.98901e-05 +89 *1448:222 *1763:14 7.48292e-05 +90 *1448:222 *1763:43 0.00101298 +91 *1448:232 *1763:43 0.000352091 +92 *1455:104 *1763:43 0.000901125 +93 *1482:34 *1763:59 0.000236136 +94 *1482:48 *1763:14 0.000500434 +95 *1483:52 *1763:15 6.11872e-05 +96 *1521:46 *1763:59 0.00043404 +97 *1697:39 *1763:37 0.000128671 +98 *1755:76 *1763:59 2.77419e-05 +99 *1755:77 *1763:65 0.00246713 +*RES +1 *20007:Y *1763:5 13.7491 +2 *1763:5 *1763:14 47.0972 +3 *1763:14 *1763:15 52.3015 +4 *1763:15 *1763:23 31.6984 +5 *1763:23 *1763:26 28.1028 +6 *1763:26 *1763:37 49.2115 +7 *1763:37 *20011:A1 9.24915 +8 *1763:5 *1763:43 81.9757 +9 *1763:43 *1763:59 49.5827 +10 *1763:59 *1763:65 39.4249 +11 *1763:65 *22413:B1 22.9093 +*END + +*D_NET *1764 0.0770542 +*CONN +*I *20011:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21958:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21838:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21542:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20567:B I *D sky130_fd_sc_hd__or2_1 +*I *21676:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20008:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20011:A2 0.000201989 +2 *21958:B2 3.21544e-05 +3 *21838:A2 0.00105484 +4 *21542:A2 4.84045e-05 +5 *20567:B 0.000484062 +6 *21676:A2 0.000178697 +7 *20008:X 0.00155955 +8 *1764:129 0.00107716 +9 *1764:128 0.00193635 +10 *1764:116 0.00178347 +11 *1764:113 0.00183205 +12 *1764:102 0.00133196 +13 *1764:94 0.00350562 +14 *1764:71 0.00299419 +15 *1764:65 0.00123314 +16 *1764:43 0.00174304 +17 *1764:32 0.00240167 +18 *1764:31 0.00339941 +19 *1764:13 0.00322005 +20 *1764:7 0.00289227 +21 *20011:A2 *21828:A 4.58003e-05 +22 *20011:A2 *2844:16 1.54111e-05 +23 *20567:B *20421:B1 1.79315e-05 +24 *20567:B *24888:CLK 1.58551e-05 +25 *20567:B *3671:67 0.000340742 +26 *20567:B *5869:448 4.35192e-05 +27 *21542:A2 *21542:A1 2.41274e-06 +28 *21838:A2 *21838:B1 1.42043e-05 +29 *21838:A2 *2605:13 4.97617e-05 +30 *21838:A2 *2685:42 0.000527338 +31 *21838:A2 *2852:49 7.52608e-06 +32 *21838:A2 *2854:21 7.71538e-05 +33 *21958:B2 *2971:47 9.95922e-06 +34 *1764:7 *24924:A 0.000236641 +35 *1764:13 *20012:C1 1.00846e-05 +36 *1764:13 *20686:A1 0.00014376 +37 *1764:13 *20686:A2 0.000154145 +38 *1764:13 *20686:B2 2.65831e-05 +39 *1764:13 *21818:A 1.82679e-05 +40 *1764:13 *21819:A1 0.000340198 +41 *1764:13 *1792:25 9.75243e-05 +42 *1764:13 *1792:37 0.000154145 +43 *1764:13 *2810:23 2.39451e-05 +44 *1764:13 *2834:7 0.000357884 +45 *1764:13 *2835:7 6.63489e-05 +46 *1764:13 *4863:7 2.77625e-06 +47 *1764:13 *5856:281 8.90486e-05 +48 *1764:31 *21927:B1 1.6086e-05 +49 *1764:31 *24057:A0 4.45548e-05 +50 *1764:31 *24057:A1 0.00187289 +51 *1764:31 *2785:14 0.000691668 +52 *1764:31 *2785:30 3.67401e-05 +53 *1764:31 *5856:281 9.29156e-05 +54 *1764:32 *21218:A1 0.00017982 +55 *1764:32 *24607:RESET_B 0.00010696 +56 *1764:32 *24633:RESET_B 9.46484e-05 +57 *1764:32 *2285:16 0.000105032 +58 *1764:32 *2505:75 0.000684007 +59 *1764:32 *2785:30 0.000207726 +60 *1764:32 *2943:63 1.6267e-05 +61 *1764:32 *4863:154 8.39222e-06 +62 *1764:32 *5869:249 8.7818e-05 +63 *1764:43 *20638:A1 0.000194175 +64 *1764:43 *24888:CLK 2.20585e-05 +65 *1764:43 *1947:167 0.000170097 +66 *1764:43 *2933:26 2.60698e-05 +67 *1764:65 *20421:A1 6.08467e-05 +68 *1764:65 *20421:A2 0.000225717 +69 *1764:65 *20421:B1 2.16355e-05 +70 *1764:65 *21491:A 0.000107496 +71 *1764:65 *24580:RESET_B 7.09666e-06 +72 *1764:65 *1773:28 0.000268708 +73 *1764:65 *2548:7 8.78407e-06 +74 *1764:65 *2597:12 0.000236939 +75 *1764:65 *2608:43 0.00025456 +76 *1764:65 *2702:45 0.000115863 +77 *1764:65 *4863:157 8.17829e-06 +78 *1764:65 *4870:116 9.80242e-07 +79 *1764:65 *5869:421 0.000115863 +80 *1764:71 *20489:A1 3.99086e-06 +81 *1764:71 *21542:A1 1.41976e-05 +82 *1764:71 *21542:B1 0.000158451 +83 *1764:71 *21542:B2 1.65872e-05 +84 *1764:71 *21983:A 0.00025175 +85 *1764:71 *2997:14 0.000154145 +86 *1764:71 *3146:65 0.000106413 +87 *1764:94 *22428:B1 0.00011195 +88 *1764:94 *22792:A1 0.000967867 +89 *1764:94 *22794:A1 0.000910585 +90 *1764:94 *22814:D 0.000251171 +91 *1764:94 *1794:73 1.40716e-05 +92 *1764:94 *2703:60 0.00027566 +93 *1764:94 *2958:14 0.000114612 +94 *1764:94 *2959:18 0.00053019 +95 *1764:94 *3066:26 0.000373154 +96 *1764:94 *3131:66 0.000368659 +97 *1764:94 *3146:65 5.01835e-05 +98 *1764:94 *3166:82 7.77291e-05 +99 *1764:94 *3166:97 0.000335487 +100 *1764:94 *3175:71 0.00118467 +101 *1764:94 *5454:15 1.67867e-05 +102 *1764:94 *5592:70 0.000739923 +103 *1764:102 *2844:16 0.000226881 +104 *1764:102 *5856:281 0 +105 *1764:113 *5898:34 0.000313495 +106 *1764:116 *19627:B1 0.000468125 +107 *1764:116 *2442:8 0.000262959 +108 *1764:116 *2836:8 0 +109 *1764:116 *4843:633 2.652e-05 +110 *1764:128 *20600:B2 0.000111722 +111 *1764:128 *24502:CLK 3.35026e-05 +112 *1764:128 *2398:38 0.0011114 +113 *1764:128 *2426:43 0.000188588 +114 *1764:128 *2700:12 0 +115 *1764:128 *2835:14 1.5714e-05 +116 *1764:128 *4832:109 0.000317822 +117 *1764:128 *4845:477 0.00197913 +118 *1764:128 *5851:211 1.86178e-05 +119 *1764:128 *5851:219 3.63738e-05 +120 *1764:128 *5874:79 0.00145183 +121 *1764:129 *2587:13 0.000546755 +122 *1764:129 *2971:47 7.92757e-06 +123 *1764:129 *2972:18 7.98425e-06 +124 *1764:129 *4876:62 0.00232599 +125 *19579:A *1764:7 5.481e-05 +126 *19627:A2 *1764:116 0 +127 *19627:B2 *1764:116 3.22862e-05 +128 *19631:B *1764:31 1.9101e-05 +129 *19636:A *1764:113 0.000673668 +130 *19846:A *1764:7 0.000193653 +131 *19846:B *1764:7 4.61203e-05 +132 *19846:C *1764:7 0.000369745 +133 *19846:C *1764:13 6.08467e-05 +134 *20012:A1 *1764:13 1.15768e-05 +135 *20012:B1 *1764:13 1.41307e-05 +136 *20021:A1 *1764:94 0.000291142 +137 *21218:B2 *1764:32 0.000113374 +138 *21788:B2 *1764:32 5.03898e-05 +139 *21819:B2 *1764:13 2.77625e-06 +140 *21838:B2 *21838:A2 0.000107496 +141 *24506:D *1764:128 2.48665e-05 +142 *24628:D *1764:65 7.09666e-06 +143 *24891:D *1764:116 9.69016e-05 +144 *24894:D *1764:113 0.00010914 +145 *1432:15 *1764:31 2.70471e-05 +146 *1435:205 *1764:94 8.4653e-05 +147 *1439:318 *1764:113 0.000213725 +148 *1439:318 *1764:116 1.44611e-05 +149 *1439:356 *1764:31 8.65093e-06 +150 *1442:146 *1764:31 0.000377398 +151 *1442:146 *1764:102 1.94906e-05 +152 *1442:146 *1764:113 0.000277406 +153 *1457:31 *1764:116 0 +154 *1461:16 *1764:102 0 +155 *1461:23 *1764:102 4.71102e-05 +156 *1461:23 *1764:113 0.00100615 +157 *1467:184 *20567:B 0.000110649 +158 *1488:15 *21958:B2 1.47978e-05 +159 *1488:15 *1764:129 0.000304791 +160 *1497:36 *1764:128 1.91246e-05 +161 *1502:11 *1764:13 0.000266846 +162 *1502:13 *1764:13 0.00027483 +163 *1502:42 *1764:13 0.000107496 +164 *1520:29 *1764:129 0.00450569 +165 *1522:13 *1764:31 0.000136768 +166 *1537:125 *1764:94 0.000525715 +167 *1604:91 *1764:71 0.000111337 +168 *1604:91 *1764:94 4.75923e-05 +169 *1692:19 *1764:113 1.19751e-05 +170 *1698:54 *1764:13 0.000579207 +171 *1698:62 *1764:13 0.00128304 +172 *1707:43 *1764:31 1.68091e-05 +173 *1715:36 *1764:32 0 +174 *1715:48 *1764:32 0.00219381 +175 *1715:48 *1764:43 1.86178e-05 +176 *1715:49 *21676:A2 0.000158371 +177 *1715:67 *21676:A2 9.55447e-05 +178 *1725:41 *1764:32 0.000163885 +179 *1729:64 *1764:32 0.000592885 +180 *1729:64 *1764:43 0.000840671 +181 *1752:14 *1764:65 0.00154782 +182 *1753:16 *1764:94 0.000104754 +183 *1755:98 *1764:32 0.00028102 +184 *1760:8 *1764:102 0 +185 *1763:37 *1764:31 1.5714e-05 +*RES +1 *20008:X *1764:7 33.29 +2 *1764:7 *1764:13 39.7386 +3 *1764:13 *1764:31 32.8753 +4 *1764:31 *1764:32 58.5139 +5 *1764:32 *21676:A2 16.691 +6 *1764:32 *1764:43 21.2811 +7 *1764:43 *20567:B 26.7658 +8 *1764:43 *1764:65 45.15 +9 *1764:65 *1764:71 16.3684 +10 *1764:71 *21542:A2 14.4725 +11 *1764:71 *1764:94 35.2849 +12 *1764:94 *21838:A2 24.9468 +13 *1764:13 *1764:102 10.0693 +14 *1764:102 *1764:113 41.8083 +15 *1764:113 *1764:116 27.9251 +16 *1764:116 *1764:128 42.195 +17 *1764:128 *1764:129 51.1923 +18 *1764:129 *21958:B2 10.2378 +19 *1764:102 *20011:A2 16.691 +*END + +*D_NET *1765 0.0178507 +*CONN +*I *20011:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20009:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20011:B1 9.77493e-05 +2 *20009:Y 0.00237666 +3 *1765:18 0.000168771 +4 *1765:17 7.10213e-05 +5 *1765:15 0.00133396 +6 *1765:14 0.00145864 +7 *1765:9 0.00250134 +8 *20011:B1 *20011:B2 5.14966e-06 +9 *20011:B1 *20012:C1 6.08467e-05 +10 *20011:B1 *21828:A 1.43934e-05 +11 *20011:B1 *2703:21 3.07072e-05 +12 *1765:9 *21409:A 1.55995e-05 +13 *1765:9 *23953:A0 0.000111722 +14 *1765:9 *23953:S 0.000277662 +15 *1765:9 *5474:73 0.000381997 +16 *1765:14 *1845:144 0 +17 *1765:14 *2510:21 0.0002108 +18 *1765:14 *5474:70 2.27131e-05 +19 *1765:15 *21828:A 0.000158371 +20 *1765:15 *24054:S 0.000107496 +21 *1765:15 *2510:21 0.000596471 +22 *1765:15 *2510:25 4.47134e-05 +23 *1765:15 *2703:21 4.42853e-05 +24 *1765:15 *3177:120 0.00288581 +25 *21167:A *1765:9 0.000207266 +26 *24054:A0 *1765:15 0.000568176 +27 *25202:A *1765:9 2.16355e-05 +28 *468:8 *1765:15 0.00231668 +29 *1515:34 *1765:15 0.000945796 +30 *1515:47 *1765:15 0.0008143 +31 *1763:37 *20011:B1 0 +*RES +1 *20009:Y *1765:9 47.1792 +2 *1765:9 *1765:14 12.9083 +3 *1765:14 *1765:15 61.7298 +4 *1765:15 *1765:17 9.24915 +5 *1765:17 *1765:18 81.1229 +6 *1765:18 *20011:B1 21.199 +*END + +*D_NET *1766 0.052082 +*CONN +*I *21339:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21410:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21455:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21899:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21218:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21926:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21670:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20011:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20010:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21339:B2 0.000201486 +2 *21410:B2 4.55962e-05 +3 *21455:A2 0.00229501 +4 *21899:A2 0 +5 *21218:A2 0.000470056 +6 *21926:B2 0.000142511 +7 *21670:B2 8.11129e-05 +8 *20011:B2 0.000337457 +9 *20010:X 0 +10 *1766:82 0.0028508 +11 *1766:67 0.00669662 +12 *1766:50 0.00258945 +13 *1766:21 0.0027528 +14 *1766:13 0.00343463 +15 *1766:11 0.00121873 +16 *1766:4 0.000700307 +17 *20011:B2 *21828:A 6.2138e-05 +18 *20011:B2 *2400:12 0.00073734 +19 *20011:B2 *2785:14 2.35827e-05 +20 *20011:B2 *5908:9 0.000275123 +21 *21218:A2 *21899:A1 0.000285329 +22 *21218:A2 *22467:A1 0.000261389 +23 *21218:A2 *1818:48 0.000108054 +24 *21218:A2 *2285:13 8.88516e-05 +25 *21218:A2 *4863:154 0.000111722 +26 *21339:B2 *2401:11 0.000298304 +27 *21410:B2 *6180:DIODE 6.08467e-05 +28 *21410:B2 *21411:C1 2.16355e-05 +29 *21410:B2 *2466:29 3.65454e-05 +30 *21410:B2 *4821:28 3.65454e-05 +31 *21455:A2 *21987:A1 3.31745e-05 +32 *21455:A2 *21987:A2 8.56016e-05 +33 *21455:A2 *22803:B1 8.90266e-05 +34 *21455:A2 *1802:30 0.0010802 +35 *21455:A2 *2510:73 0.000967929 +36 *21455:A2 *2746:43 0.000131711 +37 *21455:A2 *2866:21 0.00163136 +38 *21455:A2 *2994:55 0.000541335 +39 *21455:A2 *3001:22 0.000298399 +40 *21455:A2 *3417:29 0.000226438 +41 *21455:A2 *3702:20 0.000180405 +42 *21455:A2 *3751:47 1.77472e-05 +43 *21455:A2 *5475:83 0.000148027 +44 *21670:B2 *2704:31 0.000118055 +45 *21926:B2 *21926:B1 3.75603e-05 +46 *1766:11 *2466:29 5.56623e-05 +47 *1766:11 *2468:135 0.000141421 +48 *1766:11 *2587:18 0.000667575 +49 *1766:11 *2744:19 1.43499e-05 +50 *1766:11 *4821:28 0.000382811 +51 *1766:13 *21926:A1 0 +52 *1766:13 *1802:172 6.44644e-05 +53 *1766:13 *2469:35 0.000601456 +54 *1766:21 *21926:A1 0 +55 *1766:21 *2400:12 0.00514899 +56 *1766:21 *2469:35 0.000114489 +57 *1766:21 *5908:9 0.00027923 +58 *1766:50 *1802:172 0.000104446 +59 *1766:50 *1802:175 1.29509e-05 +60 *1766:67 *1804:17 0.000163315 +61 *1766:82 *21351:B1 0 +62 *1766:82 *21683:A1 0 +63 *1766:82 *22467:A1 0.00190984 +64 *1766:82 *22779:D 0 +65 *1766:82 *1802:30 2.14262e-05 +66 *1766:82 *2697:65 0 +67 *1766:82 *2703:60 5.714e-06 +68 *1766:82 *3421:17 1.55376e-05 +69 *1766:82 *4931:10 0.000154145 +70 *19795:A *1766:82 9.64899e-05 +71 *19883:A *1766:50 2.02035e-05 +72 *19927:A *1766:50 0.000349498 +73 *19927:A *1766:67 3.55859e-05 +74 *19949:B *21926:B2 0.000154145 +75 *20011:B1 *20011:B2 5.14966e-06 +76 *20376:B *21670:B2 2.72472e-05 +77 *21339:A2 *21339:B2 0.000203604 +78 *21899:B2 *21218:A2 6.08467e-05 +79 *21899:B2 *1766:82 0.000450883 +80 *21963:B2 *1766:11 0 +81 *21963:B2 *1766:13 0 +82 *464:10 *21670:B2 4.63873e-05 +83 *514:16 *1766:82 7.27444e-05 +84 *516:19 *21455:A2 0 +85 *516:19 *1766:13 9.3418e-05 +86 *516:19 *1766:21 1.06238e-05 +87 *516:19 *1766:67 0 +88 *516:19 *1766:82 1.68091e-05 +89 *1424:150 *21339:B2 2.16355e-05 +90 *1424:150 *1766:11 3.82228e-05 +91 *1427:156 *1766:50 0 +92 *1435:79 *1766:82 6.09999e-05 +93 *1439:356 *20011:B2 7.67715e-05 +94 *1443:9 *21926:B2 5.0715e-05 +95 *1443:18 *21926:B2 5.24081e-05 +96 *1449:59 *1766:67 0.000303094 +97 *1454:46 *1766:82 0.000186491 +98 *1461:248 *21218:A2 7.13972e-05 +99 *1466:9 *1766:21 0.00150979 +100 *1466:13 *1766:21 0.00165164 +101 *1466:84 *1766:21 0.00131932 +102 *1502:135 *21218:A2 6.63489e-05 +103 *1502:135 *1766:82 1.41976e-05 +104 *1510:45 *21339:B2 0.000136838 +105 *1510:64 *1766:67 0.000363191 +106 *1563:46 *1766:21 8.54206e-05 +107 *1587:10 *21339:B2 1.39788e-05 +108 *1640:8 *1766:50 4.89947e-06 +109 *1640:12 *1766:50 0.000723844 +110 *1640:12 *1766:67 3.14242e-05 +111 *1671:17 *1766:11 0.000152911 +112 *1671:17 *1766:13 0.000470405 +113 *1677:142 *1766:82 5.65463e-05 +114 *1678:69 *21455:A2 0 +115 *1678:69 *1766:67 0 +116 *1688:117 *1766:82 0.000487938 +117 *1706:21 *21926:B2 0.000251655 +118 *1710:8 *21339:B2 0.000136838 +119 *1721:12 *21455:A2 0.0001931 +120 *1725:267 *21339:B2 1.65872e-05 +121 *1725:267 *1766:11 4.2372e-05 +122 *1730:75 *1766:82 0.000167912 +123 *1763:37 *20011:B2 1.06706e-05 +*RES +1 *20010:X *1766:4 9.24915 +2 *1766:4 *1766:11 22.6776 +3 *1766:11 *1766:13 2.19161 +4 *1766:13 *1766:21 10.1897 +5 *1766:21 *20011:B2 21.2334 +6 *1766:21 *21670:B2 19.5728 +7 *1766:13 *1766:50 17.866 +8 *1766:50 *21926:B2 18.9335 +9 *1766:50 *1766:67 9.06007 +10 *1766:67 *1766:82 22.244 +11 *1766:82 *21218:A2 26.2731 +12 *1766:82 *21899:A2 9.24915 +13 *1766:67 *21455:A2 32.577 +14 *1766:11 *21410:B2 18.6352 +15 *1766:4 *21339:B2 26.1201 +*END + +*D_NET *1767 0.00182575 +*CONN +*I *20012:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *20011:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *20012:C1 0.000258409 +2 *20011:X 0.000258409 +3 *20012:C1 *2274:30 0.000162462 +4 *20012:C1 *2703:21 5.76804e-05 +5 *20012:C1 *2810:23 0.00026105 +6 *20012:C1 *5856:281 0.00066364 +7 *20011:B1 *20012:C1 6.08467e-05 +8 *20012:A1 *20012:C1 6.08467e-05 +9 *1439:356 *20012:C1 3.23185e-05 +10 *1764:13 *20012:C1 1.00846e-05 +*RES +1 *20011:X *20012:C1 39.2327 +*END + +*D_NET *1768 0.0132014 +*CONN +*I *20013:D I *D sky130_fd_sc_hd__and4_1 +*I *20012:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *20013:D 0 +2 *20012:X 0.000859401 +3 *1768:27 0.000959682 +4 *1768:14 0.00181908 +5 *1768:14 *23942:A0 0.000499188 +6 *1768:14 *2702:18 3.63593e-05 +7 *1768:14 *2808:32 0.000418946 +8 *1768:14 *2833:21 0.000100523 +9 *1768:14 *2834:17 3.40314e-05 +10 *1768:14 *5856:281 0.00129688 +11 *1768:27 *2808:32 0.000855004 +12 *1768:27 *2810:5 0.000348097 +13 *19680:A *1768:27 0.000107496 +14 *19985:B *1768:27 0.000114455 +15 *20013:C *1768:27 6.50586e-05 +16 *476:18 *1768:14 0.00152742 +17 *1424:140 *1768:27 0.000107496 +18 *1449:45 *1768:27 5.01835e-05 +19 *1455:59 *1768:14 1.22756e-05 +20 *1461:67 *1768:27 0.00151209 +21 *1511:23 *1768:27 0.000192566 +22 *1563:46 *1768:27 5.4678e-05 +23 *1698:38 *1768:27 0.000534804 +24 *1698:54 *1768:27 0.00127012 +25 *1704:6 *1768:27 0.000114455 +26 *1740:22 *1768:27 0.000311142 +*RES +1 *20012:X *1768:14 31.5416 +2 *1768:14 *1768:27 49.7758 +3 *1768:27 *20013:D 9.24915 +*END + +*D_NET *1769 0.00550039 +*CONN +*I *20052:C I *D sky130_fd_sc_hd__and4_2 +*I *20013:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *20052:C 0 +2 *20013:X 0.00105348 +3 *1769:6 0.00105348 +4 *1769:6 *2466:29 4.83562e-06 +5 *1769:6 *2858:27 0.0017653 +6 *1769:6 *4821:28 0.000502818 +7 *1769:6 *4821:41 6.86191e-05 +8 *19956:A2 *1769:6 0.000212734 +9 *1492:13 *1769:6 0.000110649 +10 *1541:38 *1769:6 0.000728466 +*RES +1 *20013:X *1769:6 49.6318 +2 *1769:6 *20052:C 13.7491 +*END + +*D_NET *1770 0.0479035 +*CONN +*I *22528:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20022:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22218:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *20014:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22528:A1 0.000449911 +2 *20022:A1 0.00141285 +3 *22218:A1 7.31569e-05 +4 *20014:Y 5.38744e-05 +5 *1770:59 0.00365528 +6 *1770:58 0.00323627 +7 *1770:34 0.00159777 +8 *1770:31 0.002218 +9 *1770:12 0.00352722 +10 *1770:10 0.00142682 +11 *1770:8 0.00182502 +12 *1770:7 0.00184217 +13 *20022:A1 *1771:70 9.12416e-06 +14 *20022:A1 *1771:82 0.000114222 +15 *20022:A1 *3688:22 0.000427015 +16 *20022:A1 *4808:90 0.000318127 +17 *22218:A1 *22218:B2 2.65667e-05 +18 *22218:A1 *3175:143 6.50586e-05 +19 *22528:A1 *22528:B2 1.91187e-05 +20 *22528:A1 *22529:C1 0.000310524 +21 *22528:A1 *1796:12 5.11321e-05 +22 *22528:A1 *2889:45 7.98171e-06 +23 *22528:A1 *3541:180 3.92592e-05 +24 *1770:7 *20014:A 1.03403e-05 +25 *1770:8 *20461:B 0.000230103 +26 *1770:8 *20539:A 0.000126945 +27 *1770:8 *21196:A 0.000237053 +28 *1770:8 *21285:A 0.000264583 +29 *1770:8 *1884:137 2.78452e-05 +30 *1770:8 *1884:208 5.66868e-06 +31 *1770:8 *1966:6 0.000788313 +32 *1770:8 *1967:10 7.50722e-05 +33 *1770:8 *2347:14 0.000105245 +34 *1770:8 *4838:205 2.82635e-05 +35 *1770:8 *4887:61 2.28129e-05 +36 *1770:8 *5104:10 1.02718e-05 +37 *1770:8 *5866:259 0 +38 *1770:8 *5866:279 0 +39 *1770:12 *20539:A 1.70744e-05 +40 *1770:12 *20670:B2 0.00017419 +41 *1770:12 *1992:6 8.20492e-06 +42 *1770:12 *1992:14 0.000104609 +43 *1770:12 *2737:16 0.000758008 +44 *1770:12 *2843:11 0.000383166 +45 *1770:12 *2843:12 0.00291939 +46 *1770:12 *5104:10 3.45017e-05 +47 *1770:12 *5861:58 0.000667818 +48 *1770:31 *20388:B1 0.000314285 +49 *1770:31 *21834:A 2.31673e-05 +50 *1770:31 *22023:B1 0.000143185 +51 *1770:31 *22024:A1 3.51918e-05 +52 *1770:31 *24613:CLK 0.000192549 +53 *1770:31 *2293:13 7.48886e-05 +54 *1770:31 *2564:33 9.22833e-06 +55 *1770:31 *2566:28 5.49375e-06 +56 *1770:31 *2659:17 0.000176793 +57 *1770:31 *2738:13 1.49387e-05 +58 *1770:31 *2797:65 0.000563851 +59 *1770:31 *2837:28 2.55157e-05 +60 *1770:31 *2850:12 0.000125876 +61 *1770:31 *2963:127 0.000109796 +62 *1770:31 *2978:20 0.000650009 +63 *1770:31 *4126:57 7.12207e-05 +64 *1770:31 *4126:66 0.000376351 +65 *1770:34 *22249:A 1.39847e-05 +66 *1770:34 *2564:33 1.58588e-05 +67 *1770:58 *22249:A 0.000428023 +68 *1770:58 *22249:B 0 +69 *1770:58 *22576:B1 0.000983868 +70 *1770:58 *22576:C1 1.91391e-05 +71 *1770:58 *22597:D 1.91391e-05 +72 *1770:58 *2551:44 4.27689e-05 +73 *1770:58 *2770:68 8.88412e-05 +74 *1770:58 *2986:34 0 +75 *1770:58 *3114:200 0.000281455 +76 *1770:58 *3529:178 6.62461e-05 +77 *1770:58 *3535:14 2.31787e-05 +78 *1770:58 *3541:81 0.000342818 +79 *1770:58 *4942:22 7.34468e-05 +80 *1770:59 *21814:B1 0.00343837 +81 *1770:59 *22270:B2 0.00124529 +82 *1770:59 *1799:34 0.000411853 +83 *1770:59 *3688:22 2.98713e-05 +84 *19810:B1 *1770:59 0.000166294 +85 *19874:B2 *1770:58 2.44829e-05 +86 *19895:B2 *1770:58 8.81746e-05 +87 *19906:B2 *1770:58 0 +88 *19907:C *1770:58 2.72078e-05 +89 *21701:B2 *1770:31 9.83848e-05 +90 *21712:B2 *1770:31 8.58377e-05 +91 *21813:B2 *20022:A1 8.28675e-06 +92 *22528:B1 *22528:A1 0.000182116 +93 *24611:D *1770:12 0.000132567 +94 *1435:251 *20022:A1 0.00126859 +95 *1435:251 *1770:59 0.000260643 +96 *1439:28 *1770:31 4.04426e-05 +97 *1439:424 *1770:31 0.000374664 +98 *1439:438 *1770:12 2.71397e-05 +99 *1535:51 *20022:A1 0.000435459 +100 *1535:54 *20022:A1 3.78901e-05 +101 *1550:16 *1770:31 0.000152541 +102 *1550:32 *1770:31 0.000102749 +103 *1561:50 *20022:A1 0.000410018 +104 *1573:196 *22528:A1 2.37827e-05 +105 *1586:33 *20022:A1 0.000274835 +106 *1591:39 *1770:58 3.27606e-06 +107 *1595:43 *1770:12 2.59186e-05 +108 *1619:8 *1770:8 0 +109 *1625:83 *20022:A1 0.000516569 +110 *1643:21 *22528:A1 5.45571e-05 +111 *1646:35 *1770:59 0.00072294 +112 *1656:37 *1770:31 0.000545308 +113 *1656:37 *1770:58 3.53921e-05 +114 *1658:86 *22218:A1 0.000100741 +115 *1658:86 *1770:34 0.000124237 +116 *1658:86 *1770:58 9.71323e-06 +117 *1663:30 *1770:58 2.42661e-05 +118 *1688:43 *1770:58 0.000222148 +119 *1688:75 *1770:58 0.000757472 +120 *1716:45 *22528:A1 0.000157671 +121 *1716:89 *22528:A1 6.08467e-05 +122 *1751:131 *20022:A1 0.000169453 +123 *1751:145 *20022:A1 8.43929e-06 +*RES +1 *20014:Y *1770:7 14.4725 +2 *1770:7 *1770:8 54.3125 +3 *1770:8 *1770:10 0.732798 +4 *1770:10 *1770:12 61.0543 +5 *1770:12 *1770:31 48.7715 +6 *1770:31 *1770:34 5.82366 +7 *1770:34 *22218:A1 16.7198 +8 *1770:34 *1770:58 43.3469 +9 *1770:58 *1770:59 8.39461 +10 *1770:59 *20022:A1 35.5692 +11 *1770:59 *22528:A1 26.5405 +*END + +*D_NET *1771 0.113544 +*CONN +*I *21725:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21987:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21857:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20461:B I *D sky130_fd_sc_hd__or2_1 +*I *21199:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *21314:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20022:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21518:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21411:B2 I *D sky130_fd_sc_hd__o221a_4 +*I *20015:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21725:A2 0 +2 *21987:A2 0.000820736 +3 *21857:B2 2.74872e-05 +4 *20461:B 0.000199354 +5 *21199:A2 0 +6 *21314:B2 0 +7 *20022:A2 0 +8 *21518:A2 0 +9 *21411:B2 0.000179429 +10 *20015:X 0.000243404 +11 *1771:207 0.00345395 +12 *1771:206 0.00338556 +13 *1771:203 0.00216656 +14 *1771:192 0.00358667 +15 *1771:182 0.00248256 +16 *1771:173 0.00197778 +17 *1771:167 0.00327596 +18 *1771:151 0.00265062 +19 *1771:140 0.000859072 +20 *1771:131 0.00135063 +21 *1771:127 0.00175209 +22 *1771:106 0.00146092 +23 *1771:104 0.00211967 +24 *1771:94 0.00215789 +25 *1771:83 0.00289141 +26 *1771:82 0.00256254 +27 *1771:70 0.000798191 +28 *1771:60 0.00234502 +29 *1771:47 0.00338972 +30 *1771:31 0.00385139 +31 *1771:24 0.00259016 +32 *1771:7 0.00152202 +33 *20461:B *1884:137 0.000294241 +34 *20461:B *1884:208 0.000244686 +35 *21411:B2 *21411:C1 6.50586e-05 +36 *21411:B2 *2466:29 8.15039e-05 +37 *21411:B2 *2981:24 0.000199559 +38 *21411:B2 *4854:6 0.00020082 +39 *21857:B2 *21857:B1 6.3657e-05 +40 *21857:B2 *3543:123 0.000110306 +41 *21987:A2 *22803:B1 4.37432e-05 +42 *21987:A2 *1788:21 0.000592388 +43 *21987:A2 *1800:8 6.17183e-05 +44 *21987:A2 *2510:73 0.000444493 +45 *21987:A2 *2934:22 0.000583849 +46 *21987:A2 *2994:55 0.000695678 +47 *1771:7 *3016:22 0.000690915 +48 *1771:24 *21725:A1 1.47978e-05 +49 *1771:24 *21725:B1 2.16355e-05 +50 *1771:24 *1804:33 6.23309e-05 +51 *1771:24 *2975:33 6.14756e-06 +52 *1771:24 *2981:24 0.000111642 +53 *1771:24 *3500:38 2.61147e-05 +54 *1771:24 *4854:6 0.00160459 +55 *1771:31 *21725:B2 4.76248e-05 +56 *1771:31 *22786:A1 0.000344759 +57 *1771:31 *22787:A1 5.24057e-05 +58 *1771:31 *3063:30 0.000810748 +59 *1771:31 *3063:45 0.000606066 +60 *1771:31 *3185:36 0.000344527 +61 *1771:31 *3185:53 0.000136325 +62 *1771:31 *3498:44 1.5714e-05 +63 *1771:31 *4854:6 0.000225186 +64 *1771:47 *21455:B1 6.61525e-05 +65 *1771:47 *21531:C1 0.000643263 +66 *1771:47 *21909:C1 5.13902e-05 +67 *1771:47 *22502:B2 5.36085e-05 +68 *1771:47 *22805:B1 0.00016034 +69 *1771:47 *2751:8 6.09637e-05 +70 *1771:47 *2922:58 0.000206027 +71 *1771:47 *2934:22 1.91391e-05 +72 *1771:47 *3001:22 4.91394e-06 +73 *1771:47 *3063:45 2.08353e-05 +74 *1771:47 *4851:9 3.48252e-05 +75 *1771:60 *21518:A1 2.37478e-05 +76 *1771:60 *21531:C1 1.07248e-05 +77 *1771:60 *2773:41 0 +78 *1771:60 *3111:63 0.00049536 +79 *1771:60 *3719:10 0.000638398 +80 *1771:70 *21696:A1 0.000154145 +81 *1771:70 *21696:B1 0.000163147 +82 *1771:70 *21730:B1 5.8973e-05 +83 *1771:70 *4808:90 0.000478962 +84 *1771:83 *22054:C1 9.18679e-06 +85 *1771:83 *22784:A2 8.6297e-06 +86 *1771:83 *2799:8 3.28588e-05 +87 *1771:83 *3067:26 1.91246e-05 +88 *1771:83 *3493:101 0.000112423 +89 *1771:94 *20040:C1 0.000347214 +90 *1771:94 *22766:A1 0.000134323 +91 *1771:94 *2672:64 0.000340742 +92 *1771:94 *2847:8 0.000439741 +93 *1771:94 *3069:37 0.000152445 +94 *1771:104 *20040:B1 3.22013e-05 +95 *1771:104 *22595:B2 0.000159638 +96 *1771:104 *22596:C1 0.000107496 +97 *1771:104 *2850:42 0.000114514 +98 *1771:104 *2954:28 0.000621876 +99 *1771:104 *2954:44 1.5714e-05 +100 *1771:104 *3122:198 1.9101e-05 +101 *1771:104 *3192:181 1.66771e-05 +102 *1771:104 *3541:95 0.000518544 +103 *1771:104 *3543:123 5.08374e-05 +104 *1771:104 *3562:16 1.9101e-05 +105 *1771:106 *21857:C1 5.88657e-05 +106 *1771:106 *22575:B2 6.08467e-05 +107 *1771:106 *22597:B 0.000177116 +108 *1771:106 *3543:123 0.00235349 +109 *1771:106 *3546:7 0.000390192 +110 *1771:106 *3575:17 0.000200376 +111 *1771:127 *21857:A1 6.19393e-05 +112 *1771:127 *22279:B1 3.92776e-05 +113 *1771:127 *22279:C1 4.23622e-05 +114 *1771:127 *22613:B2 0.000102851 +115 *1771:127 *22616:C 0.00010133 +116 *1771:127 *2468:37 0.000131362 +117 *1771:127 *2542:28 9.59309e-05 +118 *1771:127 *2776:47 0.000277628 +119 *1771:127 *2871:8 2.84783e-05 +120 *1771:127 *3233:22 9.39114e-06 +121 *1771:127 *3527:186 8.57676e-05 +122 *1771:127 *3533:143 0.000226438 +123 *1771:131 *21092:B2 2.27135e-05 +124 *1771:131 *22279:C1 3.94863e-05 +125 *1771:131 *24214:CLK 0.000156614 +126 *1771:131 *2209:32 0.00051306 +127 *1771:131 *2868:12 3.5189e-05 +128 *1771:131 *2868:16 0.000261932 +129 *1771:131 *2868:24 0.000194296 +130 *1771:131 *2869:32 0.000191396 +131 *1771:131 *3148:117 3.25963e-05 +132 *1771:131 *3177:339 0.000121724 +133 *1771:131 *3233:22 0.000165464 +134 *1771:131 *4890:10 0.000696061 +135 *1771:140 *21314:B1 6.3657e-05 +136 *1771:140 *21315:C1 0.000121953 +137 *1771:140 *2348:15 3.30161e-05 +138 *1771:140 *2375:36 0.00011393 +139 *1771:140 *2821:11 0.00141443 +140 *1771:151 *21315:C1 1.29172e-05 +141 *1771:151 *2339:8 0.000430955 +142 *1771:151 *2350:30 0.000310075 +143 *1771:151 *2358:16 3.98897e-05 +144 *1771:167 *21199:B2 5.35941e-05 +145 *1771:167 *1958:31 9.58636e-05 +146 *1771:167 *2339:8 0.000372091 +147 *1771:167 *2358:14 0.000667241 +148 *1771:167 *2358:16 3.93669e-05 +149 *1771:167 *2541:11 0.00431316 +150 *1771:167 *2620:37 0 +151 *1771:167 *2797:30 0.000231158 +152 *1771:167 *3131:206 7.50872e-05 +153 *1771:173 *2081:6 0.00146859 +154 *1771:173 *2530:35 0.00016924 +155 *1771:173 *4836:21 0.000175646 +156 *1771:173 *5893:18 0.00146498 +157 *1771:182 *1912:10 0.000646586 +158 *1771:182 *1912:20 3.29499e-05 +159 *1771:182 *2052:10 7.14746e-05 +160 *1771:182 *2486:32 0.000258518 +161 *1771:182 *4843:235 0.000742435 +162 *1771:182 *5028:11 1.15838e-05 +163 *1771:182 *5881:16 0.000742353 +164 *1771:192 *20631:A2 0.000182915 +165 *1771:192 *21253:B1 0.000464099 +166 *1771:192 *21619:A 0.000144546 +167 *1771:192 *24418:RESET_B 0.000203383 +168 *1771:192 *24418:CLK 3.31745e-05 +169 *1771:192 *2018:111 0.000376316 +170 *1771:192 *2258:12 0.000187726 +171 *1771:192 *4898:34 0.000699675 +172 *1771:192 *5031:8 9.43004e-05 +173 *1771:203 *20780:A1 5.74801e-05 +174 *1771:203 *20881:B2 0.000275256 +175 *1771:203 *24393:RESET_B 1.8672e-05 +176 *1771:203 *24393:CLK 6.11359e-06 +177 *1771:203 *1789:28 3.67689e-05 +178 *1771:203 *2250:7 0.000559686 +179 *1771:203 *5855:589 6.08467e-05 +180 *1771:203 *5855:599 0.000645245 +181 *1771:203 *5867:432 2.11195e-05 +182 *1771:206 *24548:RESET_B 3.31882e-05 +183 *1771:206 *2374:14 0.000129499 +184 *1771:206 *4823:8 0.00011158 +185 *1771:207 *24481:SET_B 0.000314521 +186 *1771:207 *24481:CLK 0.000241849 +187 *1771:207 *24548:CLK 0.000513023 +188 *1771:207 *2369:17 0.000605649 +189 *19799:B *1771:7 0.00088623 +190 *19810:B1 *1771:83 8.90266e-05 +191 *19810:B2 *1771:83 3.38819e-05 +192 *19842:A2 *1771:127 0.000228709 +193 *19858:A *1771:47 0.000144942 +194 *19864:A1 *1771:127 0.000133334 +195 *19897:B *1771:60 0.000154145 +196 *20022:A1 *1771:70 9.12416e-06 +197 *20022:A1 *1771:82 0.000114222 +198 *21455:A2 *21987:A2 8.56016e-05 +199 *21630:A2 *1771:94 0.000343552 +200 *21783:A2 *1771:104 1.91391e-05 +201 *21813:B2 *1771:70 1.54479e-05 +202 *21864:B2 *1771:24 0.000186825 +203 *22575:A1 *1771:106 0.000651117 +204 *22595:A1 *1771:104 6.3657e-05 +205 *24393:D *1771:203 8.88534e-05 +206 *494:58 *1771:24 0.00042974 +207 *1435:45 *1771:7 1.65872e-05 +208 *1435:153 *1771:60 3.37647e-05 +209 *1435:165 *1771:60 4.16443e-05 +210 *1443:18 *1771:24 0.000169299 +211 *1449:85 *1771:31 0.000112089 +212 *1449:85 *1771:47 0.000563388 +213 *1496:130 *1771:83 0.00127083 +214 *1496:141 *1771:83 0.000583189 +215 *1502:193 *1771:47 0.000135973 +216 *1535:54 *1771:70 6.09781e-05 +217 *1535:54 *1771:82 0.000105098 +218 *1535:56 *1771:60 0.000656965 +219 *1535:56 *1771:70 1.37385e-05 +220 *1542:19 *1771:60 7.5909e-06 +221 *1542:34 *1771:83 0.00135023 +222 *1561:39 *1771:82 0.000345048 +223 *1561:39 *1771:83 8.83647e-05 +224 *1586:36 *1771:83 0.000695094 +225 *1586:47 *1771:83 9.80784e-05 +226 *1586:77 *1771:83 1.8008e-05 +227 *1586:77 *1771:104 0.000240214 +228 *1593:31 *1771:104 0.000121021 +229 *1598:18 *1771:47 0.000159515 +230 *1598:46 *1771:47 0.000511889 +231 *1598:46 *1771:60 0.000456387 +232 *1602:45 *1771:83 0.000123808 +233 *1602:71 *1771:83 8.61737e-05 +234 *1608:156 *1771:140 4.78069e-06 +235 *1608:156 *1771:151 0.000160617 +236 *1617:33 *1771:127 8.56161e-05 +237 *1618:18 *1771:83 0.000178215 +238 *1618:35 *1771:83 0.000159758 +239 *1618:56 *1771:83 0.000201656 +240 *1618:56 *1771:104 0.000541028 +241 *1634:16 *1771:182 0.000690198 +242 *1637:9 *21411:B2 0.000260388 +243 *1643:28 *1771:94 5.6442e-05 +244 *1647:10 *1771:60 6.22114e-05 +245 *1651:25 *1771:24 2.25583e-07 +246 *1658:155 *1771:182 0.000212848 +247 *1662:57 *1771:47 0.000249764 +248 *1662:102 *1771:31 0.000541373 +249 *1709:87 *1771:24 8.56016e-05 +250 *1721:167 *1771:140 0.00141724 +251 *1723:77 *1771:70 4.0605e-06 +252 *1725:192 *1771:151 6.50727e-05 +253 *1762:119 *1771:70 9.82202e-06 +254 *1770:8 *20461:B 0.000230103 +*RES +1 *20015:X *1771:7 24.4554 +2 *1771:7 *21411:B2 21.1779 +3 *1771:7 *1771:24 39.4666 +4 *1771:24 *1771:31 47.8694 +5 *1771:31 *1771:47 42.3191 +6 *1771:47 *21518:A2 13.7491 +7 *1771:47 *1771:60 48.2938 +8 *1771:60 *1771:70 22.8505 +9 *1771:70 *20022:A2 13.7491 +10 *1771:70 *1771:82 15.2983 +11 *1771:82 *1771:83 64.1198 +12 *1771:83 *1771:94 35.4086 +13 *1771:94 *1771:104 42.5915 +14 *1771:104 *1771:106 27.3444 +15 *1771:106 *1771:127 34.1791 +16 *1771:127 *1771:131 34.3615 +17 *1771:131 *1771:140 29.7715 +18 *1771:140 *21314:B2 9.24915 +19 *1771:140 *1771:151 16.6278 +20 *1771:151 *21199:A2 13.7491 +21 *1771:151 *1771:167 29.3239 +22 *1771:167 *1771:173 43.888 +23 *1771:173 *1771:182 39.1999 +24 *1771:182 *1771:192 43.3467 +25 *1771:192 *1771:203 44.9861 +26 *1771:203 *1771:206 9.65401 +27 *1771:206 *1771:207 50.6377 +28 *1771:207 *20461:B 27.5557 +29 *1771:106 *21857:B2 10.5271 +30 *1771:31 *21987:A2 26.98 +31 *1771:24 *21725:A2 9.24915 +*END + +*D_NET *1772 0.0268798 +*CONN +*I *22405:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20022:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22737:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20016:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22405:A1 0.000333622 +2 *20022:B1 0 +3 *22737:B1 0.00130887 +4 *20016:Y 0.00244916 +5 *1772:71 0.00117442 +6 *1772:37 0.00337957 +7 *1772:33 0.00166544 +8 *1772:25 0.00127029 +9 *1772:22 0.00261666 +10 *22405:A1 *22405:A2 6.08467e-05 +11 *22405:A1 *22405:B2 2.16355e-05 +12 *22405:A1 *22406:C1 4.33486e-05 +13 *22737:B1 *20050:B1 2.69795e-05 +14 *22737:B1 *21517:B1 0.000145115 +15 *22737:B1 *22727:A1 0.000279299 +16 *22737:B1 *22737:B2 7.76105e-06 +17 *22737:B1 *22737:C1 0.000120779 +18 *22737:B1 *1805:12 3.61058e-05 +19 *22737:B1 *2583:61 3.29488e-05 +20 *22737:B1 *2746:43 0.000698739 +21 *22737:B1 *2997:14 4.5435e-07 +22 *22737:B1 *2997:30 0.000421104 +23 *22737:B1 *3474:58 3.99981e-05 +24 *22737:B1 *3722:8 2.18438e-05 +25 *22737:B1 *3722:14 2.68948e-05 +26 *1772:22 *20483:A 0.000480689 +27 *1772:22 *21825:A1 4.43443e-05 +28 *1772:22 *22477:C1 3.6764e-05 +29 *1772:22 *22798:B1 0 +30 *1772:22 *22808:A1 0.000481798 +31 *1772:22 *2522:32 5.2504e-06 +32 *1772:22 *2593:30 0.000311975 +33 *1772:22 *2597:29 2.54206e-05 +34 *1772:22 *2614:55 6.16319e-05 +35 *1772:22 *2680:27 0.000143605 +36 *1772:22 *3131:41 1.36414e-05 +37 *1772:22 *3134:62 2.69702e-06 +38 *1772:22 *3146:65 0.000208289 +39 *1772:22 *4852:11 0.000308254 +40 *1772:22 *5851:84 0.000247443 +41 *1772:22 *5854:122 1.25645e-05 +42 *1772:22 *5869:588 1.09398e-05 +43 *1772:25 *3428:23 5.481e-05 +44 *1772:25 *5681:7 0.000113107 +45 *1772:33 *2531:43 0.00173511 +46 *1772:33 *2573:30 0.000771979 +47 *1772:33 *2703:60 0.000265142 +48 *1772:33 *3128:87 0.000156946 +49 *1772:33 *3527:93 0.00025819 +50 *1772:37 *20050:B1 6.08467e-05 +51 *1772:37 *21517:B1 9.05962e-05 +52 *1772:37 *22798:B2 0.000205101 +53 *1772:37 *2573:30 6.46916e-05 +54 *1772:71 *20050:B1 4.88722e-05 +55 *1772:71 *21467:A2 9.65312e-05 +56 *1772:71 *21707:B1 0.000251644 +57 *1772:71 *22485:A1 0.000131291 +58 *1772:71 *22486:A1 8.76888e-05 +59 *1772:71 *22488:B2 9.25713e-05 +60 *1772:71 *3128:64 0.000161252 +61 *1772:71 *3722:8 0.000787398 +62 *19817:B *1772:22 0.00087643 +63 *19997:B1 *22737:B1 8.71956e-05 +64 *22405:B1 *22405:A1 1.58551e-05 +65 *22727:B1 *22737:B1 4.0605e-06 +66 *22736:A1 *22737:B1 1.57527e-05 +67 *1455:105 *1772:22 8.51503e-05 +68 *1462:22 *22737:B1 4.69495e-06 +69 *1535:54 *1772:71 0.000178777 +70 *1535:56 *1772:71 9.18679e-06 +71 *1541:169 *1772:22 0.00027858 +72 *1542:19 *1772:71 6.99863e-05 +73 *1542:25 *1772:71 0.000214644 +74 *1570:15 *1772:22 6.51057e-05 +75 *1668:85 *1772:22 0 +76 *1699:48 *1772:22 3.67708e-05 +77 *1731:107 *1772:71 0.000326056 +78 *1751:120 *22737:B1 6.97834e-05 +79 *1751:120 *1772:71 0.000163707 +80 *1753:16 *22737:B1 1.91391e-05 +81 *1762:119 *1772:71 0.000347951 +*RES +1 *20016:Y *1772:22 46.725 +2 *1772:22 *1772:25 4.07513 +3 *1772:25 *1772:33 38.6101 +4 *1772:33 *1772:37 17.4247 +5 *1772:37 *22737:B1 45.8634 +6 *1772:37 *1772:71 49.7414 +7 *1772:71 *20022:B1 9.24915 +8 *1772:25 *22405:A1 15.9526 +*END + +*D_NET *1773 0.0426135 +*CONN +*I *21992:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21677:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20521:B I *D sky130_fd_sc_hd__or2_1 +*I *21845:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20022:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21467:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20017:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21992:A2 0.00075995 +2 *21677:B2 2.07989e-05 +3 *20521:B 0.000162845 +4 *21845:A2 0.000176338 +5 *20022:B2 0.000124685 +6 *21467:A2 0.000725881 +7 *20017:X 0 +8 *1773:76 0.00153998 +9 *1773:69 0.000991716 +10 *1773:67 0.00136326 +11 *1773:52 0.00314894 +12 *1773:42 0.00250278 +13 *1773:41 0.00167442 +14 *1773:28 0.0021 +15 *1773:21 0.00115731 +16 *1773:18 0.00172288 +17 *1773:4 0.00220019 +18 *20022:B2 *1777:15 5.04829e-06 +19 *20022:B2 *2697:39 9.95922e-06 +20 *20521:B *20522:A 6.08467e-05 +21 *20521:B *21673:A 4.33979e-05 +22 *20521:B *1947:115 8.62625e-06 +23 *20521:B *1947:137 6.08467e-05 +24 *20521:B *2531:38 0.000158368 +25 *21467:A2 *21467:A1 0.000207883 +26 *21467:A2 *21467:B1 0.000107968 +27 *21467:A2 *21467:B2 3.82228e-05 +28 *21467:A2 *21624:B1 2.16608e-05 +29 *21467:A2 *21695:A1 0.000324461 +30 *21467:A2 *2523:84 3.14242e-05 +31 *21467:A2 *3719:10 1.48832e-05 +32 *21677:B2 *24500:CLK 7.73159e-06 +33 *21677:B2 *2709:37 1.09551e-05 +34 *21845:A2 *21845:C1 5.04829e-06 +35 *21845:A2 *21858:B 5.04829e-06 +36 *21845:A2 *2856:45 4.27025e-05 +37 *21992:A2 *21992:B1 5.22859e-06 +38 *21992:A2 *21993:C1 0.000253916 +39 *21992:A2 *1794:96 0.000442653 +40 *21992:A2 *2833:57 9.49244e-05 +41 *21992:A2 *2979:29 9.83856e-05 +42 *21992:A2 *3134:37 1.88711e-05 +43 *21992:A2 *3134:47 0.000238368 +44 *1773:18 *20606:A 0.000154145 +45 *1773:18 *21571:B1 0.000101133 +46 *1773:18 *24498:RESET_B 2.43314e-05 +47 *1773:18 *1794:96 6.50586e-05 +48 *1773:18 *2514:45 0.000347263 +49 *1773:18 *3148:253 9.98979e-05 +50 *1773:18 *5869:386 6.08467e-05 +51 *1773:18 *5869:409 0.000261956 +52 *1773:18 *5869:418 1.41761e-05 +53 *1773:21 *21677:A1 0.000358528 +54 *1773:21 *24500:CLK 6.24738e-05 +55 *1773:21 *2713:27 6.08467e-05 +56 *1773:28 *20421:A2 9.90599e-06 +57 *1773:28 *21676:A1 0.000235794 +58 *1773:28 *21677:C1 0.000340742 +59 *1773:28 *24480:CLK 3.31745e-05 +60 *1773:28 *24500:CLK 1.50854e-05 +61 *1773:28 *2709:37 2.57847e-05 +62 *1773:28 *2710:29 0.000106947 +63 *1773:28 *4863:157 5.38081e-05 +64 *1773:41 *20421:A2 0.000441902 +65 *1773:41 *20487:B1 0.000109235 +66 *1773:41 *22047:B1 0.000516159 +67 *1773:41 *1952:12 0.000297081 +68 *1773:41 *1952:14 0.000628225 +69 *1773:41 *1952:16 6.18026e-05 +70 *1773:41 *2624:45 0.000109196 +71 *1773:41 *2680:27 3.17545e-05 +72 *1773:41 *2753:21 4.00225e-05 +73 *1773:41 *6021:14 4.32209e-05 +74 *1773:42 *20525:A1 0.000115772 +75 *1773:42 *21673:A 3.67708e-05 +76 *1773:42 *2531:38 0.000802688 +77 *1773:42 *2624:45 0.000332969 +78 *1773:52 *2709:5 8.16454e-06 +79 *1773:52 *2862:11 0.000157253 +80 *1773:52 *3058:8 0.000160678 +81 *1773:52 *4858:10 0.000636328 +82 *1773:67 *21845:C1 1.47978e-05 +83 *1773:67 *21945:A1 0.000691941 +84 *1773:67 *2605:13 0.00106407 +85 *1773:67 *2605:29 1.37669e-05 +86 *1773:67 *2856:45 0.000347849 +87 *1773:67 *2862:11 0.000394507 +88 *1773:67 *2862:17 6.22259e-05 +89 *1773:67 *2863:40 0.000371926 +90 *1773:67 *3155:116 5.1493e-06 +91 *1773:67 *3166:97 7.60356e-05 +92 *1773:69 *21845:C1 2.57847e-05 +93 *1773:69 *2856:45 8.78407e-06 +94 *1773:76 *21617:C1 0.000120237 +95 *1773:76 *21858:D 5.83325e-05 +96 *1773:76 *2697:32 0.000336478 +97 *1773:76 *3529:80 0.000119313 +98 *1773:76 *3539:70 1.5714e-05 +99 *19970:B2 *1773:18 0.000186583 +100 *20605:B *1773:18 7.09666e-06 +101 *21945:A2 *1773:67 0.000484995 +102 *21992:B2 *21992:A2 0.000107496 +103 *24628:D *1773:28 2.77113e-05 +104 *24910:A *1773:76 0.000155118 +105 *1427:99 *1773:41 0.000296289 +106 *1496:130 *21467:A2 9.64502e-05 +107 *1496:130 *1773:76 9.18679e-06 +108 *1535:54 *1773:76 6.66118e-05 +109 *1537:36 *1773:18 7.08288e-05 +110 *1542:19 *21467:A2 0.000385414 +111 *1542:25 *21467:A2 0.000178777 +112 *1542:25 *1773:76 0.000296019 +113 *1575:7 *1773:52 0.000286576 +114 *1575:13 *1773:52 0.000591499 +115 *1575:119 *1773:52 0.00201224 +116 *1597:45 *1773:18 0.000911349 +117 *1658:43 *1773:67 0.000165524 +118 *1658:53 *1773:67 3.03403e-05 +119 *1729:64 *20521:B 7.81956e-05 +120 *1729:64 *1773:28 0.000938358 +121 *1729:64 *1773:41 0.000181255 +122 *1729:64 *1773:42 0.000126249 +123 *1731:101 *1773:41 0.000668367 +124 *1751:120 *21467:A2 0.000320668 +125 *1762:41 *1773:18 0.000103503 +126 *1762:63 *1773:18 8.81102e-05 +127 *1764:65 *1773:28 0.000268708 +128 *1772:71 *21467:A2 9.65312e-05 +*RES +1 *20017:X *1773:4 9.24915 +2 *1773:4 *1773:18 47.6376 +3 *1773:18 *1773:21 11.8786 +4 *1773:21 *1773:28 30.7065 +5 *1773:28 *1773:41 36.5912 +6 *1773:41 *1773:42 15.7429 +7 *1773:42 *1773:52 48.3493 +8 *1773:52 *1773:67 48.8735 +9 *1773:67 *1773:69 1.8326 +10 *1773:69 *1773:76 21.7696 +11 *1773:76 *21467:A2 35.8242 +12 *1773:76 *20022:B2 16.1605 +13 *1773:69 *21845:A2 12.7938 +14 *1773:42 *20521:B 18.8693 +15 *1773:21 *21677:B2 10.0147 +16 *1773:4 *21992:A2 35.393 +*END + +*D_NET *1774 0.000741803 +*CONN +*I *20021:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *20018:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20021:A3 9.343e-05 +2 *20018:Y 9.343e-05 +3 *20021:A3 *1776:35 9.12416e-06 +4 *20021:A3 *1776:44 3.58525e-05 +5 *20021:A3 *2672:54 0.000247443 +6 *20021:A3 *3122:256 1.15258e-05 +7 *20021:A1 *20021:A3 3.5534e-06 +8 *20021:A2 *20021:A3 0.000247443 +*RES +1 *20018:Y *20021:A3 31.4416 +*END + +*D_NET *1775 0.00832811 +*CONN +*I *22412:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20021:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *20019:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22412:A1 0.000191364 +2 *20021:B1 0.000391212 +3 *20019:Y 5.01024e-05 +4 *1775:12 0.00168571 +5 *1775:5 0.00153596 +6 *20021:B1 *20018:A 3.41459e-05 +7 *20021:B1 *22487:B 0.000306974 +8 *20021:B1 *2672:54 4.94699e-05 +9 *22412:A1 *22412:A2 2.54453e-05 +10 *22412:A1 *22413:B2 0 +11 *22412:A1 *22413:C1 0.000119304 +12 *1775:5 *22413:C1 5.04829e-06 +13 *1775:12 *1776:61 0.000134634 +14 *1775:12 *2784:42 1.91391e-05 +15 *1775:12 *3106:100 0.000659017 +16 *1775:12 *3388:13 0.00105882 +17 *1775:12 *3533:79 0.000381175 +18 *1775:12 *5270:10 0.0001389 +19 *20021:A2 *20021:B1 8.19046e-05 +20 *22413:B1 *1775:5 2.16608e-05 +21 *1454:59 *1775:12 0.000172903 +22 *1455:105 *20021:B1 0.000298304 +23 *1546:29 *1775:12 7.00663e-05 +24 *1744:179 *1775:12 0.000896859 +*RES +1 *20019:Y *1775:5 10.5271 +2 *1775:5 *1775:12 46.2695 +3 *1775:12 *20021:B1 24.4795 +4 *1775:5 *22412:A1 14.4817 +*END + +*D_NET *1776 0.025526 +*CONN +*I *21944:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21536:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *20529:B I *D sky130_fd_sc_hd__or2_1 +*I *21777:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20021:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *21695:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20020:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21944:B2 0.000230075 +2 *21536:A2 0 +3 *20529:B 1.27603e-05 +4 *21777:B2 0 +5 *20021:B2 0 +6 *21695:B2 0.000558131 +7 *20020:X 0.000886337 +8 *1776:79 0.000918921 +9 *1776:61 0.00133067 +10 *1776:60 0.00172895 +11 *1776:44 0.0014457 +12 *1776:35 0.000392324 +13 *1776:26 0.00115243 +14 *1776:15 0.00152715 +15 *20529:B *1988:7 6.36477e-05 +16 *21695:B2 *21707:B1 0.000104572 +17 *21695:B2 *22780:B1 0.000340742 +18 *21695:B2 *22780:B2 0.000110297 +19 *21695:B2 *22788:A1 0.000203595 +20 *21695:B2 *2543:88 1.58551e-05 +21 *21695:B2 *2733:21 0.00010146 +22 *21695:B2 *2750:56 0.000917757 +23 *21944:B2 *21536:A1 4.66492e-05 +24 *21944:B2 *21944:B1 4.84711e-05 +25 *21944:B2 *22053:A1 0.000238033 +26 *21944:B2 *2956:62 4.54682e-05 +27 *21944:B2 *3067:26 0.000531645 +28 *1776:15 *22478:B1 0.000240226 +29 *1776:15 *22479:B1 0.000107496 +30 *1776:15 *22479:C1 0.000265901 +31 *1776:15 *2724:13 2.25583e-07 +32 *1776:15 *3066:26 9.13925e-05 +33 *1776:15 *3131:45 1.02986e-05 +34 *1776:15 *3131:66 4.4479e-05 +35 *1776:15 *5593:46 0.000154459 +36 *1776:26 *22794:A1 0.000289173 +37 *1776:26 *1777:8 0 +38 *1776:26 *1798:92 4.91555e-05 +39 *1776:26 *2750:28 0.000205101 +40 *1776:26 *3146:67 0 +41 *1776:26 *3148:289 3.07176e-05 +42 *1776:26 *3155:107 0.000184859 +43 *1776:26 *3452:21 5.1493e-06 +44 *1776:26 *3509:136 3.31745e-05 +45 *1776:26 *3531:84 0.00025175 +46 *1776:26 *5592:33 0.000113107 +47 *1776:26 *5592:40 9.21233e-05 +48 *1776:35 *3122:256 0 +49 *1776:35 *5592:40 5.36085e-05 +50 *1776:44 *22771:A2 1.02986e-05 +51 *1776:44 *3452:21 1.64303e-05 +52 *1776:44 *5592:40 0.000117341 +53 *1776:60 *21808:A 7.77309e-06 +54 *1776:60 *21825:B1 0.000175352 +55 *1776:60 *22459:A1 4.67712e-05 +56 *1776:60 *22771:A2 0.000655996 +57 *1776:60 *2767:27 7.77744e-05 +58 *1776:60 *2810:60 7.94598e-05 +59 *1776:60 *2840:24 9.58043e-06 +60 *1776:60 *2844:74 0.000550295 +61 *1776:60 *2852:49 3.76845e-05 +62 *1776:60 *2856:9 0.000826624 +63 *1776:60 *3410:13 7.21753e-05 +64 *1776:60 *3452:6 9.84864e-06 +65 *1776:61 *2614:35 0.000310727 +66 *1776:61 *3388:13 5.24641e-05 +67 *1776:61 *3533:79 7.00554e-05 +68 *1776:79 *21536:A1 6.08467e-05 +69 *1776:79 *2614:35 2.7652e-05 +70 *1776:79 *2837:49 0.000156065 +71 *1776:79 *2889:22 6.84784e-06 +72 *1776:79 *3155:127 0.000319813 +73 *1776:79 *3543:188 0.000258866 +74 *19796:A *1776:79 0.000164046 +75 *19824:A *1776:79 5.58918e-06 +76 *19872:A *1776:44 2.57465e-06 +77 *20021:A1 *1776:15 0.000185783 +78 *20021:A1 *1776:35 2.05342e-06 +79 *20021:A3 *1776:35 9.12416e-06 +80 *20021:A3 *1776:44 3.58525e-05 +81 *1427:111 *1776:15 0.00011606 +82 *1485:185 *20529:B 2.07365e-05 +83 *1485:185 *1776:79 1.41976e-05 +84 *1485:187 *20529:B 1.41976e-05 +85 *1485:187 *1776:79 0.000213785 +86 *1537:117 *1776:26 0.000591873 +87 *1537:150 *1776:44 0.000139783 +88 *1537:150 *1776:60 4.62301e-05 +89 *1537:171 *1776:60 5.35941e-05 +90 *1546:29 *1776:61 7.36639e-05 +91 *1554:17 *1776:79 1.74855e-05 +92 *1554:36 *1776:79 3.14681e-05 +93 *1566:55 *1776:79 0.000100523 +94 *1566:67 *1776:79 4.84017e-05 +95 *1598:110 *21944:B2 4.79321e-06 +96 *1604:100 *1776:15 4.24463e-05 +97 *1624:62 *1776:61 9.9329e-05 +98 *1624:62 *1776:79 6.51527e-05 +99 *1625:14 *1776:44 3.29488e-05 +100 *1631:34 *1776:44 6.39153e-06 +101 *1689:168 *1776:60 0.000236516 +102 *1723:100 *21695:B2 0.00219664 +103 *1723:114 *1776:15 0.000185987 +104 *1732:35 *1776:79 0.00150337 +105 *1775:12 *1776:61 0.000134634 +*RES +1 *20020:X *1776:15 33.9176 +2 *1776:15 *1776:26 32.2692 +3 *1776:26 *21695:B2 35.5084 +4 *1776:15 *1776:35 1.20912 +5 *1776:35 *20021:B2 13.7491 +6 *1776:35 *1776:44 7.54782 +7 *1776:44 *21777:B2 13.7491 +8 *1776:44 *1776:60 42.9259 +9 *1776:60 *1776:61 16.3658 +10 *1776:61 *20529:B 14.4725 +11 *1776:61 *1776:79 39.8167 +12 *1776:79 *21536:A2 9.24915 +13 *1776:79 *21944:B2 17.6164 +*END + +*D_NET *1777 0.00793713 +*CONN +*I *20022:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *20021:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *20022:C1 0 +2 *20021:X 5.66126e-05 +3 *1777:15 0.00250795 +4 *1777:8 0.00256456 +5 *1777:8 *3122:256 9.12416e-06 +6 *1777:8 *3146:67 6.85778e-05 +7 *1777:15 *22488:A1 1.5962e-05 +8 *1777:15 *22488:A2 0.000250244 +9 *1777:15 *22488:B2 6.00782e-06 +10 *1777:15 *22780:B1 1.83795e-07 +11 *1777:15 *22784:B1 6.08467e-05 +12 *1777:15 *22784:B2 2.57847e-05 +13 *1777:15 *1798:92 0.00193459 +14 *1777:15 *2685:47 5.34499e-05 +15 *1777:15 *2697:39 0.000127632 +16 *1777:15 *3474:111 0.000200794 +17 *20022:B2 *1777:15 5.04829e-06 +18 *1537:117 *1777:15 4.97617e-05 +19 *1776:26 *1777:8 0 +*RES +1 *20021:X *1777:8 19.6659 +2 *1777:8 *1777:15 40.8719 +3 *1777:15 *20022:C1 9.24915 +*END + +*D_NET *1778 0.00442323 +*CONN +*I *20051:A I *D sky130_fd_sc_hd__and4_1 +*I *20022:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *20051:A 0 +2 *20022:X 0.00116367 +3 *1778:10 0.00116367 +4 *1778:10 *1796:12 1.09896e-05 +5 *1778:10 *1798:62 8.08437e-05 +6 *1778:10 *1798:84 0.000316448 +7 *1778:10 *3209:6 0.000220782 +8 *1778:10 *3406:14 0.00019928 +9 *19852:A *1778:10 2.31718e-05 +10 *19877:B *1778:10 1.39895e-05 +11 *19885:B2 *1778:10 0.000156946 +12 *22246:B1 *1778:10 6.75916e-05 +13 *22539:B1 *1778:10 6.1096e-05 +14 *1459:129 *1778:10 1.50542e-05 +15 *1537:101 *1778:10 0.000221602 +16 *1542:127 *1778:10 0.000469451 +17 *1544:133 *1778:10 0.000121022 +18 *1642:46 *1778:10 7.91708e-05 +19 *1658:27 *1778:10 3.84398e-05 +*RES +1 *20022:X *1778:10 47.3863 +2 *1778:10 *20051:A 9.24915 +*END + +*D_NET *1779 0.0228227 +*CONN +*I *20030:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *20023:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20030:A1 0.000992477 +2 *20023:Y 0 +3 *1779:16 0.00286945 +4 *1779:10 0.00286587 +5 *1779:7 0.00335697 +6 *1779:4 0.00236808 +7 *20030:A1 *21588:A1 0.000979384 +8 *20030:A1 *1781:13 0 +9 *20030:A1 *4844:403 8.88984e-06 +10 *1779:7 *4843:555 0.00128214 +11 *1779:7 *4843:665 0.00224491 +12 *1779:10 *21374:A 0 +13 *1779:10 *2420:29 0 +14 *1779:10 *2420:32 0.00062513 +15 *1779:10 *4915:17 0.000564825 +16 *1779:10 *4915:45 0.000350675 +17 *1779:10 *4915:57 5.41227e-05 +18 *1779:10 *4944:8 0 +19 *1779:10 *4955:6 2.33193e-05 +20 *1779:10 *4955:23 0.000230912 +21 *1779:16 *21232:A 3.00073e-05 +22 *1779:16 *21506:B1 0.00223684 +23 *1779:16 *2645:12 7.14746e-05 +24 *1779:16 *4955:27 7.02281e-05 +25 *19685:B2 *20030:A1 4.86112e-05 +26 *21588:A2 *20030:A1 0.000822962 +27 *23983:A0 *1779:16 6.12686e-06 +28 *24871:D *20030:A1 0.000457669 +29 *516:29 *1779:16 8.2383e-06 +30 *517:15 *1779:16 0.000113968 +31 *1439:203 *1779:10 0.000139435 +*RES +1 *20023:Y *1779:4 9.24915 +2 *1779:4 *1779:7 47.3733 +3 *1779:7 *1779:10 37.8912 +4 *1779:10 *1779:16 41.6433 +5 *1779:16 *20030:A1 33.8928 +*END + +*D_NET *1780 0.0579618 +*CONN +*I *21689:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21915:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21384:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21888:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21506:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *20030:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *21326:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21211:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *20024:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21689:A2 0.000297534 +2 *21915:B2 0.00163213 +3 *21384:A2 0 +4 *21888:A2 8.11704e-05 +5 *21506:B2 1.81917e-05 +6 *20030:A2 0.000483406 +7 *21326:B2 0.000389956 +8 *21211:B2 0 +9 *20024:X 0.00134419 +10 *1780:146 0.00197696 +11 *1780:145 0.000958776 +12 *1780:134 0.00276396 +13 *1780:105 0.000152192 +14 *1780:104 0.000152967 +15 *1780:80 0.00103109 +16 *1780:61 0.00107963 +17 *1780:55 0.00183705 +18 *1780:44 0.00309778 +19 *1780:36 0.00176488 +20 *1780:26 0.00148977 +21 *1780:15 0.00403779 +22 *1780:11 0.00246566 +23 *20030:A2 *21506:B1 2.87259e-05 +24 *20030:A2 *1786:11 8.65358e-05 +25 *20030:A2 *2466:16 3.31784e-05 +26 *20030:A2 *2563:5 0.000781406 +27 *20030:A2 *5772:7 0.000219655 +28 *21326:B2 *21211:B1 0.000835865 +29 *21326:B2 *21326:B1 6.50586e-05 +30 *21326:B2 *2276:13 7.24449e-05 +31 *21326:B2 *2278:8 8.14875e-05 +32 *21326:B2 *2560:16 4.06491e-05 +33 *21326:B2 *2560:20 2.61857e-05 +34 *21326:B2 *4843:545 0.000160384 +35 *21506:B2 *21506:B1 1.09551e-05 +36 *21689:A2 *2420:42 0.000392012 +37 *21689:A2 *3496:13 9.5562e-05 +38 *21888:A2 *1802:206 0.000201246 +39 *21888:A2 *2448:178 0.000247896 +40 *21888:A2 *2899:43 1.64789e-05 +41 *21888:A2 *2900:37 7.92757e-06 +42 *21915:B2 *21864:C1 1.24546e-05 +43 *21915:B2 *2273:14 0.000107496 +44 *21915:B2 *2609:24 4.04556e-05 +45 *21915:B2 *2650:22 9.53868e-05 +46 *21915:B2 *2667:72 3.84994e-06 +47 *21915:B2 *2880:20 0.000262244 +48 *21915:B2 *5232:5 1.31897e-05 +49 *21915:B2 *5870:516 4.12977e-05 +50 *1780:11 *24939:A 0.000288128 +51 *1780:15 *2145:25 2.78708e-05 +52 *1780:15 *2146:19 4.51619e-05 +53 *1780:15 *2441:72 0.00013238 +54 *1780:15 *2547:10 0.000557353 +55 *1780:15 *2581:18 6.19e-05 +56 *1780:15 *2973:23 1.75615e-05 +57 *1780:15 *5854:472 0.000643347 +58 *1780:15 *5870:436 0.000152358 +59 *1780:26 *20909:B1 1.04511e-05 +60 *1780:26 *20912:B1 0.000284042 +61 *1780:26 *21038:B1 5.95477e-05 +62 *1780:26 *2815:8 0.000277462 +63 *1780:26 *2815:12 2.04589e-05 +64 *1780:26 *5851:400 0.000571189 +65 *1780:26 *5859:354 0.000143831 +66 *1780:36 *21036:B2 0.000239402 +67 *1780:36 *21040:A1 7.09666e-06 +68 *1780:36 *21040:A2 0.000107496 +69 *1780:36 *24239:CLK 0.000306154 +70 *1780:36 *2815:8 5.22884e-05 +71 *1780:36 *2900:13 0.000111722 +72 *1780:36 *5851:400 1.16596e-05 +73 *1780:44 *24239:CLK 5.90082e-05 +74 *1780:44 *2641:13 0.000978581 +75 *1780:44 *2815:8 0.000739277 +76 *1780:44 *5856:436 0.000336155 +77 *1780:44 *5871:97 1.64699e-05 +78 *1780:44 *5871:119 0.000751663 +79 *1780:44 *5871:131 0.000174175 +80 *1780:55 *21588:A1 7.34948e-06 +81 *1780:55 *21588:B1 3.30681e-05 +82 *1780:55 *2831:34 0.0014409 +83 *1780:55 *5856:436 4.55001e-05 +84 *1780:61 *21211:A1 3.01683e-06 +85 *1780:61 *21211:B1 3.51034e-05 +86 *1780:61 *2276:13 0.000504318 +87 *1780:61 *2278:8 1.80257e-05 +88 *1780:61 *2420:32 0.00112119 +89 *1780:61 *5871:237 6.82129e-05 +90 *1780:61 *5871:530 0.000135492 +91 *1780:80 *21232:A 0.000515076 +92 *1780:80 *21506:A1 6.50727e-05 +93 *1780:80 *21506:B1 0.000182171 +94 *1780:80 *2563:5 0.000224381 +95 *1780:104 *21040:A2 0.000107496 +96 *1780:104 *21888:C1 6.13004e-05 +97 *1780:104 *1802:206 0.000148901 +98 *1780:104 *2900:13 0.000503868 +99 *1780:134 *21384:A1 0 +100 *1780:134 *21384:B1 2.77625e-06 +101 *1780:134 *2441:72 0.000163968 +102 *1780:134 *2443:17 0.000148407 +103 *1780:134 *2577:28 0.000276422 +104 *1780:134 *2675:46 0.00027281 +105 *1780:134 *5854:466 0.00036013 +106 *1780:134 *5860:530 0.000107496 +107 *1780:134 *5860:544 0.000738529 +108 *1780:145 *21384:B1 2.16355e-05 +109 *1780:145 *2410:8 0.00206221 +110 *1780:146 *2273:14 9.32983e-05 +111 *19968:A *1780:11 6.99503e-05 +112 *20010:B *1780:11 0.000270367 +113 *21384:B2 *1780:134 0.000788135 +114 *21588:A2 *20030:A2 8.28678e-05 +115 *21736:A2 *1780:55 0.000147308 +116 *21915:A2 *21915:B2 5.63648e-05 +117 *24010:S *1780:61 3.31733e-05 +118 *24241:D *1780:44 8.94215e-05 +119 *24871:D *20030:A2 4.35419e-05 +120 *24900:D *21915:B2 0.000107496 +121 *522:24 *1780:134 3.29488e-05 +122 *523:10 *1780:61 0.000193751 +123 *533:8 *21689:A2 0.000392012 +124 *533:8 *1780:145 0.00205711 +125 *549:24 *1780:134 3.63738e-05 +126 *1424:140 *1780:11 0.000260374 +127 *1424:150 *1780:11 0.000584766 +128 *1439:203 *1780:55 0.000165393 +129 *1439:205 *1780:55 0.000108342 +130 *1450:48 *1780:15 0 +131 *1459:150 *1780:11 0.000213524 +132 *1497:93 *20030:A2 2.6983e-05 +133 *1497:172 *21689:A2 3.82228e-05 +134 *1502:90 *1780:61 3.47066e-05 +135 *1551:17 *21915:B2 3.8616e-05 +136 *1650:8 *1780:15 2.42716e-05 +137 *1671:30 *1780:26 0.00103944 +138 *1671:42 *1780:44 0.000102057 +139 *1671:70 *1780:55 0.000845198 +140 *1680:34 *21915:B2 3.62963e-05 +141 *1680:52 *21915:B2 0.0010633 +142 *1715:127 *21915:B2 0.000361311 +143 *1746:8 *1780:15 3.80356e-05 +144 *1749:80 *21915:B2 0.000827678 +145 *1758:11 *1780:11 6.50586e-05 +*RES +1 *20024:X *1780:11 38.224 +2 *1780:11 *1780:15 20.0139 +3 *1780:15 *1780:26 36.2295 +4 *1780:26 *1780:36 15.2067 +5 *1780:36 *1780:44 49.9768 +6 *1780:44 *1780:55 41.2788 +7 *1780:55 *1780:61 31.3119 +8 *1780:61 *21211:B2 9.24915 +9 *1780:61 *21326:B2 33.3112 +10 *1780:44 *1780:80 19.6431 +11 *1780:80 *20030:A2 35.9636 +12 *1780:80 *21506:B2 9.82786 +13 *1780:26 *1780:104 14.964 +14 *1780:104 *1780:105 81.1229 +15 *1780:105 *21888:A2 22.0188 +16 *1780:15 *1780:134 44.6198 +17 *1780:134 *21384:A2 9.24915 +18 *1780:134 *1780:145 48.9281 +19 *1780:145 *1780:146 1.278 +20 *1780:146 *21915:B2 46.9525 +21 *1780:146 *21689:A2 28.8365 +*END + +*D_NET *1781 0.00446213 +*CONN +*I *20030:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *20025:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20030:B1 0 +2 *20025:Y 0.00119568 +3 *1781:13 0.00119568 +4 *1781:13 *20030:C1 0.000220183 +5 *1781:13 *21331:A 0.000326398 +6 *1781:13 *21816:A 0.000204468 +7 *1781:13 *24859:CLK 0.0003046 +8 *1781:13 *4844:403 7.00169e-05 +9 *1781:13 *4924:5 0.000166425 +10 *1781:13 *4924:7 0.000291278 +11 *1781:13 *5758:8 0.000241371 +12 *19681:B *1781:13 3.6455e-05 +13 *19682:A *1781:13 7.22498e-05 +14 *20030:A1 *1781:13 0 +15 *24871:D *1781:13 1.3822e-06 +16 *1492:66 *1781:13 1.777e-05 +17 *1494:11 *1781:13 0.00011818 +*RES +1 *20025:Y *1781:13 49.6207 +2 *1781:13 *20030:B1 9.24915 +*END + +*D_NET *1782 0.00135865 +*CONN +*I *20029:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *20026:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20029:A3 0.000276901 +2 *20026:Y 0.000276901 +3 *20029:A3 *20026:A 2.16355e-05 +4 *20029:A3 *20029:B1 2.65831e-05 +5 *20029:A3 *5481:31 0.000746282 +6 *1485:21 *20029:A3 1.03434e-05 +*RES +1 *20026:Y *20029:A3 27.5649 +*END + +*D_NET *1783 0.123586 +*CONN +*I *22217:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22586:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20029:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *6164:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *20027:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *22217:B1 5.09117e-05 +2 *22586:B1 0.000394026 +3 *20029:B1 0.000306041 +4 *6164:DIODE 0 +5 *20027:Y 0.000150014 +6 *1783:128 0.00111783 +7 *1783:101 0.000845081 +8 *1783:100 0.00230776 +9 *1783:89 0.00354399 +10 *1783:82 0.00262496 +11 *1783:71 0.0020901 +12 *1783:67 0.00244997 +13 *1783:54 0.00263099 +14 *1783:53 0.00156253 +15 *1783:48 0.00494696 +16 *1783:38 0.0143126 +17 *1783:28 0.0113773 +18 *1783:27 0.00180496 +19 *1783:14 0.0016131 +20 *1783:8 0.00263944 +21 *1783:7 0.00178355 +22 *20029:B1 *2259:15 0.00019207 +23 *20029:B1 *2448:184 4.23296e-05 +24 *20029:B1 *2587:16 1.66771e-05 +25 *20029:B1 *2936:16 3.55859e-05 +26 *20029:B1 *5481:23 5.31074e-05 +27 *22217:B1 *22218:C1 6.08467e-05 +28 *22217:B1 *1788:139 2.82168e-05 +29 *22217:B1 *2843:20 3.38973e-05 +30 *22586:B1 *1788:139 1.69508e-05 +31 *22586:B1 *2764:71 4.31539e-05 +32 *22586:B1 *2843:20 0.000484551 +33 *22586:B1 *3529:159 9.43616e-05 +34 *22586:B1 *3529:161 9.95922e-06 +35 *1783:7 *2287:9 0.00036013 +36 *1783:8 *20396:A 0.000235008 +37 *1783:8 *24639:CLK 0.000120548 +38 *1783:8 *24643:CLK 7.0954e-05 +39 *1783:8 *1784:136 2.69064e-05 +40 *1783:8 *1884:150 0.00026285 +41 *1783:8 *2963:8 0.000765772 +42 *1783:8 *2963:17 0.000186242 +43 *1783:8 *5866:279 0 +44 *1783:8 *5866:557 0 +45 *1783:8 *5866:563 0 +46 *1783:14 *20547:B2 0.000379538 +47 *1783:14 *1788:164 3.20274e-05 +48 *1783:14 *1884:150 0 +49 *1783:14 *4869:101 0.0020489 +50 *1783:14 *4869:113 0.000508284 +51 *1783:14 *4881:177 0.000503351 +52 *1783:14 *5861:43 0.000509251 +53 *1783:27 *24950:A 3.5641e-05 +54 *1783:27 *2963:33 0.000256007 +55 *1783:27 *4840:173 1.65885e-05 +56 *1783:27 *4869:6 0.000188757 +57 *1783:27 *4869:8 0.000189358 +58 *1783:27 *4869:101 0.000173521 +59 *1783:27 *4888:142 0.000186939 +60 *1783:27 *4942:16 0.00053117 +61 *1783:27 *5861:66 3.02534e-05 +62 *1783:28 *20387:B2 0.00019451 +63 *1783:28 *21392:A 0.000129398 +64 *1783:28 *1942:11 0.000177787 +65 *1783:28 *1942:21 0.000208197 +66 *1783:28 *1942:34 0.000130653 +67 *1783:28 *1942:47 0.000337738 +68 *1783:28 *1942:56 0.000341862 +69 *1783:28 *1942:67 0.000221261 +70 *1783:28 *2298:16 1.0823e-05 +71 *1783:28 *2551:8 0.000894028 +72 *1783:28 *2551:18 0.00124839 +73 *1783:28 *3106:206 0.000634821 +74 *1783:28 *5159:8 0.00015917 +75 *1783:38 *21753:C1 3.50378e-05 +76 *1783:38 *21777:A1 0 +77 *1783:38 *22428:B1 0.000924904 +78 *1783:38 *1784:47 0 +79 *1783:38 *1801:70 0.00214934 +80 *1783:38 *1809:89 4.7419e-05 +81 *1783:38 *2468:34 9.02046e-05 +82 *1783:38 *2523:38 0.000307144 +83 *1783:38 *2551:18 3.91685e-05 +84 *1783:38 *2553:24 6.44644e-05 +85 *1783:38 *2554:35 0.00409086 +86 *1783:38 *2660:18 0.000115227 +87 *1783:38 *2954:28 2.59307e-05 +88 *1783:38 *2963:64 0.000101147 +89 *1783:38 *3035:23 0.00182534 +90 *1783:38 *3106:206 0.000423134 +91 *1783:38 *4873:17 0.00143401 +92 *1783:38 *5855:59 0.000110846 +93 *1783:38 *5921:45 0.000110494 +94 *1783:38 *6021:14 8.83714e-06 +95 *1783:48 *23843:C1 0.000158815 +96 *1783:48 *2502:36 0.000126857 +97 *1783:48 *2502:42 0.000861574 +98 *1783:48 *2563:26 2.78945e-05 +99 *1783:48 *2620:76 0.00145127 +100 *1783:48 *2963:96 0.000815921 +101 *1783:48 *3883:18 2.14262e-05 +102 *1783:48 *4618:20 0.000908256 +103 *1783:48 *4741:18 0.000919575 +104 *1783:48 *4744:20 0 +105 *1783:48 *5455:12 0.00107534 +106 *1783:48 *5563:39 0.00160143 +107 *1783:54 *19757:A1 1.55462e-05 +108 *1783:54 *23037:A1 2.37478e-05 +109 *1783:54 *2502:23 0.000309325 +110 *1783:54 *2502:26 0.000643934 +111 *1783:54 *2911:10 9.75356e-05 +112 *1783:54 *2922:54 0.000266797 +113 *1783:54 *3891:42 0.000390321 +114 *1783:54 *3939:14 0.000485672 +115 *1783:67 *20129:B2 0.000475944 +116 *1783:67 *1786:33 0.000171273 +117 *1783:67 *2922:54 0.000123509 +118 *1783:67 *4867:28 0.000548057 +119 *1783:67 *4867:38 6.98337e-06 +120 *1783:67 *5482:7 4.4379e-05 +121 *1783:71 *4864:30 0 +122 *1783:71 *4864:32 0 +123 *1783:71 *4864:34 0 +124 *1783:71 *4937:7 0.000697387 +125 *1783:82 *21738:A 0.000169078 +126 *1783:82 *1845:113 0 +127 *1783:82 *2561:26 0.000304998 +128 *1783:82 *5814:38 0.000143047 +129 *1783:82 *5898:63 8.62625e-06 +130 *1783:89 *2836:8 0.000242755 +131 *1783:89 *5481:16 0.000168894 +132 *1783:89 *5481:19 0.000141339 +133 *1783:100 *24505:RESET_B 0.000137753 +134 *1783:100 *2259:15 0.00169381 +135 *1783:100 *2963:102 0 +136 *1783:100 *2992:12 6.14051e-05 +137 *1783:100 *5075:8 8.62625e-06 +138 *1783:100 *5856:288 9.23944e-05 +139 *1783:100 *5856:396 6.24024e-05 +140 *1783:101 *20578:A1 4.0752e-05 +141 *1783:101 *20578:B2 1.92172e-05 +142 *1783:101 *20580:A1 1.92336e-05 +143 *1783:101 *20580:A2 0.000158357 +144 *1783:101 *20580:B2 0.000108054 +145 *1783:101 *2003:20 2.97556e-05 +146 *1783:101 *2003:24 0.000589877 +147 *1783:101 *4843:641 3.79388e-05 +148 *1783:101 *5481:23 0.00314482 +149 *1783:128 *1799:31 0.000210651 +150 *1783:128 *2697:19 5.93953e-05 +151 *1783:128 *2954:27 3.30319e-05 +152 *1783:128 *2963:57 0 +153 *1783:128 *2963:127 0 +154 *19593:C *22217:B1 5.04829e-06 +155 *19621:A *1783:89 0.000406808 +156 *19621:B *1783:100 3.60933e-06 +157 *19625:A2 *1783:89 6.08467e-05 +158 *19627:B2 *1783:89 6.08467e-05 +159 *19895:B1 *22586:B1 4.2372e-05 +160 *19907:A *22586:B1 5.52006e-05 +161 *19907:B *22586:B1 7.77309e-06 +162 *19907:D *22586:B1 0.000105837 +163 *20029:A3 *20029:B1 2.65831e-05 +164 *20777:B *1783:38 8.28859e-06 +165 *24646:D *1783:27 2.16355e-05 +166 *24686:D *1783:71 0.000124145 +167 *74:32 *1783:71 0.000151856 +168 *459:31 *1783:54 0.000156055 +169 *459:31 *1783:67 0.00029442 +170 *459:42 *1783:54 0.00043722 +171 *459:51 *1783:54 0.000170592 +172 *459:72 *1783:54 0.000332558 +173 *514:44 *1783:54 0 +174 *514:58 *1783:67 0.0012257 +175 *657:88 *1783:54 0.00105048 +176 *657:111 *1783:54 0.000309578 +177 *1428:24 *20029:B1 8.24277e-06 +178 *1439:438 *1783:27 2.6854e-05 +179 *1457:5 *1783:89 0.000798123 +180 *1457:7 *1783:89 4.66492e-05 +181 *1457:31 *1783:89 2.51444e-05 +182 *1458:7 *1783:89 0.000111708 +183 *1467:127 *1783:53 0.000209407 +184 *1485:21 *20029:B1 6.50586e-05 +185 *1485:21 *1783:101 0.00157216 +186 *1497:36 *1783:100 8.68143e-06 +187 *1502:42 *1783:100 5.4678e-05 +188 *1522:32 *1783:71 0.000987803 +189 *1524:10 *1783:67 0 +190 *1586:117 *1783:28 0.000274696 +191 *1586:117 *1783:38 5.54595e-05 +192 *1602:104 *22586:B1 0.000141509 +193 *1626:8 *1783:14 0.000189445 +194 *1626:8 *1783:27 0.000252256 +195 *1646:15 *22586:B1 5.51483e-06 +196 *1658:73 *1783:128 0.000361698 +197 *1668:50 *1783:89 0.000458381 +198 *1690:56 *1783:82 3.30814e-05 +199 *1697:22 *1783:89 4.22803e-05 +200 *1697:28 *1783:82 0.000100503 +201 *1697:45 *1783:54 1.28326e-05 +202 *1697:48 *1783:48 0.000100816 +203 *1697:48 *1783:54 0.000305591 +204 *1707:8 *1783:8 0.000570215 +205 *1707:14 *1783:8 0 +206 *1707:14 *1783:14 2.18249e-06 +207 *1707:16 *1783:14 0 +208 *1710:8 *20029:B1 1.66771e-05 +209 *1725:181 *1783:38 8.20799e-06 +210 *1726:6 *1783:82 0.000160384 +211 *1730:113 *1783:38 0.00417298 +212 *1753:35 *1783:89 0.000746296 +213 *1756:24 *1783:82 0.000693161 +214 *1756:25 *1783:71 0.00208121 +*RES +1 *20027:Y *1783:7 17.8002 +2 *1783:7 *1783:8 52.6515 +3 *1783:8 *1783:14 48.1937 +4 *1783:14 *1783:27 32.769 +5 *1783:27 *1783:28 50.8318 +6 *1783:28 *1783:38 45.1604 +7 *1783:38 *1783:48 45.6773 +8 *1783:48 *1783:53 11.3872 +9 *1783:53 *1783:54 59.3444 +10 *1783:54 *1783:67 46.4859 +11 *1783:67 *1783:71 45.989 +12 *1783:71 *1783:82 41.7901 +13 *1783:82 *1783:89 47.9072 +14 *1783:89 *1783:100 22.4891 +15 *1783:100 *1783:101 35.1088 +16 *1783:101 *6164:DIODE 9.24915 +17 *1783:101 *20029:B1 28.3443 +18 *1783:28 *1783:128 8.39375 +19 *1783:128 *22586:B1 26.7838 +20 *1783:128 *22217:B1 15.2664 +*END + +*D_NET *1784 0.143295 +*CONN +*I *21743:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20029:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *21389:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21953:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *6134:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21467:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21857:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *6090:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *20395:B I *D sky130_fd_sc_hd__or2_1 +*I *21199:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *21290:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20028:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21743:B2 0.000313086 +2 *20029:B2 0 +3 *21389:B2 0.000405493 +4 *21953:B2 0.00135426 +5 *6134:DIODE 0 +6 *21467:B2 0.000157315 +7 *21857:A2 0.000100411 +8 *6090:DIODE 0 +9 *20395:B 6.44064e-05 +10 *21199:B2 0.000200182 +11 *21290:A2 0.000294301 +12 *20028:X 0 +13 *1784:202 0.00183591 +14 *1784:200 0.000508008 +15 *1784:194 0.00109636 +16 *1784:169 0.000291028 +17 *1784:166 0.00555297 +18 *1784:165 0.0055423 +19 *1784:136 0.000113631 +20 *1784:131 0.00235618 +21 *1784:130 0.00330987 +22 *1784:124 0.00244399 +23 *1784:111 0.00184832 +24 *1784:96 0.00154489 +25 *1784:91 0.00244693 +26 *1784:74 0.00168165 +27 *1784:71 0.00722628 +28 *1784:58 0.00818607 +29 *1784:55 0.00209408 +30 *1784:47 0.00309587 +31 *1784:32 0.00330764 +32 *1784:18 0.00289314 +33 *1784:16 0.00267557 +34 *1784:13 0.00586993 +35 *1784:4 0.00514767 +36 *20395:B *2369:17 7.40769e-05 +37 *21199:B2 *2358:16 5.35941e-05 +38 *21199:B2 *2950:76 0.000574864 +39 *21199:B2 *5861:298 0.000583588 +40 *21290:A2 *21290:B2 7.90842e-05 +41 *21290:A2 *21290:C1 0.000239246 +42 *21290:A2 *2350:30 1.21461e-06 +43 *21389:B2 *21389:A1 2.61804e-05 +44 *21389:B2 *21389:B1 5.65478e-05 +45 *21389:B2 *21390:A1 3.99086e-06 +46 *21389:B2 *21390:B1 8.9471e-06 +47 *21389:B2 *21390:B2 0.00020357 +48 *21389:B2 *2450:14 9.80912e-05 +49 *21389:B2 *2858:20 0.000154145 +50 *21389:B2 *4876:56 0.000347214 +51 *21467:B2 *21467:A1 1.42494e-05 +52 *21467:B2 *21624:B1 0.000200794 +53 *21467:B2 *1798:62 3.25695e-05 +54 *21467:B2 *4808:90 8.86481e-05 +55 *21743:B2 *21743:A1 7.75756e-05 +56 *21743:B2 *21743:B1 6.08467e-05 +57 *21743:B2 *21743:C1 6.08467e-05 +58 *21743:B2 *2740:18 0.000348568 +59 *21857:A2 *21857:B1 1.77843e-05 +60 *21857:A2 *22616:C 2.06529e-05 +61 *21857:A2 *3192:135 0 +62 *21857:A2 *3523:172 5.13635e-05 +63 *21953:B2 *21953:B1 2.68102e-05 +64 *21953:B2 *21953:C1 0.00118441 +65 *21953:B2 *2466:16 0.00015008 +66 *21953:B2 *2587:16 7.77309e-06 +67 *21953:B2 *2858:20 0.00012572 +68 *21953:B2 *2900:10 7.19789e-06 +69 *1784:13 *20347:A1 0.000125179 +70 *1784:13 *2274:22 0.000173242 +71 *1784:13 *2502:11 0.000362903 +72 *1784:13 *2514:13 5.97688e-05 +73 *1784:13 *5851:502 9.86799e-05 +74 *1784:13 *5858:213 4.39915e-05 +75 *1784:13 *5917:438 0.000177536 +76 *1784:16 *20380:A2 6.62712e-05 +77 *1784:16 *21962:A 0.000175775 +78 *1784:16 *24659:RESET_B 0.000137921 +79 *1784:16 *2510:28 2.01595e-05 +80 *1784:16 *2516:16 1.16164e-05 +81 *1784:16 *2516:31 0.00118684 +82 *1784:16 *2976:8 2.99929e-05 +83 *1784:16 *5858:190 0 +84 *1784:16 *5869:6 1.9101e-05 +85 *1784:16 *5869:21 0.000147752 +86 *1784:18 *20371:A2 0.000181487 +87 *1784:18 *20371:B1 5.93547e-06 +88 *1784:18 *20374:B1 1.51325e-05 +89 *1784:18 *24659:RESET_B 5.65074e-05 +90 *1784:18 *1936:12 0.00033924 +91 *1784:18 *2510:28 1.99604e-05 +92 *1784:18 *2510:36 0.00141514 +93 *1784:18 *2810:38 0.0015204 +94 *1784:18 *3089:10 0 +95 *1784:18 *4905:16 1.1045e-05 +96 *1784:18 *5854:158 0 +97 *1784:18 *5856:204 1.66398e-05 +98 *1784:18 *5858:180 0.000260922 +99 *1784:18 *5858:190 8.57328e-05 +100 *1784:32 *20448:A1 0.000272735 +101 *1784:32 *21345:B1 5.61644e-05 +102 *1784:32 *21464:A 0.000116971 +103 *1784:32 *21674:A 0.000182293 +104 *1784:32 *21957:A 0.0001454 +105 *1784:32 *24479:RESET_B 8.3506e-05 +106 *1784:32 *2510:54 0.000184123 +107 *1784:32 *2810:38 7.93318e-06 +108 *1784:32 *2810:40 0.000122682 +109 *1784:32 *2993:42 0 +110 *1784:32 *4799:22 0.000299787 +111 *1784:32 *5851:141 0.00104688 +112 *1784:32 *5851:143 9.90599e-06 +113 *1784:32 *5854:126 4.8363e-06 +114 *1784:32 *5854:133 0.000257719 +115 *1784:32 *5856:204 0.000477147 +116 *1784:32 *5869:354 0.000237593 +117 *1784:47 *21674:A 1.17614e-05 +118 *1784:47 *21777:A1 0.00210307 +119 *1784:47 *2753:21 6.03237e-05 +120 *1784:47 *2810:40 0.000528608 +121 *1784:47 *2993:42 0.000294902 +122 *1784:55 *20564:A1 0.000170577 +123 *1784:55 *2750:10 7.09395e-05 +124 *1784:55 *2828:8 9.96342e-05 +125 *1784:55 *2852:19 0.00014836 +126 *1784:55 *3411:42 0.000403159 +127 *1784:55 *3411:57 0.000155379 +128 *1784:55 *4797:30 0.00138703 +129 *1784:55 *5904:114 3.02534e-05 +130 *1784:58 *20722:A1 0 +131 *1784:58 *20724:A1 0 +132 *1784:58 *20724:A2 8.3341e-05 +133 *1784:58 *24420:RESET_B 0.000171398 +134 *1784:58 *1808:78 0.000295733 +135 *1784:58 *1809:26 9.00918e-05 +136 *1784:58 *2048:26 6.71978e-06 +137 *1784:58 *3368:27 1.66771e-05 +138 *1784:58 *3411:57 0.000323853 +139 *1784:58 *3671:31 0.000269664 +140 *1784:58 *4797:24 0.00220752 +141 *1784:58 *5868:57 0 +142 *1784:71 *20930:B1 4.81484e-05 +143 *1784:71 *21510:A 3.55296e-05 +144 *1784:71 *21782:A1 6.5732e-06 +145 *1784:71 *22231:A2 0.00017292 +146 *1784:71 *22462:A1 0.00253176 +147 *1784:71 *22575:A2 0.00028534 +148 *1784:71 *22627:B1 0.000323733 +149 *1784:71 *22629:B1 0.000854154 +150 *1784:71 *24423:SET_B 0.000294241 +151 *1784:71 *1787:40 0.0003775 +152 *1784:71 *2671:26 0.000108986 +153 *1784:71 *2780:25 4.63479e-06 +154 *1784:71 *2837:49 0.00207371 +155 *1784:71 *2987:50 0.000615099 +156 *1784:71 *3039:46 6.39957e-05 +157 *1784:71 *3057:29 0.00020494 +158 *1784:71 *3120:195 7.26677e-05 +159 *1784:71 *3153:193 0.000115078 +160 *1784:71 *3153:204 6.61294e-05 +161 *1784:71 *3190:77 0.000111709 +162 *1784:71 *3190:107 0.0018283 +163 *1784:71 *3190:169 0.000531123 +164 *1784:71 *3192:181 2.22897e-06 +165 *1784:71 *3192:211 0.000209926 +166 *1784:71 *3373:15 0.000470169 +167 *1784:71 *3507:215 0.000252374 +168 *1784:71 *3527:156 0.000582983 +169 *1784:71 *4106:131 0.000817503 +170 *1784:71 *4797:24 0 +171 *1784:71 *5336:8 5.19216e-05 +172 *1784:71 *5851:9 5.28385e-05 +173 *1784:71 *5868:57 0.000254108 +174 *1784:71 *5868:77 4.09102e-05 +175 *1784:71 *6001:38 0 +176 *1784:74 *22616:C 0.000245049 +177 *1784:74 *3192:135 1.98233e-05 +178 *1784:74 *3233:22 6.14474e-06 +179 *1784:74 *3523:172 2.04539e-05 +180 *1784:74 *4860:17 9.27434e-05 +181 *1784:91 *22283:A2 8.4653e-05 +182 *1784:91 *2770:18 0.000427273 +183 *1784:91 *2827:29 0.000858461 +184 *1784:91 *2893:20 0.000939899 +185 *1784:91 *3044:23 0.000163033 +186 *1784:91 *3128:209 7.71503e-05 +187 *1784:91 *3175:163 0.000158451 +188 *1784:91 *3175:180 0.00050861 +189 *1784:91 *3517:225 0.00041192 +190 *1784:91 *3517:254 0.000136287 +191 *1784:96 *24214:RESET_B 0.000234545 +192 *1784:96 *1800:128 0.000102553 +193 *1784:96 *1800:151 0.000356022 +194 *1784:96 *2374:26 5.61749e-05 +195 *1784:96 *2374:48 5.92342e-05 +196 *1784:96 *2404:8 0.000100364 +197 *1784:96 *2729:38 9.49908e-05 +198 *1784:96 *2820:34 0.000202705 +199 *1784:96 *4822:80 1.48603e-05 +200 *1784:96 *5859:115 8.62625e-06 +201 *1784:96 *5865:73 0.000204031 +202 *1784:111 *1800:171 0.000214244 +203 *1784:111 *2374:26 3.83274e-05 +204 *1784:111 *2729:38 1.9101e-05 +205 *1784:111 *5859:96 0.000302704 +206 *1784:111 *5859:115 4.79091e-05 +207 *1784:124 *20705:A2 0.000362765 +208 *1784:124 *24212:CLK 0.000180119 +209 *1784:124 *1800:171 0.000709787 +210 *1784:124 *2374:18 0.000401021 +211 *1784:124 *2374:26 8.9567e-05 +212 *1784:124 *2404:8 0.000250428 +213 *1784:124 *2881:18 0 +214 *1784:124 *4839:119 1.48603e-05 +215 *1784:124 *4892:126 0.000435228 +216 *1784:124 *4892:128 0.000705019 +217 *1784:124 *4892:130 0.000381732 +218 *1784:124 *5859:96 0.000619353 +219 *1784:130 *20542:B1 7.50722e-05 +220 *1784:130 *2374:14 0 +221 *1784:130 *2374:18 0 +222 *1784:130 *2530:11 0.000717794 +223 *1784:130 *2881:18 0.00103974 +224 *1784:130 *2893:13 0 +225 *1784:130 *5108:10 0 +226 *1784:131 *2369:23 0.00157235 +227 *1784:131 *5859:28 0.000417347 +228 *1784:165 *2753:21 0.000108151 +229 *1784:165 *5856:183 2.04806e-05 +230 *1784:166 *20560:A 1.28807e-05 +231 *1784:166 *21696:C1 1.9633e-05 +232 *1784:166 *21707:B1 3.10304e-06 +233 *1784:166 *22482:A 6.39068e-05 +234 *1784:166 *22482:D 2.16608e-05 +235 *1784:166 *22486:A1 1.03403e-05 +236 *1784:166 *22486:B1 1.58551e-05 +237 *1784:166 *22486:B2 0.000534446 +238 *1784:166 *22491:B 6.7671e-06 +239 *1784:166 *22492:B 0.000139414 +240 *1784:166 *22492:C 1.07885e-05 +241 *1784:166 *22792:A2 0.000340742 +242 *1784:166 *22793:B2 3.73375e-05 +243 *1784:166 *22793:C1 3.45034e-05 +244 *1784:166 *22796:C 0.000589679 +245 *1784:166 *2614:55 0.000687324 +246 *1784:166 *2723:5 1.74855e-05 +247 *1784:166 *3509:60 0.00024555 +248 *1784:166 *3509:74 4.78069e-06 +249 *1784:166 *5681:19 0.000398804 +250 *1784:169 *1798:62 3.57519e-05 +251 *1784:169 *2726:22 5.4678e-05 +252 *1784:169 *4808:90 0.00014794 +253 *1784:194 *2466:16 0.000395746 +254 *1784:194 *2587:16 0.000153149 +255 *1784:200 *2466:16 0.000508068 +256 *1784:200 *2587:16 0.000531568 +257 *1784:200 *2744:19 3.55859e-05 +258 *1784:202 *2466:16 0.000129309 +259 *1784:202 *2587:16 5.33266e-05 +260 *19674:B *1784:194 0.000232527 +261 *19946:B2 *1784:13 3.91685e-05 +262 *19993:A *1784:13 8.31781e-05 +263 *20559:B *1784:166 0.000101073 +264 *21085:A *21290:A2 0.00023649 +265 *21203:B *1784:13 0.000139947 +266 *21203:B *1784:194 0.000154145 +267 *21389:A2 *21389:B2 0.000214341 +268 *21467:A2 *21467:B2 3.82228e-05 +269 *22418:A1 *1784:71 0.000105846 +270 *23942:S *1784:16 4.07033e-05 +271 *24420:D *1784:58 3.25539e-05 +272 *24434:D *1784:124 5.39463e-05 +273 *24548:D *1784:130 2.66305e-06 +274 *24656:D *1784:16 4.14248e-05 +275 *1427:99 *1784:166 0.00133445 +276 *1448:221 *1784:18 0.000521095 +277 *1459:70 *1784:47 0.000278427 +278 *1461:109 *1784:13 0.00036633 +279 *1461:117 *1784:13 5.56157e-05 +280 *1467:88 *1784:13 0.00350464 +281 *1467:117 *1784:16 1.888e-05 +282 *1483:14 *1784:71 0.000684682 +283 *1485:80 *1784:13 0 +284 *1563:22 *1784:13 0.000107496 +285 *1569:23 *1784:71 0.000537342 +286 *1591:39 *1784:91 7.78476e-05 +287 *1607:17 *1784:131 0.00012895 +288 *1634:45 *1784:71 7.07561e-05 +289 *1647:126 *1784:91 0.000209467 +290 *1655:199 *1784:91 0.000421428 +291 *1688:75 *1784:91 0.000512974 +292 *1691:44 *1784:13 6.05959e-05 +293 *1696:31 *21953:B2 0.000360551 +294 *1696:45 *21953:B2 3.99086e-06 +295 *1696:62 *21953:B2 6.41655e-05 +296 *1707:14 *1784:136 0.000104731 +297 *1711:15 *1784:13 0 +298 *1725:17 *1784:13 0 +299 *1729:58 *1784:71 0 +300 *1730:97 *1784:47 7.75018e-05 +301 *1730:113 *1784:47 0 +302 *1732:67 *1784:55 0.000214976 +303 *1732:67 *1784:165 0 +304 *1732:70 *1784:55 0.000426217 +305 *1732:70 *1784:165 0.000125507 +306 *1740:125 *1784:55 6.1661e-06 +307 *1740:125 *1784:165 7.49142e-05 +308 *1740:125 *1784:166 2.65831e-05 +309 *1751:120 *1784:166 0.000121159 +310 *1754:10 *1784:13 3.55859e-05 +311 *1755:76 *1784:58 9.84424e-06 +312 *1763:59 *1784:58 9.37736e-06 +313 *1771:167 *21199:B2 5.35941e-05 +314 *1783:8 *1784:136 2.69064e-05 +315 *1783:38 *1784:47 0 +*RES +1 *20028:X *1784:4 9.24915 +2 *1784:4 *1784:13 27.1086 +3 *1784:13 *1784:16 31.0096 +4 *1784:16 *1784:18 55.6072 +5 *1784:18 *1784:32 46.3617 +6 *1784:32 *1784:47 20.9839 +7 *1784:47 *1784:55 49.8764 +8 *1784:55 *1784:58 42.9297 +9 *1784:58 *1784:71 46.8317 +10 *1784:71 *1784:74 8.5228 +11 *1784:74 *1784:91 36.5907 +12 *1784:91 *1784:96 28.4814 +13 *1784:96 *21290:A2 20.7902 +14 *1784:96 *1784:111 7.02265 +15 *1784:111 *21199:B2 22.5106 +16 *1784:111 *1784:124 54.9843 +17 *1784:124 *1784:130 36.2179 +18 *1784:130 *1784:131 54.5199 +19 *1784:131 *1784:136 10.832 +20 *1784:136 *20395:B 11.3711 +21 *1784:136 *6090:DIODE 9.24915 +22 *1784:74 *21857:A2 15.8581 +23 *1784:47 *1784:165 10.5265 +24 *1784:165 *1784:166 83.9139 +25 *1784:166 *1784:169 7.993 +26 *1784:169 *21467:B2 18.5471 +27 *1784:169 *6134:DIODE 13.7491 +28 *1784:4 *1784:194 15.7124 +29 *1784:194 *1784:200 15.1933 +30 *1784:200 *1784:202 2.6625 +31 *1784:202 *21953:B2 37.9501 +32 *1784:202 *21389:B2 26.3603 +33 *1784:200 *20029:B2 13.7491 +34 *1784:194 *21743:B2 22.2611 +*END + +*D_NET *1785 0.0099692 +*CONN +*I *20030:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *20029:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *20030:C1 0.000775604 +2 *20029:X 0.000144796 +3 *1785:12 0.00186904 +4 *1785:9 0.00123823 +5 *20030:C1 *20352:A2 7.87126e-05 +6 *20030:C1 *20352:B1 0 +7 *20030:C1 *21820:B1 5.33266e-05 +8 *20030:C1 *24675:CLK 0 +9 *20030:C1 *1930:14 1.66893e-05 +10 *20030:C1 *4844:403 0.000136784 +11 *20030:C1 *4923:67 0 +12 *20030:C1 *5852:21 0.000217981 +13 *1785:12 *20354:B2 0.000186291 +14 *1785:12 *21390:A1 5.74949e-05 +15 *1785:12 *21390:B1 0.000145396 +16 *1785:12 *21922:A 5.44824e-05 +17 *1785:12 *1930:14 0.000491613 +18 *1785:12 *1931:28 2.02035e-05 +19 *1785:12 *4922:30 1.6927e-05 +20 *1785:12 *4922:38 4.82779e-06 +21 *1785:12 *5202:8 1.12605e-05 +22 *19947:A *1785:12 0 +23 *20029:A1 *1785:9 4.31603e-06 +24 *24672:D *1785:12 5.13902e-05 +25 *24675:D *20030:C1 0.000122068 +26 *1467:32 *1785:12 0.000220085 +27 *1467:36 *1785:12 0.000779885 +28 *1467:43 *20030:C1 0.00137814 +29 *1467:43 *1785:12 0.000240087 +30 *1467:76 *1785:9 0.00020502 +31 *1485:21 *1785:9 0.000400321 +32 *1494:11 *20030:C1 7.08723e-06 +33 *1668:28 *20030:C1 0.000148129 +34 *1735:36 *1785:12 7.71221e-05 +35 *1740:65 *1785:12 0.000595715 +36 *1781:13 *20030:C1 0.000220183 +*RES +1 *20029:X *1785:9 18.9335 +2 *1785:9 *1785:12 36.9697 +3 *1785:12 *20030:C1 44.1903 +*END + +*D_NET *1786 0.0848501 +*CONN +*I *20051:B I *D sky130_fd_sc_hd__and4_1 +*I *6165:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *20030:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *20051:B 0.000163046 +2 *6165:DIODE 0 +3 *20030:X 0.00048746 +4 *1786:71 0.000558639 +5 *1786:65 0.00919811 +6 *1786:52 0.00945486 +7 *1786:43 0.00169846 +8 *1786:34 0.00268783 +9 *1786:33 0.00226327 +10 *1786:28 0.00164404 +11 *1786:27 0.00102249 +12 *1786:25 0.0057667 +13 *1786:21 0.00600506 +14 *1786:13 0.0010686 +15 *1786:11 0.00131769 +16 *20051:B *20050:B2 0.000111937 +17 *20051:B *20051:D 3.01683e-06 +18 *1786:11 *2466:16 0.000110473 +19 *1786:11 *2563:5 6.02078e-06 +20 *1786:11 *4923:67 4.33655e-05 +21 *1786:11 *5772:8 0 +22 *1786:13 *24675:CLK 1.67988e-05 +23 *1786:13 *2381:11 0.00215867 +24 *1786:13 *4923:67 1.61631e-05 +25 *1786:21 *2836:5 8.05819e-05 +26 *1786:21 *4863:31 4.31703e-05 +27 *1786:21 *5858:245 0.000237038 +28 *1786:21 *5860:288 0.00023344 +29 *1786:25 *2700:8 0.00181868 +30 *1786:25 *2753:19 0.000875872 +31 *1786:25 *2812:11 0 +32 *1786:25 *3014:48 0 +33 *1786:25 *4828:129 0 +34 *1786:25 *4832:109 0 +35 *1786:25 *4844:441 0 +36 *1786:25 *4867:115 3.52699e-05 +37 *1786:25 *4925:35 0.000327357 +38 *1786:25 *4925:37 0.000742045 +39 *1786:25 *4925:39 0.0004041 +40 *1786:25 *4925:41 0.000169078 +41 *1786:28 *2218:10 1.2693e-05 +42 *1786:28 *2219:10 0 +43 *1786:28 *2803:44 0.0011465 +44 *1786:28 *5791:8 0.000650379 +45 *1786:28 *5791:23 0.00232719 +46 *1786:33 *20330:A 2.1228e-06 +47 *1786:33 *1845:139 7.83201e-05 +48 *1786:33 *1845:144 1.41307e-05 +49 *1786:33 *2218:55 0.000107496 +50 *1786:34 *2715:38 0.000132049 +51 *1786:34 *2939:13 0 +52 *1786:34 *4864:30 0.00142948 +53 *1786:43 *23363:A 5.68225e-06 +54 *1786:43 *23365:A 0.000806157 +55 *1786:43 *23365:C 8.90323e-05 +56 *1786:43 *23368:A 3.39391e-05 +57 *1786:43 *23435:C 0.000574203 +58 *1786:43 *2715:38 0.000165521 +59 *1786:43 *4273:14 9.83674e-06 +60 *1786:43 *5563:44 0 +61 *1786:52 *23480:B 7.77309e-06 +62 *1786:52 *23760:B1 9.60366e-05 +63 *1786:52 *23774:D 0.000211492 +64 *1786:52 *1808:44 0.000624884 +65 *1786:52 *2632:46 7.5909e-06 +66 *1786:52 *3877:184 0.000260388 +67 *1786:52 *3877:221 2.95757e-05 +68 *1786:52 *3898:35 0.000782108 +69 *1786:52 *4273:14 0.000657082 +70 *1786:52 *4618:16 0.000715102 +71 *1786:52 *4618:19 6.08467e-05 +72 *1786:65 *20050:B1 0.000118168 +73 *1786:65 *21676:B1 1.50926e-05 +74 *1786:65 *23791:B 0.000784213 +75 *1786:65 *23925:B 0.00410998 +76 *1786:65 *2571:15 0.000238583 +77 *1786:65 *2593:47 0.00415246 +78 *1786:65 *2603:69 0 +79 *1786:65 *2618:18 1.11554e-05 +80 *1786:65 *2785:51 4.51619e-05 +81 *1786:65 *2878:44 0 +82 *1786:65 *3417:29 0.000314534 +83 *1786:65 *3887:30 4.04447e-05 +84 *1786:65 *3951:14 0.000108729 +85 *1786:65 *4704:17 0 +86 *1786:65 *4802:18 0.00252505 +87 *1786:65 *5682:22 0.000312853 +88 *1786:71 *20050:B1 6.08467e-05 +89 *1786:71 *20050:B2 0.000275737 +90 *1786:71 *2531:65 9.80242e-07 +91 *1786:71 *3719:10 3.91944e-05 +92 *20030:A2 *1786:11 8.65358e-05 +93 *21113:B1 *1786:34 0 +94 *21588:A2 *1786:11 1.77537e-06 +95 *24205:RESET_B *1786:34 0.00017419 +96 *24206:RESET_B *1786:34 0.000172691 +97 *460:16 *1786:34 0 +98 *460:21 *1786:34 0 +99 *514:41 *1786:34 0 +100 *514:41 *1786:43 0.00023905 +101 *552:8 *1786:28 0.0017856 +102 *657:16 *1786:25 3.9734e-05 +103 *1419:86 *1786:13 0.0015298 +104 *1438:81 *1786:34 0 +105 *1438:83 *1786:52 6.25268e-05 +106 *1455:99 *1786:65 0.000143083 +107 *1467:127 *1786:43 0.000154145 +108 *1494:14 *1786:11 7.12632e-06 +109 *1497:78 *1786:13 0.000376456 +110 *1497:88 *1786:11 7.92757e-06 +111 *1522:26 *1786:34 0.000925662 +112 *1522:32 *1786:34 0.000228062 +113 *1535:56 *1786:71 3.65454e-05 +114 *1668:28 *1786:13 0.00272575 +115 *1668:41 *1786:25 8.93282e-05 +116 *1668:85 *1786:65 0.00093268 +117 *1714:24 *1786:34 0 +118 *1731:39 *1786:25 0 +119 *1756:49 *1786:34 0 +120 *1756:49 *1786:43 0 +121 *1783:67 *1786:33 0.000171273 +*RES +1 *20030:X *1786:11 26.7899 +2 *1786:11 *1786:13 45.6463 +3 *1786:13 *1786:21 21.8745 +4 *1786:21 *1786:25 47.9556 +5 *1786:25 *1786:27 3.36879 +6 *1786:27 *1786:28 53.9461 +7 *1786:28 *1786:33 18.5971 +8 *1786:33 *1786:34 51.7722 +9 *1786:34 *1786:43 41.1094 +10 *1786:43 *1786:52 45.0209 +11 *1786:52 *1786:65 47.8082 +12 *1786:65 *1786:71 14.3775 +13 *1786:71 *6165:DIODE 9.24915 +14 *1786:71 *20051:B 12.3839 +*END + +*D_NET *1787 0.0227129 +*CONN +*I *22206:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22565:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20040:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *20031:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22206:B1 0 +2 *22565:A1 4.05271e-05 +3 *20040:A1 0.000284673 +4 *20031:Y 0.000842271 +5 *1787:40 0.00199809 +6 *1787:23 0.00252304 +7 *1787:17 0.00189513 +8 *1787:10 0.00188725 +9 *20040:A1 *20040:B2 3.82228e-05 +10 *20040:A1 *1796:10 6.98337e-06 +11 *20040:A1 *3209:6 7.09666e-06 +12 *20040:A1 *3513:156 0.000150256 +13 *22565:A1 *22565:A2 6.08467e-05 +14 *22565:A1 *2782:10 2.16355e-05 +15 *1787:10 *20670:A1 0.000111708 +16 *1787:10 *20670:A2 0.000170592 +17 *1787:10 *20670:B1 1.00981e-05 +18 *1787:10 *20672:A2 0.000744805 +19 *1787:10 *2843:12 3.45418e-05 +20 *1787:10 *2846:16 0 +21 *1787:10 *5918:20 0.000430899 +22 *1787:17 *24652:RESET_B 3.56986e-05 +23 *1787:17 *2827:13 0.000291975 +24 *1787:17 *2843:12 0.000373123 +25 *1787:17 *3106:227 1.47364e-05 +26 *1787:17 *3131:184 0.000253916 +27 *1787:17 *5867:176 0.000331845 +28 *1787:17 *5867:185 0.000282896 +29 *1787:17 *5867:208 9.75356e-05 +30 *1787:17 *5867:223 0.000237655 +31 *1787:17 *5918:20 0.000319856 +32 *1787:23 *22206:A1 6.3657e-05 +33 *1787:23 *22206:B2 6.50727e-05 +34 *1787:23 *22208:C1 0.000191267 +35 *1787:23 *1788:162 8.34918e-05 +36 *1787:23 *1789:65 0.00113945 +37 *1787:23 *1789:82 0.000160617 +38 *1787:23 *1799:28 2.82535e-05 +39 *1787:23 *2843:16 3.37308e-05 +40 *1787:23 *2843:20 4.65732e-05 +41 *1787:23 *3166:112 3.07561e-05 +42 *1787:23 *3166:123 2.55558e-05 +43 *1787:40 *21814:B1 0.000218244 +44 *1787:40 *21974:B1 6.57145e-06 +45 *1787:40 *22231:A2 0.000380608 +46 *1787:40 *22565:B2 0.000133113 +47 *1787:40 *2298:17 0.000119815 +48 *1787:40 *2556:19 0.00127779 +49 *1787:40 *2845:14 0.000375834 +50 *1787:40 *2963:42 0.000267979 +51 *1787:40 *3164:131 0.000106435 +52 *19875:B1 *1787:40 5.36085e-05 +53 *22208:B1 *1787:23 0.000211478 +54 *24457:D *1787:10 8.62625e-06 +55 *1535:22 *20040:A1 0.000109788 +56 *1535:22 *1787:40 0.000343008 +57 *1595:43 *1787:23 0.001243 +58 *1666:12 *20040:A1 0.000270256 +59 *1666:12 *1787:40 7.75003e-05 +60 *1707:39 *1787:40 1.48643e-05 +61 *1725:220 *1787:10 7.04549e-06 +62 *1729:19 *1787:17 8.16889e-05 +63 *1733:52 *1787:40 0.000230866 +64 *1733:75 *1787:40 0.00131758 +65 *1741:21 *1787:17 0.000113371 +66 *1784:71 *1787:40 0.0003775 +*RES +1 *20031:Y *1787:10 37.7373 +2 *1787:10 *1787:17 45.1253 +3 *1787:17 *1787:23 37.6772 +4 *1787:23 *1787:40 26.4843 +5 *1787:40 *20040:A1 22.3307 +6 *1787:40 *22565:A1 15.0513 +7 *1787:23 *22206:B1 9.24915 +*END + +*D_NET *1788 0.0810459 +*CONN +*I *21475:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21193:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21372:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *21730:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20537:B I *D sky130_fd_sc_hd__or2_1 +*I *21974:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20040:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21832:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21346:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20032:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21475:B2 0 +2 *21193:A2 8.26548e-05 +3 *21372:A2 0.000736902 +4 *21730:A2 0 +5 *20537:B 0 +6 *21974:A2 0.00125925 +7 *20040:A2 0 +8 *21832:A2 0 +9 *21346:B2 0.000445606 +10 *20032:X 0.00159999 +11 *1788:164 0.00103752 +12 *1788:162 0.00199677 +13 *1788:139 0.00282375 +14 *1788:134 0.00166112 +15 *1788:118 0.00112407 +16 *1788:115 0.001392 +17 *1788:102 0.00232893 +18 *1788:89 0.00256397 +19 *1788:86 0.00171985 +20 *1788:81 0.000272617 +21 *1788:80 0.000332206 +22 *1788:77 0.00299218 +23 *1788:57 0.00474186 +24 *1788:46 0.00207938 +25 *1788:32 0.00233753 +26 *1788:21 0.00272857 +27 *21193:A2 *22332:A2 2.77625e-06 +28 *21193:A2 *3139:122 2.16355e-05 +29 *21346:B2 *6092:DIODE 1.58551e-05 +30 *21346:B2 *21346:B1 9.95922e-06 +31 *21346:B2 *2404:101 1.03403e-05 +32 *21346:B2 *2407:41 4.31539e-05 +33 *21346:B2 *3166:15 3.90781e-05 +34 *21372:A2 *22696:A1 9.28861e-05 +35 *21372:A2 *2280:6 2.57465e-06 +36 *21372:A2 *2431:23 0.000354027 +37 *21372:A2 *2813:47 0.000401837 +38 *21372:A2 *2990:62 0.000406879 +39 *21372:A2 *3041:71 8.42687e-05 +40 *21372:A2 *3204:165 0.000513754 +41 *21974:A2 *22225:C 1.62054e-05 +42 *21974:A2 *22319:B1 3.53886e-05 +43 *21974:A2 *2822:8 0.000267269 +44 *21974:A2 *2850:34 0.000169665 +45 *1788:21 *21475:A1_N 0.000171523 +46 *1788:21 *21475:B1 0.000395885 +47 *1788:21 *22502:B1 1.35644e-05 +48 *1788:21 *2407:22 0.00045211 +49 *1788:21 *2407:41 0.000150125 +50 *1788:21 *2519:38 7.48583e-05 +51 *1788:21 *2531:91 6.36477e-05 +52 *1788:21 *2532:18 4.03336e-05 +53 *1788:21 *2641:51 0.00011795 +54 *1788:21 *2652:25 0.000154145 +55 *1788:21 *2652:28 2.69795e-05 +56 *1788:21 *2667:93 7.56514e-05 +57 *1788:21 *2931:26 0.000179804 +58 *1788:21 *2994:55 0.00120597 +59 *1788:21 *3151:53 0.000187151 +60 *1788:21 *3751:47 1.65872e-05 +61 *1788:21 *5475:83 5.24905e-05 +62 *1788:32 *21475:B1 6.51027e-05 +63 *1788:32 *2407:41 0.00011628 +64 *1788:32 *2596:18 0.000164439 +65 *1788:32 *2637:29 0.000120237 +66 *1788:32 *2930:26 0.000884694 +67 *1788:32 *3142:32 5.33711e-05 +68 *1788:32 *3485:104 1.0771e-05 +69 *1788:46 *21219:A1 0.000201545 +70 *1788:46 *22657:A1 5.42408e-05 +71 *1788:46 *22671:C 0.000107852 +72 *1788:46 *2546:28 4.33594e-05 +73 *1788:46 *2596:18 0.000871787 +74 *1788:46 *2636:40 0 +75 *1788:46 *2825:12 0 +76 *1788:46 *3485:104 8.43713e-06 +77 *1788:46 *4807:82 7.22263e-05 +78 *1788:57 *21193:B1 3.63738e-05 +79 *1788:57 *2273:18 3.91944e-05 +80 *1788:57 *2274:71 0.000273016 +81 *1788:57 *2431:23 0.00132683 +82 *1788:57 *3124:127 0 +83 *1788:57 *3139:122 3.01683e-06 +84 *1788:57 *3487:133 5.65669e-05 +85 *1788:57 *3513:26 7.6496e-05 +86 *1788:77 *21695:A1 0.00088292 +87 *1788:77 *22323:A1 0.000129091 +88 *1788:77 *22539:B2 0.0010674 +89 *1788:77 *22602:A1 5.49916e-05 +90 *1788:77 *2503:124 5.60804e-05 +91 *1788:77 *2539:35 0.000667695 +92 *1788:77 *2570:103 0.000710808 +93 *1788:77 *2570:138 1.68208e-05 +94 *1788:77 *2894:60 3.94395e-05 +95 *1788:77 *3052:78 0.000100087 +96 *1788:77 *3114:96 8.00506e-05 +97 *1788:77 *3487:151 0.000279343 +98 *1788:77 *3507:34 3.18696e-05 +99 *1788:77 *4825:146 0.0013295 +100 *1788:80 *21707:A1 0.000292111 +101 *1788:86 *21730:B1 0.000152158 +102 *1788:89 *21599:C1 6.11393e-06 +103 *1788:89 *21730:B1 7.14746e-05 +104 *1788:89 *21814:B1 4.23303e-05 +105 *1788:89 *22246:A2 1.9101e-05 +106 *1788:89 *22555:C1 0.000122834 +107 *1788:89 *2093:79 0.0001554 +108 *1788:89 *2556:28 0.000923126 +109 *1788:89 *2664:14 0.000230123 +110 *1788:89 *2674:8 4.50866e-05 +111 *1788:89 *2734:24 1.5714e-05 +112 *1788:89 *2827:61 0.000202333 +113 *1788:89 *2857:52 0.00015186 +114 *1788:89 *3476:116 0.000257501 +115 *1788:89 *3739:8 6.60633e-06 +116 *1788:102 *21832:A1 1.27109e-05 +117 *1788:102 *21833:D 0 +118 *1788:102 *21858:D 0.000173499 +119 *1788:102 *2889:45 4.43636e-06 +120 *1788:115 *21832:A1 0.000966713 +121 *1788:115 *21902:A 8.71902e-05 +122 *1788:115 *2782:10 0.000961315 +123 *1788:115 *2889:45 6.08467e-05 +124 *1788:115 *2954:44 0.000848085 +125 *1788:118 *20040:B1 9.95922e-06 +126 *1788:118 *20040:B2 2.16355e-05 +127 *1788:118 *20040:C1 5.3852e-06 +128 *1788:134 *20040:B2 2.57986e-05 +129 *1788:134 *2769:13 0.000796221 +130 *1788:134 *2782:10 4.80519e-05 +131 *1788:134 *3527:166 0.000158451 +132 *1788:139 *1799:28 3.21373e-05 +133 *1788:139 *2843:20 8.41072e-05 +134 *1788:139 *2956:42 0.00019951 +135 *1788:162 *20385:A 4.8703e-05 +136 *1788:162 *1789:68 0.000238928 +137 *1788:162 *1799:28 1.2716e-05 +138 *1788:162 *2843:20 0.000270692 +139 *1788:162 *2956:42 3.72917e-05 +140 *1788:162 *3155:146 8.03699e-06 +141 *1788:162 *3166:123 0.000250244 +142 *1788:164 *1884:154 0.00263228 +143 *1788:164 *5861:43 0.000357105 +144 *1788:164 *5867:166 0.000192472 +145 *19791:B1 *1788:102 0.000166304 +146 *19907:A *1788:139 0.000258538 +147 *19907:B *1788:139 0.000384319 +148 *21193:B2 *21193:A2 5.04829e-06 +149 *21193:B2 *1788:46 5.481e-05 +150 *21599:A2 *1788:89 2.99478e-05 +151 *21599:B2 *1788:89 1.10867e-05 +152 *21832:B2 *1788:102 0.000195432 +153 *21832:B2 *1788:115 2.4898e-05 +154 *21974:B2 *21974:A2 0.00147937 +155 *21987:A2 *1788:21 0.000592388 +156 *22217:B1 *1788:139 2.82168e-05 +157 *22586:B1 *1788:139 1.69508e-05 +158 *22595:B1 *1788:134 1.38595e-05 +159 *518:15 *1788:89 0.000228673 +160 *518:15 *1788:102 0.000258892 +161 *520:17 *1788:77 0.00162184 +162 *520:27 *1788:77 7.45249e-05 +163 *549:23 *1788:21 0.0006697 +164 *1435:182 *1788:89 1.02986e-05 +165 *1439:28 *1788:139 6.85778e-05 +166 *1459:129 *1788:89 0.000500845 +167 *1537:101 *1788:86 9.80242e-07 +168 *1542:174 *1788:32 3.27606e-06 +169 *1542:201 *21346:B2 3.25035e-05 +170 *1561:154 *1788:89 6.69861e-06 +171 *1563:94 *1788:21 0.000451246 +172 *1570:76 *1788:89 9.90705e-05 +173 *1583:113 *1788:115 0.000410012 +174 *1596:76 *1788:134 0.000416172 +175 *1596:91 *1788:134 1.85087e-05 +176 *1598:47 *1788:89 8.90624e-05 +177 *1601:16 *1788:134 0.000292986 +178 *1601:16 *1788:139 5.66276e-05 +179 *1616:42 *21372:A2 0.00059079 +180 *1616:42 *1788:57 0.00174639 +181 *1616:71 *21372:A2 0.000304975 +182 *1618:18 *1788:115 5.65354e-05 +183 *1626:8 *1788:162 0.000107987 +184 *1626:8 *1788:164 0.0024843 +185 *1646:12 *1788:139 0.000574219 +186 *1646:12 *1788:162 0.000834701 +187 *1646:12 *1788:164 2.19468e-05 +188 *1647:10 *1788:77 0.000124666 +189 *1658:27 *1788:89 2.57831e-05 +190 *1666:12 *1788:134 0.00108301 +191 *1680:86 *1788:57 0.000271908 +192 *1680:137 *1788:57 0.000240135 +193 *1717:133 *1788:102 0.000294093 +194 *1721:88 *1788:89 5.1595e-05 +195 *1721:94 *1788:89 2.23357e-05 +196 *1725:144 *1788:115 0.000127536 +197 *1762:132 *1788:86 2.41274e-06 +198 *1783:14 *1788:164 3.20274e-05 +199 *1787:23 *1788:162 8.34918e-05 +*RES +1 *20032:X *1788:21 49.2602 +2 *1788:21 *1788:32 25.8368 +3 *1788:32 *21346:B2 20.4526 +4 *1788:32 *1788:46 24.3594 +5 *1788:46 *1788:57 14.9776 +6 *1788:57 *1788:77 26.6208 +7 *1788:77 *1788:80 12.7456 +8 *1788:80 *1788:81 127.479 +9 *1788:81 *1788:86 16.1364 +10 *1788:86 *1788:89 49.103 +11 *1788:89 *1788:102 27.4673 +12 *1788:102 *21832:A2 9.24915 +13 *1788:102 *1788:115 49.0916 +14 *1788:115 *1788:118 1.71204 +15 *1788:118 *20040:A2 9.24915 +16 *1788:118 *1788:134 46.0274 +17 *1788:134 *1788:139 21.5687 +18 *1788:139 *21974:A2 46.2345 +19 *1788:139 *1788:162 35.6967 +20 *1788:162 *1788:164 53.1156 +21 *1788:164 *20537:B 13.7491 +22 *1788:77 *21730:A2 9.24915 +23 *1788:57 *21372:A2 22.38 +24 *1788:46 *21193:A2 10.5271 +25 *1788:21 *21475:B2 9.24915 +*END + +*D_NET *1789 0.0534021 +*CONN +*I *22206:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22576:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20040:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20033:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22206:A1 1.27405e-05 +2 *22576:B1 0.00142746 +3 *20040:B1 0.000613026 +4 *20033:Y 0 +5 *1789:84 0.00250081 +6 *1789:82 0.00152695 +7 *1789:68 0.00129337 +8 *1789:65 0.00151367 +9 *1789:58 0.0021171 +10 *1789:47 0.00250834 +11 *1789:40 0.0024579 +12 *1789:28 0.00246008 +13 *1789:23 0.0021374 +14 *1789:6 0.00194333 +15 *1789:5 0.00149903 +16 *20040:B1 *20040:B2 1.09551e-05 +17 *20040:B1 *20040:C1 2.1717e-05 +18 *20040:B1 *22466:A1 0.000254466 +19 *20040:B1 *2765:52 0.000888538 +20 *20040:B1 *2954:28 0.000576461 +21 *20040:B1 *2954:44 1.5714e-05 +22 *20040:B1 *3122:198 1.5714e-05 +23 *20040:B1 *3513:156 0.000391697 +24 *20040:B1 *3562:16 1.5714e-05 +25 *22206:A1 *3166:112 1.41976e-05 +26 *22206:A1 *3166:123 2.07503e-05 +27 *22576:B1 *21831:A1 0.00030449 +28 *22576:B1 *21831:B1 0.000359136 +29 *22576:B1 *22576:A2 1.41976e-05 +30 *22576:B1 *22576:B2 2.07365e-05 +31 *22576:B1 *22629:B1 0.000720257 +32 *22576:B1 *2770:68 0.000206333 +33 *22576:B1 *3153:204 0.000117191 +34 *22576:B1 *3186:16 3.63593e-05 +35 *22576:B1 *3192:181 0.000210296 +36 *22576:B1 *3523:154 1.11717e-05 +37 *1789:6 *24349:SET_B 3.91685e-05 +38 *1789:6 *1800:184 0.000409197 +39 *1789:6 *1884:129 5.11074e-05 +40 *1789:6 *2984:8 0.000720828 +41 *1789:6 *5144:11 3.19611e-05 +42 *1789:6 *5866:313 0.000369691 +43 *1789:23 *19792:A 0.000516674 +44 *1789:23 *20882:A1 0.000211464 +45 *1789:23 *2077:9 0.000158099 +46 *1789:23 *2369:17 0.00110503 +47 *1789:23 *2542:8 3.0902e-05 +48 *1789:23 *3045:12 0.000137921 +49 *1789:23 *4822:12 0.00110923 +50 *1789:28 *19792:A 0.000119483 +51 *1789:28 *2339:8 0.00133741 +52 *1789:28 *2869:18 0.00133742 +53 *1789:28 *5294:11 0.000113968 +54 *1789:28 *5867:432 0.000103626 +55 *1789:40 *20666:A1 3.99086e-06 +56 *1789:40 *20666:B2 5.2092e-05 +57 *1789:40 *2030:29 7.34948e-06 +58 *1789:40 *2031:7 9.80242e-07 +59 *1789:40 *2031:8 0.000567618 +60 *1789:40 *2031:18 0.00054568 +61 *1789:40 *2348:8 0.000197882 +62 *1789:40 *4823:12 0.00157181 +63 *1789:40 *4844:653 0.000107496 +64 *1789:47 *2042:41 0.000415244 +65 *1789:47 *2441:21 7.67734e-06 +66 *1789:47 *2441:43 4.24488e-05 +67 *1789:47 *2776:19 1.1102e-05 +68 *1789:47 *2868:12 0.00031321 +69 *1789:47 *2869:23 6.25883e-06 +70 *1789:47 *4840:134 0.000316635 +71 *1789:47 *4860:23 0.000134611 +72 *1789:47 *5853:119 0.000415888 +73 *1789:58 *1790:180 0 +74 *1789:58 *2846:16 0.000408761 +75 *1789:58 *3047:14 0.00017215 +76 *1789:58 *3070:20 0.000113968 +77 *1789:58 *5861:273 0.00019683 +78 *1789:65 *21811:A 1.33737e-05 +79 *1789:65 *2827:13 5.481e-05 +80 *1789:65 *2843:12 0.000224516 +81 *1789:65 *2843:16 0.000794494 +82 *1789:65 *3155:146 0.000294093 +83 *1789:65 *5867:166 0.00026602 +84 *1789:65 *5867:188 4.2273e-06 +85 *1789:68 *22206:B2 3.44695e-05 +86 *1789:68 *3155:146 8.95272e-05 +87 *1789:68 *3168:150 8.09482e-05 +88 *1789:82 *21499:A1 9.62777e-06 +89 *1789:82 *22206:B2 7.09666e-06 +90 *1789:82 *2551:18 9.8728e-05 +91 *1789:82 *2551:24 4.05306e-05 +92 *1789:82 *2564:18 0.000129435 +93 *1789:82 *2697:19 0.000510361 +94 *1789:82 *2954:28 0.000239473 +95 *1789:82 *3164:131 0.00040098 +96 *1789:82 *3166:112 7.5301e-06 +97 *1789:84 *2765:48 0.000126983 +98 *1789:84 *2765:52 0.000781671 +99 *1789:84 *2954:28 0.000927305 +100 *19875:B1 *1789:68 3.46002e-05 +101 *19875:B1 *1789:82 1.21652e-05 +102 *21831:A2 *22576:B1 0.00020546 +103 *22208:B1 *1789:82 8.62625e-06 +104 *22576:A1 *22576:B1 5.63104e-05 +105 *24548:D *1789:28 0.000158357 +106 *1550:8 *1789:23 0 +107 *1586:77 *20040:B1 0.000183255 +108 *1586:103 *1789:82 4.25398e-05 +109 *1586:103 *1789:84 8.45896e-06 +110 *1586:111 *1789:82 4.42142e-05 +111 *1595:36 *1789:47 0.000396288 +112 *1595:36 *1789:58 2.43551e-05 +113 *1595:39 *1789:58 0.00156949 +114 *1595:43 *1789:65 0.000196604 +115 *1618:104 *22576:B1 8.29778e-05 +116 *1626:8 *1789:68 1.1246e-05 +117 *1646:12 *1789:68 1.76888e-05 +118 *1646:12 *1789:82 1.10848e-05 +119 *1655:161 *22576:B1 0.000157659 +120 *1656:8 *1789:6 0 +121 *1688:43 *22576:B1 0.00013167 +122 *1688:75 *22576:B1 8.4858e-05 +123 *1729:19 *1789:58 0.000412358 +124 *1770:58 *22576:B1 0.000983868 +125 *1771:104 *20040:B1 3.22013e-05 +126 *1771:203 *1789:28 3.67689e-05 +127 *1787:23 *22206:A1 6.3657e-05 +128 *1787:23 *1789:65 0.00113945 +129 *1787:23 *1789:82 0.000160617 +130 *1788:118 *20040:B1 9.95922e-06 +131 *1788:162 *1789:68 0.000238928 +*RES +1 *20033:Y *1789:5 13.7491 +2 *1789:5 *1789:6 46.264 +3 *1789:6 *1789:23 36.861 +4 *1789:23 *1789:28 46.9504 +5 *1789:28 *1789:40 45.6144 +6 *1789:40 *1789:47 45.8253 +7 *1789:47 *1789:58 47.0546 +8 *1789:58 *1789:65 47.1712 +9 *1789:65 *1789:68 10.4845 +10 *1789:68 *1789:82 34.6203 +11 *1789:82 *1789:84 18.4421 +12 *1789:84 *20040:B1 36.7527 +13 *1789:84 *22576:B1 26.8587 +14 *1789:68 *22206:A1 14.4725 +*END + +*D_NET *1790 0.109113 +*CONN +*I *21396:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *21278:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20499:B I *D sky130_fd_sc_hd__or2_1 +*I *21975:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *21831:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20040:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21235:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21537:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21689:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20034:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21396:A2 0.000339336 +2 *21278:A2 0.000140593 +3 *20499:B 0.000446782 +4 *21975:A2 0.000198345 +5 *21831:B2 0 +6 *20040:B2 0.000746059 +7 *21235:A2 0.000142056 +8 *21537:A2 0.00106219 +9 *21689:B2 0.000929742 +10 *20034:X 0.00231839 +11 *1790:191 0.00150126 +12 *1790:188 0.00117367 +13 *1790:180 0.00215073 +14 *1790:158 0.00393757 +15 *1790:144 0.00298598 +16 *1790:130 0.000972504 +17 *1790:100 0.00459141 +18 *1790:93 0.00463428 +19 *1790:76 0.00158938 +20 *1790:73 0.00410892 +21 *1790:45 0.00482094 +22 *1790:43 0.00264061 +23 *1790:19 0.00507597 +24 *20040:B2 *21766:A 5.19948e-05 +25 *20040:B2 *21782:B1 0.000133323 +26 *20040:B2 *22247:A2 4.31603e-06 +27 *20040:B2 *22247:C1 3.31745e-05 +28 *20040:B2 *1796:10 4.78069e-06 +29 *20040:B2 *2780:25 2.56795e-05 +30 *20040:B2 *2843:31 0.000156394 +31 *20040:B2 *3513:156 0.000312164 +32 *20040:B2 *3513:165 5.1493e-06 +33 *20040:B2 *3562:16 8.14684e-05 +34 *20499:B *21485:A 0.000139435 +35 *20499:B *1884:129 6.50586e-05 +36 *20499:B *2135:74 6.50586e-05 +37 *20499:B *3045:10 5.4224e-06 +38 *20499:B *3045:12 0.000833843 +39 *20499:B *5918:24 0.000422687 +40 *21235:A2 *21235:A1 2.53145e-06 +41 *21235:A2 *21235:B1 8.16417e-05 +42 *21235:A2 *22604:A1 3.51771e-05 +43 *21235:A2 *22604:B2 1.03403e-05 +44 *21235:A2 *1800:119 1.66626e-05 +45 *21235:A2 *2881:51 2.37478e-05 +46 *21235:A2 *2949:71 0.000172494 +47 *21235:A2 *5457:13 0.000203439 +48 *21278:A2 *21278:A1 0.00011818 +49 *21278:A2 *22702:A1 5.36085e-05 +50 *21278:A2 *2339:21 0.000149721 +51 *21396:A2 *21396:B1 9.95922e-06 +52 *21396:A2 *1802:143 3.63738e-05 +53 *21396:A2 *2350:57 1.22858e-05 +54 *21396:A2 *3547:17 0.000602344 +55 *21537:A2 *22005:C1 4.0919e-05 +56 *21537:A2 *22502:A2 0.00104457 +57 *21537:A2 *2549:17 6.22732e-05 +58 *21537:A2 *2557:20 0.000251112 +59 *21537:A2 *3071:57 0.000255566 +60 *21537:A2 *3196:41 0.000217357 +61 *21537:A2 *3466:47 9.65176e-05 +62 *21537:A2 *3519:59 0.000409033 +63 *21537:A2 *4870:134 3.17436e-05 +64 *21689:B2 *20201:A1 0.000230974 +65 *21689:B2 *24774:CLK 2.65667e-05 +66 *21689:B2 *2401:22 3.65058e-05 +67 *21689:B2 *2894:8 0.000150923 +68 *21689:B2 *2904:8 0.000346836 +69 *21689:B2 *3496:13 2.16355e-05 +70 *21689:B2 *4920:19 8.48439e-05 +71 *21689:B2 *5231:5 0.000165189 +72 *21975:A2 *21975:B2 0.000363208 +73 *21975:A2 *22253:B1 4.78554e-06 +74 *21975:A2 *22319:A1 7.13655e-06 +75 *21975:A2 *2298:17 3.95949e-05 +76 *21975:A2 *3164:153 0.000287223 +77 *1790:19 *1807:20 0.000413701 +78 *1790:19 *2428:116 0.000282621 +79 *1790:19 *2429:25 0.000459595 +80 *1790:19 *2688:11 0.000183964 +81 *1790:19 *2763:20 0.000109235 +82 *1790:19 *2858:27 2.11608e-05 +83 *1790:19 *2858:34 0.00022472 +84 *1790:19 *3063:29 0.000116391 +85 *1790:19 *3485:25 0.000203124 +86 *1790:19 *4821:41 4.38083e-05 +87 *1790:43 *21894:B1 6.2265e-05 +88 *1790:43 *22764:A2 1.5714e-05 +89 *1790:43 *2904:8 0.000423106 +90 *1790:43 *2909:17 1.56117e-05 +91 *1790:43 *2991:25 0.000130248 +92 *1790:43 *3071:42 4.47494e-06 +93 *1790:43 *3124:47 2.14184e-05 +94 *1790:43 *3185:25 3.77568e-05 +95 *1790:43 *3498:24 0.000162439 +96 *1790:43 *3500:89 0.000104754 +97 *1790:43 *4920:14 0.000107811 +98 *1790:43 *4920:19 0.000818743 +99 *1790:43 *4920:60 8.25771e-05 +100 *1790:43 *5476:51 1.90389e-05 +101 *1790:45 *3124:47 3.93783e-05 +102 *1790:73 *21987:A1 5.16501e-05 +103 *1790:73 *1800:95 6.03122e-05 +104 *1790:73 *2534:44 1.48618e-05 +105 *1790:73 *2613:69 2.05082e-05 +106 *1790:73 *3106:42 0.00197774 +107 *1790:73 *3117:204 2.3367e-05 +108 *1790:73 *3278:17 0.00189767 +109 *1790:73 *3547:17 0.000535802 +110 *1790:73 *5909:38 0.000927879 +111 *1790:76 *22695:B1 0.000220926 +112 *1790:76 *3139:192 0.000494849 +113 *1790:76 *4822:80 0.00170176 +114 *1790:93 *4822:80 0.00149683 +115 *1790:100 *21782:B1 0.000418767 +116 *1790:100 *22611:A2 2.99982e-05 +117 *1790:100 *22619:B1 0.000510814 +118 *1790:100 *2770:68 0.000355318 +119 *1790:100 *2777:80 0.00016341 +120 *1790:100 *2794:34 0.000335691 +121 *1790:100 *2794:58 0.000692287 +122 *1790:100 *2827:29 0.000372723 +123 *1790:100 *2888:81 6.21488e-06 +124 *1790:100 *3064:23 9.52484e-06 +125 *1790:100 *3222:41 0.000452665 +126 *1790:100 *3521:206 0.000420754 +127 *1790:100 *3537:164 0.000217419 +128 *1790:100 *3539:198 5.39404e-06 +129 *1790:130 *21831:A1 7.16058e-05 +130 *1790:130 *21831:B1 0.00014542 +131 *1790:130 *22231:B2 0.000250405 +132 *1790:130 *22566:A2 3.99086e-06 +133 *1790:130 *22566:B2 3.99086e-06 +134 *1790:130 *22566:C1 4.49912e-05 +135 *1790:130 *22596:C1 1.55721e-05 +136 *1790:130 *3153:225 0.000533101 +137 *1790:144 *22701:B1 3.3239e-06 +138 *1790:144 *22702:A1 5.81031e-05 +139 *1790:144 *2339:21 1.50389e-06 +140 *1790:144 *2377:13 0.000254385 +141 *1790:144 *3527:207 0.000134269 +142 *1790:144 *4822:80 0.00125594 +143 *1790:144 *4873:17 5.09021e-05 +144 *1790:158 *22367:B1 1.66771e-05 +145 *1790:158 *2339:21 0.000518168 +146 *1790:158 *2375:36 0.00035859 +147 *1790:158 *2377:13 0.000146854 +148 *1790:158 *2541:23 0.000115915 +149 *1790:158 *2770:18 1.51692e-05 +150 *1790:158 *2806:8 6.14003e-06 +151 *1790:158 *2956:18 0.000316618 +152 *1790:158 *2978:29 0.000310849 +153 *1790:158 *3164:180 0.00104321 +154 *1790:158 *3175:180 0.000132548 +155 *1790:158 *5352:18 0.000594469 +156 *1790:158 *5353:7 0.000260374 +157 *1790:180 *22024:A1 8.53382e-05 +158 *1790:180 *22024:B1 0 +159 *1790:180 *22259:B2 7.40684e-06 +160 *1790:180 *24431:CLK 0.000325516 +161 *1790:180 *2531:6 0.000543116 +162 *1790:180 *2531:14 0.000562084 +163 *1790:180 *2541:23 0.000491773 +164 *1790:180 *2919:20 2.1746e-06 +165 *1790:180 *2956:18 0.000229165 +166 *1790:180 *2985:14 1.34691e-05 +167 *1790:180 *2985:20 0.00094055 +168 *1790:180 *3036:31 3.53285e-06 +169 *1790:180 *3046:11 0.000154145 +170 *1790:180 *3047:14 0 +171 *1790:180 *3146:200 0.000954906 +172 *1790:180 *4844:681 0.000250371 +173 *1790:180 *4890:66 0.000188997 +174 *1790:188 *20707:A1 0.000154145 +175 *1790:188 *2042:70 0.000158357 +176 *1790:188 *3045:12 0.000152313 +177 *1790:188 *3046:11 0.000131996 +178 *1790:191 *24432:RESET_B 0.000159765 +179 *1790:191 *24545:RESET_B 8.95272e-05 +180 *1790:191 *2448:41 0.000208295 +181 *1790:191 *2985:8 2.57674e-05 +182 *1790:191 *2985:14 8.07017e-05 +183 *1790:191 *3045:12 0.000829834 +184 *1790:191 *5859:84 0.000233459 +185 *1790:191 *5918:24 0.00188367 +186 *19841:B2 *20040:B2 8.20942e-05 +187 *19908:A *20040:B2 7.82704e-05 +188 *19908:A *1790:130 1.47773e-05 +189 *19980:B1 *1790:19 0.000185785 +190 *19980:B2 *1790:19 1.91391e-05 +191 *20040:A1 *20040:B2 3.82228e-05 +192 *20040:B1 *20040:B2 1.09551e-05 +193 *21396:B2 *21396:A2 5.56876e-06 +194 *21665:B2 *1790:19 4.0007e-05 +195 *21831:A2 *1790:130 1.03403e-05 +196 *22230:B1 *1790:130 0.000126851 +197 *22231:B1 *1790:100 3.19362e-05 +198 *22566:B1 *1790:130 0.000162073 +199 *22728:B1 *1790:19 0.000440173 +200 *24431:D *1790:180 4.66689e-05 +201 *24459:D *1790:191 9.93938e-05 +202 *24773:D *1790:19 0.000184434 +203 *24774:D *21689:B2 2.99978e-05 +204 *489:78 *1790:180 0 +205 *506:16 *21537:A2 0.000129091 +206 *506:16 *1790:45 0.00140028 +207 *506:16 *1790:73 4.64851e-05 +208 *1439:28 *1790:158 0.000524333 +209 *1497:167 *21689:B2 0.000277444 +210 *1497:172 *21689:B2 5.04829e-06 +211 *1543:40 *1790:130 0.000287734 +212 *1544:51 *1790:19 0.000551807 +213 *1550:10 *1790:188 7.28994e-06 +214 *1550:16 *1790:180 0.000392284 +215 *1553:36 *1790:43 0.000412916 +216 *1553:64 *1790:43 4.32242e-05 +217 *1553:64 *1790:45 0.00165169 +218 *1553:64 *1790:73 0.00245604 +219 *1577:77 *1790:73 0.00231097 +220 *1577:97 *1790:73 0.000930675 +221 *1591:39 *21278:A2 0.000160617 +222 *1595:36 *1790:180 2.24843e-05 +223 *1608:30 *21537:A2 0.00011195 +224 *1617:33 *1790:144 0.000265716 +225 *1618:61 *20040:B2 4.63733e-05 +226 *1618:61 *1790:100 3.51034e-05 +227 *1620:93 *1790:100 0.000406879 +228 *1620:107 *1790:180 3.82527e-05 +229 *1627:79 *21396:A2 0.000526026 +230 *1627:79 *1790:73 8.95942e-05 +231 *1627:160 *21975:A2 0 +232 *1646:35 *20040:B2 0.000161243 +233 *1648:47 *21537:A2 4.12977e-05 +234 *1655:199 *1790:144 3.28038e-05 +235 *1669:156 *1790:43 0.000975412 +236 *1679:68 *1790:100 5.51817e-05 +237 *1691:80 *1790:43 0.00108936 +238 *1708:104 *1790:76 0.000560309 +239 *1708:104 *1790:93 0.00147454 +240 *1708:104 *1790:144 0.00125043 +241 *1717:38 *1790:43 7.14746e-05 +242 *1720:20 *1790:130 0.000419393 +243 *1721:162 *20040:B2 6.34524e-06 +244 *1724:76 *1790:130 2.77419e-05 +245 *1730:240 *21537:A2 3.03741e-05 +246 *1742:93 *1790:180 5.05516e-05 +247 *1751:35 *21537:A2 0.000489933 +248 *1788:118 *20040:B2 2.16355e-05 +249 *1788:134 *20040:B2 2.57986e-05 +250 *1789:58 *1790:180 0 +*RES +1 *20034:X *1790:19 31.6017 +2 *1790:19 *21689:B2 40.3758 +3 *1790:19 *1790:43 49.1866 +4 *1790:43 *1790:45 3.82246 +5 *1790:45 *21537:A2 32.9187 +6 *1790:45 *1790:73 14.1025 +7 *1790:73 *1790:76 4.3134 +8 *1790:76 *21235:A2 19.4929 +9 *1790:76 *1790:93 3.47955 +10 *1790:93 *1790:100 7.06287 +11 *1790:100 *20040:B2 31.3223 +12 *1790:100 *1790:130 41.3272 +13 *1790:130 *21831:B2 9.24915 +14 *1790:93 *1790:144 13.4689 +15 *1790:144 *1790:158 39.649 +16 *1790:158 *21975:A2 18.4967 +17 *1790:158 *1790:180 48.9593 +18 *1790:180 *1790:188 18.4104 +19 *1790:188 *1790:191 45.177 +20 *1790:191 *20499:B 32.6581 +21 *1790:144 *21278:A2 19.1847 +22 *1790:73 *21396:A2 19.83 +*END + +*D_NET *1791 0.0238678 +*CONN +*I *22734:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20039:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *22424:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *20035:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22734:B1 0.000408502 +2 *20039:A1 2.50285e-05 +3 *22424:A1 0 +4 *20035:Y 0.0011997 +5 *1791:39 0.00198089 +6 *1791:28 0.00298893 +7 *1791:18 0.00225262 +8 *1791:14 0.00201075 +9 *20039:A1 *20039:A2 2.16355e-05 +10 *20039:A1 *3003:57 2.16355e-05 +11 *22734:B1 *21992:A1 0.000140307 +12 *22734:B1 *1794:118 2.11865e-05 +13 *22734:B1 *2933:81 0.000675898 +14 *22734:B1 *2976:58 0.00019316 +15 *22734:B1 *3157:54 0.000196571 +16 *1791:14 *20597:B 7.01586e-06 +17 *1791:14 *21523:B1 0.000957307 +18 *1791:14 *1792:40 1.96395e-05 +19 *1791:14 *2004:27 6.16161e-05 +20 *1791:14 *2274:22 1.66771e-05 +21 *1791:14 *2447:26 6.50727e-05 +22 *1791:14 *2578:8 0 +23 *1791:14 *2578:15 5.28713e-05 +24 *1791:14 *2580:16 0.000250361 +25 *1791:14 *2732:8 6.87762e-05 +26 *1791:14 *2732:28 0.000213575 +27 *1791:14 *2732:29 0.0002535 +28 *1791:14 *2758:35 3.87022e-06 +29 *1791:18 *24982:A 0.000584983 +30 *1791:18 *2578:15 0 +31 *1791:18 *2732:29 0.00160606 +32 *1791:18 *4863:11 0.00086081 +33 *1791:28 *20585:B2 6.34651e-06 +34 *1791:28 *21462:A1 0.000172242 +35 *1791:28 *22423:A2 0.000211219 +36 *1791:28 *22423:B2 5.85325e-05 +37 *1791:28 *22489:B2 6.74182e-05 +38 *1791:28 *1792:100 6.50727e-05 +39 *1791:28 *2004:42 8.7509e-05 +40 *1791:28 *2519:12 0.000260388 +41 *1791:28 *2578:15 5.32561e-05 +42 *1791:28 *2732:29 0.000335672 +43 *1791:28 *3463:12 0.000123072 +44 *1791:39 *21992:A1 0.000160664 +45 *1791:39 *22429:B1 0.000195368 +46 *1791:39 *22433:A1 0.000216258 +47 *1791:39 *22468:A2 0.000629588 +48 *1791:39 *1792:101 0.000221795 +49 *1791:39 *1794:118 9.70097e-06 +50 *1791:39 *2964:40 0.000158418 +51 *1791:39 *2964:59 0.00064622 +52 *1791:39 *3379:8 6.50744e-05 +53 *1791:39 *3463:12 0.000167015 +54 *1791:39 *3671:95 5.27536e-05 +55 *24519:D *1791:18 2.33193e-05 +56 *24520:D *1791:14 7.93324e-05 +57 *24521:D *1791:18 1.62321e-05 +58 *24521:D *1791:28 8.19494e-05 +59 *1511:50 *1791:14 6.2476e-05 +60 *1511:54 *1791:14 2.12706e-05 +61 *1639:65 *1791:28 0.000483253 +62 *1684:5 *1791:28 1.65872e-05 +63 *1688:118 *1791:28 7.88969e-05 +64 *1706:87 *22734:B1 6.74182e-05 +65 *1723:24 *22734:B1 0.000678699 +66 *1739:46 *1791:28 8.87632e-05 +67 *1739:46 *1791:39 0.00104709 +*RES +1 *20035:Y *1791:14 48.7553 +2 *1791:14 *1791:18 31.7546 +3 *1791:18 *1791:28 45.7532 +4 *1791:28 *22424:A1 13.7491 +5 *1791:28 *1791:39 47.1678 +6 *1791:39 *20039:A1 14.4725 +7 *1791:39 *22734:B1 38.0836 +*END + +*D_NET *1792 0.0358906 +*CONN +*I *21993:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20039:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21819:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21719:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *21523:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20597:B I *D sky130_fd_sc_hd__or2_1 +*I *20036:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21993:B2 0.000130232 +2 *20039:A2 9.02926e-05 +3 *21819:A2 0 +4 *21719:A2 9.33557e-05 +5 *21523:A2 0 +6 *20597:B 0.000304848 +7 *20036:X 0.000475708 +8 *1792:103 0.000220525 +9 *1792:101 0.00207196 +10 *1792:100 0.00224914 +11 *1792:97 0.00112847 +12 *1792:76 0.00118421 +13 *1792:56 0.00110349 +14 *1792:40 0.000418556 +15 *1792:37 0.00148641 +16 *1792:25 0.00192662 +17 *1792:17 0.00257123 +18 *1792:16 0.00155436 +19 *20039:A2 *3003:57 2.77625e-06 +20 *20597:B *20582:B1 0.000304777 +21 *20597:B *20597:A 0.000107496 +22 *20597:B *2578:8 5.15707e-05 +23 *20597:B *2578:15 9.22013e-06 +24 *20597:B *2732:8 0.000321045 +25 *20597:B *2732:28 7.65861e-05 +26 *20597:B *2833:7 2.57365e-05 +27 *21719:A2 *21719:C1 6.08467e-05 +28 *21719:A2 *21719:D1 3.8519e-05 +29 *21719:A2 *2732:17 0.00011664 +30 *21719:A2 *2744:19 6.08467e-05 +31 *21719:A2 *5898:21 0.000151319 +32 *21993:B2 *2932:15 7.92757e-06 +33 *21993:B2 *3003:57 3.82228e-05 +34 *1792:16 *21963:B1 5.72524e-05 +35 *1792:16 *21968:B1 6.08467e-05 +36 *1792:25 *24982:A 0.000174719 +37 *1792:25 *2004:33 0.000171122 +38 *1792:37 *21819:B1 6.88784e-05 +39 *1792:37 *2004:27 7.95593e-05 +40 *1792:37 *2580:16 0.000258144 +41 *1792:37 *2732:28 3.82228e-05 +42 *1792:37 *2732:29 0 +43 *1792:37 *2758:35 0.000343703 +44 *1792:37 *2833:8 7.59047e-05 +45 *1792:37 *2834:17 8.62625e-06 +46 *1792:37 *2992:12 3.22339e-05 +47 *1792:37 *5188:24 0.00013697 +48 *1792:40 *2578:15 3.85711e-05 +49 *1792:40 *2732:28 0.000149228 +50 *1792:40 *2758:35 0.000104822 +51 *1792:56 *5898:25 6.50727e-05 +52 *1792:76 *20582:A1 0.000286663 +53 *1792:76 *20582:A2 6.50586e-05 +54 *1792:76 *20582:B2 6.50727e-05 +55 *1792:76 *2732:17 0.000688165 +56 *1792:76 *2744:19 7.12801e-05 +57 *1792:76 *2753:67 1.96926e-05 +58 *1792:76 *5898:21 7.68538e-06 +59 *1792:76 *5898:25 0.0022813 +60 *1792:97 *21926:B1 8.15039e-05 +61 *1792:97 *21946:B 0.000107496 +62 *1792:97 *22446:B1 0.00017138 +63 *1792:97 *3016:22 7.94462e-05 +64 *1792:97 *5082:17 0.000154991 +65 *1792:100 *2519:12 8.41174e-05 +66 *1792:101 *21992:A1 0.000117975 +67 *1792:101 *21993:C1 8.96998e-05 +68 *1792:101 *22433:A1 0.000207736 +69 *1792:101 *22438:B 7.21753e-05 +70 *1792:101 *22446:B1 0.000335681 +71 *1792:101 *22446:C1 0.00027672 +72 *1792:101 *22489:A1 6.74182e-05 +73 *1792:101 *22490:A2 1.27402e-05 +74 *1792:101 *1808:11 5.36085e-05 +75 *1792:101 *2964:40 0.000158418 +76 *1792:101 *3003:30 1.41761e-05 +77 *1792:101 *3003:34 0.000697986 +78 *1792:101 *3175:306 6.46333e-05 +79 *1792:101 *3204:36 1.80851e-05 +80 *1792:101 *3379:8 0.00109295 +81 *19619:D *1792:37 9.34919e-05 +82 *19936:B1 *1792:56 2.65831e-05 +83 *19936:B1 *1792:76 0.000117308 +84 *19937:A1 *1792:37 3.93957e-05 +85 *19946:B2 *1792:76 1.5714e-05 +86 *19947:A *1792:76 1.9101e-05 +87 *19987:A *1792:97 0.000122083 +88 *20003:A1 *1792:37 0.00114982 +89 *20003:A2 *1792:37 9.17372e-06 +90 *20039:A1 *20039:A2 2.16355e-05 +91 *466:25 *1792:37 6.58073e-05 +92 *1428:24 *1792:76 0.000147783 +93 *1435:42 *1792:97 0.000124641 +94 *1449:45 *1792:16 0.000763042 +95 *1454:35 *1792:97 0.000949456 +96 *1461:61 *1792:17 4.88955e-05 +97 *1461:61 *1792:25 0.000211492 +98 *1467:22 *1792:37 5.04829e-06 +99 *1471:68 *1792:76 0 +100 *1506:35 *1792:76 1.5714e-05 +101 *1511:23 *1792:17 0.000744036 +102 *1516:17 *1792:76 3.82228e-05 +103 *1563:46 *1792:16 0.00027329 +104 *1671:17 *1792:16 4.31703e-05 +105 *1686:8 *1792:16 0.000493293 +106 *1688:118 *1792:97 0.000468218 +107 *1690:43 *20597:B 0.000206436 +108 *1691:44 *1792:76 0 +109 *1695:42 *1792:76 0.00016439 +110 *1696:10 *1792:76 2.03363e-06 +111 *1697:110 *1792:76 5.04829e-06 +112 *1698:38 *1792:17 1.41853e-05 +113 *1698:54 *1792:17 3.83336e-05 +114 *1698:54 *1792:25 9.95234e-05 +115 *1739:41 *1792:97 9.92424e-05 +116 *1739:46 *1792:97 4.31436e-05 +117 *1739:46 *1792:101 6.74763e-05 +118 *1740:98 *1792:17 0.000633581 +119 *1740:98 *1792:97 2.44566e-05 +120 *1754:10 *1792:76 3.77568e-05 +121 *1757:37 *1792:37 3.06267e-05 +122 *1757:48 *1792:37 0.000131132 +123 *1757:57 *1792:97 3.09979e-05 +124 *1764:13 *1792:25 9.75243e-05 +125 *1764:13 *1792:37 0.000154145 +126 *1791:14 *20597:B 7.01586e-06 +127 *1791:14 *1792:40 1.96395e-05 +128 *1791:28 *1792:100 6.50727e-05 +129 *1791:39 *1792:101 0.000221795 +*RES +1 *20036:X *1792:16 44.5416 +2 *1792:16 *1792:17 17.9161 +3 *1792:17 *1792:25 19.4858 +4 *1792:25 *1792:37 46.8336 +5 *1792:37 *1792:40 8.40826 +6 *1792:40 *20597:B 24.3984 +7 *1792:40 *1792:56 5.2234 +8 *1792:56 *21523:A2 9.24915 +9 *1792:56 *1792:76 49.2973 +10 *1792:76 *21719:A2 13.8548 +11 *1792:25 *21819:A2 9.24915 +12 *1792:17 *1792:97 49.6603 +13 *1792:97 *1792:100 7.44181 +14 *1792:100 *1792:101 56.6453 +15 *1792:101 *1792:103 4.5 +16 *1792:103 *20039:A2 10.5271 +17 *1792:103 *21993:B2 12.0704 +*END + +*D_NET *1793 0.0290645 +*CONN +*I *22727:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22420:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20039:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *20037:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22727:A1 0.00196249 +2 *22420:B1 0.000455188 +3 *20039:B1 0.000802354 +4 *20037:Y 0.00104529 +5 *1793:37 0.00332872 +6 *1793:15 0.00196157 +7 *1793:14 0.00129345 +8 *20039:B1 *20039:B2 0 +9 *20039:B1 *22435:B1 4.63742e-05 +10 *20039:B1 *1794:96 0.000377243 +11 *20039:B1 *3003:57 0.00010117 +12 *20039:B1 *3028:50 0.000389109 +13 *20039:B1 *3146:38 1.2851e-05 +14 *20039:B1 *3146:65 2.14624e-05 +15 *20039:B1 *3407:23 9.20901e-06 +16 *22420:B1 *22420:B2 3.30786e-05 +17 *22420:B1 *22420:C1 2.16355e-05 +18 *22420:B1 *22431:A1 6.21488e-06 +19 *22420:B1 *22437:A2 0.000112916 +20 *22420:B1 *22437:C1 1.98996e-05 +21 *22420:B1 *2801:19 2.05082e-05 +22 *22420:B1 *2804:24 0.000156955 +23 *22420:B1 *2959:43 0.000110296 +24 *22420:B1 *3114:66 0.000299419 +25 *22420:B1 *3175:43 6.23101e-05 +26 *22420:B1 *3377:20 0.000135732 +27 *22420:B1 *3432:31 4.54409e-05 +28 *22727:A1 *22727:A2 4.31603e-06 +29 *22727:A1 *2548:41 4.78983e-05 +30 *22727:A1 *2997:14 7.60356e-05 +31 *22727:A1 *3114:66 0.00193722 +32 *22727:A1 *3196:41 0.00016472 +33 *22727:A1 *3377:20 0.0019809 +34 *22727:A1 *3417:29 0.000454292 +35 *22727:A1 *3427:28 0.000520661 +36 *22727:A1 *3463:27 1.43499e-05 +37 *22727:A1 *3471:51 0.000217943 +38 *22727:A1 *3471:60 6.1478e-06 +39 *22727:A1 *3702:20 0.000454706 +40 *22727:A1 *4870:134 0.000526109 +41 *22727:A1 *5455:12 4.08035e-05 +42 *1793:14 *2514:45 1.48603e-05 +43 *1793:14 *3148:253 3.55968e-05 +44 *1793:14 *3373:42 0.000540918 +45 *1793:14 *3671:83 0.00022766 +46 *1793:15 *2994:55 3.52699e-05 +47 *1793:15 *3131:41 5.67836e-05 +48 *1793:15 *5476:51 7.51533e-05 +49 *1793:37 *2504:21 0.0018918 +50 *1793:37 *2994:55 0.0024209 +51 *1793:37 *3131:41 4.88843e-05 +52 *1793:37 *3407:23 5.86188e-05 +53 *19970:B1 *1793:14 0.000354927 +54 *19970:B2 *20039:B1 9.01814e-05 +55 *19997:B1 *22727:A1 0.000107496 +56 *20605:B *1793:14 6.50586e-05 +57 *22737:B1 *22727:A1 0.000279299 +58 *522:17 *22727:A1 5.86296e-05 +59 *1435:79 *1793:14 0 +60 *1435:105 *20039:B1 0.000102899 +61 *1435:105 *1793:37 1.42709e-05 +62 *1604:68 *20039:B1 4.00595e-06 +63 *1604:70 *20039:B1 0.000207892 +64 *1724:61 *20039:B1 6.08467e-05 +65 *1726:28 *1793:14 0.00228206 +66 *1727:15 *20039:B1 0.000753521 +*RES +1 *20037:Y *1793:14 49.8859 +2 *1793:14 *1793:15 0.661806 +3 *1793:15 *20039:B1 40.8067 +4 *1793:15 *1793:37 3.98331 +5 *1793:37 *22420:B1 23.8237 +6 *1793:37 *22727:A1 34.1965 +*END + +*D_NET *1794 0.0407846 +*CONN +*I *20039:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *21920:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20635:B I *D sky130_fd_sc_hd__or2_1 +*I *21839:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21542:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21677:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20038:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20039:B2 2.87134e-05 +2 *21920:A2 0 +3 *20635:B 0 +4 *21839:B2 0.00129735 +5 *21542:B2 7.63333e-05 +6 *21677:A2 6.14747e-05 +7 *20038:X 0 +8 *1794:118 0.00152716 +9 *1794:96 0.00229576 +10 *1794:73 0.00196412 +11 *1794:67 0.00199832 +12 *1794:60 0.00229762 +13 *1794:44 0.0022366 +14 *1794:25 0.00202755 +15 *1794:7 0.00211541 +16 *1794:4 0.00208344 +17 *20039:B2 *3003:57 2.63669e-05 +18 *21542:B2 *21542:A1 0 +19 *21542:B2 *21542:B1 6.08467e-05 +20 *21839:B2 *21826:B1 1.58551e-05 +21 *21839:B2 *21826:C1 5.481e-05 +22 *21839:B2 *21839:B1 1.78868e-05 +23 *21839:B2 *2854:21 0.000833857 +24 *21839:B2 *3702:20 0.000107496 +25 *21839:B2 *4850:55 3.99086e-06 +26 *1794:7 *20635:A 6.3657e-05 +27 *1794:7 *20636:A 5.10715e-05 +28 *1794:7 *3373:33 6.45419e-05 +29 *1794:25 *20636:A 6.08467e-05 +30 *1794:25 *1947:269 0.000107496 +31 *1794:25 *2502:42 0.000231479 +32 *1794:25 *2612:62 3.39118e-05 +33 *1794:25 *2709:37 5.84021e-05 +34 *1794:25 *3671:76 8.94611e-05 +35 *1794:25 *4927:32 5.97855e-05 +36 *1794:25 *4927:34 0.000151023 +37 *1794:25 *4927:40 0.000312098 +38 *1794:25 *5854:125 0.000231479 +39 *1794:44 *20609:B1 0.000962427 +40 *1794:44 *21542:A1 0.000214555 +41 *1794:44 *22435:C1 0.000547263 +42 *1794:44 *22499:A1 3.29488e-05 +43 *1794:44 *1797:20 0.00112688 +44 *1794:44 *2502:42 0.00143427 +45 *1794:44 *2598:17 1.25931e-05 +46 *1794:44 *2620:76 1.37539e-05 +47 *1794:44 *2997:14 3.17436e-05 +48 *1794:44 *3134:62 0.000245079 +49 *1794:44 *5854:125 0.00039804 +50 *1794:60 *20041:A 2.16355e-05 +51 *1794:60 *22499:A1 0.000273221 +52 *1794:60 *1797:41 5.60178e-05 +53 *1794:67 *2583:27 7.39022e-06 +54 *1794:67 *3058:8 0.000750404 +55 *1794:67 *3058:22 0.000852746 +56 *1794:67 *3168:39 0.00039155 +57 *1794:67 *3168:50 0.000169619 +58 *1794:67 *3168:78 0.000276882 +59 *1794:67 *3175:74 8.17829e-06 +60 *1794:67 *3175:87 0.000367194 +61 *1794:73 *2958:14 0.000106696 +62 *1794:73 *2959:18 0.000422241 +63 *1794:73 *3157:113 0.000116713 +64 *1794:73 *3157:126 0.000598371 +65 *1794:73 *3166:97 1.18743e-05 +66 *1794:73 *4849:33 0.000627109 +67 *1794:96 *1795:29 0.000250254 +68 *1794:96 *3003:57 1.47843e-05 +69 *1794:96 *3028:50 2.09442e-05 +70 *1794:118 *21920:A1 5.04829e-06 +71 *1794:118 *21992:A1 1.09937e-05 +72 *1794:118 *22507:A1 9.93089e-05 +73 *1794:118 *1798:17 0.000823646 +74 *1794:118 *2338:52 7.98171e-06 +75 *1794:118 *2407:22 0.00017857 +76 *1794:118 *2932:38 4.63742e-05 +77 *1794:118 *2932:61 4.78949e-05 +78 *1794:118 *2933:81 7.92757e-06 +79 *1794:118 *2959:35 1.15403e-05 +80 *1794:118 *3002:26 0.000101888 +81 *1794:118 *3139:75 0.000177764 +82 *1794:118 *3155:53 0.000283364 +83 *1794:118 *3463:12 0.000284732 +84 *1794:118 *3683:8 2.01283e-05 +85 *19828:A *1794:67 1.03594e-05 +86 *19840:A *1794:7 2.81262e-05 +87 *19840:B *1794:7 0.000247443 +88 *19966:B *1794:7 0.000345048 +89 *19970:A2 *1794:96 0.000107496 +90 *19970:B2 *1794:96 0.00044694 +91 *19971:C1 *1794:118 0.000110306 +92 *20017:A *1794:96 8.91584e-05 +93 *20039:B1 *20039:B2 0 +94 *20039:B1 *1794:96 0.000377243 +95 *21826:A2 *21839:B2 4.54435e-05 +96 *21826:B2 *21839:B2 1.4091e-06 +97 *21992:A2 *1794:96 0.000442653 +98 *22734:B1 *1794:118 2.11865e-05 +99 *22735:A1 *1794:118 1.43983e-05 +100 *1435:205 *1794:73 0.000480689 +101 *1454:74 *1794:73 5.39247e-05 +102 *1461:249 *1794:25 0.000169236 +103 *1461:262 *1794:60 7.95666e-05 +104 *1541:136 *1794:25 0 +105 *1541:142 *21677:A2 1.84334e-05 +106 *1541:142 *1794:25 0.000264005 +107 *1546:42 *1794:67 0.000394614 +108 *1604:68 *1794:96 5.11322e-06 +109 *1625:36 *1794:73 9.6497e-05 +110 *1631:10 *1794:44 3.63738e-05 +111 *1631:10 *1794:60 0.000266124 +112 *1677:157 *1794:7 0.000538569 +113 *1677:163 *1794:7 0.000139947 +114 *1677:163 *1794:96 0.000200794 +115 *1677:172 *1794:96 0.000110245 +116 *1706:87 *1794:118 0.000122548 +117 *1722:76 *1794:118 1.86421e-05 +118 *1724:61 *1794:96 2.16355e-05 +119 *1727:15 *1794:118 0.000258222 +120 *1764:71 *21542:B2 1.65872e-05 +121 *1764:94 *1794:73 1.40716e-05 +122 *1773:18 *1794:96 6.50586e-05 +123 *1791:39 *1794:118 9.70097e-06 +*RES +1 *20038:X *1794:4 9.24915 +2 *1794:4 *1794:7 21.8224 +3 *1794:7 *1794:25 35.6285 +4 *1794:25 *21677:A2 18.327 +5 *1794:25 *1794:44 9.952 +6 *1794:44 *21542:B2 15.6059 +7 *1794:44 *1794:60 25.8301 +8 *1794:60 *1794:67 43.3627 +9 *1794:67 *1794:73 31.914 +10 *1794:73 *21839:B2 29.2631 +11 *1794:7 *20635:B 9.24915 +12 *1794:4 *1794:96 30.3556 +13 *1794:96 *1794:118 45.4383 +14 *1794:118 *21920:A2 9.24915 +15 *1794:96 *20039:B2 10.1413 +*END + +*D_NET *1795 0.0559352 +*CONN +*I *20040:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *20039:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *20040:C1 0.000529815 +2 *20039:X 0.00469565 +3 *1795:62 0.00366445 +4 *1795:53 0.00511088 +5 *1795:44 0.00433603 +6 *1795:29 0.00705542 +7 *20040:C1 *22466:A1 0.00062954 +8 *20040:C1 *3461:11 0.000154145 +9 *1795:29 *21825:A1 0 +10 *1795:29 *22047:B1 0.00115425 +11 *1795:29 *22433:B1 0.000120211 +12 *1795:29 *22753:B1 8.20799e-06 +13 *1795:29 *22806:B1 0.00075392 +14 *1795:29 *2407:22 1.65672e-05 +15 *1795:29 *2505:75 2.09471e-05 +16 *1795:29 *2713:27 0.000476634 +17 *1795:29 *2833:57 2.44203e-05 +18 *1795:29 *2839:27 0 +19 *1795:29 *2922:58 0.00204209 +20 *1795:29 *3028:50 0.000108997 +21 *1795:29 *3060:43 6.07534e-05 +22 *1795:29 *3060:71 0.000270923 +23 *1795:29 *3131:41 0.000410542 +24 *1795:29 *3168:29 0.000586039 +25 *1795:29 *3177:208 0.000120211 +26 *1795:29 *3407:23 1.01893e-05 +27 *1795:29 *3513:68 0.000119825 +28 *1795:29 *3671:76 7.10953e-05 +29 *1795:29 *3717:14 4.11569e-05 +30 *1795:29 *5475:83 2.97033e-05 +31 *1795:29 *6021:14 8.39072e-05 +32 *1795:44 *20565:B1 0.000371448 +33 *1795:44 *21777:A1 5.64139e-06 +34 *1795:44 *1808:78 0.000363219 +35 *1795:44 *2785:74 0.00097691 +36 *1795:44 *2810:60 0.00106004 +37 *1795:44 *3089:24 0.000236619 +38 *1795:44 *4798:46 0.00016992 +39 *1795:44 *4799:6 0.000233007 +40 *1795:44 *4916:99 6.13365e-05 +41 *1795:44 *4916:114 0.000141925 +42 *1795:44 *5924:37 3.03787e-05 +43 *1795:53 *1808:79 0.00203157 +44 *1795:53 *1809:26 1.65872e-05 +45 *1795:53 *4859:8 3.57257e-05 +46 *1795:53 *5453:21 0.00078429 +47 *1795:62 *22048:A1 1.9101e-05 +48 *1795:62 *1801:75 0.000510506 +49 *1795:62 *2536:28 0.00187745 +50 *1795:62 *2565:49 0.000628719 +51 *1795:62 *2603:100 0.000124008 +52 *1795:62 *2604:28 0.00120156 +53 *1795:62 *2608:26 0.0017089 +54 *1795:62 *3415:14 1.9101e-05 +55 *1795:62 *3671:20 0.000952528 +56 *1795:62 *5852:125 0.000189581 +57 *20040:B1 *20040:C1 2.1717e-05 +58 *24525:D *1795:44 0.000103557 +59 *1438:119 *1795:53 0.000107496 +60 *1448:232 *1795:44 1.10565e-05 +61 *1482:34 *1795:44 0.00120493 +62 *1537:36 *1795:29 0.000107998 +63 *1587:121 *1795:53 0.000779143 +64 *1594:27 *1795:62 6.03237e-05 +65 *1597:45 *1795:29 0.000314338 +66 *1668:85 *1795:44 3.06583e-05 +67 *1707:43 *1795:44 0.00350823 +68 *1725:75 *1795:29 6.15246e-05 +69 *1730:97 *1795:29 0.00146496 +70 *1730:240 *1795:29 0.000978367 +71 *1732:34 *1795:62 6.22732e-06 +72 *1733:26 *1795:53 0.000113864 +73 *1763:65 *1795:53 1.4091e-06 +74 *1771:94 *20040:C1 0.000347214 +75 *1788:118 *20040:C1 5.3852e-06 +76 *1794:96 *1795:29 0.000250254 +*RES +1 *20039:X *1795:29 40.9924 +2 *1795:29 *1795:44 46.9747 +3 *1795:44 *1795:53 41.603 +4 *1795:53 *1795:62 46.3805 +5 *1795:62 *20040:C1 26.3362 +*END + +*D_NET *1796 0.0155862 +*CONN +*I *20051:C I *D sky130_fd_sc_hd__and4_1 +*I *20040:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *20051:C 0 +2 *20040:X 0.00102464 +3 *1796:12 0.00257891 +4 *1796:10 0.00360355 +5 *1796:10 *21630:A1 1.5714e-05 +6 *1796:10 *22247:C1 5.481e-05 +7 *1796:10 *2117:200 2.10515e-05 +8 *1796:10 *2288:24 8.05411e-05 +9 *1796:10 *2674:8 1.40496e-05 +10 *1796:10 *2987:69 4.49767e-05 +11 *1796:10 *3062:18 2.58814e-05 +12 *1796:10 *3688:22 1.66626e-05 +13 *1796:12 *21814:C1 0.000261443 +14 *1796:12 *21901:D 0.000165779 +15 *1796:12 *2117:200 0.000252351 +16 *1796:12 *2674:8 0.000163205 +17 *1796:12 *3106:81 0.00023699 +18 *1796:12 *5453:21 0.000242596 +19 *20040:A1 *1796:10 6.98337e-06 +20 *20040:B2 *1796:10 4.78069e-06 +21 *21630:A2 *1796:10 5.94555e-05 +22 *22528:A1 *1796:12 5.11321e-05 +23 *1535:22 *1796:10 0.000215095 +24 *1535:22 *1796:12 3.95634e-05 +25 *1535:31 *1796:12 0.00039995 +26 *1538:17 *1796:12 0.000612815 +27 *1573:207 *1796:12 4.76248e-05 +28 *1590:10 *1796:10 0.000687986 +29 *1634:66 *1796:10 8.1879e-05 +30 *1642:46 *1796:12 0.00102528 +31 *1643:14 *1796:12 0.00105654 +32 *1643:21 *1796:12 0.000151318 +33 *1658:27 *1796:12 0.000103404 +34 *1716:89 *1796:12 3.63738e-05 +35 *1717:133 *1796:12 0.000186817 +36 *1720:62 *1796:12 0.00194462 +37 *1721:154 *1796:10 6.04806e-05 +38 *1778:10 *1796:12 1.09896e-05 +*RES +1 *20040:X *1796:10 38.0104 +2 *1796:10 *1796:12 80.107 +3 *1796:12 *20051:C 13.7491 +*END + +*D_NET *1797 0.0219406 +*CONN +*I *20050:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22726:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22411:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *20041:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20050:A1 0.000289172 +2 *22726:A1 0 +3 *22411:A1 0.00125279 +4 *20041:Y 0 +5 *1797:41 0.00189755 +6 *1797:20 0.0030954 +7 *1797:4 0.003451 +8 *20050:A1 *20050:B2 3.45406e-05 +9 *20050:A1 *21517:B1 0.000540904 +10 *20050:A1 *1798:36 7.02358e-06 +11 *20050:A1 *1798:47 2.96495e-05 +12 *20050:A1 *2531:65 3.87762e-06 +13 *20050:A1 *2583:61 0.000225326 +14 *20050:A1 *3719:26 0.000301209 +15 *20050:A1 *3722:13 1.65872e-05 +16 *20050:A1 *3736:18 5.76509e-05 +17 *22411:A1 *22429:C1 0.000114222 +18 *22411:A1 *22433:B1 0.000114222 +19 *22411:A1 *2619:71 0.000179059 +20 *22411:A1 *3179:103 0.000264586 +21 *22411:A1 *3671:83 5.50955e-05 +22 *1797:20 *21620:A 5.38612e-06 +23 *1797:20 *22435:C1 7.19754e-05 +24 *1797:20 *22499:A1 2.02035e-05 +25 *1797:20 *22808:A1 0.000124601 +26 *1797:20 *2012:8 0.000221881 +27 *1797:20 *2012:10 0.000377327 +28 *1797:20 *2012:45 0.000660605 +29 *1797:20 *2281:13 2.14262e-05 +30 *1797:20 *2514:45 1.26344e-05 +31 *1797:20 *2521:27 0.000502955 +32 *1797:20 *2571:15 0.00039232 +33 *1797:20 *2620:76 5.60804e-05 +34 *1797:20 *2979:20 1.91246e-05 +35 *1797:20 *3028:30 0.00111732 +36 *1797:20 *3134:62 0.000114189 +37 *1797:41 *20041:A 5.04829e-06 +38 *1797:41 *22726:B2 2.88016e-05 +39 *1797:41 *22727:C1 3.82228e-05 +40 *1797:41 *22799:A1 2.44118e-05 +41 *1797:41 *22799:A2 6.11872e-05 +42 *1797:41 *22799:B1 2.38735e-05 +43 *1797:41 *3476:56 2.09851e-05 +44 *1797:41 *3736:18 0.000340742 +45 *74:44 *1797:41 0.000825128 +46 *1454:59 *1797:20 0.000191017 +47 *1461:262 *1797:41 0.00206685 +48 *1461:302 *1797:41 0.000152158 +49 *1496:111 *20050:A1 0.000145812 +50 *1706:46 *1797:20 5.88009e-05 +51 *1706:87 *1797:20 0.000545826 +52 *1740:110 *1797:20 4.06634e-05 +53 *1756:67 *22411:A1 0.000540283 +54 *1794:44 *1797:20 0.00112688 +55 *1794:60 *1797:41 5.60178e-05 +*RES +1 *20041:Y *1797:4 9.24915 +2 *1797:4 *1797:20 47.2647 +3 *1797:20 *22411:A1 38.9671 +4 *1797:4 *1797:41 43.1385 +5 *1797:41 *22726:A1 9.24915 +6 *1797:41 *20050:A1 29.4108 +*END + +*D_NET *1798 0.0361784 +*CONN +*I *21851:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20483:B I *D sky130_fd_sc_hd__or2_1 +*I *21707:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *20050:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21517:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21987:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20042:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21851:A2 0.000392416 +2 *20483:B 0.000654837 +3 *21707:B2 0.000174673 +4 *20050:A2 0 +5 *21517:B2 0.000501501 +6 *21987:B2 9.92605e-05 +7 *20042:X 0.00167387 +8 *1798:92 0.00204147 +9 *1798:84 0.00251228 +10 *1798:62 0.00175278 +11 *1798:47 0.000933048 +12 *1798:36 0.00151377 +13 *1798:17 0.00269723 +14 *20483:B *2614:38 0.000107576 +15 *20483:B *2844:67 0.000104166 +16 *20483:B *5592:33 0.000187606 +17 *21517:B2 *21517:B1 0.00021448 +18 *21517:B2 *2572:67 9.51132e-06 +19 *21517:B2 *3719:26 3.99086e-06 +20 *21517:B2 *3736:18 6.08467e-05 +21 *21707:B2 *21707:B1 0.000340742 +22 *21707:B2 *1800:75 4.31603e-06 +23 *21707:B2 *2734:24 0.000156955 +24 *21851:A2 *21851:A1 0 +25 *21851:A2 *21851:B1 4.31603e-06 +26 *21851:A2 *22488:B2 0.000187677 +27 *21851:A2 *22784:A2 5.62995e-06 +28 *21851:A2 *22784:B1 3.29286e-05 +29 *21851:A2 *3461:26 4.07255e-05 +30 *21851:A2 *3537:67 1.19856e-05 +31 *21987:B2 *21456:C1 9.28716e-05 +32 *21987:B2 *22803:B1 0.000132149 +33 *21987:B2 *1800:8 7.75133e-06 +34 *1798:17 *21564:C1 0.000111802 +35 *1798:17 *2338:52 0.000130464 +36 *1798:17 *2619:83 0.000226132 +37 *1798:17 *2932:12 0.000104683 +38 *1798:17 *2933:43 6.08467e-05 +39 *1798:17 *2933:81 0.00115531 +40 *1798:17 *2959:35 0.000104513 +41 *1798:17 *3002:26 0.000230638 +42 *1798:17 *3003:57 0.000782021 +43 *1798:17 *3023:41 0.000531038 +44 *1798:17 *3139:75 7.62302e-06 +45 *1798:36 *2524:8 7.81584e-05 +46 *1798:36 *3521:41 5.60804e-05 +47 *1798:36 *3736:18 5.01835e-05 +48 *1798:47 *2524:8 0.000125758 +49 *1798:62 *2524:8 1.03607e-05 +50 *1798:62 *2726:22 0.000312475 +51 *1798:62 *4808:76 8.27686e-05 +52 *1798:62 *4808:90 1.17393e-05 +53 *1798:84 *21696:A1 3.06917e-06 +54 *1798:84 *22246:B2 0 +55 *1798:84 *2543:88 2.91909e-05 +56 *1798:84 *2649:64 0.00025175 +57 *1798:84 *2680:52 3.82228e-05 +58 *1798:84 *3722:8 8.22431e-05 +59 *1798:92 *2543:79 7.09666e-06 +60 *1798:92 *2649:64 0.00111291 +61 *1798:92 *3128:142 7.82901e-05 +62 *1798:92 *3394:8 0.000237258 +63 *1798:92 *5592:33 0.000357635 +64 *20050:A1 *1798:36 7.02358e-06 +65 *20050:A1 *1798:47 2.96495e-05 +66 *21467:B2 *1798:62 3.25695e-05 +67 *21564:A2 *1798:17 5.51785e-05 +68 *21695:A2 *1798:84 0.000101751 +69 *21851:B2 *21851:A2 0.000200447 +70 *1459:129 *1798:62 2.51591e-05 +71 *1459:129 *1798:84 3.2029e-05 +72 *1496:111 *1798:47 9.38827e-06 +73 *1496:111 *1798:62 0.000427325 +74 *1496:127 *1798:62 3.7061e-05 +75 *1496:130 *1798:84 8.22431e-05 +76 *1537:101 *1798:84 0.000193274 +77 *1537:117 *1798:92 0.0014991 +78 *1544:108 *1798:36 5.69128e-05 +79 *1636:108 *1798:62 3.08958e-05 +80 *1706:87 *1798:17 0.000296412 +81 *1708:70 *1798:36 0.00200756 +82 *1721:25 *1798:36 0.000359169 +83 *1721:44 *1798:36 0.000538025 +84 *1721:66 *1798:36 0.00109537 +85 *1723:24 *1798:17 2.33852e-05 +86 *1723:100 *1798:84 0.000586888 +87 *1725:97 *1798:17 0.00164596 +88 *1744:171 *20483:B 0.000203595 +89 *1762:119 *1798:84 0.000298399 +90 *1762:132 *21707:B2 2.07503e-05 +91 *1762:132 *1798:62 1.88014e-05 +92 *1776:26 *1798:92 4.91555e-05 +93 *1777:15 *1798:92 0.00193459 +94 *1778:10 *1798:62 8.08437e-05 +95 *1778:10 *1798:84 0.000316448 +96 *1784:169 *1798:62 3.57519e-05 +97 *1794:118 *1798:17 0.000823646 +*RES +1 *20042:X *1798:17 44.3596 +2 *1798:17 *21987:B2 19.9881 +3 *1798:17 *1798:36 10.4571 +4 *1798:36 *21517:B2 21.9717 +5 *1798:36 *1798:47 2.6625 +6 *1798:47 *20050:A2 13.7491 +7 *1798:47 *1798:62 27.8363 +8 *1798:62 *21707:B2 18.9335 +9 *1798:62 *1798:84 34.8493 +10 *1798:84 *1798:92 49.932 +11 *1798:92 *20483:B 29.6172 +12 *1798:84 *21851:A2 28.1958 +*END + +*D_NET *1799 0.049722 +*CONN +*I *22217:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22554:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20050:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20043:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22217:A1 0.000131626 +2 *22554:B1 0.000164956 +3 *20050:B1 0.00222193 +4 *20043:Y 0.000139004 +5 *1799:34 0.00583507 +6 *1799:31 0.00368933 +7 *1799:28 0.00171199 +8 *1799:26 0.00194645 +9 *1799:21 0.00127133 +10 *1799:10 0.00182796 +11 *1799:7 0.00103962 +12 *20050:B1 *20050:B2 3.75603e-05 +13 *20050:B1 *20050:C1 6.08467e-05 +14 *20050:B1 *21517:B1 5.04829e-06 +15 *20050:B1 *23925:B 1.26643e-05 +16 *20050:B1 *2531:65 1.2894e-05 +17 *20050:B1 *2726:22 0.000550824 +18 *20050:B1 *2734:24 0.000393188 +19 *20050:B1 *3517:53 0.000103791 +20 *20050:B1 *3722:8 8.38786e-05 +21 *20050:B1 *4808:76 0.000566014 +22 *22217:A1 *22217:A2 9.5146e-06 +23 *22217:A1 *22217:B2 2.65831e-05 +24 *22217:A1 *22218:C1 6.78596e-05 +25 *22217:A1 *2956:42 4.35662e-05 +26 *22554:B1 *2556:28 2.02035e-05 +27 *22554:B1 *3502:197 5.83326e-05 +28 *22554:B1 *3511:68 0.000372047 +29 *22554:B1 *3739:8 2.02035e-05 +30 *1799:7 *21852:A 0.000213739 +31 *1799:10 *1966:6 0.000273028 +32 *1799:10 *1992:14 3.25508e-05 +33 *1799:10 *2263:8 0.000101148 +34 *1799:10 *2347:14 0.000981548 +35 *1799:10 *2846:10 0.000111151 +36 *1799:10 *2846:14 0.00212226 +37 *1799:21 *20670:B1 3.37866e-05 +38 *1799:21 *1992:14 4.51808e-05 +39 *1799:21 *2843:12 0 +40 *1799:21 *2846:14 0.00024151 +41 *1799:21 *2846:16 0.000723051 +42 *1799:21 *2978:8 0.000776396 +43 *1799:21 *5855:530 0.00023932 +44 *1799:21 *5921:72 0.000207266 +45 *1799:26 *20672:B2 0.000301385 +46 *1799:26 *24455:SET_B 0.000181044 +47 *1799:26 *1884:154 0 +48 *1799:26 *2737:16 0.000788005 +49 *1799:28 *22208:C1 0.000129735 +50 *1799:28 *24455:SET_B 0.000175921 +51 *1799:28 *2737:16 0.000263446 +52 *1799:28 *2956:42 0.000306723 +53 *1799:28 *4845:678 0.000179892 +54 *1799:31 *2956:42 7.49434e-05 +55 *1799:31 *2963:127 0 +56 *1799:34 *21814:B1 0.000351201 +57 *1799:34 *22270:B2 0.00123326 +58 *1799:34 *2846:36 0.00162416 +59 *1799:34 *3579:23 5.11419e-05 +60 *19810:B1 *1799:34 0.000159964 +61 *22737:B1 *20050:B1 2.69795e-05 +62 *24457:D *1799:21 5.04829e-06 +63 *1435:182 *20050:B1 0.000570736 +64 *1435:229 *20050:B1 0.000159964 +65 *1435:251 *20050:B1 0.000907386 +66 *1435:251 *1799:34 0.00128331 +67 *1544:133 *20050:B1 0.000127042 +68 *1595:43 *1799:28 0.00215416 +69 *1595:101 *1799:34 0.000130618 +70 *1596:14 *20050:B1 0.000180123 +71 *1596:31 *20050:B1 0.000164424 +72 *1596:31 *1799:34 3.29309e-05 +73 *1611:8 *22217:A1 5.39635e-06 +74 *1646:12 *1799:28 0.00286897 +75 *1646:35 *1799:34 0.000705248 +76 *1647:35 *1799:34 0.0010776 +77 *1658:73 *22217:A1 1.17162e-05 +78 *1658:73 *1799:31 9.5059e-06 +79 *1668:85 *20050:B1 0.00138353 +80 *1708:124 *1799:21 9.04224e-05 +81 *1716:24 *1799:34 0.000127212 +82 *1721:107 *1799:34 0.000856604 +83 *1721:154 *1799:34 0.00107979 +84 *1721:164 *22217:A1 7.86825e-06 +85 *1751:120 *20050:B1 5.73392e-05 +86 *1751:145 *22554:B1 0.000366684 +87 *1770:59 *1799:34 0.000411853 +88 *1772:37 *20050:B1 6.08467e-05 +89 *1772:71 *20050:B1 4.88722e-05 +90 *1783:128 *1799:31 0.000210651 +91 *1786:65 *20050:B1 0.000118168 +92 *1786:71 *20050:B1 6.08467e-05 +93 *1787:23 *1799:28 2.82535e-05 +94 *1788:139 *1799:28 3.21373e-05 +95 *1788:162 *1799:28 1.2716e-05 +*RES +1 *20043:Y *1799:7 16.1364 +2 *1799:7 *1799:10 42.6366 +3 *1799:10 *1799:21 46.515 +4 *1799:21 *1799:26 19.3147 +5 *1799:26 *1799:28 65.7808 +6 *1799:28 *1799:31 3.76288 +7 *1799:31 *1799:34 14.6007 +8 *1799:34 *20050:B1 31.3112 +9 *1799:34 *22554:B1 19.4089 +10 *1799:31 *22217:A1 18.4611 +*END + +*D_NET *1800 0.0905693 +*CONN +*I *21882:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20050:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21359:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20423:B I *D sky130_fd_sc_hd__or2_1 +*I *6166:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21290:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21975:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *21229:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21707:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *21450:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20044:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21882:A2 0.000630242 +2 *20050:B2 0.00022518 +3 *21359:A2 0.000491952 +4 *20423:B 0 +5 *6166:DIODE 1.26312e-05 +6 *21290:B2 0.000137708 +7 *21975:B2 0.00155776 +8 *21229:B2 3.00134e-05 +9 *21707:A2 0 +10 *21450:B2 0.000104469 +11 *20044:X 0 +12 *1800:249 0.00246842 +13 *1800:187 0.000104554 +14 *1800:184 0.0013908 +15 *1800:183 0.00323856 +16 *1800:171 0.00269343 +17 *1800:151 0.00156788 +18 *1800:128 0.00379013 +19 *1800:126 0.00198773 +20 *1800:119 0.00178137 +21 *1800:112 0.00175208 +22 *1800:95 0.00129296 +23 *1800:76 0.00185982 +24 *1800:75 0.00241968 +25 *1800:47 0.002417 +26 *1800:33 0.00173292 +27 *1800:14 0.0012687 +28 *1800:8 0.00185622 +29 *1800:4 0.00353317 +30 *6166:DIODE *1884:133 6.50727e-05 +31 *6166:DIODE *2263:9 2.65831e-05 +32 *20050:B2 *20051:D 0.000391697 +33 *20050:B2 *2531:65 2.77136e-05 +34 *21229:B2 *3466:201 0.000107496 +35 *21359:A2 *21359:B1 0 +36 *21359:A2 *2686:29 0.000112149 +37 *21359:A2 *5456:11 0.000332346 +38 *21450:B2 *21450:B1 1.03403e-05 +39 *21450:B2 *21456:A1 0.000247443 +40 *21450:B2 *21469:B 1.03403e-05 +41 *21882:A2 *21063:B1 9.7112e-06 +42 *21882:A2 *21882:B1 4.95745e-05 +43 *21882:A2 *21882:C1 0.000384107 +44 *21882:A2 *21894:B1 0.000397173 +45 *21882:A2 *2894:20 8.54149e-06 +46 *21882:A2 *2894:39 2.25583e-07 +47 *21882:A2 *3162:21 0.000205687 +48 *21882:A2 *3502:18 7.60356e-05 +49 *21882:A2 *4920:60 0.000116605 +50 *21975:B2 *21092:B2 0.000545674 +51 *21975:B2 *21975:B1 5.28741e-05 +52 *21975:B2 *22220:B2 1.37123e-05 +53 *21975:B2 *22253:B1 8.9119e-06 +54 *21975:B2 *22319:A1 1.66771e-05 +55 *21975:B2 *2298:17 0.000221919 +56 *21975:B2 *2819:38 0.000226308 +57 *21975:B2 *2956:18 1.33241e-05 +58 *21975:B2 *3146:181 8.74668e-05 +59 *21975:B2 *3164:180 0.000126206 +60 *21975:B2 *5865:70 0.000588137 +61 *21975:B2 *5865:88 0.000211468 +62 *1800:8 *21456:C1 3.26192e-05 +63 *1800:8 *21969:A1 9.39114e-06 +64 *1800:8 *22436:B1 1.561e-05 +65 *1800:8 *22750:C1 8.17829e-06 +66 *1800:8 *22803:B1 0 +67 *1800:8 *22803:B2 0.000206322 +68 *1800:8 *1804:64 3.29488e-05 +69 *1800:8 *2611:23 0.000134926 +70 *1800:8 *2865:32 6.08467e-05 +71 *1800:8 *2934:22 3.38973e-05 +72 *1800:8 *2936:67 0.000340585 +73 *1800:8 *2974:40 5.35941e-05 +74 *1800:8 *3162:27 2.57847e-05 +75 *1800:8 *3498:75 1.5714e-05 +76 *1800:8 *3500:65 4.89681e-06 +77 *1800:8 *3722:29 2.91021e-05 +78 *1800:8 *3734:18 0.000194659 +79 *1800:14 *2622:9 0.000250254 +80 *1800:14 *2632:83 0.000247443 +81 *1800:14 *3406:14 0.00011393 +82 *1800:33 *3406:14 0.000552583 +83 *1800:33 *3471:25 4.23858e-05 +84 *1800:33 *3668:18 0.000204029 +85 *1800:47 *21599:C1 4.31485e-06 +86 *1800:47 *23925:B 0.000248204 +87 *1800:47 *3739:8 1.04965e-05 +88 *1800:47 *4808:76 0.000243855 +89 *1800:75 *21707:A1 0.000118293 +90 *1800:75 *21730:B1 0.000154959 +91 *1800:75 *21909:A1 9.23612e-05 +92 *1800:75 *2564:68 0.000863202 +93 *1800:75 *2659:17 0.000304975 +94 *1800:75 *2805:22 3.7797e-05 +95 *1800:75 *3529:62 0.000116268 +96 *1800:75 *3613:10 5.35941e-05 +97 *1800:75 *3739:8 0.000763707 +98 *1800:75 *4848:8 0.00076396 +99 *1800:75 *5592:70 0.000130477 +100 *1800:76 *2686:29 0.000152828 +101 *1800:76 *3527:54 0.000968712 +102 *1800:76 *4848:27 0.000975735 +103 *1800:76 *5456:11 0.00236149 +104 *1800:95 *22695:B1 1.80692e-05 +105 *1800:95 *2093:98 0.0001387 +106 *1800:95 *2534:44 6.07312e-05 +107 *1800:95 *2679:46 6.47302e-06 +108 *1800:95 *2880:45 0.000127809 +109 *1800:95 *2887:41 0.000132548 +110 *1800:95 *3021:39 0.000167017 +111 *1800:95 *3139:192 0.0001673 +112 *1800:95 *4822:80 0.000641563 +113 *1800:112 *22635:D 6.22114e-05 +114 *1800:112 *2857:18 0.00027549 +115 *1800:112 *3466:201 0.000154145 +116 *1800:119 *22268:A1 5.01835e-05 +117 *1800:119 *22290:A1 0.000300514 +118 *1800:119 *22379:B2 7.32444e-05 +119 *1800:119 *22604:B2 0.000469159 +120 *1800:119 *22698:B1 4.07765e-05 +121 *1800:119 *2344:18 0.000238641 +122 *1800:119 *2344:21 3.84269e-05 +123 *1800:119 *2881:51 9.65171e-05 +124 *1800:119 *2896:72 0.000128739 +125 *1800:119 *3020:26 0.000148544 +126 *1800:119 *3026:18 0.000137356 +127 *1800:119 *3122:153 7.13972e-05 +128 *1800:119 *3238:44 5.88594e-05 +129 *1800:119 *3581:25 7.92757e-06 +130 *1800:119 *3581:29 0.000205305 +131 *1800:126 *21284:C1 2.95809e-05 +132 *1800:126 *22380:B1 2.77564e-05 +133 *1800:126 *22698:A1 0.000446351 +134 *1800:126 *22699:C1 0.000430272 +135 *1800:126 *2343:32 1.94289e-05 +136 *1800:126 *2888:28 0.00012788 +137 *1800:126 *3238:44 0.000113549 +138 *1800:126 *3511:192 1.5714e-05 +139 *1800:128 *22285:A1 1.28646e-05 +140 *1800:128 *22695:B1 1.91391e-05 +141 *1800:128 *22699:B1 6.45397e-05 +142 *1800:128 *22699:C1 0.000291697 +143 *1800:128 *22702:B2 0.000379937 +144 *1800:128 *2340:32 7.90974e-05 +145 *1800:128 *2343:32 0.000124658 +146 *1800:128 *2348:15 0 +147 *1800:128 *2374:48 0.000128162 +148 *1800:128 *2375:36 0.000308421 +149 *1800:128 *3051:20 0.000343456 +150 *1800:128 *3523:192 0 +151 *1800:128 *3533:143 0.000138268 +152 *1800:151 *2340:24 0.000170237 +153 *1800:151 *2374:26 0.000104569 +154 *1800:151 *2375:36 0.000431601 +155 *1800:151 *4822:80 3.55968e-05 +156 *1800:171 *2340:24 0.000193303 +157 *1800:171 *2374:26 0.0010995 +158 *1800:171 *4956:27 0.000111708 +159 *1800:171 *5865:148 0.000304777 +160 *1800:183 *20705:B2 7.54541e-05 +161 *1800:183 *2018:25 4.07914e-06 +162 *1800:183 *2043:8 0.00012063 +163 *1800:183 *2370:8 6.87762e-05 +164 *1800:183 *2584:16 0.000868316 +165 *1800:183 *2776:19 0.000167106 +166 *1800:183 *2806:8 0.000249182 +167 *1800:183 *2881:18 0.000439144 +168 *1800:183 *2881:22 6.65506e-05 +169 *1800:183 *2886:8 0.000546504 +170 *1800:183 *3034:25 0.00010657 +171 *1800:183 *4822:56 0.000281688 +172 *1800:183 *4839:105 0.000195919 +173 *1800:183 *4844:665 0.000280176 +174 *1800:183 *5859:84 0.000534007 +175 *1800:184 *20882:B1 2.54335e-05 +176 *1800:184 *20884:B1 0.000169093 +177 *1800:184 *21972:A 0.000247231 +178 *1800:184 *1884:129 1.09738e-05 +179 *1800:184 *2566:28 0.000104469 +180 *1800:184 *2809:14 2.28264e-05 +181 *1800:184 *2984:8 0 +182 *1800:184 *2986:17 0.00140352 +183 *1800:184 *4840:101 0.000211198 +184 *1800:184 *5103:8 9.75356e-05 +185 *1800:184 *5105:9 6.31954e-05 +186 *1800:187 *1884:129 0.000313481 +187 *1800:187 *1884:133 0.000102003 +188 *1800:187 *2263:9 2.41483e-05 +189 *1800:187 *2503:9 0.000464113 +190 *1800:249 *21995:D 0.000136028 +191 *1800:249 *22765:B1 1.98185e-05 +192 *1800:249 *22765:B2 7.60356e-05 +193 *1800:249 *22765:C1 6.3657e-05 +194 *1800:249 *2428:117 0.000147332 +195 *1800:249 *2667:86 0.000110306 +196 *1800:249 *2667:93 1.81004e-05 +197 *1800:249 *2865:32 0.000573987 +198 *1800:249 *3053:14 1.73119e-05 +199 *1800:249 *3071:30 7.09666e-06 +200 *1800:249 *3117:74 7.72394e-06 +201 *1800:249 *3136:84 6.03122e-05 +202 *1800:249 *3162:21 0.000107518 +203 *1800:249 *3162:27 0.000179639 +204 *1800:249 *3722:29 0.00110299 +205 *19852:A *1800:47 0.000107496 +206 *19899:A *1800:75 0.000425619 +207 *19995:A *1800:8 0.000110296 +208 *20050:A1 *20050:B2 3.45406e-05 +209 *20050:B1 *20050:B2 3.75603e-05 +210 *20051:B *20050:B2 0.000111937 +211 *21085:A *21290:B2 7.7434e-05 +212 *21225:A2 *1800:119 5.36085e-05 +213 *21225:B2 *1800:119 7.6125e-05 +214 *21235:A2 *1800:119 1.66626e-05 +215 *21235:B2 *1800:119 5.36085e-05 +216 *21290:A2 *21290:B2 7.90842e-05 +217 *21359:B2 *21359:A2 0 +218 *21396:B2 *21229:B2 6.08467e-05 +219 *21456:A2 *1800:8 0.000601512 +220 *21611:B2 *21975:B2 0 +221 *21707:B2 *1800:75 4.31603e-06 +222 *21856:A2 *1800:128 2.04354e-05 +223 *21882:B2 *21882:A2 5.04829e-06 +224 *21975:A2 *21975:B2 0.000363208 +225 *21987:A2 *1800:8 6.17183e-05 +226 *21987:B2 *1800:8 7.75133e-06 +227 *24348:D *1800:184 5.0459e-05 +228 *324:27 *1800:95 0.000104754 +229 *500:13 *1800:128 5.87762e-05 +230 *522:18 *21882:A2 0.000146089 +231 *1435:182 *1800:47 0.00044704 +232 *1443:49 *1800:249 0.000719478 +233 *1449:84 *1800:249 0.000203756 +234 *1450:177 *21359:A2 3.73375e-05 +235 *1450:188 *21359:A2 9.68221e-05 +236 *1510:116 *1800:8 0.000245304 +237 *1537:80 *1800:47 7.09666e-06 +238 *1537:101 *1800:47 0.000120643 +239 *1537:101 *1800:75 7.92757e-06 +240 *1542:127 *1800:14 0.000110505 +241 *1542:127 *1800:33 0.00102372 +242 *1564:37 *1800:249 0.000111454 +243 *1596:14 *1800:33 0.000100607 +244 *1608:46 *1800:95 0.00011224 +245 *1608:46 *1800:112 4.60876e-05 +246 *1608:46 *1800:119 8.08437e-05 +247 *1625:83 *1800:75 6.69159e-05 +248 *1627:79 *1800:95 5.60804e-05 +249 *1627:160 *21975:B2 0 +250 *1629:55 *1800:183 0 +251 *1631:90 *1800:171 0.000107496 +252 *1635:42 *1800:75 0.000631722 +253 *1635:42 *1800:95 0.000129141 +254 *1635:47 *1800:95 0.000112568 +255 *1635:47 *1800:112 4.15661e-05 +256 *1641:130 *1800:112 2.37879e-05 +257 *1641:138 *1800:112 0.000201834 +258 *1641:138 *1800:119 0.000620528 +259 *1642:46 *1800:33 0.00027993 +260 *1645:49 *21882:A2 0.00039493 +261 *1645:49 *1800:249 0.000303172 +262 *1649:123 *1800:75 0.000548012 +263 *1654:10 *1800:184 0.000218639 +264 *1654:12 *1800:184 0.00106918 +265 *1655:199 *1800:128 5.04412e-05 +266 *1656:8 *1800:184 0.000158208 +267 *1660:40 *21882:A2 0.000112863 +268 *1669:156 *21882:A2 1.87508e-05 +269 *1684:85 *1800:8 0.000673178 +270 *1720:62 *1800:33 0.000368327 +271 *1721:118 *21229:B2 1.65872e-05 +272 *1751:145 *1800:75 2.9077e-05 +273 *1762:132 *1800:47 0.000596029 +274 *1784:96 *1800:128 0.000102553 +275 *1784:96 *1800:151 0.000356022 +276 *1784:111 *1800:171 0.000214244 +277 *1784:124 *1800:171 0.000709787 +278 *1786:71 *20050:B2 0.000275737 +279 *1789:6 *1800:184 0.000409197 +280 *1790:73 *1800:95 6.03122e-05 +*RES +1 *20044:X *1800:4 9.24915 +2 *1800:4 *1800:8 48.5806 +3 *1800:8 *1800:14 14.6043 +4 *1800:14 *21450:B2 16.691 +5 *1800:14 *1800:33 38.7228 +6 *1800:33 *1800:47 34.4633 +7 *1800:47 *21707:A2 9.24915 +8 *1800:47 *1800:75 40.8287 +9 *1800:75 *1800:76 27.3444 +10 *1800:76 *1800:95 23.4451 +11 *1800:95 *21229:B2 15.0271 +12 *1800:95 *1800:112 19.3819 +13 *1800:112 *1800:119 47.2699 +14 *1800:119 *1800:126 14.4239 +15 *1800:126 *1800:128 40.0352 +16 *1800:128 *21975:B2 26.0177 +17 *1800:128 *1800:151 18.5276 +18 *1800:151 *21290:B2 17.2456 +19 *1800:151 *1800:171 44.2942 +20 *1800:171 *1800:183 47.2865 +21 *1800:183 *1800:184 52.7004 +22 *1800:184 *1800:187 10.2148 +23 *1800:187 *6166:DIODE 9.97254 +24 *1800:187 *20423:B 9.24915 +25 *1800:76 *21359:A2 20.7511 +26 *1800:33 *20050:B2 22.454 +27 *1800:4 *1800:249 48.1448 +28 *1800:249 *21882:A2 43.3597 +*END + +*D_NET *1801 0.093597 +*CONN +*I *20049:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22247:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22565:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20045:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20049:A1 0.00385462 +2 *22247:A1 0.000513428 +3 *22565:B1 0.000290972 +4 *20045:Y 0.000260198 +5 *1801:75 0.00450199 +6 *1801:70 0.0105933 +7 *1801:59 0.0127303 +8 *1801:52 0.00314843 +9 *1801:49 0.0021977 +10 *1801:38 0.00215454 +11 *1801:17 0.00305581 +12 *1801:16 0.00219067 +13 *20049:A1 *22731:B2 4.15661e-05 +14 *20049:A1 *2936:67 0.000172237 +15 *20049:A1 *2955:43 0 +16 *20049:A1 *2964:35 0.000167419 +17 *20049:A1 *2994:29 6.8488e-05 +18 *20049:A1 *3411:16 0.00406266 +19 *20049:A1 *3500:65 1.5714e-05 +20 *20049:A1 *3502:58 3.77568e-05 +21 *20049:A1 *3734:18 0.00302579 +22 *22247:A1 *22029:B1 0 +23 *22247:A1 *22247:A2 6.37623e-05 +24 *22247:A1 *22247:B2 2.53145e-06 +25 *22247:A1 *22270:B2 2.574e-05 +26 *22247:A1 *2117:143 7.35518e-06 +27 *22247:A1 *2535:40 3.77568e-05 +28 *22247:A1 *2771:58 2.57847e-05 +29 *22247:A1 *2873:17 1.87091e-06 +30 *22247:A1 *2883:64 9.40928e-06 +31 *22247:A1 *3122:173 0.000748447 +32 *22565:B1 *2782:10 0.000337019 +33 *22565:B1 *2954:44 0.000201271 +34 *22565:B1 *3519:179 6.23875e-05 +35 *1801:16 *2719:22 0.000365174 +36 *1801:16 *3016:22 0.000115934 +37 *1801:16 *5452:11 0.000362238 +38 *1801:17 *20687:A1 7.18062e-05 +39 *1801:17 *20687:B2 6.23875e-05 +40 *1801:17 *2949:32 0.000164815 +41 *1801:17 *3002:7 0.00049786 +42 *1801:38 *1936:22 0.000169063 +43 *1801:38 *1937:31 5.30055e-05 +44 *1801:38 *2785:30 3.77568e-05 +45 *1801:38 *2999:20 0.000830566 +46 *1801:38 *5452:11 0.000224096 +47 *1801:49 *20372:A1 1.92336e-05 +48 *1801:49 *20372:A2 0.000275256 +49 *1801:49 *20372:B1 0.000197832 +50 *1801:49 *20372:B2 6.50727e-05 +51 *1801:49 *24059:A1 0.000116014 +52 *1801:49 *24059:S 5.05791e-05 +53 *1801:49 *1936:22 0.000335263 +54 *1801:49 *6001:84 0.000400335 +55 *1801:52 *21121:A 0.000439827 +56 *1801:52 *23376:A 3.97974e-05 +57 *1801:52 *2561:26 0.00248936 +58 *1801:52 *5476:38 0 +59 *1801:59 *2627:24 8.56518e-05 +60 *1801:59 *5860:46 8.94363e-05 +61 *1801:70 *20536:B1 0 +62 *1801:70 *21777:A1 0 +63 *1801:70 *22428:B1 0.00592265 +64 *1801:70 *24057:A1 6.69158e-05 +65 *1801:70 *2138:10 0 +66 *1801:70 *2138:59 0 +67 *1801:70 *2655:25 0 +68 *1801:70 *2943:68 0.000117093 +69 *1801:70 *5457:13 0.00142929 +70 *1801:70 *6021:14 0.00140643 +71 *1801:75 *22029:B1 0 +72 *1801:75 *22466:B1 0.000108986 +73 *1801:75 *2117:143 0.000392273 +74 *1801:75 *2536:28 0.00181398 +75 *1801:75 *2543:28 3.14646e-05 +76 *1801:75 *2662:14 5.19592e-05 +77 *1801:75 *2684:24 0 +78 *1801:75 *3122:173 7.7326e-06 +79 *1801:75 *3513:143 0.000117831 +80 *1801:75 *3529:155 0.000359293 +81 *1801:75 *3671:20 6.02414e-05 +82 *1801:75 *3705:17 0 +83 *1801:75 *3750:13 0.000222872 +84 *1801:75 *5815:64 1.72028e-05 +85 *19779:A *1801:38 0.000418509 +86 *20885:A *1801:70 4.20184e-06 +87 *24059:A0 *1801:49 0.000214456 +88 *24446:D *1801:17 0.000451182 +89 *24661:D *1801:49 5.11815e-05 +90 *472:7 *1801:38 0.000909817 +91 *472:19 *1801:38 0.000133939 +92 *1442:41 *1801:38 0 +93 *1442:55 *1801:38 0 +94 *1448:213 *1801:49 1.65872e-05 +95 *1449:45 *1801:16 9.58239e-05 +96 *1461:195 *1801:16 5.01835e-05 +97 *1471:10 *1801:16 5.01835e-05 +98 *1485:112 *1801:52 0.000456613 +99 *1502:129 *1801:38 4.12833e-05 +100 *1537:9 *1801:38 0.000202947 +101 *1537:12 *1801:38 3.77568e-05 +102 *1537:267 *1801:17 0.00295896 +103 *1547:34 *1801:75 0.000787826 +104 *1563:46 *1801:16 0.000100369 +105 *1575:29 *1801:75 9.76447e-05 +106 *1586:77 *22565:B1 0.000108104 +107 *1587:137 *20049:A1 0.000868901 +108 *1587:137 *1801:59 0.00355115 +109 *1594:27 *1801:75 1.6086e-05 +110 *1594:85 *22247:A1 4.06478e-05 +111 *1596:76 *22565:B1 2.073e-05 +112 *1597:20 *1801:70 0.000110809 +113 *1603:28 *1801:70 0.000915998 +114 *1643:28 *22565:B1 5.60364e-06 +115 *1647:35 *22247:A1 3.0388e-05 +116 *1683:17 *1801:38 0 +117 *1697:52 *1801:59 0 +118 *1714:25 *1801:49 0.00122295 +119 *1721:154 *22247:A1 2.20687e-05 +120 *1728:10 *20049:A1 5.21307e-05 +121 *1730:113 *1801:70 0.00207871 +122 *1732:92 *20049:A1 4.69794e-06 +123 *1756:60 *1801:52 0.000517201 +124 *1783:38 *1801:70 0.00214934 +125 *1795:62 *1801:75 0.000510506 +*RES +1 *20045:Y *1801:16 30.0223 +2 *1801:16 *1801:17 51.1923 +3 *1801:17 *1801:38 43.7752 +4 *1801:38 *1801:49 38.3308 +5 *1801:49 *1801:52 49.8404 +6 *1801:52 *1801:59 13.9854 +7 *1801:59 *1801:70 49.0921 +8 *1801:70 *1801:75 8.90612 +9 *1801:75 *22565:B1 25.9732 +10 *1801:75 *22247:A1 20.3347 +11 *1801:59 *20049:A1 32.2928 +*END + +*D_NET *1802 0.0876726 +*CONN +*I *20575:B I *D sky130_fd_sc_hd__or2_1 +*I *21888:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21390:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21284:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21212:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21450:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21993:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20049:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21724:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20046:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20575:B 0.000110579 +2 *21888:B2 0 +3 *21390:B2 0.000167198 +4 *21284:B2 0 +5 *21212:A2 6.20691e-05 +6 *21450:A2 0.00020419 +7 *21993:A2 0.00106076 +8 *20049:A2 0.000119557 +9 *21724:A2 0.00114833 +10 *20046:X 0 +11 *1802:206 0.00121851 +12 *1802:187 0.00172924 +13 *1802:177 0.00126115 +14 *1802:175 0.0016992 +15 *1802:172 0.00152398 +16 *1802:154 0.00139627 +17 *1802:143 0.00321265 +18 *1802:136 0.00325437 +19 *1802:116 0.003302 +20 *1802:99 0.00186666 +21 *1802:98 0.0010435 +22 *1802:80 0.00344774 +23 *1802:39 0.000170286 +24 *1802:38 0.000503038 +25 *1802:32 0.00151307 +26 *1802:30 0.00335076 +27 *1802:8 0.0030216 +28 *1802:4 0.00141911 +29 *20049:A2 *22732:C1 7.63448e-05 +30 *20049:A2 *22733:C1 0.000350165 +31 *20575:B *20575:A 3.5534e-06 +32 *20575:B *21390:B1 0.000360145 +33 *20575:B *2753:67 0.000360145 +34 *21212:A2 *21212:A1 7.23423e-05 +35 *21212:A2 *21212:C1 4.29635e-05 +36 *21212:A2 *2368:34 2.05342e-06 +37 *21212:A2 *3485:133 3.77568e-05 +38 *21212:A2 *3496:125 0.000160617 +39 *21390:B2 *21390:A1 8.62352e-05 +40 *21390:B2 *2450:14 5.04829e-06 +41 *21450:A2 *21450:A1 6.08467e-05 +42 *21450:A2 *21450:B1 1.58551e-05 +43 *21450:A2 *21456:A1 0.000782127 +44 *21450:A2 *2502:59 0.000167429 +45 *21724:A2 *21724:B1 1.9633e-05 +46 *21724:A2 *21725:C1 1.88152e-05 +47 *21724:A2 *4821:41 0.000206405 +48 *21993:A2 *22463:A1 3.55968e-05 +49 *21993:A2 *2504:41 4.24581e-05 +50 *21993:A2 *2932:15 2.16355e-05 +51 *21993:A2 *3002:8 0.000100908 +52 *21993:A2 *3023:17 0.00115591 +53 *21993:A2 *3131:41 0.00129968 +54 *21993:A2 *3157:54 6.74182e-05 +55 *21993:A2 *3198:53 0.000380136 +56 *21993:A2 *3683:8 0.000262208 +57 *1802:8 *3206:37 5.48682e-05 +58 *1802:30 *22431:A1 1.76701e-05 +59 *1802:30 *22779:D 2.07556e-06 +60 *1802:30 *2697:65 4.97701e-05 +61 *1802:30 *2950:125 0 +62 *1802:30 *3175:43 0.000154254 +63 *1802:30 *3702:20 0.000715079 +64 *1802:38 *21789:C1 0.000207901 +65 *1802:38 *22463:A1 8.4653e-05 +66 *1802:38 *22733:B1 1.92172e-05 +67 *1802:38 *22733:C1 6.63895e-05 +68 *1802:38 *22743:C 3.9203e-05 +69 *1802:80 *22431:A1 0.00042731 +70 *1802:80 *22803:B2 0.000272632 +71 *1802:80 *2563:26 1.5714e-05 +72 *1802:80 *2675:68 0.00175615 +73 *1802:80 *2751:8 0.000184649 +74 *1802:80 *2763:20 9.77482e-06 +75 *1802:80 *2805:22 0.000341783 +76 *1802:80 *2950:125 0.000678948 +77 *1802:80 *3175:43 0.000381119 +78 *1802:80 *3185:79 4.42907e-05 +79 *1802:80 *3719:26 1.41689e-05 +80 *1802:80 *3719:44 0.000224087 +81 *1802:98 *21590:A 0.000173106 +82 *1802:98 *21590:C 9.59749e-05 +83 *1802:98 *21909:B1 0.000147647 +84 *1802:98 *2502:59 0.000726835 +85 *1802:98 *2563:26 6.6257e-05 +86 *1802:98 *2634:29 1.41181e-05 +87 *1802:98 *2751:8 6.27579e-05 +88 *1802:116 *6096:DIODE 0.000114594 +89 *1802:116 *21450:B1 9.14195e-05 +90 *1802:116 *21583:B1 3.2768e-06 +91 *1802:116 *21590:C 6.87604e-05 +92 *1802:116 *22321:A1 1.94675e-05 +93 *1802:116 *2274:71 1.13797e-05 +94 *1802:116 *2520:91 0.00147734 +95 *1802:116 *2520:119 0.000164403 +96 *1802:116 *2613:101 2.69245e-05 +97 *1802:116 *2639:19 3.2768e-06 +98 *1802:116 *3185:79 2.54671e-05 +99 *1802:116 *3509:24 0.000268915 +100 *1802:136 *2093:51 0.00162769 +101 *1802:136 *2266:90 0.000215939 +102 *1802:136 *2378:46 0.000187261 +103 *1802:136 *2520:91 0.000114642 +104 *1802:136 *3021:13 0.000415139 +105 *1802:136 *3144:103 0.00208305 +106 *1802:136 *3509:24 8.165e-05 +107 *1802:136 *3529:39 9.74756e-05 +108 *1802:136 *4815:116 2.05082e-05 +109 *1802:143 *21372:B1 0.00022408 +110 *1802:143 *21372:D1 0.000599351 +111 *1802:143 *21635:A1 0.000139188 +112 *1802:143 *22313:B2 4.0605e-06 +113 *1802:143 *22696:A1 8.27916e-05 +114 *1802:143 *22696:C1 0.000248351 +115 *1802:143 *2280:6 0 +116 *1802:143 *2350:57 0.00024812 +117 *1802:143 *2368:64 0.000309676 +118 *1802:143 *2416:53 0.000987421 +119 *1802:143 *3139:192 0 +120 *1802:143 *3144:152 4.56465e-05 +121 *1802:143 *3481:153 5.01835e-05 +122 *1802:143 *3509:42 2.1203e-06 +123 *1802:143 *4804:96 0.000228255 +124 *1802:143 *4815:116 5.94319e-06 +125 *1802:154 *21284:A1 6.37186e-05 +126 *1802:154 *21284:B1 3.41459e-05 +127 *1802:154 *21291:B 0.000107496 +128 *1802:154 *21328:A 6.22114e-05 +129 *1802:154 *21328:B 0.000372682 +130 *1802:154 *22380:A1 7.85573e-05 +131 *1802:154 *2350:48 0.000882711 +132 *1802:154 *2350:57 0.000798842 +133 *1802:154 *2367:79 4.51619e-05 +134 *1802:154 *2368:64 0.000740165 +135 *1802:154 *3144:158 3.90689e-06 +136 *1802:154 *3144:171 0.000145357 +137 *1802:154 *3144:187 5.38465e-05 +138 *1802:154 *3337:14 8.69165e-05 +139 *1802:175 *2442:22 9.92046e-06 +140 *1802:177 *24518:RESET_B 0.000578484 +141 *1802:177 *2442:22 0.00057773 +142 *1802:177 *5870:628 0.000278775 +143 *1802:187 *20575:A 0.000880294 +144 *1802:187 *2966:23 0.00102252 +145 *1802:187 *4876:62 0.000107063 +146 *1802:187 *5870:270 0.000143371 +147 *1802:187 *5870:628 6.24695e-05 +148 *1802:206 *19672:A1 6.08467e-05 +149 *1802:206 *21040:A1 2.29454e-05 +150 *1802:206 *21040:A2 0.000403136 +151 *1802:206 *21888:C1 0.000108197 +152 *1802:206 *2181:19 0.000107496 +153 *1802:206 *2448:178 8.62126e-05 +154 *1802:206 *2450:14 0.000891314 +155 *1802:206 *2900:13 2.09851e-05 +156 *1802:206 *2900:37 2.16355e-05 +157 *1802:206 *4876:56 0.00169185 +158 *1802:206 *5860:471 0.000512054 +159 *1802:206 *5860:482 6.08467e-05 +160 *19672:S *1802:206 0.000142805 +161 *19673:A *1802:206 0.000154145 +162 *19805:A *1802:175 5.01835e-05 +163 *19862:A *21450:A2 6.92705e-05 +164 *19862:B *21450:A2 0.000107496 +165 *19883:A *1802:175 0.00061079 +166 *19927:A *1802:8 0.000212544 +167 *19927:A *1802:172 0.00042123 +168 *19949:B *1802:8 6.08467e-05 +169 *19949:B *1802:172 6.08467e-05 +170 *20010:B *1802:175 4.64438e-05 +171 *21272:B2 *1802:143 1.9101e-05 +172 *21273:A2 *1802:143 2.02035e-05 +173 *21273:B2 *1802:143 2.661e-05 +174 *21284:A2 *1802:154 7.92757e-06 +175 *21389:B2 *21390:B2 0.00020357 +176 *21396:A2 *1802:143 3.63738e-05 +177 *21455:A2 *1802:30 0.0010802 +178 *21665:B1 *1802:8 5.45571e-05 +179 *21724:B2 *21724:A2 1.85496e-05 +180 *21844:A2 *1802:187 2.78407e-05 +181 *21888:A2 *1802:206 0.000201246 +182 *21909:B2 *1802:80 0.000155698 +183 *22314:B1 *1802:136 0.000405196 +184 *462:64 *1802:175 0.000178804 +185 *468:93 *1802:175 6.43474e-05 +186 *468:98 *1802:175 0.000141126 +187 *541:28 *1802:80 0.000571446 +188 *1427:13 *1802:175 7.8756e-07 +189 *1427:13 *1802:177 3.51937e-05 +190 *1427:156 *1802:172 7.77037e-05 +191 *1427:156 *1802:175 6.9332e-05 +192 *1428:24 *1802:187 0.000107063 +193 *1438:29 *1802:175 2.53624e-06 +194 *1448:59 *1802:175 0.00032693 +195 *1448:64 *1802:175 0.000258049 +196 *1450:188 *1802:143 0.000313813 +197 *1459:18 *1802:175 0 +198 *1471:75 *20575:B 1.14497e-05 +199 *1496:9 *1802:175 0.000177091 +200 *1510:85 *1802:8 0.000196864 +201 *1515:142 *1802:8 0.000212476 +202 *1563:10 *1802:175 8.72537e-06 +203 *1563:46 *1802:175 2.1558e-06 +204 *1564:50 *1802:116 2.57465e-06 +205 *1620:10 *1802:98 6.85631e-05 +206 *1640:12 *1802:8 0.000872155 +207 *1640:24 *1802:8 0.000291736 +208 *1640:24 *1802:30 0.000409517 +209 *1641:10 *20575:B 6.85742e-05 +210 *1641:26 *1802:8 8.90701e-06 +211 *1641:26 *1802:172 0.000483001 +212 *1641:77 *1802:116 3.17436e-05 +213 *1642:11 *1802:8 0.000248861 +214 *1642:11 *1802:30 0.000411927 +215 *1645:31 *21993:A2 0.000284026 +216 *1645:31 *1802:38 8.80635e-05 +217 *1645:94 *1802:143 3.334e-05 +218 *1649:18 *1802:80 0.00148076 +219 *1678:69 *1802:80 0.000207944 +220 *1689:28 *1802:177 2.02035e-05 +221 *1691:44 *1802:177 1.9101e-05 +222 *1711:15 *1802:175 9.78555e-05 +223 *1711:16 *1802:175 0.000177271 +224 *1711:16 *1802:177 0.000595757 +225 *1711:16 *1802:187 7.85591e-05 +226 *1730:20 *21724:A2 0.000677494 +227 *1751:70 *1802:116 0.00124662 +228 *1766:13 *1802:172 6.44644e-05 +229 *1766:50 *1802:172 0.000104446 +230 *1766:50 *1802:175 1.29509e-05 +231 *1766:82 *1802:30 2.14262e-05 +232 *1780:104 *1802:206 0.000148901 +*RES +1 *20046:X *1802:4 9.24915 +2 *1802:4 *1802:8 29.0638 +3 *1802:8 *21724:A2 33.522 +4 *1802:8 *1802:30 14.9839 +5 *1802:30 *1802:32 3.36879 +6 *1802:32 *1802:38 21.5036 +7 *1802:38 *1802:39 57.9449 +8 *1802:39 *20049:A2 22.5493 +9 *1802:32 *21993:A2 38.329 +10 *1802:30 *1802:80 15.8579 +11 *1802:80 *21450:A2 22.7916 +12 *1802:80 *1802:98 34.0947 +13 *1802:98 *1802:99 81.1229 +14 *1802:99 *1802:116 25.8583 +15 *1802:116 *21212:A2 19.7444 +16 *1802:116 *1802:136 10.6759 +17 *1802:136 *1802:143 48.8166 +18 *1802:143 *1802:154 47.1591 +19 *1802:154 *21284:B2 9.24915 +20 *1802:4 *1802:172 26.0799 +21 *1802:172 *1802:175 28.3104 +22 *1802:175 *1802:177 29.031 +23 *1802:177 *1802:187 30.9164 +24 *1802:187 *21390:B2 13.7583 +25 *1802:187 *1802:206 43.1144 +26 *1802:206 *21888:B2 9.24915 +27 *1802:177 *20575:B 28.2169 +*END + +*D_NET *1803 0.0148314 +*CONN +*I *22410:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20049:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22731:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20047:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22410:A1 0.000225432 +2 *20049:B1 9.05878e-05 +3 *22731:B1 1.47608e-05 +4 *20047:Y 0.00253849 +5 *1803:22 0.00243514 +6 *1803:16 0.00266554 +7 *1803:13 0.00264881 +8 *20049:B1 *22732:C1 9.2013e-05 +9 *20049:B1 *1804:37 0.00014854 +10 *22410:A1 *2833:57 0.000387474 +11 *1803:13 *20413:A1 2.07503e-05 +12 *1803:13 *20413:A2 8.93845e-05 +13 *1803:13 *20413:B1 0.000782595 +14 *1803:13 *24497:RESET_B 5.37327e-05 +15 *1803:13 *2713:38 4.24384e-05 +16 *1803:13 *5860:636 9.57915e-05 +17 *1803:16 *2833:57 0.000166468 +18 *1803:16 *3179:44 6.67623e-06 +19 *1803:22 *1804:37 6.3609e-05 +20 *22731:A1 *22731:B1 6.08467e-05 +21 *24497:D *1803:13 9.2841e-05 +22 *1563:81 *20049:B1 1.88148e-05 +23 *1563:81 *1803:22 2.34497e-05 +24 *1661:9 *1803:22 0.000382972 +25 *1661:24 *22731:B1 6.08467e-05 +26 *1661:24 *1803:22 0.000412342 +27 *1725:69 *22410:A1 0.000388329 +28 *1725:69 *1803:13 0.000679089 +29 *1725:69 *1803:16 0.000143616 +*RES +1 *20047:Y *1803:13 49.7352 +2 *1803:13 *1803:16 7.993 +3 *1803:16 *1803:22 43.8618 +4 *1803:22 *22731:B1 14.4725 +5 *1803:22 *20049:B1 16.8269 +6 *1803:16 *22410:A1 21.8099 +*END + +*D_NET *1804 0.0422576 +*CONN +*I *21898:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20445:B I *D sky130_fd_sc_hd__or2_1 +*I *21725:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21468:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20049:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21969:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *20048:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21898:B2 0.000720201 +2 *20445:B 0 +3 *21725:B2 0.000219283 +4 *21468:B2 0.000708792 +5 *20049:B2 0 +6 *21969:A2 0.000153828 +7 *20048:X 0 +8 *1804:96 0.000579805 +9 *1804:87 0.00167459 +10 *1804:64 0.00169039 +11 *1804:37 0.0023967 +12 *1804:33 0.00247047 +13 *1804:17 0.00398674 +14 *1804:5 0.00337141 +15 *21468:B2 *21456:B1 0.000248017 +16 *21468:B2 *21468:A1 2.53145e-06 +17 *21468:B2 *21468:B1 6.39331e-05 +18 *21468:B2 *22803:B1 2.1558e-06 +19 *21468:B2 *1805:12 4.18718e-05 +20 *21468:B2 *2521:41 0.000158451 +21 *21468:B2 *3517:121 0.000138836 +22 *21468:B2 *3523:19 1.8523e-05 +23 *21468:B2 *3685:22 1.41976e-05 +24 *21468:B2 *3751:47 0.000244175 +25 *21725:B2 *22786:A1 8.6297e-06 +26 *21725:B2 *3500:38 0.00024184 +27 *21725:B2 *4854:6 6.48595e-05 +28 *21898:B2 *1947:215 9.58043e-06 +29 *21898:B2 *2912:13 0.000139264 +30 *21969:A2 *2740:27 7.50722e-05 +31 *1804:17 *21864:C1 0.00457496 +32 *1804:17 *21927:B1 6.85523e-06 +33 *1804:17 *21938:B1 9.493e-05 +34 *1804:17 *1947:215 4.3663e-05 +35 *1804:17 *2950:125 0.00049322 +36 *1804:17 *5452:11 0 +37 *1804:33 *21725:B1 7.4235e-06 +38 *1804:33 *2740:27 0.00124366 +39 *1804:33 *2749:38 2.02035e-05 +40 *1804:37 *21725:C1 0.000146792 +41 *1804:37 *22785:B2 0.000163819 +42 *1804:37 *3500:38 0.000107496 +43 *1804:37 *3502:58 0.000428413 +44 *1804:64 *22431:A1 0.000165819 +45 *1804:64 *22436:B1 0.000107496 +46 *1804:64 *22803:B2 3.29488e-05 +47 *1804:64 *1805:12 0.000788021 +48 *1804:64 *2950:125 0.000160303 +49 *1804:64 *3005:64 0.000353672 +50 *1804:64 *3385:19 5.18725e-05 +51 *1804:64 *5476:51 0.000163327 +52 *1804:87 *21864:C1 2.37478e-05 +53 *1804:87 *1947:215 0.000664519 +54 *1804:96 *19917:A 0.000243944 +55 *1804:96 *20414:A1 0.000161234 +56 *1804:96 *20445:A 6.08467e-05 +57 *1804:96 *24635:CLK 0.000859628 +58 *1804:96 *1808:23 0.00194329 +59 *1804:96 *1808:26 0.000393843 +60 *1804:96 *2836:8 0 +61 *1804:96 *4905:47 0.000162975 +62 *1804:96 *5854:578 0.000160617 +63 *1804:96 *5860:62 8.36181e-05 +64 *1804:96 *5869:84 4.0752e-05 +65 *19929:B2 *1804:17 9.02666e-05 +66 *19949:B *1804:17 6.1996e-05 +67 *19960:A *1804:17 1.5613e-05 +68 *19971:A1 *21468:B2 0.000505227 +69 *19981:C *1804:37 9.82835e-06 +70 *20044:B *1804:37 0.000640942 +71 *20049:B1 *1804:37 0.00014854 +72 *21456:A2 *1804:64 0.000110589 +73 *21724:B2 *1804:37 0.000695868 +74 *22736:A1 *21468:B2 1.41307e-05 +75 *24445:D *1804:87 0.00017419 +76 *494:58 *1804:33 0.000127579 +77 *1424:104 *1804:17 4.10844e-05 +78 *1424:117 *1804:17 0.000148047 +79 *1442:39 *1804:17 8.55934e-06 +80 *1443:18 *21969:A2 0.000134813 +81 *1443:18 *1804:33 0.000463972 +82 *1443:49 *1804:33 1.04747e-05 +83 *1448:52 *1804:17 0.000186486 +84 *1448:213 *1804:17 7.48998e-06 +85 *1449:59 *1804:37 0 +86 *1454:35 *1804:17 5.60804e-05 +87 *1466:58 *1804:17 0.000568081 +88 *1466:58 *1804:87 9.55978e-05 +89 *1466:62 *21898:B2 0.00100374 +90 *1466:62 *1804:87 0.000241071 +91 *1496:106 *21468:B2 9.64384e-05 +92 *1496:106 *1804:64 0.000127019 +93 *1502:135 *21898:B2 0.000184946 +94 *1510:64 *1804:17 8.20833e-07 +95 *1537:12 *21898:B2 3.27475e-05 +96 *1537:267 *1804:17 0 +97 *1563:72 *1804:17 4.69495e-06 +98 *1563:81 *1804:37 3.64103e-05 +99 *1563:81 *1804:64 0.000619606 +100 *1587:137 *1804:64 0.000167186 +101 *1641:26 *1804:17 1.91391e-05 +102 *1675:8 *21898:B2 0.000134521 +103 *1678:69 *1804:37 7.12632e-06 +104 *1678:69 *1804:64 0.000107496 +105 *1680:27 *1804:17 0.000325661 +106 *1683:29 *1804:96 0.000115464 +107 *1689:57 *21898:B2 0.000124818 +108 *1708:70 *1804:64 0.00036095 +109 *1728:10 *1804:37 0.000422064 +110 *1728:10 *1804:64 4.22931e-05 +111 *1766:67 *1804:17 0.000163315 +112 *1771:24 *1804:33 6.23309e-05 +113 *1771:31 *21725:B2 4.76248e-05 +114 *1800:8 *1804:64 3.29488e-05 +115 *1803:22 *1804:37 6.3609e-05 +*RES +1 *20048:X *1804:5 13.7491 +2 *1804:5 *1804:17 43.0415 +3 *1804:17 *21969:A2 18.1559 +4 *1804:17 *1804:33 30.0014 +5 *1804:33 *1804:37 38.4948 +6 *1804:37 *20049:B2 13.7491 +7 *1804:37 *1804:64 40.3534 +8 *1804:64 *21468:B2 33.8431 +9 *1804:33 *21725:B2 22.6077 +10 *1804:5 *1804:87 13.4591 +11 *1804:87 *1804:96 44.3612 +12 *1804:96 *20445:B 9.24915 +13 *1804:87 *21898:B2 36.427 +*END + +*D_NET *1805 0.00960789 +*CONN +*I *20050:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *20049:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *20050:C1 1.47608e-05 +2 *20049:X 0.000157885 +3 *1805:12 0.00203626 +4 *1805:10 0.00217939 +5 *1805:10 *22733:C1 6.64392e-05 +6 *1805:10 *3722:14 3.3057e-05 +7 *1805:12 *22744:A2 0.000119313 +8 *1805:12 *3517:121 0.00016098 +9 *1805:12 *3719:10 0.000196819 +10 *1805:12 *3722:14 0.00269409 +11 *19633:B *1805:12 4.04556e-05 +12 *19971:A1 *1805:12 0.000517542 +13 *20050:B1 *20050:C1 6.08467e-05 +14 *21468:B2 *1805:12 4.18718e-05 +15 *22737:B1 *1805:12 3.61058e-05 +16 *1535:56 *1805:12 4.70035e-05 +17 *1563:81 *1805:10 0.000103246 +18 *1563:81 *1805:12 7.13291e-05 +19 *1751:26 *1805:12 4.92747e-05 +20 *1751:102 *1805:12 6.64971e-05 +21 *1751:120 *20050:C1 6.08467e-05 +22 *1751:120 *1805:12 6.58544e-05 +23 *1804:64 *1805:12 0.000788021 +*RES +1 *20049:X *1805:10 17.4526 +2 *1805:10 *1805:12 60.3826 +3 *1805:12 *20050:C1 14.4725 +*END + +*D_NET *1806 0.000923364 +*CONN +*I *20051:D I *D sky130_fd_sc_hd__and4_1 +*I *20050:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *20051:D 0.000179395 +2 *20050:X 0.000179395 +3 *20051:D *2531:65 0.00016986 +4 *20050:B2 *20051:D 0.000391697 +5 *20051:B *20051:D 3.01683e-06 +*RES +1 *20050:X *20051:D 24.6472 +*END + +*D_NET *1807 0.0164755 +*CONN +*I *20052:D I *D sky130_fd_sc_hd__and4_2 +*I *20051:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *20052:D 0 +2 *20051:X 0.00320508 +3 *1807:20 0.00320508 +4 *1807:20 *21531:C1 0.00101746 +5 *1807:20 *21599:C1 0.000141805 +6 *1807:20 *2429:25 0.000433425 +7 *1807:20 *2734:24 0.00559038 +8 *1807:20 *2858:27 1.05601e-05 +9 *1807:20 *2916:20 0.000153319 +10 *1807:20 *3521:41 5.60804e-05 +11 *19855:B *1807:20 5.60804e-05 +12 *19980:B2 *1807:20 1.91246e-05 +13 *1443:49 *1807:20 2.83462e-05 +14 *1596:14 *1807:20 0.000223631 +15 *1651:29 *1807:20 7.42464e-05 +16 *1651:52 *1807:20 0.000495451 +17 *1723:47 *1807:20 0.00130679 +18 *1762:132 *1807:20 4.49121e-05 +19 *1790:19 *1807:20 0.000413701 +*RES +1 *20051:X *1807:20 42.7815 +2 *1807:20 *20052:D 9.24915 +*END + +*D_NET *1808 0.0774096 +*CONN +*I *20053:C I *D sky130_fd_sc_hd__nand3_4 +*I *20052:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *20053:C 0.000531615 +2 *20052:X 0.000989887 +3 *1808:87 0.00127732 +4 *1808:79 0.00164117 +5 *1808:78 0.00410688 +6 *1808:69 0.00589133 +7 *1808:57 0.00321197 +8 *1808:44 0.00224957 +9 *1808:40 0.00303344 +10 *1808:26 0.00256377 +11 *1808:23 0.00363946 +12 *1808:11 0.00338151 +13 *20053:C *1809:17 8.30904e-05 +14 *1808:11 *22446:B1 5.49916e-05 +15 *1808:11 *22489:A1 0.000758375 +16 *1808:11 *22490:C1 0.000111722 +17 *1808:11 *2429:25 0.000859437 +18 *1808:11 *2749:38 0.00041348 +19 *1808:11 *3208:31 0.00213823 +20 *1808:23 *20685:B2 2.07623e-05 +21 *1808:23 *22445:B1 3.73224e-05 +22 *1808:23 *22511:A1 2.38934e-06 +23 *1808:23 *2732:29 1.44999e-05 +24 *1808:23 *2732:47 0.000116391 +25 *1808:23 *2834:17 0.000120908 +26 *1808:23 *4905:47 1.00981e-05 +27 *1808:23 *4931:44 1.9101e-05 +28 *1808:26 *2274:38 0.000859524 +29 *1808:26 *2627:22 4.36653e-05 +30 *1808:26 *2627:24 0.00050519 +31 *1808:26 *2836:8 0 +32 *1808:26 *5858:158 0.000179918 +33 *1808:26 *5858:166 0.000692497 +34 *1808:26 *5860:62 3.30469e-05 +35 *1808:40 *2810:40 5.11466e-05 +36 *1808:40 *3089:12 5.45571e-05 +37 *1808:40 *4803:12 0.000233174 +38 *1808:40 *4855:24 2.14262e-05 +39 *1808:40 *5475:59 0.000228467 +40 *1808:40 *5476:51 0.0016072 +41 *1808:44 *2632:46 2.07943e-05 +42 *1808:44 *3874:127 0.000126858 +43 *1808:44 *3874:131 0.000225627 +44 *1808:44 *3885:8 0.000205006 +45 *1808:57 *23760:A1 5.19758e-05 +46 *1808:57 *23760:A2 1.55025e-05 +47 *1808:57 *4017:47 1.41853e-05 +48 *1808:57 *4017:49 4.66492e-05 +49 *1808:57 *4017:51 6.08467e-05 +50 *1808:57 *4126:226 6.50586e-05 +51 *1808:57 *4126:238 0.000449996 +52 *1808:57 *4515:21 0.000692287 +53 *1808:69 *23042:B 0.000104754 +54 *1808:69 *23146:A 0.000148144 +55 *1808:69 *23148:A1 0.000200797 +56 *1808:69 *23148:B1 0.00015324 +57 *1808:69 *23258:A 2.95757e-05 +58 *1808:69 *23528:B 0.00084434 +59 *1808:69 *23529:B1 3.82548e-05 +60 *1808:69 *23539:A2 8.43848e-05 +61 *1808:69 *23574:A1 5.05252e-05 +62 *1808:69 *23574:B1 8.96809e-05 +63 *1808:69 *23745:A1 0.000104719 +64 *1808:69 *23851:B 0.00020545 +65 *1808:69 *2785:63 0.000321939 +66 *1808:69 *3833:106 2.18346e-05 +67 *1808:69 *3842:204 2.68066e-05 +68 *1808:69 *3888:49 4.31122e-06 +69 *1808:69 *3897:64 0 +70 *1808:69 *3898:37 0 +71 *1808:69 *3980:168 9.96492e-05 +72 *1808:69 *4011:22 0 +73 *1808:69 *4055:8 1.71442e-05 +74 *1808:69 *4070:36 0 +75 *1808:69 *4126:226 6.72546e-05 +76 *1808:69 *4168:6 2.50362e-05 +77 *1808:69 *4434:8 0.000186305 +78 *1808:69 *4435:11 0.000101133 +79 *1808:69 *4478:6 8.09676e-05 +80 *1808:69 *4567:56 0 +81 *1808:69 *4597:29 3.46002e-05 +82 *1808:69 *5455:12 0.000130551 +83 *1808:78 *23042:B 6.69933e-05 +84 *1808:78 *1809:26 0.000304457 +85 *1808:78 *2810:60 0 +86 *1808:78 *2878:44 0 +87 *1808:78 *2963:93 0.000116674 +88 *1808:78 *3368:27 3.52699e-05 +89 *1808:78 *3781:83 3.52909e-05 +90 *1808:78 *3874:92 0.00204143 +91 *1808:78 *3874:109 0.000443025 +92 *1808:78 *3888:49 1.5859e-05 +93 *1808:78 *4550:53 0.000547303 +94 *1808:78 *4693:15 1.79334e-05 +95 *1808:78 *4704:17 4.76967e-05 +96 *1808:78 *4745:43 0.000114369 +97 *1808:78 *4802:18 0.00294397 +98 *1808:78 *5852:117 0 +99 *1808:78 *5924:37 7.78053e-05 +100 *1808:79 *1809:19 0.00250146 +101 *1808:79 *1809:26 0.00154639 +102 *1808:87 *22772:A1 0.000207911 +103 *1808:87 *22772:A2 0.000180822 +104 *1808:87 *22772:B1 0.000203604 +105 *1808:87 *22773:C1 0.000349336 +106 *1808:87 *2807:26 0.000247443 +107 *1808:87 *2878:59 0.000773503 +108 *1808:87 *3164:113 0.000153975 +109 *1808:87 *3513:143 0.000157572 +110 *19809:A *20053:C 0.000154145 +111 *19809:B *20053:C 4.17605e-05 +112 *19810:C1 *20053:C 1.58551e-05 +113 *19821:A1 *20053:C 0.000152158 +114 *19821:A2 *20053:C 4.03324e-05 +115 *19822:A *20053:C 0.000345048 +116 *19822:B *20053:C 0.000102575 +117 *19822:D *20053:C 5.39382e-05 +118 *19956:A1 *1808:11 3.0794e-05 +119 *19956:A2 *1808:11 0.00013214 +120 *19956:B2 *1808:11 7.89747e-05 +121 *19980:B2 *1808:11 6.08467e-05 +122 *19981:A *1808:11 2.77625e-06 +123 *20052:B *1808:11 7.67019e-05 +124 *22413:A1 *1808:87 1.41976e-05 +125 *588:19 *1808:78 0.000305492 +126 *1438:83 *1808:44 0.000712741 +127 *1438:92 *1808:69 0 +128 *1482:48 *1808:40 4.31122e-06 +129 *1496:141 *20053:C 0.000107496 +130 *1515:142 *1808:11 0.000593376 +131 *1560:24 *1808:79 0.000154145 +132 *1560:76 *1808:79 0.00150937 +133 *1569:54 *1808:87 0.000147651 +134 *1569:95 *20053:C 0.00101133 +135 *1569:95 *1808:87 1.30449e-05 +136 *1587:72 *1808:69 0 +137 *1683:29 *1808:23 0.00168001 +138 *1683:32 *1808:23 4.25147e-05 +139 *1726:28 *1808:40 0.000838724 +140 *1729:80 *1808:23 0.0005091 +141 *1730:87 *1808:26 0.000294404 +142 *1731:89 *1808:57 0.000661474 +143 *1732:81 *1808:40 0.000983754 +144 *1744:131 *1808:57 6.50727e-05 +145 *1744:141 *1808:57 0.000702465 +146 *1784:58 *1808:78 0.000295733 +147 *1786:52 *1808:44 0.000624884 +148 *1792:101 *1808:11 5.36085e-05 +149 *1795:44 *1808:78 0.000363219 +150 *1795:53 *1808:79 0.00203157 +151 *1804:96 *1808:23 0.00194329 +152 *1804:96 *1808:26 0.000393843 +*RES +1 *20052:X *1808:11 49.6417 +2 *1808:11 *1808:23 49.4019 +3 *1808:23 *1808:26 46.6115 +4 *1808:26 *1808:40 39.0915 +5 *1808:40 *1808:44 46.552 +6 *1808:44 *1808:57 41.249 +7 *1808:57 *1808:69 44.2398 +8 *1808:69 *1808:78 25.1513 +9 *1808:78 *1808:79 46.7555 +10 *1808:79 *1808:87 33.6162 +11 *1808:87 *20053:C 28.2986 +*END + +*D_NET *1809 0.1442 +*CONN +*I *22065:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22077:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22101:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22089:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20054:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *20053:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *22065:A1 0.000138325 +2 *22077:A1 0.00373307 +3 *22101:A1 0.00180051 +4 *22089:A1 0.000642697 +5 *20054:A3 0.00483316 +6 *20053:Y 0.00139414 +7 *1809:184 0.00198563 +8 *1809:183 0.0018473 +9 *1809:181 0.00144257 +10 *1809:179 0.00191093 +11 *1809:160 0.00732372 +12 *1809:153 0.00356441 +13 *1809:142 0.00227377 +14 *1809:115 0.00385085 +15 *1809:103 0.00477354 +16 *1809:89 0.00654887 +17 *1809:80 0.00471747 +18 *1809:35 0.00948657 +19 *1809:26 0.00474675 +20 *1809:19 0.00437198 +21 *1809:17 0.00230665 +22 *20054:A3 *2405:24 4.51062e-05 +23 *20054:A3 *2405:26 0.000258801 +24 *20054:A3 *2505:54 0.000101025 +25 *20054:A3 *3840:147 0.000121519 +26 *20054:A3 *4257:9 0.000167281 +27 *20054:A3 *5452:23 0.000848418 +28 *20054:A3 *5455:70 0.000116286 +29 *20054:A3 *5458:22 0.000392904 +30 *20054:A3 *5800:32 0.00918993 +31 *20054:A3 *5852:74 0.00388101 +32 *20054:A3 *5852:117 0.0004234 +33 *20054:A3 *5926:19 0.00206061 +34 *22065:A1 *5628:11 6.12543e-05 +35 *22077:A1 *22906:B1 1.25094e-05 +36 *22077:A1 *22913:B 0 +37 *22077:A1 *3079:51 1.9101e-05 +38 *22077:A1 *3087:8 3.91685e-05 +39 *22077:A1 *3789:21 6.21462e-05 +40 *22077:A1 *3796:57 0.000136014 +41 *22077:A1 *3838:115 1.0529e-05 +42 *22077:A1 *4127:18 0 +43 *22077:A1 *5929:10 0 +44 *22089:A1 *22089:A2 1.82679e-05 +45 *22089:A1 *22089:B1 0.000251655 +46 *22089:A1 *4236:107 5.99155e-05 +47 *22089:A1 *4251:35 5.99155e-05 +48 *22089:A1 *4524:27 0.000107025 +49 *22089:A1 *5452:23 0.00022362 +50 *22089:A1 *5800:49 5.44254e-06 +51 *22089:A1 *5926:19 0.000924463 +52 *22101:A1 *22101:B1 2.20457e-05 +53 *22101:A1 *23685:A2 9.29156e-05 +54 *22101:A1 *3864:28 0.000200794 +55 *1809:17 *22773:A1 6.08467e-05 +56 *1809:17 *22773:A2 3.81793e-05 +57 *1809:17 *22773:B1 4.23858e-05 +58 *1809:17 *2778:28 5.46889e-05 +59 *1809:17 *3415:22 0.000180988 +60 *1809:17 *3461:15 2.26135e-05 +61 *1809:19 *20889:A2 0.000161252 +62 *1809:19 *22450:B2 0.000396003 +63 *1809:26 *21824:A 7.77309e-06 +64 *1809:26 *3368:27 2.01653e-05 +65 *1809:26 *3411:57 0.000181858 +66 *1809:35 *23387:A 0.00112162 +67 *1809:35 *2660:80 6.42311e-06 +68 *1809:35 *2852:19 0.000164843 +69 *1809:35 *2878:47 0.000655308 +70 *1809:35 *3857:78 0.00049945 +71 *1809:35 *3868:279 6.305e-06 +72 *1809:35 *3999:35 0.000250775 +73 *1809:35 *4009:72 0.000560307 +74 *1809:35 *4254:48 6.68986e-06 +75 *1809:35 *4665:10 0.000274928 +76 *1809:35 *4676:27 0.000120642 +77 *1809:35 *4695:22 0.000206344 +78 *1809:35 *5671:106 0.000278469 +79 *1809:35 *5920:19 0.00300834 +80 *1809:80 *20889:A2 0.000157484 +81 *1809:80 *20930:B2 8.54423e-05 +82 *1809:80 *2138:12 0.000109191 +83 *1809:80 *2152:5 0.000156076 +84 *1809:80 *2152:9 0.000346643 +85 *1809:80 *2173:9 3.20264e-05 +86 *1809:80 *2537:35 0.000287995 +87 *1809:80 *2593:24 8.03951e-06 +88 *1809:80 *2655:25 6.7059e-05 +89 *1809:80 *2684:24 0.000489871 +90 *1809:80 *3002:69 4.7419e-05 +91 *1809:80 *5458:13 3.92592e-05 +92 *1809:80 *5815:64 3.0388e-05 +93 *1809:80 *5854:719 3.16904e-05 +94 *1809:80 *5867:21 2.93477e-05 +95 *1809:80 *6021:14 0 +96 *1809:89 *1947:45 0.000558665 +97 *1809:89 *2554:35 0.000153427 +98 *1809:89 *2655:19 0.000379874 +99 *1809:89 *2767:14 0.00134399 +100 *1809:89 *2963:57 8.00779e-06 +101 *1809:89 *4932:71 0.000317418 +102 *1809:89 *4932:73 0.000190989 +103 *1809:89 *4932:78 0.000385113 +104 *1809:89 *5858:26 0.000119034 +105 *1809:89 *5858:40 0.000435471 +106 *1809:103 *23659:A 0.00011912 +107 *1809:103 *23771:C1 0.000140397 +108 *1809:103 *24251:CLK 2.16355e-05 +109 *1809:103 *1947:45 3.57218e-06 +110 *1809:103 *4126:91 9.43496e-05 +111 *1809:103 *4550:40 5.31119e-05 +112 *1809:103 *4691:11 1.86362e-05 +113 *1809:103 *5410:8 4.69495e-06 +114 *1809:103 *5856:74 7.14765e-05 +115 *1809:115 *23624:A1 0.000682276 +116 *1809:115 *23777:A 0 +117 *1809:115 *23786:A 3.93661e-05 +118 *1809:115 *3831:50 1.27831e-06 +119 *1809:115 *3864:28 9.60216e-05 +120 *1809:115 *3868:93 3.70027e-06 +121 *1809:115 *4009:115 0.000460555 +122 *1809:115 *4010:12 7.77309e-06 +123 *1809:115 *4097:42 1.10774e-05 +124 *1809:115 *4126:91 0.00031359 +125 *1809:115 *4126:98 0.000703641 +126 *1809:115 *4495:26 6.22732e-06 +127 *1809:115 *4561:44 0.00013335 +128 *1809:115 *4568:14 0.000118485 +129 *1809:115 *4579:14 1.48603e-05 +130 *1809:115 *4620:41 0.00042935 +131 *1809:142 *1947:45 9.64486e-05 +132 *1809:142 *2535:8 0.000847494 +133 *1809:142 *2654:10 0.000273562 +134 *1809:142 *2654:17 0 +135 *1809:142 *3069:6 0.000428376 +136 *1809:142 *3857:23 0.000205006 +137 *1809:142 *4138:127 0.000767536 +138 *1809:142 *4374:48 2.62125e-05 +139 *1809:142 *4550:40 0.000100551 +140 *1809:142 *4700:30 0.000426766 +141 *1809:142 *5046:10 0.000106688 +142 *1809:153 *4374:40 6.34651e-06 +143 *1809:153 *4374:48 0.000494893 +144 *1809:153 *4700:30 0.000806329 +145 *1809:153 *5036:8 0.000101148 +146 *1809:153 *5046:10 1.5714e-05 +147 *1809:160 *3978:21 2.31202e-05 +148 *1809:160 *4003:36 0 +149 *1809:160 *4020:24 0.00038017 +150 *1809:160 *4034:33 0.00316381 +151 *1809:160 *4374:32 0 +152 *1809:160 *4538:20 0 +153 *1809:160 *4697:8 0.000376528 +154 *1809:160 *4700:16 0.0015194 +155 *1809:160 *5815:18 0.000527498 +156 *1809:160 *5868:303 7.41458e-05 +157 *1809:179 *23755:A 0.000186356 +158 *1809:179 *23838:D 9.24241e-05 +159 *1809:179 *4020:20 1.48348e-05 +160 *1809:179 *4020:24 0.000863677 +161 *1809:179 *4697:8 0.000944885 +162 *1809:181 *20096:B1 0.000174175 +163 *1809:181 *23755:A 1.16596e-05 +164 *1809:181 *1829:157 0 +165 *1809:181 *2194:12 0.000484053 +166 *1809:181 *4020:20 0.00031717 +167 *1809:181 *4402:8 0.000500942 +168 *1809:181 *4402:15 0.000490435 +169 *1809:181 *4873:74 0 +170 *1809:181 *5467:15 0.000549605 +171 *1809:184 *20099:A1 2.1801e-05 +172 *1809:184 *20099:B1 0.000275256 +173 *1809:184 *20099:B2 5.07314e-05 +174 *1809:184 *22897:A 3.82228e-05 +175 *1809:184 *23080:A 3.11989e-05 +176 *1809:184 *23080:B 2.65831e-05 +177 *1809:184 *23111:A 0.000263305 +178 *1809:184 *3807:5 1.75004e-05 +179 *1809:184 *3807:10 8.8496e-05 +180 *1809:184 *3987:11 4.43691e-05 +181 *1809:184 *4005:5 0.000207266 +182 *1809:184 *4020:7 0.00196279 +183 *1809:184 *4873:103 0.000160617 +184 *19810:C1 *1809:17 9.47945e-05 +185 *19821:B1 *1809:17 6.08467e-05 +186 *19821:C1 *1809:17 7.2465e-05 +187 *19822:A *1809:17 0.000395985 +188 *20053:C *1809:17 8.30904e-05 +189 *20226:B1 *1809:160 0.000157769 +190 *21014:A *1809:80 5.12492e-05 +191 *24187:D *1809:160 4.01437e-05 +192 *24319:D *1809:80 9.69016e-05 +193 *24820:D *1809:181 0 +194 *657:62 *20054:A3 4.51062e-05 +195 *657:171 *22089:A1 0.000206706 +196 *1438:119 *1809:26 1.41761e-05 +197 *1485:155 *1809:35 0.000154145 +198 *1547:26 *1809:17 0.000352136 +199 *1560:76 *1809:17 3.28521e-05 +200 *1560:76 *1809:19 0.000378024 +201 *1569:95 *1809:17 0.00048685 +202 *1575:29 *1809:80 2.68045e-05 +203 *1619:44 *1809:142 6.85778e-05 +204 *1658:68 *1809:80 0.000135085 +205 *1690:124 *1809:19 0.00320382 +206 *1724:61 *1809:80 0.00216759 +207 *1732:50 *1809:26 0.000507422 +208 *1755:77 *1809:19 7.62639e-05 +209 *1755:77 *1809:26 0.00060821 +210 *1757:106 *1809:35 0.000142098 +211 *1763:59 *1809:26 0.000156593 +212 *1783:38 *1809:89 4.7419e-05 +213 *1784:58 *1809:26 9.00918e-05 +214 *1795:53 *1809:26 1.65872e-05 +215 *1808:78 *1809:26 0.000304457 +216 *1808:79 *1809:19 0.00250146 +217 *1808:79 *1809:26 0.00154639 +*RES +1 *20053:Y *1809:17 46.5546 +2 *1809:17 *1809:19 45.0917 +3 *1809:19 *1809:26 40.9298 +4 *1809:26 *1809:35 49.4918 +5 *1809:35 *20054:A3 41.8593 +6 *1809:35 *22089:A1 24.9129 +7 *1809:19 *1809:80 47.1012 +8 *1809:80 *1809:89 37.3741 +9 *1809:89 *1809:103 49.3635 +10 *1809:103 *1809:115 40.5339 +11 *1809:115 *22101:A1 42.1637 +12 *1809:89 *1809:142 48.5682 +13 *1809:142 *1809:153 28.291 +14 *1809:153 *1809:160 47.6708 +15 *1809:160 *22077:A1 29.5149 +16 *1809:160 *1809:179 21.7397 +17 *1809:179 *1809:181 48.9631 +18 *1809:181 *1809:183 4.5 +19 *1809:183 *1809:184 51.1923 +20 *1809:184 *22065:A1 22.1574 +*END + +*D_NET *1810 0.00124414 +*CONN +*I *20056:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20055:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20056:A 9.48556e-05 +2 *20055:X 4.03586e-05 +3 *1810:8 0.000179171 +4 *1810:7 0.000124674 +5 *20056:A *24204:CLK 0.00014949 +6 *1810:7 *1845:151 6.65878e-05 +7 *20055:A *20056:A 0.00011818 +8 *1455:65 *20056:A 0.000470823 +*RES +1 *20055:X *1810:7 19.2217 +2 *1810:7 *1810:8 104.301 +3 *1810:8 *20056:A 24.2372 +*END + +*D_NET *1811 0.00162994 +*CONN +*I *21139:A I *D sky130_fd_sc_hd__or4_1 +*I *20077:A1 I *D sky130_fd_sc_hd__o31a_1 +*I *20060:A I *D sky130_fd_sc_hd__or3_1 +*I *20057:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21139:A 0.000305622 +2 *20077:A1 0.00013649 +3 *20060:A 0 +4 *20057:Y 0.000115487 +5 *1811:13 0.000471057 +6 *1811:7 0.000144431 +7 *20077:A1 *20060:C 3.62762e-05 +8 *20077:A1 *24028:A1 3.04443e-05 +9 *20077:A1 *1812:9 4.42033e-05 +10 *20077:A1 *1816:23 8.67815e-05 +11 *20077:A1 *5482:69 1.14755e-05 +12 *21139:A *20061:A 6.16865e-05 +13 *21139:A *21139:D 0.000150154 +14 *21139:A *1812:9 5.04829e-06 +15 *1811:7 *1812:9 1.41976e-05 +16 *1811:13 *1812:9 1.65872e-05 +*RES +1 *20057:Y *1811:7 11.6605 +2 *1811:7 *20060:A 9.24915 +3 *1811:7 *1811:13 0.723396 +4 *1811:13 *20077:A1 22.1574 +5 *1811:13 *21139:A 17.3994 +*END + +*D_NET *1812 0.00128624 +*CONN +*I *21139:D I *D sky130_fd_sc_hd__or4_1 +*I *20060:B I *D sky130_fd_sc_hd__or3_1 +*I *20058:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21139:D 0.00025121 +2 *20060:B 2.18282e-05 +3 *20058:Y 0.000175188 +4 *1812:9 0.000448226 +5 *1812:9 *20060:C 5.12109e-05 +6 *1812:9 *1816:23 4.26799e-05 +7 *1812:9 *5482:69 6.5713e-05 +8 *20077:A1 *1812:9 4.42033e-05 +9 *21139:A *21139:D 0.000150154 +10 *21139:A *1812:9 5.04829e-06 +11 *1811:7 *1812:9 1.41976e-05 +12 *1811:13 *1812:9 1.65872e-05 +*RES +1 *20058:Y *1812:9 22.8808 +2 *1812:9 *20060:B 9.82786 +3 *1812:9 *21139:D 15.398 +*END + +*D_NET *1813 0.00936514 +*CONN +*I *20122:B I *D sky130_fd_sc_hd__or4_1 +*I *20075:B I *D sky130_fd_sc_hd__nor2_1 +*I *21139:C I *D sky130_fd_sc_hd__or4_1 +*I *20077:A3 I *D sky130_fd_sc_hd__o31a_1 +*I *20060:C I *D sky130_fd_sc_hd__or3_1 +*I *20067:C I *D sky130_fd_sc_hd__and3_1 +*I *21102:A I *D sky130_fd_sc_hd__nor2_1 +*I *21106:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *20059:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20122:B 0.000330962 +2 *20075:B 0 +3 *21139:C 0.000338855 +4 *20077:A3 2.06324e-05 +5 *20060:C 6.80231e-05 +6 *20067:C 7.29471e-06 +7 *21102:A 0.000201113 +8 *21106:A1 0.000235871 +9 *20059:Y 0 +10 *1813:63 0.000369899 +11 *1813:45 0.000507241 +12 *1813:35 0.00045395 +13 *1813:32 0.000798043 +14 *1813:23 0.000909576 +15 *1813:13 0.000652932 +16 *1813:4 0.000306341 +17 *20060:C *5482:69 0.000140472 +18 *20067:C *20071:B1 7.93303e-06 +19 *20067:C *22120:A_N 2.23105e-05 +20 *20122:B *20078:A1 0.000160617 +21 *20122:B *20122:A 1.41976e-05 +22 *20122:B *22120:A_N 0.000429794 +23 *20122:B *22120:B_N 1.41853e-05 +24 *20122:B *1825:8 0.000101133 +25 *20122:B *5929:42 3.05171e-05 +26 *21102:A *1862:47 2.65831e-05 +27 *21102:A *4867:75 0 +28 *21102:A *5446:8 0 +29 *21102:A *5446:20 0 +30 *21102:A *5447:25 0 +31 *21102:A *5929:42 0.000105589 +32 *21106:A1 *5812:60 0.000100482 +33 *21139:C *2838:21 0.000404533 +34 *21139:C *5473:73 0.000127164 +35 *21139:C *5474:63 0.000127164 +36 *1813:13 *5812:60 3.83429e-05 +37 *1813:23 *21099:B1 4.3116e-06 +38 *1813:23 *2864:43 0.000353492 +39 *1813:23 *5446:20 0 +40 *1813:23 *5929:42 0.000330789 +41 *1813:32 *21099:B1 3.90689e-06 +42 *1813:32 *22120:A_N 0.000529401 +43 *1813:32 *5929:42 0.000423895 +44 *1813:35 *20075:A 4.58003e-05 +45 *1813:35 *24028:A1 5.481e-05 +46 *1813:45 *24028:A1 2.65831e-05 +47 *1813:63 *22120:A_N 2.88728e-05 +48 *1813:63 *5929:42 3.20735e-05 +49 *20077:A1 *20060:C 3.62762e-05 +50 *20122:D *20122:B 2.7585e-05 +51 *21139:B *21139:C 1.92336e-05 +52 *21139:B *1813:45 2.65667e-05 +53 *22120:C *20122:B 0.000304777 +54 *484:33 *1813:35 2.77625e-06 +55 *484:33 *1813:45 1.10258e-05 +56 *1812:9 *20060:C 5.12109e-05 +*RES +1 *20059:Y *1813:4 9.24915 +2 *1813:4 *21106:A1 14.4094 +3 *1813:4 *1813:13 6.3326 +4 *1813:13 *21102:A 18.7961 +5 *1813:13 *1813:23 13.4591 +6 *1813:23 *20067:C 14.0144 +7 *1813:23 *1813:32 18.4421 +8 *1813:32 *1813:35 7.99641 +9 *1813:35 *20060:C 20.9116 +10 *1813:35 *1813:45 2.38721 +11 *1813:45 *20077:A3 9.82786 +12 *1813:45 *21139:C 25.6566 +13 *1813:32 *1813:63 1.41674 +14 *1813:63 *20075:B 13.7491 +15 *1813:63 *20122:B 25.6094 +*END + +*D_NET *1814 0.000249817 +*CONN +*I *20061:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20060:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *20061:A 9.40653e-05 +2 *20060:X 9.40653e-05 +3 *21139:A *20061:A 6.16865e-05 +*RES +1 *20060:X *20061:A 20.355 +*END + +*D_NET *1815 0.0180686 +*CONN +*I *22121:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *20332:B I *D sky130_fd_sc_hd__nor2_1 +*I *22125:A I *D sky130_fd_sc_hd__or2_1 +*I *20107:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *20062:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21099:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22124:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *20071:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *20061:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22121:A2 0.000121992 +2 *20332:B 0.000346999 +3 *22125:A 1.47608e-05 +4 *20107:A1 0.000333528 +5 *20062:A 0 +6 *21099:B1 0.000687728 +7 *22124:B2 0.000375221 +8 *20071:A2 0 +9 *20061:X 0 +10 *1815:82 0.000646152 +11 *1815:73 0.000251117 +12 *1815:60 0.00168988 +13 *1815:34 0.00095927 +14 *1815:8 0.000594192 +15 *1815:6 0.000799733 +16 *1815:5 0.00160637 +17 *20107:A1 *2259:15 3.66627e-05 +18 *20332:B *20332:A 0.000759199 +19 *20332:B *22121:A3 2.06598e-05 +20 *21099:B1 *21097:A 4.62604e-05 +21 *21099:B1 *22120:A_N 5.04734e-05 +22 *21099:B1 *2864:43 0.000108399 +23 *21099:B1 *2951:19 0.000124674 +24 *21099:B1 *2955:29 0.000307037 +25 *21099:B1 *5446:20 9.74251e-05 +26 *21099:B1 *5448:10 5.05252e-05 +27 *21099:B1 *5448:35 0.000148144 +28 *22121:A2 *20076:A 7.93833e-06 +29 *22121:A2 *21110:C 0.000110306 +30 *22121:A2 *22121:B2 1.69466e-05 +31 *22124:B2 *22123:B1 6.92522e-05 +32 *22124:B2 *22124:A1 2.53145e-06 +33 *22124:B2 *22824:B 9.29269e-06 +34 *22124:B2 *5785:39 0 +35 *22125:A *24030:S 6.08467e-05 +36 *22125:A *24167:CLK 6.08467e-05 +37 *1815:6 *1816:23 0.000167159 +38 *1815:6 *4864:51 0.00021329 +39 *1815:6 *4864:56 0.000387065 +40 *1815:8 *22123:A2 4.37345e-05 +41 *1815:8 *1816:23 2.41673e-05 +42 *1815:8 *4864:56 0.000348726 +43 *1815:8 *5474:60 2.71397e-05 +44 *1815:34 *20072:B2 4.3116e-06 +45 *1815:34 *2955:29 0.000127194 +46 *1815:34 *3060:21 1.92336e-05 +47 *1815:34 *5482:69 0 +48 *1815:34 *5929:42 0.000213544 +49 *1815:60 *24825:CLK 2.26985e-05 +50 *1815:60 *1816:23 0.000170081 +51 *1815:60 *1862:83 0.000455807 +52 *1815:60 *2259:15 9.71045e-05 +53 *1815:60 *2838:29 0.00014759 +54 *1815:60 *4864:34 0.00021388 +55 *1815:60 *4864:51 0.000837069 +56 *1815:60 *5852:61 5.05252e-05 +57 *1815:73 *2216:6 0.000175055 +58 *1815:73 *2216:13 1.07248e-05 +59 *1815:82 *22121:A3 2.6524e-05 +60 *1815:82 *2216:13 0.000493367 +61 *20128:A *20332:B 1.62088e-05 +62 *22121:A1 *20332:B 0.000156978 +63 *22121:A1 *22121:A2 3.16631e-05 +64 *24168:D *22124:B2 0.000379574 +65 *484:26 *1815:73 4.31485e-06 +66 *514:58 *20332:B 0.000107154 +67 *514:65 *20107:A1 3.8713e-05 +68 *514:68 *1815:34 3.04407e-05 +69 *514:69 *1815:34 0.000472818 +70 *551:13 *20332:B 0.00105483 +71 *561:28 *20107:A1 0 +72 *1251:7 *21099:B1 0.000207266 +73 *1471:117 *1815:82 8.08193e-06 +74 *1471:128 *20332:B 2.91891e-05 +75 *1471:128 *1815:82 1.24415e-05 +76 *1697:33 *20332:B 8.14875e-05 +77 *1731:65 *1815:73 0.000181457 +78 *1731:65 *1815:82 0.000439543 +79 *1755:21 *20332:B 1.7883e-05 +80 *1813:23 *21099:B1 4.3116e-06 +81 *1813:32 *21099:B1 3.90689e-06 +*RES +1 *20061:X *1815:5 13.7491 +2 *1815:5 *1815:6 10.9675 +3 *1815:6 *1815:8 6.81502 +4 *1815:8 *20071:A2 13.7491 +5 *1815:8 *22124:B2 21.8282 +6 *1815:6 *1815:34 23.1231 +7 *1815:34 *21099:B1 36.0946 +8 *1815:34 *20062:A 9.24915 +9 *1815:5 *1815:60 31.8235 +10 *1815:60 *20107:A1 18.5736 +11 *1815:60 *1815:73 6.65416 +12 *1815:73 *22125:A 14.4725 +13 *1815:73 *1815:82 9.30653 +14 *1815:82 *20332:B 30.9554 +15 *1815:82 *22121:A2 16.8933 +*END + +*D_NET *1816 0.0131771 +*CONN +*I *20063:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *21110:B I *D sky130_fd_sc_hd__and3_1 +*I *22126:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *22123:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *20062:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20063:C1 0 +2 *21110:B 0 +3 *22126:A2 1.86703e-05 +4 *22123:A2 0.000246924 +5 *20062:Y 0.000165338 +6 *1816:56 0.00126516 +7 *1816:37 0.00146887 +8 *1816:26 0.000248824 +9 *1816:23 0.00143938 +10 *1816:7 0.00182519 +11 *22123:A2 *20248:A3 3.14978e-05 +12 *22123:A2 *22123:A1 1.64789e-05 +13 *22123:A2 *22123:A3 1.61631e-05 +14 *22123:A2 *5473:69 0 +15 *22123:A2 *5474:60 0.000123582 +16 *22123:A2 *5482:69 7.50722e-05 +17 *22123:A2 *5482:71 0.000122083 +18 *22123:A2 *5482:75 0.00020588 +19 *22123:A2 *5804:25 1.41291e-05 +20 *22126:A2 *24167:CLK 6.08467e-05 +21 *22126:A2 *5485:37 1.43983e-05 +22 *1816:7 *2951:19 0.00027103 +23 *1816:23 *20078:B1 0 +24 *1816:23 *24028:A1 2.79575e-05 +25 *1816:23 *1862:83 0.000409482 +26 *1816:23 *2412:10 0.000221455 +27 *1816:23 *2838:29 0.00035952 +28 *1816:23 *5482:69 0.000466372 +29 *1816:23 *5485:37 0 +30 *1816:23 *5852:61 2.22198e-05 +31 *1816:23 *5929:42 0 +32 *1816:26 *24167:CLK 0.000107496 +33 *1816:26 *5485:37 7.6719e-06 +34 *1816:37 *2412:10 0.000605626 +35 *1816:37 *5929:42 0.000604382 +36 *1816:56 *20063:A1 3.62662e-06 +37 *1816:56 *20063:A2 1.40978e-05 +38 *1816:56 *20063:B1 0.000658601 +39 *1816:56 *21111:A2 0.00011588 +40 *1816:56 *1829:31 0.000157605 +41 *1816:56 *1862:83 0.000299886 +42 *1816:56 *4864:32 0 +43 *1816:56 *5485:67 0.000103146 +44 *1816:56 *5898:120 0.000111708 +45 *20077:A1 *1816:23 8.67815e-05 +46 *21111:B1 *1816:56 1.02497e-05 +47 *24165:SET_B *1816:56 0.000161467 +48 *24167:D *1816:26 6.08467e-05 +49 *24825:D *1816:23 0.000483682 +50 *1812:9 *1816:23 4.26799e-05 +51 *1815:6 *1816:23 0.000167159 +52 *1815:8 *22123:A2 4.37345e-05 +53 *1815:8 *1816:23 2.41673e-05 +54 *1815:60 *1816:23 0.000170081 +*RES +1 *20062:Y *1816:7 16.691 +2 *1816:7 *22123:A2 22.2815 +3 *1816:7 *1816:23 45.6777 +4 *1816:23 *1816:26 5.778 +5 *1816:26 *22126:A2 9.97254 +6 *1816:26 *1816:37 19.9675 +7 *1816:37 *21110:B 9.24915 +8 *1816:37 *1816:56 49.1892 +9 *1816:56 *20063:C1 9.24915 +*END + +*D_NET *1817 0.000485002 +*CONN +*I *20065:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20064:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20065:A 0.000107481 +2 *20064:X 0.000107481 +3 *20065:A *21140:A 8.89094e-05 +4 *20065:A *21141:B2 5.64867e-05 +5 *20065:A *2838:21 6.08467e-05 +6 *20065:A *5812:53 6.37975e-05 +*RES +1 *20064:X *20065:A 30.8842 +*END + +*D_NET *1818 0.0313752 +*CONN +*I *21899:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20072:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *20066:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21899:B1 0 +2 *20072:A1 0 +3 *20066:Y 2.51582e-05 +4 *1818:48 0.00102992 +5 *1818:38 0.00245636 +6 *1818:29 0.00296396 +7 *1818:21 0.0035288 +8 *1818:15 0.000955493 +9 *1818:7 0.00297193 +10 *1818:7 *2405:5 6.50586e-05 +11 *1818:15 *20072:B1 0.000523693 +12 *1818:15 *21140:A 5.68225e-06 +13 *1818:15 *21141:A1 8.61654e-05 +14 *1818:15 *21141:B2 2.0732e-05 +15 *1818:15 *2230:6 0.000126934 +16 *1818:15 *2230:18 3.01645e-05 +17 *1818:15 *2632:27 0.000836237 +18 *1818:15 *2951:21 0.0005768 +19 *1818:15 *5478:12 0.000639215 +20 *1818:15 *5791:8 0.000223888 +21 *1818:15 *5791:23 0.000114647 +22 *1818:15 *5811:38 2.652e-05 +23 *1818:15 *5812:53 0 +24 *1818:15 *5898:66 3.5534e-06 +25 *1818:15 *5898:73 1.29445e-05 +26 *1818:21 *20330:A 0 +27 *1818:21 *20333:A2 6.34419e-05 +28 *1818:21 *21118:A 0.000151886 +29 *1818:21 *1845:144 0 +30 *1818:21 *2218:55 0.00106411 +31 *1818:21 *2218:68 0.000417907 +32 *1818:21 *2705:16 0.0001756 +33 *1818:21 *4864:11 8.4301e-05 +34 *1818:21 *5474:70 0 +35 *1818:21 *5791:23 0.000900538 +36 *1818:21 *5898:64 5.65074e-05 +37 *1818:21 *5898:66 0.000316412 +38 *1818:21 *5898:120 7.18591e-05 +39 *1818:29 *21116:B2 3.73224e-05 +40 *1818:29 *23031:A 9.2346e-06 +41 *1818:29 *23032:A 7.97874e-05 +42 *1818:29 *23367:A 2.3939e-05 +43 *1818:29 *23368:B 0.000105847 +44 *1818:29 *2283:21 0 +45 *1818:29 *2803:48 0 +46 *1818:29 *2955:40 6.18667e-05 +47 *1818:29 *3946:10 7.14746e-05 +48 *1818:29 *4275:29 3.67653e-05 +49 *1818:29 *4278:15 0.00052409 +50 *1818:29 *4864:11 0.000563456 +51 *1818:29 *5444:14 3.73831e-05 +52 *1818:29 *5445:10 0.000154073 +53 *1818:29 *5791:23 0.000188964 +54 *1818:38 *20452:A2 1.47978e-05 +55 *1818:38 *20452:B2 6.1478e-06 +56 *1818:38 *23038:A1 6.8618e-05 +57 *1818:38 *23038:A2 0.000207032 +58 *1818:38 *23375:A 0.000435856 +59 *1818:38 *23375:B 4.76794e-05 +60 *1818:38 *23376:B 7.92757e-06 +61 *1818:38 *23378:A 2.37827e-05 +62 *1818:38 *1962:7 0.000206696 +63 *1818:38 *3791:185 0.000109421 +64 *1818:48 *20452:A1 7.33918e-05 +65 *1818:48 *20452:A2 0.000824895 +66 *1818:48 *21899:A1 0.000253916 +67 *1818:48 *1949:52 3.08958e-05 +68 *1818:48 *1962:7 1.32618e-05 +69 *1818:48 *2702:37 9.65248e-05 +70 *1818:48 *2844:25 0.000288664 +71 *1818:48 *2912:13 0.000166471 +72 *20331:A *1818:21 0.000127194 +73 *21116:B1 *1818:29 0.00013257 +74 *21119:B1 *1818:21 0.000148114 +75 *21218:A2 *1818:48 0.000108054 +76 *21899:B2 *1818:48 3.01683e-06 +77 *24015:A1 *1818:21 0.000594377 +78 *24016:A0 *1818:29 0.000145381 +79 *24826:RESET_B *1818:15 0 +80 *460:35 *1818:38 0.000403101 +81 *1438:81 *1818:21 0 +82 *1438:83 *1818:29 0 +83 *1442:63 *1818:48 0.000109427 +84 *1471:192 *1818:38 0.000407076 +85 *1471:192 *1818:48 0.00182657 +86 *1705:16 *1818:29 1.91246e-05 +87 *1705:20 *1818:38 0.00144436 +88 *1744:119 *1818:38 0.00015607 +89 *1761:56 *1818:48 0.000454171 +*RES +1 *20066:Y *1818:7 14.4725 +2 *1818:7 *1818:15 42.1576 +3 *1818:15 *20072:A1 9.24915 +4 *1818:7 *1818:21 68.6876 +5 *1818:21 *1818:29 46.3861 +6 *1818:29 *1818:38 47.7109 +7 *1818:38 *1818:48 47.6526 +8 *1818:48 *21899:B1 9.24915 +*END + +*D_NET *1819 0.00516565 +*CONN +*I *20068:B I *D sky130_fd_sc_hd__nand2_1 +*I *20248:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *22120:A_N I *D sky130_fd_sc_hd__and4bb_1 +*I *20067:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *20068:B 0.000173123 +2 *20248:A2 0.000118452 +3 *22120:A_N 0.00101165 +4 *20067:X 0 +5 *1819:23 0.00034347 +6 *1819:4 0.00106354 +7 *20068:B *20071:B1 1.27911e-05 +8 *20068:B *20071:D1 0.000219466 +9 *20068:B *20072:B2 9.04447e-05 +10 *20068:B *20248:B2 0.000119171 +11 *20068:B *5482:71 0.000111358 +12 *20068:B *5482:75 3.31882e-05 +13 *20248:A2 *20248:B2 0 +14 *20248:A2 *5474:40 5.22654e-06 +15 *20248:A2 *5474:60 5.79254e-05 +16 *20248:A2 *5929:42 0.000237023 +17 *22120:A_N *20071:B1 2.37936e-05 +18 *22120:A_N *20078:A1 5.05703e-05 +19 *22120:A_N *2864:43 5.04829e-06 +20 *22120:A_N *5448:35 0.000205121 +21 *22120:A_N *5448:57 0.000126716 +22 *1819:23 *20071:B1 2.16355e-05 +23 *20067:C *22120:A_N 2.23105e-05 +24 *20122:B *22120:A_N 0.000429794 +25 *21099:B1 *22120:A_N 5.04734e-05 +26 *22120:D *22120:A_N 7.50872e-05 +27 *1813:32 *22120:A_N 0.000529401 +28 *1813:63 *22120:A_N 2.88728e-05 +*RES +1 *20067:X *1819:4 9.24915 +2 *1819:4 *22120:A_N 48.0464 +3 *1819:4 *1819:23 1.30211 +4 *1819:23 *20248:A2 22.5727 +5 *1819:23 *20068:B 24.5769 +*END + +*D_NET *1820 0.00173756 +*CONN +*I *20072:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *20248:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *20068:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *20072:A2 0.000189612 +2 *20248:B2 0.000277302 +3 *20068:Y 0 +4 *1820:4 0.000466913 +5 *20072:A2 *20068:A 4.80635e-06 +6 *20072:A2 *20072:B1 0.000158371 +7 *20072:A2 *20072:B2 0.00022949 +8 *20072:A2 *2951:19 3.24899e-05 +9 *20248:B2 *20072:B2 8.66123e-05 +10 *20248:B2 *5474:40 3.20069e-06 +11 *20248:B2 *5474:60 5.96936e-05 +12 *20248:B2 *5482:75 7.31241e-05 +13 *20068:B *20248:B2 0.000119171 +14 *20248:A2 *20248:B2 0 +15 *24169:D *20248:B2 3.67708e-05 +*RES +1 *20068:Y *1820:4 9.24915 +2 *1820:4 *20248:B2 25.5117 +3 *1820:4 *20072:A2 15.0363 +*END + +*D_NET *1821 0.00232745 +*CONN +*I *20072:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *22124:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *20069:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20072:B1 0.000344854 +2 *22124:A1 6.53952e-05 +3 *20069:Y 0 +4 *1821:4 0.000410249 +5 *20072:B1 *22107:A1 2.95757e-05 +6 *20072:B1 *2230:18 0.000319954 +7 *20072:B1 *2951:19 9.82896e-06 +8 *20072:B1 *5478:12 5.29726e-05 +9 *20072:B1 *5812:53 0 +10 *22124:A1 *20069:A 6.50586e-05 +11 *22124:A1 *22124:A2 3.53232e-05 +12 *22124:A1 *22124:B1 3.43926e-05 +13 *22124:A1 *4864:72 0.000275256 +14 *20072:A2 *20072:B1 0.000158371 +15 *22124:B2 *22124:A1 2.53145e-06 +16 *1818:15 *20072:B1 0.000523693 +*RES +1 *20069:Y *1821:4 9.24915 +2 *1821:4 *22124:A1 13.0168 +3 *1821:4 *20072:B1 29.2601 +*END + +*D_NET *1822 0.00223687 +*CONN +*I *22107:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *22124:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *20071:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *20070:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22107:A3 0.000362956 +2 *22124:A2 7.39612e-05 +3 *20071:A1 3.4462e-05 +4 *20070:Y 0 +5 *1822:8 0.000131502 +6 *1822:4 0.000386035 +7 *20071:A1 *20070:A 6.08467e-05 +8 *20071:A1 *24209:CLK 1.41976e-05 +9 *20071:A1 *4864:72 0.000107496 +10 *20071:A1 *5474:60 7.92757e-06 +11 *22107:A3 *22107:A1 3.58185e-05 +12 *22107:A3 *4864:72 0.000466373 +13 *22107:A3 *5812:53 5.19205e-05 +14 *22124:A2 *20070:A 6.08467e-05 +15 *22124:A2 *4864:72 0.00025329 +16 *22124:A2 *5474:60 1.777e-05 +17 *1822:8 *5473:69 5.41227e-05 +18 *1822:8 *5477:31 5.05252e-05 +19 *22124:A1 *22124:A2 3.53232e-05 +20 *24168:D *22124:A2 3.14978e-05 +*RES +1 *20070:Y *1822:4 9.24915 +2 *1822:4 *1822:8 10.0015 +3 *1822:8 *20071:A1 10.5271 +4 *1822:8 *22124:A2 13.0048 +5 *1822:4 *22107:A3 25.6566 +*END + +*D_NET *1823 0.00131271 +*CONN +*I *20072:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *20071:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *20072:B2 0.000216542 +2 *20071:X 0.000216542 +3 *20072:B2 *2951:19 0.000459901 +4 *20072:B2 *2955:29 3.20069e-06 +5 *20072:B2 *5482:69 5.66868e-06 +6 *20072:B2 *5929:42 0 +7 *20068:B *20072:B2 9.04447e-05 +8 *20072:A2 *20072:B2 0.00022949 +9 *20248:B2 *20072:B2 8.66123e-05 +10 *1815:34 *20072:B2 4.3116e-06 +*RES +1 *20071:X *20072:B2 35.321 +*END + +*D_NET *1824 0.000181053 +*CONN +*I *20074:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20073:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20074:A 5.58913e-05 +2 *20073:X 5.58913e-05 +3 *20074:A *5852:53 6.92705e-05 +*RES +1 *20073:X *20074:A 20.2103 +*END + +*D_NET *1825 0.00189439 +*CONN +*I *20078:A4 I *D sky130_fd_sc_hd__a41o_1 +*I *22120:B_N I *D sky130_fd_sc_hd__and4bb_1 +*I *20075:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *20078:A4 0.000166935 +2 *22120:B_N 0.000179756 +3 *20075:Y 3.43149e-05 +4 *1825:8 0.000381006 +5 *20078:A4 *20078:A1 0.000160617 +6 *20078:A4 *20078:B1 2.71504e-05 +7 *20078:A4 *5898:73 7.40769e-05 +8 *22120:B_N *20078:A1 0.000211478 +9 *1825:8 *5929:42 0.000101133 +10 *20122:B *22120:B_N 1.41853e-05 +11 *20122:B *1825:8 0.000101133 +12 *20122:D *22120:B_N 0.000158357 +13 *22120:C *22120:B_N 0.000258128 +14 *24825:D *20078:A4 2.61147e-05 +*RES +1 *20075:Y *1825:8 20.0811 +2 *1825:8 *22120:B_N 14.964 +3 *1825:8 *20078:A4 14.0477 +*END + +*D_NET *1826 0.000770041 +*CONN +*I *20078:B1 I *D sky130_fd_sc_hd__a41o_1 +*I *20077:X O *D sky130_fd_sc_hd__o31a_1 +*CAP +1 *20078:B1 0.000149732 +2 *20077:X 0.000149732 +3 *20078:B1 *20078:A1 2.65667e-05 +4 *20078:B1 *24028:A1 7.86847e-05 +5 *20078:B1 *5482:63 0.000101133 +6 *20078:B1 *5482:69 7.86847e-05 +7 *20078:A4 *20078:B1 2.71504e-05 +8 *24825:D *20078:B1 0.000158357 +9 *1816:23 *20078:B1 0 +*RES +1 *20077:X *20078:B1 32.9874 +*END + +*D_NET *1827 0.00110399 +*CONN +*I *20080:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20079:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20080:A 0.000325907 +2 *20079:X 0.000325907 +3 *20080:A *23410:B1 0.000309709 +4 *20080:A *23959:A0 2.22339e-05 +5 *20080:A *24824:CLK 5.2833e-05 +6 *20080:A *1829:148 0 +7 *20080:A *5469:8 0 +8 *20080:A *5469:19 0 +9 *24821:D *20080:A 2.03903e-05 +10 *24824:RESET_B *20080:A 4.70136e-05 +*RES +1 *20079:X *20080:A 35.2675 +*END + +*D_NET *1828 0.000336989 +*CONN +*I *20082:A I *D sky130_fd_sc_hd__buf_8 +*I *20081:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *20082:A 4.48782e-05 +2 *20081:X 4.48782e-05 +3 *20082:A *20107:A3 3.67708e-05 +4 *20082:A *5482:18 0.000156823 +5 *20082:A *5929:42 5.36397e-05 +*RES +1 *20081:X *20082:A 30.1608 +*END + +*D_NET *1829 0.108495 +*CONN +*I *20087:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20090:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20096:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20093:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20102:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20099:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20083:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20084:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20107:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *20082:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *20087:A2 0.000293835 +2 *20090:A2 0.000219647 +3 *20096:A2 0.00018909 +4 *20093:A2 0 +5 *20102:A2 0.000315149 +6 *20099:A2 0 +7 *20083:A 1.68717e-05 +8 *20084:A2 2.99641e-05 +9 *20107:A3 0.00079125 +10 *20082:X 0 +11 *1829:157 0.000878235 +12 *1829:148 0.00165411 +13 *1829:142 0.00124959 +14 *1829:137 0.000462644 +15 *1829:136 0.00065251 +16 *1829:131 0.000710567 +17 *1829:122 0.0010797 +18 *1829:117 0.00162208 +19 *1829:112 0.00143085 +20 *1829:106 0.00164533 +21 *1829:105 0.001419 +22 *1829:95 0.0021932 +23 *1829:90 0.00243728 +24 *1829:83 0.0020748 +25 *1829:77 0.00200161 +26 *1829:73 0.00275976 +27 *1829:59 0.00339189 +28 *1829:50 0.00453154 +29 *1829:49 0.00423395 +30 *1829:46 0.00216805 +31 *1829:34 0.00184711 +32 *1829:31 0.00144568 +33 *1829:4 0.00150225 +34 *20083:A *4873:80 6.50586e-05 +35 *20084:A2 *20084:A1 0.000113968 +36 *20087:A2 *20084:B1 0 +37 *20087:A2 *20089:A 0 +38 *20087:A2 *5470:13 3.42931e-05 +39 *20087:A2 *5470:23 2.22342e-05 +40 *20087:A2 *5801:102 0.000119887 +41 *20090:A2 *20087:B2 6.50586e-05 +42 *20090:A2 *20090:A1 6.50586e-05 +43 *20090:A2 *23959:A0 0 +44 *20090:A2 *23960:A0 3.67528e-06 +45 *20090:A2 *5469:19 0.000118004 +46 *20090:A2 *5907:60 0 +47 *20096:A2 *20084:B2 0.000266846 +48 *20096:A2 *20096:A1 0.000283016 +49 *20096:A2 *1830:23 0.000642796 +50 *20102:A2 *20099:B1 1.82696e-05 +51 *20102:A2 *20102:A1 0.000118166 +52 *20102:A2 *20102:B1 0 +53 *20102:A2 *20102:B2 0 +54 *20102:A2 *5801:105 0.000118166 +55 *20107:A3 *20063:B1 6.23875e-05 +56 *20107:A3 *20152:C 0.00013822 +57 *20107:A3 *21109:A 0.00032508 +58 *20107:A3 *21109:B 6.25141e-05 +59 *20107:A3 *3097:6 1.5254e-05 +60 *20107:A3 *5473:86 0.000247443 +61 *20107:A3 *5482:18 1.5254e-05 +62 *20107:A3 *5484:10 5.41227e-05 +63 *20107:A3 *5484:35 0.000467545 +64 *20107:A3 *5484:47 0.000343971 +65 *20107:A3 *5929:42 0.0010911 +66 *1829:31 *20063:A2 0.000260388 +67 *1829:31 *20063:B1 2.15348e-05 +68 *1829:31 *20081:C 4.05831e-05 +69 *1829:31 *2412:10 1.29394e-05 +70 *1829:31 *2412:12 0.000367662 +71 *1829:31 *4867:159 0 +72 *1829:31 *5485:67 4.89469e-06 +73 *1829:34 *5487:8 2.692e-05 +74 *1829:34 *5929:38 0.000992568 +75 *1829:34 *5929:42 0.000318036 +76 *1829:46 *23030:A1 0.000128092 +77 *1829:46 *23034:B 6.31665e-05 +78 *1829:46 *2948:14 0.000203346 +79 *1829:46 *3895:48 1.96968e-05 +80 *1829:46 *3897:43 0.000225831 +81 *1829:46 *5929:38 0.000371057 +82 *1829:49 *21934:A 6.08467e-05 +83 *1829:49 *2505:57 0.00137108 +84 *1829:49 *2711:22 0.00103862 +85 *1829:49 *2802:12 0.000241379 +86 *1829:49 *5927:64 0.000203756 +87 *1829:50 *2838:34 0.000919667 +88 *1829:50 *3892:12 0.00584715 +89 *1829:50 *3894:16 0.00517502 +90 *1829:50 *4524:27 0.000148104 +91 *1829:50 *4524:28 0.00523294 +92 *1829:50 *5928:29 0.00212452 +93 *1829:50 *5931:22 6.77301e-05 +94 *1829:59 *23423:A1 7.34948e-06 +95 *1829:59 *23423:A2 6.36477e-05 +96 *1829:59 *23423:D1 0.000205101 +97 *1829:59 *23430:A2 3.99086e-06 +98 *1829:59 *3931:46 0.000593346 +99 *1829:59 *5456:112 0 +100 *1829:59 *5846:7 0.000233859 +101 *1829:73 *23095:B 6.89953e-05 +102 *1829:73 *23215:A 0.000698958 +103 *1829:73 *23423:A1 0.000118658 +104 *1829:73 *23513:A 9.24241e-05 +105 *1829:73 *23740:A1 2.16355e-05 +106 *1829:73 *3877:87 0.000232409 +107 *1829:73 *3996:86 0.000104572 +108 *1829:73 *4001:68 0.000110306 +109 *1829:73 *4020:45 0.000444819 +110 *1829:73 *4127:36 9.60366e-05 +111 *1829:73 *4228:29 2.83834e-06 +112 *1829:73 *4643:7 2.77625e-06 +113 *1829:77 *23505:B1 0.000107496 +114 *1829:77 *3829:54 0.000203315 +115 *1829:77 *4146:83 9.39205e-05 +116 *1829:77 *4412:11 0.00082447 +117 *1829:77 *4538:51 0.000941383 +118 *1829:83 *20793:B2 6.08467e-05 +119 *1829:83 *23771:B1 0.00046525 +120 *1829:83 *23772:A 1.50513e-05 +121 *1829:83 *23809:C1 0.000432713 +122 *1829:83 *3829:26 0.000277916 +123 *1829:83 *3829:54 5.33358e-06 +124 *1829:83 *4535:16 0.000192517 +125 *1829:83 *4582:17 5.481e-05 +126 *1829:83 *4698:22 5.41377e-05 +127 *1829:83 *4800:14 0.000240514 +128 *1829:83 *4861:19 5.92342e-05 +129 *1829:90 *21019:B2 7.34948e-06 +130 *1829:90 *21021:B2 0.000113374 +131 *1829:90 *2523:35 0.000867831 +132 *1829:90 *4146:35 0.00073981 +133 *1829:90 *4935:24 0.000116986 +134 *1829:90 *5671:142 0.000307498 +135 *1829:95 *20648:B1 0.000211464 +136 *1829:95 *20648:B2 2.65831e-05 +137 *1829:95 *21021:A1 7.92757e-06 +138 *1829:95 *21021:A2 0.000107101 +139 *1829:95 *21021:B2 6.08467e-05 +140 *1829:95 *4034:43 0.000107496 +141 *1829:105 *21557:A 5.90915e-05 +142 *1829:105 *2231:6 0.00106597 +143 *1829:105 *2604:6 0.00106615 +144 *1829:105 *5458:88 0 +145 *1829:106 *2231:6 3.42564e-05 +146 *1829:106 *2624:6 0.000473718 +147 *1829:106 *2624:21 0.00128335 +148 *1829:106 *3860:22 0.000280436 +149 *1829:112 *2523:17 0.000810032 +150 *1829:112 *4700:16 0.000616019 +151 *1829:112 *4842:42 9.04109e-05 +152 *1829:112 *4843:63 0.000211464 +153 *1829:112 *5815:18 0 +154 *1829:112 *5868:349 3.31736e-05 +155 *1829:112 *6020:16 0.00157533 +156 *1829:117 *4146:25 0.00107841 +157 *1829:117 *4739:5 0.00317494 +158 *1829:122 *21264:A 0.000339523 +159 *1829:122 *2327:13 0.000383166 +160 *1829:122 *2328:11 0.000449063 +161 *1829:122 *3078:14 6.01329e-05 +162 *1829:122 *4319:8 0 +163 *1829:122 *4371:30 0.000191541 +164 *1829:122 *5801:102 0.000162144 +165 *1829:131 *5801:102 7.6959e-05 +166 *1829:137 *23959:A0 0 +167 *1829:137 *5469:19 7.75932e-05 +168 *1829:142 *23959:A0 1.43848e-05 +169 *1829:142 *24824:CLK 0 +170 *1829:142 *5469:19 0.000109019 +171 *1829:148 *23959:A0 3.93679e-06 +172 *1829:148 *4371:24 4.91751e-05 +173 *1829:148 *4873:80 1.00846e-05 +174 *1829:148 *5468:5 1.56102e-05 +175 *1829:157 *20096:B1 0 +176 *1829:157 *20099:B1 9.35753e-06 +177 *1829:157 *1830:61 0 +178 *1829:157 *4873:74 2.95757e-05 +179 *1829:157 *4873:103 0 +180 *20080:A *1829:148 0 +181 *20082:A *20107:A3 3.67708e-05 +182 *20100:A *1829:157 0.000246013 +183 *20107:B1 *20107:A3 6.9865e-05 +184 *24088:A0 *1829:83 0.00011971 +185 *24142:D *1829:59 0.000170011 +186 *24473:D *1829:95 1.10258e-05 +187 *24819:D *1829:157 0.000213445 +188 *24820:D *1829:157 0 +189 *24824:D *20084:A2 2.44829e-05 +190 *24824:D *1829:142 0 +191 *24834:RESET_B *1829:34 4.27003e-05 +192 *74:32 *1829:46 0.000208403 +193 *566:124 *1829:90 0.00157647 +194 *657:228 *1829:122 0 +195 *657:242 *1829:122 0.00022214 +196 *657:248 *1829:157 8.15658e-05 +197 *657:276 *20087:A2 0.0003637 +198 *657:276 *1829:122 0.000381904 +199 *657:276 *1829:131 0.000191526 +200 *657:310 *1829:34 0.000724304 +201 *1619:28 *1829:106 0.00254162 +202 *1619:44 *1829:105 3.65971e-05 +203 *1697:39 *1829:34 0 +204 *1697:48 *1829:46 5.88412e-05 +205 *1731:59 *20107:A3 4.99782e-05 +206 *1763:23 *1829:46 9.97888e-05 +207 *1763:26 *1829:34 1.87169e-05 +208 *1763:26 *1829:46 0.00134871 +209 *1763:37 *1829:34 0.000247742 +210 *1809:181 *1829:157 0 +211 *1816:56 *1829:31 0.000157605 +*RES +1 *20082:X *1829:4 9.24915 +2 *1829:4 *20107:A3 49.2996 +3 *1829:4 *1829:31 33.9463 +4 *1829:31 *1829:34 31.5714 +5 *1829:34 *1829:46 45.3046 +6 *1829:46 *1829:49 36.2812 +7 *1829:49 *1829:50 199.907 +8 *1829:50 *1829:59 35.2443 +9 *1829:59 *1829:73 45.2174 +10 *1829:73 *1829:77 27.9621 +11 *1829:77 *1829:83 47.8441 +12 *1829:83 *1829:90 46.8975 +13 *1829:90 *1829:95 41.3358 +14 *1829:95 *1829:105 26.71 +15 *1829:105 *1829:106 46.4716 +16 *1829:106 *1829:112 46.0353 +17 *1829:112 *1829:117 44.1088 +18 *1829:117 *1829:122 33.8797 +19 *1829:122 *20084:A2 15.0271 +20 *1829:122 *1829:131 3.493 +21 *1829:131 *1829:136 16.3786 +22 *1829:136 *1829:137 3.07775 +23 *1829:137 *1829:142 9.5469 +24 *1829:142 *1829:148 16.5658 +25 *1829:148 *20083:A 9.97254 +26 *1829:148 *1829:157 21.6963 +27 *1829:157 *20099:A2 13.7491 +28 *1829:157 *20102:A2 21.8422 +29 *1829:142 *20093:A2 9.24915 +30 *1829:137 *20096:A2 23.9249 +31 *1829:136 *20090:A2 20.8723 +32 *1829:131 *20087:A2 23.8862 +*END + +*D_NET *1830 0.00922957 +*CONN +*I *20102:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20099:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20087:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20090:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20084:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20093:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20096:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20083:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20102:B2 0.000273544 +2 *20099:B2 4.1154e-05 +3 *20087:B2 0.000271896 +4 *20090:B2 0 +5 *20084:B2 0.000232222 +6 *20093:B2 0.000134658 +7 *20096:B2 0 +8 *20083:Y 0.000193175 +9 *1830:61 0.000870685 +10 *1830:42 0.000558129 +11 *1830:24 0.000409678 +12 *1830:23 0.000869859 +13 *1830:13 0.000696084 +14 *1830:8 0.000904417 +15 *20084:B2 *20096:A1 0.000113968 +16 *20087:B2 *20089:A 0.000214936 +17 *20087:B2 *20090:A1 0.000110779 +18 *20087:B2 *23959:A0 7.03198e-05 +19 *20087:B2 *24823:CLK 6.73351e-05 +20 *20087:B2 *5907:60 0.000184946 +21 *20093:B2 *20093:B1 3.98327e-05 +22 *20093:B2 *20095:A 0 +23 *20093:B2 *20096:A1 4.87198e-05 +24 *20093:B2 *3860:14 0 +25 *20093:B2 *5469:19 4.3116e-06 +26 *20102:B2 *20102:B1 2.57071e-05 +27 *1830:8 *3996:10 0 +28 *1830:8 *4371:24 0.000169041 +29 *1830:8 *4873:80 4.70005e-05 +30 *1830:13 *20096:A1 1.03434e-05 +31 *1830:13 *20098:A 6.22868e-05 +32 *1830:13 *3860:14 0.000629922 +33 *1830:23 *20096:A1 1.7146e-05 +34 *1830:23 *20096:B1 6.08467e-05 +35 *1830:23 *20098:A 0 +36 *1830:23 *3860:14 1.1934e-05 +37 *1830:24 *5469:19 1.03986e-05 +38 *1830:42 *5469:19 0.000214505 +39 *1830:61 *20101:A 0 +40 *1830:61 *3996:10 0 +41 *1830:61 *4020:13 0 +42 *1830:61 *4873:80 9.78016e-05 +43 *20088:A *20087:B2 0.000113048 +44 *20090:A2 *20087:B2 6.50586e-05 +45 *20096:A2 *20084:B2 0.000266846 +46 *20096:A2 *1830:23 0.000642796 +47 *20097:A *1830:13 0.000113968 +48 *20100:A *1830:61 0 +49 *20102:A2 *20102:B2 0 +50 *24818:RESET_B *20102:B2 0 +51 *24822:D *20087:B2 0.000144695 +52 *24822:RESET_B *20087:B2 0.000164843 +53 *1809:184 *20099:B2 5.07314e-05 +54 *1829:157 *1830:61 0 +*RES +1 *20083:Y *1830:8 17.829 +2 *1830:8 *1830:13 11.9028 +3 *1830:13 *20096:B2 9.24915 +4 *1830:13 *1830:23 14.5311 +5 *1830:23 *1830:24 1.00149 +6 *1830:24 *20093:B2 17.6574 +7 *1830:24 *20084:B2 20.5732 +8 *1830:23 *1830:42 13.3913 +9 *1830:42 *20090:B2 9.24915 +10 *1830:42 *20087:B2 30.4229 +11 *1830:8 *1830:61 13.8743 +12 *1830:61 *20099:B2 15.0271 +13 *1830:61 *20102:B2 20.5642 +*END + +*D_NET *1831 0.00104057 +*CONN +*I *20086:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20085:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20086:A 0.000426748 +2 *20085:X 0.000426748 +3 *20086:A *20089:A 3.82654e-05 +4 *20088:A *20086:A 4.81015e-05 +5 *657:276 *20086:A 0.000100705 +*RES +1 *20085:X *20086:A 25.7564 +*END + +*D_NET *1832 0.00170541 +*CONN +*I *20089:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20088:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20089:A 0.000341363 +2 *20088:X 0.000341363 +3 *20089:A *20084:B1 0.000169078 +4 *20089:A *5470:13 0.000309282 +5 *20086:A *20089:A 3.82654e-05 +6 *20087:A2 *20089:A 0 +7 *20087:B2 *20089:A 0.000214936 +8 *20088:A *20089:A 6.49003e-05 +9 *24823:RESET_B *20089:A 0.000118166 +10 *657:276 *20089:A 0.000108054 +*RES +1 *20088:X *20089:A 39.6185 +*END + +*D_NET *1833 0.00038668 +*CONN +*I *20092:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20091:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20092:A 0.000151874 +2 *20091:X 0.000151874 +3 *20091:A *20092:A 8.29324e-05 +*RES +1 *20091:X *20092:A 22.5975 +*END + +*D_NET *1834 0.000787396 +*CONN +*I *20095:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20094:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20095:A 0.000262925 +2 *20094:X 0.000262925 +3 *20095:A *23410:B1 6.46815e-05 +4 *20095:A *2194:12 0 +5 *20095:A *3860:14 4.3116e-06 +6 *20095:A *4371:24 4.44386e-05 +7 *20093:B2 *20095:A 0 +8 *24820:RESET_B *20095:A 0.000148114 +*RES +1 *20094:X *20095:A 34.2062 +*END + +*D_NET *1835 0.000477879 +*CONN +*I *20098:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20097:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20098:A 0.000150812 +2 *20097:X 0.000150812 +3 *20097:A *20098:A 0.000113968 +4 *1830:13 *20098:A 6.22868e-05 +5 *1830:23 *20098:A 0 +*RES +1 *20097:X *20098:A 22.5975 +*END + +*D_NET *1836 0.000497659 +*CONN +*I *20101:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20100:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20101:A 0.000239615 +2 *20100:X 0.000239615 +3 *20101:A *24818:CLK 0 +4 *20101:A *3860:8 0 +5 *20101:A *4020:13 0 +6 *20100:A *20101:A 1.84293e-05 +7 *1830:61 *20101:A 0 +*RES +1 *20100:X *20101:A 31.9934 +*END + +*D_NET *1837 0.00105718 +*CONN +*I *20104:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20103:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20104:A 0.000192775 +2 *20103:X 0.000192775 +3 *20104:A *2405:20 1.65471e-05 +4 *20104:A *5852:74 0.000292537 +5 *20103:A *20104:A 2.16355e-05 +6 *657:39 *20104:A 0.000340908 +*RES +1 *20103:X *20104:A 34.7608 +*END + +*D_NET *1838 0.00115164 +*CONN +*I *21109:B I *D sky130_fd_sc_hd__nand2_1 +*I *20107:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *20105:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *21109:B 0.000280338 +2 *20107:A2 0 +3 *20105:Y 0.000118888 +4 *1838:5 0.000399226 +5 *21109:B *21109:A 9.80242e-07 +6 *1838:5 *20105:A 2.65667e-05 +7 *1838:5 *20108:A 7.58194e-05 +8 *1838:5 *21109:A 9.02553e-05 +9 *20107:A3 *21109:B 6.25141e-05 +10 *20107:B1 *21109:B 3.19904e-05 +11 *561:28 *1838:5 6.50586e-05 +*RES +1 *20105:Y *1838:5 13.8548 +2 *1838:5 *20107:A2 9.24915 +3 *1838:5 *21109:B 14.8193 +*END + +*D_NET *1839 0.00343224 +*CONN +*I *20107:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *20106:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *20107:B2 0 +2 *20106:X 0.000726436 +3 *1839:12 0.000726436 +4 *1839:12 *20123:A 0.000312157 +5 *1839:12 *24028:S 0.000480257 +6 *1839:12 *24075:A0 1.17096e-05 +7 *1839:12 *1862:15 0 +8 *1839:12 *5446:32 1.79672e-05 +9 *20107:B1 *1839:12 9.12416e-06 +10 *486:10 *1839:12 0.000785054 +11 *1534:8 *1839:12 5.39463e-05 +12 *1534:22 *1839:12 0.000309158 +*RES +1 *20106:X *1839:12 45.0696 +2 *1839:12 *20107:B2 9.24915 +*END + +*D_NET *1840 0.000424364 +*CONN +*I *20108:A I *D sky130_fd_sc_hd__or2b_1 +*I *20107:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *20108:A 0.000163653 +2 *20107:X 0.000163653 +3 *20108:A *2505:50 2.12377e-05 +4 *1838:5 *20108:A 7.58194e-05 +*RES +1 *20107:X *20108:A 30.7476 +*END + +*D_NET *1841 0.00527598 +*CONN +*I *20113:S I *D sky130_fd_sc_hd__mux2_1 +*I *20118:S I *D sky130_fd_sc_hd__mux2_1 +*I *20109:S I *D sky130_fd_sc_hd__mux2_1 +*I *20108:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *20113:S 2.69213e-05 +2 *20118:S 0.000610444 +3 *20109:S 0.000144941 +4 *20108:X 0.000264615 +5 *1841:16 0.00129529 +6 *1841:5 0.00106748 +7 *20109:S *20109:A1 7.48633e-05 +8 *20109:S *24167:CLK 1.58551e-05 +9 *20113:S *2838:29 5.0715e-05 +10 *20118:S *20112:A 5.04879e-05 +11 *20118:S *2619:29 1.43983e-05 +12 *20118:S *2864:44 0 +13 *20118:S *5449:8 6.28168e-05 +14 *20118:S *5449:18 0.000169078 +15 *20118:S *5450:8 0 +16 *20118:S *5916:20 0 +17 *1841:5 *24030:A1 2.15184e-05 +18 *1841:5 *24030:S 0.00041598 +19 *1841:5 *24167:CLK 2.59533e-05 +20 *1841:16 *2405:20 0 +21 *1841:16 *2426:16 0.000381154 +22 *1841:16 *2864:44 0 +23 *1841:16 *3766:10 0.000219348 +24 *1841:16 *5450:8 0 +25 *1841:16 *5450:18 0 +26 *1841:16 *5852:74 0 +27 *1841:16 *5916:20 0 +28 *20113:A0 *20113:S 2.85139e-05 +29 *1734:18 *1841:16 0.000335606 +*RES +1 *20108:X *1841:5 16.0732 +2 *1841:5 *20109:S 12.7697 +3 *1841:5 *1841:16 24.1878 +4 *1841:16 *20118:S 28.9256 +5 *1841:16 *20113:S 15.0271 +*END + +*D_NET *1842 0.000480138 +*CONN +*I *20110:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20109:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20110:A 0.000135491 +2 *20109:X 0.000135491 +3 *20110:A *3103:8 0.000127179 +4 *20110:A *5591:10 2.65831e-05 +5 *657:39 *20110:A 5.53934e-05 +*RES +1 *20109:X *20110:A 31.5781 +*END + +*D_NET *1843 0.00229425 +*CONN +*I *20112:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20111:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20112:A 0.000643094 +2 *20111:X 0.000643094 +3 *20112:A *21568:A 0.000164843 +4 *20112:A *2625:16 0.000729664 +5 *20112:A *2864:44 5.53934e-05 +6 *20111:A *20112:A 7.6719e-06 +7 *20118:S *20112:A 5.04879e-05 +*RES +1 *20111:X *20112:A 39.8972 +*END + +*D_NET *1844 0.000323044 +*CONN +*I *20114:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20113:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20114:A 0.000139331 +2 *20113:X 0.000139331 +3 *20114:A *2838:29 4.43826e-05 +*RES +1 *20113:X *20114:A 21.8741 +*END + +*D_NET *1845 0.0423329 +*CONN +*I *21123:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20329:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21117:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21107:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21120:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21114:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20126:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20120:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21157:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21126:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21129:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21132:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21137:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20149:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20246:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21100:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21096:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20116:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21104:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20306:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20115:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *21123:A 0 +2 *20329:A 0 +3 *21117:A 0.000145499 +4 *21107:A 0.000103793 +5 *21120:A 0.000516166 +6 *21114:A 2.86212e-05 +7 *20126:A 3.05735e-05 +8 *20120:A 0.000566087 +9 *21157:A 4.86596e-05 +10 *21126:A 0 +11 *21129:A 0 +12 *21132:A 0.000461464 +13 *21137:A 2.60774e-05 +14 *20149:A 0 +15 *20246:A 0 +16 *21100:A 0 +17 *21096:A 4.89012e-05 +18 *20116:A 0.000187483 +19 *21104:A 7.41104e-05 +20 *20306:A 0.000181492 +21 *20115:X 4.1154e-05 +22 *1845:187 0.000845005 +23 *1845:178 0.000631899 +24 *1845:168 7.633e-05 +25 *1845:167 4.57565e-05 +26 *1845:153 0.00111722 +27 *1845:151 0.00142191 +28 *1845:144 0.00124415 +29 *1845:139 0.000854033 +30 *1845:138 0.00199965 +31 *1845:115 4.86596e-05 +32 *1845:113 0.00226995 +33 *1845:106 0.00146795 +34 *1845:92 0.00190425 +35 *1845:81 0.00171849 +36 *1845:52 0.000647979 +37 *1845:37 0.00135138 +38 *1845:32 0.00121181 +39 *1845:30 0.000831986 +40 *1845:18 0.00129746 +41 *1845:11 0.00102314 +42 *1845:7 0.00163352 +43 *20116:A *20117:A 8.28112e-05 +44 *20120:A *4867:38 6.08467e-05 +45 *20120:A *5898:131 0 +46 *20126:A *20127:A 0.000117376 +47 *20306:A *20307:A 9.63749e-05 +48 *20306:A *5473:11 0.000201811 +49 *20306:A *5805:26 9.34344e-05 +50 *21096:A *21097:A 4.23858e-05 +51 *21104:A *21105:A 5.56461e-05 +52 *21104:A *4867:66 0.000122378 +53 *21107:A *21108:A 0.000113968 +54 *21107:A *2412:12 7.41058e-05 +55 *21107:A *2715:29 0.000160617 +56 *21107:A *4870:32 0.000170592 +57 *21114:A *21115:A 6.08467e-05 +58 *21117:A *21118:A 0 +59 *21120:A *2948:15 0.00216288 +60 *21132:A *21133:A 0.000237893 +61 *21132:A *2405:5 0.000200092 +62 *21137:A *21138:A 0.000107496 +63 *21137:A *24944:A 0.000107496 +64 *21157:A *21127:A 0.000134659 +65 *1845:7 *20154:B1 5.07314e-05 +66 *1845:11 *24877:CLK 0 +67 *1845:11 *5860:180 0 +68 *1845:18 *20150:A 6.9865e-05 +69 *1845:18 *5805:26 0.000151427 +70 *1845:32 *20247:A 2.84758e-05 +71 *1845:32 *21101:A 7.34948e-06 +72 *1845:32 *5447:14 0.000120546 +73 *1845:37 *21101:A 5.56461e-05 +74 *1845:37 *5811:44 0.000367298 +75 *1845:52 *5811:44 0.00080051 +76 *1845:52 *5812:60 0 +77 *1845:81 *24877:CLK 0 +78 *1845:81 *4828:139 8.25923e-05 +79 *1845:81 *5475:19 0 +80 *1845:81 *5860:180 0 +81 *1845:92 *3177:111 0.00024568 +82 *1845:92 *4828:139 0.000876939 +83 *1845:92 *5475:19 0 +84 *1845:92 *5476:16 0 +85 *1845:92 *5852:53 0.000116971 +86 *1845:106 *21130:A 0 +87 *1845:106 *2405:5 5.23872e-05 +88 *1845:106 *4878:40 0 +89 *1845:106 *5475:29 0.000224162 +90 *1845:113 *4878:40 0 +91 *1845:113 *5814:38 8.00517e-05 +92 *1845:113 *5898:63 1.32729e-05 +93 *1845:138 *24051:A1 1.37874e-05 +94 *1845:138 *24061:A0 0 +95 *1845:138 *2219:36 0.000112174 +96 *1845:138 *2561:26 0 +97 *1845:138 *4878:40 0 +98 *1845:138 *5439:56 0.000371767 +99 *1845:138 *5898:63 1.35317e-05 +100 *1845:139 *20330:A 1.88014e-05 +101 *1845:139 *21124:A 3.82228e-05 +102 *1845:139 *2218:55 0.000109503 +103 *1845:144 *20063:A1 7.73065e-05 +104 *1845:144 *20330:A 2.99287e-05 +105 *1845:144 *21118:A 0 +106 *1845:144 *2510:21 0 +107 *1845:144 *5474:70 0.000409334 +108 *1845:151 *24204:CLK 0.000467302 +109 *1845:153 *20127:A 1.41291e-05 +110 *1845:178 *24204:CLK 0.000943133 +111 *1845:178 *2412:12 0.000352031 +112 *1845:178 *4864:30 0 +113 *1845:178 *5082:57 0.000149628 +114 *1845:187 *24204:CLK 0.000223685 +115 *1845:187 *2412:12 0.000267015 +116 *1845:187 *4870:32 0.000372411 +117 *19656:A *1845:81 0.000122098 +118 *24197:RESET_B *1845:92 4.61732e-05 +119 *24199:RESET_B *21132:A 6.50727e-05 +120 *24200:RESET_B *1845:106 4.95339e-05 +121 *24200:RESET_B *1845:113 7.93324e-05 +122 *24204:D *1845:151 9.90116e-05 +123 *24204:RESET_B *21117:A 4.76347e-05 +124 *24204:RESET_B *1845:144 2.44976e-05 +125 *24208:D *1845:37 0 +126 *24209:D *20116:A 7.97098e-06 +127 *24813:D *20120:A 3.4067e-05 +128 *24813:RESET_B *1845:153 6.36477e-05 +129 *1427:47 *21120:A 0.000604767 +130 *1438:81 *21117:A 5.15068e-05 +131 *1455:65 *1845:151 1.4085e-05 +132 *1455:65 *1845:153 0.000112084 +133 *1522:26 *21117:A 0 +134 *1522:26 *1845:144 0 +135 *1522:40 *1845:92 6.10572e-05 +136 *1522:42 *1845:81 0.000216337 +137 *1522:46 *1845:81 0.000513273 +138 *1587:38 *1845:106 1.5714e-05 +139 *1692:8 *1845:106 0.000173312 +140 *1692:8 *1845:113 8.82455e-05 +141 *1697:22 *1845:113 0 +142 *1699:16 *1845:138 0.000115585 +143 *1756:25 *21157:A 0.00032275 +144 *1756:31 *20120:A 0.000977214 +145 *1756:31 *20126:A 0.000165853 +146 *1756:31 *1845:153 0.000269147 +147 *1765:14 *1845:144 0 +148 *1783:82 *1845:113 0 +149 *1786:33 *1845:139 7.83201e-05 +150 *1786:33 *1845:144 1.41307e-05 +151 *1810:7 *1845:151 6.65878e-05 +152 *1818:21 *1845:144 0 +*RES +1 *20115:X *1845:7 15.0271 +2 *1845:7 *1845:11 13.8416 +3 *1845:11 *1845:18 20.1057 +4 *1845:18 *20306:A 20.9794 +5 *1845:18 *1845:30 14.6758 +6 *1845:30 *1845:32 9.04245 +7 *1845:32 *1845:37 27.8292 +8 *1845:37 *21104:A 16.3387 +9 *1845:37 *1845:52 18.3743 +10 *1845:52 *20116:A 14.4576 +11 *1845:52 *21096:A 10.5271 +12 *1845:32 *21100:A 9.24915 +13 *1845:30 *20246:A 9.24915 +14 *1845:11 *20149:A 9.24915 +15 *1845:7 *1845:81 33.8064 +16 *1845:81 *21137:A 15.0271 +17 *1845:81 *1845:92 23.3573 +18 *1845:92 *21132:A 18.7497 +19 *1845:92 *1845:106 22.7124 +20 *1845:106 *21129:A 13.7491 +21 *1845:106 *1845:113 13.4591 +22 *1845:113 *1845:115 4.5 +23 *1845:115 *21126:A 9.24915 +24 *1845:115 *21157:A 12.7456 +25 *1845:113 *1845:138 46.0837 +26 *1845:138 *1845:139 6.82404 +27 *1845:139 *1845:144 21.174 +28 *1845:144 *1845:151 15.3992 +29 *1845:151 *1845:153 12.9247 +30 *1845:153 *20120:A 36.0618 +31 *1845:153 *1845:167 9.24915 +32 *1845:167 *1845:168 57.9449 +33 *1845:168 *20126:A 20.3309 +34 *1845:151 *1845:178 21.6963 +35 *1845:178 *21114:A 14.4725 +36 *1845:178 *1845:187 10.5523 +37 *1845:187 *21120:A 37.7659 +38 *1845:187 *21107:A 18.6595 +39 *1845:144 *21117:A 18.0727 +40 *1845:139 *20329:A 9.24915 +41 *1845:138 *21123:A 9.24915 +*END + +*D_NET *1846 0.000345702 +*CONN +*I *20117:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20116:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20117:A 0.000131446 +2 *20116:X 0.000131446 +3 *20116:A *20117:A 8.28112e-05 +*RES +1 *20116:X *20117:A 22.5975 +*END + +*D_NET *1847 0.00106078 +*CONN +*I *20119:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20118:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20119:A 0.000348754 +2 *20118:X 0.000348754 +3 *20119:A *2951:19 6.92705e-05 +4 *20119:A *2955:29 6.50586e-05 +5 *20119:A *5449:8 0 +6 *20119:A *5916:20 0.000228942 +*RES +1 *20118:X *20119:A 37.5282 +*END + +*D_NET *1848 0.00145952 +*CONN +*I *20121:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20120:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20121:A 0.000332031 +2 *20120:X 0.000332031 +3 *20121:A *20125:A 0.000104572 +4 *20121:A *2426:16 0.000343637 +5 *1734:18 *20121:A 0.000347249 +*RES +1 *20120:X *20121:A 36.9792 +*END + +*D_NET *1849 0.000693842 +*CONN +*I *20123:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20122:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *20123:A 0.000123928 +2 *20122:X 0.000123928 +3 *1534:22 *20123:A 0.000133828 +4 *1839:12 *20123:A 0.000312157 +*RES +1 *20122:X *20123:A 33.0676 +*END + +*D_NET *1850 0.00146632 +*CONN +*I *20125:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20124:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20125:A 0.000333135 +2 *20124:X 0.000333135 +3 *20125:A *2505:50 0.000115585 +4 *20125:A *4867:38 0.000444724 +5 *20125:A *5480:5 1.78942e-05 +6 *20121:A *20125:A 0.000104572 +7 *1756:31 *20125:A 0.000117271 +*RES +1 *20124:X *20125:A 36.7384 +*END + +*D_NET *1851 0.000467442 +*CONN +*I *20127:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20126:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20127:A 0.000139013 +2 *20126:X 0.000139013 +3 *20126:A *20127:A 0.000117376 +4 *1455:65 *20127:A 1.61631e-05 +5 *1756:31 *20127:A 4.17467e-05 +6 *1845:153 *20127:A 1.41291e-05 +*RES +1 *20126:X *20127:A 22.5975 +*END + +*D_NET *1852 0.00254525 +*CONN +*I *20129:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *20128:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20129:B2 0.000551979 +2 *20128:X 0.000551979 +3 *20129:B2 *3098:16 6.01162e-05 +4 *19751:A *20129:B2 0.000259244 +5 *19751:B *20129:B2 0.000194813 +6 *20128:A *20129:B2 7.34948e-06 +7 *22121:A1 *20129:B2 0.000144531 +8 *514:58 *20129:B2 8.19483e-05 +9 *551:13 *20129:B2 7.14746e-05 +10 *1524:10 *20129:B2 0.000144461 +11 *1755:21 *20129:B2 1.4091e-06 +12 *1783:67 *20129:B2 0.000475944 +*RES +1 *20128:X *20129:B2 47.079 +*END + +*D_NET *1853 0.00377771 +*CONN +*I *20131:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20130:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20131:A 0 +2 *20130:X 0.000893333 +3 *1853:11 0.000893333 +4 *1853:11 *20134:B1 0.000158371 +5 *1853:11 *20165:A2 0.00034245 +6 *1853:11 *20167:B2 2.09495e-05 +7 *1853:11 *20172:B2 6.08467e-05 +8 *1853:11 *22211:A 0.000154145 +9 *1853:11 *22212:A 6.24474e-06 +10 *1853:11 *1864:13 0.000203604 +11 *1853:11 *1864:89 9.2807e-05 +12 *1853:11 *1865:70 5.50843e-05 +13 *1853:11 *1865:79 0.00011142 +14 *1853:11 *3052:13 0.000172794 +15 *1853:11 *4844:267 0.00034926 +16 *1853:11 *5862:47 0.000251101 +17 *1419:221 *1853:11 1.19705e-05 +*RES +1 *20130:X *1853:11 43.1547 +2 *1853:11 *20131:A 9.24915 +*END + +*D_NET *1854 0.0128574 +*CONN +*I *20133:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20135:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20138:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20140:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20136:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20137:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20132:A I *D sky130_fd_sc_hd__inv_2 +*I *20139:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20134:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20131:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20133:A2 0.000625987 +2 *20135:A2 0.000162945 +3 *20138:A2 9.89531e-05 +4 *20140:A2 0.00055555 +5 *20136:A2 0.000117949 +6 *20137:A2 0.000109504 +7 *20132:A 0 +8 *20139:A2 2.06324e-05 +9 *20134:A2 4.59137e-05 +10 *20131:X 0.000131666 +11 *1854:76 0.000468776 +12 *1854:61 0.00106638 +13 *1854:50 0.00113736 +14 *1854:37 0.000393041 +15 *1854:30 0.00029689 +16 *1854:19 0.000459015 +17 *1854:5 0.000513136 +18 *20133:A2 *20167:B1 0 +19 *20133:A2 *1855:55 0.000217951 +20 *20133:A2 *2468:117 1.66771e-05 +21 *20133:A2 *2989:91 0.000174445 +22 *20134:A2 *20134:A1 3.25073e-05 +23 *20134:A2 *20134:B2 1.43059e-05 +24 *20135:A2 *21414:A 1.66771e-05 +25 *20135:A2 *2924:57 0.00012315 +26 *20136:A2 *1855:47 0.000277897 +27 *20137:A2 *20137:B1 1.09551e-05 +28 *20137:A2 *20137:B2 0.000107496 +29 *20137:A2 *24808:CLK 0.000193436 +30 *20137:A2 *5855:298 0.000224237 +31 *20137:A2 *5855:318 3.82228e-05 +32 *20138:A2 *24810:CLK 6.08467e-05 +33 *20138:A2 *2924:57 0.000139435 +34 *20140:A2 *24805:CLK 0.000131418 +35 *20140:A2 *24807:CLK 0.000101738 +36 *20140:A2 *2300:60 5.97411e-05 +37 *20140:A2 *5526:32 0.000144546 +38 *20140:A2 *5855:298 0.000139435 +39 *20140:A2 *5861:476 5.97411e-05 +40 *1854:5 *20134:A1 7.92757e-06 +41 *1854:5 *20134:B1 1.58551e-05 +42 *1854:5 *20134:B2 0.000196531 +43 *1854:19 *20134:A1 5.37805e-05 +44 *1854:19 *20134:B2 0.000640039 +45 *1854:19 *20139:A1 7.92757e-06 +46 *1854:19 *20139:B2 2.57986e-05 +47 *1854:19 *4843:457 0.000210067 +48 *1854:30 *20139:A1 2.16355e-05 +49 *1854:30 *22038:A 0.00018643 +50 *1854:30 *1855:35 8.52802e-05 +51 *1854:30 *4843:457 1.65872e-05 +52 *1854:30 *4938:8 4.37999e-05 +53 *1854:37 *1855:35 0.000521815 +54 *1854:37 *4832:84 0.000187114 +55 *1854:37 *5379:11 9.60366e-05 +56 *1854:50 *1855:35 3.31882e-05 +57 *1854:50 *1855:44 0.000179303 +58 *1854:50 *1855:81 1.83423e-05 +59 *1854:50 *5379:11 0.000223691 +60 *1854:61 *20135:B2 0.000130808 +61 *1854:61 *1855:81 0.000522179 +62 *1854:61 *4832:82 0.00010801 +63 *1854:61 *4832:84 0.000167926 +64 *1854:61 *5379:11 0.000150595 +65 *1854:76 *24805:SET_B 1.0758e-05 +66 *1854:76 *24807:CLK 3.82228e-05 +67 *24806:D *1854:19 1.0758e-05 +68 *24807:D *20135:A2 5.30254e-05 +69 *24807:D *20138:A2 6.87503e-05 +70 *24807:D *1854:76 5.04829e-06 +71 *24811:D *1854:5 2.77625e-06 +72 *24812:D *20133:A2 3.14978e-05 +73 *500:60 *20135:A2 0.000144928 +74 *500:60 *20138:A2 2.47808e-05 +75 *510:55 *20133:A2 2.01653e-05 +76 *531:41 *1854:5 0.000107496 +*RES +1 *20131:X *1854:5 13.3002 +2 *1854:5 *20134:A2 11.13 +3 *1854:5 *1854:19 10.7304 +4 *1854:19 *20139:A2 9.82786 +5 *1854:19 *1854:30 8.7164 +6 *1854:30 *20132:A 13.7491 +7 *1854:30 *1854:37 9.30653 +8 *1854:37 *20137:A2 18.5477 +9 *1854:37 *1854:50 4.32351 +10 *1854:50 *20136:A2 16.9683 +11 *1854:50 *1854:61 16.7133 +12 *1854:61 *20140:A2 29.5683 +13 *1854:61 *1854:76 7.44181 +14 *1854:76 *20138:A2 17.135 +15 *1854:76 *20135:A2 18.9764 +16 *1854:50 *20133:A2 24.6028 +*END + +*D_NET *1855 0.0129195 +*CONN +*I *20135:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20138:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20136:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20133:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20140:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20137:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20134:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20139:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20132:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20135:B2 0.000213916 +2 *20138:B2 1.47608e-05 +3 *20136:B2 2.18332e-05 +4 *20133:B2 2.60379e-05 +5 *20140:B2 0.000455113 +6 *20137:B2 2.60774e-05 +7 *20134:B2 0.000620303 +8 *20139:B2 5.78334e-05 +9 *20132:Y 0 +10 *1855:81 0.000431317 +11 *1855:55 0.000913975 +12 *1855:47 0.000691236 +13 *1855:44 0.000510687 +14 *1855:35 0.000286832 +15 *1855:8 0.00100916 +16 *1855:4 0.000520306 +17 *20134:B2 *20134:B1 0.000113968 +18 *20134:B2 *4845:326 5.49913e-05 +19 *20135:B2 *21414:A 1.91391e-05 +20 *20135:B2 *2896:49 2.16355e-05 +21 *20135:B2 *4832:82 6.86112e-05 +22 *20135:B2 *4843:445 6.08467e-05 +23 *20135:B2 *4938:8 0.000347664 +24 *20137:B2 *24808:CLK 0.000107496 +25 *20138:B2 *20138:B1 6.08467e-05 +26 *20138:B2 *24810:CLK 6.08467e-05 +27 *20139:B2 *2949:60 2.82537e-05 +28 *20139:B2 *4843:457 8.62625e-06 +29 *20139:B2 *5526:21 8.01687e-05 +30 *20140:B2 *2300:60 0.000165658 +31 *20140:B2 *2415:22 0.000541166 +32 *20140:B2 *5861:469 6.50727e-05 +33 *1855:8 *22038:A 4.82656e-05 +34 *1855:8 *4843:457 7.14746e-05 +35 *1855:8 *5526:21 6.64609e-05 +36 *1855:35 *4938:8 0.00061732 +37 *1855:44 *4938:8 0.00018643 +38 *1855:47 *20136:B1 3.82228e-05 +39 *1855:55 *20136:B1 9.29156e-05 +40 *1855:81 *4938:8 0.000559901 +41 *20133:A2 *1855:55 0.000217951 +42 *20134:A2 *20134:B2 1.43059e-05 +43 *20136:A2 *1855:47 0.000277897 +44 *20137:A2 *20137:B2 0.000107496 +45 *24806:D *20139:B2 5.04829e-06 +46 *24809:D *1855:47 7.77744e-05 +47 *324:11 *20134:B2 5.15155e-05 +48 *1742:76 *20140:B2 0.000528904 +49 *1854:5 *20134:B2 0.000196531 +50 *1854:19 *20134:B2 0.000640039 +51 *1854:19 *20139:B2 2.57986e-05 +52 *1854:30 *1855:35 8.52802e-05 +53 *1854:37 *1855:35 0.000521815 +54 *1854:50 *1855:35 3.31882e-05 +55 *1854:50 *1855:44 0.000179303 +56 *1854:50 *1855:81 1.83423e-05 +57 *1854:61 *20135:B2 0.000130808 +58 *1854:61 *1855:81 0.000522179 +*RES +1 *20132:Y *1855:4 9.24915 +2 *1855:4 *1855:8 9.96776 +3 *1855:8 *20139:B2 15.8893 +4 *1855:8 *20134:B2 34.6498 +5 *1855:4 *1855:35 15.4675 +6 *1855:35 *20137:B2 15.0271 +7 *1855:35 *1855:44 3.493 +8 *1855:44 *1855:47 10.7694 +9 *1855:47 *1855:55 10.7786 +10 *1855:55 *20140:B2 34.4092 +11 *1855:55 *20133:B2 9.97254 +12 *1855:47 *20136:B2 9.82786 +13 *1855:44 *1855:81 10.137 +14 *1855:81 *20138:B2 14.4725 +15 *1855:81 *20135:B2 21.2876 +*END + +*D_NET *1856 0.0167029 +*CONN +*I *20142:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20141:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *20142:A 0.000213465 +2 *20141:X 0.000126859 +3 *1856:8 0.00341102 +4 *1856:7 0.00332441 +5 *20142:A *5898:255 8.90486e-05 +6 *20142:A *5898:264 0.000470557 +7 *1856:7 *20496:A1 1.65872e-05 +8 *1856:7 *20496:A2 0.000164843 +9 *1856:7 *1976:18 4.88955e-05 +10 *1856:7 *4840:190 9.2932e-05 +11 *1856:8 *19807:A 8.62625e-06 +12 *1856:8 *20495:A2 0.000139435 +13 *1856:8 *20495:B2 0.000242134 +14 *1856:8 *21821:A 3.8079e-05 +15 *1856:8 *24401:CLK 5.66868e-06 +16 *1856:8 *1970:8 0.000258002 +17 *1856:8 *1970:23 0.000254488 +18 *1856:8 *1970:36 0.000532795 +19 *1856:8 *1970:45 0.000781945 +20 *1856:8 *1970:52 0.000451081 +21 *1856:8 *1970:69 0.000149628 +22 *1856:8 *1970:81 0.00038465 +23 *1856:8 *1976:18 2.95757e-05 +24 *1856:8 *1976:27 0.000379523 +25 *1856:8 *1976:42 0.000733325 +26 *1856:8 *2796:8 0.000331599 +27 *1856:8 *2818:23 0.00136441 +28 *1856:8 *4739:18 0.00141871 +29 *1856:8 *4739:22 0 +30 *1856:8 *4739:30 0.000213548 +31 *1856:8 *4839:30 0 +32 *1856:8 *4842:24 0 +33 *1856:8 *4842:28 0 +34 *1856:8 *4845:26 0 +35 *1856:8 *4885:137 0 +36 *1856:8 *4889:28 2.87995e-05 +37 *1856:8 *4889:112 0.000186518 +38 *1856:8 *4889:121 2.90244e-05 +39 *24537:D *1856:8 0.000122978 +40 *24575:D *1856:8 0.000101133 +41 *24586:D *1856:8 0 +42 *1439:438 *1856:7 0.000550967 +43 *1593:18 *1856:7 7.6719e-06 +*RES +1 *20141:X *1856:7 20.0186 +2 *1856:7 *1856:8 120.179 +3 *1856:8 *20142:A 21.1278 +*END + +*D_NET *1857 0.00497744 +*CONN +*I *20147:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20146:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20143:A I *D sky130_fd_sc_hd__inv_2 +*I *20144:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20145:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20148:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20142:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20147:A2 2.09745e-05 +2 *20146:A2 0.000117753 +3 *20143:A 0.000186602 +4 *20144:A2 2.91059e-05 +5 *20145:A2 0 +6 *20148:A2 0 +7 *20142:X 0.000220021 +8 *1857:33 0.000484832 +9 *1857:24 0.000334349 +10 *1857:17 0.000501647 +11 *1857:13 0.000663257 +12 *1857:6 0.000485422 +13 *20143:A *20558:B1 6.08467e-05 +14 *20144:A2 *4842:21 0.000160617 +15 *20144:A2 *5868:494 0.000160617 +16 *20146:A2 *20558:B1 2.09495e-05 +17 *20146:A2 *4841:175 6.44491e-05 +18 *20146:A2 *4885:111 7.8173e-05 +19 *20147:A2 *4839:21 9.06436e-05 +20 *1857:6 *4838:30 7.85335e-05 +21 *1857:6 *4839:30 0 +22 *1857:6 *4845:55 0 +23 *1857:13 *20147:A1 1.28326e-05 +24 *1857:13 *4838:22 7.03198e-05 +25 *1857:13 *4838:24 5.0459e-05 +26 *1857:13 *4838:30 1.2693e-05 +27 *1857:13 *4839:30 0 +28 *1857:17 *20147:A1 1.07248e-05 +29 *1857:17 *4832:10 0 +30 *1857:17 *4838:22 2.00987e-05 +31 *1857:17 *4839:30 0 +32 *1857:17 *4840:12 0 +33 *1857:17 *4841:175 1.44611e-05 +34 *1857:17 *4885:79 0.00018078 +35 *1857:17 *4885:91 2.04806e-05 +36 *1857:24 *4841:175 7.2377e-05 +37 *1857:24 *4885:91 1.79196e-05 +38 *1857:24 *4885:100 7.34861e-05 +39 *1857:33 *20558:B1 0.000243648 +40 *1857:33 *4841:175 4.34379e-05 +41 *1857:33 *4885:100 1.87469e-05 +42 *1857:33 *4885:111 0.000138386 +43 *24800:D *1857:6 3.00073e-05 +44 *24801:D *20147:A2 2.05191e-05 +45 *24803:D *1857:17 0.000148144 +46 *24804:D *1857:24 1.91246e-05 +*RES +1 *20142:X *1857:6 19.7337 +2 *1857:6 *20148:A2 13.7491 +3 *1857:6 *1857:13 6.81502 +4 *1857:13 *1857:17 11.0164 +5 *1857:17 *20145:A2 13.7491 +6 *1857:17 *1857:24 3.90826 +7 *1857:24 *20144:A2 15.5817 +8 *1857:24 *1857:33 6.81502 +9 *1857:33 *20143:A 17.8002 +10 *1857:33 *20146:A2 17.7307 +11 *1857:13 *20147:A2 14.7498 +*END + +*D_NET *1858 0.00431643 +*CONN +*I *20146:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20145:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20147:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20148:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20144:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20143:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20146:B2 0.000254944 +2 *20145:B2 9.34923e-06 +3 *20147:B2 1.59645e-05 +4 *20148:B2 0.000225353 +5 *20144:B2 5.6241e-05 +6 *20143:Y 0 +7 *1858:19 0.000470069 +8 *1858:17 0.000418471 +9 *1858:6 0.000481737 +10 *1858:5 0.000500069 +11 *20145:B2 *21567:A 6.50727e-05 +12 *20145:B2 *4841:159 6.50727e-05 +13 *20146:B2 *4841:175 0 +14 *20146:B2 *5906:45 0 +15 *20147:B2 *4839:15 6.50727e-05 +16 *20148:B2 *24800:CLK 5.56367e-05 +17 *20148:B2 *4838:22 2.71397e-05 +18 *20148:B2 *4841:33 2.41274e-06 +19 *20148:B2 *4841:159 0.000381622 +20 *20148:B2 *4885:12 0.000152847 +21 *20148:B2 *4885:24 2.16355e-05 +22 *20148:B2 *4885:79 1.68417e-05 +23 *20148:B2 *5358:5 0.00011818 +24 *1858:6 *4838:22 2.72267e-05 +25 *1858:6 *4841:175 0 +26 *1858:6 *4842:15 9.34396e-06 +27 *1858:6 *5906:45 0 +28 *1858:17 *21535:A 4.21114e-05 +29 *1858:17 *4838:22 8.12748e-05 +30 *1858:17 *4842:15 0 +31 *1858:19 *21535:A 3.67528e-06 +32 *1858:19 *4838:22 0.000226333 +33 *1858:19 *4841:159 0.000508331 +34 *1858:19 *5868:477 0 +35 *24801:D *20147:B2 1.43983e-05 +*RES +1 *20143:Y *1858:5 13.7491 +2 *1858:5 *1858:6 5.56926 +3 *1858:6 *20144:B2 14.4819 +4 *1858:6 *1858:17 4.64105 +5 *1858:17 *1858:19 9.72179 +6 *1858:19 *20148:B2 22.3968 +7 *1858:19 *20147:B2 14.4725 +8 *1858:17 *20145:B2 14.4725 +9 *1858:5 *20146:B2 19.9053 +*END + +*D_NET *1859 0.000183579 +*CONN +*I *20150:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20149:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20150:A 5.68569e-05 +2 *20149:X 5.68569e-05 +3 *1845:18 *20150:A 6.9865e-05 +*RES +1 *20149:X *20150:A 20.2103 +*END + +*D_NET *1860 0.000560199 +*CONN +*I *20154:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *20151:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20154:A2 0.00019566 +2 *20151:Y 0.00019566 +3 *20154:A2 *24799:CLK 4.31703e-05 +4 *20154:A2 *5473:39 3.62662e-06 +5 *20154:A2 *5791:17 0.000122083 +6 *20154:A2 *5814:18 0 +*RES +1 *20151:Y *20154:A2 31.5781 +*END + +*D_NET *1861 0.00575362 +*CONN +*I *20153:A I *D sky130_fd_sc_hd__inv_2 +*I *21098:B I *D sky130_fd_sc_hd__and3_1 +*I *20152:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *20153:A 0 +2 *21098:B 0 +3 *20152:X 0.000215931 +4 *1861:18 0.000751548 +5 *1861:11 0.000967479 +6 *1861:11 *20152:B 2.1203e-06 +7 *1861:11 *2405:17 5.31074e-05 +8 *1861:11 *2412:7 6.50586e-05 +9 *1861:11 *5482:41 0.000128001 +10 *1861:11 *5916:35 0.000154145 +11 *1861:18 *20078:A1 5.36536e-06 +12 *1861:18 *1862:15 0.00114083 +13 *1861:18 *2405:17 3.29619e-05 +14 *1861:18 *2412:7 0.000158371 +15 *1861:18 *5446:23 0.000215905 +16 *1861:18 *5447:55 0.000532701 +17 *1861:18 *5447:64 0.000151779 +18 *1861:18 *5447:75 0.000130768 +19 *1861:18 *5448:57 9.98029e-06 +20 *484:26 *1861:11 1.1034e-05 +21 *484:26 *1861:18 0.000755432 +22 *484:33 *1861:18 9.32891e-05 +23 *1534:22 *1861:18 4.37999e-05 +24 *1587:44 *1861:11 2.652e-05 +25 *1587:47 *1861:18 0.000107496 +*RES +1 *20152:X *1861:11 23.4676 +2 *1861:11 *1861:18 42.978 +3 *1861:18 *21098:B 9.24915 +4 *1861:11 *20153:A 9.24915 +*END + +*D_NET *1862 0.0184488 +*CONN +*I *20333:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20331:B I *D sky130_fd_sc_hd__nor2_1 +*I *21099:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21106:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *20154:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *20308:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *21102:B I *D sky130_fd_sc_hd__nor2_1 +*I *20153:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20333:B1 0 +2 *20331:B 0.000489659 +3 *21099:B2 0 +4 *21106:A2 0 +5 *20154:A3 0.000269638 +6 *20308:A2 1.93962e-05 +7 *21102:B 0 +8 *20153:Y 0 +9 *1862:83 0.0018517 +10 *1862:47 0.000985984 +11 *1862:33 0.00120054 +12 *1862:24 0.000865887 +13 *1862:18 0.000956698 +14 *1862:15 0.00141447 +15 *1862:4 0.00218211 +16 *20154:A3 *20151:A 0.00011818 +17 *20154:A3 *20308:A1 0.00013754 +18 *20154:A3 *4864:89 0.000160617 +19 *20154:A3 *5486:10 8.85814e-05 +20 *20154:A3 *5805:23 6.23875e-05 +21 *20154:A3 *5805:26 0.000196638 +22 *20308:A2 *20308:A1 0 +23 *20308:A2 *5473:39 3.01683e-06 +24 *20331:B *20330:A 0.000126844 +25 *20331:B *20333:A2 3.28416e-06 +26 *20331:B *5474:70 0 +27 *20331:B *5898:120 0.000121814 +28 *1862:15 *24075:A0 6.88675e-05 +29 *1862:15 *2619:29 8.65358e-05 +30 *1862:15 *5446:23 0.000219436 +31 *1862:15 *5446:32 9.49244e-05 +32 *1862:15 *5482:41 7.14746e-05 +33 *1862:18 *21103:B1_N 1.79196e-05 +34 *1862:18 *2211:8 0.00017156 +35 *1862:18 *5446:23 0.000147985 +36 *1862:18 *5811:44 0 +37 *1862:24 *21103:B1_N 0.000286722 +38 *1862:24 *5811:44 0 +39 *1862:33 *21103:A2 6.03133e-05 +40 *1862:33 *21103:B1_N 0.00026821 +41 *1862:33 *21106:B1 5.9708e-05 +42 *1862:33 *2213:7 1.6652e-05 +43 *1862:33 *4867:75 7.65374e-05 +44 *1862:33 *5446:8 7.98425e-06 +45 *1862:47 *20308:A1 3.14978e-05 +46 *1862:47 *21160:A 0.000140745 +47 *1862:47 *24166:CLK 0.000219139 +48 *1862:47 *24208:CLK 0.000169093 +49 *1862:47 *2213:7 1.61631e-05 +50 *1862:47 *5473:39 2.82583e-05 +51 *1862:47 *5473:69 5.66868e-06 +52 *1862:47 *5804:28 3.24457e-05 +53 *1862:83 *2405:17 0.000712508 +54 *1862:83 *4864:32 8.00395e-05 +55 *1862:83 *4864:34 0.000158778 +56 *1862:83 *5485:37 5.56367e-05 +57 *1862:83 *5485:62 0.000565777 +58 *1862:83 *5485:67 1.46312e-05 +59 *20331:A *20331:B 1.09551e-05 +60 *21102:A *1862:47 2.65831e-05 +61 *21159:A *1862:47 1.21461e-06 +62 *24167:RESET_B *1862:83 0.000177787 +63 *24169:RESET_B *1862:47 4.31703e-05 +64 *24703:D *1862:47 1.03403e-05 +65 *24799:D *20154:A3 7.92757e-06 +66 *484:26 *1862:15 1.47102e-05 +67 *514:65 *1862:15 3.7368e-05 +68 *1534:8 *1862:15 4.37999e-05 +69 *1534:22 *1862:15 0.000628784 +70 *1815:60 *1862:83 0.000455807 +71 *1816:23 *1862:83 0.000409482 +72 *1816:56 *1862:83 0.000299886 +73 *1839:12 *1862:15 0 +74 *1861:18 *1862:15 0.00114083 +*RES +1 *20153:Y *1862:4 9.24915 +2 *1862:4 *1862:15 46.0946 +3 *1862:15 *1862:18 21.2811 +4 *1862:18 *1862:24 10.6256 +5 *1862:24 *1862:33 16.111 +6 *1862:33 *21102:B 9.24915 +7 *1862:33 *1862:47 28.094 +8 *1862:47 *20308:A2 9.82786 +9 *1862:47 *20154:A3 28.735 +10 *1862:24 *21106:A2 13.7491 +11 *1862:18 *21099:B2 13.7491 +12 *1862:4 *1862:83 49.1165 +13 *1862:83 *20331:B 28.0773 +14 *1862:83 *20333:B1 9.24915 +*END + +*D_NET *1863 0.000329131 +*CONN +*I *20163:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20162:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20163:A 0.00010718 +2 *20162:X 0.00010718 +3 *20162:A *20163:A 6.08467e-05 +4 *1648:10 *20163:A 5.39247e-05 +*RES +1 *20162:X *20163:A 20.8855 +*END + +*D_NET *1864 0.0120626 +*CONN +*I *20167:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20165:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20171:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20168:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20164:A I *D sky130_fd_sc_hd__inv_2 +*I *20169:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20166:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20170:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20172:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20163:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20167:A2 4.15679e-05 +2 *20165:A2 0.000309976 +3 *20171:A2 0 +4 *20168:A2 7.43767e-05 +5 *20164:A 2.95824e-05 +6 *20169:A2 0.000315416 +7 *20166:A2 7.31267e-06 +8 *20170:A2 7.00171e-05 +9 *20172:A2 2.25004e-05 +10 *20163:X 0.0002425 +11 *1864:90 0.000360706 +12 *1864:89 7.84971e-05 +13 *1864:46 0.000421984 +14 *1864:42 0.000233444 +15 *1864:33 0.000497175 +16 *1864:27 0.000887697 +17 *1864:22 0.00095281 +18 *1864:13 0.000567596 +19 *1864:12 0.000260703 +20 *1864:5 0.000433949 +21 *20164:A *1865:5 6.50727e-05 +22 *20164:A *2394:17 4.58003e-05 +23 *20164:A *4804:21 1.00846e-05 +24 *20165:A2 *2278:28 0.00011557 +25 *20165:A2 *2908:65 0.000110473 +26 *20166:A2 *20166:A1 6.50586e-05 +27 *20166:A2 *20169:B2 2.41483e-05 +28 *20167:A2 *20167:A1 3.67398e-05 +29 *20168:A2 *24788:CLK 1.90039e-05 +30 *20168:A2 *5236:7 1.65872e-05 +31 *20169:A2 *20166:A1 6.89789e-05 +32 *20169:A2 *20169:A1 3.75382e-05 +33 *20169:A2 *20169:B1 7.92757e-06 +34 *20169:A2 *20169:B2 0.000443563 +35 *20169:A2 *24787:CLK 3.01683e-06 +36 *20169:A2 *5853:379 0.000111722 +37 *20170:A2 *20170:B2 6.3657e-05 +38 *20170:A2 *1865:50 9.90203e-05 +39 *20170:A2 *3091:20 2.16355e-05 +40 *20170:A2 *5857:184 2.99978e-05 +41 *20172:A2 *20172:B2 4.87301e-05 +42 *20172:A2 *3052:13 1.62258e-05 +43 *20172:A2 *3052:28 4.29606e-05 +44 *1864:5 *20167:A1 6.98337e-06 +45 *1864:5 *20167:B1 9.95542e-06 +46 *1864:5 *5909:17 8.76253e-06 +47 *1864:5 *5909:24 1.98189e-05 +48 *1864:12 *2381:26 0.000318321 +49 *1864:13 *20172:B2 4.47134e-05 +50 *1864:13 *3052:13 0.000350793 +51 *1864:22 *20171:A1 0 +52 *1864:22 *20172:A1 1.12606e-05 +53 *1864:22 *22027:A 0 +54 *1864:22 *3076:42 0 +55 *1864:22 *4833:70 0.000668403 +56 *1864:22 *5862:47 3.42037e-06 +57 *1864:27 *20168:B1 1.58551e-05 +58 *1864:27 *20168:B2 0.000154145 +59 *1864:27 *20171:A1 0 +60 *1864:27 *3076:46 0 +61 *1864:27 *4833:70 0.000321709 +62 *1864:33 *20168:A1 3.04407e-05 +63 *1864:33 *2381:26 0.000564898 +64 *1864:33 *2394:16 0.000435258 +65 *1864:33 *4911:118 3.82348e-05 +66 *1864:33 *5857:184 3.57683e-05 +67 *1864:89 *3052:13 0.000105509 +68 *24785:D *1864:22 0.000108787 +69 *24787:D *20169:A2 0 +70 *24789:D *20167:A2 0 +71 *24789:D *1864:12 0 +72 *460:84 *1864:12 0.000318321 +73 *460:84 *1864:42 0.000210184 +74 *460:84 *1864:46 0.000154073 +75 *1450:126 *1864:42 7.80562e-05 +76 *1450:126 *1864:46 6.05244e-05 +77 *1648:10 *1864:5 3.82228e-05 +78 *1709:78 *1864:27 0 +79 *1853:11 *20165:A2 0.00034245 +80 *1853:11 *1864:13 0.000203604 +81 *1853:11 *1864:89 9.2807e-05 +*RES +1 *20163:X *1864:5 13.8548 +2 *1864:5 *1864:12 15.5632 +3 *1864:12 *1864:13 4.05102 +4 *1864:13 *20172:A2 10.5271 +5 *1864:13 *1864:22 17.9591 +6 *1864:22 *1864:27 16.06 +7 *1864:27 *1864:33 21.5242 +8 *1864:33 *20170:A2 11.6364 +9 *1864:33 *1864:42 8.40826 +10 *1864:42 *1864:46 7.57775 +11 *1864:46 *20166:A2 9.97254 +12 *1864:46 *20169:A2 18.9427 +13 *1864:42 *20164:A 15.0271 +14 *1864:27 *20168:A2 11.1059 +15 *1864:22 *20171:A2 13.7491 +16 *1864:12 *1864:89 10.5271 +17 *1864:89 *1864:90 57.9449 +18 *1864:90 *20165:A2 33.7966 +19 *1864:5 *20167:A2 10.5513 +*END + +*D_NET *1865 0.0115733 +*CONN +*I *20167:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20172:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20165:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20171:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20168:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20170:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20166:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20169:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20164:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20167:B2 0.000197841 +2 *20172:B2 0.000413824 +3 *20165:B2 0.000220514 +4 *20171:B2 0.000198723 +5 *20168:B2 7.04708e-05 +6 *20170:B2 0.000100805 +7 *20166:B2 0 +8 *20169:B2 0.000373191 +9 *20164:Y 3.97169e-05 +10 *1865:79 0.000658988 +11 *1865:70 0.000523664 +12 *1865:59 0.000606682 +13 *1865:50 0.000443227 +14 *1865:38 0.000523904 +15 *1865:17 0.000614462 +16 *1865:5 0.000483463 +17 *20165:B2 *21246:A 7.34948e-06 +18 *20165:B2 *5862:47 7.20253e-05 +19 *20167:B2 *4829:70 0.00039305 +20 *20167:B2 *4833:77 0.000315181 +21 *20167:B2 *5862:47 1.17512e-05 +22 *20168:B2 *20168:B1 5.04829e-06 +23 *20169:B2 *20166:A1 1.00981e-05 +24 *20169:B2 *20166:B1 2.16355e-05 +25 *20169:B2 *20169:B1 2.81515e-05 +26 *20169:B2 *2411:5 5.5525e-06 +27 *20170:B2 *20170:A1 4.34569e-05 +28 *20170:B2 *20170:B1 6.3657e-05 +29 *20170:B2 *3091:20 8.12657e-06 +30 *20170:B2 *4804:21 4.65954e-06 +31 *20171:B2 *20171:A1 1.37189e-05 +32 *20171:B2 *20171:B1 5.28741e-05 +33 *20172:B2 *20172:B1 2.57847e-05 +34 *20172:B2 *3052:28 2.05525e-05 +35 *1865:5 *2394:17 1.54577e-05 +36 *1865:5 *4804:21 0.000143891 +37 *1865:17 *20856:B2 0 +38 *1865:17 *2394:17 2.99859e-05 +39 *1865:17 *2411:5 2.16355e-05 +40 *1865:17 *4804:21 0.000158451 +41 *1865:17 *5857:189 0.000174249 +42 *1865:38 *20170:B1 1.81676e-05 +43 *1865:38 *3091:10 0.000131793 +44 *1865:38 *5857:189 3.7132e-05 +45 *1865:50 *4829:70 0.000390004 +46 *1865:59 *4829:70 0.000385391 +47 *1865:70 *4829:70 0.000525299 +48 *1865:70 *4833:70 3.12838e-05 +49 *1865:70 *5862:47 7.28994e-06 +50 *1865:79 *4829:70 0.000117018 +51 *20164:A *1865:5 6.50727e-05 +52 *20166:A2 *20169:B2 2.41483e-05 +53 *20169:A2 *20169:B2 0.000443563 +54 *20170:A2 *20170:B2 6.3657e-05 +55 *20170:A2 *1865:50 9.90203e-05 +56 *20172:A2 *20172:B2 4.87301e-05 +57 *24785:D *20171:B2 6.31223e-05 +58 *24786:D *20170:B2 0.000113107 +59 *24786:D *1865:50 6.36477e-05 +60 *24790:D *20169:B2 0.000113968 +61 *460:84 *1865:50 4.83811e-05 +62 *1450:126 *1865:38 2.82537e-05 +63 *1450:126 *1865:50 0.000509743 +64 *1450:126 *1865:59 0.000379728 +65 *1450:126 *1865:70 0.000302764 +66 *1853:11 *20167:B2 2.09495e-05 +67 *1853:11 *20172:B2 6.08467e-05 +68 *1853:11 *1865:70 5.50843e-05 +69 *1853:11 *1865:79 0.00011142 +70 *1864:13 *20172:B2 4.47134e-05 +71 *1864:27 *20168:B2 0.000154145 +*RES +1 *20164:Y *1865:5 11.0817 +2 *1865:5 *1865:17 15.9528 +3 *1865:17 *20169:B2 19.1597 +4 *1865:17 *20166:B2 9.24915 +5 *1865:5 *1865:38 13.6411 +6 *1865:38 *20170:B2 12.7697 +7 *1865:38 *1865:50 15.0845 +8 *1865:50 *20168:B2 15.5817 +9 *1865:50 *1865:59 7.23027 +10 *1865:59 *20171:B2 18.9335 +11 *1865:59 *1865:70 10.137 +12 *1865:70 *20165:B2 18.3548 +13 *1865:70 *1865:79 2.24725 +14 *1865:79 *20172:B2 20.4526 +15 *1865:79 *20167:B2 21.3947 +*END + +*D_NET *1866 0.000947243 +*CONN +*I *20179:A I *D sky130_fd_sc_hd__or4_1 +*I *20173:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *20179:A 0.000113135 +2 *20173:Y 0.000113135 +3 *20179:A *20178:A2 2.96862e-05 +4 *20179:A *4840:9 6.50727e-05 +5 *20179:A *4841:22 0.000101438 +6 *20179:A *4844:13 6.50727e-05 +7 *20179:A *6020:36 0.000105664 +8 *20173:B1 *20179:A 0.000137936 +9 *24077:A0 *20179:A 0.000216103 +*RES +1 *20173:Y *20179:A 33.3115 +*END + +*D_NET *1867 0.00128679 +*CONN +*I *20179:B I *D sky130_fd_sc_hd__or4_1 +*I *20174:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *20179:B 0.000346609 +2 *20174:Y 0.000346609 +3 *20179:B *20173:A2 2.31097e-05 +4 *20179:B *20178:A2 0.000154073 +5 *20179:B *1872:15 1.5714e-05 +6 *20179:B *5898:273 2.99929e-05 +7 *20179:B *6020:36 0.000256717 +8 *20174:B1 *20179:B 0.000113968 +9 *485:12 *20179:B 0 +*RES +1 *20174:Y *20179:B 35.9653 +*END + +*D_NET *1868 0.00494714 +*CONN +*I *22852:A2 I *D sky130_fd_sc_hd__a211o_1 +*I *20178:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *20175:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22852:A2 3.39048e-05 +2 *20178:A2 0.000728519 +3 *20175:X 0.000825194 +4 *1868:11 0.00158762 +5 *20178:A2 *5898:273 0.000219447 +6 *22852:A2 *6019:52 6.50586e-05 +7 *1868:11 *22852:B1 0.000113968 +8 *1868:11 *22852:C1 0.000100705 +9 *1868:11 *2624:6 0 +10 *1868:11 *5676:23 0 +11 *1868:11 *6019:52 0.000122368 +12 *1868:11 *6020:53 2.36494e-05 +13 *20173:B1 *20178:A2 0.000553536 +14 *20178:B2 *20178:A2 0.000362878 +15 *20179:A *20178:A2 2.96862e-05 +16 *20179:B *20178:A2 0.000154073 +17 *24077:A0 *20178:A2 2.65311e-05 +18 *485:12 *20178:A2 0 +*RES +1 *20175:X *1868:11 32.9828 +2 *1868:11 *20178:A2 39.0131 +3 *1868:11 *22852:A2 10.491 +*END + +*D_NET *1869 0.000725097 +*CONN +*I *20177:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20176:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *20177:A 0.000199043 +2 *20176:X 0.000199043 +3 *20177:A *4838:13 0.000207266 +4 *20177:A *5677:16 5.01524e-05 +5 *20177:A *5678:30 1.07248e-05 +6 *20177:A *6018:49 5.88662e-05 +*RES +1 *20176:X *20177:A 32.1327 +*END + +*D_NET *1870 0.00132429 +*CONN +*I *20179:C I *D sky130_fd_sc_hd__or4_1 +*I *20178:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *20179:C 0.000417218 +2 *20178:Y 0.000417218 +3 *20179:C *1872:15 0.00016667 +4 *20179:C *4841:22 2.07503e-05 +5 *20179:C *6020:36 2.29454e-05 +6 *19664:A *20179:C 4.9854e-05 +7 *19665:A *20179:C 5.88662e-05 +8 *20179:D *20179:C 0.000162739 +9 *24077:A0 *20179:C 0 +10 *1479:36 *20179:C 8.03393e-06 +11 *1483:139 *20179:C 0 +*RES +1 *20178:Y *20179:C 38.9966 +*END + +*D_NET *1871 0.000292295 +*CONN +*I *20180:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20179:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *20180:A 0.000101953 +2 *20179:X 0.000101953 +3 *20180:A *4841:22 8.83891e-05 +*RES +1 *20179:X *20180:A 20.355 +*END + +*D_NET *1872 0.0126989 +*CONN +*I *20181:S I *D sky130_fd_sc_hd__mux2_1 +*I *20185:S I *D sky130_fd_sc_hd__mux2_1 +*I *20187:S I *D sky130_fd_sc_hd__mux2_1 +*I *20191:S I *D sky130_fd_sc_hd__mux2_1 +*I *20193:S I *D sky130_fd_sc_hd__mux2_1 +*I *20189:S I *D sky130_fd_sc_hd__mux2_1 +*I *20195:S I *D sky130_fd_sc_hd__mux2_1 +*I *20183:S I *D sky130_fd_sc_hd__mux2_1 +*I *20180:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20181:S 2.06324e-05 +2 *20185:S 0 +3 *20187:S 9.01869e-05 +4 *20191:S 0.000160338 +5 *20193:S 5.65823e-05 +6 *20189:S 0.000153819 +7 *20195:S 0.000247031 +8 *20183:S 4.05271e-05 +9 *20180:X 0.000678828 +10 *1872:60 0.00040971 +11 *1872:59 0.000341233 +12 *1872:47 0.000521376 +13 *1872:43 0.000661523 +14 *1872:31 0.000646786 +15 *1872:20 0.000595711 +16 *1872:15 0.00120711 +17 *20183:S *20183:A1 2.16355e-05 +18 *20183:S *20184:A 0 +19 *20183:S *5932:13 6.08467e-05 +20 *20187:S *20187:A0 5.20546e-06 +21 *20189:S *23960:A1 0.00038465 +22 *20189:S *4792:11 9.63981e-05 +23 *20189:S *5907:60 0.000388247 +24 *20189:S *5932:13 9.14505e-05 +25 *20191:S *20193:A0 0 +26 *20191:S *23958:A1 7.58739e-05 +27 *20191:S *24780:CLK 0 +28 *20191:S *2194:12 0 +29 *20193:S *20193:A0 2.32834e-05 +30 *20193:S *20193:A1 1.64789e-05 +31 *20193:S *5907:63 0.000169041 +32 *20195:S *20195:A1 6.92705e-05 +33 *20195:S *20196:A 5.0715e-05 +34 *20195:S *24781:RESET_B 6.08467e-05 +35 *20195:S *5906:50 0.000320569 +36 *1872:15 *19663:A 2.88923e-05 +37 *1872:15 *4841:22 9.29052e-05 +38 *1872:15 *6020:36 0.000524146 +39 *1872:20 *20183:A0 6.28168e-05 +40 *1872:20 *24781:RESET_B 9.04083e-05 +41 *1872:20 *4841:22 4.43826e-05 +42 *1872:20 *5671:310 0.000853165 +43 *1872:20 *5671:319 6.79599e-05 +44 *1872:31 *20183:A0 0.000141001 +45 *1872:31 *24781:RESET_B 0.000144531 +46 *1872:43 *20183:A0 0.00021046 +47 *1872:43 *20185:A0 0.000261325 +48 *1872:43 *24781:RESET_B 2.95757e-05 +49 *1872:43 *4796:9 5.29763e-05 +50 *1872:47 *20185:A0 0.000169041 +51 *1872:47 *20186:A 3.86121e-05 +52 *1872:47 *5671:346 0.000255574 +53 *1872:59 *23960:A1 0.000144546 +54 *1872:59 *5907:60 0.000111343 +55 *1872:60 *20187:A0 1.21461e-06 +56 *1872:60 *20188:A 8.78259e-05 +57 *1872:60 *20193:A0 3.948e-05 +58 *1872:60 *5907:63 0.00089217 +59 *20179:B *1872:15 1.5714e-05 +60 *20179:C *1872:15 0.00016667 +61 *20179:D *1872:15 8.90486e-05 +62 *566:15 *1872:20 0.00041724 +*RES +1 *20180:X *1872:15 35.5247 +2 *1872:15 *1872:20 24.914 +3 *1872:20 *20183:S 15.0513 +4 *1872:20 *1872:31 2.6625 +5 *1872:31 *20195:S 22.7916 +6 *1872:31 *1872:43 15.2323 +7 *1872:43 *1872:47 14.6517 +8 *1872:47 *20189:S 22.9514 +9 *1872:47 *1872:59 7.1625 +10 *1872:59 *1872:60 10.1517 +11 *1872:60 *20193:S 11.6605 +12 *1872:60 *20191:S 22.5727 +13 *1872:59 *20187:S 11.1059 +14 *1872:43 *20185:S 9.24915 +15 *1872:15 *20181:S 9.82786 +*END + +*D_NET *1873 0.000668037 +*CONN +*I *20182:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20181:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20182:A 0.000306463 +2 *20181:X 0.000306463 +3 *20182:A *4841:22 1.92926e-05 +4 *20182:A *4881:91 0 +5 *20182:A *4881:100 0 +6 *24783:D *20182:A 0 +7 *566:15 *20182:A 3.58185e-05 +8 *566:106 *20182:A 0 +*RES +1 *20181:X *20182:A 34.3456 +*END + +*D_NET *1874 0.000175249 +*CONN +*I *20184:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20183:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20184:A 4.37748e-05 +2 *20183:X 4.37748e-05 +3 *20184:A *24776:CLK 6.92705e-05 +4 *20184:A *5932:13 1.84293e-05 +5 *20183:S *20184:A 0 +*RES +1 *20183:X *20184:A 20.2103 +*END + +*D_NET *1875 0.000816725 +*CONN +*I *20186:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20185:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20186:A 0.000341871 +2 *20185:X 0.000341871 +3 *20186:A *23960:A1 0 +4 *20186:A *4792:11 0 +5 *20186:A *5671:340 3.12044e-05 +6 *24781:D *20186:A 6.31665e-05 +7 *1872:47 *20186:A 3.86121e-05 +*RES +1 *20185:X *20186:A 35.3154 +*END + +*D_NET *1876 0.00102274 +*CONN +*I *20188:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20187:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20188:A 0.000381178 +2 *20187:X 0.000381178 +3 *20188:A *20193:A0 0.000122083 +4 *20188:A *24780:CLK 5.04734e-05 +5 *1872:60 *20188:A 8.78259e-05 +*RES +1 *20187:X *20188:A 34.9058 +*END + +*D_NET *1877 0.000547772 +*CONN +*I *20190:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20189:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20190:A 0.000213264 +2 *20189:X 0.000213264 +3 *20190:A *5932:13 0.000121243 +*RES +1 *20189:X *20190:A 24.6472 +*END + +*D_NET *1878 0.00118829 +*CONN +*I *20192:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20191:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20192:A 0.000202981 +2 *20191:X 0.000202981 +3 *20192:A *20193:A1 0.000164829 +4 *20192:A *20194:A 0.000170125 +5 *20192:A *24778:RESET_B 6.81008e-05 +6 *20192:A *2194:12 4.47713e-05 +7 *20192:A *5907:63 2.6243e-05 +8 *20192:A *5907:65 0.000308254 +*RES +1 *20191:X *20192:A 36.4543 +*END + +*D_NET *1879 0.000427525 +*CONN +*I *20194:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20193:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20194:A 0.0001287 +2 *20193:X 0.0001287 +3 *20192:A *20194:A 0.000170125 +*RES +1 *20193:X *20194:A 22.0429 +*END + +*D_NET *1880 0.000461878 +*CONN +*I *20196:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20195:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20196:A 0.000205581 +2 *20195:X 0.000205581 +3 *20196:A *4796:9 0 +4 *20195:S *20196:A 5.0715e-05 +*RES +1 *20195:X *20196:A 31.4388 +*END + +*D_NET *1881 0.000589595 +*CONN +*I *20198:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20197:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20198:A 5.91202e-05 +2 *20197:X 5.91202e-05 +3 *20198:A *21703:A 0.000249797 +4 *20198:A *3204:26 1.92336e-05 +5 *20198:A *3206:15 0.000202323 +*RES +1 *20197:X *20198:A 21.4401 +*END + +*D_NET *1882 0.0093558 +*CONN +*I *20202:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20201:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20200:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20203:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20199:A I *D sky130_fd_sc_hd__inv_2 +*I *20204:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20198:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20202:A2 0.000118398 +2 *20201:A2 0 +3 *20200:A2 0.000107767 +4 *20203:A2 0.000393161 +5 *20199:A 1.60747e-05 +6 *20204:A2 0 +7 *20198:X 0.000343371 +8 *1882:31 0.000553456 +9 *1882:22 0.000559498 +10 *1882:18 0.000880166 +11 *1882:10 0.000464985 +12 *1882:8 0.000569633 +13 *20200:A2 *20200:A1 2.53534e-05 +14 *20202:A2 *20202:B1 0.00033694 +15 *20202:A2 *3487:30 2.95884e-05 +16 *20202:A2 *5854:490 7.78348e-06 +17 *20203:A2 *20203:A1 0.000107496 +18 *20203:A2 *22057:A 0.000251655 +19 *20203:A2 *24927:A 2.16355e-05 +20 *20203:A2 *2471:17 7.92757e-06 +21 *20203:A2 *4844:324 4.66492e-05 +22 *20203:A2 *5870:486 9.24241e-05 +23 *1882:8 *2904:8 0.000238769 +24 *1882:8 *3204:26 0.000247443 +25 *1882:10 *2904:8 0.000217385 +26 *1882:18 *20200:B1 0.000149059 +27 *1882:18 *2904:8 9.28861e-05 +28 *1882:18 *3053:6 4.66947e-05 +29 *1882:22 *21864:B1 0.00016864 +30 *1882:22 *3053:6 0.000173 +31 *1882:22 *5860:504 3.33173e-06 +32 *1882:31 *24927:A 5.97411e-05 +33 *1882:31 *1883:5 4.08598e-05 +34 *1882:31 *4844:324 0.000154145 +35 *24771:D *1882:31 4.31539e-05 +36 *24773:D *20202:A2 0.000114913 +37 *24775:D *20200:A2 3.01683e-06 +38 *1552:8 *1882:18 5.01835e-05 +39 *1552:35 *1882:8 0.000235097 +40 *1552:35 *1882:10 0.000208261 +41 *1552:35 *1882:18 4.49912e-05 +42 *1691:71 *1882:22 2.43314e-05 +43 *1691:71 *1882:31 6.08467e-05 +44 *1691:72 *1882:18 0.00027097 +45 *1691:72 *1882:22 0.000630245 +46 *1706:146 *1882:18 0.000524024 +47 *1709:87 *1882:18 0.000523826 +48 *1749:65 *20203:A2 9.60216e-05 +*RES +1 *20198:X *1882:8 21.845 +2 *1882:8 *1882:10 4.32351 +3 *1882:10 *1882:18 14.8771 +4 *1882:18 *1882:22 17.5438 +5 *1882:22 *20204:A2 9.24915 +6 *1882:22 *1882:31 4.79853 +7 *1882:31 *20199:A 9.82786 +8 *1882:31 *20203:A2 26.3988 +9 *1882:18 *20200:A2 16.1605 +10 *1882:10 *20201:A2 13.7491 +11 *1882:8 *20202:A2 18.2704 +*END + +*D_NET *1883 0.00679147 +*CONN +*I *20203:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20201:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20202:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20200:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20204:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20199:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20203:B2 0.000187242 +2 *20201:B2 8.84841e-05 +3 *20202:B2 0.000298375 +4 *20200:B2 4.17154e-05 +5 *20204:B2 6.25663e-05 +6 *20199:Y 0 +7 *1883:28 0.000602096 +8 *1883:16 0.000580502 +9 *1883:5 0.000559643 +10 *1883:4 0.000360769 +11 *20201:B2 *22800:A1 4.03381e-05 +12 *20201:B2 *4920:35 0.000107496 +13 *20202:B2 *20202:A1 4.15661e-05 +14 *20202:B2 *20202:B1 9.49926e-05 +15 *20202:B2 *3053:6 6.01842e-05 +16 *20202:B2 *5854:490 2.85531e-06 +17 *20203:B2 *22057:A 6.08467e-05 +18 *20203:B2 *24927:A 0.000148652 +19 *20203:B2 *2471:17 6.50586e-05 +20 *20203:B2 *2894:8 0.00018965 +21 *20203:B2 *2904:8 0.000193061 +22 *20204:B2 *3071:26 4.42113e-05 +23 *1883:5 *24927:A 0.000209326 +24 *1883:5 *3071:26 0.000253916 +25 *1883:16 *5860:504 0.000639056 +26 *1883:28 *22800:A1 1.82679e-05 +27 *1883:28 *5860:504 0.000338876 +28 *22728:A1 *20201:B2 5.75508e-05 +29 *22728:A1 *1883:28 4.31703e-05 +30 *24771:D *20204:B2 5.0715e-05 +31 *24771:D *1883:5 2.77625e-06 +32 *1691:71 *1883:5 0.000107496 +33 *1691:72 *20202:B2 0.000216143 +34 *1691:72 *1883:16 0.00064054 +35 *1691:72 *1883:28 0.000342477 +36 *1882:31 *1883:5 4.08598e-05 +*RES +1 *20199:Y *1883:4 9.24915 +2 *1883:4 *1883:5 5.71483 +3 *1883:5 *20204:B2 11.5158 +4 *1883:5 *1883:16 17.1286 +5 *1883:16 *20200:B2 14.4725 +6 *1883:16 *1883:28 12.593 +7 *1883:28 *20202:B2 25.2545 +8 *1883:28 *20201:B2 12.191 +9 *1883:4 *20203:B2 24.7134 +*END + +*D_NET *1884 0.154483 +*CONN +*I *20510:A I *D sky130_fd_sc_hd__or2_1 +*I *20357:A I *D sky130_fd_sc_hd__or2_1 +*I *20575:A I *D sky130_fd_sc_hd__or2_1 +*I *20227:A I *D sky130_fd_sc_hd__or2_1 +*I *20284:A I *D sky130_fd_sc_hd__or2_1 +*I *20586:A I *D sky130_fd_sc_hd__or2_1 +*I *20499:A I *D sky130_fd_sc_hd__or2_1 +*I *20423:A I *D sky130_fd_sc_hd__or2_1 +*I *20461:A I *D sky130_fd_sc_hd__or2_1 +*I *20548:A I *D sky130_fd_sc_hd__or2_1 +*I *20472:A I *D sky130_fd_sc_hd__or2_1 +*I *20537:A I *D sky130_fd_sc_hd__or2_1 +*I *20434:A I *D sky130_fd_sc_hd__or2_1 +*I *20384:A I *D sky130_fd_sc_hd__or2_1 +*I *20395:A I *D sky130_fd_sc_hd__or2_1 +*I *20662:A I *D sky130_fd_sc_hd__or2_1 +*I *20624:A I *D sky130_fd_sc_hd__or2_1 +*I *20206:A I *D sky130_fd_sc_hd__or2_1 +*I *20651:A I *D sky130_fd_sc_hd__or2_1 +*I *20613:A I *D sky130_fd_sc_hd__or2_1 +*I *20205:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20510:A 0.000143154 +2 *20357:A 0.000474364 +3 *20575:A 0.000289797 +4 *20227:A 4.85334e-05 +5 *20284:A 0.00044919 +6 *20586:A 0 +7 *20499:A 2.06324e-05 +8 *20423:A 0 +9 *20461:A 7.4534e-05 +10 *20548:A 0.000614869 +11 *20472:A 0 +12 *20537:A 2.64456e-05 +13 *20434:A 0.000364187 +14 *20384:A 0.000510086 +15 *20395:A 1.47608e-05 +16 *20662:A 0.000368721 +17 *20624:A 0 +18 *20206:A 0 +19 *20651:A 0.000437268 +20 *20613:A 0 +21 *20205:X 0 +22 *1884:307 0.00188631 +23 *1884:294 0.00248052 +24 *1884:282 0.00181756 +25 *1884:271 0.00226863 +26 *1884:262 0.00285034 +27 *1884:260 0.00253654 +28 *1884:257 0.00207183 +29 *1884:208 0.000769588 +30 *1884:201 0.00121645 +31 *1884:159 0.00102036 +32 *1884:154 0.00111832 +33 *1884:152 0.00117498 +34 *1884:150 0.00236117 +35 *1884:137 0.00115697 +36 *1884:133 0.000875454 +37 *1884:129 0.00182863 +38 *1884:121 0.00304079 +39 *1884:117 0.00362451 +40 *1884:110 0.00254621 +41 *1884:104 0.0011839 +42 *1884:102 0.00101017 +43 *1884:101 0.0013822 +44 *1884:90 0.000439743 +45 *1884:89 0.000579376 +46 *1884:86 0.00150802 +47 *1884:73 0.000661092 +48 *1884:71 0.00219916 +49 *1884:64 0.00314168 +50 *1884:45 0.00565121 +51 *1884:24 0.00563976 +52 *1884:19 0.00224099 +53 *1884:4 0.00245875 +54 *20227:A *1893:74 6.08467e-05 +55 *20227:A *2388:8 1.69093e-05 +56 *20284:A *20736:B1 0.000156578 +57 *20284:A *20799:A1 0.00041745 +58 *20284:A *4845:183 0.000156578 +59 *20284:A *5857:60 5.99856e-05 +60 *20357:A *20237:B1 8.17357e-05 +61 *20357:A *21878:A 0.000113968 +62 *20357:A *2398:55 0 +63 *20357:A *2675:42 5.90507e-05 +64 *20357:A *4829:23 2.42726e-05 +65 *20384:A *20388:A1 4.27003e-05 +66 *20384:A *21226:A 0.000155444 +67 *20384:A *2843:16 0.00010946 +68 *20384:A *3035:40 4.99151e-05 +69 *20395:A *2369:17 6.08467e-05 +70 *20434:A *21226:A 3.92776e-05 +71 *20434:A *4869:23 0.000390192 +72 *20434:A *5161:6 4.49912e-05 +73 *20461:A *4826:23 5.73392e-05 +74 *20510:A *20511:A 4.69495e-06 +75 *20510:A *21364:A1 2.93074e-05 +76 *20537:A *5855:530 2.65831e-05 +77 *20548:A *20396:A 0.000570342 +78 *20548:A *20399:B1 0.000805848 +79 *20548:A *20401:A2 0.000216073 +80 *20548:A *1945:8 0.000438428 +81 *20548:A *2950:20 0 +82 *20548:A *2963:20 0.000353477 +83 *20548:A *4826:23 0.000141473 +84 *20575:A *20583:A1 0.000725327 +85 *20575:A *2966:23 9.31601e-05 +86 *20651:A *21436:B1 0.000135674 +87 *20651:A *2461:12 3.95165e-05 +88 *20651:A *3120:125 5.60804e-05 +89 *20662:A *20628:B2 0.00025203 +90 *20662:A *2250:13 0.000112149 +91 *1884:19 *2467:123 0.000495802 +92 *1884:19 *3052:28 0.00016551 +93 *1884:19 *3091:52 0.000113889 +94 *1884:19 *3120:11 0.000294093 +95 *1884:19 *3136:24 0.000126583 +96 *1884:19 *3175:19 0.000137865 +97 *1884:24 *22189:A 0.000203253 +98 *1884:24 *22228:A 2.02201e-05 +99 *1884:24 *2278:39 0.000161373 +100 *1884:24 *2440:55 0.00177252 +101 *1884:24 *3177:237 0.000157739 +102 *1884:24 *4805:56 0.000445191 +103 *1884:24 *5909:24 3.19104e-05 +104 *1884:24 *5909:26 0.000481224 +105 *1884:45 *21436:B1 2.31669e-05 +106 *1884:45 *22396:B2 9.23115e-05 +107 *1884:45 *2440:55 3.68878e-05 +108 *1884:45 *2459:29 0.000107247 +109 *1884:45 *2492:32 0.000385819 +110 *1884:45 *2584:77 6.23101e-05 +111 *1884:45 *2613:29 0.000180511 +112 *1884:45 *3120:41 0.000171229 +113 *1884:45 *3153:137 0.000942787 +114 *1884:45 *3192:25 5.60804e-05 +115 *1884:45 *3343:19 1.54632e-05 +116 *1884:45 *3547:26 0.00387677 +117 *1884:45 *4805:56 4.12833e-05 +118 *1884:45 *4814:64 0.00285805 +119 *1884:45 *4836:21 0.000887848 +120 *1884:64 *21441:C1 1.91391e-05 +121 *1884:64 *2243:12 2.37478e-05 +122 *1884:64 *2293:38 0 +123 *1884:64 *2360:57 0.000479991 +124 *1884:64 *2501:22 0 +125 *1884:64 *4836:21 4.41823e-05 +126 *1884:71 *20210:B1 0.000151976 +127 *1884:71 *20918:B1 0.000472868 +128 *1884:71 *21441:C1 0.000305191 +129 *1884:71 *22404:A 0.000285421 +130 *1884:71 *22686:B1 1.5714e-05 +131 *1884:71 *24215:RESET_B 8.56804e-05 +132 *1884:71 *2243:8 0.000231869 +133 *1884:71 *2243:12 0.000481804 +134 *1884:71 *2258:12 6.91555e-05 +135 *1884:71 *2421:18 0.000231627 +136 *1884:71 *2480:8 0.000103789 +137 *1884:71 *2620:31 2.09501e-05 +138 *1884:71 *3022:6 0 +139 *1884:71 *4843:251 0.000130398 +140 *1884:71 *4844:152 0.00121391 +141 *1884:71 *5865:91 0.000745181 +142 *1884:71 *5865:100 8.63646e-05 +143 *1884:71 *5865:258 0.000353445 +144 *1884:86 *21185:A 0.000303692 +145 *1884:86 *2018:93 6.0819e-05 +146 *1884:86 *2258:12 0.000103323 +147 *1884:86 *2620:31 0.000528421 +148 *1884:86 *3022:6 0.000242107 +149 *1884:102 *2081:6 0.00252449 +150 *1884:102 *2797:11 0.000447781 +151 *1884:102 *4836:21 0.00016402 +152 *1884:102 *4836:43 6.04731e-05 +153 *1884:102 *5867:636 0.000158077 +154 *1884:110 *20804:A1 6.44964e-06 +155 *1884:110 *24375:CLK 5.04829e-06 +156 *1884:110 *2294:12 1.00763e-05 +157 *1884:110 *2813:16 0.000188802 +158 *1884:110 *5857:60 0.000148806 +159 *1884:117 *2375:12 0.000335911 +160 *1884:117 *4845:107 0.000556172 +161 *1884:117 *4845:112 0.000612751 +162 *1884:117 *4845:151 0.000647491 +163 *1884:117 *5857:46 4.91225e-06 +164 *1884:117 *5857:48 0.00072322 +165 *1884:117 *5888:8 0.000334412 +166 *1884:121 *20426:A1 0.000536595 +167 *1884:121 *20426:B1 1.19721e-05 +168 *1884:121 *20431:A1 0.000413252 +169 *1884:121 *20431:B1 2.65667e-05 +170 *1884:121 *20431:B2 5.97411e-05 +171 *1884:121 *24626:CLK 6.04131e-05 +172 *1884:121 *1955:72 8.46941e-06 +173 *1884:121 *1955:92 3.45097e-05 +174 *1884:121 *2135:74 6.73351e-05 +175 *1884:121 *4897:67 7.56085e-05 +176 *1884:121 *4989:9 4.23874e-05 +177 *1884:129 *20880:A1 1.19721e-05 +178 *1884:129 *20880:A2 2.16355e-05 +179 *1884:129 *24349:SET_B 1.51692e-05 +180 *1884:129 *1955:72 1.43407e-05 +181 *1884:129 *2135:74 4.73598e-05 +182 *1884:129 *2136:34 2.10013e-05 +183 *1884:129 *2136:39 0.00018248 +184 *1884:129 *5866:313 1.73713e-05 +185 *1884:133 *2263:9 0.000152294 +186 *1884:137 *2369:17 0.000366603 +187 *1884:150 *20031:A 0.000312027 +188 *1884:150 *20539:A 2.04806e-05 +189 *1884:150 *20547:A1 0.000409212 +190 *1884:150 *20547:B2 0.000101325 +191 *1884:150 *1991:8 0 +192 *1884:150 *1991:94 0.00016554 +193 *1884:150 *5861:43 0.00010554 +194 *1884:150 *5866:237 9.48629e-05 +195 *1884:150 *5866:249 2.48286e-05 +196 *1884:150 *5866:259 2.5638e-05 +197 *1884:150 *5866:279 0 +198 *1884:152 *20538:A 3.01634e-05 +199 *1884:152 *1991:8 0 +200 *1884:152 *2737:16 0 +201 *1884:152 *5861:43 0.000154579 +202 *1884:154 *20672:B2 4.86647e-05 +203 *1884:154 *24455:SET_B 0.000257147 +204 *1884:154 *4845:678 0.00172598 +205 *1884:159 *20387:A1 0.000161911 +206 *1884:159 *3220:12 0.000491359 +207 *1884:159 *4869:23 7.92757e-06 +208 *1884:201 *20778:A 7.13972e-05 +209 *1884:201 *24390:RESET_B 2.69601e-05 +210 *1884:201 *24390:CLK 0.000126435 +211 *1884:201 *2685:8 0.00013193 +212 *1884:201 *2954:21 0.000695193 +213 *1884:201 *4739:22 0.000410472 +214 *1884:201 *5866:237 0.00010603 +215 *1884:257 *22212:A 9.75356e-05 +216 *1884:257 *22234:A 4.73831e-05 +217 *1884:257 *24363:CLK 0.000319817 +218 *1884:257 *3108:30 0.000611563 +219 *1884:257 *3122:11 0 +220 *1884:257 *4866:121 2.90121e-05 +221 *1884:257 *5950:9 3.24705e-06 +222 *1884:260 *22173:B 0 +223 *1884:260 *22173:C 1.2693e-05 +224 *1884:260 *2440:55 0 +225 *1884:260 *2467:126 0.000306097 +226 *1884:260 *5949:79 0.000226638 +227 *1884:262 *20817:A3 3.93791e-05 +228 *1884:262 *20818:A2 0.000118759 +229 *1884:262 *20819:A1 4.37882e-05 +230 *1884:262 *20826:B 3.83658e-05 +231 *1884:262 *22519:A 3.09155e-05 +232 *1884:262 *24364:RESET_B 0.000683801 +233 *1884:262 *24372:SET_B 0.000270243 +234 *1884:262 *2063:23 2.01503e-05 +235 *1884:262 *2085:50 0.0011737 +236 *1884:262 *2088:24 0.000337733 +237 *1884:262 *2088:88 3.25681e-05 +238 *1884:262 *2108:29 3.29488e-05 +239 *1884:262 *2389:16 1.17185e-05 +240 *1884:262 *2440:54 0.000322198 +241 *1884:262 *2440:55 0 +242 *1884:262 *4829:57 0 +243 *1884:262 *4833:51 0 +244 *1884:262 *5870:743 8.84048e-05 +245 *1884:262 *5947:37 3.56893e-05 +246 *1884:271 *20819:A2 1.91391e-05 +247 *1884:271 *2063:23 1.97664e-05 +248 *1884:271 *2428:87 0.000583155 +249 *1884:271 *2576:40 0.000641709 +250 *1884:271 *4829:57 0 +251 *1884:271 *4833:21 0.00165743 +252 *1884:271 *4866:49 0.000945427 +253 *1884:282 *20511:A 3.67104e-05 +254 *1884:282 *21742:A1 9.57498e-05 +255 *1884:282 *2388:8 0.00097945 +256 *1884:282 *4865:6 6.07898e-05 +257 *1884:282 *5695:8 0.000161085 +258 *1884:282 *5860:544 0.000360166 +259 *1884:294 *20235:B1 0.00066364 +260 *1884:294 *20236:B1 0.000313341 +261 *1884:294 *20236:B2 6.50586e-05 +262 *1884:294 *1893:74 0.000792932 +263 *1884:294 *1893:76 0.000107496 +264 *1884:294 *4947:18 1.65872e-05 +265 *1884:307 *20236:B1 0.000346421 +266 *1884:307 *21844:B1 1.5714e-05 +267 *1884:307 *2446:27 0.000404547 +268 *1884:307 *2903:8 4.48826e-05 +269 *1884:307 *4832:109 0.000253207 +270 *1884:307 *5874:79 0.00420612 +271 *6166:DIODE *1884:133 6.50727e-05 +272 *20130:B *1884:257 0.000850464 +273 *20205:A *1884:19 7.50722e-05 +274 *20434:B *20384:A 0.000380256 +275 *20434:B *20434:A 4.99151e-05 +276 *20461:B *1884:137 0.000294241 +277 *20461:B *1884:208 0.000244686 +278 *20472:B *1884:201 0.000115746 +279 *20499:B *1884:129 6.50586e-05 +280 *20510:B *20510:A 6.50727e-05 +281 *20575:B *20575:A 3.5534e-06 +282 *20586:B *1884:117 6.08467e-05 +283 *20586:B *1884:121 5.60101e-05 +284 *20651:B *20651:A 6.65807e-06 +285 *21224:A2 *20227:A 6.50586e-05 +286 *21260:B2 *1884:45 0.00122503 +287 *21260:B2 *1884:64 0.000769584 +288 *21364:A2 *20510:A 1.3023e-05 +289 *21364:A2 *1884:282 0.000349945 +290 *21436:B2 *20651:A 3.95165e-05 +291 *21844:A2 *20575:A 0.000161775 +292 *23968:A0 *1884:282 9.24241e-05 +293 *24488:D *1884:86 9.60366e-05 +294 *24541:D *1884:150 0.000127447 +295 *24543:D *1884:150 7.69541e-06 +296 *24750:D *20357:A 2.08194e-05 +297 *24764:D *1884:71 0.000106543 +298 *482:28 *1884:19 0.000108071 +299 *496:8 *20357:A 0 +300 *516:26 *20357:A 0.00037504 +301 *518:46 *1884:19 9.2346e-06 +302 *531:30 *1884:19 2.37827e-05 +303 *541:38 *1884:260 9.39547e-05 +304 *541:38 *1884:262 0.00018918 +305 *541:46 *1884:262 0 +306 *1419:18 *1884:271 0.000281898 +307 *1419:70 *1884:307 0.00275341 +308 *1419:207 *1884:257 2.7713e-05 +309 *1428:85 *1884:282 2.06967e-05 +310 *1439:421 *1884:71 4.4113e-05 +311 *1467:36 *1884:307 0.000342896 +312 *1471:75 *20575:A 0.000150923 +313 *1506:46 *1884:307 0.00033835 +314 *1520:35 *1884:294 0.000357439 +315 *1520:35 *1884:307 0.000183657 +316 *1520:39 *1884:294 0.00027724 +317 *1564:106 *1884:45 0.000367383 +318 *1564:171 *1884:45 0.000299419 +319 *1566:95 *20384:A 2.15348e-05 +320 *1573:120 *1884:24 0.000797312 +321 *1577:183 *1884:260 0.000288325 +322 *1592:151 *1884:64 0.000789178 +323 *1595:8 *1884:110 0.00018522 +324 *1595:16 *1884:102 0.00147934 +325 *1596:134 *1884:64 0.000431631 +326 *1619:8 *1884:137 0.000393344 +327 *1619:8 *1884:208 0.000259992 +328 *1619:16 *20395:A 6.08467e-05 +329 *1619:16 *1884:137 0.000305756 +330 *1627:215 *20662:A 0.000345987 +331 *1627:215 *1884:89 0.000744287 +332 *1627:215 *1884:101 0.00053677 +333 *1634:45 *20651:A 2.69702e-06 +334 *1641:10 *20575:A 3.02551e-05 +335 *1646:12 *1884:154 2.40371e-05 +336 *1652:65 *20651:A 6.8437e-05 +337 *1656:8 *1884:129 0.000331852 +338 *1663:27 *1884:64 0.000493384 +339 *1669:97 *1884:307 0.000139119 +340 *1696:68 *20227:A 4.7918e-05 +341 *1696:68 *1884:282 0.000614948 +342 *1696:96 *1884:282 0.000523171 +343 *1701:39 *1884:271 2.05612e-05 +344 *1701:39 *1884:282 9.02101e-06 +345 *1708:137 *20548:A 7.39264e-05 +346 *1708:139 *20548:A 0.000612779 +347 *1721:184 *20384:A 0.000544481 +348 *1725:201 *1884:71 0 +349 *1741:21 *20434:A 1.12969e-05 +350 *1770:8 *1884:137 2.78452e-05 +351 *1770:8 *1884:208 5.66868e-06 +352 *1783:8 *1884:150 0.00026285 +353 *1783:14 *1884:150 0 +354 *1788:164 *1884:154 0.00263228 +355 *1789:6 *1884:129 5.11074e-05 +356 *1799:26 *1884:154 0 +357 *1800:184 *1884:129 1.09738e-05 +358 *1800:187 *1884:129 0.000313481 +359 *1800:187 *1884:133 0.000102003 +360 *1802:187 *20575:A 0.000880294 +*RES +1 *20205:X *1884:4 9.24915 +2 *1884:4 *1884:19 44.9611 +3 *1884:19 *1884:24 49.3906 +4 *1884:24 *20613:A 13.7491 +5 *1884:24 *1884:45 22.3344 +6 *1884:45 *20651:A 19.1415 +7 *1884:45 *1884:64 8.07502 +8 *1884:64 *20206:A 13.7491 +9 *1884:64 *1884:71 66.6113 +10 *1884:71 *1884:73 0.732798 +11 *1884:73 *20624:A 13.7491 +12 *1884:73 *1884:86 26.7526 +13 *1884:86 *1884:89 17.737 +14 *1884:89 *1884:90 81.1229 +15 *1884:90 *20662:A 30.3138 +16 *1884:86 *1884:101 10.7694 +17 *1884:101 *1884:102 47.925 +18 *1884:102 *1884:104 4.5 +19 *1884:104 *1884:110 22.0901 +20 *1884:110 *1884:117 44.5767 +21 *1884:117 *1884:121 29.5869 +22 *1884:121 *1884:129 32.3755 +23 *1884:129 *1884:133 17.9793 +24 *1884:133 *1884:137 15.366 +25 *1884:137 *20395:A 9.97254 +26 *1884:137 *1884:150 36.0347 +27 *1884:150 *1884:152 5.98452 +28 *1884:152 *1884:154 47.5097 +29 *1884:154 *1884:159 14.7148 +30 *1884:159 *20384:A 31.1988 +31 *1884:159 *20434:A 20.7655 +32 *1884:152 *20537:A 14.4725 +33 *1884:150 *1884:201 46.6037 +34 *1884:201 *20472:A 9.24915 +35 *1884:133 *1884:208 9.23876 +36 *1884:208 *20548:A 44.9522 +37 *1884:208 *20461:A 11.0817 +38 *1884:129 *20423:A 9.24915 +39 *1884:121 *20499:A 9.82786 +40 *1884:117 *20586:A 9.24915 +41 *1884:104 *20284:A 27.5963 +42 *1884:4 *1884:257 48.1944 +43 *1884:257 *1884:260 15.7429 +44 *1884:260 *1884:262 59.8696 +45 *1884:262 *1884:271 12.1168 +46 *1884:271 *1884:282 46.903 +47 *1884:282 *20227:A 15.474 +48 *1884:282 *1884:294 25.1891 +49 *1884:294 *1884:307 41.4922 +50 *1884:307 *20575:A 33.697 +51 *1884:294 *20357:A 30.903 +52 *1884:271 *20510:A 16.7079 +*END + +*D_NET *1885 0.000335769 +*CONN +*I *20207:A I *D sky130_fd_sc_hd__buf_2 +*I *20206:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20207:A 5.02369e-05 +2 *20206:X 5.02369e-05 +3 *20207:A *21441:C1 0.000117647 +4 *20207:A *2480:8 0.000117647 +*RES +1 *20206:X *20207:A 29.7455 +*END + +*D_NET *1886 0.0135955 +*CONN +*I *20212:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20216:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20208:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20210:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20214:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20213:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20215:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20209:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20211:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20207:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *20212:A2 0.000156596 +2 *20216:A2 0.000207809 +3 *20208:A 0 +4 *20210:A2 0.000249673 +5 *20214:A2 3.48121e-05 +6 *20213:A2 1.97806e-05 +7 *20215:A2 5.17391e-05 +8 *20209:A2 0.000410214 +9 *20211:A2 0 +10 *20207:X 0.000128475 +11 *1886:78 0.000314031 +12 *1886:76 0.000434093 +13 *1886:64 0.000533282 +14 *1886:49 0.000818761 +15 *1886:34 0.000816195 +16 *1886:19 0.00100021 +17 *1886:8 0.000311955 +18 *1886:5 0.000309051 +19 *20209:A2 *20209:A1 1.81626e-05 +20 *20209:A2 *20209:B2 6.65505e-05 +21 *20209:A2 *22389:A1 0.000338433 +22 *20209:A2 *2246:14 3.90534e-05 +23 *20209:A2 *2305:16 1.03403e-05 +24 *20210:A2 *20210:A1 1.65872e-05 +25 *20210:A2 *21274:A 0.00011593 +26 *20210:A2 *2337:22 2.16355e-05 +27 *20210:A2 *2729:47 0.000351426 +28 *20210:A2 *2821:10 3.82228e-05 +29 *20210:A2 *3263:13 2.81262e-05 +30 *20210:A2 *3263:23 2.83829e-05 +31 *20210:A2 *5095:13 6.89354e-07 +32 *20212:A2 *20211:B2 0.000350024 +33 *20212:A2 *20212:A1 8.77154e-06 +34 *20212:A2 *20212:B1 0.000113968 +35 *20212:A2 *22404:C 1.9366e-05 +36 *20212:A2 *4843:784 0.0005361 +37 *20213:A2 *5855:166 6.36477e-05 +38 *20215:A2 *20215:B2 0.000253916 +39 *20215:A2 *5090:13 0.000253916 +40 *20216:A2 *4844:182 5.44609e-06 +41 *20216:A2 *4845:229 0.000131165 +42 *1886:5 *20211:B2 0.000200794 +43 *1886:5 *4843:784 0.000110306 +44 *1886:8 *21431:A 5.13937e-05 +45 *1886:19 *21431:A 2.21587e-05 +46 *1886:19 *2305:8 7.5119e-05 +47 *1886:19 *2490:18 6.32697e-05 +48 *1886:19 *5094:12 0.000111709 +49 *1886:34 *2305:8 0.000454757 +50 *1886:34 *2490:18 0.000108515 +51 *1886:34 *5859:151 0.000107063 +52 *1886:49 *20213:A1 3.30256e-05 +53 *1886:49 *22383:A1 0.000697866 +54 *1886:49 *24764:SET_B 4.80844e-05 +55 *1886:49 *24766:CLK 6.34564e-05 +56 *1886:49 *2305:8 0.000125458 +57 *1886:49 *2490:18 2.46999e-05 +58 *1886:49 *2989:32 0.000148298 +59 *1886:49 *4815:51 3.52699e-05 +60 *1886:49 *4902:71 1.86178e-05 +61 *1886:64 *2266:13 4.93206e-05 +62 *1886:64 *2820:26 0.000148867 +63 *1886:64 *4845:229 0 +64 *1886:64 *4902:71 0.000279038 +65 *1886:64 *5855:149 2.07591e-05 +66 *1886:76 *20214:B1 0.000207266 +67 *1886:76 *2729:47 0.000260388 +68 *1886:76 *2821:10 1.41689e-05 +69 *1886:76 *4845:229 0 +70 *1886:76 *4902:71 5.01835e-05 +71 *1886:78 *1887:7 0.000107496 +72 *1886:78 *2729:47 0.000247443 +73 *1886:78 *2821:10 4.9661e-05 +74 *20727:B *20216:A2 8.54408e-05 +75 *20727:B *1886:76 7.05813e-06 +76 *24763:D *20216:A2 1.31897e-05 +77 *24765:D *20214:A2 5.04829e-06 +78 *24765:D *1886:64 1.16388e-05 +79 *24769:D *20210:A2 0.000107496 +80 *512:38 *1886:34 3.77568e-05 +81 *1551:154 *1886:8 5.13937e-05 +82 *1551:154 *1886:19 0.000266224 +83 *1592:189 *20214:A2 6.76347e-05 +84 *1608:113 *1886:64 0.00011646 +85 *1608:113 *1886:76 0.000154062 +86 *1635:106 *20212:A2 1.9366e-05 +87 *1658:119 *20216:A2 0.000111722 +88 *1741:40 *1886:49 0 +*RES +1 *20207:X *1886:5 12.7456 +2 *1886:5 *1886:8 5.50149 +3 *1886:8 *20211:A2 13.7491 +4 *1886:8 *1886:19 8.13406 +5 *1886:19 *20209:A2 24.793 +6 *1886:19 *1886:34 9.30653 +7 *1886:34 *20215:A2 16.691 +8 *1886:34 *1886:49 11.8611 +9 *1886:49 *20213:A2 14.4725 +10 *1886:49 *1886:64 13.9264 +11 *1886:64 *20214:A2 14.7498 +12 *1886:64 *1886:76 10.5196 +13 *1886:76 *1886:78 2.94181 +14 *1886:78 *20210:A2 17.3994 +15 *1886:78 *20208:A 9.24915 +16 *1886:76 *20216:A2 23.5935 +17 *1886:5 *20212:A2 25.5201 +*END + +*D_NET *1887 0.0110027 +*CONN +*I *20216:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20210:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20214:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20213:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20215:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20209:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20212:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20211:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20208:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20216:B2 0 +2 *20210:B2 0.000749973 +3 *20214:B2 2.86212e-05 +4 *20213:B2 0 +5 *20215:B2 0.000201321 +6 *20209:B2 4.13841e-05 +7 *20212:B2 0 +8 *20211:B2 0.000248705 +9 *20208:Y 2.60774e-05 +10 *1887:63 0.000914536 +11 *1887:18 0.000467575 +12 *1887:14 0.000549031 +13 *1887:12 0.000584024 +14 *1887:10 0.000307307 +15 *1887:8 0.000296303 +16 *1887:7 0.00024494 +17 *20209:B2 *22389:A1 6.65505e-05 +18 *20210:B2 *20210:A1 6.08467e-05 +19 *20210:B2 *20210:B1 2.65667e-05 +20 *20210:B2 *2480:8 0.000196621 +21 *20210:B2 *5095:13 8.79845e-05 +22 *20210:B2 *5865:91 0.000205086 +23 *20211:B2 *20212:A1 2.20583e-05 +24 *20211:B2 *20212:B1 1.56384e-05 +25 *20215:B2 *21634:A 0.000247443 +26 *20215:B2 *4815:51 0.000833108 +27 *20215:B2 *5090:13 5.62524e-05 +28 *20215:B2 *5090:21 0.000148355 +29 *1887:7 *2821:10 0.000107496 +30 *1887:8 *4845:229 0.000141924 +31 *1887:8 *5893:18 0.000134782 +32 *1887:10 *4845:229 0.000316205 +33 *1887:10 *5893:18 0.000310679 +34 *1887:12 *4845:229 0.000170698 +35 *1887:12 *5893:18 0.000163602 +36 *1887:14 *22404:C 6.67816e-05 +37 *1887:14 *4845:229 0.000325504 +38 *1887:14 *5893:18 0.000496458 +39 *1887:18 *22404:C 6.7118e-05 +40 *1887:18 *2461:12 0.000145629 +41 *1887:18 *5893:18 0.000337077 +42 *1887:63 *4845:229 0.000187677 +43 *1887:63 *5893:18 0.000188051 +44 *20209:A2 *20209:B2 6.65505e-05 +45 *20212:A2 *20211:B2 0.000350024 +46 *20215:A2 *20215:B2 0.000253916 +47 *24763:D *20210:B2 4.60951e-05 +48 *24768:D *20211:B2 3.4123e-05 +49 *24769:D *20210:B2 8.9031e-06 +50 *1592:189 *20214:B2 6.08467e-05 +51 *1658:119 *20210:B2 8.79845e-05 +52 *1886:5 *20211:B2 0.000200794 +53 *1886:78 *1887:7 0.000107496 +*RES +1 *20208:Y *1887:7 15.0271 +2 *1887:7 *1887:8 2.6625 +3 *1887:8 *1887:10 6.81502 +4 *1887:10 *1887:12 3.493 +5 *1887:12 *1887:14 10.137 +6 *1887:14 *1887:18 11.315 +7 *1887:18 *20211:B2 16.676 +8 *1887:18 *20212:B2 9.24915 +9 *1887:14 *20209:B2 15.5817 +10 *1887:12 *20215:B2 23.9249 +11 *1887:10 *20213:B2 13.7491 +12 *1887:8 *20214:B2 14.4725 +13 *1887:7 *1887:63 8.82351 +14 *1887:63 *20210:B2 35.0151 +15 *1887:63 *20216:B2 9.24915 +*END + +*D_NET *1888 0.000435951 +*CONN +*I *20218:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20217:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20218:A 9.94476e-05 +2 *20217:X 9.94476e-05 +3 *20218:A *2295:8 0 +4 *20218:A *2398:38 3.67528e-06 +5 *20218:A *4876:66 0.000114584 +6 *1502:49 *20218:A 0 +7 *1731:39 *20218:A 0.000118796 +*RES +1 *20217:X *20218:A 30.6083 +*END + +*D_NET *1889 0.00581595 +*CONN +*I *20223:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20219:A I *D sky130_fd_sc_hd__inv_2 +*I *20224:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20222:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20221:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20220:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20218:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20223:A2 0 +2 *20219:A 0 +3 *20224:A2 0.000245459 +4 *20222:A2 0.00013597 +5 *20221:A2 5.64787e-05 +6 *20220:A2 0.000113366 +7 *20218:X 0.00014931 +8 *1889:43 0.000298204 +9 *1889:29 0.000402182 +10 *1889:27 0.000566232 +11 *1889:16 0.000553927 +12 *1889:6 0.000407361 +13 *20220:A2 *24506:CLK 0.000124653 +14 *20222:A2 *20222:A1 2.65831e-05 +15 *20222:A2 *2955:5 4.31703e-05 +16 *20222:A2 *5856:305 4.70104e-05 +17 *20222:A2 *5856:309 0.000144546 +18 *20222:A2 *5858:319 5.66515e-05 +19 *20224:A2 *20224:A1 2.99287e-05 +20 *20224:A2 *20224:B1 1.37189e-05 +21 *20224:A2 *20224:B2 0.000218793 +22 *20224:A2 *1890:7 1.41291e-05 +23 *1889:6 *24506:CLK 3.0676e-05 +24 *1889:6 *2295:8 0 +25 *1889:16 *21950:A 0.000110306 +26 *1889:16 *2632:19 8.88984e-06 +27 *1889:16 *3014:39 0.000661482 +28 *1889:16 *5671:19 0.00012717 +29 *1889:27 *2442:8 0.000460239 +30 *1889:27 *3014:48 3.31733e-05 +31 *1889:29 *2442:8 0.000326465 +32 *1889:29 *5856:305 0.000163997 +33 *1889:29 *5858:319 3.89332e-06 +34 *1889:43 *1890:7 6.73186e-05 +35 *1889:43 *5856:334 2.16355e-05 +36 *24758:D *20224:A2 2.57986e-05 +37 *24760:D *20222:A2 1.41291e-05 +38 *1439:270 *20221:A2 2.16355e-05 +39 *1439:270 *1889:16 9.14669e-05 +40 *1502:49 *20220:A2 0 +41 *1502:49 *1889:6 0 +42 *1760:8 *1889:27 0 +43 *1760:8 *1889:29 0 +*RES +1 *20218:X *1889:6 16.8269 +2 *1889:6 *20220:A2 16.4116 +3 *1889:6 *1889:16 12.4332 +4 *1889:16 *20221:A2 10.5513 +5 *1889:16 *1889:27 13.8065 +6 *1889:27 *1889:29 6.81502 +7 *1889:29 *20222:A2 19.0989 +8 *1889:29 *1889:43 6.3326 +9 *1889:43 *20224:A2 16.676 +10 *1889:43 *20219:A 9.24915 +11 *1889:27 *20223:A2 13.7491 +*END + +*D_NET *1890 0.0061458 +*CONN +*I *20224:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20221:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20220:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20223:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20222:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20219:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20224:B2 0.000191861 +2 *20221:B2 0.000117099 +3 *20220:B2 0.000109706 +4 *20223:B2 0 +5 *20222:B2 0.000120317 +6 *20219:Y 0 +7 *1890:24 0.000459866 +8 *1890:17 0.000382027 +9 *1890:7 0.00055318 +10 *1890:4 0.000475758 +11 *20220:B2 *20220:B1 6.3657e-05 +12 *20220:B2 *2619:5 4.73434e-05 +13 *20220:B2 *2838:17 0.000715346 +14 *20220:B2 *5671:19 0.000523693 +15 *20221:B2 *19627:B1 0.000148359 +16 *20221:B2 *20221:A1 3.20069e-06 +17 *20221:B2 *20221:B1 6.08467e-05 +18 *20221:B2 *2442:8 3.18338e-05 +19 *20222:B2 *2955:5 2.23259e-05 +20 *20222:B2 *5854:194 0.000271793 +21 *20222:B2 *5858:319 0.000264598 +22 *20224:B2 *20224:B1 0 +23 *1890:7 *2442:7 0.000160617 +24 *1890:7 *5856:334 4.89898e-06 +25 *1890:17 *5854:194 0.000282156 +26 *1890:17 *5858:319 0.000305515 +27 *1890:24 *19627:B1 0.000326167 +28 *1890:24 *2442:8 6.85834e-05 +29 *1890:24 *5854:194 3.06917e-06 +30 *1890:24 *5858:319 2.77419e-05 +31 *20224:A2 *20224:B2 0.000218793 +32 *20224:A2 *1890:7 1.41291e-05 +33 *24760:D *20222:B2 4.31539e-05 +34 *1439:270 *20221:B2 6.08467e-05 +35 *1889:43 *1890:7 6.73186e-05 +*RES +1 *20219:Y *1890:4 9.24915 +2 *1890:4 *1890:7 9.10562 +3 *1890:7 *20222:B2 19.7659 +4 *1890:7 *1890:17 5.98452 +5 *1890:17 *20223:B2 13.7491 +6 *1890:17 *1890:24 6.81502 +7 *1890:24 *20220:B2 21.6824 +8 *1890:24 *20221:B2 17.5503 +9 *1890:4 *20224:B2 13.903 +*END + +*D_NET *1891 0.00580959 +*CONN +*I *20305:B1 I *D sky130_fd_sc_hd__a211o_1 +*I *20226:A2 I *D sky130_fd_sc_hd__o211a_2 +*I *20225:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *20305:B1 0.00038692 +2 *20226:A2 0.000128636 +3 *20225:X 0.000761769 +4 *1891:11 0.00127733 +5 *20226:A2 *20226:A1 2.91008e-06 +6 *20226:A2 *3089:54 0 +7 *20226:A2 *4874:20 0.000147415 +8 *20305:B1 *23062:B1 0.000104572 +9 *20305:B1 *4138:143 4.88406e-05 +10 *20305:B1 *4837:8 0.000136483 +11 *20305:B1 *6001:189 0.000366603 +12 *1891:11 *20225:A 1.19751e-05 +13 *1891:11 *20225:C 5.04829e-06 +14 *1891:11 *3082:8 0.000781029 +15 *1891:11 *4146:26 0.000775948 +16 *20226:B1 *20226:A2 1.99115e-05 +17 *20305:C1 *20305:B1 5.69719e-05 +18 *24757:D *20226:A2 5.22859e-06 +19 *657:224 *20305:B1 0.00056894 +20 *657:224 *1891:11 0.000223066 +*RES +1 *20225:X *1891:11 40.3648 +2 *1891:11 *20226:A2 21.5222 +3 *1891:11 *20305:B1 31.8349 +*END + +*D_NET *1892 0.00128724 +*CONN +*I *20228:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20227:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20228:A 0.000181947 +2 *20227:X 0.000181947 +3 *20228:A *21348:A 0.000207266 +4 *20228:A *1894:19 9.12416e-06 +5 *20228:A *1894:46 5.94501e-05 +6 *20228:A *2446:33 0.000360145 +7 *20228:A *2446:35 3.8122e-05 +8 *20228:A *2859:15 9.54612e-05 +9 *20228:A *2962:33 0.000132291 +10 *20228:A *4844:360 2.14817e-05 +*RES +1 *20227:X *20228:A 35.321 +*END + +*D_NET *1893 0.0141019 +*CONN +*I *20235:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20236:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20233:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20237:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20231:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20229:A I *D sky130_fd_sc_hd__inv_2 +*I *20230:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20234:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20232:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20228:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20235:A2 0.000197713 +2 *20236:A2 0 +3 *20233:A2 0 +4 *20237:A2 0.000288984 +5 *20231:A2 0 +6 *20229:A 1.98947e-05 +7 *20230:A2 0.000126311 +8 *20234:A2 0.000366612 +9 *20232:A2 0.000494919 +10 *20228:X 3.30647e-05 +11 *1893:81 0.000592253 +12 *1893:76 0.00033851 +13 *1893:74 0.000634336 +14 *1893:62 0.000448277 +15 *1893:43 0.000541024 +16 *1893:41 0.00054157 +17 *1893:10 0.00108675 +18 *1893:5 0.000358139 +19 *20229:A *2426:73 2.16355e-05 +20 *20229:A *3177:57 6.08467e-05 +21 *20230:A2 *4845:382 0.000137921 +22 *20230:A2 *4845:396 3.67708e-05 +23 *20232:A2 *20232:A1 0.000115852 +24 *20232:A2 *20232:B1 9.79766e-05 +25 *20232:A2 *2398:59 9.31601e-05 +26 *20232:A2 *2440:16 0.000131716 +27 *20234:A2 *20234:A1 6.11359e-06 +28 *20234:A2 *20234:B2 0.000281075 +29 *20234:A2 *1894:25 0.000122297 +30 *20234:A2 *4845:382 0.000399552 +31 *20234:A2 *4949:7 8.90636e-05 +32 *20234:A2 *5854:438 4.22215e-05 +33 *20235:A2 *2434:8 0.000331037 +34 *20235:A2 *4947:18 0.000325998 +35 *20237:A2 *20237:A1 6.08467e-05 +36 *20237:A2 *1933:52 0.000311249 +37 *20237:A2 *2437:8 0.000363009 +38 *1893:5 *2859:15 6.50727e-05 +39 *1893:10 *1894:25 0.000286106 +40 *1893:10 *2859:15 0.000107496 +41 *1893:10 *4845:382 0.000273815 +42 *1893:41 *4845:382 0.000115687 +43 *1893:43 *4845:382 0.000699035 +44 *1893:62 *2437:23 0.000130555 +45 *1893:62 *4952:23 0.000164815 +46 *1893:74 *20231:B1 2.16355e-05 +47 *1893:76 *4947:18 6.08467e-05 +48 *1893:81 *1894:47 1.77537e-06 +49 *1893:81 *1894:51 7.1175e-05 +50 *1893:81 *2434:8 1.22495e-05 +51 *1893:81 *2437:8 0.000160322 +52 *1893:81 *4947:10 0.000118485 +53 *1893:81 *4947:18 2.95757e-05 +54 *20227:A *1893:74 6.08467e-05 +55 *21224:A2 *1893:62 5.04829e-06 +56 *21224:A2 *1893:74 8.06285e-05 +57 *23996:S *20232:A2 2.55179e-07 +58 *24750:D *1893:81 3.00073e-05 +59 *497:35 *20232:A2 2.82981e-05 +60 *499:21 *20232:A2 0.000324166 +61 *500:95 *20234:A2 2.25044e-05 +62 *547:38 *20230:A2 6.10852e-05 +63 *547:38 *1893:41 4.28206e-05 +64 *547:38 *1893:43 0.000284114 +65 *547:49 *20230:A2 0 +66 *1520:39 *1893:62 1.67988e-05 +67 *1520:39 *1893:74 0.000332917 +68 *1744:38 *20237:A2 0.000143478 +69 *1744:38 *1893:81 0.000148129 +70 *1749:47 *1893:74 0.00020502 +71 *1884:294 *1893:74 0.000792932 +72 *1884:294 *1893:76 0.000107496 +*RES +1 *20228:X *1893:5 9.97254 +2 *1893:5 *1893:10 11.3473 +3 *1893:10 *20232:A2 33.369 +4 *1893:10 *20234:A2 25.0411 +5 *1893:5 *1893:41 7.1625 +6 *1893:41 *1893:43 13.4591 +7 *1893:43 *20230:A2 17.5597 +8 *1893:43 *20229:A 14.4725 +9 *1893:41 *1893:62 6.88721 +10 *1893:62 *20231:A2 9.24915 +11 *1893:62 *1893:74 14.8055 +12 *1893:74 *1893:76 1.278 +13 *1893:76 *1893:81 14.9546 +14 *1893:81 *20237:A2 24.0606 +15 *1893:81 *20233:A2 13.7491 +16 *1893:76 *20236:A2 9.24915 +17 *1893:74 *20235:A2 25.0642 +*END + +*D_NET *1894 0.0136487 +*CONN +*I *20230:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20235:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20236:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20233:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20237:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20234:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20232:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20231:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20229:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20230:B2 0.000112133 +2 *20235:B2 0.00021764 +3 *20236:B2 9.36721e-06 +4 *20233:B2 0 +5 *20237:B2 0.000267194 +6 *20234:B2 0.0002163 +7 *20232:B2 0.000104444 +8 *20231:B2 0 +9 *20229:Y 0.000107692 +10 *1894:51 0.000554028 +11 *1894:47 0.000427072 +12 *1894:46 0.00123147 +13 *1894:25 0.000805973 +14 *1894:19 0.00142088 +15 *1894:12 0.000620392 +16 *1894:5 0.000787525 +17 *20230:B2 *21224:A1 0.000120584 +18 *20230:B2 *4829:14 6.91859e-05 +19 *20230:B2 *4845:382 3.04624e-05 +20 *20230:B2 *4845:396 1.79196e-05 +21 *20232:B2 *23996:A1 0.000107496 +22 *20234:B2 *4845:382 3.03054e-05 +23 *20234:B2 *4950:39 1.86178e-05 +24 *20234:B2 *4950:57 9.49244e-05 +25 *20235:B2 *20235:A1 2.1203e-06 +26 *20235:B2 *20235:B1 3.85663e-05 +27 *20235:B2 *2398:55 1.00981e-05 +28 *20235:B2 *2398:59 7.34948e-06 +29 *20235:B2 *2420:34 6.46458e-05 +30 *20236:B2 *20236:B1 6.50586e-05 +31 *20237:B2 *20233:B1 0.000112149 +32 *20237:B2 *2585:31 0.000304777 +33 *20237:B2 *5854:409 0.00035766 +34 *1894:5 *2426:73 0.00014642 +35 *1894:12 *2426:73 0.000311221 +36 *1894:12 *2962:33 0.00063306 +37 *1894:12 *4844:360 7.46648e-06 +38 *1894:12 *4844:372 0.000425194 +39 *1894:12 *4915:123 2.23919e-05 +40 *1894:19 *2962:33 1.51223e-05 +41 *1894:19 *4844:360 6.50206e-05 +42 *1894:25 *21348:A 4.25468e-05 +43 *1894:25 *4845:382 2.03271e-05 +44 *1894:25 *4950:39 0.000183825 +45 *1894:46 *2859:15 0.0012257 +46 *1894:46 *4844:360 6.51637e-05 +47 *1894:47 *20235:B1 1.42917e-05 +48 *1894:47 *2420:34 4.11e-05 +49 *1894:47 *4947:18 0 +50 *1894:51 *2420:34 0.000229835 +51 *1894:51 *4947:10 5.04734e-05 +52 *20228:A *1894:19 9.12416e-06 +53 *20228:A *1894:46 5.94501e-05 +54 *20234:A2 *20234:B2 0.000281075 +55 *20234:A2 *1894:25 0.000122297 +56 *21224:A2 *20230:B2 7.25274e-05 +57 *23996:A0 *20232:B2 2.15348e-05 +58 *23996:S *20232:B2 0.000111722 +59 *476:72 *20234:B2 9.85547e-05 +60 *503:17 *20232:B2 7.39264e-05 +61 *526:16 *20235:B2 1.5714e-05 +62 *526:16 *1894:25 3.77568e-05 +63 *543:37 *20237:B2 0.000150713 +64 *1520:39 *1894:25 0.000258128 +65 *1636:20 *1894:25 1.5714e-05 +66 *1744:38 *1894:51 6.31809e-05 +67 *1884:294 *20236:B2 6.50586e-05 +68 *1893:10 *1894:25 0.000286106 +69 *1893:81 *1894:47 1.77537e-06 +70 *1893:81 *1894:51 7.1175e-05 +*RES +1 *20229:Y *1894:5 11.0817 +2 *1894:5 *1894:12 20.9425 +3 *1894:12 *20231:B2 13.7491 +4 *1894:12 *1894:19 1.41674 +5 *1894:19 *1894:25 21.2483 +6 *1894:25 *20232:B2 17.2456 +7 *1894:25 *20234:B2 20.5642 +8 *1894:19 *1894:46 24.4506 +9 *1894:46 *1894:47 2.6625 +10 *1894:47 *1894:51 14.2218 +11 *1894:51 *20237:B2 28.5606 +12 *1894:51 *20233:B2 9.24915 +13 *1894:47 *20236:B2 14.4725 +14 *1894:46 *20235:B2 18.9595 +15 *1894:5 *20230:B2 22.5727 +*END + +*D_NET *1895 0.00132986 +*CONN +*I *20239:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20238:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20239:A 0.000296069 +2 *20238:X 0.000296069 +3 *20239:A *20241:A1 0.000218298 +4 *20239:A *21736:A1 2.65667e-05 +5 *20239:A *2559:14 2.75292e-05 +6 *21736:A2 *20239:A 0.000286382 +7 *1439:205 *20239:A 0.000164815 +8 *1502:90 *20239:A 1.41291e-05 +*RES +1 *20238:X *20239:A 36.7515 +*END + +*D_NET *1896 0.00578737 +*CONN +*I *20242:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20244:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20240:A I *D sky130_fd_sc_hd__inv_2 +*I *20243:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20245:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20241:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20239:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20242:A2 0.000145303 +2 *20244:A2 0 +3 *20240:A 2.79079e-05 +4 *20243:A2 0.000103854 +5 *20245:A2 4.92023e-05 +6 *20241:A2 0.000368319 +7 *20239:X 9.2265e-05 +8 *1896:47 0.000194713 +9 *1896:22 0.00028067 +10 *1896:18 0.000357095 +11 *1896:16 0.000525156 +12 *1896:5 0.000734757 +13 *20240:A *2276:13 4.31539e-05 +14 *20240:A *2755:14 0.000111708 +15 *20241:A2 *20241:A1 2.2277e-05 +16 *20241:A2 *2756:8 2.61012e-05 +17 *20242:A2 *20242:B1 2.08274e-05 +18 *20242:A2 *20242:B2 6.48258e-05 +19 *20242:A2 *21206:B1 1.41976e-05 +20 *20242:A2 *2268:19 0.000233296 +21 *20243:A2 *20243:A1 3.14978e-05 +22 *20243:A2 *20243:B1 6.92705e-05 +23 *20243:A2 *20243:B2 6.29695e-05 +24 *20243:A2 *2270:75 0.000243764 +25 *20245:A2 *20243:B2 6.50586e-05 +26 *20245:A2 *20245:A1 0.000330596 +27 *20245:A2 *20245:B2 1.41853e-05 +28 *20245:A2 *2270:75 0.000185642 +29 *1896:16 *20244:A1 0 +30 *1896:16 *2736:16 2.65331e-05 +31 *1896:16 *2755:14 0 +32 *1896:18 *1897:8 2.4562e-05 +33 *1896:18 *1897:29 0.000215143 +34 *1896:18 *1897:50 0.000134502 +35 *1896:18 *2736:16 0.000112821 +36 *1896:18 *4944:21 0 +37 *1896:22 *21377:A1 7.08723e-06 +38 *1896:22 *1897:8 0.000118485 +39 *1896:22 *2755:14 0 +40 *1896:22 *4954:12 1.09738e-05 +41 *1896:22 *4954:27 7.77309e-06 +42 *1896:47 *20244:B1 6.08467e-05 +43 *1896:47 *21713:B1 6.08467e-05 +44 *1896:47 *2268:19 4.38909e-05 +45 *1502:90 *20241:A2 0.000366603 +46 *1502:90 *1896:5 0.000171288 +47 *1669:66 *1896:16 7.39895e-06 +*RES +1 *20239:X *1896:5 11.0817 +2 *1896:5 *20241:A2 15.9526 +3 *1896:5 *1896:16 10.4845 +4 *1896:16 *1896:18 6.81502 +5 *1896:18 *1896:22 7.57775 +6 *1896:22 *20245:A2 13.8548 +7 *1896:22 *20243:A2 14.4576 +8 *1896:18 *20240:A 15.0271 +9 *1896:16 *1896:47 6.3326 +10 *1896:47 *20244:A2 9.24915 +11 *1896:47 *20242:A2 14.6264 +*END + +*D_NET *1897 0.00616192 +*CONN +*I *20244:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20241:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20242:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20243:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20245:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20240:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20244:B2 0 +2 *20241:B2 0.000359071 +3 *20242:B2 0.000289308 +4 *20243:B2 0.000131401 +5 *20245:B2 0.000375086 +6 *20240:Y 0 +7 *1897:50 0.000441258 +8 *1897:29 0.000462957 +9 *1897:8 0.000574736 +10 *1897:5 0.000159711 +11 *20241:B2 *20241:B1 6.50727e-05 +12 *20241:B2 *20244:B1 0.000552805 +13 *20241:B2 *21713:B1 0.000550902 +14 *20241:B2 *4915:62 0.000163569 +15 *20241:B2 *4954:27 0.000161946 +16 *20242:B2 *20242:A1 7.26959e-06 +17 *20242:B2 *20242:B1 0.000111833 +18 *20242:B2 *2736:16 0.000310718 +19 *20242:B2 *4944:21 2.34491e-05 +20 *20245:B2 *20245:A1 0.000379505 +21 *20245:B2 *2270:75 0.00010182 +22 *1897:8 *21930:A 0 +23 *1897:8 *2755:14 1.82832e-05 +24 *1897:8 *4954:27 7.14746e-05 +25 *1897:29 *2755:14 5.7911e-05 +26 *1897:50 *2755:14 3.1256e-05 +27 *20242:A2 *20242:B2 6.48258e-05 +28 *20243:A2 *20243:B2 6.29695e-05 +29 *20245:A2 *20243:B2 6.50586e-05 +30 *20245:A2 *20245:B2 1.41853e-05 +31 *1439:213 *20241:B2 0 +32 *1744:53 *20241:B2 6.08467e-05 +33 *1896:18 *1897:8 2.4562e-05 +34 *1896:18 *1897:29 0.000215143 +35 *1896:18 *1897:50 0.000134502 +36 *1896:22 *1897:8 0.000118485 +*RES +1 *20240:Y *1897:5 13.7491 +2 *1897:5 *1897:8 7.1625 +3 *1897:8 *20245:B2 16.676 +4 *1897:8 *20243:B2 12.7938 +5 *1897:5 *1897:29 3.90826 +6 *1897:29 *20242:B2 31.1144 +7 *1897:29 *1897:50 7.1625 +8 *1897:50 *20241:B2 32.9608 +9 *1897:50 *20244:B2 9.24915 +*END + +*D_NET *1898 0.000884459 +*CONN +*I *20247:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20246:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20247:A 0.000231343 +2 *20246:X 0.000231343 +3 *20247:A *5474:15 2.05988e-05 +4 *20247:A *5474:40 3.24339e-05 +5 *20247:A *5804:28 0.000299771 +6 *24743:RESET_B *20247:A 4.04935e-05 +7 *1845:32 *20247:A 2.84758e-05 +*RES +1 *20246:X *20247:A 34.3456 +*END + +*D_NET *1899 0.0431277 +*CONN +*I *20251:B I *D sky130_fd_sc_hd__or2_1 +*I *20262:B I *D sky130_fd_sc_hd__or2_1 +*I *20273:B I *D sky130_fd_sc_hd__or2_1 +*I *20250:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20251:B 0.000503531 +2 *20262:B 0 +3 *20273:B 0.000691025 +4 *20250:X 5.87927e-05 +5 *1899:39 0.000919848 +6 *1899:36 0.00174407 +7 *1899:34 0.00222132 +8 *1899:24 0.00203874 +9 *1899:20 0.00281193 +10 *1899:16 0.00290552 +11 *1899:10 0.00212255 +12 *1899:5 0.00125861 +13 *20251:B *3052:13 2.38608e-05 +14 *20273:B *2294:30 0.00100632 +15 *20273:B *5880:17 0.000198779 +16 *20273:B *5993:20 0.00059724 +17 *1899:5 *3052:13 1.71806e-05 +18 *1899:10 *4845:316 0.000172707 +19 *1899:10 *4845:318 0.00101341 +20 *1899:10 *4845:326 0.000728443 +21 *1899:16 *20899:A2 0.000149927 +22 *1899:16 *2333:38 0.000257349 +23 *1899:16 *4909:8 0.000156619 +24 *1899:16 *4909:10 5.30926e-05 +25 *1899:16 *4909:56 9.74251e-05 +26 *1899:16 *4909:65 2.17541e-05 +27 *1899:20 *2170:35 2.15266e-05 +28 *1899:20 *2170:48 4.85419e-05 +29 *1899:20 *2333:38 9.98573e-05 +30 *1899:20 *4844:220 2.1558e-06 +31 *1899:20 *4909:65 6.67735e-05 +32 *1899:20 *4909:76 0.000340243 +33 *1899:20 *4909:91 0.000418463 +34 *1899:20 *4909:101 0.000528577 +35 *1899:20 *4979:11 0.00017482 +36 *1899:24 *20999:A2 0.000188564 +37 *1899:24 *2813:42 0.000932591 +38 *1899:24 *4844:214 0 +39 *1899:24 *4844:215 0.000848588 +40 *1899:24 *4844:220 0.000281454 +41 *1899:24 *5855:223 0.000181079 +42 *1899:24 *5864:623 4.66975e-05 +43 *1899:24 *5904:44 1.68279e-05 +44 *1899:34 *20693:A1 7.92757e-06 +45 *1899:34 *20693:A2 2.16355e-05 +46 *1899:34 *2794:9 0.000107496 +47 *1899:34 *2813:30 0.00159984 +48 *1899:34 *2813:42 6.03391e-06 +49 *1899:34 *5855:223 0.000989188 +50 *1899:36 *20699:A2 5.05252e-05 +51 *1899:36 *24437:SET_B 7.21868e-05 +52 *1899:36 *24727:RESET_B 0.000349948 +53 *1899:36 *24964:A 0.000161249 +54 *1899:36 *25002:A 0.000137143 +55 *1899:36 *2039:13 7.14746e-05 +56 *1899:36 *2813:26 0.000750202 +57 *1899:36 *2813:30 0.00073559 +58 *1899:36 *4816:80 3.67911e-05 +59 *1899:36 *4903:33 0.000105837 +60 *1899:36 *5862:537 0.000607957 +61 *1899:36 *5862:559 0.000367783 +62 *1899:36 *5862:568 0.00010617 +63 *1899:36 *5862:585 0.00060935 +64 *1899:36 *5862:594 0.00013592 +65 *1899:39 *1919:14 0.000107496 +66 *1899:39 *2287:53 0.000351426 +67 *1899:39 *5861:598 5.21408e-05 +68 *20250:B *20251:B 0.000205101 +69 *20250:B *1899:5 0.000154145 +70 *20251:A *20251:B 6.50727e-05 +71 *20262:A *1899:39 0.000107496 +72 *20273:A *20273:B 6.50727e-05 +73 *20319:A1 *20273:B 4.7697e-05 +74 *24272:D *1899:20 7.87271e-05 +75 *24275:D *1899:20 4.07398e-05 +76 *24437:D *1899:36 0.000109588 +77 *24444:D *1899:24 0.000238999 +78 *24692:D *20273:B 0.000205006 +79 *324:11 *20251:B 0.00108108 +80 *476:174 *20273:B 0 +81 *500:22 *1899:34 0.000257452 +82 *500:22 *1899:36 0.000830736 +83 *502:26 *1899:10 0.00191311 +84 *510:55 *20251:B 5.94319e-06 +85 *1450:145 *1899:16 0.000788331 +86 *1450:152 *1899:16 0.000779854 +87 *1450:152 *1899:20 0.000693298 +88 *1652:52 *1899:20 0.000504306 +89 *1652:52 *1899:24 0.000241952 +90 *1652:65 *1899:24 9.25433e-05 +91 *1709:65 *20251:B 0.00111337 +*RES +1 *20250:X *1899:5 11.0817 +2 *1899:5 *1899:10 44.0522 +3 *1899:10 *1899:16 43.0131 +4 *1899:16 *1899:20 47.4365 +5 *1899:20 *1899:24 43.4671 +6 *1899:24 *1899:34 40.799 +7 *1899:34 *1899:36 60.0039 +8 *1899:36 *1899:39 11.324 +9 *1899:39 *20273:B 43.6546 +10 *1899:39 *20262:B 9.24915 +11 *1899:5 *20251:B 41.4628 +*END + +*D_NET *1900 0.000656234 +*CONN +*I *20252:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20251:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20252:A 6.61525e-05 +2 *20251:X 6.61525e-05 +3 *20252:A *20254:B1 0.000115934 +4 *20252:A *24788:RESET_B 0.000111708 +5 *20252:A *2468:117 0.000148144 +6 *510:55 *20252:A 0.000148144 +*RES +1 *20251:X *20252:A 31.4388 +*END + +*D_NET *1901 0.0156904 +*CONN +*I *20256:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20257:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20261:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20258:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20253:A I *D sky130_fd_sc_hd__inv_2 +*I *20259:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20260:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20255:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20254:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20252:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20256:A2 3.5247e-05 +2 *20257:A2 7.19775e-05 +3 *20261:A2 0.000165369 +4 *20258:A2 0 +5 *20253:A 0 +6 *20259:A2 0.000199986 +7 *20260:A2 0.000157211 +8 *20255:A2 1.5337e-05 +9 *20254:A2 0 +10 *20252:X 0.000392556 +11 *1901:67 0.000199986 +12 *1901:56 0.000482975 +13 *1901:47 0.000523879 +14 *1901:42 0.000691774 +15 *1901:40 0.000646147 +16 *1901:30 0.000737129 +17 *1901:22 0.000539938 +18 *1901:21 0.000220886 +19 *1901:18 0.000550755 +20 *1901:7 0.000751201 +21 *20255:A2 *2689:25 2.65831e-05 +22 *20255:A2 *5683:27 6.08467e-05 +23 *20257:A2 *20257:A1 2.65831e-05 +24 *20257:A2 *24034:A1 5.51483e-06 +25 *20257:A2 *5853:444 5.04829e-06 +26 *20259:A2 *20259:A1 2.16355e-05 +27 *20259:A2 *20259:B2 0.000215961 +28 *20259:A2 *20316:B2 0 +29 *20259:A2 *1902:7 1.00981e-05 +30 *20259:A2 *2433:147 0.000207266 +31 *20259:A2 *5857:209 1.19721e-05 +32 *20260:A2 *20260:B2 0.000359084 +33 *20260:A2 *2103:46 8.46703e-05 +34 *20260:A2 *2333:38 5.94319e-06 +35 *20260:A2 *2389:33 1.92172e-05 +36 *20260:A2 *5871:860 0.000169041 +37 *20261:A2 *20261:A1 0.000319954 +38 *20261:A2 *24698:CLK 4.0752e-05 +39 *20261:A2 *2300:29 0.000189558 +40 *20261:A2 *5530:9 0.000253916 +41 *1901:7 *20254:A1 3.81145e-05 +42 *1901:7 *20254:B1 2.89001e-05 +43 *1901:7 *20254:B2 2.57986e-05 +44 *1901:7 *24742:RESET_B 0.000360159 +45 *1901:7 *24788:RESET_B 6.08467e-05 +46 *1901:18 *2300:44 0.000125693 +47 *1901:18 *4843:469 0.000188553 +48 *1901:18 *4938:8 0.000669412 +49 *1901:21 *20256:A1 1.84293e-05 +50 *1901:21 *20256:B2 1.94584e-05 +51 *1901:30 *20256:B2 0.000112698 +52 *1901:30 *1902:59 1.54703e-05 +53 *1901:30 *2333:38 0.000717839 +54 *1901:30 *2949:60 0 +55 *1901:30 *4866:70 0 +56 *1901:40 *24739:CLK 0.000174175 +57 *1901:40 *2384:88 6.42864e-05 +58 *1901:40 *2384:96 0.000218355 +59 *1901:40 *2689:25 0.000189985 +60 *1901:40 *4832:84 5.85155e-05 +61 *1901:40 *5683:27 0.000497912 +62 *1901:42 *2384:96 0.000773845 +63 *1901:42 *4832:84 0.000147925 +64 *1901:42 *5853:444 0.000220017 +65 *1901:47 *20258:A1 5.31074e-05 +66 *1901:47 *2384:96 0.000371912 +67 *1901:47 *4832:84 5.60697e-05 +68 *1901:47 *5530:9 3.77659e-05 +69 *1901:47 *5857:199 1.42855e-05 +70 *1901:47 *5861:492 6.50586e-05 +71 *1901:56 *20258:A1 6.50727e-05 +72 *1901:56 *20258:B1 1.09551e-05 +73 *1901:56 *20258:B2 0.000215785 +74 *1901:56 *20260:B2 4.84944e-05 +75 *1901:56 *1902:21 0.000469363 +76 *1901:56 *2103:46 5.0459e-05 +77 *1901:56 *4866:70 0.000107446 +78 *1901:56 *5855:334 1.65872e-05 +79 *1901:56 *5855:338 0.000281267 +80 *1901:56 *5861:492 0.00017254 +81 *24701:D *1901:30 0.000271839 +82 *24736:D *20260:A2 1.92336e-05 +83 *24737:D *20259:A2 3.82228e-05 +84 *24739:D *20257:A2 7.34948e-06 +85 *24740:D *1901:18 4.59164e-06 +86 *24741:D *1901:30 0.000122083 +87 *24742:D *1901:7 2.77625e-06 +88 *24742:D *1901:18 4.58003e-05 +*RES +1 *20252:X *1901:7 18.7256 +2 *1901:7 *20254:A2 9.24915 +3 *1901:7 *1901:18 22.9066 +4 *1901:18 *1901:21 12.191 +5 *1901:21 *1901:22 81.1229 +6 *1901:22 *1901:30 34.2348 +7 *1901:30 *20255:A2 9.97254 +8 *1901:30 *1901:40 16.6146 +9 *1901:40 *1901:42 13.8743 +10 *1901:42 *1901:47 12.0384 +11 *1901:47 *1901:56 19.1597 +12 *1901:56 *20260:A2 20.0446 +13 *1901:56 *1901:67 4.5 +14 *1901:67 *20259:A2 15.5668 +15 *1901:67 *20253:A 9.24915 +16 *1901:47 *20258:A2 9.24915 +17 *1901:42 *20261:A2 21.1278 +18 *1901:40 *20257:A2 15.6059 +19 *1901:18 *20256:A2 10.2378 +*END + +*D_NET *1902 0.013949 +*CONN +*I *20259:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20258:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20254:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20256:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20255:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20257:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20261:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20260:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20253:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20259:B2 0.000118941 +2 *20258:B2 3.73249e-05 +3 *20254:B2 0.000302264 +4 *20256:B2 8.85776e-05 +5 *20255:B2 1.98947e-05 +6 *20257:B2 0.000342193 +7 *20261:B2 5.98247e-05 +8 *20260:B2 0.000191882 +9 *20253:Y 0 +10 *1902:59 0.000805525 +11 *1902:45 0.000591817 +12 *1902:36 0.000825283 +13 *1902:25 0.000608686 +14 *1902:21 0.000426354 +15 *1902:7 0.000447561 +16 *1902:4 0.0002086 +17 *20254:B2 *20254:B1 6.3657e-05 +18 *20254:B2 *20312:A1 1.83423e-05 +19 *20254:B2 *2441:66 0.000115876 +20 *20254:B2 *2949:60 0.000303574 +21 *20254:B2 *5526:21 0.000684873 +22 *20255:B2 *2689:25 2.16355e-05 +23 *20255:B2 *5683:27 6.08467e-05 +24 *20256:B2 *20312:A1 5.92192e-05 +25 *20256:B2 *2949:60 2.36813e-05 +26 *20258:B2 *5855:338 1.15389e-05 +27 *20258:B2 *5861:492 0.000268892 +28 *20259:B2 *5857:209 0 +29 *20260:B2 *2333:38 0.000242134 +30 *20260:B2 *2389:33 0.000353672 +31 *20261:B2 *20261:A1 1.41976e-05 +32 *20261:B2 *2300:29 6.73022e-05 +33 *1902:7 *2433:147 3.99086e-06 +34 *1902:21 *2333:38 0.000484685 +35 *1902:21 *4866:70 0 +36 *1902:25 *5855:338 8.39059e-05 +37 *1902:25 *5861:484 5.77352e-05 +38 *1902:25 *5861:492 0.000526888 +39 *1902:25 *5956:32 1.14979e-05 +40 *1902:36 *2333:38 0.000155835 +41 *1902:36 *5861:482 0.000558303 +42 *1902:36 *5861:484 0.000163465 +43 *1902:45 *20255:B1 3.31733e-05 +44 *1902:45 *2333:38 9.55791e-05 +45 *1902:45 *5861:482 0.000374826 +46 *1902:59 *20255:B1 7.14746e-05 +47 *1902:59 *4844:275 0.000189496 +48 *1902:59 *4844:277 0.000531394 +49 *1902:59 *5526:7 0.000118166 +50 *1902:59 *5861:482 0.00078732 +51 *20259:A2 *20259:B2 0.000215961 +52 *20259:A2 *1902:7 1.00981e-05 +53 *20260:A2 *20260:B2 0.000359084 +54 *24701:D *1902:59 0.000201369 +55 *24735:D *20261:B2 6.22539e-05 +56 *24736:D *20260:B2 3.17103e-05 +57 *482:151 *1902:25 0.000381392 +58 *482:151 *1902:36 0.000148144 +59 *1901:7 *20254:B2 2.57986e-05 +60 *1901:21 *20256:B2 1.94584e-05 +61 *1901:30 *20256:B2 0.000112698 +62 *1901:30 *1902:59 1.54703e-05 +63 *1901:56 *20258:B2 0.000215785 +64 *1901:56 *20260:B2 4.84944e-05 +65 *1901:56 *1902:21 0.000469363 +*RES +1 *20253:Y *1902:4 9.24915 +2 *1902:4 *1902:7 5.778 +3 *1902:7 *20260:B2 22.7024 +4 *1902:7 *1902:21 13.3913 +5 *1902:21 *1902:25 14.1175 +6 *1902:25 *20261:B2 16.1605 +7 *1902:25 *1902:36 13.0438 +8 *1902:36 *20257:B2 20.5732 +9 *1902:36 *1902:45 6.81502 +10 *1902:45 *20255:B2 14.4725 +11 *1902:45 *1902:59 27.3406 +12 *1902:59 *20256:B2 16.5832 +13 *1902:59 *20254:B2 27.1011 +14 *1902:21 *20258:B2 12.191 +15 *1902:4 *20259:B2 12.625 +*END + +*D_NET *1903 0.000575551 +*CONN +*I *20263:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20262:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20263:A 8.58366e-05 +2 *20262:X 8.58366e-05 +3 *20263:A *1919:7 6.08467e-05 +4 *20263:A *2262:40 0.000166346 +5 *20263:A *3011:24 0.000166346 +6 *1709:13 *20263:A 1.03403e-05 +*RES +1 *20262:X *20263:A 31.2994 +*END + +*D_NET *1904 0.0138814 +*CONN +*I *20269:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20264:A I *D sky130_fd_sc_hd__inv_2 +*I *20265:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20268:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20266:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20270:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20267:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20271:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20272:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20263:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20269:A2 4.64342e-05 +2 *20264:A 0 +3 *20265:A2 0.00013425 +4 *20268:A2 1.59894e-05 +5 *20266:A2 0.000160109 +6 *20270:A2 0 +7 *20267:A2 9.40685e-05 +8 *20271:A2 0 +9 *20272:A2 2.63484e-05 +10 *20263:X 0.000182818 +11 *1904:72 0.000639322 +12 *1904:58 0.000695133 +13 *1904:49 0.00126852 +14 *1904:42 0.00114319 +15 *1904:33 0.000791285 +16 *1904:26 0.000699886 +17 *1904:21 0.000390441 +18 *1904:7 0.000341649 +19 *20265:A2 *20265:B2 0.00042169 +20 *20266:A2 *20266:A1 0.000211478 +21 *20266:A2 *20266:B2 6.50586e-05 +22 *20266:A2 *24014:A1 0.000164829 +23 *20266:A2 *5557:10 0.000227892 +24 *20267:A2 *2360:21 0.000213725 +25 *20267:A2 *2584:17 0.000172938 +26 *20268:A2 *20268:A1 6.50586e-05 +27 *20268:A2 *4817:7 1.43848e-05 +28 *20269:A2 *20269:A1 6.50586e-05 +29 *20269:A2 *20269:B1 2.16355e-05 +30 *20272:A2 *20269:B2 2.09806e-05 +31 *20272:A2 *20272:B2 0 +32 *1904:7 *20269:B2 1.15389e-05 +33 *1904:7 *20272:B1 3.75221e-05 +34 *1904:7 *20272:B2 4.63992e-05 +35 *1904:7 *1919:7 5.97411e-05 +36 *1904:21 *20269:B1 7.68538e-06 +37 *1904:21 *20269:B2 0.000202777 +38 *1904:26 *2384:16 1.5254e-05 +39 *1904:26 *2584:26 9.78551e-06 +40 *1904:26 *2584:30 0.000540035 +41 *1904:26 *4817:48 0.00049301 +42 *1904:33 *24689:CLK 0.000201862 +43 *1904:33 *2384:16 0.000654397 +44 *1904:33 *2570:40 0.000113456 +45 *1904:33 *2584:26 0.000497592 +46 *1904:33 *2584:30 9.90599e-06 +47 *1904:42 *2570:40 0.000104609 +48 *1904:42 *2584:26 2.36813e-05 +49 *1904:42 *4814:26 8.67441e-05 +50 *1904:49 *20321:A2 2.652e-05 +51 *1904:49 *2570:36 0.0005627 +52 *1904:49 *2570:40 8.55135e-05 +53 *1904:49 *4814:26 5.59443e-05 +54 *1904:49 *4820:87 0 +55 *1904:49 *4820:91 0 +56 *1904:49 *5714:38 0.000179695 +57 *1904:49 *5881:16 0.000104494 +58 *1904:58 *2570:36 0.00016726 +59 *1904:58 *5714:38 0.000166987 +60 *1904:72 *20265:B2 1.00846e-05 +61 *1904:72 *2570:36 4.03125e-05 +62 *1904:72 *4825:61 8.54974e-05 +63 *1904:72 *5714:38 4.20662e-05 +64 *24691:D *1904:49 4.12533e-05 +65 *24729:D *1904:49 6.80864e-05 +66 *24732:D *20267:A2 5.56461e-05 +67 *1709:13 *1904:7 0.000161794 +68 *1709:27 *20269:A2 5.99691e-05 +69 *1709:27 *1904:7 2.63669e-05 +70 *1709:27 *1904:21 0.000531058 +*RES +1 *20263:X *1904:7 15.1328 +2 *1904:7 *20272:A2 10.3101 +3 *1904:7 *1904:21 6.70347 +4 *1904:21 *1904:26 14.9546 +5 *1904:26 *20271:A2 13.7491 +6 *1904:26 *1904:33 20.1031 +7 *1904:33 *20267:A2 18.3548 +8 *1904:33 *1904:42 6.81502 +9 *1904:42 *20270:A2 13.7491 +10 *1904:42 *1904:49 30.4844 +11 *1904:49 *20266:A2 20.5732 +12 *1904:49 *1904:58 6.81502 +13 *1904:58 *20268:A2 14.4725 +14 *1904:58 *1904:72 13.4507 +15 *1904:72 *20265:A2 13.8548 +16 *1904:72 *20264:A 9.24915 +17 *1904:21 *20269:A2 11.0817 +*END + +*D_NET *1905 0.0152744 +*CONN +*I *20268:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20266:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20270:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20267:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20271:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20269:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20272:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20265:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20264:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20268:B2 5.21448e-05 +2 *20266:B2 8.4131e-05 +3 *20270:B2 8.22133e-05 +4 *20267:B2 0 +5 *20271:B2 0.000113119 +6 *20269:B2 0.000201707 +7 *20272:B2 9.53594e-05 +8 *20265:B2 0.000141164 +9 *20264:Y 0 +10 *1905:39 0.000466917 +11 *1905:34 0.000691186 +12 *1905:28 0.000568209 +13 *1905:26 0.000964141 +14 *1905:20 0.00101868 +15 *1905:16 0.000392761 +16 *1905:4 0.000269166 +17 *20265:B2 *20265:B1 2.65831e-05 +18 *20265:B2 *4825:49 4.80635e-06 +19 *20265:B2 *4825:61 0.000670127 +20 *20266:B2 *20266:A1 1.92336e-05 +21 *20266:B2 *4844:799 4.31539e-05 +22 *20266:B2 *5557:10 0.000258128 +23 *20268:B2 *4817:7 4.41404e-05 +24 *20268:B2 *4842:240 0.000211492 +25 *20269:B2 *20269:B1 0.000305371 +26 *20270:B2 *4816:29 0.000413252 +27 *20270:B2 *5857:540 0.000168023 +28 *20271:B2 *24730:CLK 0.000377259 +29 *20272:B2 *20272:B1 3.75221e-05 +30 *1905:16 *2297:26 0 +31 *1905:16 *4815:26 0.000191541 +32 *1905:20 *2297:26 0.000179967 +33 *1905:20 *4815:26 0.000153742 +34 *1905:20 *4817:7 2.85274e-05 +35 *1905:20 *4842:240 0.000113968 +36 *1905:26 *2297:26 0.00133248 +37 *1905:26 *4815:26 5.70129e-05 +38 *1905:26 *4815:28 0.0001377 +39 *1905:28 *2297:26 0.000368367 +40 *1905:28 *4815:28 0.000158836 +41 *1905:34 *23965:A1 0.000316339 +42 *1905:34 *24728:CLK 0.000170592 +43 *1905:34 *2297:26 2.27135e-05 +44 *1905:34 *2297:39 0.000236587 +45 *1905:34 *2813:26 0 +46 *1905:34 *4815:28 0.000778248 +47 *1905:34 *4903:222 2.24484e-05 +48 *1905:34 *5496:9 4.3116e-06 +49 *1905:39 *4815:28 0.000408665 +50 *1905:39 *4815:30 9.96342e-05 +51 *1905:39 *4903:222 0.000499159 +52 *20265:A2 *20265:B2 0.00042169 +53 *20266:A2 *20266:B2 6.50586e-05 +54 *20272:A2 *20269:B2 2.09806e-05 +55 *20272:A2 *20272:B2 0 +56 *20322:B1 *1905:26 0.00065153 +57 *20323:B1 *1905:34 0.000480935 +58 *24732:D *1905:34 8.72065e-05 +59 *24733:D *1905:26 0.000275339 +60 *1904:7 *20269:B2 1.15389e-05 +61 *1904:7 *20272:B2 4.63992e-05 +62 *1904:21 *20269:B2 0.000202777 +63 *1904:72 *20265:B2 1.00846e-05 +*RES +1 *20264:Y *1905:4 9.24915 +2 *1905:4 *20265:B2 17.2065 +3 *1905:4 *1905:16 12.493 +4 *1905:16 *1905:20 13.0083 +5 *1905:20 *1905:26 30.9729 +6 *1905:26 *1905:28 6.81502 +7 *1905:28 *1905:34 21.0069 +8 *1905:34 *1905:39 13.97 +9 *1905:39 *20272:B2 11.6605 +10 *1905:39 *20269:B2 16.0973 +11 *1905:34 *20271:B2 17.8002 +12 *1905:28 *20267:B2 13.7491 +13 *1905:26 *20270:B2 18.3548 +14 *1905:20 *20266:B2 17.2697 +15 *1905:16 *20268:B2 11.6364 +*END + +*D_NET *1906 0.00642121 +*CONN +*I *20274:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20273:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20274:A 7.39971e-05 +2 *20273:X 0 +3 *1906:6 0.00215137 +4 *1906:5 0.00207738 +5 *20274:A *4825:49 6.73351e-05 +6 *1906:6 *24692:CLK 0.000186793 +7 *1906:6 *2263:22 0 +8 *1906:6 *2263:30 0.000266129 +9 *1906:6 *2791:8 3.77804e-05 +10 *1906:6 *5865:478 0.000330384 +11 *1906:6 *5865:489 8.62625e-06 +12 *1906:6 *5884:32 0.00032387 +13 *24198:D *1906:6 3.25405e-05 +14 *24694:D *1906:6 9.32927e-05 +15 *478:44 *1906:6 0.000121659 +16 *478:46 *1906:6 0.000650057 +*RES +1 *20273:X *1906:5 13.7491 +2 *1906:5 *1906:6 61.6283 +3 *1906:6 *20274:A 15.5817 +*END + +*D_NET *1907 0.0147675 +*CONN +*I *20276:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20278:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20280:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20277:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20281:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20279:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20282:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20283:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20275:A I *D sky130_fd_sc_hd__inv_2 +*I *20274:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20276:A2 3.32358e-05 +2 *20278:A2 9.73996e-05 +3 *20280:A2 2.85849e-05 +4 *20277:A2 6.57818e-05 +5 *20281:A2 0 +6 *20279:A2 0.000308464 +7 *20282:A2 1.47608e-05 +8 *20283:A2 2.06324e-05 +9 *20275:A 0 +10 *20274:X 0.000409666 +11 *1907:75 0.000662911 +12 *1907:66 0.000760297 +13 *1907:56 0.000440061 +14 *1907:47 0.000765521 +15 *1907:38 0.00055084 +16 *1907:27 0.000577105 +17 *1907:15 0.000459199 +18 *1907:8 0.000707123 +19 *20276:A2 *20276:B2 0.000104312 +20 *20277:A2 *20277:B1 6.50586e-05 +21 *20277:A2 *4844:795 0.000417492 +22 *20278:A2 *4900:59 0 +23 *20278:A2 *4900:70 0 +24 *20279:A2 *20279:B2 0.000203756 +25 *20280:A2 *20280:A1 2.16355e-05 +26 *20280:A2 *2467:21 1.03403e-05 +27 *20282:A2 *20282:A1 6.08467e-05 +28 *20282:A2 *24049:A1 6.08467e-05 +29 *1907:8 *2262:30 4.73244e-05 +30 *1907:8 *2294:28 0.000796882 +31 *1907:8 *4825:49 0.000122378 +32 *1907:8 *4842:237 0.000267717 +33 *1907:15 *20343:A2 6.08467e-05 +34 *1907:15 *1924:52 2.1203e-06 +35 *1907:15 *2262:30 0.000359712 +36 *1907:15 *4842:237 0 +37 *1907:27 *20283:A1 2.16355e-05 +38 *1907:27 *20283:B2 0.000111722 +39 *1907:27 *20343:A2 6.08467e-05 +40 *1907:27 *24050:A1 1.19721e-05 +41 *1907:27 *24726:RESET_B 0.000353686 +42 *1907:27 *24726:CLK 7.92757e-06 +43 *1907:27 *1908:8 0.000183808 +44 *1907:27 *2262:30 0.000187405 +45 *1907:38 *20283:A1 2.15348e-05 +46 *1907:38 *20343:A2 7.6125e-05 +47 *1907:38 *24726:RESET_B 6.08467e-05 +48 *1907:38 *2297:26 0.000160419 +49 *1907:38 *4844:796 0.000706555 +50 *1907:47 *24049:A1 0.000134637 +51 *1907:47 *2297:26 4.3116e-06 +52 *1907:47 *4844:796 0.000358538 +53 *1907:56 *4844:796 0.00076592 +54 *1907:66 *4844:795 0.000102003 +55 *1907:66 *4844:796 0.000351476 +56 *1907:75 *4900:59 0 +57 *24726:D *1907:15 0.000140365 +58 *24734:D *1907:8 0.000118166 +59 *144:8 *20278:A2 0.000160467 +60 *144:8 *1907:75 0.000964523 +61 *477:53 *1907:15 1.03403e-05 +62 *477:53 *1907:27 7.92757e-06 +63 *477:73 *1907:56 0.000114035 +64 *477:90 *1907:56 0.000109512 +65 *477:90 *1907:66 0.000317536 +66 *477:106 *1907:66 9.22013e-06 +67 *477:106 *1907:75 0 +68 *1555:83 *20277:A2 0.000464127 +69 *1555:83 *1907:66 0.000167076 +*RES +1 *20274:X *1907:8 31.6006 +2 *1907:8 *1907:15 12.5636 +3 *1907:15 *20275:A 9.24915 +4 *1907:15 *1907:27 17.2674 +5 *1907:27 *20283:A2 9.82786 +6 *1907:27 *1907:38 18.2672 +7 *1907:38 *20282:A2 14.4725 +8 *1907:38 *1907:47 6.81502 +9 *1907:47 *20279:A2 20.5732 +10 *1907:47 *1907:56 13.4591 +11 *1907:56 *20281:A2 13.7491 +12 *1907:56 *1907:66 13.1476 +13 *1907:66 *20277:A2 14.4094 +14 *1907:66 *1907:75 21.6963 +15 *1907:75 *20280:A2 14.4725 +16 *1907:75 *20278:A2 16.8269 +17 *1907:8 *20276:A2 14.8825 +*END + +*D_NET *1908 0.0108101 +*CONN +*I *20278:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20280:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20277:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20281:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20279:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20282:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20283:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20276:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20275:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20278:B2 9.78633e-05 +2 *20280:B2 0 +3 *20277:B2 0.000299522 +4 *20281:B2 0.000100055 +5 *20279:B2 0.000182489 +6 *20282:B2 2.99679e-05 +7 *20283:B2 4.16077e-05 +8 *20276:B2 0.000248478 +9 *20275:Y 4.18965e-05 +10 *1908:76 0.000593378 +11 *1908:65 0.00099145 +12 *1908:56 0.000792839 +13 *1908:45 0.000909865 +14 *1908:32 0.000475229 +15 *1908:8 0.00040671 +16 *1908:7 0.000372776 +17 *20276:B2 *20276:A1 3.81056e-05 +18 *20276:B2 *20276:B1 6.08467e-05 +19 *20276:B2 *24726:CLK 2.41817e-05 +20 *20276:B2 *2262:30 0.00018684 +21 *20276:B2 *4845:731 6.50586e-05 +22 *20276:B2 *4845:755 0.00041102 +23 *20276:B2 *4900:149 2.58163e-05 +24 *20276:B2 *4900:171 5.75263e-05 +25 *20277:B2 *20277:B1 0.000175485 +26 *20277:B2 *4844:795 0.00015511 +27 *20278:B2 *24042:A1 9.40059e-05 +28 *20278:B2 *4841:277 3.00943e-05 +29 *20281:B2 *2687:11 4.65531e-05 +30 *20282:B2 *24685:CLK 6.08467e-05 +31 *20282:B2 *2297:25 2.15348e-05 +32 *20282:B2 *5898:236 4.31703e-05 +33 *20283:B2 *24726:CLK 5.04829e-06 +34 *1908:7 *20343:A2 6.50586e-05 +35 *1908:8 *2262:30 2.19291e-05 +36 *1908:8 *4900:147 6.18026e-05 +37 *1908:8 *4900:149 0.000182335 +38 *1908:32 *2262:30 0.000202667 +39 *1908:32 *4900:147 0.000484316 +40 *1908:45 *2262:30 0.000208747 +41 *1908:45 *4900:145 0.000104747 +42 *1908:45 *4900:147 2.95757e-05 +43 *1908:56 *1925:31 0 +44 *1908:56 *2262:30 9.58043e-06 +45 *1908:56 *4841:277 0.000184615 +46 *1908:56 *4900:124 1.84969e-05 +47 *1908:56 *5903:6 0 +48 *1908:65 *4841:277 0.000151412 +49 *1908:65 *5903:6 0 +50 *1908:76 *24032:A1 5.73014e-05 +51 *1908:76 *4841:277 0.000434496 +52 *1908:76 *4844:786 5.8356e-05 +53 *1908:76 *5903:6 0 +54 *20276:A2 *20276:B2 0.000104312 +55 *20279:A2 *20279:B2 0.000203756 +56 *24720:D *1908:45 0.000122083 +57 *24723:D *20279:B2 0.000113038 +58 *24725:D *1908:76 5.82465e-05 +59 *144:8 *20278:B2 1.32509e-05 +60 *144:8 *1908:45 2.54248e-05 +61 *144:8 *1908:56 0 +62 *477:117 *20278:B2 7.73094e-05 +63 *477:117 *1908:76 0.000414278 +64 *1555:83 *20277:B2 2.20702e-05 +65 *1907:27 *20283:B2 0.000111722 +66 *1907:27 *1908:8 0.000183808 +*RES +1 *20275:Y *1908:7 14.4725 +2 *1908:7 *1908:8 4.73876 +3 *1908:8 *20276:B2 23.8849 +4 *1908:8 *20283:B2 15.0271 +5 *1908:7 *1908:32 8.89128 +6 *1908:32 *20282:B2 15.0271 +7 *1908:32 *1908:45 8.13406 +8 *1908:45 *20279:B2 18.9335 +9 *1908:45 *1908:56 13.0438 +10 *1908:56 *20281:B2 16.1364 +11 *1908:56 *1908:65 5.98452 +12 *1908:65 *20277:B2 19.3434 +13 *1908:65 *1908:76 18.0268 +14 *1908:76 *20280:B2 13.7491 +15 *1908:76 *20278:B2 17.7307 +*END + +*D_NET *1909 0.00540847 +*CONN +*I *20285:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20284:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20285:A 0.000795873 +2 *20284:X 0.00089326 +3 *1909:12 0.00168913 +4 *20285:A *24708:CLK 4.88112e-06 +5 *20285:A *2262:27 8.47925e-06 +6 *20285:A *4832:32 0.000141249 +7 *20285:A *4832:34 0 +8 *20285:A *4841:262 0 +9 *20285:A *4899:82 0 +10 *20285:A *5507:12 0 +11 *1909:12 *20736:B1 4.3116e-06 +12 *1909:12 *21256:A 0.000101133 +13 *1909:12 *2357:17 5.11321e-05 +14 *1909:12 *4832:34 0 +15 *1909:12 *4839:357 4.3116e-06 +16 *1909:12 *4845:183 0.000143032 +17 *1909:12 *5005:8 0.00015537 +18 *1909:12 *5867:577 0.000223753 +19 *1909:12 *5867:579 0.00011946 +20 *1909:12 *5867:581 0.000638826 +21 *1909:12 *5867:593 9.22013e-06 +22 *1909:12 *5867:622 0.000109075 +23 *24376:D *20285:A 0.000237809 +24 *24376:D *1909:12 1.3091e-05 +25 *478:23 *20285:A 6.50727e-05 +26 *490:28 *1909:12 0 +*RES +1 *20284:X *1909:12 40.8871 +2 *1909:12 *20285:A 34.1604 +*END + +*D_NET *1910 0.0139802 +*CONN +*I *20289:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20288:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20286:A I *D sky130_fd_sc_hd__inv_2 +*I *20294:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20291:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20292:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20293:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20290:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20287:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20285:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20289:A2 0.000527903 +2 *20288:A2 0 +3 *20286:A 1.65778e-05 +4 *20294:A2 0 +5 *20291:A2 0.000423706 +6 *20292:A2 0.000204614 +7 *20293:A2 0.000322554 +8 *20290:A2 0 +9 *20287:A2 3.922e-06 +10 *20285:X 0.000212697 +11 *1910:97 0.000845317 +12 *1910:59 0.00110534 +13 *1910:53 0.000678134 +14 *1910:35 0.0010031 +15 *1910:25 0.00067702 +16 *1910:24 0.000214163 +17 *1910:22 5.07295e-05 +18 *1910:21 0.000344579 +19 *1910:9 0.000827883 +20 *20286:A *1911:5 5.16808e-05 +21 *20286:A *4899:24 1.61631e-05 +22 *20287:A2 *4899:17 3.85049e-05 +23 *20289:A2 *20288:A1 2.41483e-05 +24 *20289:A2 *24124:A 0 +25 *20289:A2 *2316:8 0 +26 *20289:A2 *4832:32 0 +27 *20289:A2 *4835:17 1.93378e-05 +28 *20289:A2 *4840:362 5.04829e-06 +29 *20289:A2 *4843:916 0.000167076 +30 *20289:A2 *5507:12 3.55296e-05 +31 *20289:A2 *5897:12 0 +32 *20289:A2 *5932:17 6.50727e-05 +33 *20291:A2 *24121:A 1.96574e-05 +34 *20291:A2 *24121:TE_B 6.08467e-05 +35 *20291:A2 *4840:359 1.00763e-05 +36 *20291:A2 *5893:8 0.000116806 +37 *20292:A2 *24121:A 5.04829e-06 +38 *20292:A2 *1911:51 0.000324166 +39 *20292:A2 *4840:359 6.41884e-05 +40 *20293:A2 *20288:B1 0 +41 *20293:A2 *20294:B1 3.31358e-05 +42 *20293:A2 *24960:A 0.00011818 +43 *20293:A2 *2487:13 0.000207064 +44 *20293:A2 *4844:762 0 +45 *20293:A2 *4872:214 5.9708e-05 +46 *20293:A2 *5866:696 7.09666e-06 +47 *20293:A2 *5906:36 0.000270193 +48 *20293:A2 *5906:38 1.00981e-05 +49 *1910:9 *4827:124 3.52626e-05 +50 *1910:9 *4827:135 0.000427301 +51 *1910:9 *4832:32 0.000550183 +52 *1910:9 *4899:17 0.000107496 +53 *1910:21 *20287:B2 0.000103022 +54 *1910:21 *1911:5 0.000115483 +55 *1910:21 *4899:17 4.68913e-05 +56 *1910:25 *20290:B2 0.000517234 +57 *1910:25 *1911:5 6.2381e-05 +58 *1910:35 *20290:B2 4.62974e-05 +59 *1910:35 *5509:13 7.88559e-05 +60 *1910:53 *20288:B1 0 +61 *1910:53 *5866:696 8.4128e-05 +62 *1910:53 *5866:717 0.000222175 +63 *1910:59 *20288:B1 0 +64 *1910:59 *24510:RESET_B 7.84479e-05 +65 *1910:59 *2687:10 0 +66 *1910:59 *4829:187 4.19592e-05 +67 *1910:59 *5505:15 0.000372958 +68 *1910:59 *5511:8 3.42931e-05 +69 *1910:59 *5866:717 0.000699019 +70 *1910:59 *5866:744 7.65861e-05 +71 *1910:59 *5893:8 0 +72 *1910:97 *20287:A1 5.22654e-06 +73 *1910:97 *20288:A1 0.000171273 +74 *1910:97 *4832:32 0.000546586 +75 *1910:97 *5507:12 0 +76 *1910:97 *5932:17 6.75138e-05 +77 mgmt_gpio_out[27] *20291:A2 7.87126e-05 +78 *24713:D *20292:A2 5.07314e-05 +79 *24714:D *20291:A2 2.35336e-05 +80 *24716:D *20289:A2 5.07314e-05 +81 *24717:D *1910:97 2.36494e-05 +82 *24718:D *1910:9 1.00846e-05 +83 *24718:D *1910:21 6.50727e-05 +*RES +1 *20285:X *1910:9 29.2489 +2 *1910:9 *20287:A2 9.69524 +3 *1910:9 *1910:21 17.0618 +4 *1910:21 *1910:22 57.9449 +5 *1910:22 *1910:24 9.24915 +6 *1910:24 *1910:25 6.26943 +7 *1910:25 *20290:A2 9.24915 +8 *1910:25 *1910:35 12.3127 +9 *1910:35 *20293:A2 26.5658 +10 *1910:35 *1910:53 5.98452 +11 *1910:53 *1910:59 21.1834 +12 *1910:59 *20292:A2 16.2661 +13 *1910:59 *20291:A2 27.6176 +14 *1910:53 *20294:A2 13.7491 +15 *1910:24 *20286:A 9.97254 +16 *1910:9 *1910:97 20.5544 +17 *1910:97 *20288:A2 9.24915 +18 *1910:97 *20289:A2 32.4247 +*END + +*D_NET *1911 0.0156444 +*CONN +*I *20287:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20291:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20294:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20293:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20292:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20289:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20288:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20290:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20286:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20287:B2 6.99903e-05 +2 *20291:B2 2.06324e-05 +3 *20294:B2 0.000171306 +4 *20293:B2 0.000263748 +5 *20292:B2 0 +6 *20289:B2 2.02875e-05 +7 *20288:B2 6.28084e-05 +8 *20290:B2 0.000237131 +9 *20286:Y 0 +10 *1911:62 0.000888019 +11 *1911:51 0.00083133 +12 *1911:39 0.000898711 +13 *1911:25 0.000772003 +14 *1911:16 0.00055932 +15 *1911:5 0.000511996 +16 *1911:4 0.000100346 +17 *20287:B2 *4899:17 5.08751e-05 +18 *20287:B2 *4899:24 0.000213725 +19 *20288:B2 *20288:B1 0.000313495 +20 *20288:B2 *5932:17 0.000127288 +21 *20289:B2 *4843:906 6.50727e-05 +22 *20291:B2 *5918:78 0 +23 *20293:B2 *21427:A 0.000113968 +24 *20293:B2 *23898:A 2.95757e-05 +25 *20293:B2 *2487:13 5.0715e-05 +26 *20293:B2 *4843:898 0.000485606 +27 *20293:B2 *5891:14 0.000210134 +28 *20294:B2 *24118:A 0.000426702 +29 *20294:B2 *24119:TE_B 6.08467e-05 +30 *20294:B2 *4827:135 0.000312816 +31 *20294:B2 *4827:137 0.000427293 +32 *1911:5 *4899:24 1.41689e-05 +33 *1911:16 *4831:77 0.000560984 +34 *1911:16 *4899:24 6.31665e-05 +35 *1911:16 *4899:39 7.55409e-05 +36 *1911:16 *4899:50 6.5713e-05 +37 *1911:16 *5897:12 1.89195e-05 +38 *1911:25 *4831:77 0.000574777 +39 *1911:25 *4899:50 0.00024188 +40 *1911:39 *20291:A1 1.96709e-05 +41 *1911:39 *20291:B1 2.65667e-05 +42 *1911:39 *4831:77 0.000325416 +43 *1911:39 *4899:50 4.38408e-05 +44 *1911:39 *5897:12 3.51249e-05 +45 *1911:39 *5918:78 0.000787325 +46 *1911:51 *20291:A1 2.16355e-05 +47 *1911:51 *23903:A 4.58259e-05 +48 *1911:51 *2687:10 0.00017419 +49 *1911:51 *4840:344 0.000107496 +50 *1911:51 *4840:359 0.000383176 +51 *1911:51 *5918:78 8.03262e-05 +52 *1911:62 *23896:A 0 +53 *1911:62 *23898:A 0.000945205 +54 *1911:62 *24713:CLK 0.000187498 +55 *1911:62 *4828:21 5.66868e-06 +56 *1911:62 *4840:341 1.46079e-05 +57 *1911:62 *4840:344 5.73392e-05 +58 *1911:62 *4843:898 0.000623286 +59 *20286:A *1911:5 5.16808e-05 +60 *20292:A2 *1911:51 0.000324166 +61 *24713:D *1911:51 2.4732e-05 +62 *24714:D *1911:39 0.000260388 +63 *24715:D *20290:B2 0.000217937 +64 *24716:D *20289:B2 7.34948e-06 +65 *24716:D *1911:39 9.96342e-05 +66 *489:93 *20293:B2 1.90191e-05 +67 *1910:21 *20287:B2 0.000103022 +68 *1910:21 *1911:5 0.000115483 +69 *1910:25 *20290:B2 0.000517234 +70 *1910:25 *1911:5 6.2381e-05 +71 *1910:35 *20290:B2 4.62974e-05 +*RES +1 *20286:Y *1911:4 9.24915 +2 *1911:4 *1911:5 1.8326 +3 *1911:5 *20290:B2 17.7611 +4 *1911:5 *1911:16 14.637 +5 *1911:16 *20288:B2 17.2456 +6 *1911:16 *1911:25 10.5523 +7 *1911:25 *20289:B2 14.4725 +8 *1911:25 *1911:39 22.3241 +9 *1911:39 *1911:51 23.1457 +10 *1911:51 *20292:B2 9.24915 +11 *1911:51 *1911:62 24.5229 +12 *1911:62 *20293:B2 24.7489 +13 *1911:62 *20294:B2 23.3944 +14 *1911:39 *20291:B2 9.82786 +15 *1911:4 *20287:B2 12.7697 +*END + +*D_NET *1912 0.0260867 +*CONN +*I *20296:A I *D sky130_fd_sc_hd__buf_2 +*I *20295:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *20296:A 6.06726e-05 +2 *20295:X 0.00011678 +3 *1912:20 0.0013887 +4 *1912:10 0.00482529 +5 *1912:8 0.00361404 +6 *20296:A *24355:CLK 0.000357912 +7 *1912:8 *5903:18 0.000110106 +8 *1912:10 *20212:B1 1.561e-05 +9 *1912:10 *2148:10 7.65861e-05 +10 *1912:10 *2297:52 0.000852609 +11 *1912:10 *2297:60 0.00104475 +12 *1912:10 *2530:64 0.000709081 +13 *1912:10 *2554:8 0.000299755 +14 *1912:10 *2554:21 0.00143066 +15 *1912:10 *2797:19 0.000594381 +16 *1912:10 *3306:32 0.00147249 +17 *1912:10 *4815:68 0.000230727 +18 *1912:10 *4843:235 9.3588e-05 +19 *1912:10 *4843:240 0.00169716 +20 *1912:10 *5853:154 0.00144778 +21 *1912:10 *5853:156 1.26298e-05 +22 *1912:10 *5903:18 7.84457e-06 +23 *1912:20 *20730:A2 0.00017563 +24 *1912:20 *20732:A1 1.77537e-06 +25 *1912:20 *21255:A 0.000230829 +26 *1912:20 *21997:A 0.000238537 +27 *1912:20 *24412:SET_B 2.71397e-05 +28 *1912:20 *2052:18 0 +29 *1912:20 *4843:235 4.27078e-05 +30 *1912:20 *4845:183 9.12466e-05 +31 *1912:20 *4872:60 0 +32 *1912:20 *5025:10 9.75356e-05 +33 *1912:20 *5031:8 0.000150329 +34 *1912:20 *5867:593 0.00031341 +35 *20295:A *1912:8 6.74182e-05 +36 *20689:B *1912:10 0.000136768 +37 *20935:B *1912:10 0.000987813 +38 *21259:A2 *1912:8 1.0927e-05 +39 *21259:A2 *1912:10 0.000423598 +40 *24321:D *1912:10 0.00011158 +41 *490:26 *1912:10 0.000135136 +42 *490:28 *1912:10 0.000181325 +43 *490:28 *1912:20 0.00107239 +44 *1634:30 *1912:10 9.40298e-05 +45 *1658:188 *20296:A 0.000357912 +46 *1771:182 *1912:10 0.000646586 +47 *1771:182 *1912:20 3.29499e-05 +*RES +1 *20295:X *1912:8 16.9368 +2 *1912:8 *1912:10 136.997 +3 *1912:10 *1912:20 49.0785 +4 *1912:20 *20296:A 13.3002 +*END + +*D_NET *1913 0.0140688 +*CONN +*I *20302:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20301:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20298:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20299:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20297:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20300:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20303:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20296:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *20302:A2 0.0002527 +2 *20301:A2 9.29942e-05 +3 *20298:A2 6.82341e-05 +4 *20299:A2 0.00012512 +5 *20297:A 0.000104571 +6 *20300:A2 0 +7 *20303:A2 2.72367e-05 +8 *20296:X 0.000456132 +9 *1913:57 0.000646783 +10 *1913:46 0.00115779 +11 *1913:37 0.00104181 +12 *1913:29 0.00121591 +13 *1913:14 0.00104354 +14 *1913:11 0.000899353 +15 *20297:A *5504:18 0.000106069 +16 *20298:A2 *20298:A1 0.000297045 +17 *20298:A2 *20301:B2 0.000106072 +18 *20299:A2 *20299:A1 6.36477e-05 +19 *20301:A2 *20298:A1 4.66492e-05 +20 *20301:A2 *20301:A1 6.50727e-05 +21 *20301:A2 *20301:B1 6.08467e-05 +22 *20301:A2 *20301:B2 9.66909e-05 +23 *20301:A2 *4840:362 1.24783e-05 +24 *20301:A2 *5522:9 0.000228801 +25 *20302:A2 *4839:367 0.000145669 +26 *20302:A2 *4839:377 9.31721e-05 +27 *20303:A2 *20303:A1 0.000216458 +28 *20303:A2 *4836:46 0.000216458 +29 *1913:11 *24355:CLK 6.50727e-05 +30 *1913:11 *2294:25 6.08467e-05 +31 *1913:11 *4815:11 0.00020988 +32 *1913:11 *4838:310 0.000213492 +33 *1913:11 *4839:367 0.000560262 +34 *1913:14 *2486:24 0.000129898 +35 *1913:14 *4898:99 0 +36 *1913:14 *5924:50 5.98269e-05 +37 *1913:29 *6047:DIODE 0 +38 *1913:29 *19560:A0 0.000184108 +39 *1913:29 *20303:A1 9.35979e-05 +40 *1913:29 *24378:CLK 0 +41 *1913:29 *1914:10 0 +42 *1913:29 *4898:99 0 +43 *1913:29 *5558:10 0.000633595 +44 *1913:29 *5924:50 2.82834e-05 +45 *1913:37 *20300:A1 0.000211478 +46 *1913:37 *4842:230 7.89747e-05 +47 *1913:37 *5520:8 6.31954e-05 +48 *1913:37 *5594:16 0 +49 *1913:37 *5727:8 0.000181593 +50 *1913:37 *5727:10 0.000182601 +51 *1913:37 *5867:735 0 +52 *1913:46 *4834:16 0 +53 *1913:46 *5727:10 0.00090382 +54 *1913:46 *5867:735 0 +55 *1913:57 *23947:A0 2.95757e-05 +56 *1913:57 *4840:370 7.56859e-06 +57 *1913:57 *5727:10 0.000945125 +58 mgmt_gpio_out[31] *1913:46 0 +59 mgmt_gpio_out[31] *1913:57 0 +60 *24705:D *1913:29 6.65668e-05 +61 *24709:D *20299:A2 2.07503e-05 +62 *477:44 *1913:14 0.000251352 +*RES +1 *20296:X *1913:11 29.1502 +2 *1913:11 *1913:14 10.4845 +3 *1913:14 *20303:A2 16.1364 +4 *1913:14 *1913:29 28.4136 +5 *1913:29 *20300:A2 9.24915 +6 *1913:29 *1913:37 17.4395 +7 *1913:37 *20297:A 16.691 +8 *1913:37 *1913:46 16.3658 +9 *1913:46 *20299:A2 16.691 +10 *1913:46 *1913:57 21.2811 +11 *1913:57 *20298:A2 12.7697 +12 *1913:57 *20301:A2 13.4931 +13 *1913:11 *20302:A2 14.4576 +*END + +*D_NET *1914 0.0110441 +*CONN +*I *20301:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20298:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20299:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20300:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20303:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20302:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20297:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20301:B2 0.000151083 +2 *20298:B2 0 +3 *20299:B2 9.20775e-05 +4 *20300:B2 3.67078e-05 +5 *20303:B2 0 +6 *20302:B2 0.000290948 +7 *20297:Y 0.000169753 +8 *1914:49 0.000625308 +9 *1914:37 0.00115703 +10 *1914:10 0.00104134 +11 *1914:8 0.00106588 +12 *1914:7 0.00103925 +13 *20300:B2 *4841:262 7.40952e-05 +14 *20300:B2 *4842:230 3.07133e-05 +15 *20301:B2 *20298:A1 1.37189e-05 +16 *20301:B2 *20298:B1 6.3657e-05 +17 *20301:B2 *4840:362 0.000534601 +18 *20301:B2 *4843:916 2.2494e-05 +19 *20302:B2 *2486:11 0.000190057 +20 *20302:B2 *4815:11 6.67958e-05 +21 *20302:B2 *4839:367 0.000461987 +22 *20302:B2 *4845:731 0.000198654 +23 *20302:B2 *4898:99 0.00014409 +24 *1914:7 *5504:18 1.16596e-05 +25 *1914:8 *20299:B1 4.37999e-05 +26 *1914:8 *2316:8 0 +27 *1914:8 *4838:306 0.000102984 +28 *1914:8 *4842:230 0.000103792 +29 *1914:8 *5558:10 0 +30 *1914:10 *6047:DIODE 5.4651e-05 +31 *1914:10 *21595:A 5.88234e-05 +32 *1914:10 *24378:CLK 6.28598e-05 +33 *1914:10 *2486:11 0.000111358 +34 *1914:10 *4815:11 0.000247383 +35 *1914:10 *4838:306 7.89743e-05 +36 *1914:10 *4898:99 9.20398e-05 +37 *1914:10 *5001:8 6.65448e-05 +38 *1914:37 *20299:B1 0.000218874 +39 *1914:37 *23910:A 0.000414278 +40 *1914:37 *2316:8 0 +41 *1914:37 *4899:9 6.80864e-05 +42 *1914:37 *5516:8 7.45179e-05 +43 *1914:49 *23908:A 0.000178233 +44 *1914:49 *23910:A 0.000984879 +45 *1914:49 *23946:A1 0 +46 *1914:49 *2316:8 0 +47 *1914:49 *4840:362 4.97617e-05 +48 *1914:49 *4843:916 0.000154145 +49 *1914:49 *5515:9 2.69064e-05 +50 *20298:A2 *20301:B2 0.000106072 +51 *20301:A2 *20301:B2 9.66909e-05 +52 *24708:D *20300:B2 1.41291e-05 +53 *24708:D *1914:8 0.000130777 +54 *24709:D *20299:B2 2.16355e-05 +55 *1913:29 *1914:10 0 +*RES +1 *20297:Y *1914:7 16.1364 +2 *1914:7 *1914:8 9.30653 +3 *1914:8 *1914:10 23.0099 +4 *1914:10 *20302:B2 25.7244 +5 *1914:10 *20303:B2 13.7491 +6 *1914:8 *20300:B2 15.5817 +7 *1914:7 *1914:37 18.5154 +8 *1914:37 *20299:B2 15.5817 +9 *1914:37 *1914:49 23.5289 +10 *1914:49 *20298:B2 9.24915 +11 *1914:49 *20301:B2 16.8448 +*END + +*D_NET *1915 0.00488273 +*CONN +*I *21056:A I *D sky130_fd_sc_hd__or2_1 +*I *20305:A2 I *D sky130_fd_sc_hd__a211o_1 +*I *20304:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21056:A 0.000356524 +2 *20305:A2 0.000998873 +3 *20304:Y 5.38744e-05 +4 *1915:7 0.00140927 +5 *20305:A2 *22118:A 0 +6 *20305:A2 *24176:SET_B 0.000351993 +7 *20305:A2 *2523:24 0.000235225 +8 *20305:A2 *4881:10 7.57865e-05 +9 *20305:A2 *4881:19 7.27725e-05 +10 *20305:A2 *6001:206 0.000225288 +11 *20305:A2 *6017:16 0.000148144 +12 *21056:A *21056:B 6.08467e-05 +13 *21056:A *21057:A1 8.36615e-05 +14 *21056:A *24176:SET_B 2.21765e-05 +15 *21056:A *2197:14 6.73186e-05 +16 *21056:A *4881:19 3.67708e-05 +17 *21056:A *4881:30 0.000158353 +18 *21056:A *4881:45 6.64609e-05 +19 *21056:A *4881:54 0.000158368 +20 *21056:A *6011:17 0 +21 *1915:7 *5671:227 7.92757e-06 +22 *1915:7 *5671:232 2.41274e-06 +23 *24236:D *21056:A 5.04829e-06 +24 *24704:D *20305:A2 1.05272e-06 +25 *566:110 *20305:A2 0 +26 *566:114 *20305:A2 0 +27 *1476:10 *20305:A2 0.00028458 +*RES +1 *20304:Y *1915:7 14.4725 +2 *1915:7 *20305:A2 46.3098 +3 *1915:7 *21056:A 24.5219 +*END + +*D_NET *1916 0.000644537 +*CONN +*I *20307:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20306:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20307:A 0.000236199 +2 *20306:X 0.000236199 +3 *20306:A *20307:A 9.63749e-05 +4 *24703:D *20307:A 0 +5 *24703:RESET_B *20307:A 7.57637e-05 +*RES +1 *20306:X *20307:A 34.2062 +*END + +*D_NET *1917 0.046131 +*CONN +*I *20313:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20312:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20314:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20318:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20315:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20317:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20316:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20310:A I *D sky130_fd_sc_hd__inv_2 +*I *20311:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20309:X O *D sky130_fd_sc_hd__a211o_4 +*CAP +1 *20313:B2 0 +2 *20312:B2 0.000546089 +3 *20314:B2 8.23071e-05 +4 *20318:B2 0.000459133 +5 *20315:B2 2.50285e-05 +6 *20317:B2 0.00133797 +7 *20316:B2 0.000153038 +8 *20310:A 5.67034e-05 +9 *20311:B2 8.9414e-05 +10 *20309:X 0.000446328 +11 *1917:80 0.000348501 +12 *1917:76 0.00173782 +13 *1917:74 0.000410936 +14 *1917:72 0.000995439 +15 *1917:68 0.00070626 +16 *1917:64 0.00136389 +17 *1917:53 0.000696974 +18 *1917:39 0.000278807 +19 *1917:36 0.00106794 +20 *1917:34 0.00174882 +21 *1917:29 0.00219675 +22 *1917:11 0.00315876 +23 *1917:8 0.00218698 +24 *20310:A *20259:A1 0.000207266 +25 *20310:A *1918:5 5.69208e-05 +26 *20311:B2 *20311:A2 5.04829e-06 +27 *20312:B2 *20312:A1 0.000179027 +28 *20312:B2 *4843:474 5.41377e-05 +29 *20314:B2 *4828:107 1.65872e-05 +30 *20315:B2 *24698:CLK 2.16355e-05 +31 *20316:B2 *20259:A1 0.000116893 +32 *20316:B2 *20316:A2 0.000353686 +33 *20316:B2 *1918:5 6.36477e-05 +34 *20317:B2 *2103:45 2.65831e-05 +35 *20317:B2 *5503:16 6.08467e-05 +36 *20317:B2 *5785:65 0.000135406 +37 *20318:B2 *20318:A2 0.000127536 +38 *20318:B2 *1918:18 0.000481364 +39 *20318:B2 *2471:35 2.71504e-05 +40 *20318:B2 *5725:13 0.0013915 +41 *1917:8 *24223:CLK 0.000198223 +42 *1917:8 *2384:38 0.000289836 +43 *1917:8 *2572:10 0.000231014 +44 *1917:8 *5853:260 0.00026987 +45 *1917:11 *20617:B1 0.000308989 +46 *1917:11 *2282:59 0.00144168 +47 *1917:11 *4844:220 0.000244974 +48 *1917:29 *20996:A1 2.0833e-05 +49 *1917:29 *20996:B1 2.93851e-05 +50 *1917:29 *2267:59 7.13655e-06 +51 *1917:29 *2295:59 4.69495e-06 +52 *1917:29 *2389:81 9.69877e-05 +53 *1917:29 *2423:69 7.19666e-05 +54 *1917:29 *2471:56 0.000380601 +55 *1917:29 *2520:87 6.23101e-05 +56 *1917:29 *2570:80 0.000140702 +57 *1917:29 *2900:90 0.000115461 +58 *1917:29 *4821:125 0.000156946 +59 *1917:29 *5855:261 0.000400345 +60 *1917:29 *5864:573 0.000148144 +61 *1917:29 *5864:579 0.000479364 +62 *1917:29 *5874:36 8.12259e-06 +63 *1917:29 *5908:56 0.000544464 +64 *1917:34 *2471:54 0.000832127 +65 *1917:34 *2471:56 0.000211382 +66 *1917:34 *2520:90 0.00203612 +67 *1917:34 *2584:62 5.57532e-05 +68 *1917:34 *5855:261 0.000614665 +69 *1917:36 *20311:A2 5.05252e-05 +70 *1917:36 *2300:53 9.72721e-05 +71 *1917:36 *2471:36 1.9946e-05 +72 *1917:36 *2471:54 0.00033335 +73 *1917:36 *4808:158 1.47875e-05 +74 *1917:36 *5855:319 0.00137406 +75 *1917:36 *5864:332 1.87269e-05 +76 *1917:36 *5864:441 0.000127194 +77 *1917:36 *5864:450 0.000395788 +78 *1917:36 *5908:55 0.000149236 +79 *1917:36 *5909:14 0.00116868 +80 *1917:39 *20311:A1 0.000154145 +81 *1917:39 *20311:A2 1.65872e-05 +82 *1917:53 *24099:A 6.08467e-05 +83 *1917:53 *4805:92 0.000133124 +84 *1917:53 *4910:9 0.000133124 +85 *1917:64 *24037:A0 9.22013e-06 +86 *1917:64 *24099:A 5.98707e-05 +87 *1917:64 *2300:44 1.44611e-05 +88 *1917:64 *4805:96 3.11933e-06 +89 *1917:64 *4828:100 0.00025741 +90 *1917:64 *4843:457 0.000251102 +91 *1917:64 *4843:469 4.92439e-05 +92 *1917:68 *4828:100 0.000174761 +93 *1917:72 *1918:15 5.56367e-05 +94 *1917:72 *1918:48 0.000544002 +95 *1917:74 *1918:8 8.01987e-05 +96 *1917:74 *1918:15 0.000268197 +97 *1917:76 *1918:8 0.000606904 +98 *1917:80 *1918:8 0.000303815 +99 *1917:80 *5857:209 5.05252e-05 +100 *20259:A2 *20316:B2 0 +101 *20313:B1 *1917:64 5.79544e-05 +102 *20314:B1 *20314:B2 0.000113968 +103 *20314:B1 *1917:72 0.000340904 +104 *24048:S *20312:B2 0.000178857 +105 *24698:D *20315:B2 2.16355e-05 +106 *24699:D *1917:68 0.000101133 +107 *24700:D *1917:64 0.00019581 +108 *25173:A *1917:34 0.000170628 +109 *25174:A *1917:29 4.83502e-05 +110 *324:11 *20317:B2 0.000131793 +111 *476:90 *20317:B2 6.86233e-05 +112 *476:100 *1917:36 0.000243352 +113 *476:122 *1917:36 0.000558632 +114 *476:123 *1917:34 5.88924e-05 +115 *476:123 *1917:36 0.00075701 +116 *476:142 *1917:29 0.00050636 +117 *482:127 *1917:64 0.000683796 +118 *482:127 *1917:68 8.16827e-05 +119 *482:129 *1917:68 0.000111203 +120 *500:60 *1917:72 0.000967021 +121 *500:60 *1917:74 0.000339769 +122 *500:60 *1917:76 5.37882e-05 +123 *500:68 *1917:76 0.000231541 +124 *500:68 *1917:80 0.000102003 +125 *520:27 *1917:29 4.81733e-06 +126 *1439:81 *1917:29 7.36638e-05 +127 *1573:84 *1917:68 9.99386e-06 +128 *1573:84 *1917:72 0.000104906 +129 *1679:30 *20311:B2 1.58551e-05 +*RES +1 *20309:X *1917:8 32.954 +2 *1917:8 *1917:11 32.399 +3 *1917:11 *1917:29 49.3941 +4 *1917:29 *1917:34 43.3063 +5 *1917:34 *1917:36 51.8699 +6 *1917:36 *1917:39 6.88721 +7 *1917:39 *20311:B2 11.1059 +8 *1917:39 *1917:53 12.3859 +9 *1917:53 *1917:64 27.2343 +10 *1917:64 *1917:68 12.5608 +11 *1917:68 *1917:72 24.6381 +12 *1917:72 *1917:74 6.39977 +13 *1917:74 *1917:76 10.9675 +14 *1917:76 *1917:80 10.0693 +15 *1917:80 *20310:A 11.6364 +16 *1917:80 *20316:B2 15.0122 +17 *1917:76 *20317:B2 42.9419 +18 *1917:74 *20315:B2 14.4725 +19 *1917:72 *20318:B2 32.7986 +20 *1917:68 *20314:B2 11.6605 +21 *1917:64 *20312:B2 31.8527 +22 *1917:53 *20313:B2 9.24915 +*END + +*D_NET *1918 0.0206461 +*CONN +*I *20316:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20311:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20313:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20312:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20314:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20317:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20318:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20315:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20310:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20316:A2 0.00021231 +2 *20311:A2 0.000176301 +3 *20313:A2 5.45001e-05 +4 *20312:A2 0.00056718 +5 *20314:A2 0 +6 *20317:A2 0 +7 *20318:A2 0.000105237 +8 *20315:A2 0 +9 *20310:Y 9.75367e-05 +10 *1918:64 0.00056582 +11 *1918:55 0.00100143 +12 *1918:48 0.000711253 +13 *1918:36 0.000866208 +14 *1918:18 0.00123496 +15 *1918:15 0.000994108 +16 *1918:8 0.000548623 +17 *1918:5 0.000739899 +18 *20311:A2 *20311:A1 0.000107496 +19 *20311:A2 *5855:319 3.28261e-05 +20 *20311:A2 *5864:332 0.000186268 +21 *20311:A2 *5909:14 1.41976e-05 +22 *20312:A2 *2423:57 0.000848071 +23 *20313:A2 *24099:A 1.65872e-05 +24 *20313:A2 *5525:11 6.08467e-05 +25 *20318:A2 *2471:35 0.000340742 +26 *1918:8 *20315:A1 8.37812e-05 +27 *1918:8 *2689:22 0.000403853 +28 *1918:8 *5857:209 2.04806e-05 +29 *1918:15 *20315:A1 1.07248e-05 +30 *1918:15 *24097:A 0.000297856 +31 *1918:15 *2689:22 9.22013e-06 +32 *1918:18 *2471:35 0.00125155 +33 *1918:18 *5725:13 7.92757e-06 +34 *1918:36 *2300:29 0.000408854 +35 *1918:36 *2468:123 0.000269679 +36 *1918:36 *5503:16 5.97411e-05 +37 *1918:36 *5785:65 0.000740249 +38 *1918:36 *5855:341 0.00026818 +39 *1918:36 *5855:378 0.000204835 +40 *1918:36 *5861:482 8.61936e-05 +41 *1918:36 *5861:484 5.53934e-05 +42 *1918:48 *2301:17 0.000217587 +43 *1918:48 *2689:22 0.000214217 +44 *1918:48 *4805:96 1.37925e-05 +45 *1918:48 *5548:7 4.82656e-05 +46 *1918:48 *5855:319 0.00016554 +47 *1918:48 *5864:344 0.000127179 +48 *1918:55 *20314:A1 0.000250843 +49 *1918:55 *24098:A 6.43474e-05 +50 *1918:55 *5855:319 1.2693e-05 +51 *1918:55 *5864:336 0.000175674 +52 *1918:55 *5864:344 0.000163465 +53 *1918:64 *24098:A 0.000648462 +54 *1918:64 *5855:319 7.09196e-05 +55 *1918:64 *5864:332 0.000231363 +56 *1918:64 *5864:334 0.000508314 +57 *1918:64 *5864:336 0.000472789 +58 *20310:A *1918:5 5.69208e-05 +59 *20311:B2 *20311:A2 5.04829e-06 +60 *20316:B2 *20316:A2 0.000353686 +61 *20316:B2 *1918:5 6.36477e-05 +62 *20318:B2 *20318:A2 0.000127536 +63 *20318:B2 *1918:18 0.000481364 +64 *482:122 *20311:A2 6.89449e-05 +65 *482:122 *1918:64 0.000399924 +66 *510:55 *1918:36 2.33193e-05 +67 *531:51 *1918:36 0 +68 *1573:84 *1918:48 7.72722e-05 +69 *1679:30 *20311:A2 1.61631e-05 +70 *1917:36 *20311:A2 5.05252e-05 +71 *1917:39 *20311:A2 1.65872e-05 +72 *1917:72 *1918:15 5.56367e-05 +73 *1917:72 *1918:48 0.000544002 +74 *1917:74 *1918:8 8.01987e-05 +75 *1917:74 *1918:15 0.000268197 +76 *1917:76 *1918:8 0.000606904 +77 *1917:80 *1918:8 0.000303815 +*RES +1 *20310:Y *1918:5 11.6364 +2 *1918:5 *1918:8 22.9421 +3 *1918:8 *20315:A2 13.7491 +4 *1918:8 *1918:15 5.98452 +5 *1918:15 *1918:18 18.5339 +6 *1918:18 *20318:A2 13.3002 +7 *1918:18 *1918:36 43.8818 +8 *1918:36 *20317:A2 9.24915 +9 *1918:15 *1918:48 30.697 +10 *1918:48 *20314:A2 13.7491 +11 *1918:48 *1918:55 5.98452 +12 *1918:55 *20312:A2 23.3462 +13 *1918:55 *1918:64 20.9336 +14 *1918:64 *20313:A2 15.0271 +15 *1918:64 *20311:A2 19.49 +16 *1918:5 *20316:A2 14.4094 +*END + +*D_NET *1919 0.0236627 +*CONN +*I *20326:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20320:A I *D sky130_fd_sc_hd__inv_2 +*I *20321:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20324:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20322:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20323:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20325:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20328:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20327:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20319:X O *D sky130_fd_sc_hd__a211o_4 +*CAP +1 *20326:B2 0.000497766 +2 *20320:A 1.69747e-05 +3 *20321:B2 0.000457972 +4 *20324:B2 0.00032008 +5 *20322:B2 7.76698e-05 +6 *20323:B2 9.50948e-05 +7 *20325:B2 0.000452982 +8 *20328:B2 0 +9 *20327:B2 0.000638898 +10 *20319:X 0.000625808 +11 *1919:96 0.000531541 +12 *1919:83 0.00057165 +13 *1919:72 0.000733741 +14 *1919:69 0.00163869 +15 *1919:49 0.00102628 +16 *1919:16 0.000778899 +17 *1919:14 0.00121393 +18 *1919:7 0.00149811 +19 *20320:A *24691:RESET_B 1.65872e-05 +20 *20320:A *24691:CLK 6.08467e-05 +21 *20321:B2 *19566:S 3.17266e-05 +22 *20321:B2 *24691:RESET_B 3.82228e-05 +23 *20321:B2 *24691:CLK 0.000634575 +24 *20321:B2 *2294:28 0.000221215 +25 *20321:B2 *2320:16 0.000148129 +26 *20321:B2 *2360:17 3.31745e-05 +27 *20321:B2 *3011:17 5.97411e-05 +28 *20321:B2 *4901:40 0.000370829 +29 *20322:B2 *20322:A1 0.000113968 +30 *20322:B2 *20322:A2 7.92757e-06 +31 *20323:B2 *19568:A0 0.000113968 +32 *20323:B2 *20323:A2 0.000158357 +33 *20323:B2 *5496:9 9.32983e-05 +34 *20325:B2 *24041:A1 0.000160617 +35 *20325:B2 *2545:35 8.44785e-05 +36 *20326:B2 *24689:RESET_B 6.11836e-05 +37 *20326:B2 *24732:RESET_B 4.47179e-05 +38 *20327:B2 *2494:13 7.63448e-05 +39 *20327:B2 *4816:80 5.73302e-05 +40 *20327:B2 *4817:48 0.000118485 +41 *20327:B2 *4823:47 0.000307037 +42 *20327:B2 *4903:208 0.000122068 +43 *20327:B2 *5528:7 1.82679e-05 +44 *20327:B2 *5855:202 7.74397e-05 +45 *1919:7 *2287:43 1.88785e-05 +46 *1919:7 *4835:37 5.04829e-06 +47 *1919:14 *24727:RESET_B 0.000307023 +48 *1919:14 *2813:26 0.000277784 +49 *1919:14 *4815:30 2.7961e-05 +50 *1919:14 *4815:35 3.97254e-05 +51 *1919:14 *4816:80 9.6019e-05 +52 *1919:14 *4827:106 0.000281382 +53 *1919:14 *5855:202 0.000410696 +54 *1919:14 *5855:467 6.28484e-05 +55 *1919:14 *5861:598 9.80242e-07 +56 *1919:16 *4816:80 4.36701e-05 +57 *1919:16 *5855:202 0.000400017 +58 *1919:49 *2813:26 0.000706007 +59 *1919:49 *4827:106 0.000702355 +60 *1919:69 *24732:RESET_B 0.000173781 +61 *1919:69 *2297:39 0.000319616 +62 *1919:69 *2813:26 0.000707607 +63 *1919:69 *4827:106 0.000327514 +64 *1919:69 *5884:29 5.04829e-06 +65 *1919:72 *20267:A1 0 +66 *1919:72 *23965:A0 0 +67 *1919:72 *2360:21 0.000746406 +68 *1919:72 *2570:40 7.74109e-05 +69 *1919:72 *2620:20 0.000547272 +70 *1919:72 *4815:28 0 +71 *1919:83 *2360:21 0.000585955 +72 *1919:83 *2620:20 0.000570733 +73 *1919:96 *2360:21 0.000196638 +74 *1919:96 *2620:20 0.000189496 +75 *20263:A *1919:7 6.08467e-05 +76 *20319:A2 *1919:7 8.04914e-05 +77 *20319:C1 *1919:7 0.000200794 +78 *20323:B1 *20323:B2 2.75423e-05 +79 *20323:B1 *1919:69 3.31736e-05 +80 *20326:B1 *20326:B2 0.000215704 +81 *20328:B1 *20327:B2 9.12416e-06 +82 *20328:B1 *1919:16 0.00029311 +83 *24041:S *20325:B2 1.65872e-05 +84 *24691:D *20324:B2 1.84293e-05 +85 *1629:18 *20322:B2 0.000207266 +86 *1709:13 *1919:7 4.67626e-05 +87 *1709:27 *20327:B2 5.11183e-05 +88 *1899:39 *1919:14 0.000107496 +89 *1904:7 *1919:7 5.97411e-05 +*RES +1 *20319:X *1919:7 27.2284 +2 *1919:7 *1919:14 27.7903 +3 *1919:14 *1919:16 7.23027 +4 *1919:16 *20327:B2 37.8669 +5 *1919:16 *20328:B2 13.7491 +6 *1919:14 *20325:B2 23.3703 +7 *1919:7 *1919:49 12.6286 +8 *1919:49 *20323:B2 17.2697 +9 *1919:49 *1919:69 32.7378 +10 *1919:69 *1919:72 21.6963 +11 *1919:72 *20322:B2 16.7151 +12 *1919:72 *1919:83 10.5523 +13 *1919:83 *20324:B2 20.5973 +14 *1919:83 *1919:96 7.993 +15 *1919:96 *20321:B2 34.5275 +16 *1919:96 *20320:A 9.97254 +17 *1919:69 *20326:B2 18.9185 +*END + +*D_NET *1920 0.0208297 +*CONN +*I *20324:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20326:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20323:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20325:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20328:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20327:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20322:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20321:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20320:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20324:A2 0 +2 *20326:A2 5.6596e-05 +3 *20323:A2 0.00103164 +4 *20325:A2 3.61163e-05 +5 *20328:A2 0.000206812 +6 *20327:A2 0.000108923 +7 *20322:A2 0.000367055 +8 *20321:A2 0.000979621 +9 *20320:Y 0.000200106 +10 *1920:44 0.000475989 +11 *1920:42 0.000730061 +12 *1920:40 0.00187859 +13 *1920:34 0.000856477 +14 *1920:24 0.00100639 +15 *1920:20 0.000501796 +16 *1920:5 0.00152881 +17 *20321:A2 *4820:91 2.652e-05 +18 *20321:A2 *5714:35 0.000800786 +19 *20322:A2 *20322:A1 2.65667e-05 +20 *20322:A2 *24106:A 0.000262339 +21 *20323:A2 *24728:RESET_B 1.22347e-05 +22 *20323:A2 *24728:CLK 0.000370801 +23 *20323:A2 *5496:9 3.8122e-05 +24 *20325:A2 *20325:A1 6.08467e-05 +25 *20325:A2 *2545:35 0.000107496 +26 *20326:A2 *20326:A1 2.41274e-06 +27 *20326:A2 *24689:RESET_B 1.21461e-06 +28 *20327:A2 *20327:A1 1.03403e-05 +29 *20327:A2 *2610:36 0.000113374 +30 *20327:A2 *5864:753 0.000116971 +31 *20328:A2 *20328:A1 0.000453457 +32 *20328:A2 *2384:19 0.000140275 +33 *20328:A2 *4823:47 0.000883983 +34 *1920:5 *24691:RESET_B 7.22095e-06 +35 *1920:5 *24691:CLK 4.81015e-05 +36 *1920:20 *24106:A 8.88627e-05 +37 *1920:20 *24691:RESET_B 9.26783e-06 +38 *1920:20 *4819:121 0.000319726 +39 *1920:24 *24106:A 0.00047206 +40 *1920:24 *4819:121 0.00047206 +41 *1920:34 *20326:A1 8.12381e-05 +42 *1920:34 *24106:A 4.88955e-05 +43 *1920:34 *24689:RESET_B 9.89182e-05 +44 *1920:34 *4816:38 0.000498369 +45 *1920:34 *4828:44 0.00039841 +46 *1920:34 *5898:228 3.14199e-05 +47 *1920:40 *20326:A1 0.000198453 +48 *1920:40 *24689:RESET_B 0.000173295 +49 *1920:40 *24728:RESET_B 7.30564e-05 +50 *1920:40 *4816:38 8.31639e-05 +51 *1920:40 *4817:47 0.000151398 +52 *1920:42 *24728:RESET_B 0.000205317 +53 *1920:42 *2357:50 0.00013974 +54 *1920:42 *2610:36 0.000353293 +55 *1920:42 *4817:47 9.22013e-06 +56 *1920:42 *5553:9 0.00026818 +57 *1920:42 *5864:759 0.00129614 +58 *1920:42 *5898:224 0.000121169 +59 *1920:44 *2610:36 0.000200495 +60 *1920:44 *5864:753 0.00015537 +61 *1920:44 *5864:759 0.000218625 +62 *20322:B2 *20322:A2 7.92757e-06 +63 *20323:B1 *20323:A2 2.1801e-05 +64 *20323:B2 *20323:A2 0.000158357 +65 *24728:D *20323:A2 7.63284e-05 +66 *25141:A *1920:40 0.000112672 +67 *480:110 *1920:40 0.000153775 +68 *480:123 *1920:34 0.000223429 +69 *480:134 *1920:20 0.000113632 +70 *480:134 *1920:34 0.000148212 +71 *1629:12 *1920:34 0.000107729 +72 *1629:18 *20322:A2 5.51635e-05 +73 *1629:18 *1920:34 9.98615e-06 +74 *1904:49 *20321:A2 2.652e-05 +*RES +1 *20320:Y *1920:5 12.191 +2 *1920:5 *20321:A2 34.809 +3 *1920:5 *1920:20 13.5657 +4 *1920:20 *1920:24 12.976 +5 *1920:24 *20322:A2 17.737 +6 *1920:24 *1920:34 25.3293 +7 *1920:34 *1920:40 13.9476 +8 *1920:40 *1920:42 26.3319 +9 *1920:42 *1920:44 6.81502 +10 *1920:44 *20327:A2 16.7198 +11 *1920:44 *20328:A2 23.9008 +12 *1920:42 *20325:A2 15.0271 +13 *1920:40 *20323:A2 33.1603 +14 *1920:34 *20326:A2 14.4725 +15 *1920:20 *20324:A2 13.7491 +*END + +*D_NET *1921 0.00130364 +*CONN +*I *20330:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20329:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20330:A 0.00043247 +2 *20329:X 0.00043247 +3 *20330:A *20333:A1 2.87573e-05 +4 *20330:A *5474:70 1.40063e-05 +5 *20330:A *5898:120 6.13731e-05 +6 *20331:B *20330:A 0.000126844 +7 *24686:RESET_B *20330:A 0.000156868 +8 *1786:33 *20330:A 2.1228e-06 +9 *1818:21 *20330:A 0 +10 *1845:139 *20330:A 1.88014e-05 +11 *1845:144 *20330:A 2.99287e-05 +*RES +1 *20329:X *20330:A 38.0014 +*END + +*D_NET *1922 0.00118229 +*CONN +*I *20333:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20331:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *20333:A2 0.000243541 +2 *20331:Y 0.000243541 +3 *20333:A2 *2502:11 0.000130063 +4 *20333:A2 *5474:70 1.5714e-05 +5 *20333:A2 *5485:72 0.000413629 +6 *20333:A2 *5898:120 5.8518e-05 +7 *20331:B *20333:A2 3.28416e-06 +8 *1522:32 *20333:A2 1.05601e-05 +9 *1818:21 *20333:A2 6.34419e-05 +*RES +1 *20331:Y *20333:A2 37.1323 +*END + +*D_NET *1923 0.000891778 +*CONN +*I *20333:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20332:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *20333:B2 0.000227011 +2 *20332:Y 0.000227011 +3 *20333:B2 *5474:70 5.04734e-05 +4 *551:13 *20333:B2 0.000268798 +5 *1522:32 *20333:B2 0.000118485 +*RES +1 *20332:Y *20333:B2 32.6874 +*END + +*D_NET *1924 0.0305848 +*CONN +*I *20335:A I *D sky130_fd_sc_hd__inv_2 +*I *20342:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20338:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20337:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20340:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20341:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20343:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20336:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20339:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20334:X O *D sky130_fd_sc_hd__a211o_4 +*CAP +1 *20335:A 0 +2 *20342:B2 3.08425e-05 +3 *20338:B2 0 +4 *20337:B2 0.000424856 +5 *20340:B2 2.31818e-05 +6 *20341:B2 0 +7 *20343:B2 1.02394e-05 +8 *20336:B2 4.12257e-05 +9 *20339:B2 0.000705565 +10 *20334:X 9.90436e-05 +11 *1924:96 0.0017747 +12 *1924:79 0.00206756 +13 *1924:72 0.0016086 +14 *1924:63 0.00158551 +15 *1924:52 0.00170602 +16 *1924:44 0.00142938 +17 *1924:16 0.000948826 +18 *1924:13 0.000697544 +19 *1924:8 0.0022839 +20 *1924:7 0.00226163 +21 *20336:B2 *20336:A1 0 +22 *20336:B2 *2545:17 1.57489e-05 +23 *20336:B2 *4839:377 1.57489e-05 +24 *20337:B2 *24684:RESET_B 3.31733e-05 +25 *20337:B2 *2372:16 0.000628306 +26 *20337:B2 *4828:35 8.23079e-05 +27 *20337:B2 *4835:18 2.93532e-05 +28 *20337:B2 *4836:55 0.000324984 +29 *20337:B2 *4843:935 0.000404547 +30 *20337:B2 *4900:79 0 +31 *20339:B2 *20336:A1 6.62135e-05 +32 *20339:B2 *24682:CLK 0.000217328 +33 *20339:B2 *24961:A 6.08467e-05 +34 *20339:B2 *1925:40 7.72722e-05 +35 *20339:B2 *4833:181 0 +36 *20339:B2 *5504:6 6.29345e-05 +37 *20339:B2 *5507:11 0.000122083 +38 *20340:B2 *20340:A2 6.50586e-05 +39 *20342:B2 *20342:A2 1.63255e-05 +40 *20343:B2 *5714:38 2.02035e-05 +41 *1924:8 *24127:A 3.12316e-05 +42 *1924:8 *24903:RESET_B 9.60366e-05 +43 *1924:8 *2297:43 0.000525636 +44 *1924:8 *2319:23 0.000504687 +45 *1924:8 *2360:13 0.000113374 +46 *1924:8 *2433:20 0.000827642 +47 *1924:8 *4834:26 0 +48 *1924:8 *4834:30 0.000189689 +49 *1924:8 *4835:18 0.000584304 +50 *1924:8 *4835:28 0.00371946 +51 *1924:8 *4835:37 8.30969e-05 +52 *1924:8 *5027:10 0.000123582 +53 *1924:16 *20336:A1 3.67528e-06 +54 *1924:16 *2367:16 0.000101133 +55 *1924:16 *4833:181 0 +56 *1924:16 *5903:6 0 +57 *1924:44 *20343:A2 1.64789e-05 +58 *1924:44 *1925:8 5.04829e-06 +59 *1924:52 *2262:30 1.81863e-06 +60 *1924:52 *4838:348 4.67464e-05 +61 *1924:52 *4842:237 1.1573e-05 +62 *1924:63 *20342:A1 5.04829e-06 +63 *1924:63 *20342:A2 2.41338e-05 +64 *1924:63 *5714:38 0.0010679 +65 *1924:63 *5865:556 6.93171e-05 +66 *1924:72 *20281:A1 0.000299353 +67 *1924:72 *20342:A2 8.23171e-06 +68 *1924:72 *24045:A0 6.79599e-05 +69 *1924:72 *4840:373 0 +70 *1924:72 *4900:12 0 +71 *1924:72 *4900:107 0 +72 *1924:72 *5714:38 0 +73 *1924:79 *24042:A0 5.04734e-05 +74 *1924:79 *4840:373 0 +75 *1924:79 *5714:38 0 +76 *1924:96 *20338:A1 3.67528e-06 +77 *1924:96 *4828:43 0.000676652 +78 *1924:96 *4829:182 6.44576e-05 +79 *1924:96 *4840:373 0 +80 *1924:96 *5714:38 0 +81 *1924:96 *5903:6 0 +82 mgmt_gpio_out[32] *1924:96 4.52324e-05 +83 *20336:B1 *1924:13 6.92705e-05 +84 *20336:B1 *1924:16 0.000128865 +85 *20338:B1 *1924:96 1.07248e-05 +86 *20339:B1 *20339:B2 7.58772e-05 +87 *20340:B1 *1924:79 4.01437e-05 +88 *20341:B1 *1924:72 0.000315191 +89 *20342:B1 *1924:72 2.20241e-05 +90 *20343:B1 *20343:B2 9.12416e-06 +91 *24045:S *1924:72 1.36691e-05 +92 *24723:D *20339:B2 5.13058e-05 +93 *477:47 *1924:13 6.47268e-05 +94 *477:47 *1924:44 3.43093e-05 +95 *477:53 *1924:44 2.30039e-05 +96 *477:69 *1924:63 0 +97 *478:8 *1924:8 6.10164e-05 +98 *478:12 *20339:B2 0.000203826 +99 *478:12 *1924:8 0.000143277 +100 *478:12 *1924:16 7.58217e-06 +101 *1907:15 *1924:52 2.1203e-06 +*RES +1 *20334:X *1924:7 15.0271 +2 *1924:7 *1924:8 89.4502 +3 *1924:8 *1924:13 8.02053 +4 *1924:13 *1924:16 10.4845 +5 *1924:16 *20339:B2 35.4794 +6 *1924:16 *20336:B2 14.8436 +7 *1924:13 *1924:44 6.31766 +8 *1924:44 *1924:52 13.8843 +9 *1924:52 *20343:B2 14.1278 +10 *1924:52 *1924:63 25.3976 +11 *1924:63 *1924:72 29.9675 +12 *1924:72 *20341:B2 13.7491 +13 *1924:72 *1924:79 17.1963 +14 *1924:79 *20340:B2 14.4725 +15 *1924:79 *1924:96 37.5744 +16 *1924:96 *20337:B2 36.4773 +17 *1924:96 *20338:B2 9.24915 +18 *1924:63 *20342:B2 10.2378 +19 *1924:44 *20335:A 9.24915 +*END + +*D_NET *1925 0.0190365 +*CONN +*I *20343:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20339:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20340:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20338:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20341:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20337:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20342:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20336:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20335:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20343:A2 0.00072654 +2 *20339:A2 0 +3 *20340:A2 0.000538466 +4 *20338:A2 0 +5 *20341:A2 0.000997613 +6 *20337:A2 0.000173656 +7 *20342:A2 0.0011125 +8 *20336:A2 8.94909e-05 +9 *20335:Y 0 +10 *1925:77 0.000582198 +11 *1925:76 0.000126343 +12 *1925:68 0.000572735 +13 *1925:42 0.00117127 +14 *1925:40 0.00121834 +15 *1925:31 0.00109231 +16 *1925:17 0.00187208 +17 *1925:8 0.000729116 +18 *1925:4 0.000970673 +19 *20336:A2 *2545:17 8.05819e-05 +20 *20336:A2 *4839:377 0.000364356 +21 *20340:A2 *24724:RESET_B 0.00014365 +22 *20340:A2 *4828:35 9.57814e-06 +23 *20340:A2 *4900:79 0.000174488 +24 *20340:A2 *5543:11 0.000118245 +25 *20341:A2 *24032:A0 0.000126453 +26 *20341:A2 *2451:13 1.34027e-05 +27 *20341:A2 *4900:41 0.00086964 +28 *20341:A2 *5506:8 0.000111722 +29 *20341:A2 *5903:6 9.60216e-05 +30 *20342:A2 *5542:7 0.000114902 +31 *20343:A2 *20343:A1 1.41976e-05 +32 *20343:A2 *2297:26 2.82369e-05 +33 *20343:A2 *5865:525 7.09148e-05 +34 *20343:A2 *5865:535 0.000110055 +35 *1925:8 *2262:30 7.17091e-05 +36 *1925:8 *4842:237 0.000119054 +37 *1925:17 *20279:A1 0.00017775 +38 *1925:17 *24038:A0 8.23577e-05 +39 *1925:17 *2262:30 0.000102696 +40 *1925:17 *4842:233 1.22289e-05 +41 *1925:17 *4842:237 0.000134631 +42 *1925:17 *4900:124 0.000313597 +43 *1925:17 *5898:236 0.000217602 +44 *1925:31 *20339:A1 8.29362e-06 +45 *1925:31 *24038:A0 6.70094e-05 +46 *1925:31 *24961:A 7.66181e-05 +47 *1925:31 *4842:233 1.69932e-05 +48 *1925:31 *5903:6 4.54077e-05 +49 *1925:40 *24725:CLK 8.36326e-05 +50 *1925:40 *24961:A 7.66135e-05 +51 *1925:40 *4833:181 0 +52 *1925:40 *4835:18 0 +53 *1925:40 *5504:17 6.22712e-05 +54 *1925:40 *5903:6 0 +55 *1925:68 *4828:35 2.86013e-06 +56 *1925:68 *4843:935 0.00017347 +57 *1925:68 *5903:6 0 +58 *1925:76 *4828:35 4.6468e-06 +59 mgmt_gpio_out[32] *1925:40 0.000270243 +60 mgmt_gpio_out[32] *1925:68 0.000666173 +61 *20337:B1 *20337:A2 0.000260374 +62 *20337:B1 *20341:A2 6.50727e-05 +63 *20338:B1 *1925:68 7.50872e-05 +64 *20339:B1 *1925:40 6.28168e-05 +65 *20339:B2 *1925:40 7.72722e-05 +66 *20340:B1 *20340:A2 6.50727e-05 +67 *20340:B2 *20340:A2 6.50586e-05 +68 *20342:B1 *20342:A2 6.77815e-05 +69 *20342:B2 *20342:A2 1.63255e-05 +70 *24032:S *20341:A2 5.04829e-06 +71 *24678:D *20343:A2 0.00011818 +72 *24681:D *20340:A2 1.84293e-05 +73 *24724:D *20340:A2 0.000199017 +74 *477:53 *20343:A2 0.000272161 +75 *477:53 *1925:8 2.52287e-06 +76 *478:12 *1925:40 0.000143867 +77 *1907:15 *20343:A2 6.08467e-05 +78 *1907:27 *20343:A2 6.08467e-05 +79 *1907:38 *20343:A2 7.6125e-05 +80 *1908:7 *20343:A2 6.50586e-05 +81 *1908:56 *1925:31 0 +82 *1924:44 *20343:A2 1.64789e-05 +83 *1924:44 *1925:8 5.04829e-06 +84 *1924:63 *20342:A2 2.41338e-05 +85 *1924:72 *20342:A2 8.23171e-06 +*RES +1 *20335:Y *1925:4 9.24915 +2 *1925:4 *1925:8 10.7927 +3 *1925:8 *20336:A2 17.8002 +4 *1925:8 *1925:17 14.7048 +5 *1925:17 *20342:A2 30.8454 +6 *1925:17 *1925:31 13.705 +7 *1925:31 *1925:40 26.3696 +8 *1925:40 *1925:42 4.5 +9 *1925:42 *20337:A2 12.191 +10 *1925:42 *20341:A2 41.4937 +11 *1925:40 *1925:68 20.1408 +12 *1925:68 *20338:A2 9.24915 +13 *1925:68 *1925:76 10.5271 +14 *1925:76 *1925:77 57.9449 +15 *1925:77 *20340:A2 36.4144 +16 *1925:31 *20339:A2 9.24915 +17 *1925:4 *20343:A2 38.3777 +*END + +*D_NET *1926 0.00248427 +*CONN +*I *20347:S I *D sky130_fd_sc_hd__mux2_1 +*I *20345:S I *D sky130_fd_sc_hd__mux2_1 +*I *20344:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20347:S 0 +2 *20345:S 0.000381332 +3 *20344:X 7.13414e-05 +4 *1926:5 0.000452674 +5 *20345:S *20347:A0 6.08467e-05 +6 *20345:S *20348:A 0.000154145 +7 *20345:S *1928:7 1.58551e-05 +8 *20345:S *2274:22 2.01503e-05 +9 *20345:S *2994:10 1.84293e-05 +10 *20345:S *5858:223 5.78114e-05 +11 *20345:S *5917:465 0.000355782 +12 *1926:5 *2994:10 2.20702e-05 +13 *20344:B *1926:5 8.38894e-05 +14 *1467:22 *20345:S 0.000105475 +15 *1485:66 *20345:S 0.000258128 +16 *1485:66 *1926:5 0.000295072 +17 *1755:15 *20345:S 0.000131266 +*RES +1 *20344:X *1926:5 12.7456 +2 *1926:5 *20345:S 31.8882 +3 *1926:5 *20347:S 9.24915 +*END + +*D_NET *1927 0.000375838 +*CONN +*I *20346:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20345:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20346:A 0.000119804 +2 *20345:X 0.000119804 +3 *20346:A *5188:24 3.67586e-05 +4 *1687:17 *20346:A 9.9472e-05 +*RES +1 *20345:X *20346:A 21.8741 +*END + +*D_NET *1928 0.000780152 +*CONN +*I *20348:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *20347:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20348:A 9.2308e-05 +2 *20347:X 9.49396e-05 +3 *1928:8 0.000136739 +4 *1928:7 0.000139371 +5 *20348:A *2274:28 1.92172e-05 +6 *20348:A *5851:502 0.000111722 +7 *20345:S *20348:A 0.000154145 +8 *20345:S *1928:7 1.58551e-05 +9 *1755:15 *20348:A 1.58551e-05 +*RES +1 *20347:X *1928:7 19.7763 +2 *1928:7 *1928:8 57.9449 +3 *1928:8 *20348:A 21.4401 +*END + +*D_NET *1929 0.000216013 +*CONN +*I *20350:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20349:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20350:A 5.42586e-05 +2 *20349:X 5.42586e-05 +3 *20350:A *5860:433 0.000107496 +*RES +1 *20349:X *20350:A 19.7763 +*END + +*D_NET *1930 0.00683485 +*CONN +*I *20356:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20354:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20355:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20353:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20351:A I *D sky130_fd_sc_hd__inv_2 +*I *20352:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20350:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20356:A2 0.000270851 +2 *20354:A2 0 +3 *20355:A2 0 +4 *20353:A2 0.000167264 +5 *20351:A 3.75671e-05 +6 *20352:A2 0.000145898 +7 *20350:X 3.58463e-05 +8 *1930:51 0.000535993 +9 *1930:23 0.000204831 +10 *1930:14 0.000488323 +11 *1930:11 0.000436764 +12 *1930:7 0.000395328 +13 *20351:A *1931:7 4.03114e-05 +14 *20351:A *1931:48 4.88955e-05 +15 *20351:A *2675:14 8.84877e-05 +16 *20352:A2 *20352:B1 1.77537e-06 +17 *20352:A2 *20352:B2 0 +18 *20353:A2 *20353:A1 3.14978e-05 +19 *20353:A2 *20353:B2 5.88657e-05 +20 *20353:A2 *1931:48 0.000251928 +21 *20353:A2 *2675:14 0.000102053 +22 *20353:A2 *5854:337 1.00846e-05 +23 *20353:A2 *5854:351 1.00981e-05 +24 *20353:A2 *5870:306 9.42702e-05 +25 *20356:A2 *19953:A 0 +26 *20356:A2 *20354:A1 0.000466359 +27 *20356:A2 *20356:A1 0.000211492 +28 *20356:A2 *2466:16 0.000192283 +29 *20356:A2 *2928:24 0.000307037 +30 *20356:A2 *3014:30 5.16333e-05 +31 *1930:7 *3014:30 2.16355e-05 +32 *1930:11 *21922:A 1.23804e-05 +33 *1930:11 *1931:15 1.07248e-05 +34 *1930:11 *1931:28 0.000145521 +35 *1930:11 *4922:38 8.5488e-05 +36 *1930:11 *5202:8 1.09738e-05 +37 *1930:11 *5858:429 6.50727e-05 +38 *1930:11 *5858:443 3.58044e-05 +39 *1930:14 *20352:B2 0 +40 *1930:14 *1931:15 0 +41 *1930:14 *5202:8 6.88784e-05 +42 *1930:51 *20354:A1 1.65872e-05 +43 *1930:51 *20354:B1 9.05137e-05 +44 *1930:51 *20354:B2 6.08467e-05 +45 *1930:51 *1931:28 9.58043e-06 +46 *1930:51 *4922:38 0.000193336 +47 *1930:51 *5860:433 1.17108e-05 +48 *20030:C1 *20352:A2 7.87126e-05 +49 *20030:C1 *1930:14 1.66893e-05 +50 *24672:D *1930:11 0.000164815 +51 *1419:72 *1930:51 3.1645e-05 +52 *1492:46 *20356:A2 1.76994e-05 +53 *1573:46 *20356:A2 4.0752e-05 +54 *1573:46 *1930:51 0.000393863 +55 *1711:38 *20356:A2 7.42651e-05 +56 *1785:12 *1930:14 0.000491613 +*RES +1 *20350:X *1930:7 14.4725 +2 *1930:7 *1930:11 8.9951 +3 *1930:11 *1930:14 14.637 +4 *1930:14 *20352:A2 17.2421 +5 *1930:14 *1930:23 4.5 +6 *1930:23 *20351:A 11.2747 +7 *1930:23 *20353:A2 15.5427 +8 *1930:11 *20355:A2 9.24915 +9 *1930:7 *1930:51 13.5926 +10 *1930:51 *20354:A2 9.24915 +11 *1930:51 *20356:A2 29.7048 +*END + +*D_NET *1931 0.00474579 +*CONN +*I *20353:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20354:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20356:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20355:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20352:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20351:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20353:B2 1.69719e-05 +2 *20354:B2 0.000113847 +3 *20356:B2 7.80266e-05 +4 *20355:B2 0 +5 *20352:B2 0.000138523 +6 *20351:Y 0 +7 *1931:49 6.77015e-05 +8 *1931:48 0.000114087 +9 *1931:28 0.000372012 +10 *1931:15 0.000557452 +11 *1931:7 0.00054143 +12 *1931:4 8.89499e-05 +13 *20352:B2 *20352:B1 1.07248e-05 +14 *20353:B2 *2675:14 6.08467e-05 +15 *20354:B2 *20354:B1 6.08467e-05 +16 *20356:B2 *2936:14 8.39059e-05 +17 *20356:B2 *3014:30 3.8181e-05 +18 *1931:7 *2675:14 2.42273e-05 +19 *1931:7 *5870:306 0.000171288 +20 *1931:15 *5202:8 1.30854e-05 +21 *1931:28 *4828:129 1.02901e-05 +22 *1931:28 *4922:38 0.000103947 +23 *1931:28 *5860:433 0.00036633 +24 *1931:48 *5870:306 0.000302789 +25 *20351:A *1931:7 4.03114e-05 +26 *20351:A *1931:48 4.88955e-05 +27 *20352:A2 *20352:B2 0 +28 *20353:A2 *20353:B2 5.88657e-05 +29 *20353:A2 *1931:48 0.000251928 +30 *1419:72 *20352:B2 9.48785e-05 +31 *1419:72 *1931:15 0.000206846 +32 *1419:72 *1931:28 6.18409e-05 +33 *1467:43 *20354:B2 0.000196928 +34 *1467:43 *1931:28 1.66626e-05 +35 *1785:12 *20354:B2 0.000186291 +36 *1785:12 *1931:28 2.02035e-05 +37 *1930:11 *1931:15 1.07248e-05 +38 *1930:11 *1931:28 0.000145521 +39 *1930:14 *20352:B2 0 +40 *1930:14 *1931:15 0 +41 *1930:51 *20354:B2 6.08467e-05 +42 *1930:51 *1931:28 9.58043e-06 +*RES +1 *20351:Y *1931:4 9.24915 +2 *1931:4 *1931:7 6.3326 +3 *1931:7 *20352:B2 17.6574 +4 *1931:7 *1931:15 9.72179 +5 *1931:15 *20355:B2 13.7491 +6 *1931:15 *1931:28 10.4951 +7 *1931:28 *20356:B2 16.1364 +8 *1931:28 *20354:B2 18.3808 +9 *1931:4 *1931:48 12.7456 +10 *1931:48 *1931:49 57.9449 +11 *1931:49 *20353:B2 19.2217 +*END + +*D_NET *1932 0.000273836 +*CONN +*I *20358:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20357:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20358:A 3.21872e-05 +2 *20357:X 3.21872e-05 +3 *20358:A *21878:A 0.000104731 +4 *516:26 *20358:A 0.000104731 +*RES +1 *20357:X *20358:A 29.3303 +*END + +*D_NET *1933 0.0189099 +*CONN +*I *20361:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20364:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20359:A I *D sky130_fd_sc_hd__inv_2 +*I *20360:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20362:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20365:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20366:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20363:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20367:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20358:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20361:A2 0 +2 *20364:A2 1.98947e-05 +3 *20359:A 0.000322225 +4 *20360:A2 0.000122974 +5 *20362:A2 0 +6 *20365:A2 2.18282e-05 +7 *20366:A2 0.000164489 +8 *20363:A2 1.05792e-05 +9 *20367:A2 0.000567947 +10 *20358:X 0.000262623 +11 *1933:72 0.000579607 +12 *1933:70 0.000551605 +13 *1933:62 0.00125858 +14 *1933:52 0.00139893 +15 *1933:16 0.000863734 +16 *1933:15 0.000807763 +17 *1933:9 0.000589687 +18 *1933:8 0.00101006 +19 *20359:A *1934:7 6.73186e-05 +20 *20360:A2 *20360:A1 0.000208627 +21 *20360:A2 *21206:A1 0.000206696 +22 *20360:A2 *2385:10 2.52626e-05 +23 *20360:A2 *4843:545 0.000210466 +24 *20360:A2 *4845:396 0.000146957 +25 *20363:A2 *1934:49 3.85049e-05 +26 *20364:A2 *20364:A1 2.16355e-05 +27 *20366:A2 *24665:CLK 0.000213725 +28 *20366:A2 *2393:12 0.000229311 +29 *20366:A2 *2426:68 8.65358e-05 +30 *20366:A2 *2903:8 5.52031e-05 +31 *20367:A2 *20367:B1 6.50586e-05 +32 *20367:A2 *21519:A 5.22654e-06 +33 *20367:A2 *2393:12 0.000949233 +34 *20367:A2 *2903:8 0.000216982 +35 *20367:A2 *5197:8 5.82465e-05 +36 *1933:8 *20365:B1 0 +37 *1933:8 *2907:6 0 +38 *1933:9 *20365:B1 0.000198568 +39 *1933:9 *2667:13 6.50727e-05 +40 *1933:15 *20365:B1 2.01874e-05 +41 *1933:15 *20365:B2 1.58551e-05 +42 *1933:15 *20366:B2 1.82679e-05 +43 *1933:16 *21519:A 6.07931e-05 +44 *1933:16 *2393:12 0.000674727 +45 *1933:16 *2903:8 0.000156702 +46 *1933:52 *20237:A1 1.65872e-05 +47 *1933:52 *20365:B1 7.80901e-05 +48 *1933:52 *2273:6 0.000355834 +49 *1933:52 *2667:13 0.00145204 +50 *1933:52 *4844:372 0.000145489 +51 *1933:52 *4844:382 1.2693e-05 +52 *1933:62 *20362:A1 0.000112045 +53 *1933:62 *1934:32 0 +54 *1933:62 *2273:6 0.000590477 +55 *1933:62 *4829:10 4.69495e-06 +56 *1933:62 *4844:382 1.26168e-05 +57 *1933:70 *4829:10 0.000513714 +58 *1933:70 *4843:531 0.000160617 +59 *1933:70 *4843:545 0.000330261 +60 *1933:70 *4845:396 0.000731261 +61 *1933:72 *4843:545 0.000365815 +62 *1933:72 *4845:396 0.000279398 +63 *20237:A2 *1933:52 0.000311249 +64 *24005:A0 *1933:70 0.000164815 +65 *24663:D *20367:A2 4.31539e-05 +66 *24665:D *1933:15 3.62662e-06 +67 *24666:D *1933:70 0.000118485 +68 *24666:D *1933:72 5.41227e-05 +69 *24669:D *1933:62 2.33193e-05 +70 *496:8 *1933:8 0 +71 *514:106 *20363:A2 1.44925e-05 +72 *516:26 *1933:8 0.000126113 +73 *518:82 *1933:62 0 +74 *521:11 *20364:A2 6.08467e-05 +75 *524:43 *1933:62 9.37736e-06 +76 *550:13 *1933:62 0.000128693 +77 *1671:70 *20367:A2 0.000122239 +*RES +1 *20358:X *1933:8 24.2337 +2 *1933:8 *1933:9 5.71483 +3 *1933:9 *1933:15 10.0943 +4 *1933:15 *1933:16 12.2133 +5 *1933:16 *20367:A2 34.9965 +6 *1933:16 *20363:A2 14.1952 +7 *1933:15 *20366:A2 20.4599 +8 *1933:9 *20365:A2 9.82786 +9 *1933:8 *1933:52 27.1521 +10 *1933:52 *1933:62 23.5733 +11 *1933:62 *20362:A2 9.24915 +12 *1933:62 *1933:70 22.6984 +13 *1933:70 *1933:72 6.81502 +14 *1933:72 *20360:A2 20.0446 +15 *1933:72 *20359:A 20.5732 +16 *1933:70 *20364:A2 14.4725 +17 *1933:52 *20361:A2 13.7491 +*END + +*D_NET *1934 0.0164185 +*CONN +*I *20362:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20361:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20365:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20366:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20363:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20367:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20364:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20360:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20359:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20362:B2 1.42424e-05 +2 *20361:B2 3.53794e-05 +3 *20365:B2 6.2167e-05 +4 *20366:B2 0.000367087 +5 *20363:B2 0 +6 *20367:B2 0.000598179 +7 *20364:B2 3.19825e-05 +8 *20360:B2 0.000105255 +9 *20359:Y 0.000114451 +10 *1934:67 0.000565629 +11 *1934:49 0.000885888 +12 *1934:43 0.00157454 +13 *1934:32 0.00172535 +14 *1934:26 0.00104403 +15 *1934:15 0.000662842 +16 *1934:7 0.000360281 +17 *20360:B2 *2980:6 0.000260938 +18 *20360:B2 *4915:10 0.000115936 +19 *20362:B2 *5960:27 1.43983e-05 +20 *20364:B2 *20364:B1 0.000158371 +21 *20366:B2 *20366:B1 1.21831e-05 +22 *20366:B2 *5851:365 0 +23 *20367:B2 *20367:B1 0 +24 *20367:B2 *24667:RESET_B 0 +25 *20367:B2 *5851:337 0 +26 *20367:B2 *5854:365 0.000139975 +27 *1934:15 *2980:6 0.000327431 +28 *1934:15 *4915:10 0.000136939 +29 *1934:26 *2980:6 0.000697423 +30 *1934:26 *4843:531 2.55661e-06 +31 *1934:26 *4843:545 2.15876e-05 +32 *1934:26 *4844:382 4.21114e-05 +33 *1934:26 *4915:6 0.000203745 +34 *1934:26 *4915:10 8.18789e-05 +35 *1934:32 *4866:12 7.58734e-05 +36 *1934:32 *5960:27 0.000150649 +37 *1934:43 *21471:A 0.000335695 +38 *1934:43 *24749:SET_B 9.89515e-06 +39 *1934:43 *2528:10 3.199e-05 +40 *1934:43 *2667:8 0.000752727 +41 *1934:43 *4943:8 0.000206563 +42 *1934:49 *20363:B1 6.08467e-05 +43 *1934:49 *2393:12 0.000302254 +44 *1934:49 *2576:6 9.34145e-05 +45 *1934:49 *2756:8 0 +46 *1934:49 *5854:365 0.000351426 +47 *1934:67 *2393:12 0.000416817 +48 *1934:67 *2576:6 0.000422415 +49 *20359:A *1934:7 6.73186e-05 +50 *20363:A2 *1934:49 3.85049e-05 +51 *24008:A0 *1934:32 5.82465e-05 +52 *24667:D *20367:B2 4.77259e-05 +53 *514:106 *20367:B2 0.000147325 +54 *514:106 *1934:49 5.02625e-05 +55 *515:13 *20362:B2 5.08751e-05 +56 *515:13 *1934:32 0.000716734 +57 *518:82 *1934:26 7.50722e-05 +58 *518:82 *1934:32 3.84001e-05 +59 *521:11 *20364:B2 0.000158371 +60 *524:43 *1934:32 0.000209884 +61 *1671:38 *20366:B2 0.000171141 +62 *1671:42 *20367:B2 0.000908655 +63 *1671:59 *20367:B2 5.92192e-05 +64 *1744:42 *1934:32 1.32509e-05 +65 *1933:15 *20365:B2 1.58551e-05 +66 *1933:15 *20366:B2 1.82679e-05 +67 *1933:62 *1934:32 0 +*RES +1 *20359:Y *1934:7 15.5817 +2 *1934:7 *20360:B2 18.4879 +3 *1934:7 *1934:15 5.98452 +4 *1934:15 *20364:B2 15.5817 +5 *1934:15 *1934:26 20.8658 +6 *1934:26 *1934:32 26.655 +7 *1934:32 *1934:43 28.9026 +8 *1934:43 *1934:49 14.699 +9 *1934:49 *20367:B2 38.9419 +10 *1934:49 *20363:B2 9.24915 +11 *1934:43 *1934:67 12.1455 +12 *1934:67 *20366:B2 27.0417 +13 *1934:67 *20365:B2 10.5271 +14 *1934:32 *20361:B2 10.2378 +15 *1934:26 *20362:B2 9.97254 +*END + +*D_NET *1935 0.0015185 +*CONN +*I *20369:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20368:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20369:A 0.000216813 +2 *20368:X 0.000216813 +3 *20369:A *1936:5 2.65831e-05 +4 *20369:A *1936:9 2.65667e-05 +5 *20369:A *2515:11 0.000350024 +6 *20369:A *2627:22 0 +7 *20369:A *2749:10 0.000343552 +8 *20369:A *2948:25 1.41291e-05 +9 *20369:A *5869:174 0.000171273 +10 *1442:55 *20369:A 0.000152743 +*RES +1 *20368:X *20369:A 36.4597 +*END + +*D_NET *1936 0.00904163 +*CONN +*I *20373:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20371:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20375:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20374:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20370:A I *D sky130_fd_sc_hd__inv_2 +*I *20372:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20369:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20373:A2 0.000226225 +2 *20371:A2 6.81656e-05 +3 *20375:A2 0.000195243 +4 *20374:A2 1.98947e-05 +5 *20370:A 0.000198629 +6 *20372:A2 2.71174e-05 +7 *20369:X 1.09091e-05 +8 *1936:24 0.000239397 +9 *1936:22 0.000512154 +10 *1936:12 0.000473723 +11 *1936:9 0.000457303 +12 *1936:5 0.000475703 +13 *20370:A *1937:5 0.000162583 +14 *20370:A *1937:20 0.000188843 +15 *20370:A *2810:30 0.000139435 +16 *20371:A2 *5858:180 0.00018863 +17 *20372:A2 *20372:A1 0.00027103 +18 *20373:A2 *20373:A1 0.000164815 +19 *20373:A2 *2627:16 0.000102899 +20 *20373:A2 *2627:22 9.81314e-05 +21 *20373:A2 *2836:8 0 +22 *20373:A2 *2878:32 8.50937e-05 +23 *20374:A2 *24659:CLK 6.08467e-05 +24 *20375:A2 *20375:B1 4.80635e-06 +25 *20375:A2 *24659:CLK 0.000154145 +26 *1936:5 *2948:25 5.08751e-05 +27 *1936:9 *24662:RESET_B 4.06462e-05 +28 *1936:9 *2948:25 0.000762297 +29 *1936:9 *5869:174 0.000151664 +30 *1936:12 *5858:180 0.000325688 +31 *1936:22 *20372:A1 0.000158371 +32 *1936:22 *1937:31 4.3116e-06 +33 *1936:22 *2810:30 0.000267951 +34 *1936:22 *2999:20 1.98097e-05 +35 *1936:24 *2810:30 5.05252e-05 +36 *20369:A *1936:5 2.65831e-05 +37 *20369:A *1936:9 2.65667e-05 +38 *24659:D *20375:A2 6.08467e-05 +39 *1422:31 *20370:A 0.000123104 +40 *1422:31 *20374:A2 2.16355e-05 +41 *1422:31 *20375:A2 5.56688e-05 +42 *1442:55 *20373:A2 1.36556e-05 +43 *1448:213 *20373:A2 0.000169041 +44 *1448:213 *1936:22 0.000168314 +45 *1522:21 *20370:A 2.42138e-05 +46 *1753:26 *20370:A 0.000139435 +47 *1753:26 *1936:22 0.000508886 +48 *1753:26 *1936:24 4.55115e-05 +49 *1784:18 *20371:A2 0.000181487 +50 *1784:18 *1936:12 0.00033924 +51 *1801:38 *1936:22 0.000169063 +52 *1801:49 *20372:A2 0.000275256 +53 *1801:49 *1936:22 0.000335263 +*RES +1 *20369:X *1936:5 9.97254 +2 *1936:5 *1936:9 14.0971 +3 *1936:9 *1936:12 10.8998 +4 *1936:12 *20372:A2 12.191 +5 *1936:12 *1936:22 18.2728 +6 *1936:22 *1936:24 1.00149 +7 *1936:24 *20370:A 21.596 +8 *1936:24 *20374:A2 14.4725 +9 *1936:22 *20375:A2 19.9945 +10 *1936:9 *20371:A2 17.2421 +11 *1936:5 *20373:A2 26.4815 +*END + +*D_NET *1937 0.00767775 +*CONN +*I *20371:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20372:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20373:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20375:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20374:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20370:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20371:B2 0 +2 *20372:B2 0.00031772 +3 *20373:B2 0 +4 *20375:B2 0 +5 *20374:B2 6.49787e-05 +6 *20370:Y 0.000108473 +7 *1937:43 0.000887088 +8 *1937:31 0.000912088 +9 *1937:20 0.000613829 +10 *1937:5 0.00044456 +11 *20372:B2 *20372:B1 6.08467e-05 +12 *20372:B2 *2563:8 0.000525717 +13 *20372:B2 *4863:136 0.000517095 +14 *20374:B2 *20374:B1 6.08467e-05 +15 *20374:B2 *24659:CLK 6.08467e-05 +16 *20374:B2 *2510:28 0.000118485 +17 *20374:B2 *2993:20 0.000118485 +18 *1937:20 *24659:CLK 0.000122083 +19 *1937:20 *2810:30 0.000122083 +20 *1937:31 *19926:A 0 +21 *1937:31 *24659:CLK 3.31733e-05 +22 *1937:31 *2627:16 0 +23 *1937:31 *2810:30 0.000143951 +24 *1937:31 *2999:20 0.000151528 +25 *1937:31 *5856:241 0.000315406 +26 *1937:43 *20371:A1 0.000149285 +27 *1937:43 *20371:B1 0.000156308 +28 *1937:43 *21458:A 0.000107496 +29 *1937:43 *2627:22 0 +30 *1937:43 *2749:10 0.000253916 +31 *1937:43 *5851:186 3.07561e-05 +32 *1937:43 *5856:232 0.000500054 +33 *1937:43 *5856:241 5.41227e-05 +34 *20370:A *1937:5 0.000162583 +35 *20370:A *1937:20 0.000188843 +36 *24660:D *1937:43 5.38059e-05 +37 *24662:D *1937:43 5.51483e-06 +38 *1448:221 *20372:B2 7.39264e-05 +39 *1448:221 *1937:43 0.000107496 +40 *1522:21 *1937:20 1.19751e-05 +41 *1753:26 *1937:43 0 +42 *1801:38 *1937:31 5.30055e-05 +43 *1801:49 *20372:B2 6.50727e-05 +44 *1936:22 *1937:31 4.3116e-06 +*RES +1 *20370:Y *1937:5 11.0817 +2 *1937:5 *20374:B2 21.2198 +3 *1937:5 *1937:20 10.2437 +4 *1937:20 *20375:B2 13.7491 +5 *1937:20 *1937:31 11.4561 +6 *1937:31 *20373:B2 13.7491 +7 *1937:31 *1937:43 23.149 +8 *1937:43 *20372:B2 31.0815 +9 *1937:43 *20371:B2 9.24915 +*END + +*D_NET *1938 0.00166459 +*CONN +*I *20377:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20376:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20377:A 0.000175907 +2 *20376:X 0.000175907 +3 *20377:A *1939:8 0.000113968 +4 *20377:A *2785:14 3.45797e-05 +5 *462:7 *20377:A 0.000652984 +6 *468:17 *20377:A 0.000417478 +7 *1439:333 *20377:A 3.77804e-05 +8 *1506:75 *20377:A 8.62625e-06 +9 *1515:34 *20377:A 4.73598e-05 +*RES +1 *20376:X *20377:A 36.7089 +*END + +*D_NET *1939 0.00901509 +*CONN +*I *20380:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20381:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20378:A I *D sky130_fd_sc_hd__inv_2 +*I *20383:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20382:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20379:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20377:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20380:A2 0.000361595 +2 *20381:A2 1.77893e-05 +3 *20378:A 2.17552e-05 +4 *20383:A2 0.000119134 +5 *20382:A2 1.47608e-05 +6 *20379:A2 0.000126863 +7 *20377:X 0.000224576 +8 *1939:33 0.00036023 +9 *1939:24 0.000478166 +10 *1939:12 0.000566123 +11 *1939:10 0.000332717 +12 *1939:8 0.000705904 +13 *20378:A *5860:257 0.000111708 +14 *20379:A2 *20379:A1 0.000208627 +15 *20379:A2 *20379:B1 1.88563e-05 +16 *20379:A2 *2964:25 0.00015901 +17 *20379:A2 *2993:18 0.000205685 +18 *20380:A2 *20380:A1 0.00011818 +19 *20380:A2 *4863:107 0.00011818 +20 *20380:A2 *5858:190 1.19948e-05 +21 *20381:A2 *20381:A1 0.000113968 +22 *20381:A2 *20381:B1 0.000113968 +23 *20382:A2 *20382:A1 6.08467e-05 +24 *20382:A2 *20382:B1 6.08467e-05 +25 *20383:A2 *20383:B1 1.64789e-05 +26 *20383:A2 *2563:8 0.000186014 +27 *20383:A2 *2758:7 6.51738e-05 +28 *20383:A2 *4894:44 1.56419e-05 +29 *20383:A2 *5874:89 0.000186014 +30 *1939:8 *2469:19 7.92757e-06 +31 *1939:8 *2469:23 3.58208e-05 +32 *1939:10 *2993:20 1.3807e-05 +33 *1939:12 *2993:18 0.00018122 +34 *1939:12 *2993:20 5.56677e-05 +35 *1939:24 *20382:A1 0.00045219 +36 *1939:24 *20382:B1 0.000217951 +37 *1939:33 *2563:8 0.000335233 +38 *1939:33 *5854:173 0.000114425 +39 *1939:33 *5874:89 5.54585e-05 +40 *20377:A *1939:8 0.000113968 +41 *24655:D *1939:8 0.000134721 +42 *24655:D *1939:10 0.000171323 +43 *24656:D *20380:A2 9.12416e-06 +44 *462:7 *1939:8 0.000149285 +45 *1459:26 *20380:A2 0.000347214 +46 *1515:34 *1939:8 0.000393863 +47 *1687:13 *20378:A 0.000109421 +48 *1753:30 *20379:A2 0.000205685 +49 *1753:30 *1939:8 0.00013822 +50 *1753:30 *1939:10 0.000213222 +51 *1753:30 *1939:12 0.00034425 +52 *1755:21 *1939:24 4.801e-05 +53 *1784:16 *20380:A2 6.62712e-05 +*RES +1 *20377:X *1939:8 22.6811 +2 *1939:8 *1939:10 4.32351 +3 *1939:10 *1939:12 6.81502 +4 *1939:12 *20379:A2 20.0446 +5 *1939:12 *1939:24 12.4332 +6 *1939:24 *20382:A2 9.97254 +7 *1939:24 *1939:33 11.315 +8 *1939:33 *20383:A2 18.8577 +9 *1939:33 *20378:A 15.0271 +10 *1939:10 *20381:A2 15.0271 +11 *1939:8 *20380:A2 30.2277 +*END + +*D_NET *1940 0.00458821 +*CONN +*I *20380:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20381:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20382:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20379:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20383:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20378:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20380:B2 0.000167113 +2 *20381:B2 0.00033013 +3 *20382:B2 5.06333e-05 +4 *20379:B2 0.000178558 +5 *20383:B2 0.000214863 +6 *20378:Y 7.63073e-05 +7 *1940:43 0.000701417 +8 *1940:30 0.00037893 +9 *1940:21 0.000387573 +10 *1940:7 0.000376064 +11 *20379:B2 *4894:44 0.000117562 +12 *20380:B2 *2563:8 4.85418e-05 +13 *20380:B2 *4863:114 0.000160617 +14 *20380:B2 *4876:69 2.98857e-05 +15 *20381:B2 *20381:B1 4.80635e-06 +16 *20381:B2 *21962:A 7.29654e-05 +17 *20382:B2 *4876:69 1.1573e-05 +18 *20383:B2 *20383:B1 4.88955e-05 +19 *20383:B2 *2563:8 1.34224e-05 +20 *20383:B2 *4876:69 0.000232243 +21 *20383:B2 *5481:16 7.77309e-06 +22 *20383:B2 *5860:247 6.50727e-05 +23 *20383:B2 *5860:257 0.000163997 +24 *1940:21 *2563:8 4.07035e-05 +25 *1940:21 *4876:69 2.60921e-05 +26 *1940:30 *2563:8 9.51445e-05 +27 *1940:30 *4876:69 5.34356e-05 +28 *1940:43 *2563:8 0.000163758 +29 *1940:43 *4876:69 8.96434e-05 +30 *24654:D *20382:B2 2.65667e-05 +31 *24654:D *1940:43 2.652e-05 +32 *1485:80 *20379:B2 9.85153e-05 +33 *1687:13 *1940:7 0.000110458 +34 *1755:21 *20382:B2 1.84293e-05 +*RES +1 *20378:Y *1940:7 15.0271 +2 *1940:7 *20383:B2 21.2315 +3 *1940:7 *1940:21 2.24725 +4 *1940:21 *20379:B2 18.9094 +5 *1940:21 *1940:30 4.11588 +6 *1940:30 *20382:B2 15.584 +7 *1940:30 *1940:43 7.02265 +8 *1940:43 *20381:B2 20.5973 +9 *1940:43 *20380:B2 18.2442 +*END + +*D_NET *1941 0.00137013 +*CONN +*I *20385:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20384:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20385:A 0.000470448 +2 *20384:X 0.000470448 +3 *20385:A *24651:CLK 5.69883e-05 +4 *20385:A *1942:7 0.000107496 +5 *20385:A *5159:8 2.41274e-06 +6 *20385:A *5867:147 1.58551e-05 +7 *20385:A *5867:166 1.58551e-05 +8 *24651:D *20385:A 6.23875e-05 +9 *1626:8 *20385:A 0.000119538 +10 *1788:162 *20385:A 4.8703e-05 +*RES +1 *20384:X *20385:A 38.9809 +*END + +*D_NET *1942 0.0132221 +*CONN +*I *20393:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20391:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20386:A I *D sky130_fd_sc_hd__inv_2 +*I *20394:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20392:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20390:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20387:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20388:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20389:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20385:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20393:A2 0.000306091 +2 *20391:A2 9.86335e-05 +3 *20386:A 0 +4 *20394:A2 3.09241e-05 +5 *20392:A2 0.000132274 +6 *20390:A2 7.74008e-05 +7 *20387:A2 0.000158014 +8 *20388:A2 0.000180669 +9 *20389:A2 0.000136404 +10 *20385:X 2.60774e-05 +11 *1942:88 0.000359208 +12 *1942:84 0.000775237 +13 *1942:67 0.000412304 +14 *1942:56 0.000428668 +15 *1942:47 0.000331842 +16 *1942:34 0.000373474 +17 *1942:21 0.000359236 +18 *1942:12 0.000196236 +19 *1942:11 0.000108618 +20 *1942:7 0.000168827 +21 *20387:A2 *20387:A1 6.08467e-05 +22 *20387:A2 *20387:B2 0.000154145 +23 *20387:A2 *2551:18 0.000107496 +24 *20387:A2 *3220:12 5.1423e-05 +25 *20387:A2 *4845:678 2.65465e-05 +26 *20388:A2 *20388:B1 5.49916e-05 +27 *20388:A2 *22024:A1 0.000142302 +28 *20388:A2 *2728:41 0.000413833 +29 *20388:A2 *2845:14 8.05608e-05 +30 *20389:A2 *20389:A1 0.000351426 +31 *20389:A2 *20389:B1 1.61631e-05 +32 *20390:A2 *20390:A1 0.000258142 +33 *20390:A2 *21463:A 0.000142194 +34 *20390:A2 *2837:17 0.000400335 +35 *20391:A2 *20391:A1 0.000207266 +36 *20391:A2 *20391:B2 0.000313513 +37 *20391:A2 *1943:8 6.28168e-05 +38 *20391:A2 *2950:22 0.000158338 +39 *20392:A2 *20392:A1 2.65831e-05 +40 *20392:A2 *20392:B2 0.000107496 +41 *20392:A2 *2602:23 0.000104572 +42 *20392:A2 *4840:173 5.51483e-06 +43 *20392:A2 *4840:186 3.31745e-05 +44 *20393:A2 *20393:B1 2.28186e-05 +45 *20393:A2 *20393:B2 6.22703e-05 +46 *20393:A2 *5861:66 5.04054e-06 +47 *20394:A2 *20394:B1 4.51359e-06 +48 *20394:A2 *24950:A 8.21317e-05 +49 *1942:7 *24651:CLK 0.000107496 +50 *1942:11 *2075:31 0.000174175 +51 *1942:21 *2075:31 0.000210596 +52 *1942:34 *2075:31 0.000132349 +53 *1942:47 *2075:31 0.000343452 +54 *1942:56 *2075:31 0.000346948 +55 *1942:67 *19963:A 3.20069e-06 +56 *1942:67 *2075:31 0.000304214 +57 *1942:67 *2551:8 1.62525e-05 +58 *1942:67 *2963:22 0.000124506 +59 *1942:84 *19963:A 1.67453e-05 +60 *1942:84 *20778:A 0.000301612 +61 *1942:84 *24950:A 4.25525e-05 +62 *1942:84 *2963:22 0.000384729 +63 *1942:88 *20393:B2 5.481e-05 +64 *1942:88 *1943:8 0.000337052 +65 *1942:88 *1943:12 2.65667e-05 +66 *1942:88 *2950:22 0.00034065 +67 *20385:A *1942:7 0.000107496 +68 *24652:D *20387:A2 5.04829e-06 +69 *1153:15 *20394:A2 0.0001148 +70 *1153:15 *1942:67 4.3116e-06 +71 *1566:91 *20389:A2 0.000150811 +72 *1601:8 *1942:67 0 +73 *1619:58 *20389:A2 3.31745e-05 +74 *1707:32 *20388:A2 4.4196e-06 +75 *1783:28 *1942:11 0.000177787 +76 *1783:28 *1942:21 0.000208197 +77 *1783:28 *1942:34 0.000130653 +78 *1783:28 *1942:47 0.000337738 +79 *1783:28 *1942:56 0.000341862 +80 *1783:28 *1942:67 0.000221261 +*RES +1 *20385:X *1942:7 15.0271 +2 *1942:7 *1942:11 16.8269 +3 *1942:11 *1942:12 81.1229 +4 *1942:12 *20389:A2 23.6585 +5 *1942:7 *1942:21 4.11588 +6 *1942:21 *20388:A2 22.6964 +7 *1942:21 *1942:34 2.87013 +8 *1942:34 *20387:A2 18.5477 +9 *1942:34 *1942:47 6.39977 +10 *1942:47 *20390:A2 18.3548 +11 *1942:47 *1942:56 6.39977 +12 *1942:56 *20392:A2 17.8243 +13 *1942:56 *1942:67 7.23027 +14 *1942:67 *20394:A2 15.642 +15 *1942:67 *1942:84 12.1455 +16 *1942:84 *1942:88 12.8717 +17 *1942:88 *20386:A 13.7491 +18 *1942:88 *20391:A2 19.2141 +19 *1942:84 *20393:A2 14.2888 +*END + +*D_NET *1943 0.0130492 +*CONN +*I *20391:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20393:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20394:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20392:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20390:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20388:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20387:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20389:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20386:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20391:B2 0.000283797 +2 *20393:B2 8.0904e-05 +3 *20394:B2 0 +4 *20392:B2 0.000101799 +5 *20390:B2 2.81399e-05 +6 *20388:B2 7.55623e-05 +7 *20387:B2 0.000210679 +8 *20389:B2 0.000158607 +9 *20386:Y 0 +10 *1943:37 0.000410909 +11 *1943:25 0.000544591 +12 *1943:20 0.000558173 +13 *1943:16 0.00050863 +14 *1943:12 0.000340654 +15 *1943:8 0.000410419 +16 *1943:5 0.000410771 +17 *20387:B2 *2298:16 5.7135e-05 +18 *20387:B2 *2551:18 3.31745e-05 +19 *20387:B2 *2728:41 4.04556e-05 +20 *20387:B2 *3220:12 8.79845e-05 +21 *20388:B2 *2697:19 8.55661e-05 +22 *20388:B2 *5867:147 0.000247443 +23 *20389:B2 *2565:10 0.00037186 +24 *20389:B2 *3057:14 0.000374472 +25 *20390:B2 *20390:B1 6.12989e-05 +26 *20390:B2 *2837:17 0.000108197 +27 *20391:B2 *20391:A1 3.31745e-05 +28 *20391:B2 *20391:B1 4.58003e-05 +29 *20391:B2 *2551:8 0.000143032 +30 *20391:B2 *2950:22 7.77309e-06 +31 *20391:B2 *5853:71 5.51483e-06 +32 *20392:B2 *2602:23 2.3527e-05 +33 *20392:B2 *4840:186 0.000400321 +34 *20393:B2 *20393:B1 6.50727e-05 +35 *20393:B2 *4839:93 0.000234742 +36 *1943:8 *2551:8 0.000430093 +37 *1943:8 *2950:22 2.19276e-05 +38 *1943:12 *2697:8 0.000350456 +39 *1943:12 *2950:22 0.000357569 +40 *1943:12 *4839:93 0.000163414 +41 *1943:16 *2697:8 0.000383151 +42 *1943:16 *2950:22 7.86847e-05 +43 *1943:16 *2950:34 0.000167439 +44 *1943:20 *3057:8 5.18394e-05 +45 *1943:20 *4840:186 0.000391697 +46 *1943:20 *5866:153 0.000230418 +47 *1943:25 *3057:8 0.000147248 +48 *1943:25 *3057:12 1.3023e-05 +49 *1943:25 *3057:14 0.000213422 +50 *1943:25 *5867:125 0.000107496 +51 *1943:37 *2697:19 2.15348e-05 +52 *1943:37 *5867:125 0.000294093 +53 *1943:37 *5867:147 0.000193069 +54 *20387:A2 *20387:B2 0.000154145 +55 *20391:A2 *20391:B2 0.000313513 +56 *20391:A2 *1943:8 6.28168e-05 +57 *20392:A2 *20392:B2 0.000107496 +58 *20393:A2 *20393:B2 6.22703e-05 +59 *20749:B *1943:25 4.31539e-05 +60 *20749:B *1943:37 6.13007e-05 +61 *24649:D *20390:B2 1.74553e-05 +62 *1439:438 *20392:B2 5.99691e-05 +63 *1439:438 *1943:20 0.000149071 +64 *1566:91 *20389:B2 2.16355e-05 +65 *1586:117 *1943:37 0.000247443 +66 *1619:58 *20389:B2 2.57986e-05 +67 *1629:58 *1943:20 0.000358052 +68 *1629:58 *1943:25 0.000585387 +69 *1783:28 *20387:B2 0.00019451 +70 *1942:88 *20393:B2 5.481e-05 +71 *1942:88 *1943:8 0.000337052 +72 *1942:88 *1943:12 2.65667e-05 +*RES +1 *20386:Y *1943:5 13.7491 +2 *1943:5 *1943:8 12.1455 +3 *1943:8 *1943:12 13.1476 +4 *1943:12 *1943:16 11.315 +5 *1943:16 *1943:20 15.9206 +6 *1943:20 *1943:25 16.3303 +7 *1943:25 *20389:B2 25.7876 +8 *1943:25 *1943:37 5.71483 +9 *1943:37 *20387:B2 25.0992 +10 *1943:37 *20388:B2 12.191 +11 *1943:20 *20390:B2 15.0271 +12 *1943:16 *20392:B2 13.8548 +13 *1943:12 *20394:B2 13.7491 +14 *1943:8 *20393:B2 12.7456 +15 *1943:5 *20391:B2 22.1506 +*END + +*D_NET *1944 0.00217785 +*CONN +*I *20396:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20395:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20396:A 0.000323848 +2 *20395:X 0.000323848 +3 *20396:A *4826:23 0.000570342 +4 *20396:A *5866:279 0.000154465 +5 *20548:A *20396:A 0.000570342 +6 *1783:8 *20396:A 0.000235008 +*RES +1 *20395:X *20396:A 43.0743 +*END + +*D_NET *1945 0.0126803 +*CONN +*I *20402:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20397:A I *D sky130_fd_sc_hd__inv_2 +*I *20404:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20400:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20405:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20403:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20399:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20401:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20398:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20396:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20402:A2 0.000204113 +2 *20397:A 0.000175809 +3 *20404:A2 0 +4 *20400:A2 7.74654e-05 +5 *20405:A2 0 +6 *20403:A2 0 +7 *20399:A2 0 +8 *20401:A2 0.000232785 +9 *20398:A2 0 +10 *20396:X 0 +11 *1945:64 0.000857524 +12 *1945:57 0.000950616 +13 *1945:48 0.000937072 +14 *1945:41 0.000755868 +15 *1945:34 0.000805983 +16 *1945:25 0.000593178 +17 *1945:8 0.000536484 +18 *1945:4 0.000460169 +19 *20397:A *1946:7 7.48633e-05 +20 *20400:A2 *20400:B2 0.00040283 +21 *20400:A2 *4838:186 0.000602357 +22 *20400:A2 *5907:47 8.14875e-05 +23 *20401:A2 *20398:B2 0.000127432 +24 *20401:A2 *20401:A1 0.000213725 +25 *20401:A2 *2950:20 1.25301e-05 +26 *20401:A2 *2963:20 5.61454e-05 +27 *20401:A2 *5210:8 8.62625e-06 +28 *20401:A2 *5853:611 0.000213725 +29 *20402:A2 *20402:B2 0 +30 *20402:A2 *5672:8 0.000388247 +31 *1945:8 *2950:20 3.1218e-05 +32 *1945:25 *2523:8 0.000331044 +33 *1945:34 *20403:A1 0.000166349 +34 *1945:34 *2369:8 0.000186733 +35 *1945:34 *2523:8 1.37925e-05 +36 *1945:41 *2369:8 0.000223238 +37 *1945:48 *2369:8 0.000213249 +38 *1945:57 *1946:8 0 +39 *1945:57 *1946:10 0 +40 *1945:57 *2369:8 8.77987e-05 +41 *1945:64 *20402:B2 0 +42 *1945:64 *1946:8 0 +43 *1945:64 *5672:8 0.000353492 +44 *20548:A *20401:A2 0.000216073 +45 *20548:A *1945:8 0.000438428 +46 *24637:D *1945:48 5.53934e-05 +47 *24638:D *1945:64 5.04734e-05 +48 *24639:D *1945:34 9.60366e-05 +49 *1707:8 *1945:34 0.000113836 +50 *1707:8 *1945:41 0.000220653 +51 *1707:8 *1945:48 0.000185692 +52 *1707:8 *1945:57 0.000203082 +53 *1707:8 *1945:64 7.7689e-05 +54 *1707:14 *1945:25 0.000134913 +55 *1707:14 *1945:34 0.000440606 +56 *1708:137 *1945:34 7.14746e-05 +*RES +1 *20396:X *1945:4 9.24915 +2 *1945:4 *1945:8 10.7983 +3 *1945:8 *20398:A2 13.7491 +4 *1945:8 *20401:A2 22.9514 +5 *1945:4 *1945:25 10.4845 +6 *1945:25 *20399:A2 13.7491 +7 *1945:25 *1945:34 17.0986 +8 *1945:34 *20403:A2 13.7491 +9 *1945:34 *1945:41 13.4591 +10 *1945:41 *20405:A2 13.7491 +11 *1945:41 *1945:48 13.4591 +12 *1945:48 *20400:A2 20.2959 +13 *1945:48 *1945:57 13.4591 +14 *1945:57 *20404:A2 13.7491 +15 *1945:57 *1945:64 13.4591 +16 *1945:64 *20397:A 18.3548 +17 *1945:64 *20402:A2 20.5642 +*END + +*D_NET *1946 0.012235 +*CONN +*I *20402:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20404:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20400:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20405:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20403:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20398:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20401:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20399:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20397:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20402:B2 0.000321466 +2 *20404:B2 0 +3 *20400:B2 9.88506e-05 +4 *20405:B2 0 +5 *20403:B2 0 +6 *20398:B2 0.000203397 +7 *20401:B2 0.000262792 +8 *20399:B2 6.52169e-05 +9 *20397:Y 0.000106597 +10 *1946:30 0.000637901 +11 *1946:20 0.000675018 +12 *1946:14 0.000818331 +13 *1946:12 0.000803326 +14 *1946:10 0.000973211 +15 *1946:8 0.0010156 +16 *1946:7 0.000992384 +17 *20398:B2 *20398:A1 3.75603e-05 +18 *20398:B2 *20398:B1 0.000111722 +19 *20398:B2 *2950:20 0.000299871 +20 *20399:B2 *2369:8 4.5539e-05 +21 *20399:B2 *2963:20 0.000116971 +22 *20400:B2 *20400:A1 1.62258e-05 +23 *20400:B2 *20400:B1 6.50727e-05 +24 *20400:B2 *4838:186 5.74286e-05 +25 *20400:B2 *5907:47 0.000629893 +26 *20401:B2 *20401:A1 0.000158357 +27 *20401:B2 *5853:611 2.71651e-05 +28 *20402:B2 *4886:37 0 +29 *20402:B2 *4886:46 0 +30 *20402:B2 *5661:10 0 +31 *1946:8 *24594:SET_B 0 +32 *1946:8 *4839:257 2.24484e-05 +33 *1946:8 *4886:37 0 +34 *1946:10 *2369:8 0.00032235 +35 *1946:10 *4839:257 0.000216103 +36 *1946:10 *4886:68 0 +37 *1946:12 *24637:CLK 0 +38 *1946:12 *2287:8 0 +39 *1946:12 *2369:8 0.000785205 +40 *1946:12 *4840:49 0 +41 *1946:12 *4886:68 0 +42 *1946:14 *20553:A1 2.15326e-05 +43 *1946:14 *2369:8 0.000764002 +44 *1946:14 *2950:20 0 +45 *1946:14 *4840:49 0 +46 *1946:14 *4840:51 0 +47 *1946:14 *4841:112 0 +48 *1946:20 *2367:10 0 +49 *1946:20 *2369:8 0.000449601 +50 *1946:20 *2950:20 0 +51 *1946:20 *2963:20 0.000308064 +52 *1946:30 *20401:A1 0.000164843 +53 *1946:30 *21466:A 3.58044e-05 +54 *20397:A *1946:7 7.48633e-05 +55 *20400:A2 *20400:B2 0.00040283 +56 *20401:A2 *20398:B2 0.000127432 +57 *20402:A2 *20402:B2 0 +58 *24640:D *20402:B2 0 +59 *1945:57 *1946:8 0 +60 *1945:57 *1946:10 0 +61 *1945:64 *20402:B2 0 +62 *1945:64 *1946:8 0 +*RES +1 *20397:Y *1946:7 15.5817 +2 *1946:7 *1946:8 12.6286 +3 *1946:8 *1946:10 13.0438 +4 *1946:10 *1946:12 13.8743 +5 *1946:12 *1946:14 13.4591 +6 *1946:14 *1946:20 15.1933 +7 *1946:20 *20399:B2 15.9964 +8 *1946:20 *1946:30 7.44181 +9 *1946:30 *20401:B2 13.7342 +10 *1946:30 *20398:B2 25.6751 +11 *1946:14 *20403:B2 13.7491 +12 *1946:12 *20405:B2 13.7491 +13 *1946:10 *20400:B2 21.1519 +14 *1946:8 *20404:B2 13.7491 +15 *1946:7 *20402:B2 21.3947 +*END + +*D_NET *1947 0.10657 +*CONN +*I *20491:A I *D sky130_fd_sc_hd__or2_1 +*I *20643:A I *D sky130_fd_sc_hd__or2_1 +*I *20749:A I *D sky130_fd_sc_hd__or2_1 +*I *20711:A I *D sky130_fd_sc_hd__or2_1 +*I *20788:A I *D sky130_fd_sc_hd__or2_1 +*I *20719:A I *D sky130_fd_sc_hd__or2_1 +*I *20529:A I *D sky130_fd_sc_hd__or2_1 +*I *20521:A I *D sky130_fd_sc_hd__or2_1 +*I *20483:A I *D sky130_fd_sc_hd__or2_1 +*I *20415:A I *D sky130_fd_sc_hd__or2_1 +*I *20559:A I *D sky130_fd_sc_hd__or2_1 +*I *20567:A I *D sky130_fd_sc_hd__or2_1 +*I *20605:A I *D sky130_fd_sc_hd__or2_1 +*I *20635:A I *D sky130_fd_sc_hd__or2_1 +*I *20681:A I *D sky130_fd_sc_hd__or2_1 +*I *20597:A I *D sky130_fd_sc_hd__or2_1 +*I *20453:A I *D sky130_fd_sc_hd__or2_1 +*I *20407:A I *D sky130_fd_sc_hd__or2_1 +*I *20445:A I *D sky130_fd_sc_hd__or2_1 +*I *20673:A I *D sky130_fd_sc_hd__or2_1 +*I *20406:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *20491:A 0.000170257 +2 *20643:A 6.0986e-05 +3 *20749:A 0 +4 *20711:A 2.877e-05 +5 *20788:A 0 +6 *20719:A 0 +7 *20529:A 0.000922798 +8 *20521:A 0 +9 *20483:A 0.00054344 +10 *20415:A 6.27016e-05 +11 *20559:A 9.81785e-05 +12 *20567:A 0 +13 *20605:A 0.00102038 +14 *20635:A 0.00019268 +15 *20681:A 9.09637e-05 +16 *20597:A 8.95842e-05 +17 *20453:A 7.26077e-05 +18 *20407:A 1.03162e-05 +19 *20445:A 0.000194056 +20 *20673:A 0 +21 *20406:X 0 +22 *1947:269 0.00156953 +23 *1947:242 0.00174979 +24 *1947:231 0.00192696 +25 *1947:228 0.00142124 +26 *1947:219 0.00216803 +27 *1947:215 0.00182822 +28 *1947:212 0.00163028 +29 *1947:196 0.00112963 +30 *1947:185 0.00102784 +31 *1947:181 0.0017001 +32 *1947:167 0.00249311 +33 *1947:159 0.00155903 +34 *1947:157 0.00119985 +35 *1947:149 0.000829612 +36 *1947:137 0.0015765 +37 *1947:117 0.000577898 +38 *1947:115 0.00117215 +39 *1947:103 0.0022808 +40 *1947:102 0.000995243 +41 *1947:89 0.00204251 +42 *1947:70 0.0025098 +43 *1947:56 0.00424286 +44 *1947:45 0.00197915 +45 *1947:22 0.00220297 +46 *1947:11 0.000728091 +47 *1947:8 0.000517714 +48 *1947:4 0.000593897 +49 *20415:A *4927:141 7.13972e-05 +50 *20445:A *5854:578 0.00030966 +51 *20445:A *5869:84 0.000843901 +52 *20453:A *20454:A 6.50727e-05 +53 *20453:A *2579:16 3.82143e-05 +54 *20453:A *2992:12 9.60684e-05 +55 *20483:A *20484:A 0.000113107 +56 *20483:A *20488:B2 5.89592e-05 +57 *20483:A *1972:7 1.19726e-05 +58 *20483:A *1973:9 0.000289038 +59 *20483:A *1974:5 3.03541e-05 +60 *20483:A *2523:52 0.000387391 +61 *20483:A *2603:74 0.0002211 +62 *20483:A *5851:84 3.31745e-05 +63 *20491:A *20492:A 5.04829e-06 +64 *20491:A *2531:21 2.99978e-05 +65 *20491:A *2620:40 0.000145385 +66 *20491:A *2796:14 3.84087e-05 +67 *20491:A *2796:18 1.0779e-05 +68 *20529:A *19813:A 0.000484132 +69 *20529:A *22047:B1 0.000223496 +70 *20529:A *1988:7 6.08467e-05 +71 *20529:A *1988:8 1.91391e-05 +72 *20529:A *1988:38 2.13165e-05 +73 *20529:A *1989:8 4.51619e-05 +74 *20529:A *3388:13 3.55968e-05 +75 *20529:A *5458:13 9.41458e-05 +76 *20559:A *20560:A 0.000107496 +77 *20597:A *21817:A 3.75603e-05 +78 *20597:A *2833:7 0.000466345 +79 *20605:A *20606:A 5.51483e-06 +80 *20605:A *2012:7 0.000207266 +81 *20605:A *2521:27 1.91246e-05 +82 *20605:A *3208:80 0.0005826 +83 *20605:A *5306:10 6.98423e-06 +84 *20635:A *20636:A 6.08467e-05 +85 *20635:A *3671:76 0.000179635 +86 *20643:A *5866:103 0.000143047 +87 *1947:8 *5866:103 0.000139435 +88 *1947:11 *19788:A 0.000107496 +89 *1947:11 *2056:7 3.31745e-05 +90 *1947:22 *19788:A 3.13546e-05 +91 *1947:22 *20650:A1 0.000116825 +92 *1947:22 *20650:A2 2.65831e-05 +93 *1947:22 *20650:B1 0.000167478 +94 *1947:22 *20986:A1 7.92757e-06 +95 *1947:22 *20986:A2 2.16355e-05 +96 *1947:22 *20986:B1 0.000250254 +97 *1947:22 *20988:A2 0.000193552 +98 *1947:22 *21791:A 7.6719e-06 +99 *1947:22 *2056:7 0.000113968 +100 *1947:22 *2167:51 3.31745e-05 +101 *1947:22 *2531:21 0.00210368 +102 *1947:22 *4665:58 0.000191526 +103 *1947:22 *5856:74 0.000188012 +104 *1947:45 *2553:11 0.000368668 +105 *1947:45 *2963:57 0.000658331 +106 *1947:45 *3089:36 0 +107 *1947:45 *3857:42 0.000185216 +108 *1947:45 *4550:40 0.000345446 +109 *1947:45 *4665:58 0.00014082 +110 *1947:45 *4700:41 0.00102176 +111 *1947:45 *4798:8 7.42734e-05 +112 *1947:45 *4873:11 2.29454e-05 +113 *1947:45 *5856:74 4.87595e-05 +114 *1947:56 *20794:A1 0.000170592 +115 *1947:56 *24315:CLK 4.20462e-05 +116 *1947:56 *2660:18 0.000150373 +117 *1947:56 *3089:33 0.000251655 +118 *1947:56 *3857:42 1.41182e-05 +119 *1947:56 *4538:41 0.000247443 +120 *1947:56 *4547:35 9.73335e-05 +121 *1947:56 *4798:8 0.000718208 +122 *1947:56 *4873:11 9.21475e-05 +123 *1947:56 *5861:127 0.000273262 +124 *1947:56 *5921:49 0.000157432 +125 *1947:70 *20674:A 6.01329e-05 +126 *1947:70 *20794:A1 0.000178115 +127 *1947:70 *22045:A 4.97617e-05 +128 *1947:70 *24382:RESET_B 8.54603e-05 +129 *1947:70 *2468:37 0.000993293 +130 *1947:70 *2537:8 3.52228e-05 +131 *1947:70 *3040:18 0.000252443 +132 *1947:70 *3069:6 0.000102079 +133 *1947:70 *3162:115 3.78791e-05 +134 *1947:70 *3531:157 2.73604e-05 +135 *1947:70 *4739:51 0.000186601 +136 *1947:70 *4798:8 5.25994e-05 +137 *1947:70 *4932:78 0.000298318 +138 *1947:70 *5815:64 7.14302e-05 +139 *1947:70 *5867:66 0.000130063 +140 *1947:89 *19866:A 8.52652e-05 +141 *1947:89 *20726:A1 0.000166426 +142 *1947:89 *24381:RESET_B 4.31485e-06 +143 *1947:89 *2624:33 0.000752788 +144 *1947:89 *2963:64 4.63563e-05 +145 *1947:89 *2963:71 0.000580005 +146 *1947:89 *4138:124 0.000173857 +147 *1947:89 *4797:22 0.000114279 +148 *1947:89 *4797:24 0.00033589 +149 *1947:89 *5671:136 6.45209e-05 +150 *1947:89 *5868:103 5.457e-05 +151 *1947:102 *2785:75 2.95884e-05 +152 *1947:102 *2963:71 0.000357105 +153 *1947:102 *4797:24 0.000360687 +154 *1947:102 *4862:7 0.000154145 +155 *1947:102 *4933:8 0.000127179 +156 *1947:102 *4933:9 0.00057272 +157 *1947:102 *4933:14 0.000455503 +158 *1947:102 *4940:6 0.000147031 +159 *1947:102 *5854:27 0.000156946 +160 *1947:102 *5856:134 3.58208e-05 +161 *1947:102 *5921:42 0.000207266 +162 *1947:103 *2138:10 4.18989e-05 +163 *1947:103 *2138:12 0.000387628 +164 *1947:103 *2537:35 0.000415804 +165 *1947:115 *2138:12 0.000167925 +166 *1947:115 *2531:38 0.00107525 +167 *1947:115 *2537:35 0.000167925 +168 *1947:137 *20522:A 0.000147042 +169 *1947:137 *1985:5 0.000111722 +170 *1947:137 *2680:18 0.00019364 +171 *1947:137 *2750:13 1.65872e-05 +172 *1947:137 *2933:22 0.00053036 +173 *1947:137 *4858:10 0.000107496 +174 *1947:137 *5869:640 0.000206393 +175 *1947:149 *20420:A2 7.72e-05 +176 *1947:149 *20487:B1 0 +177 *1947:149 *2624:45 3.39641e-05 +178 *1947:149 *2680:27 2.2643e-05 +179 *1947:149 *2933:22 0.000126465 +180 *1947:157 *20417:A 0.000158371 +181 *1947:157 *20420:A2 9.55049e-05 +182 *1947:157 *1952:10 0.000122098 +183 *1947:157 *2522:16 0.000127837 +184 *1947:157 *2943:68 7.10463e-05 +185 *1947:157 *5860:696 9.49868e-05 +186 *1947:159 *2943:66 0.000585474 +187 *1947:159 *2943:68 9.94147e-05 +188 *1947:159 *5680:23 0.000907221 +189 *1947:167 *20638:A1 0.000197716 +190 *1947:167 *24628:RESET_B 0.000340742 +191 *1947:167 *2561:47 0.000340742 +192 *1947:167 *2933:26 0.000166279 +193 *1947:167 *2943:66 5.41377e-05 +194 *1947:167 *3671:76 0.000264019 +195 *1947:181 *20641:A1 1.2977e-05 +196 *1947:181 *2285:16 0.00145097 +197 *1947:181 *2505:75 6.79169e-05 +198 *1947:181 *2844:24 7.83998e-06 +199 *1947:181 *2943:63 0.000148852 +200 *1947:181 *4863:157 0.00141552 +201 *1947:181 *5476:51 0 +202 *1947:185 *2285:16 4.69204e-06 +203 *1947:185 *2844:24 0.000716273 +204 *1947:196 *20411:A1 2.29454e-05 +205 *1947:196 *20411:A2 6.64392e-05 +206 *1947:196 *20411:B1 7.40169e-05 +207 *1947:196 *20411:B2 0.000110306 +208 *1947:196 *1949:5 0.000275333 +209 *1947:196 *2745:10 9.54357e-06 +210 *1947:196 *5854:578 7.13972e-05 +211 *1947:196 *5869:84 0.000139947 +212 *1947:212 *20408:A 0.000107496 +213 *1947:212 *1949:5 5.45189e-05 +214 *1947:212 *2703:43 0.000306206 +215 *1947:212 *3177:168 0.000382346 +216 *1947:212 *5854:606 9.24241e-05 +217 *1947:212 *5869:108 0.000169063 +218 *1947:215 *19745:A 0.000263937 +219 *1947:215 *3177:148 0.000466765 +220 *1947:215 *4832:118 0 +221 *1947:215 *5851:207 2.95757e-05 +222 *1947:219 *20684:B1 0.000326544 +223 *1947:219 *21460:A 0.000344367 +224 *1947:219 *2517:5 4.31539e-05 +225 *1947:219 *5082:37 1.32002e-05 +226 *1947:219 *5851:196 6.08467e-05 +227 *1947:219 *5917:560 8.13555e-05 +228 *1947:228 *20347:A1 9.21233e-05 +229 *1947:228 *2514:16 0.000154881 +230 *1947:228 *2808:20 1.52896e-05 +231 *1947:228 *5860:132 0.000138664 +232 *1947:228 *5898:25 0.000110701 +233 *1947:228 *5917:524 0.000319431 +234 *1947:228 *5917:530 3.60268e-05 +235 *1947:228 *5917:560 8.6297e-06 +236 *1947:231 *2835:8 0 +237 *1947:242 *20604:A2 0.000225225 +238 *1947:242 *24505:RESET_B 3.91685e-05 +239 *1947:242 *2009:41 2.07208e-05 +240 *1947:242 *2835:8 0 +241 *1947:242 *5854:322 0.000351207 +242 *1947:242 *5860:141 3.60268e-05 +243 *19588:A *1947:215 2.13139e-05 +244 *19747:A *1947:215 9.91565e-05 +245 *19747:B *1947:215 6.3885e-05 +246 *19936:B1 *1947:228 0.000271044 +247 *19970:B2 *20605:A 2.2279e-05 +248 *19970:B2 *1947:181 2.14262e-05 +249 *20344:A *1947:228 0.00020035 +250 *20491:B *20491:A 0.000111708 +251 *20521:B *1947:115 8.62625e-06 +252 *20521:B *1947:137 6.08467e-05 +253 *20559:B *20559:A 0.000298399 +254 *20597:B *20597:A 0.000107496 +255 *20605:B *20605:A 1.65872e-05 +256 *20749:B *1947:22 6.50727e-05 +257 *20788:B *1947:45 6.36477e-05 +258 *20788:B *1947:56 0.000110306 +259 *21345:B2 *1947:181 2.84852e-05 +260 *21819:B2 *20681:A 0.000107496 +261 *21819:B2 *1947:228 0.000233995 +262 *21898:B2 *1947:215 9.58043e-06 +263 *24278:D *1947:22 4.78069e-06 +264 *24347:D *1947:115 0.000120548 +265 *24471:D *1947:22 2.77625e-06 +266 *24635:D *1947:196 6.08467e-05 +267 *657:181 *1947:89 0.000110306 +268 *657:189 *1947:45 1.80257e-05 +269 *1435:67 *1947:212 9.734e-06 +270 *1439:260 *20453:A 1.03403e-05 +271 *1439:260 *1947:242 0.00086964 +272 *1448:8 *1947:215 0.000168149 +273 *1448:213 *1947:215 8.10016e-06 +274 *1448:250 *1947:157 0.000538117 +275 *1455:105 *20483:A 0.00126266 +276 *1461:248 *1947:185 0.000521804 +277 *1466:58 *1947:215 1.81964e-05 +278 *1467:184 *1947:157 4.19763e-05 +279 *1467:184 *1947:159 0.00173911 +280 *1467:184 *1947:167 5.77352e-05 +281 *1467:198 *20559:A 2.16355e-05 +282 *1471:213 *1947:167 0.000116078 +283 *1471:213 *1947:181 0.000197716 +284 *1485:185 *20529:A 0.00035816 +285 *1485:187 *20529:A 6.08467e-05 +286 *1501:70 *20605:A 3.96199e-05 +287 *1520:17 *1947:215 5.93461e-05 +288 *1521:36 *1947:115 2.57465e-06 +289 *1521:78 *1947:215 6.39019e-05 +290 *1537:12 *1947:215 0.000161256 +291 *1541:136 *20635:A 0 +292 *1547:49 *20643:A 0.000134421 +293 *1547:49 *1947:8 0.000143032 +294 *1550:64 *1947:70 9.15115e-06 +295 *1550:73 *1947:70 1.66771e-05 +296 *1566:91 *20491:A 5.08751e-05 +297 *1566:91 *1947:8 0.000561682 +298 *1573:15 *1947:219 9.49244e-05 +299 *1573:15 *1947:228 0.00122953 +300 *1573:18 *1947:228 0.000189689 +301 *1573:18 *1947:231 2.93492e-05 +302 *1573:18 *1947:242 0.00121936 +303 *1574:12 *1947:45 0.00017627 +304 *1575:19 *1947:115 0.000256314 +305 *1594:43 *20711:A 6.08467e-05 +306 *1594:59 *1947:70 0 +307 *1597:45 *20605:A 0.000886136 +308 *1597:45 *1947:269 0.00037628 +309 *1598:11 *20605:A 0.000140307 +310 *1603:25 *1947:70 7.13972e-05 +311 *1624:23 *1947:70 0.000106543 +312 *1624:62 *20529:A 3.55968e-05 +313 *1639:25 *20681:A 0.00011818 +314 *1658:68 *1947:102 5.87017e-05 +315 *1658:73 *1947:45 1.91924e-05 +316 *1677:10 *1947:215 0.000113374 +317 *1677:139 *1947:212 0.000156946 +318 *1677:150 *20605:A 3.99086e-06 +319 *1689:69 *20605:A 0.000222276 +320 *1689:94 *1947:115 0.0012115 +321 *1689:101 *1947:102 0.000167717 +322 *1690:43 *20597:A 0.000153932 +323 *1715:36 *1947:185 6.5713e-05 +324 *1715:48 *1947:167 0.0003111 +325 *1715:48 *1947:181 0.000261967 +326 *1716:51 *20605:A 6.23875e-05 +327 *1722:13 *20415:A 0.000205101 +328 *1729:64 *1947:115 7.94647e-05 +329 *1729:64 *1947:137 0.000247929 +330 *1729:64 *1947:149 0.000395611 +331 *1729:64 *1947:157 0.0002212 +332 *1729:80 *1947:212 0.000382346 +333 *1764:43 *1947:167 0.000170097 +334 *1772:22 *20483:A 0.000480689 +335 *1794:7 *20635:A 6.3657e-05 +336 *1794:25 *1947:269 0.000107496 +337 *1804:17 *1947:215 4.3663e-05 +338 *1804:87 *1947:215 0.000664519 +339 *1804:96 *20445:A 6.08467e-05 +340 *1809:89 *1947:45 0.000558665 +341 *1809:103 *1947:45 3.57218e-06 +342 *1809:142 *1947:45 9.64486e-05 +*RES +1 *20406:X *1947:4 9.24915 +2 *1947:4 *1947:8 13.4319 +3 *1947:8 *1947:11 5.778 +4 *1947:11 *1947:22 34.9998 +5 *1947:22 *1947:45 45.0218 +6 *1947:45 *1947:56 35.5052 +7 *1947:56 *1947:70 46.4282 +8 *1947:70 *20673:A 13.7491 +9 *1947:56 *1947:89 46.0228 +10 *1947:89 *1947:102 46.8054 +11 *1947:102 *1947:103 8.06078 +12 *1947:103 *1947:115 47.5169 +13 *1947:115 *1947:117 4.5 +14 *1947:117 *1947:137 33.304 +15 *1947:137 *1947:149 15.4981 +16 *1947:149 *1947:157 22.6707 +17 *1947:157 *1947:159 33.3912 +18 *1947:159 *1947:167 33.7403 +19 *1947:167 *1947:181 42.8628 +20 *1947:181 *1947:185 18.1667 +21 *1947:185 *20445:A 18.8462 +22 *1947:185 *1947:196 12.563 +23 *1947:196 *20407:A 9.82786 +24 *1947:196 *1947:212 22.6207 +25 *1947:212 *1947:215 38.514 +26 *1947:215 *1947:219 18.7021 +27 *1947:219 *1947:228 45.8893 +28 *1947:228 *1947:231 7.57775 +29 *1947:231 *1947:242 49.3013 +30 *1947:242 *20453:A 16.3045 +31 *1947:231 *20597:A 19.4881 +32 *1947:219 *20681:A 16.1364 +33 *1947:167 *1947:269 13.5424 +34 *1947:269 *20635:A 22.8808 +35 *1947:269 *20605:A 43.0366 +36 *1947:159 *20567:A 13.7491 +37 *1947:157 *20559:A 17.2456 +38 *1947:149 *20415:A 16.1364 +39 *1947:137 *20483:A 36.7049 +40 *1947:117 *20521:A 9.24915 +41 *1947:103 *20529:A 42.5921 +42 *1947:89 *20719:A 13.7491 +43 *1947:45 *20788:A 9.24915 +44 *1947:22 *20711:A 14.4725 +45 *1947:11 *20749:A 9.24915 +46 *1947:8 *20643:A 16.4116 +47 *1947:4 *20491:A 23.4676 +*END + +*D_NET *1948 0.000267147 +*CONN +*I *20408:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20407:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20408:A 2.60774e-05 +2 *20407:X 2.60774e-05 +3 *20408:A *1949:5 0.000107496 +4 *1947:212 *20408:A 0.000107496 +*RES +1 *20407:X *20408:A 19.7763 +*END + +*D_NET *1949 0.00685054 +*CONN +*I *20410:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20413:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20409:A I *D sky130_fd_sc_hd__inv_2 +*I *20412:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20414:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20411:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20408:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20410:A2 0.000199314 +2 *20413:A2 1.89189e-05 +3 *20409:A 0 +4 *20412:A2 2.06025e-05 +5 *20414:A2 0.000359485 +6 *20411:A2 9.00764e-06 +7 *20408:X 0.000145727 +8 *1949:52 0.000862535 +9 *1949:35 2.06025e-05 +10 *1949:33 0.000915272 +11 *1949:14 0.000680963 +12 *1949:5 0.000205244 +13 *20410:A2 *20410:B2 0 +14 *20410:A2 *3208:77 3.47539e-05 +15 *20411:A2 *20411:A1 6.36477e-05 +16 *20412:A2 *21896:A 0.000165521 +17 *20412:A2 *1950:10 0.000117376 +18 *20413:A2 *5860:636 4.87301e-05 +19 *20414:A2 *20414:A1 0.000111708 +20 *20414:A2 *20414:B2 0.000118485 +21 *20414:A2 *1950:14 7.50872e-05 +22 *20414:A2 *2745:10 0.000315549 +23 *20414:A2 *5854:586 5.56461e-05 +24 *1949:5 *20411:A1 0.000688824 +25 *1949:33 *1950:10 0 +26 *1949:33 *1950:14 0 +27 *1949:33 *1950:41 0 +28 *1949:33 *2745:10 2.41767e-05 +29 *1949:33 *2974:13 6.96979e-05 +30 *1949:52 *21896:A 0.000176031 +31 *1949:52 *1950:41 0 +32 *1949:52 *2745:10 3.39313e-06 +33 *1949:52 *2844:46 1.23348e-05 +34 *1949:52 *2912:13 0.000142863 +35 *1949:52 *3208:77 0.000111838 +36 *1949:52 *5856:526 0.000149783 +37 *20408:A *1949:5 0.000107496 +38 *24634:D *20412:A2 2.29454e-05 +39 *24635:D *20414:A2 0 +40 *1677:139 *1949:5 6.36477e-05 +41 *1761:74 *20414:A2 2.75599e-05 +42 *1762:40 *20414:A2 0 +43 *1762:40 *1949:14 6.65668e-05 +44 *1762:40 *1949:33 0.00012264 +45 *1803:13 *20413:A2 8.93845e-05 +46 *1818:48 *1949:52 3.08958e-05 +47 *1947:196 *20411:A2 6.64392e-05 +48 *1947:196 *1949:5 0.000275333 +49 *1947:212 *1949:5 5.45189e-05 +*RES +1 *20408:X *1949:5 17.1824 +2 *1949:5 *20411:A2 9.97254 +3 *1949:5 *1949:14 5.91674 +4 *1949:14 *20414:A2 31.8471 +5 *1949:14 *1949:33 6.47305 +6 *1949:33 *1949:35 4.5 +7 *1949:35 *20412:A2 11.0817 +8 *1949:35 *20409:A 9.24915 +9 *1949:33 *1949:52 15.6575 +10 *1949:52 *20413:A2 15.0271 +11 *1949:52 *20410:A2 17.6574 +*END + +*D_NET *1950 0.00532882 +*CONN +*I *20410:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20413:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20412:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20411:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20414:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20409:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20410:B2 0.000145117 +2 *20413:B2 4.34232e-05 +3 *20412:B2 1.47608e-05 +4 *20411:B2 2.22257e-05 +5 *20414:B2 0.000261739 +6 *20409:Y 0.000203831 +7 *1950:41 0.000777878 +8 *1950:14 0.000525337 +9 *1950:12 0.000286085 +10 *1950:10 0.000823121 +11 *20410:B2 *20413:A1 0.000157732 +12 *20411:B2 *20411:B1 0.000110306 +13 *20412:B2 *20412:B1 6.08467e-05 +14 *20412:B2 *5152:5 6.08467e-05 +15 *20413:B2 *20413:A1 6.08467e-05 +16 *20413:B2 *20413:B1 2.30636e-05 +17 *20413:B2 *5860:636 0.000109421 +18 *20414:B2 *20414:A1 0.000111722 +19 *20414:B2 *20414:B1 9.8407e-05 +20 *20414:B2 *5860:62 1.19721e-05 +21 *1950:10 *21896:A 1.88014e-05 +22 *1950:41 *20413:A1 2.86829e-05 +23 *20410:A2 *20410:B2 0 +24 *20412:A2 *1950:10 0.000117376 +25 *20414:A2 *20414:B2 0.000118485 +26 *20414:A2 *1950:14 7.50872e-05 +27 *24634:D *1950:10 6.64392e-05 +28 *1461:249 *1950:41 0 +29 *1761:56 *1950:12 4.21218e-06 +30 *1761:56 *1950:41 0.000400538 +31 *1761:74 *20414:B2 0.000122098 +32 *1761:74 *1950:14 0.000329171 +33 *1761:74 *1950:41 2.89395e-05 +34 *1947:196 *20411:B2 0.000110306 +35 *1949:33 *1950:10 0 +36 *1949:33 *1950:14 0 +37 *1949:33 *1950:41 0 +38 *1949:52 *1950:41 0 +*RES +1 *20409:Y *1950:10 18.0244 +2 *1950:10 *1950:12 0.732798 +3 *1950:12 *1950:14 6.81502 +4 *1950:14 *20414:B2 19.9268 +5 *1950:14 *20411:B2 15.0271 +6 *1950:12 *20412:B2 14.4725 +7 *1950:10 *1950:41 13.8743 +8 *1950:41 *20413:B2 15.6059 +9 *1950:41 *20410:B2 17.2421 +*END + +*D_NET *1951 0.000240238 +*CONN +*I *20416:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20415:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20416:A 2.29865e-05 +2 *20415:X 2.29865e-05 +3 *20416:A *4927:141 0.000111802 +4 *20416:A *5869:625 6.36477e-05 +5 *1722:13 *20416:A 1.88152e-05 +*RES +1 *20415:X *20416:A 19.7763 +*END + +*D_NET *1952 0.00856655 +*CONN +*I *20420:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20417:A I *D sky130_fd_sc_hd__inv_2 +*I *20419:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20422:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20418:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20421:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20416:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20420:A2 0.000107794 +2 *20417:A 4.93577e-05 +3 *20419:A2 4.14302e-05 +4 *20422:A2 0.000362281 +5 *20418:A2 0 +6 *20421:A2 0.000367141 +7 *20416:X 0.00028054 +8 *1952:16 0.000411991 +9 *1952:14 0.000709272 +10 *1952:12 0.000505927 +11 *1952:10 0.000337922 +12 *1952:7 0.000514543 +13 *20417:A *2522:16 0.000260374 +14 *20419:A2 *20419:A1 0.000107496 +15 *20420:A2 *2531:38 0.000105134 +16 *20420:A2 *2531:42 2.56868e-05 +17 *20420:A2 *2624:45 1.91391e-05 +18 *20421:A2 *20418:B1 1.09898e-05 +19 *20421:A2 *20421:A1 6.3657e-05 +20 *20421:A2 *20490:B1 3.91944e-05 +21 *20421:A2 *2624:54 1.71374e-05 +22 *20421:A2 *4863:157 0.000548526 +23 *20421:A2 *4870:116 1.03403e-05 +24 *20422:A2 *20418:A1 2.16355e-05 +25 *20422:A2 *20418:B1 1.4091e-06 +26 *20422:A2 *20422:A1 3.01098e-05 +27 *20422:A2 *20422:B1 4.62432e-06 +28 *1952:7 *4927:141 1.88152e-05 +29 *1952:10 *2531:42 5.08234e-05 +30 *1952:12 *2531:42 0.000352639 +31 *1952:14 *2531:42 0.000460093 +32 *1952:14 *2624:54 1.3028e-05 +33 *1952:16 *2624:54 1.53462e-05 +34 *20415:B *20420:A2 5.77352e-05 +35 *20415:B *1952:7 0.000350659 +36 *24630:D *20419:A2 1.82679e-05 +37 *24631:D *1952:14 5.04734e-05 +38 *24631:D *1952:16 3.20069e-06 +39 *1448:250 *20417:A 7.50156e-05 +40 *1729:64 *20420:A2 1.2654e-05 +41 *1729:64 *1952:10 5.94319e-06 +42 *1729:64 *1952:12 1.03966e-05 +43 *1764:65 *20421:A2 0.000225717 +44 *1773:28 *20421:A2 9.90599e-06 +45 *1773:41 *20421:A2 0.000441902 +46 *1773:41 *1952:12 0.000297081 +47 *1773:41 *1952:14 0.000628225 +48 *1773:41 *1952:16 6.18026e-05 +49 *1947:149 *20420:A2 7.72e-05 +50 *1947:157 *20417:A 0.000158371 +51 *1947:157 *20420:A2 9.55049e-05 +52 *1947:157 *1952:10 0.000122098 +*RES +1 *20416:X *1952:7 18.3548 +2 *1952:7 *1952:10 3.3953 +3 *1952:10 *1952:12 6.81502 +4 *1952:12 *1952:14 12.2133 +5 *1952:14 *1952:16 1.41674 +6 *1952:16 *20421:A2 27.9316 +7 *1952:16 *20418:A2 13.7491 +8 *1952:14 *20422:A2 21.3448 +9 *1952:12 *20419:A2 15.0271 +10 *1952:10 *20417:A 16.691 +11 *1952:7 *20420:A2 18.0727 +*END + +*D_NET *1953 0.00764109 +*CONN +*I *20420:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20418:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20421:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20422:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20419:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20417:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20420:B2 0.000197625 +2 *20418:B2 2.22385e-05 +3 *20421:B2 0.000461395 +4 *20422:B2 0.000145438 +5 *20419:B2 5.44856e-05 +6 *20417:Y 0 +7 *1953:39 0.00057439 +8 *1953:23 0.000800778 +9 *1953:6 0.000757709 +10 *1953:5 0.000336266 +11 *20419:B2 *5854:107 7.34948e-06 +12 *20420:B2 *20420:B1 6.27718e-05 +13 *20420:B2 *2933:22 3.46955e-05 +14 *20420:B2 *5854:100 0.000276614 +15 *20420:B2 *5854:107 2.84437e-05 +16 *20421:B2 *20005:A 9.80784e-05 +17 *20421:B2 *24888:CLK 2.77419e-05 +18 *20421:B2 *2933:26 0.000204176 +19 *20421:B2 *5227:8 4.63742e-05 +20 *20422:B2 *20422:A1 0 +21 *20422:B2 *20422:B1 3.965e-05 +22 *20422:B2 *5858:128 3.77568e-05 +23 *1953:6 *2933:26 1.41761e-05 +24 *1953:6 *5854:107 0.000276392 +25 *1953:23 *2933:26 9.76605e-05 +26 *1953:23 *2998:13 0.000260374 +27 *1953:23 *5858:128 0.000328991 +28 *1953:39 *20490:B1 0.000238602 +29 *1953:39 *5682:22 0.000236337 +30 *24627:D *20418:B2 2.69717e-05 +31 *24630:D *20419:B2 1.41291e-05 +32 *1467:198 *20420:B2 2.58616e-05 +33 *1597:20 *20422:B2 4.25507e-05 +34 *1597:20 *1953:23 0.000322599 +35 *1729:64 *20420:B2 0.000402567 +36 *1729:64 *20421:B2 0.000162886 +37 *1729:64 *1953:6 0.000326985 +38 *1729:64 *1953:23 0.00039726 +39 *1752:10 *20421:B2 0.000252765 +*RES +1 *20417:Y *1953:5 13.7491 +2 *1953:5 *1953:6 5.98452 +3 *1953:6 *20419:B2 15.2053 +4 *1953:6 *1953:23 25.7795 +5 *1953:23 *20422:B2 16.8097 +6 *1953:23 *1953:39 7.09342 +7 *1953:39 *20421:B2 27.2082 +8 *1953:39 *20418:B2 14.4819 +9 *1953:5 *20420:B2 22.1181 +*END + +*D_NET *1954 0.000909031 +*CONN +*I *20424:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20423:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20424:A 0.000225025 +2 *20423:X 0.000225025 +3 *20424:A *2263:9 0.000122378 +4 *20424:A *4838:225 6.76155e-05 +5 *1656:8 *20424:A 0.000268989 +*RES +1 *20423:X *20424:A 33.9303 +*END + +*D_NET *1955 0.0141425 +*CONN +*I *20428:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20427:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20426:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20431:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20433:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20430:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20432:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20425:A I *D sky130_fd_sc_hd__inv_2 +*I *20429:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20424:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20428:A2 0.000755902 +2 *20427:A2 0 +3 *20426:A2 1.5243e-05 +4 *20431:A2 7.3978e-05 +5 *20433:A2 3.48801e-05 +6 *20430:A2 0.000216866 +7 *20432:A2 0.000463367 +8 *20425:A 3.67662e-05 +9 *20429:A2 2.13227e-05 +10 *20424:X 0 +11 *1955:103 0.00116768 +12 *1955:92 0.000551077 +13 *1955:72 0.00156031 +14 *1955:42 0.00034683 +15 *1955:27 0.000590693 +16 *1955:18 0.000312211 +17 *1955:9 0.000479605 +18 *1955:4 0.00157736 +19 *20425:A *1956:9 0.00011818 +20 *20426:A2 *20426:B1 1.64789e-05 +21 *20428:A2 *20427:A1 2.6046e-05 +22 *20428:A2 *20428:A1 2.4344e-05 +23 *20428:A2 *20428:B1 7.37368e-05 +24 *20428:A2 *20428:B2 0.000236373 +25 *20428:A2 *2530:11 9.80093e-05 +26 *20428:A2 *4823:8 0 +27 *20428:A2 *4825:28 9.81123e-06 +28 *20428:A2 *4844:100 0.000214544 +29 *20429:A2 *20880:B1 9.06436e-05 +30 *20429:A2 *5251:25 1.33419e-05 +31 *20430:A2 *20430:A1 6.27718e-05 +32 *20430:A2 *4838:210 0.000152136 +33 *20430:A2 *5857:14 2.65667e-05 +34 *20431:A2 *20431:A1 0.0002296 +35 *20431:A2 *20431:B1 1.58588e-05 +36 *20431:A2 *2441:8 4.90621e-05 +37 *20432:A2 *20432:A1 2.08043e-05 +38 *20432:A2 *20432:B1 2.57986e-05 +39 *20432:A2 *20432:B2 2.16355e-05 +40 *20432:A2 *1956:9 0.000167076 +41 *20432:A2 *1956:40 0.000292759 +42 *1955:9 *20880:B1 0.000519481 +43 *1955:9 *5866:313 0.000149628 +44 *1955:18 *2809:6 0.000165594 +45 *1955:27 *2809:6 1.03986e-05 +46 *1955:42 *2809:6 3.51249e-05 +47 *1955:42 *4838:210 1.44611e-05 +48 *1955:72 *20431:A1 1.00981e-05 +49 *1955:72 *20431:B1 1.29759e-05 +50 *1955:72 *20431:B2 2.61955e-05 +51 *1955:72 *20880:A1 0.000281015 +52 *1955:72 *1978:7 6.50586e-05 +53 *1955:72 *2135:70 6.50586e-05 +54 *1955:72 *2136:34 0.000156946 +55 *1955:72 *2136:39 0.00010103 +56 *1955:72 *4989:9 0.000225518 +57 *1955:92 *20426:A1 7.68538e-06 +58 *1955:92 *20426:B1 6.50586e-05 +59 *1955:92 *20431:A1 2.59398e-05 +60 *1955:92 *2135:70 0.000466458 +61 *1955:103 *20426:A1 7.97944e-05 +62 *1955:103 *20427:A1 0.000184946 +63 *1955:103 *24626:CLK 6.60196e-05 +64 *1955:103 *2135:70 0.000134965 +65 *1955:103 *2530:11 9.64219e-05 +66 *1955:103 *4845:112 0 +67 *1955:103 *4893:84 0 +68 *24620:D *20432:A2 0.000235049 +69 *24621:D *1955:72 0.000111708 +70 *24623:D *20429:A2 1.19856e-05 +71 *1656:8 *1955:9 6.80864e-05 +72 *1741:6 *20430:A2 0.000150396 +73 *1741:6 *1955:18 0.000257864 +74 *1741:6 *1955:27 2.04806e-05 +75 *1741:6 *1955:42 7.41203e-05 +76 *1884:121 *1955:72 8.46941e-06 +77 *1884:121 *1955:92 3.45097e-05 +78 *1884:129 *1955:72 1.43407e-05 +*RES +1 *20424:X *1955:4 9.24915 +2 *1955:4 *1955:9 17.3773 +3 *1955:9 *20429:A2 10.2498 +4 *1955:9 *1955:18 14.2218 +5 *1955:18 *20425:A 15.0271 +6 *1955:18 *1955:27 1.00149 +7 *1955:27 *20432:A2 27.4454 +8 *1955:27 *1955:42 3.07775 +9 *1955:42 *20430:A2 21.2876 +10 *1955:42 *20433:A2 14.4819 +11 *1955:4 *1955:72 25.7047 +12 *1955:72 *20431:A2 22.2166 +13 *1955:72 *1955:92 5.73894 +14 *1955:92 *20426:A2 9.82786 +15 *1955:92 *1955:103 16.4696 +16 *1955:103 *20427:A2 13.7491 +17 *1955:103 *20428:A2 31.5623 +*END + +*D_NET *1956 0.0126632 +*CONN +*I *20432:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20427:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20428:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20431:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20426:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20433:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20430:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20429:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20425:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20432:B2 2.87989e-05 +2 *20427:B2 0 +3 *20428:B2 0.00038928 +4 *20431:B2 0.000426943 +5 *20426:B2 2.73306e-05 +6 *20433:B2 0 +7 *20430:B2 0.00026544 +8 *20429:B2 0.000320626 +9 *20425:Y 0 +10 *1956:71 0.000465805 +11 *1956:49 0.000767465 +12 *1956:46 0.00151594 +13 *1956:40 0.00143371 +14 *1956:23 0.000337516 +15 *1956:9 0.000526895 +16 *1956:4 0.000412877 +17 *20426:B2 *20540:B1 9.98348e-06 +18 *20426:B2 *4845:112 2.0456e-06 +19 *20426:B2 *5143:8 1.86242e-05 +20 *20428:B2 *2886:8 0 +21 *20428:B2 *4825:28 0.000325422 +22 *20428:B2 *4844:100 0.000225597 +23 *20428:B2 *4844:108 1.44611e-05 +24 *20428:B2 *4893:77 0.000441823 +25 *20428:B2 *5340:9 0 +26 *20429:B2 *20880:B1 6.50727e-05 +27 *20429:B2 *2737:10 0.000189778 +28 *20429:B2 *5251:25 1.40978e-05 +29 *20429:B2 *5853:611 0.000123582 +30 *20430:B2 *20430:B1 6.08467e-05 +31 *20430:B2 *20433:A1 0.000160617 +32 *20430:B2 *21852:A 6.73186e-05 +33 *20430:B2 *2737:6 1.13331e-05 +34 *20430:B2 *2737:10 0.000335239 +35 *20430:B2 *2978:8 5.95649e-05 +36 *20430:B2 *5138:10 4.5539e-05 +37 *20431:B2 *20431:B1 0.000312249 +38 *20431:B2 *2135:70 0.000594665 +39 *20431:B2 *2589:12 4.97938e-05 +40 *20431:B2 *4822:14 4.15236e-05 +41 *20431:B2 *5920:28 5.9865e-05 +42 *1956:23 *2737:10 8.33404e-05 +43 *1956:46 *24352:CLK 0.000175689 +44 *1956:46 *5866:316 0.000179271 +45 *1956:49 *2886:8 0.000124858 +46 *1956:49 *4845:112 5.32645e-05 +47 *1956:49 *4893:77 9.22013e-06 +48 *1956:49 *4893:84 0.000132367 +49 *1956:49 *5143:8 3.29175e-05 +50 *1956:71 *24625:CLK 6.50727e-05 +51 *20425:A *1956:9 0.00011818 +52 *20428:A2 *20428:B2 0.000236373 +53 *20432:A2 *20432:B2 2.16355e-05 +54 *20432:A2 *1956:9 0.000167076 +55 *20432:A2 *1956:40 0.000292759 +56 *1741:6 *20429:B2 0.000540911 +57 *1741:6 *1956:23 0.000196653 +58 *1884:121 *20431:B2 5.97411e-05 +59 *1955:72 *20431:B2 2.61955e-05 +*RES +1 *20425:Y *1956:4 9.24915 +2 *1956:4 *1956:9 8.57513 +3 *1956:9 *20429:B2 25.8769 +4 *1956:9 *1956:23 7.993 +5 *1956:23 *20430:B2 27.6202 +6 *1956:23 *20433:B2 9.24915 +7 *1956:4 *1956:40 7.93324 +8 *1956:40 *1956:46 34.4307 +9 *1956:46 *1956:49 14.4294 +10 *1956:49 *20426:B2 14.543 +11 *1956:49 *20431:B2 24.3869 +12 *1956:46 *1956:71 1.8326 +13 *1956:71 *20428:B2 35.9042 +14 *1956:71 *20427:B2 9.24915 +15 *1956:40 *20432:B2 9.97254 +*END + +*D_NET *1957 0.00100944 +*CONN +*I *20435:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20434:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20435:A 0.000163585 +2 *20434:X 0.000163585 +3 *20435:A *2737:29 7.02358e-06 +4 *20435:A *2837:17 6.08467e-05 +5 *20435:A *2837:24 0.00029726 +6 *20435:A *4942:22 0.000317142 +*RES +1 *20434:X *20435:A 34.2062 +*END + +*D_NET *1958 0.0226345 +*CONN +*I *20436:A I *D sky130_fd_sc_hd__inv_2 +*I *20440:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20444:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20443:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20437:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20441:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20439:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20438:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20442:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20435:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20436:A 2.65889e-05 +2 *20440:A2 0 +3 *20444:A2 1.59894e-05 +4 *20443:A2 0.00029141 +5 *20437:A2 0.000167251 +6 *20441:A2 0.000385108 +7 *20439:A2 0.000437243 +8 *20438:A2 0 +9 *20442:A2 0 +10 *20435:X 0.000177904 +11 *1958:109 0.000479265 +12 *1958:92 0.000950155 +13 *1958:55 0.000910855 +14 *1958:38 0.000949449 +15 *1958:31 0.000942236 +16 *1958:20 0.00226062 +17 *1958:15 0.001668 +18 *1958:8 0.000183727 +19 *20436:A *20440:A1 0.000162583 +20 *20436:A *24433:CLK 0.000162583 +21 *20437:A2 *20437:A1 6.08467e-05 +22 *20437:A2 *2488:11 9.65879e-05 +23 *20439:A2 *2331:8 0.000530206 +24 *20439:A2 *2416:14 0.000266107 +25 *20439:A2 *2416:16 0.000100923 +26 *20439:A2 *5225:9 2.69685e-05 +27 *20441:A2 *20441:A1 6.08467e-05 +28 *20441:A2 *2264:16 0 +29 *20441:A2 *2416:14 0.000616957 +30 *20441:A2 *2488:11 4.45468e-05 +31 *20443:A2 *20443:A1 0.000172622 +32 *20443:A2 *20708:B1 1.55025e-05 +33 *20443:A2 *20708:B2 2.37299e-05 +34 *20443:A2 *2541:23 5.8518e-05 +35 *20443:A2 *3045:12 2.29201e-05 +36 *20443:A2 *5859:497 0.000238275 +37 *20443:A2 *5861:273 0.000108054 +38 *20444:A2 *5861:257 6.50586e-05 +39 *1958:8 *20442:A1 0.000270548 +40 *1958:8 *2737:29 0.000327085 +41 *1958:8 *2837:17 2.41274e-06 +42 *1958:8 *4942:22 2.1297e-05 +43 *1958:15 *20442:A1 1.10793e-05 +44 *1958:15 *2737:29 2.02035e-05 +45 *1958:20 *2541:11 8.4898e-05 +46 *1958:20 *2797:47 0.000247853 +47 *1958:20 *2827:13 0 +48 *1958:20 *2984:19 0.000558338 +49 *1958:20 *3034:25 8.5743e-05 +50 *1958:31 *24612:SET_B 0.000147046 +51 *1958:31 *24616:CLK 0.00132538 +52 *1958:31 *2339:8 0.000489229 +53 *1958:31 *2348:8 9.57557e-06 +54 *1958:31 *2358:14 7.10057e-05 +55 *1958:31 *4844:130 1.79196e-05 +56 *1958:31 *4844:137 6.10997e-05 +57 *1958:31 *5220:7 3.56986e-05 +58 *1958:31 *5859:95 0.00032768 +59 *1958:31 *5865:17 0.000337242 +60 *1958:31 *5865:35 0.000536864 +61 *1958:31 *5865:48 9.55447e-05 +62 *1958:31 *5865:148 0.000969637 +63 *1958:38 *20438:A1 7.39264e-05 +64 *1958:38 *2764:11 8.29362e-06 +65 *1958:38 *2764:29 0.00052117 +66 *1958:38 *5225:9 0.000300565 +67 *1958:55 *24618:RESET_B 2.8229e-05 +68 *1958:55 *2416:14 0.000621388 +69 *1958:55 *2764:11 3.82228e-05 +70 *1958:55 *5865:165 8.80458e-05 +71 *1958:92 *20442:A1 1.10793e-05 +72 *1958:92 *20444:A1 7.66151e-05 +73 *1958:92 *21905:A 3.20069e-06 +74 *1958:92 *24429:CLK 0.000137266 +75 *1958:92 *2737:29 0.000250331 +76 *1958:92 *2919:20 3.26064e-05 +77 *1958:92 *4840:155 9.8393e-05 +78 *1958:109 *20440:B1 0.000268195 +79 *1958:109 *21905:A 6.14146e-05 +80 *1958:109 *5458:94 8.03007e-05 +81 *21712:B2 *1958:20 2.96924e-05 +82 *24431:D *20443:A2 1.5613e-05 +83 *24611:D *20444:A2 1.43848e-05 +84 *24614:D *20441:A2 7.50872e-05 +85 *24616:D *20439:A2 5.04734e-05 +86 *24618:D *20437:A2 4.42142e-05 +87 *24618:D *1958:55 0.000273017 +88 *1418:195 *1958:8 3.99086e-06 +89 *1708:116 *1958:92 1.72221e-05 +90 *1708:116 *1958:109 6.85153e-05 +91 *1725:205 *20437:A2 2.65831e-05 +92 *1729:13 *1958:92 2.90821e-05 +93 *1741:6 *1958:92 3.65413e-05 +94 *1741:6 *1958:109 0.000217878 +95 *1741:21 *1958:20 0.000204088 +96 *1771:167 *1958:31 9.58636e-05 +*RES +1 *20435:X *1958:8 20.4571 +2 *1958:8 *20442:A2 13.7491 +3 *1958:8 *1958:15 0.378612 +4 *1958:15 *1958:20 9.84706 +5 *1958:20 *1958:31 48.3477 +6 *1958:31 *20438:A2 9.24915 +7 *1958:31 *1958:38 6.84815 +8 *1958:38 *20439:A2 33.0272 +9 *1958:38 *1958:55 17.7837 +10 *1958:55 *20441:A2 26.063 +11 *1958:55 *20437:A2 17.8068 +12 *1958:20 *20443:A2 22.4828 +13 *1958:15 *1958:92 13.2514 +14 *1958:92 *20444:A2 14.4725 +15 *1958:92 *1958:109 19.5956 +16 *1958:109 *20440:A2 9.24915 +17 *1958:109 *20436:A 11.0817 +*END + +*D_NET *1959 0.0230167 +*CONN +*I *20440:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20444:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20437:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20441:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20439:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20438:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20443:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20442:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20436:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20440:B2 4.98617e-05 +2 *20444:B2 2.3451e-05 +3 *20437:B2 0 +4 *20441:B2 0.000399514 +5 *20439:B2 0.000294686 +6 *20438:B2 7.09707e-05 +7 *20443:B2 0.000272809 +8 *20442:B2 0.000189445 +9 *20436:Y 0.000291647 +10 *1959:68 0.000803622 +11 *1959:52 0.00107713 +12 *1959:40 0.00208528 +13 *1959:22 0.00236467 +14 *1959:12 0.000789298 +15 *1959:10 0.000498461 +16 *1959:5 0.000672556 +17 *20438:B2 *2340:15 8.01355e-05 +18 *20438:B2 *2348:8 2.19131e-05 +19 *20438:B2 *2764:29 2.65831e-05 +20 *20438:B2 *4823:12 0.000127179 +21 *20439:B2 *22368:A1 0 +22 *20439:B2 *2375:12 0.000608747 +23 *20439:B2 *5865:151 0.000370142 +24 *20441:B2 *20441:B1 3.01683e-06 +25 *20441:B2 *2331:8 0.000671409 +26 *20441:B2 *2347:36 0.000108602 +27 *20441:B2 *5328:8 6.08467e-05 +28 *20441:B2 *5855:547 3.16217e-05 +29 *20442:B2 *2797:47 0.000145553 +30 *20442:B2 *2978:20 0.000408672 +31 *20442:B2 *4840:155 1.62525e-05 +32 *20443:B2 *20709:B1 0.000415919 +33 *20443:B2 *2042:28 8.15055e-05 +34 *20443:B2 *2601:8 4.36466e-06 +35 *20443:B2 *3070:20 0.000173995 +36 *20444:B2 *5861:242 6.08467e-05 +37 *1959:5 *24433:CLK 0.000141245 +38 *1959:5 *4888:116 6.12686e-06 +39 *1959:10 *2978:20 0.000662731 +40 *1959:10 *4888:116 9.19632e-06 +41 *1959:12 *2978:20 0.000292926 +42 *1959:22 *2620:37 6.99036e-05 +43 *1959:22 *2737:29 1.91924e-05 +44 *1959:22 *2919:20 0.000961947 +45 *1959:22 *4840:155 0.00042511 +46 *1959:40 *21093:A1 6.36477e-05 +47 *1959:40 *24212:RESET_B 1.91246e-05 +48 *1959:40 *24431:RESET_B 5.81447e-05 +49 *1959:40 *2208:97 0.000763453 +50 *1959:40 *2209:17 7.6719e-06 +51 *1959:40 *2531:14 5.76913e-05 +52 *1959:40 *2764:29 0.000392701 +53 *1959:40 *2919:20 0.00130794 +54 *1959:40 *4840:155 0.000358843 +55 *1959:40 *5351:10 1.5714e-05 +56 *1959:52 *2208:97 0.000528332 +57 *1959:68 *20437:B1 1.61631e-05 +58 *1959:68 *2208:97 9.61086e-05 +59 *1959:68 *2331:8 0.000672193 +60 *1959:68 *2375:12 0.000675669 +61 *1959:68 *4845:668 1.80176e-05 +62 *24611:D *20444:B2 1.03403e-05 +63 *1439:424 *20443:B2 9.3623e-05 +64 *1646:11 *20443:B2 1.5714e-05 +65 *1708:111 *1959:40 9.89882e-05 +66 *1708:116 *20442:B2 0.000221335 +67 *1708:116 *1959:10 0.000667744 +68 *1708:116 *1959:12 0.000297099 +69 *1725:205 *20441:B2 0.000671409 +*RES +1 *20436:Y *1959:5 13.8548 +2 *1959:5 *1959:10 17.9913 +3 *1959:10 *1959:12 5.77689 +4 *1959:12 *20442:B2 21.6023 +5 *1959:12 *1959:22 5.17795 +6 *1959:22 *20443:B2 26.6699 +7 *1959:22 *1959:40 21.2599 +8 *1959:40 *20438:B2 21.2198 +9 *1959:40 *1959:52 6.26943 +10 *1959:52 *20439:B2 29.6319 +11 *1959:52 *1959:68 24.1846 +12 *1959:68 *20441:B2 34.4223 +13 *1959:68 *20437:B2 9.24915 +14 *1959:10 *20444:B2 14.4725 +15 *1959:5 *20440:B2 10.6477 +*END + +*D_NET *1960 0.00197946 +*CONN +*I *20446:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20445:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20446:A 0.000465969 +2 *20445:X 0.000465969 +3 *20446:A *2627:24 0.000142657 +4 *20446:A *2745:10 0.000321919 +5 *20446:A *5856:232 0.000481816 +6 *1755:98 *20446:A 0.000101133 +*RES +1 *20445:X *20446:A 40.3012 +*END + +*D_NET *1961 0.00796455 +*CONN +*I *20448:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20447:A I *D sky130_fd_sc_hd__inv_2 +*I *20452:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20450:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20451:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20449:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20446:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20448:A2 0.000127419 +2 *20447:A 0 +3 *20452:A2 0.000219473 +4 *20450:A2 0.000199949 +5 *20451:A2 0.000297625 +6 *20449:A2 3.51232e-05 +7 *20446:X 0.000539292 +8 *1961:52 0.000219473 +9 *1961:50 0.000354359 +10 *1961:31 0.000469071 +11 *1961:14 0.00049047 +12 *1961:11 0.000739196 +13 *20448:A2 *20448:A1 0.00015607 +14 *20448:A2 *2810:38 0.000153738 +15 *20448:A2 *3089:12 3.16982e-05 +16 *20448:A2 *5851:153 4.9e-05 +17 *20448:A2 *5869:236 0.00015607 +18 *20449:A2 *20449:A1 0.000107496 +19 *20450:A2 *20450:A1 9.95922e-06 +20 *20450:A2 *21683:A1 2.90979e-05 +21 *20450:A2 *21897:A 5.81826e-05 +22 *20450:A2 *2510:36 1.5714e-05 +23 *20450:A2 *4799:22 1.5714e-05 +24 *20450:A2 *4863:154 0.000121009 +25 *20451:A2 *20449:A1 6.89789e-05 +26 *20451:A2 *20451:A1 1.03403e-05 +27 *20451:A2 *20451:B2 7.92757e-06 +28 *20452:A2 *20452:A1 2.58616e-05 +29 *20452:A2 *20452:B2 0.000591758 +30 *20452:A2 *1962:7 7.92757e-06 +31 *1961:11 *21897:A 0.000177588 +32 *1961:11 *2785:38 0.000260388 +33 *1961:11 *4863:154 9.75074e-05 +34 *1961:11 *5139:5 1.65872e-05 +35 *1961:14 *24608:CLK 7.50872e-05 +36 *1961:14 *2810:38 0.000277452 +37 *1961:14 *4905:12 0.000209492 +38 *1961:31 *20450:B1 1.9101e-05 +39 *1961:31 *24608:CLK 2.24484e-05 +40 *1961:31 *2810:38 8.25963e-05 +41 *1961:31 *3089:12 1.23455e-05 +42 *1961:50 *20450:B1 0.000115222 +43 *1961:50 *2810:38 0.000312319 +44 *1961:50 *3089:12 7.10112e-05 +45 *24606:D *20452:A2 3.80249e-05 +46 *24607:D *20451:A2 4.31603e-06 +47 *24609:D *20449:A2 1.80257e-05 +48 *24609:D *20451:A2 7.34948e-06 +49 *514:28 *20450:A2 0 +50 *1818:38 *20452:A2 1.47978e-05 +51 *1818:48 *20452:A2 0.000824895 +*RES +1 *20446:X *1961:11 24.0937 +2 *1961:11 *1961:14 10.0693 +3 *1961:14 *20449:A2 10.5271 +4 *1961:14 *20451:A2 15.5668 +5 *1961:11 *1961:31 1.62437 +6 *1961:31 *20450:A2 22.9091 +7 *1961:31 *1961:50 6.6074 +8 *1961:50 *1961:52 4.5 +9 *1961:52 *20452:A2 20.0036 +10 *1961:52 *20447:A 9.24915 +11 *1961:50 *20448:A2 18.6595 +*END + +*D_NET *1962 0.00632822 +*CONN +*I *20452:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20448:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20450:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20451:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20449:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20447:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20452:B2 0.000214215 +2 *20448:B2 7.19501e-05 +3 *20450:B2 5.30005e-05 +4 *20451:B2 0.00043635 +5 *20449:B2 3.10697e-05 +6 *20447:Y 0 +7 *1962:10 0.000586486 +8 *1962:8 0.000369163 +9 *1962:7 0.000526117 +10 *1962:4 0.000471285 +11 *20448:B2 *2563:17 0.000112484 +12 *20448:B2 *5869:212 6.08467e-05 +13 *20449:B2 *2563:17 4.84017e-05 +14 *20450:B2 *24608:CLK 8.06793e-05 +15 *20450:B2 *4863:154 0.000113968 +16 *20450:B2 *5139:5 9.06436e-05 +17 *20451:B2 *20449:A1 0.000344954 +18 *20451:B2 *20449:B1 0.000107496 +19 *20451:B2 *20451:A1 8.67924e-06 +20 *20452:B2 *2510:36 0.000141986 +21 *1962:8 *2563:17 0.000376536 +22 *1962:10 *2563:17 0.000319049 +23 *20451:A2 *20451:B2 7.92757e-06 +24 *20452:A2 *20452:B2 0.000591758 +25 *20452:A2 *1962:7 7.92757e-06 +26 *24606:D *20452:B2 3.00829e-05 +27 *24608:D *20450:B2 3.31745e-05 +28 *1448:222 *20448:B2 0.0001195 +29 *1448:222 *20449:B2 4.49767e-05 +30 *1448:222 *1962:8 0.00038026 +31 *1448:222 *1962:10 0.00032115 +32 *1818:38 *20452:B2 6.1478e-06 +33 *1818:38 *1962:7 0.000206696 +34 *1818:48 *1962:7 1.32618e-05 +*RES +1 *20447:Y *1962:4 9.24915 +2 *1962:4 *1962:7 8.55102 +3 *1962:7 *1962:8 7.64553 +4 *1962:8 *1962:10 5.98452 +5 *1962:10 *20449:B2 14.7506 +6 *1962:10 *20451:B2 21.0072 +7 *1962:8 *20450:B2 16.1364 +8 *1962:7 *20448:B2 16.7198 +9 *1962:4 *20452:B2 17.0618 +*END + +*D_NET *1963 0.00141885 +*CONN +*I *20454:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20453:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20454:A 0.000324689 +2 *20453:X 0.000324689 +3 *20454:A *1964:7 6.08467e-05 +4 *20454:A *2426:53 6.50727e-05 +5 *20454:A *2835:14 0.000283911 +6 *20454:A *2992:12 0.000280486 +7 *20453:A *20454:A 6.50727e-05 +8 *1439:260 *20454:A 1.40846e-05 +*RES +1 *20453:X *20454:A 36.0388 +*END + +*D_NET *1964 0.00712075 +*CONN +*I *20457:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20458:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20460:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20459:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20455:A I *D sky130_fd_sc_hd__inv_2 +*I *20456:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20454:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20457:A2 0 +2 *20458:A2 6.98479e-05 +3 *20460:A2 8.87114e-05 +4 *20459:A2 1.1822e-05 +5 *20455:A 0 +6 *20456:A2 0.000184356 +7 *20454:X 0.000179854 +8 *1964:34 6.25515e-05 +9 *1964:33 0.000164523 +10 *1964:30 0.000202504 +11 *1964:14 0.000310045 +12 *1964:12 0.000309129 +13 *1964:10 0.000412772 +14 *1964:7 0.000339338 +15 *20456:A2 *20456:A1 0.000328363 +16 *20456:A2 *2946:8 8.15803e-05 +17 *20456:A2 *5851:301 0.000324151 +18 *20456:A2 *5870:136 4.98351e-05 +19 *20458:A2 *20458:A1 0.000517206 +20 *20458:A2 *5858:415 0.000517206 +21 *20459:A2 *20459:A1 0.000175485 +22 *20459:A2 *5870:126 0.000172794 +23 *20460:A2 *20460:A1 5.92192e-05 +24 *20460:A2 *20460:B1 1.03403e-05 +25 *20460:A2 *5854:331 0.000200794 +26 *20460:A2 *5856:377 2.71397e-05 +27 *1964:7 *20457:A1 0.000160462 +28 *1964:7 *2426:53 2.23882e-05 +29 *1964:7 *2426:61 1.1202e-06 +30 *1964:7 *3177:63 0.000172001 +31 *1964:10 *20458:A1 0.000244071 +32 *1964:10 *21822:A 0.00010836 +33 *1964:10 *5856:293 0.000348901 +34 *1964:12 *20458:A1 2.95757e-05 +35 *1964:12 *20460:B1 9.24241e-05 +36 *1964:12 *2946:8 7.66115e-05 +37 *1964:12 *5854:331 9.53583e-05 +38 *1964:12 *5856:293 5.05252e-05 +39 *1964:12 *5870:650 0 +40 *1964:14 *20460:B1 8.52652e-05 +41 *1964:14 *24602:RESET_B 0 +42 *1964:14 *2946:8 5.65074e-05 +43 *1964:14 *5254:9 0.000122083 +44 *1964:33 *20459:A1 2.18145e-05 +45 *1964:33 *20460:B2 3.99086e-06 +46 *1964:33 *2955:5 0.000119695 +47 *1964:33 *5254:9 5.0715e-05 +48 *1964:33 *5854:331 0.000118166 +49 *1964:33 *5870:126 0.000168591 +50 *20454:A *1964:7 6.08467e-05 +51 *24604:D *1964:7 0.000111708 +*RES +1 *20454:X *1964:7 16.0973 +2 *1964:7 *1964:10 11.315 +3 *1964:10 *1964:12 5.98452 +4 *1964:12 *1964:14 3.90826 +5 *1964:14 *20456:A2 21.1538 +6 *1964:14 *20455:A 13.7491 +7 *1964:12 *1964:30 4.5 +8 *1964:30 *1964:33 13.8548 +9 *1964:33 *1964:34 57.9449 +10 *1964:34 *20459:A2 20.3309 +11 *1964:30 *20460:A2 21.6378 +12 *1964:10 *20458:A2 19.464 +13 *1964:7 *20457:A2 9.24915 +*END + +*D_NET *1965 0.00513295 +*CONN +*I *20460:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20456:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20457:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20458:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20459:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20455:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20460:B2 0.000208183 +2 *20456:B2 0.000180441 +3 *20457:B2 0.000351925 +4 *20458:B2 0 +5 *20459:B2 9.36721e-06 +6 *20455:Y 0.000104683 +7 *1965:23 0.00052541 +8 *1965:14 0.000406769 +9 *1965:9 0.000649109 +10 *1965:7 0.000557617 +11 *20456:B2 *24602:RESET_B 0 +12 *20456:B2 *5860:288 0.000111901 +13 *20456:B2 *5870:136 0 +14 *20457:B2 *2426:53 1.65872e-05 +15 *20457:B2 *2808:20 0.00012325 +16 *20457:B2 *3177:63 0.000131328 +17 *20457:B2 *5858:233 5.23828e-05 +18 *20457:B2 *5858:415 1.07248e-05 +19 *20457:B2 *5870:650 0 +20 *20457:B2 *5870:659 0.000479276 +21 *20459:B2 *5858:240 6.50586e-05 +22 *20459:B2 *5870:126 6.50586e-05 +23 *20460:B2 *20459:A1 2.4541e-05 +24 *20460:B2 *24602:RESET_B 3.00073e-05 +25 *20460:B2 *5254:9 6.72719e-05 +26 *1965:7 *5870:136 1.41976e-05 +27 *1965:9 *5870:136 3.82278e-05 +28 *1965:14 *4863:28 1.41761e-05 +29 *1965:14 *5858:240 5.22654e-06 +30 *1965:14 *5858:245 9.24241e-05 +31 *1965:14 *5858:415 2.09495e-05 +32 *1965:23 *5858:233 2.86439e-05 +33 *1965:23 *5858:240 0.000105641 +34 *1965:23 *5858:415 0.000367876 +35 *24601:D *20460:B2 0 +36 *24602:D *1965:14 2.99929e-05 +37 *24603:D *20457:B2 4.35419e-05 +38 *1744:95 *1965:7 8.64351e-05 +39 *1744:95 *1965:9 6.6352e-05 +40 *1744:95 *1965:14 4.43826e-05 +41 *1964:33 *20460:B2 3.99086e-06 +*RES +1 *20455:Y *1965:7 12.2151 +2 *1965:7 *1965:9 4.05102 +3 *1965:9 *1965:14 9.13445 +4 *1965:14 *20459:B2 14.4725 +5 *1965:14 *1965:23 6.81502 +6 *1965:23 *20458:B2 13.7491 +7 *1965:23 *20457:B2 26.279 +8 *1965:9 *20456:B2 22.9879 +9 *1965:7 *20460:B2 22.4871 +*END + +*D_NET *1966 0.00395042 +*CONN +*I *20462:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20461:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20462:A 3.58463e-05 +2 *20461:X 0.00090121 +3 *1966:6 0.000937057 +4 *20462:A *2287:9 2.16355e-05 +5 *1966:6 *21196:A 0.000190042 +6 *1966:6 *2347:14 9.60216e-05 +7 *1966:6 *2846:10 0 +8 *1966:6 *4887:61 0.000707267 +9 *1770:8 *1966:6 0.000788313 +10 *1799:10 *1966:6 0.000273028 +*RES +1 *20461:X *1966:6 48.8013 +2 *1966:6 *20462:A 14.4725 +*END + +*D_NET *1967 0.0112011 +*CONN +*I *20464:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20469:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20463:A I *D sky130_fd_sc_hd__inv_2 +*I *20468:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20465:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20466:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20470:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20467:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20471:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20462:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20464:A2 0.000253721 +2 *20469:A2 0.00032106 +3 *20463:A 0 +4 *20468:A2 9.36721e-06 +5 *20465:A2 0.000223943 +6 *20466:A2 1.26725e-05 +7 *20470:A2 9.81667e-06 +8 *20467:A2 0 +9 *20471:A2 1.92775e-05 +10 *20462:X 0.000369619 +11 *1967:74 0.000373099 +12 *1967:67 0.000411742 +13 *1967:44 0.000236616 +14 *1967:42 0.000890697 +15 *1967:33 0.000727076 +16 *1967:22 0.000601423 +17 *1967:17 0.000893002 +18 *1967:10 0.000603652 +19 *20464:A2 *20464:A1 6.08467e-05 +20 *20464:A2 *2809:6 0 +21 *20464:A2 *4845:80 6.08467e-05 +22 *20464:A2 *4887:8 4.5539e-05 +23 *20465:A2 *20465:B1 3.82228e-05 +24 *20465:A2 *20465:B2 0.000229732 +25 *20465:A2 *1968:23 6.49003e-05 +26 *20465:A2 *4828:15 0.000677784 +27 *20465:A2 *4832:17 5.46046e-05 +28 *20465:A2 *4832:21 6.08467e-05 +29 *20466:A2 *1968:23 9.16123e-05 +30 *20466:A2 *4828:15 3.35925e-05 +31 *20468:A2 *20468:A1 6.50586e-05 +32 *20468:A2 *4830:11 6.50586e-05 +33 *20469:A2 *20469:A1 6.50727e-05 +34 *20469:A2 *20469:B1 5.90787e-05 +35 *20469:A2 *20469:B2 0.000407396 +36 *20469:A2 *21964:A 0.000172515 +37 *20469:A2 *1968:5 1.67988e-05 +38 *20469:A2 *2737:6 5.05252e-05 +39 *20469:A2 *2978:8 0 +40 *20469:A2 *4830:11 0.000171273 +41 *20471:A2 *24948:A 2.65667e-05 +42 *1967:10 *20014:A 4.70005e-05 +43 *1967:10 *20471:A1 0.000121741 +44 *1967:10 *2996:14 7.5999e-05 +45 *1967:10 *4838:205 0.000164815 +46 *1967:10 *4887:57 8.61936e-05 +47 *1967:10 *4887:61 5.04734e-05 +48 *1967:17 *24948:A 0.000296779 +49 *1967:22 *20467:B1 5.22654e-06 +50 *1967:22 *2737:6 0.000254254 +51 *1967:22 *2978:8 0.000172527 +52 *1967:22 *4845:69 9.75356e-05 +53 *1967:33 *20467:A1 3.75217e-05 +54 *1967:33 *20470:A1 2.53145e-06 +55 *1967:33 *21982:A 0 +56 *1967:42 *20466:A1 4.41664e-05 +57 *1967:42 *20467:B2 2.87136e-06 +58 *1967:42 *20470:B1 3.64684e-05 +59 *1967:42 *1968:20 6.08697e-06 +60 *1967:42 *1968:32 0 +61 *1967:42 *2978:8 0 +62 *1967:42 *4839:282 7.2603e-05 +63 *1967:67 *20466:B1 0 +64 *1967:67 *20468:A1 2.95757e-05 +65 *1967:67 *20468:B2 0 +66 *1967:67 *1968:20 0.000138487 +67 *1967:67 *4841:214 1.90218e-05 +68 *1967:74 *20468:A1 4.66492e-05 +69 *1967:74 *20468:B2 4.33655e-05 +70 *1967:74 *1968:5 4.31703e-05 +71 *1967:74 *4830:11 0.0002646 +72 *24593:D *20471:A2 1.43848e-05 +73 *24593:D *1967:17 1.19856e-05 +74 *24594:D *20470:A2 1.44925e-05 +75 *24594:D *1967:33 3.53967e-05 +76 *24594:D *1967:42 2.01974e-05 +77 *24597:D *20470:A2 1.82895e-05 +78 *24597:D *1967:33 8.43057e-05 +79 *24600:D *1967:22 0.000127194 +80 *1619:8 *1967:10 0 +81 *1619:8 *1967:67 0 +82 *1741:6 *20464:A2 0.000165481 +83 *1770:8 *1967:10 7.50722e-05 +*RES +1 *20462:X *1967:10 29.3883 +2 *1967:10 *20471:A2 9.97254 +3 *1967:10 *1967:17 6.26943 +4 *1967:17 *1967:22 22.4591 +5 *1967:22 *20467:A2 9.24915 +6 *1967:22 *1967:33 5.37724 +7 *1967:33 *20470:A2 9.69524 +8 *1967:33 *1967:42 17.9591 +9 *1967:42 *1967:44 4.5 +10 *1967:44 *20466:A2 10.2498 +11 *1967:44 *20465:A2 20.6065 +12 *1967:42 *1967:67 14.2218 +13 *1967:67 *20468:A2 9.97254 +14 *1967:67 *1967:74 2.94181 +15 *1967:74 *20463:A 9.24915 +16 *1967:74 *20469:A2 27.4009 +17 *1967:17 *20464:A2 24.9921 +*END + +*D_NET *1968 0.00955728 +*CONN +*I *20469:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20465:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20467:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20470:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20464:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20471:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20466:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20468:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20463:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20469:B2 0.000132073 +2 *20465:B2 9.54695e-05 +3 *20467:B2 0.000340284 +4 *20470:B2 0 +5 *20464:B2 0.000197914 +6 *20471:B2 0.000174431 +7 *20466:B2 1.05964e-05 +8 *20468:B2 0.0003088 +9 *20463:Y 0 +10 *1968:38 0.000753906 +11 *1968:34 0.000391136 +12 *1968:32 0.000740726 +13 *1968:23 0.000490165 +14 *1968:20 0.000411993 +15 *1968:5 0.000641914 +16 *1968:4 0.000237366 +17 *20464:B2 *4845:69 6.08467e-05 +18 *20464:B2 *5907:43 0.000443049 +19 *20464:B2 *5907:47 7.31939e-05 +20 *20465:B2 *4832:17 0.000454408 +21 *20466:B2 *20466:B1 6.50586e-05 +22 *20466:B2 *4832:17 2.15184e-05 +23 *20467:B2 *2978:8 1.91246e-05 +24 *20468:B2 *20468:A1 0.000169041 +25 *20468:B2 *4830:11 7.68538e-06 +26 *20468:B2 *4841:203 0.000113968 +27 *20468:B2 *4841:214 1.09738e-05 +28 *20468:B2 *5673:9 0 +29 *20469:B2 *21964:A 4.79767e-05 +30 *20469:B2 *4830:11 3.31745e-05 +31 *20469:B2 *5934:25 5.51483e-06 +32 *20471:B2 *20471:A1 1.09738e-05 +33 *20471:B2 *20471:B1 6.08467e-05 +34 *20471:B2 *2996:14 0 +35 *20471:B2 *5907:47 6.99486e-05 +36 *1968:20 *2978:8 0.000525621 +37 *1968:23 *20466:B1 2.15348e-05 +38 *1968:23 *4828:15 2.48346e-05 +39 *1968:23 *4832:17 0.000423936 +40 *1968:32 *20466:A1 7.37782e-05 +41 *1968:32 *20466:B1 0.000182319 +42 *1968:32 *20470:B1 7.38225e-05 +43 *1968:32 *21982:A 3.88655e-06 +44 *1968:32 *4839:282 0.000110752 +45 *1968:34 *20470:B1 7.12632e-06 +46 *1968:34 *21982:A 5.33358e-06 +47 *1968:38 *20466:B1 9.99386e-06 +48 *1968:38 *20470:B1 3.5534e-06 +49 *1968:38 *21982:A 0.000173919 +50 *1968:38 *2846:10 0 +51 *1968:38 *2978:8 0 +52 *1968:38 *2996:14 3.22726e-05 +53 *20465:A2 *20465:B2 0.000229732 +54 *20465:A2 *1968:23 6.49003e-05 +55 *20466:A2 *1968:23 9.16123e-05 +56 *20469:A2 *20469:B2 0.000407396 +57 *20469:A2 *1968:5 1.67988e-05 +58 *24593:D *20471:B2 1.43983e-05 +59 *24594:D *1968:38 1.21602e-05 +60 *24597:D *1968:38 0 +61 *24598:D *1968:32 5.0459e-05 +62 *1619:8 *20471:B2 0.000169078 +63 *1619:8 *1968:38 0 +64 *1967:42 *20467:B2 2.87136e-06 +65 *1967:42 *1968:20 6.08697e-06 +66 *1967:42 *1968:32 0 +67 *1967:67 *20468:B2 0 +68 *1967:67 *1968:20 0.000138487 +69 *1967:74 *20468:B2 4.33655e-05 +70 *1967:74 *1968:5 4.31703e-05 +*RES +1 *20463:Y *1968:4 9.24915 +2 *1968:4 *1968:5 1.8326 +3 *1968:5 *20468:B2 23.8563 +4 *1968:5 *1968:20 18.3065 +5 *1968:20 *1968:23 5.18434 +6 *1968:23 *20466:B2 9.97254 +7 *1968:23 *1968:32 17.7514 +8 *1968:32 *1968:34 0.378612 +9 *1968:34 *1968:38 15.0523 +10 *1968:38 *20471:B2 23.8829 +11 *1968:38 *20464:B2 16.0732 +12 *1968:34 *20470:B2 13.7491 +13 *1968:32 *20467:B2 21.5271 +14 *1968:20 *20465:B2 14.9881 +15 *1968:4 *20469:B2 14.4576 +*END + +*D_NET *1969 0.000600886 +*CONN +*I *20473:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20472:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20473:A 0.000145463 +2 *20472:X 0.000145463 +3 *20473:A *2685:8 2.32311e-05 +4 *20473:A *4739:18 0.000104731 +5 *20473:A *4839:69 1.07248e-05 +6 *20473:A *5921:70 0.000171273 +*RES +1 *20472:X *20473:A 31.1629 +*END + +*D_NET *1970 0.0118358 +*CONN +*I *20481:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20474:A I *D sky130_fd_sc_hd__inv_2 +*I *20479:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20475:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20482:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20476:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20478:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20477:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20480:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20473:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20481:A2 0 +2 *20474:A 0 +3 *20479:A2 1.83009e-05 +4 *20475:A2 3.32909e-05 +5 *20482:A2 0.000521632 +6 *20476:A2 0 +7 *20478:A2 1.85065e-05 +8 *20477:A2 0 +9 *20480:A2 0.000329107 +10 *20473:X 0.00028338 +11 *1970:89 0.000152516 +12 *1970:81 0.000427049 +13 *1970:69 0.000407194 +14 *1970:52 0.000813237 +15 *1970:45 0.000589712 +16 *1970:36 0.000725951 +17 *1970:23 0.000420513 +18 *1970:8 0.000704731 +19 *20475:A2 *20475:A1 0.000171273 +20 *20478:A2 *20478:A1 0.000224381 +21 *20478:A2 *4842:29 0.000224381 +22 *20479:A2 *20479:A1 6.50586e-05 +23 *20479:A2 *20479:B2 4.09471e-05 +24 *20479:A2 *4841:112 0.000169041 +25 *20480:A2 *20480:A1 0.000520748 +26 *20480:A2 *2552:10 5.05252e-05 +27 *20482:A2 *20482:A1 6.50586e-05 +28 *20482:A2 *20482:B2 4.06625e-05 +29 *1970:8 *20480:A1 6.43474e-05 +30 *1970:8 *21821:A 0.000217357 +31 *1970:23 *20480:A1 2.95757e-05 +32 *1970:23 *4739:18 0.000200749 +33 *1970:36 *1971:28 0 +34 *1970:36 *2796:8 0.000195139 +35 *1970:36 *4739:18 0.000116971 +36 *1970:36 *4869:113 0.000107496 +37 *1970:45 *20399:B1 1.2693e-05 +38 *1970:45 *20476:A1 1.07248e-05 +39 *1970:45 *1971:24 0 +40 *1970:52 *20398:B1 0 +41 *1970:52 *20399:B1 5.01668e-05 +42 *1970:52 *20476:A1 6.43474e-05 +43 *1970:69 *20398:B1 0 +44 *1970:69 *4845:26 0 +45 *1970:81 *20481:A1 0.00031994 +46 *1970:81 *4845:26 0 +47 *1970:89 *20479:B2 6.92705e-05 +48 *1970:89 *25370:A 6.50727e-05 +49 *1970:89 *1971:16 0.00023379 +50 *1970:89 *4839:32 5.93547e-06 +51 *1970:89 *4841:112 5.75344e-05 +52 *1970:89 *4845:26 0.000141924 +53 *24592:D *20475:A2 3.25584e-05 +54 *1724:8 *20480:A2 1.03986e-05 +55 *1856:8 *1970:8 0.000258002 +56 *1856:8 *1970:23 0.000254488 +57 *1856:8 *1970:36 0.000532795 +58 *1856:8 *1970:45 0.000781945 +59 *1856:8 *1970:52 0.000451081 +60 *1856:8 *1970:69 0.000149628 +61 *1856:8 *1970:81 0.00038465 +*RES +1 *20473:X *1970:8 20.8751 +2 *1970:8 *20480:A2 29.6343 +3 *1970:8 *1970:23 4.73876 +4 *1970:23 *20477:A2 13.7491 +5 *1970:23 *1970:36 20.1708 +6 *1970:36 *20478:A2 16.1364 +7 *1970:36 *1970:45 13.4591 +8 *1970:45 *20476:A2 13.7491 +9 *1970:45 *1970:52 8.06078 +10 *1970:52 *20482:A2 23.0327 +11 *1970:52 *1970:69 2.6625 +12 *1970:69 *20475:A2 15.5817 +13 *1970:69 *1970:81 14.8114 +14 *1970:81 *1970:89 14.6284 +15 *1970:89 *20479:A2 11.0817 +16 *1970:89 *20474:A 9.24915 +17 *1970:81 *20481:A2 9.24915 +*END + +*D_NET *1971 0.00918936 +*CONN +*I *20481:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20475:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20482:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20476:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20478:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20477:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20480:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20479:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20474:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20481:B2 0.000109469 +2 *20475:B2 0 +3 *20482:B2 0.000427154 +4 *20476:B2 2.09358e-05 +5 *20478:B2 1.98947e-05 +6 *20477:B2 4.62796e-05 +7 *20480:B2 0.000199661 +8 *20479:B2 0.000307177 +9 *20474:Y 0 +10 *1971:28 0.000515265 +11 *1971:24 0.000693123 +12 *1971:22 0.000575975 +13 *1971:20 0.000649854 +14 *1971:18 0.000199789 +15 *1971:16 0.000356061 +16 *1971:4 0.000425544 +17 *20476:B2 *24591:CLK 0.000171288 +18 *20476:B2 *4844:41 7.48797e-05 +19 *20477:B2 *4126:54 0.000110701 +20 *20477:B2 *4843:63 0.000205776 +21 *20478:B2 *20754:B1 2.16355e-05 +22 *20478:B2 *4842:36 6.08467e-05 +23 *20479:B2 *20479:A1 0.000122378 +24 *20479:B2 *4841:91 6.50586e-05 +25 *20479:B2 *4841:112 1.96958e-05 +26 *20480:B2 *20752:A1 6.50727e-05 +27 *20480:B2 *4126:54 7.65564e-05 +28 *20480:B2 *4841:44 0 +29 *20480:B2 *4843:63 0.000108087 +30 *20480:B2 *5856:16 9.63256e-05 +31 *20481:B2 *20481:A1 5.04829e-06 +32 *20482:B2 *20482:A1 0.000118166 +33 *1971:16 *4839:32 0.000127164 +34 *1971:16 *4839:36 2.4562e-05 +35 *1971:16 *4845:26 9.2346e-06 +36 *1971:18 *20398:B1 5.22654e-06 +37 *1971:18 *4839:36 0.000356558 +38 *1971:18 *4845:26 0.000157446 +39 *1971:20 *20398:B1 7.26997e-05 +40 *1971:20 *4839:36 0.000269747 +41 *1971:22 *20398:B1 0.000124565 +42 *1971:22 *20399:B1 0 +43 *1971:22 *24592:CLK 4.26659e-05 +44 *1971:22 *4839:36 9.24241e-05 +45 *1971:24 *20399:B1 0 +46 *1971:28 *2552:10 8.9863e-05 +47 *20479:A2 *20479:B2 4.09471e-05 +48 *20482:A2 *20482:B2 4.06625e-05 +49 *24588:D *20479:B2 2.18523e-06 +50 *24592:D *1971:20 0.00012568 +51 *1724:8 *1971:22 0.000137404 +52 *1724:8 *1971:24 0.000758029 +53 *1724:8 *1971:28 0.000541534 +54 *1970:36 *1971:28 0 +55 *1970:45 *1971:24 0 +56 *1970:89 *20479:B2 6.92705e-05 +57 *1970:89 *1971:16 0.00023379 +*RES +1 *20474:Y *1971:4 9.24915 +2 *1971:4 *20479:B2 14.8434 +3 *1971:4 *1971:16 8.4405 +4 *1971:16 *1971:18 6.39977 +5 *1971:18 *1971:20 4.73876 +6 *1971:20 *1971:22 5.98452 +7 *1971:22 *1971:24 13.4591 +8 *1971:24 *1971:28 14.2218 +9 *1971:28 *20480:B2 25.0992 +10 *1971:28 *20477:B2 12.191 +11 *1971:24 *20478:B2 14.4725 +12 *1971:22 *20476:B2 15.5817 +13 *1971:20 *20482:B2 20.4526 +14 *1971:18 *20475:B2 13.7491 +15 *1971:16 *20481:B2 16.1605 +*END + +*D_NET *1972 0.00130255 +*CONN +*I *20484:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20483:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20484:A 1.99251e-05 +2 *20483:X 0.000369334 +3 *1972:8 9.09464e-05 +4 *1972:7 0.000440356 +5 *20484:A *1973:9 0.000110758 +6 *1972:7 *1973:9 3.53886e-05 +7 *1972:7 *2603:74 0.000107948 +8 *20483:A *20484:A 0.000113107 +9 *20483:A *1972:7 1.19726e-05 +10 *1455:105 *1972:7 2.8182e-06 +*RES +1 *20483:X *1972:7 23.6585 +2 *1972:7 *1972:8 81.1229 +3 *1972:8 *20484:A 19.7763 +*END + +*D_NET *1973 0.00859865 +*CONN +*I *20485:A I *D sky130_fd_sc_hd__inv_2 +*I *20487:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20486:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20489:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20490:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20488:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20484:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20485:A 0 +2 *20487:A2 0 +3 *20486:A2 0 +4 *20489:A2 0.000222461 +5 *20490:A2 4.55751e-05 +6 *20488:A2 0.000179789 +7 *20484:X 0.000205761 +8 *1973:33 0.000606034 +9 *1973:29 0.000575283 +10 *1973:27 0.000701084 +11 *1973:11 0.000643588 +12 *1973:9 0.000205761 +13 *20488:A2 *20488:A1 1.4827e-05 +14 *20488:A2 *2649:21 0.000119263 +15 *20488:A2 *2649:37 3.63593e-05 +16 *20488:A2 *4849:33 9.96328e-05 +17 *20488:A2 *4985:24 5.60364e-06 +18 *20489:A2 *20490:A1 0.000336653 +19 *20489:A2 *24580:CLK 2.16355e-05 +20 *20489:A2 *2608:32 9.59822e-06 +21 *20489:A2 *2608:43 9.69821e-05 +22 *20490:A2 *20490:A1 0.000124062 +23 *20490:A2 *24580:CLK 4.2372e-05 +24 *1973:9 *1974:5 6.08467e-05 +25 *1973:9 *2603:74 0.000419028 +26 *1973:27 *21491:A 0.000233461 +27 *1973:27 *1974:18 5.60291e-06 +28 *1973:27 *2649:37 6.11097e-05 +29 *1973:27 *2833:73 2.31885e-05 +30 *1973:27 *4985:24 0.000204299 +31 *1973:27 *4985:32 6.744e-05 +32 *1973:29 *21491:A 0.000485924 +33 *1973:33 *20489:B2 0.000200006 +34 *1973:33 *21491:A 0.000534951 +35 *1973:33 *5869:588 3.618e-05 +36 *19836:B *20488:A2 0 +37 *20483:A *1973:9 0.000289038 +38 *20484:A *1973:9 0.000110758 +39 *24580:D *20489:A2 4.99147e-05 +40 *74:44 *20489:A2 1.77472e-05 +41 *1461:261 *20489:A2 0.000110306 +42 *1462:25 *20489:A2 3.82228e-05 +43 *1467:198 *1973:27 4.00002e-05 +44 *1569:71 *1973:27 0.000379833 +45 *1569:71 *1973:29 3.12828e-05 +46 *1569:78 *1973:33 7.23587e-06 +47 *1603:48 *20488:A2 8.44094e-05 +48 *1689:71 *20489:A2 0.000125482 +49 *1733:26 *20488:A2 1.05255e-05 +50 *1744:156 *1973:29 0.000443077 +51 *1744:156 *1973:33 0.000188451 +52 *1744:171 *1973:29 1.26179e-05 +53 *1972:7 *1973:9 3.53886e-05 +*RES +1 *20484:X *1973:9 17.3753 +2 *1973:9 *1973:11 4.5 +3 *1973:11 *20488:A2 19.6723 +4 *1973:11 *1973:27 14.1919 +5 *1973:27 *1973:29 9.72179 +6 *1973:29 *1973:33 15.4675 +7 *1973:33 *20490:A2 11.1059 +8 *1973:33 *20489:A2 26.2407 +9 *1973:29 *20486:A2 13.7491 +10 *1973:27 *20487:A2 13.7491 +11 *1973:9 *20485:A 9.24915 +*END + +*D_NET *1974 0.00830449 +*CONN +*I *20487:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20486:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20489:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20490:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20488:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20485:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20487:B2 4.17164e-05 +2 *20486:B2 1.47608e-05 +3 *20489:B2 0.000276953 +4 *20490:B2 0.00027952 +5 *20488:B2 0.00020637 +6 *20485:Y 3.82635e-05 +7 *1974:24 0.000786995 +8 *1974:20 0.000499269 +9 *1974:18 0.000654051 +10 *1974:5 0.000602982 +11 *20486:B2 *20486:B1 6.08467e-05 +12 *20487:B2 *20487:A1 6.1478e-06 +13 *20487:B2 *20487:B1 4.0744e-05 +14 *20487:B2 *2593:47 6.08467e-05 +15 *20488:B2 *2603:74 0.000158451 +16 *20488:B2 *2863:8 0 +17 *20489:B2 *21491:A 4.21849e-05 +18 *20489:B2 *5682:16 0.000193135 +19 *20489:B2 *5869:588 7.77744e-05 +20 *20490:B2 *2531:43 2.08394e-05 +21 *20490:B2 *2571:15 1.68057e-05 +22 *20490:B2 *4927:103 3.39219e-05 +23 *20490:B2 *4927:112 9.91642e-05 +24 *20490:B2 *4927:123 7.15004e-05 +25 *20490:B2 *5682:16 0.000287241 +26 *20490:B2 *5869:588 3.56986e-05 +27 *1974:5 *2603:74 0.000156955 +28 *1974:18 *21491:A 3.05882e-05 +29 *1974:18 *2593:47 2.43314e-05 +30 *1974:18 *2649:37 0.000197982 +31 *1974:18 *4985:32 0.000229457 +32 *1974:18 *5869:611 0.00010623 +33 *1974:20 *2593:47 0.000512983 +34 *1974:24 *21491:A 2.54499e-05 +35 *1974:24 *2593:47 0.000271686 +36 *20483:A *20488:B2 5.89592e-05 +37 *20483:A *1974:5 3.03541e-05 +38 *1442:97 *20488:B2 2.77564e-05 +39 *1541:142 *20490:B2 0 +40 *1541:145 *20486:B2 6.08467e-05 +41 *1569:78 *20489:B2 0.000122433 +42 *1733:26 *20488:B2 5.08346e-05 +43 *1733:26 *1974:18 0.000674248 +44 *1733:26 *1974:20 0.00050828 +45 *1733:26 *1974:24 0.000342479 +46 *1973:9 *1974:5 6.08467e-05 +47 *1973:27 *1974:18 5.60291e-06 +48 *1973:33 *20489:B2 0.000200006 +*RES +1 *20485:Y *1974:5 11.0817 +2 *1974:5 *20488:B2 23.5748 +3 *1974:5 *1974:18 17.9591 +4 *1974:18 *1974:20 10.137 +5 *1974:20 *1974:24 11.7303 +6 *1974:24 *20490:B2 26.4424 +7 *1974:24 *20489:B2 27.0361 +8 *1974:20 *20486:B2 14.4725 +9 *1974:18 *20487:B2 15.0513 +*END + +*D_NET *1975 0.00117966 +*CONN +*I *20492:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20491:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20492:A 0.000224433 +2 *20491:X 0.000224433 +3 *20492:A *2531:21 0.000113968 +4 *20492:A *2796:14 0.000416132 +5 *20492:A *4889:53 0.000149112 +6 *20492:A *4889:55 2.18292e-05 +7 *20492:A *4889:76 5.04829e-06 +8 *20491:A *20492:A 5.04829e-06 +9 *20491:B *20492:A 1.96574e-05 +*RES +1 *20491:X *20492:A 36.4218 +*END + +*D_NET *1976 0.00832701 +*CONN +*I *20498:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20495:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20493:A I *D sky130_fd_sc_hd__inv_2 +*I *20497:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20496:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20494:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20492:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20498:A2 0 +2 *20495:A2 0.000284508 +3 *20493:A 4.49424e-05 +4 *20497:A2 3.33561e-05 +5 *20496:A2 2.64001e-05 +6 *20494:A2 4.78215e-05 +7 *20492:X 0.000138399 +8 *1976:48 0.000515689 +9 *1976:42 0.000444668 +10 *1976:27 0.000417098 +11 *1976:18 0.000309406 +12 *1976:6 0.000343914 +13 *20493:A *20495:B2 1.03403e-05 +14 *20493:A *1977:8 1.43848e-05 +15 *20494:A2 *20494:A1 0.000107496 +16 *20495:A2 *20495:A1 6.50727e-05 +17 *20495:A2 *20495:B1 2.75423e-05 +18 *20495:A2 *20495:B2 9.29134e-05 +19 *20495:A2 *24401:CLK 6.15409e-05 +20 *20495:A2 *2796:8 0.000143047 +21 *20495:A2 *5023:5 0.000107496 +22 *20496:A2 *20496:A1 0.000160617 +23 *20497:A2 *20497:A1 5.89344e-05 +24 *20497:A2 *20497:B1 5.14966e-06 +25 *1976:6 *2796:14 8.04463e-05 +26 *1976:6 *4889:51 0.000177804 +27 *1976:6 *4889:53 0.000148129 +28 *1976:18 *20496:A1 0.000107496 +29 *1976:18 *2796:8 6.84784e-06 +30 *1976:18 *4739:30 0.000155083 +31 *1976:18 *4889:51 0.000196638 +32 *1976:27 *2796:8 0.000379523 +33 *1976:42 *2796:8 0.000724699 +34 *1976:48 *20498:A1 6.08467e-05 +35 *1976:48 *2796:8 9.2346e-06 +36 *1976:48 *4106:62 0.000394828 +37 *1976:48 *5861:10 0.000367283 +38 *24578:D *20495:A2 7.34948e-06 +39 *1439:438 *1976:18 1.92172e-05 +40 *1724:18 *20493:A 4.31539e-05 +41 *1724:18 *20495:A2 5.481e-05 +42 *1724:29 *1976:6 0.000134323 +43 *1724:29 *1976:18 0.000302967 +44 *1856:7 *20496:A2 0.000164843 +45 *1856:7 *1976:18 4.88955e-05 +46 *1856:8 *20495:A2 0.000139435 +47 *1856:8 *1976:18 2.95757e-05 +48 *1856:8 *1976:27 0.000379523 +49 *1856:8 *1976:42 0.000733325 +*RES +1 *20492:X *1976:6 19.7337 +2 *1976:6 *20494:A2 15.0271 +3 *1976:6 *1976:18 12.593 +4 *1976:18 *20496:A2 11.0817 +5 *1976:18 *1976:27 11.315 +6 *1976:27 *20497:A2 14.8342 +7 *1976:27 *1976:42 17.9591 +8 *1976:42 *1976:48 17.6476 +9 *1976:48 *20493:A 10.5271 +10 *1976:48 *20495:A2 27.5428 +11 *1976:42 *20498:A2 9.24915 +*END + +*D_NET *1977 0.00591479 +*CONN +*I *20495:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20498:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20497:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20496:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20494:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20493:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20495:B2 0.00035792 +2 *20498:B2 8.78443e-05 +3 *20497:B2 5.96662e-05 +4 *20496:B2 0 +5 *20494:B2 0.000184415 +6 *20493:Y 0 +7 *1977:12 0.000304126 +8 *1977:10 0.000534578 +9 *1977:8 0.000716223 +10 *1977:4 0.000631097 +11 *20494:B2 *3035:10 0.00037657 +12 *20494:B2 *4106:69 0.000378069 +13 *20494:B2 *5853:46 0.000160617 +14 *20495:B2 *24401:CLK 0.000125851 +15 *20495:B2 *4889:121 3.98472e-05 +16 *20497:B2 *2728:8 1.82679e-05 +17 *1977:8 *21612:A 2.41274e-06 +18 *1977:8 *4106:62 9.47659e-05 +19 *1977:10 *3035:10 0.000287551 +20 *1977:10 *4106:62 0.000195247 +21 *1977:12 *3035:10 0.000377492 +22 *1977:12 *4106:62 3.66029e-05 +23 *1977:12 *4106:69 0.000300822 +24 *20493:A *20495:B2 1.03403e-05 +25 *20493:A *1977:8 1.43848e-05 +26 *20495:A2 *20495:B2 9.29134e-05 +27 *24576:D *20497:B2 5.04829e-06 +28 *24576:D *1977:10 3.66027e-05 +29 *1585:8 *1977:8 9.43341e-05 +30 *1585:8 *1977:10 0.00014007 +31 *1724:18 *20495:B2 3.58951e-06 +32 *1724:18 *1977:8 5.383e-06 +33 *1856:8 *20495:B2 0.000242134 +*RES +1 *20493:Y *1977:4 9.24915 +2 *1977:4 *1977:8 11.9019 +3 *1977:8 *1977:10 13.4591 +4 *1977:10 *1977:12 6.81502 +5 *1977:12 *20494:B2 22.3968 +6 *1977:12 *20496:B2 13.7491 +7 *1977:10 *20497:B2 15.0271 +8 *1977:8 *20498:B2 15.5817 +9 *1977:4 *20495:B2 26.7925 +*END + +*D_NET *1978 0.00463836 +*CONN +*I *20500:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20499:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20500:A 0.00102356 +2 *20499:X 4.24874e-05 +3 *1978:7 0.00106605 +4 *20500:A *20748:B2 0.000160001 +5 *20500:A *2566:15 0.000185769 +6 *20500:A *2869:14 3.8079e-05 +7 *20500:A *3045:10 0.00190413 +8 *20500:A *5898:254 0.000153225 +9 *20500:A *5918:30 0 +10 *24352:D *20500:A 0 +11 *1955:72 *1978:7 6.50586e-05 +*RES +1 *20499:X *1978:7 14.4725 +2 *1978:7 *20500:A 49.6318 +*END + +*D_NET *1979 0.012806 +*CONN +*I *20502:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20503:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20504:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20506:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20508:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20507:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20501:A I *D sky130_fd_sc_hd__inv_2 +*I *20505:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20509:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20500:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20502:A2 0.000103332 +2 *20503:A2 3.16636e-05 +3 *20504:A2 0.000302771 +4 *20506:A2 1.68076e-05 +5 *20508:A2 0.000232607 +6 *20507:A2 3.07236e-05 +7 *20501:A 0 +8 *20505:A2 0 +9 *20509:A2 0.000370648 +10 *20500:X 0 +11 *1979:93 0.000441343 +12 *1979:67 0.000443552 +13 *1979:49 0.0012501 +14 *1979:41 0.00103174 +15 *1979:38 0.000849656 +16 *1979:35 0.00191614 +17 *1979:11 0.000619488 +18 *1979:4 0.00117792 +19 *20502:A2 *4823:8 2.21765e-05 +20 *20502:A2 *4844:92 5.96592e-05 +21 *20502:A2 *4845:80 1.07248e-05 +22 *20503:A2 *4844:92 3.49272e-05 +23 *20503:A2 *4844:96 5.22654e-06 +24 *20504:A2 *20504:A1 2.65667e-05 +25 *20504:A2 *20504:B1 6.50727e-05 +26 *20504:A2 *20504:B2 0.000164843 +27 *20504:A2 *20506:B1 0 +28 *20504:A2 *21313:A 0.000609505 +29 *20504:A2 *4841:218 0 +30 *20504:A2 *4842:192 9.60876e-05 +31 *20504:A2 *5118:10 0 +32 *20506:A2 *20506:A1 0.000118166 +33 *20506:A2 *4841:232 5.0715e-05 +34 *20507:A2 *1980:33 3.07159e-05 +35 *20508:A2 *20507:A1 4.94e-06 +36 *20508:A2 *20508:A1 0.000128501 +37 *20508:A2 *20508:B2 0 +38 *20508:A2 *21970:A 0.000208432 +39 *20508:A2 *4840:293 0 +40 *20509:A2 *20505:A1 1.88827e-05 +41 *20509:A2 *20509:B2 4.96175e-06 +42 *20509:A2 *1980:33 3.62662e-06 +43 *20509:A2 *4842:148 1.4091e-06 +44 *1979:11 *20505:A1 0.00031994 +45 *1979:11 *2566:8 7.12079e-05 +46 *1979:11 *2566:15 9.00364e-06 +47 *1979:11 *2869:14 0.000123582 +48 *1979:11 *3045:7 4.69808e-06 +49 *1979:11 *5898:254 3.20069e-06 +50 *1979:35 *20747:B1 0.000215415 +51 *1979:35 *22031:A 1.61631e-05 +52 *1979:35 *24404:CLK 3.82228e-05 +53 *1979:35 *3045:7 3.58951e-06 +54 *1979:35 *4822:14 0.000101133 +55 *1979:35 *4822:22 4.65435e-05 +56 *1979:35 *4895:62 0.000342009 +57 *1979:38 *20503:B2 0 +58 *1979:38 *1980:79 0 +59 *1979:38 *4825:180 0.000103087 +60 *1979:38 *4839:320 5.39635e-06 +61 *1979:38 *5866:369 0 +62 *1979:38 *5866:375 0 +63 *1979:38 *5890:14 0.000115238 +64 *1979:41 *1980:78 2.65667e-05 +65 *1979:49 *21970:A 6.50727e-05 +66 *1979:49 *1980:33 1.67988e-05 +67 *1979:49 *2984:7 6.11359e-06 +68 *1979:67 *20504:B2 0 +69 *1979:67 *20506:B1 0 +70 *1979:67 *1980:9 7.14746e-05 +71 *1979:67 *1980:79 0 +72 *1979:67 *5866:375 0 +73 *1979:93 *4895:62 0.000197298 +74 *24567:D *20509:A2 1.87271e-05 +75 *24569:D *20507:A2 6.36477e-05 +76 *24569:D *1979:49 6.08467e-05 +77 *24570:D *20504:A2 0.000122083 +78 *24574:D *20502:A2 7.50872e-05 +79 *24574:D *20503:A2 8.01837e-05 +*RES +1 *20500:X *1979:4 9.24915 +2 *1979:4 *1979:11 16.0217 +3 *1979:11 *20509:A2 24.2903 +4 *1979:11 *20505:A2 9.24915 +5 *1979:4 *1979:35 26.1411 +6 *1979:35 *1979:38 20.4506 +7 *1979:38 *1979:41 5.778 +8 *1979:41 *20501:A 9.24915 +9 *1979:41 *1979:49 19.604 +10 *1979:49 *20507:A2 10.5513 +11 *1979:49 *20508:A2 24.6489 +12 *1979:38 *1979:67 3.07775 +13 *1979:67 *20506:A2 15.0271 +14 *1979:67 *20504:A2 26.1033 +15 *1979:35 *1979:93 9.66022 +16 *1979:93 *20503:A2 15.1659 +17 *1979:93 *20502:A2 17.1444 +*END + +*D_NET *1980 0.0119614 +*CONN +*I *20504:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20502:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20503:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20506:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20508:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20505:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20509:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20507:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20501:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20504:B2 0.000263083 +2 *20502:B2 0 +3 *20503:B2 0.000135452 +4 *20506:B2 3.5247e-05 +5 *20508:B2 0.000294703 +6 *20505:B2 4.59609e-05 +7 *20509:B2 0.00027029 +8 *20507:B2 4.30016e-05 +9 *20501:Y 0 +10 *1980:79 0.000623812 +11 *1980:78 0.00103163 +12 *1980:33 0.00096403 +13 *1980:16 0.000775393 +14 *1980:13 0.00177179 +15 *1980:9 0.001661 +16 *1980:4 0.000513474 +17 *20503:B2 *4825:180 0 +18 *20503:B2 *4844:92 0.000212559 +19 *20503:B2 *4844:96 8.62625e-06 +20 *20503:B2 *4895:62 6.50586e-05 +21 *20504:B2 *4825:181 0.000121257 +22 *20504:B2 *4842:192 6.73351e-05 +23 *20504:B2 *5118:10 0.000148114 +24 *20505:B2 *20505:A1 1.03434e-05 +25 *20507:B2 *20507:B1 6.3657e-05 +26 *20507:B2 *4840:284 1.41976e-05 +27 *20508:B2 *20465:A1 1.7883e-05 +28 *20508:B2 *21313:A 2.99287e-05 +29 *20508:B2 *4839:282 6.53312e-05 +30 *20508:B2 *4840:293 0.000217497 +31 *20508:B2 *5866:473 6.83614e-05 +32 *20508:B2 *5866:482 5.22654e-06 +33 *20509:B2 *20509:B1 6.3657e-05 +34 *20509:B2 *4893:47 8.01837e-05 +35 *1980:9 *20506:A1 0.000116755 +36 *1980:9 *4841:232 9.75148e-06 +37 *1980:9 *5866:375 0 +38 *1980:13 *5116:7 2.00115e-05 +39 *1980:16 *20507:A1 1.19971e-05 +40 *1980:16 *20507:B1 3.0676e-05 +41 *1980:16 *4840:293 0.000137137 +42 *1980:33 *20507:A1 0.000151149 +43 *1980:33 *20507:B1 4.81452e-05 +44 *1980:33 *21970:A 1.61631e-05 +45 *1980:33 *24568:CLK 0 +46 *1980:33 *2984:7 7.49402e-05 +47 *1980:33 *4842:148 8.62625e-06 +48 *1980:33 *4893:47 0 +49 *1980:79 *4825:181 7.94508e-05 +50 *1980:79 *4844:92 0.000513944 +51 *20504:A2 *20504:B2 0.000164843 +52 *20507:A2 *1980:33 3.07159e-05 +53 *20508:A2 *20508:B2 0 +54 *20509:A2 *20509:B2 4.96175e-06 +55 *20509:A2 *1980:33 3.62662e-06 +56 *24567:D *20509:B2 0.00027092 +57 *24568:D *1980:13 6.1469e-05 +58 *24569:D *20507:B2 1.03403e-05 +59 *24569:D *1980:33 2.58106e-05 +60 *490:46 *1980:33 0.000367073 +61 *1979:38 *20503:B2 0 +62 *1979:38 *1980:79 0 +63 *1979:41 *1980:78 2.65667e-05 +64 *1979:49 *1980:33 1.67988e-05 +65 *1979:67 *20504:B2 0 +66 *1979:67 *1980:9 7.14746e-05 +67 *1979:67 *1980:79 0 +*RES +1 *20501:Y *1980:4 9.24915 +2 *1980:4 *1980:9 13.3586 +3 *1980:9 *1980:13 23.5253 +4 *1980:13 *1980:16 7.1625 +5 *1980:16 *20507:B2 10.5513 +6 *1980:16 *1980:33 28.364 +7 *1980:33 *20509:B2 23.8857 +8 *1980:33 *20505:B2 10.6477 +9 *1980:13 *20508:B2 31.4876 +10 *1980:9 *20506:B2 10.2378 +11 *1980:4 *1980:78 8.55102 +12 *1980:78 *1980:79 14.2896 +13 *1980:79 *20503:B2 18.3808 +14 *1980:79 *20502:B2 13.7491 +15 *1980:78 *20504:B2 22.4701 +*END + +*D_NET *1981 0.000856971 +*CONN +*I *20511:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20510:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20511:A 0.000225207 +2 *20510:X 0.000225207 +3 *20511:A *21364:A1 0.000158371 +4 *20511:A *4844:356 0 +5 *20511:A *4865:6 0.000188239 +6 *20510:A *20511:A 4.69495e-06 +7 *21364:A2 *20511:A 1.85409e-05 +8 *1884:282 *20511:A 3.67104e-05 +*RES +1 *20510:X *20511:A 33.2392 +*END + +*D_NET *1982 0.0148305 +*CONN +*I *20512:A I *D sky130_fd_sc_hd__inv_2 +*I *20515:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20514:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20513:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20516:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20518:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20519:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20517:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20520:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20511:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20512:A 0 +2 *20515:A2 0 +3 *20514:A2 8.14394e-05 +4 *20513:A2 6.62422e-05 +5 *20516:A2 0.000203221 +6 *20518:A2 0 +7 *20519:A2 0.000443763 +8 *20517:A2 0 +9 *20520:A2 0.000202686 +10 *20511:X 1.98947e-05 +11 *1982:92 0.000146162 +12 *1982:91 0.000196416 +13 *1982:75 0.000283761 +14 *1982:66 0.000494815 +15 *1982:46 0.000467911 +16 *1982:43 0.000692967 +17 *1982:38 0.000845837 +18 *1982:25 0.000522852 +19 *1982:17 0.00019824 +20 *1982:5 0.000280755 +21 *20513:A2 *20513:B2 6.50727e-05 +22 *20513:A2 *2398:93 0.000274625 +23 *20513:A2 *4845:349 4.87301e-05 +24 *20513:A2 *4845:359 2.16718e-05 +25 *20514:A2 *24566:CLK 0.00031543 +26 *20514:A2 *4844:303 0.00031543 +27 *20516:A2 *20516:B2 6.50727e-05 +28 *20516:A2 *1983:55 0.000248423 +29 *20516:A2 *2471:21 4.00824e-05 +30 *20519:A2 *20518:A1 6.08467e-05 +31 *20519:A2 *20518:B1 9.95922e-06 +32 *20519:A2 *20519:A1 0.00013415 +33 *20519:A2 *1983:49 0.000192095 +34 *20519:A2 *4844:356 0.000379723 +35 *20519:A2 *5857:263 1.41689e-05 +36 *20519:A2 *5857:267 0.000765637 +37 *20519:A2 *5859:262 0.000100273 +38 *20520:A2 *20520:A1 6.27718e-05 +39 *20520:A2 *20520:B1 7.44044e-06 +40 *20520:A2 *24559:CLK 4.21171e-06 +41 *20520:A2 *2759:27 6.92705e-05 +42 *20520:A2 *4914:70 0.000164618 +43 *1982:5 *4866:32 6.08467e-05 +44 *1982:5 *5854:424 2.16355e-05 +45 *1982:17 *4866:32 0.000200794 +46 *1982:17 *5854:424 7.12965e-05 +47 *1982:25 *1983:11 7.09666e-06 +48 *1982:25 *4833:21 0.000647725 +49 *1982:25 *4845:381 2.57465e-06 +50 *1982:38 *20515:A1 9.97706e-05 +51 *1982:38 *2384:103 0.000113968 +52 *1982:38 *2428:87 4.91242e-05 +53 *1982:38 *5864:68 5.03122e-05 +54 *1982:38 *5864:70 0.000149372 +55 *1982:43 *20515:A1 6.50586e-05 +56 *1982:43 *20515:B1 6.50586e-05 +57 *1982:43 *1983:24 9.12416e-06 +58 *1982:43 *1983:31 0.000464199 +59 *1982:43 *1983:49 0.000398314 +60 *1982:43 *2384:103 0.000160617 +61 *1982:43 *2440:42 0.000329031 +62 *1982:43 *3160:22 5.93547e-06 +63 *1982:43 *4821:55 1.18955e-05 +64 *1982:43 *4845:359 0.000522568 +65 *1982:43 *5864:68 4.60375e-07 +66 *1982:46 *20518:A1 0.000113968 +67 *1982:46 *1983:49 4.66492e-05 +68 *1982:66 *3160:22 0.000119965 +69 *1982:66 *4845:359 0.000347476 +70 *1982:75 *20513:A1 0 +71 *1982:75 *2100:12 0 +72 *1982:75 *4845:359 0.00012063 +73 *1982:91 *20513:A1 0 +74 *1982:91 *24566:CLK 1.74751e-05 +75 *1982:91 *4844:303 4.77168e-07 +76 *24559:D *20520:A2 4.42259e-05 +77 *24564:D *1982:43 1.41853e-05 +78 *24566:D *1982:91 1.79672e-05 +79 *494:26 *1982:25 1.9101e-05 +80 *506:32 *1982:66 2.43314e-05 +81 *506:32 *1982:75 0.000183846 +82 *506:32 *1982:91 0.000106446 +83 *506:35 *20516:A2 0.000393863 +84 *506:36 *20520:A2 0.00034074 +85 *1450:113 *20519:A2 0.000143795 +86 *1450:113 *1982:46 1.65872e-05 +87 *1701:39 *1982:25 0.000654152 +88 *1744:24 *1982:38 9.1157e-05 +*RES +1 *20511:X *1982:5 9.97254 +2 *1982:5 *20520:A2 25.7876 +3 *1982:5 *1982:17 2.38721 +4 *1982:17 *1982:25 12.5075 +5 *1982:25 *20517:A2 13.7491 +6 *1982:25 *1982:38 15.4998 +7 *1982:38 *1982:43 23.5289 +8 *1982:43 *1982:46 5.778 +9 *1982:46 *20519:A2 36.3786 +10 *1982:46 *20518:A2 9.24915 +11 *1982:43 *1982:66 6.81502 +12 *1982:66 *20516:A2 20.5732 +13 *1982:66 *1982:75 3.493 +14 *1982:75 *20513:A2 17.2697 +15 *1982:75 *1982:91 16.7198 +16 *1982:91 *1982:92 81.1229 +17 *1982:92 *20514:A2 22.272 +18 *1982:38 *20515:A2 9.24915 +19 *1982:17 *20512:A 9.24915 +*END + +*D_NET *1983 0.0140586 +*CONN +*I *20517:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20518:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20513:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20514:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20516:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20519:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20515:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20520:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20512:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20517:B2 1.75055e-05 +2 *20518:B2 0 +3 *20513:B2 0.000226966 +4 *20514:B2 0.000183674 +5 *20516:B2 0.00021201 +6 *20519:B2 0.000926387 +7 *20515:B2 0 +8 *20520:B2 2.111e-05 +9 *20512:Y 0 +10 *1983:55 0.00050595 +11 *1983:52 0.000550188 +12 *1983:49 0.000450522 +13 *1983:31 0.00140913 +14 *1983:24 0.000499915 +15 *1983:21 0.000321978 +16 *1983:12 7.18395e-05 +17 *1983:11 0.000323769 +18 *1983:4 0.000322773 +19 *20513:B2 *2398:93 9.95234e-05 +20 *20513:B2 *2576:42 0.000147031 +21 *20513:B2 *4845:359 5.04829e-06 +22 *20514:B2 *20514:A1 2.1203e-06 +23 *20514:B2 *24566:CLK 6.08467e-05 +24 *20514:B2 *4827:24 0.000332829 +25 *20514:B2 *4843:509 3.179e-05 +26 *20514:B2 *4844:305 6.08467e-05 +27 *20516:B2 *20516:B1 9.95922e-06 +28 *20516:B2 *2471:21 9.80242e-07 +29 *20516:B2 *5853:410 1.03403e-05 +30 *20516:B2 *5853:421 8.36712e-05 +31 *20517:B2 *20517:B1 9.97706e-05 +32 *20517:B2 *4866:32 0.000111722 +33 *20519:B2 *20519:B1 2.68192e-05 +34 *20519:B2 *5259:17 5.97411e-05 +35 *20520:B2 *2759:27 6.65878e-05 +36 *1983:11 *2759:27 0.000567645 +37 *1983:11 *4845:381 0.000394297 +38 *1983:21 *20517:B1 0.000111722 +39 *1983:21 *4866:32 0.000207266 +40 *1983:21 *5854:424 3.31745e-05 +41 *1983:24 *2440:16 0.00023236 +42 *1983:24 *2440:42 3.01325e-05 +43 *1983:24 *3160:22 0.000501465 +44 *1983:31 *3160:22 0.000455075 +45 *1983:49 *3160:22 0.000396313 +46 *1983:52 *2576:42 0.000358086 +47 *20513:A2 *20513:B2 6.50727e-05 +48 *20516:A2 *20516:B2 6.50727e-05 +49 *20516:A2 *1983:55 0.000248423 +50 *20519:A2 *1983:49 0.000192095 +51 *24560:D *20519:B2 0.000131019 +52 *24563:D *20514:B2 0.000192457 +53 *24564:D *1983:24 9.96342e-05 +54 *494:26 *1983:11 0.000270874 +55 *494:26 *1983:52 0.000220332 +56 *527:80 *1983:11 0.000574554 +57 *547:36 *20513:B2 0.000138436 +58 *547:36 *1983:52 5.11321e-05 +59 *547:38 *1983:52 3.392e-05 +60 *1450:113 *1983:49 0.000258128 +61 *1741:93 *20519:B2 5.11963e-05 +62 *1982:25 *1983:11 7.09666e-06 +63 *1982:43 *1983:24 9.12416e-06 +64 *1982:43 *1983:31 0.000464199 +65 *1982:43 *1983:49 0.000398314 +66 *1982:46 *1983:49 4.66492e-05 +*RES +1 *20512:Y *1983:4 9.24915 +2 *1983:4 *1983:11 32.1641 +3 *1983:11 *1983:12 57.9449 +4 *1983:12 *20520:B2 19.2217 +5 *1983:4 *1983:21 2.38721 +6 *1983:21 *1983:24 14.2218 +7 *1983:24 *20515:B2 13.7491 +8 *1983:24 *1983:31 9.30653 +9 *1983:31 *20519:B2 28.2412 +10 *1983:31 *1983:49 15.6661 +11 *1983:49 *1983:52 11.7303 +12 *1983:52 *1983:55 7.44181 +13 *1983:55 *20516:B2 14.0959 +14 *1983:55 *20514:B2 25.3723 +15 *1983:52 *20513:B2 20.4627 +16 *1983:49 *20518:B2 9.24915 +17 *1983:21 *20517:B2 10.5271 +*END + +*D_NET *1984 0.000748769 +*CONN +*I *20522:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20521:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20522:A 9.35972e-05 +2 *20521:X 9.35972e-05 +3 *20522:A *2750:13 0.000353686 +4 *20521:B *20522:A 6.08467e-05 +5 *1947:137 *20522:A 0.000147042 +*RES +1 *20521:X *20522:A 22.5493 +*END + +*D_NET *1985 0.00756399 +*CONN +*I *20526:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20523:A I *D sky130_fd_sc_hd__inv_2 +*I *20527:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20528:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20524:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20525:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20522:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20526:A2 0.00027445 +2 *20523:A 0 +3 *20527:A2 0 +4 *20528:A2 0.000152613 +5 *20524:A2 5.2858e-05 +6 *20525:A2 4.07371e-05 +7 *20522:X 0.000341202 +8 *1985:56 0.000568307 +9 *1985:46 0.000534886 +10 *1985:23 0.000487886 +11 *1985:12 0.000656948 +12 *1985:5 0.000916027 +13 *20524:A2 *20524:A1 9.70097e-06 +14 *20524:A2 *5856:168 3.83492e-06 +15 *20524:A2 *5858:112 5.35941e-05 +16 *20525:A2 *5858:112 3.16676e-05 +17 *20526:A2 *20526:A1 2.16355e-05 +18 *20526:A2 *20526:B2 0.000324538 +19 *20526:A2 *20534:B1 0.000107496 +20 *20526:A2 *21840:A 0 +21 *20526:A2 *5856:146 0.00013063 +22 *20528:A2 *2784:42 0.000168004 +23 *20528:A2 *3411:42 6.30741e-05 +24 *20528:A2 *5593:46 0.000487631 +25 *1985:5 *2750:13 3.72251e-05 +26 *1985:5 *5856:160 0.000107496 +27 *1985:12 *4858:10 0.00015917 +28 *1985:12 *5856:160 0.000111802 +29 *1985:12 *5858:112 4.29391e-05 +30 *1985:23 *5854:100 8.02145e-05 +31 *1985:23 *5856:168 8.30099e-06 +32 *1985:23 *5858:112 0.000399807 +33 *1985:46 *2943:68 2.32955e-05 +34 *1985:46 *2943:78 2.27135e-05 +35 *1985:46 *5858:96 9.35753e-06 +36 *1985:46 *5858:112 7.63096e-05 +37 *1985:56 *20526:B2 6.71498e-05 +38 *1985:56 *20527:A1 2.57847e-05 +39 *1985:56 *20527:B1 2.57986e-05 +40 *1985:56 *20527:B2 0.000158357 +41 *1985:56 *1986:8 0.00011316 +42 *1985:56 *5856:146 5.39873e-05 +43 *1985:56 *5904:114 1.50584e-05 +44 *24555:D *1985:56 7.34948e-06 +45 *24556:D *20526:A2 3.95516e-05 +46 *1740:125 *20528:A2 5.8518e-05 +47 *1740:131 *1985:12 7.6993e-05 +48 *1740:131 *1985:46 0.000284048 +49 *1757:106 *1985:56 2.01653e-05 +50 *1947:137 *1985:5 0.000111722 +*RES +1 *20522:X *1985:5 14.4094 +2 *1985:5 *1985:12 12.7029 +3 *1985:12 *20525:A2 14.8606 +4 *1985:12 *1985:23 8.47603 +5 *1985:23 *20524:A2 14.9583 +6 *1985:23 *20528:A2 22.3576 +7 *1985:5 *1985:46 16.6455 +8 *1985:46 *20527:A2 9.24915 +9 *1985:46 *1985:56 12.6227 +10 *1985:56 *20523:A 13.7491 +11 *1985:56 *20526:A2 23.2783 +*END + +*D_NET *1986 0.00878825 +*CONN +*I *20526:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20527:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20525:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20528:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20524:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20523:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20526:B2 0.000229143 +2 *20527:B2 6.43116e-05 +3 *20525:B2 0 +4 *20528:B2 0.000497677 +5 *20524:B2 0.000375231 +6 *20523:Y 7.73788e-05 +7 *1986:23 0.000497677 +8 *1986:10 0.000696912 +9 *1986:8 0.000448896 +10 *1986:7 0.000369425 +11 *20524:B2 *20528:A1 2.65667e-05 +12 *20524:B2 *2616:34 0.000146202 +13 *20524:B2 *3411:57 0.000135183 +14 *20524:B2 *5851:95 0.000104216 +15 *20524:B2 *5860:696 6.08467e-05 +16 *20526:B2 *4797:24 0.000421996 +17 *20526:B2 *5856:138 9.80656e-06 +18 *20527:B2 *5904:114 7.89747e-05 +19 *20528:B2 *20563:A1 0.000107496 +20 *20528:B2 *20563:B1 0.000230354 +21 *20528:B2 *20566:A1 0.000298399 +22 *20528:B2 *20566:B1 1.65872e-05 +23 *20528:B2 *24922:A 0.000690995 +24 *20528:B2 *5592:19 0.000132467 +25 *20528:B2 *5801:92 0.000496643 +26 *20528:B2 *5868:23 0.000503666 +27 *1986:7 *2852:19 0.000110306 +28 *1986:8 *4797:24 0.000131906 +29 *1986:10 *24557:CLK 0.00015409 +30 *1986:10 *2616:34 6.78984e-05 +31 *1986:10 *3411:57 8.46954e-05 +32 *1986:10 *4797:24 0.000527252 +33 *1986:10 *5856:146 0.000186138 +34 *20526:A2 *20526:B2 0.000324538 +35 *24556:D *20526:B2 7.92757e-06 +36 *1740:125 *20524:B2 4.41867e-05 +37 *1757:106 *1986:8 9.2346e-06 +38 *1757:106 *1986:10 8.43594e-05 +39 *1985:56 *20526:B2 6.71498e-05 +40 *1985:56 *20527:B2 0.000158357 +41 *1985:56 *1986:8 0.00011316 +*RES +1 *20523:Y *1986:7 15.0271 +2 *1986:7 *1986:8 2.6625 +3 *1986:8 *1986:10 13.4591 +4 *1986:10 *20524:B2 24.3578 +5 *1986:10 *1986:23 4.5 +6 *1986:23 *20528:B2 39.9552 +7 *1986:23 *20525:B2 9.24915 +8 *1986:8 *20527:B2 16.1364 +9 *1986:7 *20526:B2 22.5333 +*END + +*D_NET *1987 0.000263956 +*CONN +*I *20530:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20529:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20530:A 2.01759e-05 +2 *20529:X 2.01759e-05 +3 *20530:A *1988:7 0.000111802 +4 *1485:187 *20530:A 0.000111802 +*RES +1 *20529:X *20530:A 19.7763 +*END + +*D_NET *1988 0.00999164 +*CONN +*I *20532:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20535:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20531:A I *D sky130_fd_sc_hd__inv_2 +*I *20536:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20533:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20534:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20530:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20532:A2 6.91109e-05 +2 *20535:A2 0.000210925 +3 *20531:A 0 +4 *20536:A2 3.45541e-05 +5 *20533:A2 0.000240854 +6 *20534:A2 0 +7 *20530:X 0.000672067 +8 *1988:45 0.000367337 +9 *1988:38 0.000285222 +10 *1988:15 0.000812736 +11 *1988:8 0.000680003 +12 *1988:7 0.000943554 +13 *20532:A2 *20532:A1 0.000113968 +14 *20532:A2 *20532:B2 0.000113968 +15 *20532:A2 *5858:59 1.02986e-05 +16 *20533:A2 *20534:A1 9.32983e-05 +17 *20533:A2 *22770:B1 0.000140882 +18 *20533:A2 *24552:RESET_B 0.000133963 +19 *20533:A2 *2603:96 0.000325774 +20 *20533:A2 *2838:76 3.82228e-05 +21 *20535:A2 *20532:B2 0.000493634 +22 *20535:A2 *20535:A1 6.08467e-05 +23 *20535:A2 *21534:A 2.137e-05 +24 *20535:A2 *22501:B1 0.000631335 +25 *20535:A2 *2672:40 4.49912e-05 +26 *20536:A2 *20536:A1 6.50586e-05 +27 *20536:A2 *20536:B1 6.08467e-05 +28 *20536:A2 *2593:24 0.000163418 +29 *1988:8 *1989:8 3.33248e-05 +30 *1988:8 *2597:30 0.000105837 +31 *1988:15 *20533:B2 9.12416e-06 +32 *1988:15 *20534:A1 6.08467e-05 +33 *1988:15 *20534:B1 6.36477e-05 +34 *1988:15 *1989:8 7.09666e-06 +35 *1988:15 *1989:10 0.00086372 +36 *1988:15 *2597:30 0.000128233 +37 *1988:15 *2838:76 5.481e-05 +38 *1988:38 *1989:8 0.000153274 +39 *1988:38 *2597:30 0.000412282 +40 *1988:45 *1989:8 2.82537e-05 +41 *1988:45 *2597:30 0.000140135 +42 *1988:45 *5858:59 1.03607e-05 +43 *20529:A *1988:7 6.08467e-05 +44 *20529:A *1988:8 1.91391e-05 +45 *20529:A *1988:38 2.13165e-05 +46 *20529:B *1988:7 6.36477e-05 +47 *20530:A *1988:7 0.000111802 +48 *1485:185 *1988:7 0.000112849 +49 *1485:187 *1988:7 4.38282e-05 +50 *1546:32 *20533:A2 1.88148e-05 +51 *1560:24 *1988:15 0.000476675 +52 *1581:21 *1988:45 3.77568e-05 +53 *1582:8 *20532:A2 1.24189e-05 +54 *1582:8 *1988:45 6.14756e-06 +55 *1624:62 *20535:A2 4.49912e-05 +56 *1763:65 *1988:15 6.22259e-05 +*RES +1 *20530:X *1988:7 23.9008 +2 *1988:7 *1988:8 2.24725 +3 *1988:8 *1988:15 24.2617 +4 *1988:15 *20534:A2 9.24915 +5 *1988:15 *20533:A2 26.3422 +6 *1988:8 *20536:A2 15.5817 +7 *1988:7 *1988:38 7.64553 +8 *1988:38 *20531:A 13.7491 +9 *1988:38 *1988:45 3.07775 +10 *1988:45 *20535:A2 31.6839 +11 *1988:45 *20532:A2 16.0286 +*END + +*D_NET *1989 0.00853408 +*CONN +*I *20535:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20532:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20536:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20534:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20533:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20531:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20535:B2 0 +2 *20532:B2 0.000256505 +3 *20536:B2 1.47608e-05 +4 *20534:B2 0 +5 *20533:B2 0.000233738 +6 *20531:Y 0 +7 *1989:38 0.000730923 +8 *1989:10 0.000674382 +9 *1989:8 0.000881126 +10 *1989:4 0.000900138 +11 *20532:B2 *20532:A1 4.22713e-05 +12 *20532:B2 *20532:B1 1.39864e-05 +13 *20532:B2 *20535:A1 8.67924e-06 +14 *20532:B2 *21534:A 0.000159493 +15 *20533:B2 *20533:B1 1.82679e-05 +16 *20533:B2 *24920:A 4.31539e-05 +17 *20533:B2 *2592:34 0.00034179 +18 *20533:B2 *2840:24 0.000109045 +19 *20536:B2 *20536:B1 6.08467e-05 +20 *20536:B2 *2593:24 6.08467e-05 +21 *1989:8 *20535:B1 6.23875e-05 +22 *1989:8 *2592:34 0.000488166 +23 *1989:8 *5858:59 6.42825e-05 +24 *1989:10 *2592:34 0.000858072 +25 *1989:38 *20535:B1 0.000155662 +26 *1989:38 *2592:28 3.9948e-05 +27 *1989:38 *2614:28 0.000120282 +28 *20529:A *1989:8 4.51619e-05 +29 *20532:A2 *20532:B2 0.000113968 +30 *20535:A2 *20532:B2 0.000493634 +31 *1560:24 *20533:B2 0.000179985 +32 *1581:21 *20532:B2 0.000258208 +33 *1733:45 *1989:8 9.57557e-06 +34 *1988:8 *1989:8 3.33248e-05 +35 *1988:15 *20533:B2 9.12416e-06 +36 *1988:15 *1989:8 7.09666e-06 +37 *1988:15 *1989:10 0.00086372 +38 *1988:38 *1989:8 0.000153274 +39 *1988:45 *1989:8 2.82537e-05 +*RES +1 *20531:Y *1989:4 9.24915 +2 *1989:4 *1989:8 17.3001 +3 *1989:8 *1989:10 17.1963 +4 *1989:10 *20533:B2 21.8422 +5 *1989:10 *20534:B2 13.7491 +6 *1989:8 *20536:B2 14.4725 +7 *1989:4 *1989:38 16.8227 +8 *1989:38 *20532:B2 19.449 +9 *1989:38 *20535:B2 9.24915 +*END + +*D_NET *1990 0.000254759 +*CONN +*I *20538:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20537:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20538:A 8.94118e-05 +2 *20537:X 8.94118e-05 +3 *20538:A *1991:8 3.072e-06 +4 *20538:A *2737:16 4.27003e-05 +5 *1884:152 *20538:A 3.01634e-05 +*RES +1 *20537:X *20538:A 30.0537 +*END + +*D_NET *1991 0.0206798 +*CONN +*I *20539:A I *D sky130_fd_sc_hd__inv_2 +*I *20545:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20547:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20544:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20541:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20542:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20540:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20543:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20546:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20538:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20539:A 0.000222446 +2 *20545:A2 0 +3 *20547:A2 4.84391e-05 +4 *20544:A2 0.000380737 +5 *20541:A2 0 +6 *20542:A2 0.000132878 +7 *20540:A2 0 +8 *20543:A2 0 +9 *20546:A2 0 +10 *20538:X 0.000345036 +11 *1991:94 0.000372944 +12 *1991:85 0.000348595 +13 *1991:48 0.000752379 +14 *1991:43 0.00123889 +15 *1991:30 0.00125768 +16 *1991:16 0.000638289 +17 *1991:14 0.00126212 +18 *1991:8 0.00137608 +19 *20539:A *1992:6 0.000101148 +20 *20539:A *1992:70 0.000335411 +21 *20539:A *2347:14 2.21765e-05 +22 *20539:A *5866:259 4.75345e-05 +23 *20542:A2 *20540:A1 0.00028932 +24 *20542:A2 *20540:B1 1.90039e-05 +25 *20542:A2 *20542:A1 2.16355e-05 +26 *20542:A2 *20542:B2 6.82546e-05 +27 *20544:A2 *20544:A1 0.000284656 +28 *20544:A2 *1992:14 0.000111358 +29 *20544:A2 *4126:57 0.00043166 +30 *20544:A2 *4822:12 8.01687e-05 +31 *20544:A2 *4840:100 0.000546197 +32 *20544:A2 *5105:9 6.50586e-05 +33 *20547:A2 *5250:27 4.58003e-05 +34 *1991:8 *2737:16 0.000750305 +35 *1991:8 *5866:237 0.00036923 +36 *1991:8 *5866:249 0.000116986 +37 *1991:8 *5866:259 0.000109827 +38 *1991:14 *1992:14 3.31882e-05 +39 *1991:14 *1992:19 0.000118485 +40 *1991:14 *4126:57 0.000144546 +41 *1991:14 *4888:184 0.000805862 +42 *1991:30 *20546:A1 0.000453443 +43 *1991:30 *2076:36 0.000341473 +44 *1991:30 *3070:8 0.000343934 +45 *1991:43 *20541:A1 3.14978e-05 +46 *1991:43 *20543:A1 0.000263107 +47 *1991:43 *20630:B2 7.09666e-06 +48 *1991:43 *1992:29 0.00143813 +49 *1991:43 *2018:63 0.000182433 +50 *1991:43 *3036:16 7.03504e-05 +51 *1991:43 *4844:653 0.000113968 +52 *1991:43 *4892:58 0.00103912 +53 *1991:43 *5867:348 8.81615e-05 +54 *1991:48 *20540:A1 3.67708e-05 +55 *1991:48 *20541:B2 0 +56 *1991:48 *1992:39 0 +57 *1991:48 *2893:13 0.00112756 +58 *1991:48 *4844:653 2.22198e-05 +59 *1991:48 *5299:9 3.58457e-05 +60 *1991:48 *5867:383 0 +61 *1991:48 *5890:14 0.000150218 +62 *1991:85 *2737:16 0.000311593 +63 *1991:85 *5250:27 3.82228e-05 +64 *1991:85 *5866:259 0.000304466 +65 *1991:94 *5866:259 0.000383151 +66 *20538:A *1991:8 3.072e-06 +67 *24543:D *20539:A 6.50586e-05 +68 *1607:18 *20544:A2 0.000192055 +69 *1656:12 *20544:A2 1.25301e-05 +70 *1770:8 *20539:A 0.000126945 +71 *1770:12 *20539:A 1.70744e-05 +72 *1884:150 *20539:A 2.04806e-05 +73 *1884:150 *1991:8 0 +74 *1884:150 *1991:94 0.00016554 +75 *1884:152 *1991:8 0 +*RES +1 *20538:X *1991:8 27.9316 +2 *1991:8 *1991:14 24.5872 +3 *1991:14 *1991:16 4.5 +4 *1991:16 *20546:A2 9.24915 +5 *1991:16 *1991:30 27.4323 +6 *1991:30 *20543:A2 9.24915 +7 *1991:30 *1991:43 35.0388 +8 *1991:43 *1991:48 29.1031 +9 *1991:48 *20540:A2 9.24915 +10 *1991:48 *20542:A2 14.6264 +11 *1991:43 *20541:A2 9.24915 +12 *1991:14 *20544:A2 31.4577 +13 *1991:8 *1991:85 11.3473 +14 *1991:85 *20547:A2 10.5271 +15 *1991:85 *1991:94 11.315 +16 *1991:94 *20545:A2 13.7491 +17 *1991:94 *20539:A 30.8794 +*END + +*D_NET *1992 0.0146695 +*CONN +*I *20544:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20545:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20547:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20546:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20542:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20540:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20541:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20543:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20539:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20544:B2 2.23282e-05 +2 *20545:B2 0 +3 *20547:B2 0.000222434 +4 *20546:B2 7.52777e-05 +5 *20542:B2 0.00028525 +6 *20540:B2 3.36751e-05 +7 *20541:B2 0.000162614 +8 *20543:B2 1.90605e-05 +9 *20539:Y 6.21802e-05 +10 *1992:70 0.000436404 +11 *1992:63 0.000236298 +12 *1992:39 0.000796672 +13 *1992:29 0.00100426 +14 *1992:19 0.000731709 +15 *1992:14 0.00133287 +16 *1992:6 0.000971024 +17 *20540:B2 *20540:B1 4.80635e-06 +18 *20541:B2 *2886:8 7.8343e-05 +19 *20541:B2 *4844:653 2.01855e-05 +20 *20541:B2 *4881:182 4.01315e-05 +21 *20542:B2 *20540:B1 2.58345e-05 +22 *20543:B2 *20543:B1 4.80635e-06 +23 *20544:B2 *4840:100 2.15348e-05 +24 *20546:B2 *20546:A1 5.04829e-06 +25 *20546:B2 *20546:B1 3.82228e-05 +26 *20546:B2 *2076:36 0.000154145 +27 *20547:B2 *4840:90 6.50727e-05 +28 *20547:B2 *5861:35 6.08467e-05 +29 *20547:B2 *5861:43 8.62625e-06 +30 *1992:6 *2347:14 6.81008e-05 +31 *1992:14 *24542:CLK 1.03403e-05 +32 *1992:14 *2347:14 9.14201e-05 +33 *1992:14 *2843:12 2.71397e-05 +34 *1992:14 *5250:27 3.40877e-05 +35 *1992:19 *20543:B1 6.23875e-05 +36 *1992:19 *20670:B1 0.000140564 +37 *1992:19 *4126:57 9.45719e-05 +38 *1992:19 *5921:72 0.000852525 +39 *1992:29 *20543:A1 2.29454e-05 +40 *1992:29 *20543:B1 3.73375e-05 +41 *1992:29 *24461:CLK 1.68741e-05 +42 *1992:29 *4892:58 4.97617e-05 +43 *1992:29 *5921:72 0.00193262 +44 *1992:39 *2886:8 0.000224867 +45 *1992:39 *5299:9 0.000127194 +46 *1992:39 *5867:383 0.000315206 +47 *1992:70 *20545:A1 9.18538e-05 +48 *1992:70 *4840:90 4.81718e-05 +49 *1992:70 *4840:100 8.40979e-05 +50 *20539:A *1992:6 0.000101148 +51 *20539:A *1992:70 0.000335411 +52 *20542:A2 *20542:B2 6.82546e-05 +53 *20544:A2 *1992:14 0.000111358 +54 *24542:D *1992:14 5.84982e-05 +55 *24545:D *1992:29 4.79321e-06 +56 *24547:D *1992:29 5.04829e-06 +57 *1607:18 *1992:14 0.00013592 +58 *1607:18 *1992:19 0.000336125 +59 *1770:12 *1992:6 8.20492e-06 +60 *1770:12 *1992:14 0.000104609 +61 *1783:14 *20547:B2 0.000379538 +62 *1799:10 *1992:14 3.25508e-05 +63 *1799:21 *1992:14 4.51808e-05 +64 *1884:150 *20547:B2 0.000101325 +65 *1991:14 *1992:14 3.31882e-05 +66 *1991:14 *1992:19 0.000118485 +67 *1991:43 *1992:29 0.00143813 +68 *1991:48 *20541:B2 0 +69 *1991:48 *1992:39 0 +*RES +1 *20539:Y *1992:6 16.4116 +2 *1992:6 *1992:14 28.3505 +3 *1992:14 *1992:19 20.0816 +4 *1992:19 *20543:B2 9.82786 +5 *1992:19 *1992:29 26.2983 +6 *1992:29 *20541:B2 18.0727 +7 *1992:29 *1992:39 19.6201 +8 *1992:39 *20540:B2 10.2378 +9 *1992:39 *20542:B2 14.2888 +10 *1992:14 *20546:B2 16.1605 +11 *1992:6 *1992:63 4.5 +12 *1992:63 *1992:70 8.15026 +13 *1992:70 *20547:B2 26.511 +14 *1992:70 *20545:B2 9.24915 +15 *1992:63 *20544:B2 9.97254 +*END + +*D_NET *1993 0.00176528 +*CONN +*I *20549:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20548:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20549:A 0.000315087 +2 *20548:X 0.000315087 +3 *20549:A *2367:10 0.000357884 +4 *20549:A *2448:10 0.000140202 +5 *20549:A *2448:20 0.000149667 +6 *20549:A *2853:8 0.00048735 +*RES +1 *20548:X *20549:A 40.0253 +*END + +*D_NET *1994 0.0108494 +*CONN +*I *20553:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20555:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20550:A I *D sky130_fd_sc_hd__inv_2 +*I *20556:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20558:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20552:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20557:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20551:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20554:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20549:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20553:A2 0 +2 *20555:A2 3.20422e-05 +3 *20550:A 0 +4 *20556:A2 2.47967e-05 +5 *20558:A2 0 +6 *20552:A2 0 +7 *20557:A2 0.000258594 +8 *20551:A2 0 +9 *20554:A2 0.000163346 +10 *20549:X 0.000297908 +11 *1994:70 0.000233962 +12 *1994:63 0.000504109 +13 *1994:56 0.000837819 +14 *1994:37 0.000258594 +15 *1994:35 0.00100591 +16 *1994:28 0.000817311 +17 *1994:15 0.00085469 +18 *1994:8 0.000602931 +19 *20554:A2 *20553:B2 0.000399216 +20 *20554:A2 *1995:38 3.20407e-05 +21 *20554:A2 *2685:8 5.23577e-05 +22 *20554:A2 *4842:28 1.07403e-05 +23 *20555:A2 *20555:A1 6.92705e-05 +24 *20555:A2 *21837:A 0.000171288 +25 *20555:A2 *2853:8 0 +26 *20555:A2 *4841:179 2.65831e-05 +27 *20555:A2 *4841:191 2.41483e-05 +28 *20556:A2 *20556:A1 0.000169041 +29 *20556:A2 *20556:B2 7.22498e-05 +30 *20557:A2 *20552:A1 2.65667e-05 +31 *20557:A2 *20557:B2 0.000201472 +32 *20557:A2 *24534:SET_B 1.61631e-05 +33 *20557:A2 *4839:257 0.000172515 +34 *1994:8 *2367:10 2.85274e-05 +35 *1994:8 *2448:10 0.000515747 +36 *1994:8 *4843:38 0.000213461 +37 *1994:15 *20553:B2 6.08467e-05 +38 *1994:15 *20554:A1 0.000110197 +39 *1994:15 *24641:CLK 7.56672e-05 +40 *1994:15 *2448:10 8.88645e-05 +41 *1994:15 *4843:38 1.03594e-05 +42 *1994:15 *4886:89 3.02018e-05 +43 *1994:28 *20553:B2 2.86829e-05 +44 *1994:28 *24642:CLK 2.71858e-05 +45 *1994:28 *2818:12 0.000162567 +46 *1994:28 *3033:16 0 +47 *1994:28 *4886:89 0.000264249 +48 *1994:35 *20552:B1 7.38072e-05 +49 *1994:35 *24947:A 0.000157269 +50 *1994:35 *24948:A 2.65904e-05 +51 *1994:35 *2818:12 5.91654e-05 +52 *1994:35 *3033:16 0 +53 *1994:35 *4844:73 6.46135e-05 +54 *1994:35 *4845:63 8.64857e-05 +55 *1994:56 *21802:A 1.07248e-05 +56 *1994:56 *24947:A 3.67528e-06 +57 *1994:56 *1995:24 0 +58 *1994:56 *1995:26 0 +59 *1994:56 *2818:11 0 +60 *1994:56 *2853:8 0 +61 *1994:63 *21802:A 0.000315161 +62 *1994:63 *1995:24 0 +63 *1994:63 *2853:8 0 +64 *1994:63 *4841:179 0.000254375 +65 *1994:63 *5656:13 0 +66 *1994:70 *20556:A1 6.92705e-05 +67 *1994:70 *20556:B2 2.41483e-05 +68 *1994:70 *21837:A 0.000102003 +69 *1994:70 *1995:21 5.56461e-05 +70 *1994:70 *5656:13 0 +71 *24533:D *1994:63 3.58321e-05 +72 *24537:D *20554:A2 0.000111352 +73 *24538:D *1994:15 0.000101177 +74 *24539:D *1994:56 9.96342e-05 +75 *24540:D *1994:28 3.00073e-05 +76 *1565:11 *1994:28 0.000216197 +*RES +1 *20549:X *1994:8 24.3337 +2 *1994:8 *1994:15 13.6045 +3 *1994:15 *20554:A2 23.8563 +4 *1994:15 *1994:28 18.7896 +5 *1994:28 *20551:A2 13.7491 +6 *1994:28 *1994:35 13.4591 +7 *1994:35 *1994:37 4.5 +8 *1994:37 *20557:A2 17.8334 +9 *1994:37 *20552:A2 9.24915 +10 *1994:35 *1994:56 13.4591 +11 *1994:56 *20558:A2 13.7491 +12 *1994:56 *1994:63 9.51416 +13 *1994:63 *1994:70 9.69126 +14 *1994:70 *20556:A2 11.0817 +15 *1994:70 *20550:A 9.24915 +16 *1994:63 *20555:A2 15.9604 +17 *1994:8 *20553:A2 13.7491 +*END + +*D_NET *1995 0.0107332 +*CONN +*I *20555:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20558:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20552:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20557:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20551:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20553:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20554:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20556:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20550:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20555:B2 8.71041e-05 +2 *20558:B2 0 +3 *20552:B2 0 +4 *20557:B2 0.000143883 +5 *20551:B2 0 +6 *20553:B2 0.00031347 +7 *20554:B2 7.74435e-05 +8 *20556:B2 0.000308279 +9 *20550:Y 0 +10 *1995:61 0.000143883 +11 *1995:38 0.000745434 +12 *1995:30 0.000680543 +13 *1995:26 0.000951164 +14 *1995:24 0.00097358 +15 *1995:21 0.000533913 +16 *1995:4 0.000406649 +17 *20553:B2 *20554:A1 0.000196882 +18 *20553:B2 *24641:CLK 0.000176652 +19 *20553:B2 *4886:89 0.000173302 +20 *20554:B2 *20554:A1 5.04829e-06 +21 *20554:B2 *25364:A 7.92757e-06 +22 *20555:B2 *20555:B1 0 +23 *20555:B2 *21837:A 0.000175485 +24 *20555:B2 *4841:179 5.04829e-06 +25 *20556:B2 *20556:A1 1.00981e-05 +26 *20556:B2 *20556:B1 6.08467e-05 +27 *20556:B2 *24535:RESET_B 3.35388e-05 +28 *20556:B2 *5656:13 2.08076e-05 +29 *20557:B2 *20557:B1 6.08467e-05 +30 *20557:B2 *24534:SET_B 2.7585e-05 +31 *20557:B2 *4839:257 0.000519467 +32 *1995:21 *21837:A 7.6719e-06 +33 *1995:24 *20146:A1 0 +34 *1995:24 *4841:179 6.30588e-05 +35 *1995:24 *5656:13 0 +36 *1995:26 *20552:B1 5.22654e-06 +37 *1995:26 *4828:13 0 +38 *1995:26 *4842:24 0 +39 *1995:30 *20552:B1 5.65074e-05 +40 *1995:30 *2685:8 5.66868e-06 +41 *1995:30 *2818:12 0.000621755 +42 *1995:30 *2950:9 0.000501704 +43 *1995:30 *4842:24 0 +44 *1995:38 *2685:8 0.000314693 +45 *1995:38 *3033:16 0.000164843 +46 *1995:38 *4842:24 0.000724006 +47 *1995:38 *4842:28 5.71992e-05 +48 *1995:38 *4845:55 0.000281093 +49 *20554:A2 *20553:B2 0.000399216 +50 *20554:A2 *1995:38 3.20407e-05 +51 *20556:A2 *20556:B2 7.22498e-05 +52 *20557:A2 *20557:B2 0.000201472 +53 *24533:D *1995:24 0.000130777 +54 *24534:D *1995:26 0 +55 *24537:D *20553:B2 1.60442e-05 +56 *24537:D *20554:B2 6.97525e-05 +57 *1994:15 *20553:B2 6.08467e-05 +58 *1994:28 *20553:B2 2.86829e-05 +59 *1994:56 *1995:24 0 +60 *1994:56 *1995:26 0 +61 *1994:63 *1995:24 0 +62 *1994:70 *20556:B2 2.41483e-05 +63 *1994:70 *1995:21 5.56461e-05 +*RES +1 *20550:Y *1995:4 9.24915 +2 *1995:4 *20556:B2 24.3814 +3 *1995:4 *1995:21 1.8326 +4 *1995:21 *1995:24 13.3913 +5 *1995:24 *1995:26 13.4591 +6 *1995:26 *1995:30 17.9591 +7 *1995:30 *1995:38 25.9796 +8 *1995:38 *20554:B2 11.6605 +9 *1995:38 *20553:B2 30.3637 +10 *1995:30 *20551:B2 9.24915 +11 *1995:26 *1995:61 4.5 +12 *1995:61 *20557:B2 16.676 +13 *1995:61 *20552:B2 9.24915 +14 *1995:24 *20558:B2 13.7491 +15 *1995:21 *20555:B2 12.0704 +*END + +*D_NET *1996 0.00106746 +*CONN +*I *20560:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20559:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20560:A 0.000185056 +2 *20559:X 0.000185056 +3 *20560:A *1997:7 3.99086e-06 +4 *20560:A *3671:57 0.000484995 +5 *20559:A *20560:A 0.000107496 +6 *20559:B *20560:A 3.31745e-05 +7 *1740:125 *20560:A 5.481e-05 +8 *1784:166 *20560:A 1.28807e-05 +*RES +1 *20559:X *20560:A 24.7677 +*END + +*D_NET *1997 0.00913461 +*CONN +*I *20561:A I *D sky130_fd_sc_hd__inv_2 +*I *20564:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20563:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20566:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20562:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20565:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20560:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20561:A 0.000140126 +2 *20564:A2 1.47608e-05 +3 *20563:A2 2.01759e-05 +4 *20566:A2 5.75678e-05 +5 *20562:A2 3.46694e-05 +6 *20565:A2 0.000164372 +7 *20560:X 0.000471179 +8 *1997:51 0.000331601 +9 *1997:40 0.000472198 +10 *1997:31 0.000588112 +11 *1997:10 0.00026071 +12 *1997:7 0.000788085 +13 *20561:A *20564:A1 0.000193069 +14 *20561:A *21729:A 6.36477e-05 +15 *20561:A *1998:19 6.08467e-05 +16 *20561:A *3089:22 0.000155272 +17 *20561:A *5801:92 0.00015887 +18 *20562:A2 *20562:A1 1.09551e-05 +19 *20562:A2 *20562:B1 1.25784e-05 +20 *20562:A2 *5680:29 6.08467e-05 +21 *20563:A2 *20563:A1 0.000111802 +22 *20563:A2 *24922:A 0.000111802 +23 *20564:A2 *20564:A1 6.08467e-05 +24 *20565:A2 *20562:A1 0.00014272 +25 *20565:A2 *20562:B2 0.000107496 +26 *20565:A2 *20565:A1 6.08467e-05 +27 *20565:A2 *20565:B2 0.000222758 +28 *20565:A2 *1998:44 2.24484e-05 +29 *20565:A2 *5680:29 0.000298399 +30 *20566:A2 *20566:A1 0.000205101 +31 *20566:A2 *1998:44 6.08467e-05 +32 *20566:A2 *5592:19 4.97617e-05 +33 *1997:7 *3671:57 2.05789e-05 +34 *1997:10 *5868:6 0.000118485 +35 *1997:31 *5868:6 0.000515184 +36 *1997:31 *5868:17 5.30797e-05 +37 *1997:31 *5868:23 0.000254668 +38 *1997:40 *5868:23 0.000496643 +39 *1997:51 *24531:RESET_B 0.000137921 +40 *1997:51 *5868:23 0.000364249 +41 *20560:A *1997:7 3.99086e-06 +42 *24531:D *1997:51 0.000118485 +43 *1455:104 *1997:10 8.62625e-06 +44 *1455:104 *1997:31 0.000809209 +45 *1455:104 *1997:40 9.25365e-05 +46 *1482:34 *20565:A2 0.000183732 +47 *1690:108 *20561:A 0.000129734 +48 *1690:108 *20564:A2 6.08467e-05 +49 *1740:125 *1997:7 5.04829e-06 +50 *1763:43 *1997:10 4.37999e-05 +51 *1763:43 *1997:40 0.000158094 +52 *1763:43 *1997:51 4.5227e-05 +*RES +1 *20560:X *1997:7 20.0186 +2 *1997:7 *1997:10 6.74725 +3 *1997:10 *20565:A2 25.962 +4 *1997:10 *20562:A2 10.5513 +5 *1997:7 *1997:31 14.7048 +6 *1997:31 *20566:A2 16.1364 +7 *1997:31 *1997:40 9.72179 +8 *1997:40 *20563:A2 15.0271 +9 *1997:40 *1997:51 11.315 +10 *1997:51 *20564:A2 9.97254 +11 *1997:51 *20561:A 24.4375 +*END + +*D_NET *1998 0.00803403 +*CONN +*I *20564:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20563:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20562:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20565:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20566:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20561:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20564:B2 9.23802e-05 +2 *20563:B2 2.31818e-05 +3 *20562:B2 2.60774e-05 +4 *20565:B2 0.000187599 +5 *20566:B2 6.91888e-05 +6 *20561:Y 0.000379372 +7 *1998:44 0.000824577 +8 *1998:24 0.000954121 +9 *1998:20 0.000356592 +10 *1998:19 0.000531131 +11 *20562:B2 *20562:A1 0.000107496 +12 *20563:B2 *24922:A 6.50586e-05 +13 *20564:B2 *4798:42 0.000242164 +14 *20565:B2 *20565:A1 4.07355e-05 +15 *20565:B2 *20565:B1 3.82228e-05 +16 *20565:B2 *4916:114 0.000176116 +17 *20566:B2 *20566:A1 5.04829e-06 +18 *20566:B2 *20566:B1 1.19721e-05 +19 *20566:B2 *5592:19 0.000169041 +20 *1998:19 *21729:A 1.96041e-05 +21 *1998:19 *5456:31 0.000233148 +22 *1998:20 *4798:42 0.000144531 +23 *1998:24 *4798:42 0.00053399 +24 *1998:44 *20566:A1 1.65872e-05 +25 *1998:44 *2753:21 0 +26 *1998:44 *4798:42 0.000281349 +27 *1998:44 *4798:46 1.27071e-05 +28 *1998:44 *4916:114 0.000559757 +29 *1998:44 *5592:19 9.55447e-05 +30 *1998:44 *5909:38 0 +31 *20561:A *1998:19 6.08467e-05 +32 *20565:A2 *20562:B2 0.000107496 +33 *20565:A2 *20565:B2 0.000222758 +34 *20565:A2 *1998:44 2.24484e-05 +35 *20566:A2 *1998:44 6.08467e-05 +36 *24531:D *1998:19 7.77744e-05 +37 *1066:22 *1998:44 8.81102e-05 +38 *1427:87 *20565:B2 7.68538e-06 +39 *1482:34 *20564:B2 0.000102374 +40 *1482:34 *20565:B2 7.01586e-06 +41 *1482:34 *1998:20 6.14273e-05 +42 *1482:34 *1998:24 0.000222823 +43 *1482:34 *1998:44 0.000208991 +44 *1699:42 *20565:B2 0.000304791 +45 *1763:43 *1998:44 0.000281349 +*RES +1 *20561:Y *1998:19 22.671 +2 *1998:19 *1998:20 2.6625 +3 *1998:20 *1998:24 14.637 +4 *1998:24 *20566:B2 11.6605 +5 *1998:24 *1998:44 29.0452 +6 *1998:44 *20565:B2 21.3173 +7 *1998:44 *20562:B2 15.0271 +8 *1998:20 *20563:B2 14.4725 +9 *1998:19 *20564:B2 18.0727 +*END + +*D_NET *1999 0.00258885 +*CONN +*I *20568:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20567:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20568:A 0.000394955 +2 *20567:X 0.000394955 +3 *20568:A *24628:RESET_B 0.000117307 +4 *20568:A *2561:41 6.93799e-05 +5 *20568:A *5851:577 0.0013484 +6 *20568:A *5869:445 3.82228e-05 +7 *1732:74 *20568:A 0.000225627 +*RES +1 *20567:X *20568:A 34.196 +*END + +*D_NET *2000 0.00837246 +*CONN +*I *20570:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20572:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20571:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20569:A I *D sky130_fd_sc_hd__inv_2 +*I *20573:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20574:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20568:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20570:A2 0.00021164 +2 *20572:A2 0 +3 *20571:A2 0 +4 *20569:A 3.73941e-05 +5 *20573:A2 0.000178307 +6 *20574:A2 4.87107e-05 +7 *20568:X 0.000249283 +8 *2000:53 0.000322439 +9 *2000:26 0.000285039 +10 *2000:17 0.000555516 +11 *2000:8 0.000635395 +12 *2000:7 0.000558009 +13 *20569:A *2405:35 0.000154145 +14 *20569:A *5858:571 0.000154145 +15 *20570:A2 *20570:B2 0 +16 *20570:A2 *20572:A1 2.16355e-05 +17 *20570:A2 *20572:B1 7.92757e-06 +18 *20570:A2 *20572:B2 2.78407e-05 +19 *20570:A2 *4870:116 0.000131266 +20 *20570:A2 *5851:577 3.82228e-05 +21 *20573:A2 *20161:A1 3.88655e-06 +22 *20573:A2 *20573:A1 9.95922e-06 +23 *20573:A2 *24524:CLK 0.000195511 +24 *20573:A2 *4855:14 0.000215324 +25 *20574:A2 *20574:A1 0.000200794 +26 *2000:7 *2561:41 0.000345048 +27 *2000:8 *5674:34 0.000487009 +28 *2000:17 *20574:A1 0.00020502 +29 *2000:17 *2406:19 5.73392e-05 +30 *2000:17 *4936:8 0.00027711 +31 *2000:17 *5674:34 0.000396149 +32 *2000:26 *24524:CLK 0.000151758 +33 *2000:26 *4855:14 0.000160384 +34 *2000:53 *4936:8 4.57855e-05 +35 *2000:53 *5861:179 0.000110675 +36 *24527:D *20570:A2 0.000111722 +37 *1482:48 *20573:A2 1.66771e-05 +38 *1482:48 *2000:8 0.000496133 +39 *1482:48 *2000:17 0.000469273 +40 *1482:48 *2000:53 0.000224553 +41 *1485:123 *20574:A2 0.000200794 +42 *1485:123 *2000:17 0.000139947 +43 *1485:126 *2000:17 3.58044e-05 +44 *1506:99 *20573:A2 1.80208e-05 +45 *1763:14 *2000:17 0.000180866 +*RES +1 *20568:X *2000:7 17.8002 +2 *2000:7 *2000:8 9.30653 +3 *2000:8 *2000:17 22.3593 +4 *2000:17 *20574:A2 11.6364 +5 *2000:17 *2000:26 7.57775 +6 *2000:26 *20573:A2 19.3748 +7 *2000:26 *20569:A 15.5817 +8 *2000:8 *20571:A2 13.7491 +9 *2000:7 *2000:53 8.82351 +10 *2000:53 *20572:A2 9.24915 +11 *2000:53 *20570:A2 15.5668 +*END + +*D_NET *2001 0.0076648 +*CONN +*I *20573:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20571:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20572:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20570:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20574:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20569:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20573:B2 7.914e-05 +2 *20571:B2 0.00018537 +3 *20572:B2 0.000327259 +4 *20570:B2 8.96725e-05 +5 *20574:B2 2.40228e-05 +6 *20569:Y 0.000112744 +7 *2001:27 0.000718148 +8 *2001:22 0.000972358 +9 *2001:10 0.000743256 +10 *2001:5 0.000425346 +11 *20570:B2 *20570:A1 5.04829e-06 +12 *20572:B2 *20570:A1 1.65872e-05 +13 *20572:B2 *20572:B1 1.64789e-05 +14 *20572:B2 *5851:577 0.000200794 +15 *20573:B2 *2623:26 0.000268195 +16 *20573:B2 *4801:22 0.000268195 +17 *20574:B2 *2406:19 4.58003e-05 +18 *2001:5 *2405:35 0.000139947 +19 *2001:10 *2405:35 0.000258114 +20 *2001:10 *5475:59 0.00012568 +21 *2001:22 *4803:12 3.92935e-05 +22 *2001:22 *5475:59 0.000157548 +23 *2001:27 *4801:22 0.000677886 +24 *20570:A2 *20570:B2 0 +25 *20570:A2 *20572:B2 2.78407e-05 +26 *24523:D *2001:22 6.34651e-06 +27 *24526:D *20571:B2 0.000147437 +28 *24526:D *2001:22 2.57986e-05 +29 *24527:D *20570:B2 3.99086e-06 +30 *1483:37 *2001:27 0.000121369 +31 *1485:123 *20574:B2 0.000113968 +32 *1515:78 *2001:22 0.000362591 +33 *1521:62 *2001:22 0.000423923 +34 *1521:68 *2001:10 4.70005e-05 +35 *1521:68 *2001:22 0.000118309 +36 *1731:93 *2001:27 0.000369337 +*RES +1 *20569:Y *2001:5 11.0817 +2 *2001:5 *2001:10 9.68906 +3 *2001:10 *20574:B2 15.0271 +4 *2001:10 *2001:22 20.9009 +5 *2001:22 *2001:27 21.6286 +6 *2001:27 *20570:B2 10.9612 +7 *2001:27 *20572:B2 14.8434 +8 *2001:22 *20571:B2 13.8548 +9 *2001:5 *20573:B2 22.9879 +*END + +*D_NET *2002 0.000892771 +*CONN +*I *20576:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20575:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20576:A 8.58326e-05 +2 *20575:X 8.58326e-05 +3 *20576:A *21390:B1 0.000464113 +4 *20576:A *2445:23 0.000256993 +*RES +1 *20575:X *20576:A 23.6585 +*END + +*D_NET *2003 0.0159065 +*CONN +*I *20583:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20585:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20584:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20579:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20581:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20582:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20577:A I *D sky130_fd_sc_hd__inv_2 +*I *20580:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20578:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20576:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20583:A2 0.000271552 +2 *20585:A2 0.000369474 +3 *20584:A2 2.08156e-05 +4 *20579:A2 0 +5 *20581:A2 0.000131211 +6 *20582:A2 9.36721e-06 +7 *20577:A 0 +8 *20580:A2 3.20005e-05 +9 *20578:A2 0 +10 *20576:X 7.383e-05 +11 *2003:71 0.000697035 +12 *2003:61 0.00087904 +13 *2003:48 0.00159527 +14 *2003:36 0.00122729 +15 *2003:24 0.000144459 +16 *2003:21 0.000163188 +17 *2003:20 0.000236013 +18 *2003:15 0.000542104 +19 *2003:8 0.000113733 +20 *2003:7 0.000428455 +21 *20580:A2 *20580:A1 0.000158357 +22 *20581:A2 *20581:A1 0.000112149 +23 *20581:A2 *20581:B2 1.56419e-05 +24 *20581:A2 *2004:33 0.000164933 +25 *20582:A2 *20582:A1 6.50586e-05 +26 *20583:A2 *20578:B1 3.43394e-05 +27 *20583:A2 *5856:396 4.68296e-05 +28 *20583:A2 *5856:405 3.5534e-06 +29 *20585:A2 *20585:A1 2.57986e-05 +30 *20585:A2 *20585:B2 9.16051e-05 +31 *20585:A2 *3016:22 0.000181639 +32 *2003:7 *2753:67 0.000115934 +33 *2003:8 *20578:B1 6.17339e-05 +34 *2003:15 *24522:CLK 0 +35 *2003:20 *20578:A1 6.50586e-05 +36 *2003:20 *20578:B2 6.23759e-05 +37 *2003:24 *20578:B2 4.81834e-05 +38 *2003:24 *20580:A1 0.000313481 +39 *2003:24 *2004:90 0.000129725 +40 *2003:36 *20582:B1 3.20519e-05 +41 *2003:36 *20582:B2 0 +42 *2003:36 *24522:CLK 0 +43 *2003:36 *3003:10 0 +44 *2003:48 *20581:A1 3.31745e-05 +45 *2003:48 *3003:10 0 +46 *2003:48 *3003:12 0 +47 *2003:48 *5080:9 0.000260374 +48 *2003:71 *2947:20 0.000422097 +49 *2003:71 *4844:339 3.82228e-05 +50 *2003:71 *5082:17 0.000110306 +51 *19936:A2 *2003:48 0 +52 *24516:D *20584:A2 1.33419e-05 +53 *24516:D *20585:A2 2.15348e-05 +54 *24518:D *2003:48 4.60197e-05 +55 *1485:18 *2003:8 1.07248e-05 +56 *1485:18 *2003:15 5.41227e-05 +57 *1485:18 *2003:36 0.000451659 +58 *1485:18 *2003:48 0.000325142 +59 *1497:17 *2003:48 0.000160479 +60 *1497:22 *2003:48 5.75828e-05 +61 *1506:16 *2003:61 0.000338128 +62 *1506:17 *2003:48 0.000397397 +63 *1544:13 *2003:48 0.000371805 +64 *1641:10 *20583:A2 8.56966e-05 +65 *1641:10 *2003:8 3.00925e-05 +66 *1641:10 *2003:48 4.00594e-05 +67 *1688:118 *2003:61 0.00120319 +68 *1691:17 *2003:48 0 +69 *1739:22 *2003:61 0.000366849 +70 *1739:41 *2003:61 0.000473819 +71 *1739:41 *2003:71 0.000548734 +72 *1740:14 *2003:48 0.000128552 +73 *1757:57 *20585:A2 0.000411037 +74 *1783:101 *20580:A2 0.000158357 +75 *1783:101 *2003:20 2.97556e-05 +76 *1783:101 *2003:24 0.000589877 +77 *1792:76 *20582:A2 6.50586e-05 +*RES +1 *20576:X *2003:7 15.0271 +2 *2003:7 *2003:8 2.6625 +3 *2003:8 *20578:A2 13.7491 +4 *2003:8 *2003:15 1.00149 +5 *2003:15 *2003:20 16.691 +6 *2003:20 *2003:21 57.9449 +7 *2003:21 *2003:24 16.0732 +8 *2003:24 *20580:A2 11.0817 +9 *2003:24 *20577:A 9.24915 +10 *2003:15 *2003:36 8.89128 +11 *2003:36 *20582:A2 14.4725 +12 *2003:36 *2003:48 33.0965 +13 *2003:48 *20581:A2 13.3243 +14 *2003:48 *2003:61 32.4251 +15 *2003:61 *20579:A2 9.24915 +16 *2003:61 *2003:71 20.8303 +17 *2003:71 *20584:A2 9.69524 +18 *2003:71 *20585:A2 21.2816 +19 *2003:7 *20583:A2 19.7092 +*END + +*D_NET *2004 0.0193653 +*CONN +*I *20578:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20583:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20582:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20581:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20585:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20584:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20579:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20580:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20577:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20578:B2 5.33217e-05 +2 *20583:B2 0.000291718 +3 *20582:B2 0.00034195 +4 *20581:B2 3.41607e-05 +5 *20585:B2 0.000260707 +6 *20584:B2 0 +7 *20579:B2 1.59894e-05 +8 *20580:B2 0.000187909 +9 *20577:Y 0.000106718 +10 *2004:90 0.000605643 +11 *2004:42 0.000529295 +12 *2004:33 0.00106467 +13 *2004:27 0.00140845 +14 *2004:13 0.00111364 +15 *2004:10 0.00085273 +16 *2004:5 0.000546561 +17 *20579:B2 *5082:34 6.50586e-05 +18 *20580:B2 *21523:B1 0.000253722 +19 *20580:B2 *2992:12 0.000261885 +20 *20580:B2 *4843:641 0.00026518 +21 *20581:B2 *20581:B1 0.000122378 +22 *20581:B2 *4863:11 2.61012e-05 +23 *20582:B2 *20582:B1 3.20519e-05 +24 *20582:B2 *2259:15 5.70506e-05 +25 *20582:B2 *2732:17 2.65831e-05 +26 *20582:B2 *3003:10 0.000227988 +27 *20583:B2 *24522:CLK 0.000132174 +28 *20583:B2 *2447:26 4.49912e-05 +29 *20583:B2 *2966:23 0.000200794 +30 *20583:B2 *3003:10 0.000377132 +31 *20585:B2 *2578:15 2.02035e-05 +32 *20585:B2 *2719:22 0.00103389 +33 *20585:B2 *3016:22 0.000107496 +34 *2004:5 *20580:A1 0.000164829 +35 *2004:10 *2951:28 5.53226e-05 +36 *2004:13 *2259:15 0.000121499 +37 *2004:27 *21523:B1 0.000222856 +38 *2004:27 *2732:29 0.000702972 +39 *2004:27 *2992:12 0.00077747 +40 *2004:33 *20581:A1 7.92757e-06 +41 *2004:33 *24982:A 5.75924e-05 +42 *2004:33 *2578:15 0.0012117 +43 *2004:33 *4863:11 0.000314268 +44 *2004:42 *24982:A 3.16574e-05 +45 *2004:42 *2578:15 0.000549007 +46 *2004:90 *20580:A1 0.000102003 +47 *19846:C *2004:33 0.000342274 +48 *19998:A1 *2004:10 2.20585e-05 +49 *20581:A2 *20581:B2 1.56419e-05 +50 *20581:A2 *2004:33 0.000164933 +51 *20585:A2 *20585:B2 9.16051e-05 +52 *24521:D *20579:B2 1.43848e-05 +53 *24521:D *2004:42 0.000261346 +54 *460:63 *20582:B2 0.000422874 +55 *460:63 *2004:13 0.000921637 +56 *468:20 *2004:33 0.000166112 +57 *476:45 *20585:B2 0.00103389 +58 *1448:56 *20585:B2 1.5714e-05 +59 *1472:14 *2004:10 4.49078e-05 +60 *1497:22 *2004:33 2.77625e-06 +61 *1698:129 *20585:B2 1.91391e-05 +62 *1783:101 *20578:B2 1.92172e-05 +63 *1783:101 *20580:B2 0.000108054 +64 *1791:14 *2004:27 6.16161e-05 +65 *1791:28 *20585:B2 6.34651e-06 +66 *1791:28 *2004:42 8.7509e-05 +67 *1792:25 *2004:33 0.000171122 +68 *1792:37 *2004:27 7.95593e-05 +69 *1792:76 *20582:B2 6.50727e-05 +70 *2003:20 *20578:B2 6.23759e-05 +71 *2003:24 *20578:B2 4.81834e-05 +72 *2003:24 *2004:90 0.000129725 +73 *2003:36 *20582:B2 0 +*RES +1 *20577:Y *2004:5 11.0817 +2 *2004:5 *2004:10 12.3999 +3 *2004:10 *2004:13 4.64251 +4 *2004:13 *20580:B2 22.2161 +5 *2004:13 *2004:27 25.6412 +6 *2004:27 *2004:33 31.8609 +7 *2004:33 *20579:B2 14.4725 +8 *2004:33 *2004:42 10.5523 +9 *2004:42 *20584:B2 13.7491 +10 *2004:42 *20585:B2 24.5274 +11 *2004:27 *20581:B2 11.1059 +12 *2004:10 *20582:B2 22.9578 +13 *2004:5 *2004:90 4.79853 +14 *2004:90 *20583:B2 27.8666 +15 *2004:90 *20578:B2 11.1059 +*END + +*D_NET *2005 0.00546465 +*CONN +*I *20587:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20586:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20587:A 0 +2 *20586:X 0.000277226 +3 *2005:10 0.00112308 +4 *2005:7 0.0014003 +5 *2005:7 *4897:65 0.000161282 +6 *2005:7 *4897:67 2.65831e-05 +7 *2005:10 *20742:A1 2.19437e-05 +8 *2005:10 *20878:A1 3.55296e-05 +9 *2005:10 *20878:B2 0 +10 *2005:10 *21287:A 8.2867e-05 +11 *2005:10 *2054:42 5.00938e-05 +12 *2005:10 *2380:8 0.00211288 +13 *2005:10 *2570:14 0.000157151 +14 *2005:10 *5342:10 1.5714e-05 +15 *2005:10 *5866:601 0 +16 *2005:10 *5866:610 0 +*RES +1 *20586:X *2005:7 18.3548 +2 *2005:7 *2005:10 42.8742 +3 *2005:10 *20587:A 9.24915 +*END + +*D_NET *2006 0.0179327 +*CONN +*I *20593:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20588:A I *D sky130_fd_sc_hd__inv_2 +*I *20594:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20596:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20591:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20592:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20595:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20590:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20589:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20587:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20593:A2 1.03162e-05 +2 *20588:A 1.17532e-05 +3 *20594:A2 1.9883e-05 +4 *20596:A2 0.000364894 +5 *20591:A2 0 +6 *20592:A2 0.000249072 +7 *20595:A2 0.000103573 +8 *20590:A2 0 +9 *20589:A2 1.77893e-05 +10 *20587:X 6.13934e-05 +11 *2006:83 0.000806156 +12 *2006:77 0.000501697 +13 *2006:71 0.000836993 +14 *2006:61 0.000854632 +15 *2006:44 0.000738784 +16 *2006:30 0.000541047 +17 *2006:15 0.000508882 +18 *2006:6 0.000336637 +19 *20588:A *23896:A 4.80635e-06 +20 *20589:A2 *20589:A1 0.000113968 +21 *20589:A2 *4845:95 0.000113968 +22 *20592:A2 *4822:27 0.000567535 +23 *20592:A2 *4839:293 0.000221698 +24 *20592:A2 *4842:182 0.000118166 +25 *20592:A2 *5288:9 0.000118166 +26 *20594:A2 *20594:A1 3.01683e-06 +27 *20594:A2 *2007:11 0 +28 *20595:A2 *20747:B1 3.5534e-06 +29 *20595:A2 *4822:27 0.000188519 +30 *20595:A2 *4839:293 7.73098e-05 +31 *20595:A2 *4839:295 7.12632e-06 +32 *20596:A2 *20594:A1 3.14978e-05 +33 *20596:A2 *20596:B2 0.000668095 +34 *20596:A2 *2007:5 0.000328363 +35 *20596:A2 *2007:11 0.000127885 +36 *20596:A2 *4822:27 0 +37 *20596:A2 *4824:33 0.000589689 +38 *20596:A2 *4895:109 0.000187735 +39 *2006:6 *2380:8 6.31665e-05 +40 *2006:6 *5866:664 0.000148129 +41 *2006:15 *20589:B2 0 +42 *2006:15 *24512:RESET_B 0.000407166 +43 *2006:15 *2380:8 0.00021536 +44 *2006:15 *4826:28 1.69932e-05 +45 *2006:15 *4843:150 1.09738e-05 +46 *2006:15 *5290:10 0 +47 *2006:15 *5866:664 0.000137936 +48 *2006:30 *20589:B2 0.000220978 +49 *2006:30 *20590:A1 0.000342704 +50 *2006:30 *24514:CLK 1.87469e-05 +51 *2006:30 *2380:7 1.19721e-05 +52 *2006:30 *4843:150 0.000113968 +53 *2006:30 *5290:10 7.77309e-06 +54 *2006:30 *5906:38 0.000321181 +55 *2006:44 *20589:B2 0 +56 *2006:44 *20590:A1 4.51831e-05 +57 *2006:44 *2007:37 0.00165127 +58 *2006:44 *2007:52 6.63616e-05 +59 *2006:44 *2007:83 0.00041971 +60 *2006:44 *2570:10 3.82228e-05 +61 *2006:44 *4844:89 0.000115827 +62 *2006:44 *4844:744 1.65872e-05 +63 *2006:44 *5906:38 0.000716593 +64 *2006:61 *4826:28 6.60341e-05 +65 *2006:61 *4843:150 6.28334e-05 +66 *2006:71 *20593:A1 6.99486e-05 +67 *2006:71 *23896:A 0.000152878 +68 *2006:71 *24513:RESET_B 0 +69 *2006:71 *2467:20 0 +70 *2006:71 *4826:28 0.00015455 +71 *2006:71 *4841:302 0.000230597 +72 *2006:71 *5290:10 0 +73 *2006:77 *20593:A1 2.65667e-05 +74 *2006:77 *20593:B1 2.50842e-05 +75 *2006:77 *20593:B2 6.65878e-05 +76 *2006:77 *23896:A 0.000255759 +77 *2006:77 *23897:A 0.0002817 +78 *2006:83 *20594:A1 7.73911e-05 +79 *2006:83 *23896:A 8.82917e-05 +80 *2006:83 *23897:A 0.00018317 +81 *2006:83 *2007:5 0.00145168 +82 mgmt_gpio_out[21] *20596:A2 1.93378e-05 +83 *24507:D *20596:A2 0 +84 *24513:D *2006:30 4.36058e-05 +85 *24513:D *2006:44 0.000135247 +*RES +1 *20587:X *2006:6 16.4116 +2 *2006:6 *20589:A2 15.0271 +3 *2006:6 *2006:15 10.5523 +4 *2006:15 *2006:30 22.073 +5 *2006:30 *20590:A2 9.24915 +6 *2006:30 *2006:44 29.9877 +7 *2006:44 *20595:A2 17.2421 +8 *2006:44 *20592:A2 25.1642 +9 *2006:15 *2006:61 3.07775 +10 *2006:61 *20591:A2 13.7491 +11 *2006:61 *2006:71 26.0204 +12 *2006:71 *2006:77 5.76305 +13 *2006:77 *2006:83 18.5189 +14 *2006:83 *20596:A2 35.2453 +15 *2006:83 *20594:A2 9.82786 +16 *2006:77 *20588:A 9.82786 +17 *2006:71 *20593:A2 9.82786 +*END + +*D_NET *2007 0.0213602 +*CONN +*I *20593:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20592:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20595:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20591:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20589:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20590:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20594:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20596:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20588:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20593:B2 7.47296e-06 +2 *20592:B2 0.000227249 +3 *20595:B2 0.000124947 +4 *20591:B2 0.000147492 +5 *20589:B2 0.000423956 +6 *20590:B2 0 +7 *20594:B2 0 +8 *20596:B2 0.000491228 +9 *20588:Y 0 +10 *2007:105 5.82025e-05 +11 *2007:104 5.90415e-05 +12 *2007:83 0.000496242 +13 *2007:52 0.000877269 +14 *2007:37 0.000525285 +15 *2007:32 0.00105558 +16 *2007:11 0.000609783 +17 *2007:5 0.00106158 +18 *2007:4 0.000259262 +19 *20589:B2 *20590:A1 1.92172e-05 +20 *20589:B2 *24514:CLK 9.24128e-05 +21 *20589:B2 *2375:10 0 +22 *20589:B2 *2380:8 0.000121918 +23 *20589:B2 *2570:14 6.0685e-05 +24 *20589:B2 *4845:85 6.50727e-05 +25 *20589:B2 *5290:10 3.31733e-05 +26 *20589:B2 *5906:38 9.65504e-05 +27 *20591:B2 *24512:CLK 0.000181664 +28 *20591:B2 *24514:CLK 0.000193791 +29 *20591:B2 *2375:10 3.80794e-05 +30 *20591:B2 *4843:140 0.000266832 +31 *20591:B2 *4895:139 1.65872e-05 +32 *20592:B2 *21509:A 6.08467e-05 +33 *20592:B2 *2566:8 0.000470014 +34 *20592:B2 *2869:14 0.000528989 +35 *20592:B2 *4839:293 6.08467e-05 +36 *20592:B2 *5866:499 2.30138e-05 +37 *20593:B2 *23896:A 6.65878e-05 +38 *20595:B2 *22022:A 6.08467e-05 +39 *20595:B2 *2566:8 0.000229879 +40 *20595:B2 *2869:14 0.000222699 +41 *20595:B2 *4843:126 5.97411e-05 +42 *20596:B2 *20596:A1 4.58003e-05 +43 *20596:B2 *4824:25 2.57236e-05 +44 *20596:B2 *4824:33 4.0752e-05 +45 *20596:B2 *4824:55 0 +46 *20596:B2 *4840:325 3.29979e-05 +47 *20596:B2 *4840:341 1.44611e-05 +48 *20596:B2 *4895:109 0.000163188 +49 *20596:B2 *5890:14 0 +50 *2007:5 *23896:A 0.000171288 +51 *2007:5 *2886:7 0.000326273 +52 *2007:5 *4840:341 0.0014072 +53 *2007:32 *4822:27 0 +54 *2007:32 *4844:88 0 +55 *2007:32 *4895:43 0.000179318 +56 *2007:32 *4895:48 0.00055528 +57 *2007:32 *4895:109 0.000479302 +58 *2007:37 *4844:88 0 +59 *2007:37 *4844:89 0.000931313 +60 *2007:37 *4844:744 0.00073191 +61 *2007:37 *4895:48 5.05252e-05 +62 *2007:52 *20590:A1 0.000213725 +63 *2007:52 *24514:CLK 9.75356e-05 +64 *2007:52 *2375:10 2.36494e-05 +65 *2007:52 *4824:70 5.41227e-05 +66 *2007:52 *4844:744 0.000107496 +67 *2007:52 *4844:752 2.95757e-05 +68 *2007:52 *5888:8 7.77309e-06 +69 *2007:83 *2570:10 7.41381e-05 +70 *2007:83 *5906:38 0.000765671 +71 *2007:104 *23896:A 0.000119686 +72 *2007:104 *4840:341 0.000106215 +73 *20594:A2 *2007:11 0 +74 *20596:A2 *20596:B2 0.000668095 +75 *20596:A2 *2007:5 0.000328363 +76 *20596:A2 *2007:11 0.000127885 +77 *24509:D *20596:B2 0 +78 *24514:D *20589:B2 9.96342e-05 +79 *131:11 *20596:B2 0.000110701 +80 *2006:15 *20589:B2 0 +81 *2006:30 *20589:B2 0.000220978 +82 *2006:44 *20589:B2 0 +83 *2006:44 *2007:37 0.00165127 +84 *2006:44 *2007:52 6.63616e-05 +85 *2006:44 *2007:83 0.00041971 +86 *2006:77 *20593:B2 6.65878e-05 +87 *2006:83 *2007:5 0.00145168 +*RES +1 *20588:Y *2007:4 9.24915 +2 *2007:4 *2007:5 21.2437 +3 *2007:5 *2007:11 3.54464 +4 *2007:11 *20596:B2 34.8247 +5 *2007:11 *20594:B2 9.24915 +6 *2007:5 *2007:32 26.8428 +7 *2007:32 *2007:37 23.4176 +8 *2007:37 *20590:B2 9.24915 +9 *2007:37 *2007:52 20.5533 +10 *2007:52 *20589:B2 36.7443 +11 *2007:52 *20591:B2 19.7687 +12 *2007:32 *2007:83 17.4878 +13 *2007:83 *20595:B2 19.49 +14 *2007:83 *20592:B2 24.1943 +15 *2007:4 *2007:104 10.5271 +16 *2007:104 *2007:105 57.9449 +17 *2007:105 *20593:B2 19.2217 +*END + +*D_NET *2008 0.0011868 +*CONN +*I *20598:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20597:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20598:A 0.000333655 +2 *20597:X 0.000333655 +3 *20598:A *2009:41 0.000112163 +4 *20598:A *2835:8 5.09288e-05 +5 *20598:A *5726:21 0.00035144 +6 *20598:A *5854:322 4.96202e-06 +*RES +1 *20597:X *20598:A 34.2118 +*END + +*D_NET *2009 0.00701399 +*CONN +*I *20602:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20603:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20604:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20599:A I *D sky130_fd_sc_hd__inv_2 +*I *20600:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20601:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20598:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20602:A2 0 +2 *20603:A2 5.78634e-05 +3 *20604:A2 0.000374534 +4 *20599:A 0 +5 *20600:A2 0 +6 *20601:A2 0.00011357 +7 *20598:X 4.45315e-05 +8 *2009:53 0.0004319 +9 *2009:48 0.000187915 +10 *2009:44 0.000826604 +11 *2009:41 0.0010141 +12 *2009:5 0.000534009 +13 *20601:A2 *20601:A1 9.97985e-05 +14 *20603:A2 *20600:A1 6.08467e-05 +15 *20603:A2 *2447:9 4.58003e-05 +16 *20604:A2 *24502:CLK 9.80747e-05 +17 *20604:A2 *5854:322 3.77911e-05 +18 *2009:5 *20601:A1 3.83429e-05 +19 *2009:41 *20602:A1 0.000160617 +20 *2009:41 *21817:A 0.000299284 +21 *2009:41 *5726:21 0.000266846 +22 *2009:41 *5854:322 3.99645e-05 +23 *2009:44 *24505:RESET_B 7.36639e-05 +24 *2009:44 *2808:20 0.000319125 +25 *2009:44 *5851:211 0.000263557 +26 *2009:44 *5917:481 6.60706e-05 +27 *2009:48 *20600:A1 0.000131169 +28 *2009:48 *2808:20 5.89742e-05 +29 *2009:48 *5851:211 1.47773e-05 +30 *2009:48 *5851:219 3.5534e-06 +31 *2009:53 *24502:CLK 0.000164815 +32 *20598:A *2009:41 0.000112163 +33 *24502:D *20604:A2 0.000124145 +34 *24503:D *20603:A2 7.92757e-06 +35 *24505:D *20601:A2 5.12232e-05 +36 *1573:18 *2009:41 6.48595e-05 +37 *1690:43 *2009:41 0.000459901 +38 *1753:37 *20601:A2 5.73392e-05 +39 *1753:37 *2009:5 6.23875e-05 +40 *1947:242 *20604:A2 0.000225225 +41 *1947:242 *2009:41 2.07208e-05 +*RES +1 *20598:X *2009:5 11.0817 +2 *2009:5 *20601:A2 14.6264 +3 *2009:5 *2009:41 29.766 +4 *2009:41 *2009:44 21.2811 +5 *2009:44 *2009:48 7.993 +6 *2009:48 *20600:A2 9.24915 +7 *2009:48 *2009:53 1.8326 +8 *2009:53 *20599:A 9.24915 +9 *2009:53 *20604:A2 27.9352 +10 *2009:44 *20603:A2 15.6059 +11 *2009:41 *20602:A2 9.24915 +*END + +*D_NET *2010 0.00626807 +*CONN +*I *20604:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20600:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20602:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20601:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20603:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20599:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20604:B2 0.000173076 +2 *20600:B2 1.50075e-05 +3 *20602:B2 0.000138065 +4 *20601:B2 0.000228893 +5 *20603:B2 0 +6 *20599:Y 0 +7 *2010:23 0.0007861 +8 *2010:10 0.000518184 +9 *2010:7 0.000241767 +10 *2010:4 0.000300793 +11 *20600:B2 *24502:CLK 6.50727e-05 +12 *20601:B2 *5074:10 1.33419e-05 +13 *20602:B2 *4926:8 3.94508e-05 +14 *20602:B2 *5854:305 5.49916e-05 +15 *20602:B2 *5856:288 0.000169063 +16 *20604:B2 *24502:CLK 0.000107496 +17 *20604:B2 *2398:39 7.92757e-06 +18 *20604:B2 *5860:141 4.42142e-05 +19 *2010:7 *24502:CLK 0.000470557 +20 *2010:10 *24502:CLK 0.000146065 +21 *2010:10 *2700:12 0.000154572 +22 *2010:23 *21989:A 7.09666e-06 +23 *2010:23 *24502:CLK 2.77419e-05 +24 *2010:23 *2700:12 0.000179679 +25 *2010:23 *2942:15 0.000111722 +26 *2010:23 *4926:8 2.1203e-06 +27 *2010:23 *4926:14 0.000169785 +28 *2010:23 *4926:16 0.000500316 +29 *2010:23 *5851:211 1.67657e-05 +30 *2010:23 *5856:288 0.000463853 +31 *21933:B2 *2010:23 0.000107496 +32 *24505:D *20601:B2 4.9482e-06 +33 *24506:D *2010:7 0.000423922 +34 *1439:260 *20604:B2 5.04829e-06 +35 *1471:78 *20604:B2 3.58531e-05 +36 *1471:84 *20604:B2 6.08467e-05 +37 *1573:18 *20604:B2 3.41747e-05 +38 *1697:97 *20601:B2 0.000128736 +39 *1735:16 *20604:B2 0.000130501 +40 *1753:37 *20601:B2 7.11044e-05 +41 *1764:128 *20600:B2 0.000111722 +*RES +1 *20599:Y *2010:4 9.24915 +2 *2010:4 *2010:7 6.70347 +3 *2010:7 *2010:10 7.57775 +4 *2010:10 *20603:B2 13.7491 +5 *2010:10 *2010:23 24.3233 +6 *2010:23 *20601:B2 20.0186 +7 *2010:23 *20602:B2 17.2421 +8 *2010:7 *20600:B2 10.5271 +9 *2010:4 *20604:B2 23.4918 +*END + +*D_NET *2011 0.000767726 +*CONN +*I *20606:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20605:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20606:A 0.000169968 +2 *20605:X 0.000169968 +3 *20606:A *2012:7 1.65872e-05 +4 *19970:B2 *20606:A 0.000105825 +5 *20605:A *20606:A 5.51483e-06 +6 *20605:B *20606:A 0.000145719 +7 *1773:18 *20606:A 0.000154145 +*RES +1 *20605:X *20606:A 23.8755 +*END + +*D_NET *2012 0.010457 +*CONN +*I *20608:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20609:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20607:A I *D sky130_fd_sc_hd__inv_2 +*I *20611:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20612:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20610:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20606:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20608:A2 0.000381507 +2 *20609:A2 0.000175626 +3 *20607:A 0 +4 *20611:A2 2.85533e-05 +5 *20612:A2 0.000185365 +6 *20610:A2 0.000157857 +7 *20606:X 6.84073e-05 +8 *2012:45 0.00120333 +9 *2012:33 7.13978e-05 +10 *2012:10 0.000641264 +11 *2012:8 0.000453179 +12 *2012:7 0.000826894 +13 *20608:A2 *2521:27 0.000104754 +14 *20608:A2 *2563:26 3.27831e-05 +15 *20608:A2 *2933:40 0.000339456 +16 *20608:A2 *2979:20 4.41408e-05 +17 *20608:A2 *5455:12 1.16429e-05 +18 *20609:A2 *20609:A1 2.02995e-05 +19 *20609:A2 *2509:15 7.98171e-06 +20 *20610:A2 *20610:A1 0.000115934 +21 *20610:A2 *20612:B2 0.000111708 +22 *20610:A2 *5860:636 3.20069e-06 +23 *20611:A2 *22009:A 0.000162739 +24 *20611:A2 *2851:14 0.000158451 +25 *20612:A2 *2514:45 0.000160779 +26 *20612:A2 *2619:57 2.42273e-05 +27 *20612:A2 *2713:38 7.57527e-05 +28 *20612:A2 *3373:48 0.000260374 +29 *2012:8 *2013:54 1.16182e-05 +30 *2012:33 *22009:A 0.000193069 +31 *2012:33 *2851:14 0.000200794 +32 *2012:45 *20609:B1 0.000176597 +33 *2012:45 *2979:20 5.00327e-05 +34 *20605:A *2012:7 0.000207266 +35 *20605:B *2012:7 6.08467e-05 +36 *20606:A *2012:7 1.65872e-05 +37 *1454:46 *20612:A2 0 +38 *1501:70 *20608:A2 0.000111593 +39 *1537:36 *20608:A2 0.000203701 +40 *1541:128 *20612:A2 5.4678e-05 +41 *1677:142 *20610:A2 0.000171033 +42 *1677:142 *2012:8 0.000146844 +43 *1677:142 *2012:10 0.000491216 +44 *1689:71 *20608:A2 0.000107128 +45 *1706:46 *20612:A2 5.97411e-05 +46 *1706:46 *2012:10 2.79077e-05 +47 *1716:46 *20608:A2 4.44699e-05 +48 *1716:46 *2012:8 2.77564e-05 +49 *1716:46 *2012:45 0.00097025 +50 *1733:14 *20610:A2 2.79569e-05 +51 *1733:14 *2012:10 8.54931e-06 +52 *1797:20 *2012:8 0.000221881 +53 *1797:20 *2012:10 0.000377327 +54 *1797:20 *2012:45 0.000660605 +*RES +1 *20606:X *2012:7 16.1364 +2 *2012:7 *2012:8 4.32351 +3 *2012:8 *2012:10 10.137 +4 *2012:10 *20610:A2 18.5201 +5 *2012:10 *20612:A2 29.184 +6 *2012:8 *2012:33 6.88721 +7 *2012:33 *20611:A2 11.0817 +8 *2012:33 *20607:A 9.24915 +9 *2012:7 *2012:45 20.5183 +10 *2012:45 *20609:A2 16.5704 +11 *2012:45 *20608:A2 24.4738 +*END + +*D_NET *2013 0.00856075 +*CONN +*I *20608:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20609:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20612:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20610:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20611:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20607:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20608:B2 0.000316727 +2 *20609:B2 0 +3 *20612:B2 0.000128461 +4 *20610:B2 0 +5 *20611:B2 1.91831e-05 +6 *20607:Y 4.18965e-05 +7 *2013:54 0.0010874 +8 *2013:32 0.000584581 +9 *2013:20 6.99126e-05 +10 *2013:19 0.00027084 +11 *2013:15 0.000901647 +12 *2013:7 0.00103799 +13 *20608:B2 *20608:A1 0.000140101 +14 *20608:B2 *20608:B1 0.000110297 +15 *20608:B2 *20609:A1 2.53145e-06 +16 *20608:B2 *2509:15 1.17394e-05 +17 *20611:B2 *20611:B1 5.88657e-05 +18 *20611:B2 *2851:14 5.88657e-05 +19 *20612:B2 *20610:A1 7.84936e-05 +20 *20612:B2 *24636:CLK 2.57847e-05 +21 *20612:B2 *2804:7 0.000140061 +22 *20612:B2 *5856:526 7.92757e-06 +23 *2013:7 *22435:B1 6.50586e-05 +24 *2013:15 *22009:A 0.000158451 +25 *2013:15 *3005:14 8.62625e-06 +26 *2013:19 *20611:B1 0.000152164 +27 *2013:19 *22009:A 0.000110297 +28 *2013:19 *2851:14 7.54269e-06 +29 *2013:32 *2504:20 3.63593e-05 +30 *2013:32 *3373:42 0.000412474 +31 *2013:54 *20609:A1 8.67924e-06 +32 *2013:54 *2509:15 2.59516e-05 +33 *2013:54 *2510:73 8.08437e-05 +34 *2013:54 *2933:40 0.000663318 +35 *20610:A2 *20612:B2 0.000111708 +36 *24501:D *20608:B2 7.49402e-05 +37 *1501:53 *2013:32 0.000235157 +38 *1501:70 *2013:54 0 +39 *1515:85 *20608:B2 6.98337e-06 +40 *1677:142 *2013:15 8.30198e-05 +41 *1677:142 *2013:54 9.49135e-05 +42 *1677:150 *2013:54 1.87679e-05 +43 *1716:46 *2013:54 0.000914361 +44 *1716:57 *2013:15 6.20453e-05 +45 *1716:57 *2013:32 8.11792e-05 +46 *1716:57 *2013:54 4.29932e-05 +47 *2012:8 *2013:54 1.16182e-05 +*RES +1 *20607:Y *2013:7 14.4725 +2 *2013:7 *2013:15 9.48363 +3 *2013:15 *2013:19 12.7456 +4 *2013:19 *2013:20 57.9449 +5 *2013:20 *20611:B2 19.2217 +6 *2013:15 *2013:32 21.6286 +7 *2013:32 *20610:B2 9.24915 +8 *2013:32 *20612:B2 14.4576 +9 *2013:7 *2013:54 28.1449 +10 *2013:54 *20609:B2 9.24915 +11 *2013:54 *20608:B2 18.7256 +*END + +*D_NET *2014 0.00200509 +*CONN +*I *20614:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20613:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20614:A 0.000414456 +2 *20613:X 0.000414456 +3 *20614:A *2015:55 7.12632e-06 +4 *20614:A *2016:12 5.21758e-06 +5 *20614:A *2474:14 1.62372e-05 +6 *20614:A *2584:77 0.000767103 +7 *20614:A *3192:15 0.000237292 +8 *20614:A *4805:56 4.6012e-05 +9 *20614:A *5909:26 4.12833e-05 +10 *24489:D *20614:A 7.12632e-06 +11 *512:47 *20614:A 4.35662e-05 +12 *518:44 *20614:A 5.21758e-06 +*RES +1 *20613:X *20614:A 36.9443 +*END + +*D_NET *2015 0.0184647 +*CONN +*I *20621:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20617:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20618:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20620:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20615:A I *D sky130_fd_sc_hd__inv_2 +*I *20622:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20616:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20619:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20623:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20614:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20621:A2 0.000223438 +2 *20617:A2 2.98954e-05 +3 *20618:A2 0 +4 *20620:A2 0.000438529 +5 *20615:A 2.16261e-05 +6 *20622:A2 0.000297194 +7 *20616:A2 0.000404511 +8 *20619:A2 0 +9 *20623:A2 9.15885e-05 +10 *20614:X 4.09323e-05 +11 *2015:97 0.000100917 +12 *2015:96 0.000586611 +13 *2015:91 0.00119712 +14 *2015:78 0.00152455 +15 *2015:57 0.000460155 +16 *2015:55 0.00139772 +17 *2015:29 0.00091493 +18 *2015:18 0.00049304 +19 *2015:7 0.000409548 +20 *2015:5 0.000410336 +21 *20615:A *2676:29 6.08467e-05 +22 *20615:A *4818:56 5.04829e-06 +23 *20616:A2 *20616:B2 0.000211492 +24 *20616:A2 *20622:B2 3.29167e-05 +25 *20616:A2 *24496:RESET_B 5.79739e-05 +26 *20617:A2 *20617:A1 0.000161234 +27 *20617:A2 *20617:B1 0.000159246 +28 *20620:A2 *20620:A1 2.50858e-05 +29 *20620:A2 *20620:B2 6.2758e-05 +30 *20620:A2 *2016:60 7.02172e-06 +31 *20620:A2 *2676:29 1.99131e-05 +32 *20620:A2 *3041:31 6.34305e-05 +33 *20620:A2 *3153:101 1.58551e-05 +34 *20621:A2 *20621:A1 3.03047e-05 +35 *20621:A2 *20621:B1 9.56825e-05 +36 *20621:A2 *2256:101 1.9101e-05 +37 *20621:A2 *2256:114 1.2851e-05 +38 *20622:A2 *20622:B1 7.29797e-05 +39 *20622:A2 *20622:B2 1.96456e-05 +40 *20622:A2 *24496:RESET_B 4.88955e-05 +41 *20622:A2 *5859:210 1.31166e-05 +42 *20622:A2 *5859:215 2.77625e-06 +43 *20623:A2 *20623:B1 0.000291015 +44 *2015:18 *20623:B1 9.55447e-05 +45 *2015:18 *24489:SET_B 0.000275494 +46 *2015:18 *2256:88 1.5254e-05 +47 *2015:18 *4823:123 4.97353e-05 +48 *2015:18 *5067:14 8.62625e-06 +49 *2015:29 *2256:38 7.14746e-05 +50 *2015:29 *2256:88 0.000247503 +51 *2015:29 *4823:123 5.95249e-06 +52 *2015:29 *4908:19 2.08084e-05 +53 *2015:29 *5067:14 5.1573e-05 +54 *2015:29 *5862:118 7.50872e-05 +55 *2015:55 *4818:50 5.56367e-05 +56 *2015:78 *2275:56 0.000817717 +57 *2015:78 *2676:26 1.69107e-05 +58 *2015:78 *4818:50 0.0017955 +59 *2015:91 *21841:A 0.000154145 +60 *2015:91 *2256:101 6.1449e-05 +61 *2015:91 *2275:56 0.000445031 +62 *2015:91 *4818:50 0.000434306 +63 *20614:A *2015:55 7.12632e-06 +64 *24489:D *2015:55 0 +65 *512:47 *2015:55 0 +66 *518:44 *2015:18 0.000101888 +67 *518:44 *2015:55 0.000476897 +68 *518:44 *2015:78 0.00093179 +69 *518:46 *20616:A2 0.00025022 +70 *518:46 *2015:18 0 +71 *527:22 *2015:91 7.26959e-06 +72 *539:14 *2015:96 0.000729154 +73 *1418:29 *20616:A2 0.000246622 +74 *1610:54 *20621:A2 0.000139262 +75 *1610:54 *2015:91 9.62777e-06 +76 *1649:189 *20621:A2 0.000211353 +77 *1652:28 *20616:A2 0.00012389 +*RES +1 *20614:X *2015:5 9.97254 +2 *2015:5 *2015:7 0.988641 +3 *2015:7 *20623:A2 12.4683 +4 *2015:7 *2015:18 12.593 +5 *2015:18 *20619:A2 13.7491 +6 *2015:18 *2015:29 11.2173 +7 *2015:29 *20616:A2 29.4261 +8 *2015:29 *20622:A2 14.6264 +9 *2015:5 *2015:55 13.3913 +10 *2015:55 *2015:57 4.5 +11 *2015:57 *20615:A 9.97254 +12 *2015:57 *20620:A2 17.2788 +13 *2015:55 *2015:78 37.1284 +14 *2015:78 *20618:A2 13.7491 +15 *2015:78 *2015:91 21.9711 +16 *2015:91 *2015:96 22.237 +17 *2015:96 *2015:97 81.1229 +18 *2015:97 *20617:A2 20.3309 +19 *2015:91 *20621:A2 20.6808 +*END + +*D_NET *2016 0.0178802 +*CONN +*I *20617:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20621:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20618:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20620:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20619:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20622:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20616:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20623:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20615:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20617:B2 0.000118434 +2 *20621:B2 0.000728412 +3 *20618:B2 0.000122518 +4 *20620:B2 9.71785e-05 +5 *20619:B2 2.22257e-05 +6 *20622:B2 0.000225621 +7 *20616:B2 0.000304148 +8 *20623:B2 0.000172946 +9 *20615:Y 0 +10 *2016:82 0.00108577 +11 *2016:71 0.00156057 +12 *2016:60 0.00137075 +13 *2016:31 0.000694175 +14 *2016:29 0.0004053 +15 *2016:12 0.000868724 +16 *2016:4 0.00055156 +17 *20616:B2 *2275:9 1.61631e-05 +18 *20616:B2 *2610:70 0.000145821 +19 *20617:B2 *21841:A 0.000111802 +20 *20617:B2 *2676:26 9.94466e-05 +21 *20617:B2 *2908:29 5.11466e-05 +22 *20617:B2 *3306:22 1.90921e-05 +23 *20618:B2 *20618:B1 6.08467e-05 +24 *20618:B2 *21393:A 3.73237e-05 +25 *20618:B2 *22673:B1 0.000343543 +26 *20618:B2 *5068:15 6.63489e-05 +27 *20619:B2 *21538:A 0.000110306 +28 *20619:B2 *4908:98 0.000110306 +29 *20620:B2 *20620:A1 0 +30 *20620:B2 *3153:101 6.08467e-05 +31 *20621:B2 *2256:114 0.000101641 +32 *20621:B2 *2311:13 0.000347214 +33 *20621:B2 *2312:11 3.33407e-05 +34 *20621:B2 *2312:22 0.000437627 +35 *20621:B2 *3050:28 1.59723e-05 +36 *20621:B2 *5592:87 9.12416e-06 +37 *20622:B2 *20622:B1 3.58602e-05 +38 *20622:B2 *24496:RESET_B 4.32761e-05 +39 *20622:B2 *5070:7 0.000161911 +40 *20622:B2 *5859:215 1.41976e-05 +41 *20623:B2 *20623:B1 7.56446e-05 +42 *20623:B2 *2177:78 1.5714e-05 +43 *2016:12 *20623:A1 0.000202367 +44 *2016:12 *2177:78 2.29378e-05 +45 *2016:12 *2177:82 0.000265923 +46 *2016:12 *2676:29 0.000304857 +47 *2016:29 *2610:70 0.000272693 +48 *2016:31 *2610:70 0.000373118 +49 *2016:60 *2676:29 9.32983e-05 +50 *2016:71 *2256:88 0.000300195 +51 *2016:71 *2275:43 7.28091e-05 +52 *2016:71 *2458:21 0.000195423 +53 *2016:71 *2676:26 0.00173105 +54 *2016:71 *2908:29 4.77858e-05 +55 *2016:71 *3263:33 0.000390018 +56 *2016:71 *3306:22 0 +57 *2016:82 *2676:26 0.000377069 +58 *2016:82 *2908:29 0.000384166 +59 *20614:A *2016:12 5.21758e-06 +60 *20616:A2 *20616:B2 0.000211492 +61 *20616:A2 *20622:B2 3.29167e-05 +62 *20620:A2 *20620:B2 6.2758e-05 +63 *20620:A2 *2016:60 7.02172e-06 +64 *20622:A2 *20622:B2 1.96456e-05 +65 *20893:B *20623:B2 0.000158371 +66 *24489:D *2016:12 4.73687e-05 +67 *24491:D *20621:B2 5.36536e-06 +68 *512:38 *2016:71 7.35713e-05 +69 *512:47 *2016:12 0.000396517 +70 *518:44 *2016:29 0 +71 *518:46 *20616:B2 0.00014228 +72 *518:46 *2016:29 0.000233768 +73 *518:46 *2016:31 0.000375168 +74 *1610:54 *20621:B2 7.85871e-06 +75 *1649:189 *20621:B2 0.000182635 +76 *1652:28 *20616:B2 3.17103e-05 +*RES +1 *20615:Y *2016:4 9.24915 +2 *2016:4 *2016:12 20.0754 +3 *2016:12 *20623:B2 18.2436 +4 *2016:12 *2016:29 6.92494 +5 *2016:29 *2016:31 7.23027 +6 *2016:31 *20616:B2 22.0112 +7 *2016:31 *20622:B2 20.0427 +8 *2016:29 *20619:B2 15.0271 +9 *2016:4 *2016:60 1.8326 +10 *2016:60 *20620:B2 12.0704 +11 *2016:60 *2016:71 40.3827 +12 *2016:71 *20618:B2 18.3789 +13 *2016:71 *2016:82 8.06078 +14 *2016:82 *20621:B2 38.6427 +15 *2016:82 *20617:B2 17.2744 +*END + +*D_NET *2017 0.000763692 +*CONN +*I *20625:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20624:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20625:A 0.000104559 +2 *20624:X 0.000104559 +3 *20625:A *2620:31 0.000277287 +4 *20625:A *3022:6 0.000277287 +*RES +1 *20624:X *20625:A 32.6523 +*END + +*D_NET *2018 0.025419 +*CONN +*I *20629:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20631:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20626:A I *D sky130_fd_sc_hd__inv_2 +*I *20627:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20628:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20632:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20634:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20633:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20630:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20625:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20629:A2 0 +2 *20631:A2 0.000193449 +3 *20626:A 0.000230063 +4 *20627:A2 0 +5 *20628:A2 6.51322e-05 +6 *20632:A2 1.53521e-05 +7 *20634:A2 0.00037504 +8 *20633:A2 0.000214325 +9 *20630:A2 1.2055e-05 +10 *20625:X 0.000170494 +11 *2018:111 0.000840061 +12 *2018:104 0.00074571 +13 *2018:93 0.000569687 +14 *2018:63 0.000753868 +15 *2018:44 0.00106611 +16 *2018:27 0.000500362 +17 *2018:25 0.00127774 +18 *2018:10 0.00187651 +19 *2018:5 0.000944656 +20 *20626:A *21619:A 2.15184e-05 +21 *20626:A *24484:CLK 0.000253916 +22 *20626:A *2258:11 4.41404e-05 +23 *20626:A *4814:7 0.000111708 +24 *20628:A2 *2134:8 4.69319e-05 +25 *20628:A2 *2473:8 5.49916e-05 +26 *20628:A2 *5303:9 6.08467e-05 +27 *20630:A2 *20630:A1 6.08467e-05 +28 *20630:A2 *2448:41 6.50727e-05 +29 *20631:A2 *20631:A1 1.43983e-05 +30 *20631:A2 *5855:599 0.000128201 +31 *20631:A2 *5855:609 4.89898e-06 +32 *20631:A2 *5893:8 0.000191541 +33 *20632:A2 *20632:A1 6.08467e-05 +34 *20632:A2 *2076:36 2.65667e-05 +35 *20633:A2 *20633:A1 0.000190414 +36 *20633:A2 *24953:A 0.000207266 +37 *20633:A2 *5859:71 5.83629e-06 +38 *20633:A2 *5859:84 4.80635e-06 +39 *20634:A2 *2441:8 0.00016747 +40 *20634:A2 *2566:18 0.00027061 +41 *20634:A2 *3036:16 0.000215979 +42 *2018:5 *2584:17 0.000248423 +43 *2018:10 *2134:8 0.000236567 +44 *2018:10 *2473:8 0.000232969 +45 *2018:10 *2584:17 0.000667866 +46 *2018:25 *21428:A 0.000298318 +47 *2018:25 *2448:49 0.00216797 +48 *2018:25 *2610:11 2.61012e-05 +49 *2018:25 *2893:13 0 +50 *2018:25 *3034:25 0 +51 *2018:25 *4844:665 2.02035e-05 +52 *2018:25 *5865:190 0.000467914 +53 *2018:44 *20705:B2 0.000188813 +54 *2018:44 *24953:A 3.14546e-05 +55 *2018:44 *2886:8 0.000679916 +56 *2018:44 *4844:665 0.000132609 +57 *2018:44 *4881:185 5.04829e-06 +58 *2018:63 *20630:B2 0.000188614 +59 *2018:63 *2441:8 0.00014514 +60 *2018:63 *2441:21 0.000405873 +61 *2018:63 *3036:16 9.1692e-05 +62 *2018:93 *21185:A 0.000520555 +63 *2018:93 *2258:12 0.000677424 +64 *2018:104 *20627:A1 6.08467e-05 +65 *2018:104 *20629:A1 0.000111708 +66 *2018:104 *2498:11 0.000740227 +67 *2018:104 *3022:6 0.000740227 +68 *2018:104 *4845:205 0.000313495 +69 *2018:104 *5304:11 0.000264614 +70 *2018:104 *5714:26 0.000107496 +71 *2018:111 *2258:12 0 +72 *2018:111 *3022:6 0.000359837 +73 *2018:111 *5893:8 0.000341222 +74 *24481:D *20634:A2 7.86825e-06 +75 *24483:D *20634:A2 6.80864e-05 +76 *24484:D *20631:A2 1.41976e-05 +77 *490:21 *2018:25 0.00116415 +78 *1627:202 *2018:25 8.70609e-05 +79 *1629:40 *2018:25 0.000738546 +80 *1771:192 *20631:A2 0.000182915 +81 *1771:192 *2018:111 0.000376316 +82 *1800:183 *2018:25 4.07914e-06 +83 *1884:86 *2018:93 6.0819e-05 +84 *1991:43 *2018:63 0.000182433 +*RES +1 *20625:X *2018:5 12.191 +2 *2018:5 *2018:10 16.4098 +3 *2018:10 *2018:25 48.8784 +4 *2018:25 *2018:27 3.36879 +5 *2018:27 *20630:A2 14.4725 +6 *2018:27 *2018:44 20.0891 +7 *2018:44 *20633:A2 15.7356 +8 *2018:44 *2018:63 18.3743 +9 *2018:63 *20634:A2 27.941 +10 *2018:63 *20632:A2 14.4725 +11 *2018:10 *20628:A2 15.6817 +12 *2018:5 *2018:93 21.2133 +13 *2018:93 *20627:A2 9.24915 +14 *2018:93 *2018:104 27.2335 +15 *2018:104 *2018:111 19.0094 +16 *2018:111 *20626:A 20.0427 +17 *2018:111 *20631:A2 20.618 +18 *2018:104 *20629:A2 9.24915 +*END + +*D_NET *2019 0.0225144 +*CONN +*I *20631:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20634:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20632:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20630:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20633:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20629:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20627:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20628:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20626:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20631:B2 0.000177892 +2 *20634:B2 0.000340856 +3 *20632:B2 0 +4 *20630:B2 0.000568025 +5 *20633:B2 0.000276102 +6 *20629:B2 2.78838e-05 +7 *20627:B2 1.60582e-05 +8 *20628:B2 0.000328953 +9 *20626:Y 0.000139004 +10 *2019:83 0.000412105 +11 *2019:56 0.00101029 +12 *2019:53 0.00138641 +13 *2019:49 0.00279786 +14 *2019:19 0.000602644 +15 *2019:14 0.000318588 +16 *2019:12 0.00196402 +17 *2019:7 0.000598987 +18 *20627:B2 *4845:205 1.21329e-05 +19 *20628:B2 *20628:B1 6.50727e-05 +20 *20628:B2 *2030:16 0 +21 *20628:B2 *2250:13 2.7585e-05 +22 *20628:B2 *2250:16 0.00034011 +23 *20628:B2 *4845:209 9.2932e-05 +24 *20628:B2 *5888:14 0.000408069 +25 *20629:B2 *4824:101 4.31703e-05 +26 *20629:B2 *5714:26 0.000111722 +27 *20630:B2 *2441:21 0.000279011 +28 *20630:B2 *3036:16 0.000382844 +29 *20630:B2 *5853:119 1.44467e-05 +30 *20630:B2 *5867:348 5.73392e-05 +31 *20631:B2 *2250:13 2.33193e-05 +32 *20631:B2 *2487:14 0 +33 *20631:B2 *5855:599 0.0002646 +34 *20633:B2 *24482:SET_B 5.32743e-05 +35 *20633:B2 *2589:12 0.000183808 +36 *20633:B2 *2819:8 0.000187284 +37 *20633:B2 *5859:71 6.08467e-05 +38 *20633:B2 *5867:348 4.06462e-05 +39 *20634:B2 *2776:10 0.00029937 +40 *20634:B2 *2868:12 0.000186801 +41 *20634:B2 *3036:14 0.000123139 +42 *20634:B2 *3036:16 0.000278906 +43 *2019:7 *2258:11 0.000213739 +44 *2019:12 *21619:A 2.53624e-06 +45 *2019:12 *24484:CLK 0.000389746 +46 *2019:12 *2250:13 2.55865e-05 +47 *2019:12 *2258:12 0.000722913 +48 *2019:12 *4898:35 0.000190028 +49 *2019:12 *4898:37 0.000118553 +50 *2019:14 *2258:12 7.14746e-05 +51 *2019:14 *4898:37 6.43474e-05 +52 *2019:19 *2258:12 0.000724374 +53 *2019:19 *4845:205 1.61631e-05 +54 *2019:19 *4845:209 3.34025e-05 +55 *2019:19 *4898:37 0.000727972 +56 *2019:49 *2433:11 0.0017037 +57 *2019:49 *5855:561 3.82228e-05 +58 *2019:49 *5855:575 0.000133912 +59 *2019:53 *2347:29 0.000446314 +60 *2019:56 *2776:10 0.000384784 +61 *2019:56 *2996:38 0.00028718 +62 *2019:56 *3036:16 4.09467e-05 +63 *2019:83 *2776:10 0.000161951 +64 *2019:83 *3036:16 7.0954e-05 +65 *20662:A *20628:B2 0.00025203 +66 *24485:D *20630:B2 0.000101133 +67 *1595:8 *20631:B2 0.000139435 +68 *1595:8 *2019:12 8.62625e-06 +69 *1627:215 *20628:B2 0.00063482 +70 *1627:215 *2019:19 0.000111708 +71 *1991:43 *20630:B2 7.09666e-06 +72 *2018:63 *20630:B2 0.000188614 +*RES +1 *20626:Y *2019:7 16.1364 +2 *2019:7 *2019:12 14.3628 +3 *2019:12 *2019:14 1.41674 +4 *2019:14 *2019:19 18.8218 +5 *2019:19 *20628:B2 33.5815 +6 *2019:19 *20627:B2 9.82786 +7 *2019:14 *20629:B2 15.0271 +8 *2019:12 *2019:49 31.5912 +9 *2019:49 *2019:53 29.3487 +10 *2019:53 *2019:56 11.7303 +11 *2019:56 *20633:B2 26.5166 +12 *2019:56 *20630:B2 37.7666 +13 *2019:53 *2019:83 3.07775 +14 *2019:83 *20632:B2 13.7491 +15 *2019:83 *20634:B2 27.2082 +16 *2019:7 *20631:B2 19.3535 +*END + +*D_NET *2020 0.00114783 +*CONN +*I *20636:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20635:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20636:A 0.000167952 +2 *20635:X 0.000167952 +3 *20636:A *3373:33 0.000394413 +4 *20635:A *20636:A 6.08467e-05 +5 *1471:200 *20636:A 0.000160617 +6 *1485:126 *20636:A 8.41339e-05 +7 *1794:7 *20636:A 5.10715e-05 +8 *1794:25 *20636:A 6.08467e-05 +*RES +1 *20635:X *20636:A 25.3223 +*END + +*D_NET *2021 0.0075167 +*CONN +*I *20640:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20641:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20639:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20638:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20637:A I *D sky130_fd_sc_hd__inv_2 +*I *20642:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20636:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20640:A2 0 +2 *20641:A2 5.20255e-05 +3 *20639:A2 2.11013e-05 +4 *20638:A2 0.000290419 +5 *20637:A 0 +6 *20642:A2 0.000128591 +7 *20636:X 0.000398708 +8 *2021:41 0.000621428 +9 *2021:34 0.000333889 +10 *2021:19 0.000272334 +11 *2021:17 0.000347586 +12 *2021:11 0.000574506 +13 *20638:A2 *20638:A1 6.08467e-05 +14 *20638:A2 *20638:B2 0.000160617 +15 *20638:A2 *20639:A1 4.61086e-05 +16 *20638:A2 *20639:B2 0.000247443 +17 *20639:A2 *5856:194 4.43174e-05 +18 *20641:A2 *20640:B2 7.09666e-06 +19 *20641:A2 *20641:A1 7.05306e-05 +20 *20641:A2 *2274:38 1.97089e-05 +21 *20641:A2 *2627:24 5.30145e-05 +22 *20642:A2 *20642:A1 6.08467e-05 +23 *20642:A2 *20642:B1 6.50586e-05 +24 *20642:A2 *20642:B2 0.000154145 +25 *20642:A2 *2510:54 0.000162884 +26 *20642:A2 *2971:15 0.000111722 +27 *20642:A2 *2993:33 0.000169958 +28 *20642:A2 *5858:571 0.000107496 +29 *20642:A2 *5860:31 1.61631e-05 +30 *2021:11 *20640:A1 1.19856e-05 +31 *2021:11 *2836:8 0.000127194 +32 *2021:17 *20640:A1 2.21251e-05 +33 *2021:17 *20640:B2 2.65667e-05 +34 *2021:17 *20641:A1 9.05259e-05 +35 *2021:17 *4927:54 0 +36 *2021:19 *20640:B1 4.91225e-06 +37 *2021:19 *20641:A1 1.19721e-05 +38 *2021:19 *2971:15 0.000235492 +39 *2021:19 *5858:571 0.000300565 +40 *2021:34 *2510:54 5.13937e-05 +41 *2021:41 *21345:A1 5.1493e-06 +42 *2021:41 *2510:54 1.10793e-05 +43 *2021:41 *2993:33 2.25583e-07 +44 *2021:41 *5856:194 0.000609509 +45 *21345:B2 *2021:11 0.000123582 +46 *24477:D *2021:17 5.31074e-05 +47 *24477:D *2021:19 0.000111722 +48 *24478:D *2021:17 0 +49 *24480:D *20638:A2 1.19618e-05 +50 *1471:200 *2021:11 0.000347769 +51 *1485:126 *2021:11 9.99867e-06 +52 *1753:24 *20639:A2 4.99151e-05 +53 *1753:24 *2021:34 5.13937e-05 +54 *1753:24 *2021:41 0.000650007 +*RES +1 *20636:X *2021:11 26.38 +2 *2021:11 *2021:17 4.65385 +3 *2021:17 *2021:19 5.16022 +4 *2021:19 *20642:A2 24.4375 +5 *2021:19 *2021:34 5.50149 +6 *2021:34 *20637:A 13.7491 +7 *2021:34 *2021:41 12.6286 +8 *2021:41 *20638:A2 21.7306 +9 *2021:41 *20639:A2 14.7506 +10 *2021:17 *20641:A2 20.5527 +11 *2021:11 *20640:A2 9.24915 +*END + +*D_NET *2022 0.00541852 +*CONN +*I *20640:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20638:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20639:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20641:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20642:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20637:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20640:B2 0.000207095 +2 *20638:B2 0.000147474 +3 *20639:B2 0.000410293 +4 *20641:B2 0 +5 *20642:B2 0.000239522 +6 *20637:Y 3.51473e-05 +7 *2022:30 0.000941365 +8 *2022:27 0.000606159 +9 *2022:19 3.36394e-05 +10 *2022:5 0.000292842 +11 *20638:B2 *5851:141 2.53568e-05 +12 *20638:B2 *5860:9 6.9787e-05 +13 *20639:B2 *20639:A1 3.99086e-06 +14 *20639:B2 *20639:B1 9.19632e-06 +15 *20640:B2 *20641:A1 6.08467e-05 +16 *20640:B2 *2274:38 1.5714e-05 +17 *20640:B2 *2627:24 2.57465e-06 +18 *20640:B2 *5869:331 2.80155e-05 +19 *20642:B2 *20642:A1 1.65872e-05 +20 *20642:B2 *5801:78 3.88655e-06 +21 *20642:B2 *5801:84 0.000175955 +22 *20642:B2 *5860:31 5.50938e-05 +23 *2022:5 *20640:B1 3.82228e-05 +24 *2022:5 *5858:571 0.000107496 +25 *2022:19 *20640:B1 2.16355e-05 +26 *2022:19 *5858:571 4.66492e-05 +27 *2022:27 *20640:B1 2.16355e-05 +28 *2022:27 *5858:571 5.08751e-05 +29 *2022:30 *2616:40 5.1493e-06 +30 *2022:30 *2627:24 1.89102e-05 +31 *2022:30 *5801:84 4.15978e-06 +32 *2022:30 *5858:142 8.77775e-05 +33 *2022:30 *5858:144 2.77564e-05 +34 *2022:30 *5860:9 0.000160851 +35 *19635:C1 *2022:30 9.49244e-05 +36 *19970:B2 *20640:B2 5.99105e-05 +37 *20638:A2 *20638:B2 0.000160617 +38 *20638:A2 *20639:B2 0.000247443 +39 *20641:A2 *20640:B2 7.09666e-06 +40 *20642:A2 *20642:B2 0.000154145 +41 *1467:138 *20642:B2 0.00018643 +42 *1467:138 *2022:30 0.000156479 +43 *1467:169 *2022:30 0.000154797 +44 *1467:183 *2022:30 0.000198447 +45 *2021:17 *20640:B2 2.65667e-05 +*RES +1 *20637:Y *2022:5 10.5271 +2 *2022:5 *20642:B2 25.8173 +3 *2022:5 *2022:19 0.723396 +4 *2022:19 *20641:B2 9.24915 +5 *2022:19 *2022:27 5.2234 +6 *2022:27 *2022:30 16.7133 +7 *2022:30 *20639:B2 15.8079 +8 *2022:30 *20638:B2 21.4985 +9 *2022:27 *20640:B2 22.0211 +*END + +*D_NET *2023 0.000192711 +*CONN +*I *20644:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20643:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20644:A 2.16975e-05 +2 *20643:X 2.16975e-05 +3 *20749:B *20644:A 0.000118166 +4 *1547:66 *20644:A 2.61012e-05 +5 *1585:13 *20644:A 5.04829e-06 +*RES +1 *20643:X *20644:A 19.7763 +*END + +*D_NET *2024 0.00969319 +*CONN +*I *20648:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20649:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20647:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20645:A I *D sky130_fd_sc_hd__inv_2 +*I *20646:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20650:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20644:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20648:A2 0 +2 *20649:A2 0.000295477 +3 *20647:A2 3.22261e-05 +4 *20645:A 0 +5 *20646:A2 0.000236295 +6 *20650:A2 8.6487e-05 +7 *20644:X 0.000847838 +8 *2024:46 0.000615453 +9 *2024:24 0.000329023 +10 *2024:21 0.000733085 +11 *2024:17 0.000532242 +12 *2024:7 0.00111396 +13 *20646:A2 *20646:A1 0.000156593 +14 *20646:A2 *2660:15 0.000211478 +15 *20646:A2 *2783:8 0.000367984 +16 *20646:A2 *5815:24 9.62971e-05 +17 *20649:A2 *20649:A1 6.50586e-05 +18 *20649:A2 *20649:B1 0.000348904 +19 *20649:A2 *2592:6 6.63009e-05 +20 *20649:A2 *2604:6 3.43341e-05 +21 *20649:A2 *2614:8 1.47773e-05 +22 *20650:A2 *19811:A 0.000247216 +23 *20650:A2 *20650:A1 6.08467e-05 +24 *20650:A2 *2231:6 0.000243618 +25 *2024:17 *19811:A 0.000158353 +26 *2024:17 *2231:6 0.000529234 +27 *2024:17 *5422:8 9.75356e-05 +28 *2024:17 *5858:8 7.93486e-05 +29 *2024:21 *2231:6 0.000183932 +30 *2024:21 *2624:21 8.25923e-05 +31 *2024:21 *5858:8 0.000301714 +32 *2024:24 *2660:15 0.000186597 +33 *2024:46 *20647:B1 0.000419269 +34 *2024:46 *2592:6 2.77337e-05 +35 *2024:46 *2614:8 1.37385e-05 +36 *2024:46 *2624:21 6.25817e-05 +37 *20643:B *2024:7 1.65872e-05 +38 *20749:B *2024:7 1.4091e-06 +39 *24474:D *2024:46 5.01835e-05 +40 *1547:66 *2024:7 0.000317693 +41 *1593:10 *2024:21 0.000336125 +42 *1593:10 *2024:46 6.64907e-05 +43 *1947:22 *20650:A2 2.65831e-05 +*RES +1 *20644:X *2024:7 25.5646 +2 *2024:7 *20650:A2 18.7961 +3 *2024:7 *2024:17 9.30653 +4 *2024:17 *2024:21 14.1919 +5 *2024:21 *2024:24 6.88721 +6 *2024:24 *20646:A2 27.4514 +7 *2024:24 *20645:A 9.24915 +8 *2024:21 *2024:46 10.5716 +9 *2024:46 *20647:A2 14.4819 +10 *2024:46 *20649:A2 22.59 +11 *2024:17 *20648:A2 13.7491 +*END + +*D_NET *2025 0.00726824 +*CONN +*I *20647:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20649:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20646:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20648:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20650:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20645:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20647:B2 0.00015519 +2 *20649:B2 0.000380222 +3 *20646:B2 0.000161745 +4 *20648:B2 2.35695e-05 +5 *20650:B2 0.000252055 +6 *20645:Y 1.47608e-05 +7 *2025:32 0.000686551 +8 *2025:26 0.00037617 +9 *2025:8 0.000505743 +10 *2025:7 0.000321275 +11 *20646:B2 *20714:B1 2.16355e-05 +12 *20646:B2 *4838:86 1.41976e-05 +13 *20646:B2 *4842:57 1.58551e-05 +14 *20647:B2 *20647:B1 3.82228e-05 +15 *20647:B2 *21557:A 5.04829e-06 +16 *20649:B2 *20649:B1 0.000576261 +17 *20649:B2 *5858:7 0.000107496 +18 *20650:B2 *2468:8 0.000783158 +19 *20650:B2 *5858:8 0.000301401 +20 *2025:7 *2660:15 6.08467e-05 +21 *2025:7 *3860:43 6.08467e-05 +22 *2025:8 *2468:8 0.000707796 +23 *2025:8 *5858:8 7.52198e-05 +24 *2025:26 *2468:8 0.000203886 +25 *2025:32 *20646:A1 2.16355e-05 +26 *2025:32 *21557:A 1.65872e-05 +27 *2025:32 *2468:8 0.00017643 +28 *2025:32 *2783:8 0.000179891 +29 *24471:D *20650:B2 0.000101133 +30 *24473:D *20648:B2 7.34948e-06 +31 *24473:D *2025:8 0.000122083 +32 *24475:D *20646:B2 0.000102153 +33 *1593:10 *20647:B2 4.58529e-05 +34 *1688:11 *2025:8 0.000408374 +35 *1688:11 *2025:26 0.000211013 +36 *1829:95 *20648:B2 2.65831e-05 +*RES +1 *20645:Y *2025:7 14.4725 +2 *2025:7 *2025:8 12.6286 +3 *2025:8 *20650:B2 27.2082 +4 *2025:8 *20648:B2 14.4725 +5 *2025:7 *2025:26 8.40826 +6 *2025:26 *2025:32 13.771 +7 *2025:32 *20646:B2 13.3243 +8 *2025:32 *20649:B2 20.1242 +9 *2025:26 *20647:B2 12.7456 +*END + +*D_NET *2026 0.00335471 +*CONN +*I *20652:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20651:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20652:A 0.00108384 +2 *20651:X 0.00108384 +3 *20652:A *24313:RESET_B 0.000584058 +4 *20652:A *24313:CLK 1.72888e-05 +5 *20652:A *2354:11 0.000250254 +6 *20652:A *2906:43 0.000247443 +7 *24313:D *20652:A 8.79845e-05 +*RES +1 *20651:X *20652:A 40.3931 +*END + +*D_NET *2027 0.0146009 +*CONN +*I *20658:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20656:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20653:A I *D sky130_fd_sc_hd__inv_2 +*I *20661:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20657:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20654:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20660:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20655:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20659:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20652:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20658:A2 0.000418888 +2 *20656:A2 8.27292e-05 +3 *20653:A 0 +4 *20661:A2 4.14911e-05 +5 *20657:A2 0.000137669 +6 *20654:A2 0.000287169 +7 *20660:A2 9.11041e-05 +8 *20655:A2 5.70158e-05 +9 *20659:A2 0 +10 *20652:X 0.000188577 +11 *2027:60 0.000306841 +12 *2027:57 0.00012768 +13 *2027:46 0.000543406 +14 *2027:37 0.000533437 +15 *2027:32 0.000834324 +16 *2027:21 0.000657795 +17 *2027:20 0.000791971 +18 *2027:8 0.00052638 +19 *20654:A2 *20654:B1 5.481e-05 +20 *20654:A2 *20654:B2 0.000391697 +21 *20654:A2 *20657:B2 0.000154145 +22 *20654:A2 *2323:14 5.69234e-05 +23 *20654:A2 *2473:45 0.00019331 +24 *20654:A2 *2888:17 0.000188451 +25 *20654:A2 *2924:46 7.04982e-05 +26 *20655:A2 *2028:72 6.08467e-05 +27 *20655:A2 *5056:9 0.000156076 +28 *20656:A2 *20656:B1 6.08467e-05 +29 *20657:A2 *20657:B1 8.46475e-05 +30 *20657:A2 *5853:243 0.000135543 +31 *20657:A2 *5853:251 2.69685e-05 +32 *20658:A2 *20658:A1 4.21051e-05 +33 *20658:A2 *20658:B1 6.95794e-05 +34 *20658:A2 *20658:B2 0.000103123 +35 *20658:A2 *24465:CLK 4.30869e-05 +36 *20658:A2 *5853:232 5.11321e-05 +37 *20660:A2 *20660:B2 0.000444819 +38 *20660:A2 *5859:181 0.000444819 +39 *20661:A2 *20661:B1 3.01683e-06 +40 *20661:A2 *2520:68 4.66492e-05 +41 *20661:A2 *5861:397 1.29759e-05 +42 *2027:8 *20659:B2 0.000206696 +43 *2027:8 *4845:252 5.23577e-05 +44 *2027:8 *5857:97 1.03594e-05 +45 *2027:8 *5857:105 9.82838e-06 +46 *2027:20 *2870:21 1.62037e-05 +47 *2027:20 *3257:44 0.000638996 +48 *2027:20 *4845:252 5.8802e-05 +49 *2027:20 *5857:97 5.29221e-05 +50 *2027:20 *5862:411 0.000809865 +51 *2027:21 *24465:CLK 5.99155e-05 +52 *2027:21 *5853:232 1.91246e-05 +53 *2027:21 *5853:243 7.49659e-06 +54 *2027:32 *24465:CLK 6.7316e-05 +55 *2027:32 *24468:CLK 0.00068618 +56 *2027:32 *2028:67 7.55569e-05 +57 *2027:32 *2454:8 7.50722e-05 +58 *2027:32 *5853:243 0.000632951 +59 *2027:37 *20660:B2 0.000258208 +60 *2027:37 *2028:54 4.80354e-05 +61 *2027:37 *2028:67 2.1203e-06 +62 *2027:37 *5853:243 0.000209677 +63 *2027:37 *5859:181 0.000341535 +64 *2027:46 *2473:45 0.000491076 +65 *2027:46 *2888:17 0.000355155 +66 *2027:46 *4820:71 3.01812e-05 +67 *2027:60 *20661:B1 6.50586e-05 +68 *2027:60 *2520:68 0.000398169 +69 *2027:60 *5861:365 0.000108547 +70 *2027:60 *5861:397 7.92757e-06 +71 *24467:D *20657:A2 3.48767e-05 +72 *480:14 *2027:8 1.27831e-06 +73 *482:66 *20657:A2 0.000159874 +74 *482:66 *2027:37 8.69881e-05 +*RES +1 *20652:X *2027:8 18.2471 +2 *2027:8 *20659:A2 13.7491 +3 *2027:8 *2027:20 9.14393 +4 *2027:20 *2027:21 1.20912 +5 *2027:21 *20655:A2 15.5817 +6 *2027:21 *2027:32 20.8359 +7 *2027:32 *2027:37 12.4593 +8 *2027:37 *20660:A2 14.4094 +9 *2027:37 *2027:46 14.2218 +10 *2027:46 *20654:A2 23.9268 +11 *2027:46 *2027:57 4.5 +12 *2027:57 *2027:60 5.18434 +13 *2027:60 *20657:A2 22.7428 +14 *2027:60 *20661:A2 10.5513 +15 *2027:57 *20653:A 9.24915 +16 *2027:32 *20656:A2 15.5817 +17 *2027:20 *20658:A2 21.7582 +*END + +*D_NET *2028 0.0133146 +*CONN +*I *20658:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20655:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20659:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20656:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20660:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20661:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20657:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20654:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20653:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20658:B2 0.000201315 +2 *20655:B2 0 +3 *20659:B2 0.000282664 +4 *20656:B2 2.19663e-05 +5 *20660:B2 0.000242982 +6 *20661:B2 0 +7 *20657:B2 8.88197e-05 +8 *20654:B2 0.000193392 +9 *20653:Y 0.000145359 +10 *2028:72 0.000431962 +11 *2028:67 0.000875339 +12 *2028:54 0.000643061 +13 *2028:43 0.000618131 +14 *2028:16 0.000644497 +15 *2028:8 0.000387369 +16 *2028:7 0.000449222 +17 *20654:B2 *20654:A1 5.46615e-05 +18 *20654:B2 *20654:B1 5.51483e-06 +19 *20654:B2 *21215:A 4.17531e-06 +20 *20654:B2 *2323:14 0.000588211 +21 *20654:B2 *4805:36 4.78118e-05 +22 *20656:B2 *20656:B1 6.50727e-05 +23 *20657:B2 *20657:B1 1.47978e-05 +24 *20657:B2 *2323:14 1.80122e-05 +25 *20657:B2 *2924:46 0.000247443 +26 *20658:B2 *24465:CLK 0.000187619 +27 *20658:B2 *2631:11 5.01748e-05 +28 *20658:B2 *5052:11 2.16355e-05 +29 *20659:B2 *20655:A1 6.58022e-06 +30 *20659:B2 *20659:A1 7.0575e-05 +31 *20659:B2 *21271:A 0.000110634 +32 *20659:B2 *5052:11 0.000156955 +33 *20660:B2 *5859:172 3.82228e-05 +34 *20660:B2 *5859:181 3.95516e-05 +35 *2028:7 *5861:365 0.000205101 +36 *2028:16 *2503:31 0.000448449 +37 *2028:16 *2949:71 6.28031e-05 +38 *2028:16 *4805:36 1.19737e-05 +39 *2028:67 *24465:CLK 0.000187983 +40 *2028:67 *24468:CLK 0.000475115 +41 *2028:67 *2433:58 0.000143931 +42 *2028:72 *20655:A1 2.53145e-06 +43 *2028:72 *20655:B1 6.27782e-05 +44 *2028:72 *21271:A 1.31787e-05 +45 *2028:72 *5056:9 1.65872e-05 +46 *20654:A2 *20654:B2 0.000391697 +47 *20654:A2 *20657:B2 0.000154145 +48 *20655:A2 *2028:72 6.08467e-05 +49 *20658:A2 *20658:B2 0.000103123 +50 *20660:A2 *20660:B2 0.000444819 +51 *24465:D *20659:B2 1.00901e-05 +52 *482:66 *20660:B2 0.000512006 +53 *482:66 *2028:8 2.22198e-05 +54 *482:66 *2028:16 5.6619e-05 +55 *482:66 *2028:43 0.000230327 +56 *482:66 *2028:54 5.30145e-05 +57 *482:72 *20660:B2 1.65872e-05 +58 *1439:54 *20654:B2 4.15661e-05 +59 *1439:54 *2028:16 1.5714e-05 +60 *1742:80 *2028:8 4.33979e-05 +61 *1742:80 *2028:16 0.000157503 +62 *1742:80 *2028:43 0.000463531 +63 *1742:80 *2028:54 0.000173687 +64 *1742:80 *2028:67 0.000513778 +65 *1742:86 *20658:B2 0.000123273 +66 *1742:86 *2028:67 0.000551915 +67 *2027:8 *20659:B2 0.000206696 +68 *2027:32 *2028:67 7.55569e-05 +69 *2027:37 *20660:B2 0.000258208 +70 *2027:37 *2028:54 4.80354e-05 +71 *2027:37 *2028:67 2.1203e-06 +*RES +1 *20653:Y *2028:7 16.1364 +2 *2028:7 *2028:8 1.00149 +3 *2028:8 *2028:16 11.0634 +4 *2028:16 *20654:B2 22.1534 +5 *2028:16 *20657:B2 17.2697 +6 *2028:8 *20661:B2 13.7491 +7 *2028:7 *2028:43 9.30653 +8 *2028:43 *20660:B2 24.4795 +9 *2028:43 *2028:54 3.493 +10 *2028:54 *20656:B2 14.4725 +11 *2028:54 *2028:67 21.4221 +12 *2028:67 *2028:72 8.02053 +13 *2028:72 *20659:B2 17.2306 +14 *2028:72 *20655:B2 9.24915 +15 *2028:67 *20658:B2 20.4627 +*END + +*D_NET *2029 0.000324991 +*CONN +*I *20663:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20662:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20663:A 8.40538e-05 +2 *20662:X 8.40538e-05 +3 *20663:A *4831:5 6.08467e-05 +4 *20663:A *4872:17 9.60366e-05 +5 *1627:202 *20663:A 0 +*RES +1 *20662:X *20663:A 30.0537 +*END + +*D_NET *2030 0.0229603 +*CONN +*I *20669:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20665:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20664:A I *D sky130_fd_sc_hd__inv_2 +*I *20666:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20668:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20671:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20670:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20672:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20667:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20663:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20669:A2 0.000351359 +2 *20665:A2 2.60774e-05 +3 *20664:A 1.81917e-05 +4 *20666:A2 0 +5 *20668:A2 0.000133428 +6 *20671:A2 3.82683e-05 +7 *20670:A2 8.35765e-05 +8 *20672:A2 0.000303324 +9 *20667:A2 0.000295595 +10 *20663:X 0 +11 *2030:101 0.000462082 +12 *2030:55 0.000771224 +13 *2030:50 0.0016304 +14 *2030:39 0.00278574 +15 *2030:29 0.00194252 +16 *2030:25 0.00113107 +17 *2030:16 0.00121576 +18 *2030:4 0.000811841 +19 *20664:A *2031:85 1.09551e-05 +20 *20665:A2 *20665:A1 0.000107496 +21 *20665:A2 *5921:94 0.000107496 +22 *20667:A2 *21404:A 3.12828e-05 +23 *20667:A2 *4872:17 8.63794e-05 +24 *20667:A2 *5315:8 0.000318118 +25 *20668:A2 *20668:A1 0 +26 *20668:A2 *5853:107 0.000230591 +27 *20669:A2 *2251:12 0 +28 *20669:A2 *2493:8 4.13447e-05 +29 *20669:A2 *4824:97 0.000113968 +30 *20669:A2 *4824:101 4.97617e-05 +31 *20669:A2 *5855:589 0.000300565 +32 *20670:A2 *2031:53 4.84944e-05 +33 *20670:A2 *2843:12 7.26733e-05 +34 *20671:A2 *20671:A1 6.08467e-05 +35 *20671:A2 *5859:47 6.08467e-05 +36 *20671:A2 *5867:310 5.05252e-05 +37 *20672:A2 *20672:A1 6.77055e-05 +38 *20672:A2 *2031:53 0.00041939 +39 *20672:A2 *2843:12 6.25268e-05 +40 *20672:A2 *5307:10 0.000169093 +41 *20672:A2 *5861:58 5.17579e-05 +42 *2030:16 *2250:16 5.80086e-05 +43 *2030:16 *2493:8 0.00049962 +44 *2030:16 *4831:5 8.28759e-06 +45 *2030:16 *4831:63 2.8182e-06 +46 *2030:16 *5888:14 0 +47 *2030:25 *2031:7 5.51483e-06 +48 *2030:25 *2031:85 0.000266846 +49 *2030:25 *4843:202 3.68867e-05 +50 *2030:25 *4872:30 0 +51 *2030:25 *5714:10 0.000118166 +52 *2030:25 *5888:13 0.000670084 +53 *2030:25 *5921:78 2.22198e-05 +54 *2030:29 *20666:A1 0.000107101 +55 *2030:29 *2031:7 6.96356e-05 +56 *2030:29 *5316:9 0.000307037 +57 *2030:39 *20705:B2 0.000390373 +58 *2030:39 *2339:8 0.000712149 +59 *2030:39 *2869:18 0.000712149 +60 *2030:39 *4843:202 0.000597616 +61 *2030:39 *4892:11 0.000135114 +62 *2030:39 *5853:107 1.19726e-05 +63 *2030:50 *2589:12 0.000306482 +64 *2030:50 *2819:8 0.000310079 +65 *2030:50 *3046:11 7.13972e-05 +66 *2030:50 *5867:310 0.000175689 +67 *2030:55 *20671:B2 0.000215155 +68 *2030:55 *5859:47 0.000158357 +69 *2030:101 *2493:8 0.000104747 +70 *20628:B2 *2030:16 0 +71 *24434:D *2030:39 6.50586e-05 +72 *24456:D *2030:55 1.00937e-05 +73 *24457:D *20670:A2 1.90335e-05 +74 *24462:D *20669:A2 0.000304998 +75 *491:8 *20667:A2 0.000654032 +76 *1607:18 *20671:A2 4.18989e-05 +77 *1607:18 *2030:50 0.000179271 +78 *1626:7 *20672:A2 7.88576e-05 +79 *1725:210 *2030:50 0.000148492 +80 *1787:10 *20670:A2 0.000170592 +81 *1787:10 *20672:A2 0.000744805 +82 *1789:40 *2030:29 7.34948e-06 +*RES +1 *20663:X *2030:4 9.24915 +2 *2030:4 *20667:A2 30.4624 +3 *2030:4 *2030:16 16.8877 +4 *2030:16 *2030:25 27.0376 +5 *2030:25 *2030:29 11.8396 +6 *2030:29 *2030:39 44.729 +7 *2030:39 *2030:50 44.6687 +8 *2030:50 *2030:55 18.0424 +9 *2030:55 *20672:A2 29.7589 +10 *2030:55 *20670:A2 17.6574 +11 *2030:50 *20671:A2 15.474 +12 *2030:39 *20668:A2 13.3122 +13 *2030:29 *20666:A2 9.24915 +14 *2030:25 *20664:A 9.82786 +15 *2030:16 *2030:101 2.24725 +16 *2030:101 *20665:A2 15.0271 +17 *2030:101 *20669:A2 24.9644 +*END + +*D_NET *2031 0.0266146 +*CONN +*I *20665:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20669:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20666:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20668:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20671:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20670:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20672:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20667:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20664:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20665:B2 2.2917e-05 +2 *20669:B2 0.000184725 +3 *20666:B2 9.72534e-06 +4 *20668:B2 4.03086e-05 +5 *20671:B2 0.000278903 +6 *20670:B2 0.000151293 +7 *20672:B2 0.000360368 +8 *20667:B2 0 +9 *20664:Y 0 +10 *2031:85 0.000390579 +11 *2031:53 0.00054779 +12 *2031:36 0.0014916 +13 *2031:29 0.00323095 +14 *2031:18 0.00136285 +15 *2031:8 0.0037059 +16 *2031:7 0.00112746 +17 *2031:4 0.00113462 +18 *20665:B2 *5921:94 9.55447e-05 +19 *20666:B2 *2348:8 5.92342e-05 +20 *20668:B2 *2448:29 0.000248423 +21 *20668:B2 *5853:97 0.000260374 +22 *20669:B2 *20669:A1 7.29227e-05 +23 *20669:B2 *21608:A 4.31485e-06 +24 *20669:B2 *23950:A1 0.000161253 +25 *20669:B2 *24458:CLK 5.66868e-06 +26 *20669:B2 *4872:30 0.00025682 +27 *20669:B2 *4872:127 0.000123582 +28 *20669:B2 *5855:575 6.08467e-05 +29 *20669:B2 *5925:22 1.10793e-05 +30 *20670:B2 *2737:16 0.000177772 +31 *20672:B2 *24455:SET_B 0.000137936 +32 *20672:B2 *5855:530 0.000300565 +33 *20672:B2 *5861:43 6.08467e-05 +34 *2031:7 *20666:A1 0.000347214 +35 *2031:7 *2331:7 0.000107496 +36 *2031:7 *4844:653 9.19632e-06 +37 *2031:7 *5316:9 6.88782e-05 +38 *2031:8 *2348:8 0.000572631 +39 *2031:18 *2348:8 0.000542082 +40 *2031:18 *4872:8 0.000120544 +41 *2031:18 *4891:8 0.000120544 +42 *2031:29 *2531:6 0.000139435 +43 *2031:29 *5855:547 0.000108286 +44 *2031:36 *2448:29 0.00100294 +45 *2031:36 *2826:10 0.000148114 +46 *2031:36 *4822:50 0.000144531 +47 *2031:36 *5853:97 0.000608374 +48 *2031:36 *5855:530 0.00114316 +49 *2031:36 *5918:23 7.58507e-05 +50 *2031:53 *2843:12 0.000467884 +51 *2031:85 *5714:10 5.49373e-05 +52 *2031:85 *5921:78 0.000113968 +53 *2031:85 *5921:94 6.50727e-05 +54 *20664:A *2031:85 1.09551e-05 +55 *20670:A2 *2031:53 4.84944e-05 +56 *20672:A2 *2031:53 0.00041939 +57 *23950:A0 *20665:B2 0.000107496 +58 *23950:A0 *2031:85 0.000111708 +59 *1595:28 *2031:18 0.00161613 +60 *1626:7 *20672:B2 1.43983e-05 +61 *1770:12 *20670:B2 0.00017419 +62 *1789:40 *20666:B2 5.2092e-05 +63 *1789:40 *2031:7 9.80242e-07 +64 *1789:40 *2031:8 0.000567618 +65 *1789:40 *2031:18 0.00054568 +66 *1799:26 *20672:B2 0.000301385 +67 *1884:154 *20672:B2 4.86647e-05 +68 *2030:25 *2031:7 5.51483e-06 +69 *2030:25 *2031:85 0.000266846 +70 *2030:29 *2031:7 6.96356e-05 +71 *2030:55 *20671:B2 0.000215155 +*RES +1 *20664:Y *2031:4 9.24915 +2 *2031:4 *2031:7 18.5339 +3 *2031:7 *2031:8 10.137 +4 *2031:8 *2031:18 44.355 +5 *2031:18 *20667:B2 9.24915 +6 *2031:8 *2031:29 44.0615 +7 *2031:29 *2031:36 35.848 +8 *2031:36 *20672:B2 28.0382 +9 *2031:36 *2031:53 17.0608 +10 *2031:53 *20670:B2 23.1595 +11 *2031:53 *20671:B2 16.0732 +12 *2031:29 *20668:B2 12.191 +13 *2031:7 *20666:B2 14.7506 +14 *2031:4 *2031:85 6.70347 +15 *2031:85 *20669:B2 25.7876 +16 *2031:85 *20665:B2 10.5271 +*END + +*D_NET *2032 0.000810383 +*CONN +*I *20674:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20673:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20674:A 0.000241852 +2 *20673:X 0.000241852 +3 *20674:A *2537:8 0.00026134 +4 *20674:A *2956:48 5.20546e-06 +5 *20674:A *3162:115 0 +6 *1947:70 *20674:A 6.01329e-05 +*RES +1 *20673:X *20674:A 33.3757 +*END + +*D_NET *2033 0.00869128 +*CONN +*I *20676:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20677:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20678:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20675:A I *D sky130_fd_sc_hd__inv_2 +*I *20680:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20679:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20674:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20676:A2 0 +2 *20677:A2 0.000191707 +3 *20678:A2 0.000188594 +4 *20675:A 0 +5 *20680:A2 0.000154517 +6 *20679:A2 8.33488e-05 +7 *20674:X 7.19979e-05 +8 *2033:39 0.000188594 +9 *2033:37 0.000411785 +10 *2033:34 0.000494973 +11 *2033:14 0.000694023 +12 *2033:5 0.000419957 +13 *20677:A2 *20677:A1 0.000110297 +14 *20677:A2 *20678:B2 0 +15 *20677:A2 *21625:A 1.1246e-05 +16 *20677:A2 *24452:SET_B 0.000110297 +17 *20677:A2 *2620:40 0.000191526 +18 *20677:A2 *2671:10 0.000124889 +19 *20677:A2 *3157:139 6.76836e-05 +20 *20678:A2 *24906:CLK 0.000198492 +21 *20678:A2 *3164:131 0.00041102 +22 *20678:A2 *3166:112 0.000406794 +23 *20679:A2 *20679:A1 0.000207266 +24 *20680:A2 *20680:A1 6.50727e-05 +25 *20680:A2 *2537:8 6.88675e-05 +26 *20680:A2 *2661:7 0.000158371 +27 *20680:A2 *2796:18 6.88675e-05 +28 *20680:A2 *5861:89 1.15389e-05 +29 *20680:A2 *5861:97 6.08467e-05 +30 *2033:5 *20679:A1 0.000116764 +31 *2033:5 *22015:A 9.90203e-05 +32 *2033:5 *3029:7 5.04829e-06 +33 *2033:14 *24357:RESET_B 0.000319582 +34 *2033:14 *2537:8 9.0594e-06 +35 *2033:14 *2796:18 0.000342015 +36 *2033:14 *3162:115 6.33552e-05 +37 *2033:14 *5059:8 4.60197e-05 +38 *2033:34 *20676:A1 0.000157266 +39 *2033:34 *24357:RESET_B 9.88578e-05 +40 *2033:34 *2796:18 0.000378815 +41 *2033:34 *3162:115 3.28261e-05 +42 *2033:34 *3168:132 0.000182353 +43 *2033:34 *5851:640 1.64789e-05 +44 *2033:37 *2671:10 0.000518584 +45 *2033:37 *3157:139 0.000514971 +46 *20491:B *20678:A2 1.07248e-05 +47 *1438:169 *2033:34 0.000211478 +48 *1624:38 *20680:A2 0.000107496 +49 *1724:39 *20677:A2 0.000216863 +50 *1733:85 *20678:A2 7.11283e-05 +*RES +1 *20674:X *2033:5 11.6364 +2 *2033:5 *20679:A2 11.6364 +3 *2033:5 *2033:14 13.8065 +4 *2033:14 *20680:A2 27.2765 +5 *2033:14 *2033:34 15.1114 +6 *2033:34 *2033:37 14.2218 +7 *2033:37 *2033:39 4.5 +8 *2033:39 *20675:A 9.24915 +9 *2033:39 *20678:A2 26.763 +10 *2033:37 *20677:A2 21.8422 +11 *2033:34 *20676:A2 9.24915 +*END + +*D_NET *2034 0.00779276 +*CONN +*I *20678:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20677:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20676:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20680:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20679:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20675:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20678:B2 0.000262386 +2 *20677:B2 0.000242557 +3 *20676:B2 0 +4 *20680:B2 0.00010115 +5 *20679:B2 0.000225792 +6 *20675:Y 0 +7 *2034:10 0.000509603 +8 *2034:8 0.000359981 +9 *2034:7 0.000603627 +10 *2034:4 0.000446135 +11 *20677:B2 *20677:B1 6.50727e-05 +12 *20677:B2 *24452:SET_B 6.08467e-05 +13 *20677:B2 *3166:107 7.48435e-05 +14 *20677:B2 *5853:46 3.73455e-05 +15 *20677:B2 *5853:48 0.000368734 +16 *20678:B2 *21625:A 2.71542e-05 +17 *20678:B2 *2796:18 0.000237795 +18 *20678:B2 *3157:139 1.86356e-05 +19 *20678:B2 *3164:131 0.000167076 +20 *20679:B2 *3166:107 0.000561692 +21 *20679:B2 *5853:48 0.000565168 +22 *20680:B2 *2661:7 0.00043404 +23 *20680:B2 *5861:97 0.000440512 +24 *2034:7 *3164:131 0.000247443 +25 *2034:8 *3166:107 0.000484302 +26 *2034:8 *5853:48 0.000471905 +27 *2034:10 *3166:107 0.000336221 +28 *2034:10 *5853:48 0.000330507 +29 *20677:A2 *20678:B2 0 +30 *1724:39 *20677:B2 0.000112236 +*RES +1 *20675:Y *2034:4 9.24915 +2 *2034:4 *2034:7 7.44181 +3 *2034:7 *2034:8 8.89128 +4 *2034:8 *2034:10 6.81502 +5 *2034:10 *20679:B2 24.3014 +6 *2034:10 *20680:B2 18.9094 +7 *2034:8 *20676:B2 13.7491 +8 *2034:7 *20677:B2 23.0219 +9 *2034:4 *20678:B2 24.8205 +*END + +*D_NET *2035 0.000208624 +*CONN +*I *20682:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20681:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20682:A 5.05641e-05 +2 *20681:X 5.05641e-05 +3 *20006:A *20682:A 0.000107496 +*RES +1 *20681:X *20682:A 19.7763 +*END + +*D_NET *2036 0.0102817 +*CONN +*I *20685:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20688:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20687:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20684:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20683:A I *D sky130_fd_sc_hd__inv_2 +*I *20686:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20682:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20685:A2 0.000420967 +2 *20688:A2 1.77133e-05 +3 *20687:A2 5.05432e-05 +4 *20684:A2 1.77893e-05 +5 *20683:A 1.2055e-05 +6 *20686:A2 0.000197004 +7 *20682:X 0.000692813 +8 *2036:55 0.000726382 +9 *2036:44 0.000883928 +10 *2036:30 0.000683964 +11 *2036:21 0.000217857 +12 *2036:7 0.000975128 +13 *20683:A *20684:B2 6.08467e-05 +14 *20683:A *24449:SET_B 6.50727e-05 +15 *20684:A2 *21460:A 0.000113968 +16 *20684:A2 *5082:37 0.000113968 +17 *20685:A2 *2514:37 7.82766e-05 +18 *20685:A2 *2994:12 0.000472862 +19 *20685:A2 *2994:29 0 +20 *20686:A2 *20686:A1 2.16355e-05 +21 *20686:A2 *20686:B2 3.27398e-05 +22 *20686:A2 *5851:207 2.31718e-05 +23 *20686:A2 *5856:281 1.5714e-05 +24 *20688:A2 *2895:7 8.83972e-05 +25 *20688:A2 *2948:27 8.41713e-05 +26 *2036:7 *24449:SET_B 8.29362e-05 +27 *2036:7 *5851:207 0.000113968 +28 *2036:21 *20686:B2 1.96253e-05 +29 *2036:21 *2994:12 9.27629e-06 +30 *2036:21 *5851:207 9.14387e-06 +31 *2036:30 *20686:B2 4.42142e-05 +32 *2036:30 *2037:34 0.000113374 +33 *2036:30 *2700:12 4.03125e-05 +34 *2036:30 *2994:12 0 +35 *2036:44 *2037:34 0.000752005 +36 *2036:44 *2037:40 3.92776e-05 +37 *2036:44 *2700:12 7.20391e-05 +38 *2036:44 *2700:26 0.000150709 +39 *2036:55 *2895:7 0.000201759 +40 *2036:55 *2948:27 0.000213725 +41 *20006:A *2036:7 9.5562e-05 +42 *21819:B2 *2036:7 2.61955e-05 +43 *24448:D *20685:A2 0.000101503 +44 *1435:6 *2036:44 2.26985e-05 +45 *1448:52 *2036:44 0.000258169 +46 *1448:213 *2036:44 0.000100087 +47 *1501:34 *20685:A2 2.48822e-05 +48 *1501:34 *20687:A2 7.57527e-05 +49 *1501:34 *2036:55 0.000435177 +50 *1537:267 *2036:44 0.000535148 +51 *1639:20 *20687:A2 7.57527e-05 +52 *1639:20 *2036:55 9.97098e-05 +53 *1639:25 *2036:7 1.96574e-05 +54 *1689:47 *2036:55 1.05862e-05 +55 *1689:51 *2036:55 0.000317307 +56 *1764:13 *20686:A2 0.000154145 +*RES +1 *20682:X *2036:7 23.9008 +2 *2036:7 *20686:A2 18.4077 +3 *2036:7 *2036:21 2.14954 +4 *2036:21 *20683:A 14.4725 +5 *2036:21 *2036:30 3.07775 +6 *2036:30 *20684:A2 15.0271 +7 *2036:30 *2036:44 22.3883 +8 *2036:44 *20687:A2 15.3735 +9 *2036:44 *2036:55 15.9861 +10 *2036:55 *20688:A2 10.2498 +11 *2036:55 *20685:A2 28.7037 +*END + +*D_NET *2037 0.00830547 +*CONN +*I *20687:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20685:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20688:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20684:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20686:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20683:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20687:B2 0.000122424 +2 *20685:B2 0.000599452 +3 *20688:B2 0.000136694 +4 *20684:B2 0.000197428 +5 *20686:B2 0.000239272 +6 *20683:Y 0 +7 *2037:40 0.00105456 +8 *2037:34 0.000959962 +9 *2037:4 0.000955821 +10 *20684:B2 *20684:B1 6.08467e-05 +11 *20684:B2 *24449:SET_B 7.88576e-05 +12 *20684:B2 *2703:36 0.000136823 +13 *20684:B2 *5082:37 6.08467e-05 +14 *20684:B2 *5323:10 4.17481e-05 +15 *20684:B2 *5858:494 0.000136823 +16 *20685:B2 *20688:A1 5.35941e-05 +17 *20685:B2 *22511:A1 1.43848e-05 +18 *20685:B2 *24445:CLK 0.000134243 +19 *20685:B2 *2994:12 0.000431661 +20 *20685:B2 *4931:44 6.74781e-05 +21 *20685:B2 *5860:75 2.32325e-05 +22 *20685:B2 *5860:91 9.78048e-05 +23 *20686:B2 *2700:12 0.000228447 +24 *20686:B2 *5856:281 2.27901e-06 +25 *20686:B2 *5856:283 1.05272e-06 +26 *20687:B2 *2994:12 1.32841e-05 +27 *20688:B2 *2700:26 0.000172101 +28 *20688:B2 *2895:7 6.08467e-05 +29 *20688:B2 *2948:27 6.08467e-05 +30 *2037:34 *24449:SET_B 1.00846e-05 +31 *2037:34 *2994:12 0.000212946 +32 *2037:40 *2994:12 1.41376e-05 +33 *20683:A *20684:B2 6.08467e-05 +34 *20686:A2 *20686:B2 3.27398e-05 +35 *24446:D *2037:40 0.000112551 +36 *1435:6 *2037:40 7.85377e-05 +37 *1435:67 *20685:B2 7.13447e-05 +38 *1435:67 *20688:B2 0.000168675 +39 *1435:67 *2037:40 4.33208e-05 +40 *1677:45 *20688:B2 0.000111708 +41 *1698:117 *20685:B2 1.9101e-05 +42 *1698:117 *20688:B2 0.000107496 +43 *1714:36 *20685:B2 6.06269e-06 +44 *1714:36 *2037:40 3.4875e-05 +45 *1764:13 *20686:B2 2.65831e-05 +46 *1801:17 *20687:B2 6.23875e-05 +47 *1808:23 *20685:B2 2.07623e-05 +48 *2036:21 *20686:B2 1.96253e-05 +49 *2036:30 *20686:B2 4.42142e-05 +50 *2036:30 *2037:34 0.000113374 +51 *2036:44 *2037:34 0.000752005 +52 *2036:44 *2037:40 3.92776e-05 +*RES +1 *20683:Y *2037:4 9.24915 +2 *2037:4 *20686:B2 24.4347 +3 *2037:4 *20684:B2 25.1556 +4 *2037:4 *2037:34 21.3816 +5 *2037:34 *2037:40 7.51118 +6 *2037:40 *20688:B2 28.2435 +7 *2037:40 *20685:B2 31.6933 +8 *2037:34 *20687:B2 17.1084 +*END + +*D_NET *2038 0.00313992 +*CONN +*I *20690:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20689:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20690:A 0.000820805 +2 *20689:X 0.000820805 +3 *20690:A *20699:B2 0 +4 *20690:A *20963:A1 8.91065e-05 +5 *20690:A *20963:A2 0.000240093 +6 *20690:A *20963:B2 0.000154145 +7 *20690:A *20964:A1 7.12965e-05 +8 *20690:A *20964:A2 0.000107496 +9 *20690:A *5415:11 0.000158357 +10 *20690:A *5862:454 4.58284e-05 +11 *24295:D *20690:A 4.87301e-05 +12 *1591:7 *20690:A 0.000583261 +*RES +1 *20689:X *20690:A 40.8753 +*END + +*D_NET *2039 0.0143984 +*CONN +*I *20699:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20696:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20694:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20695:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20693:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20697:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20692:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20698:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20691:A I *D sky130_fd_sc_hd__inv_2 +*I *20690:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20699:A2 4.34022e-05 +2 *20696:A2 0.000314079 +3 *20694:A2 2.08357e-05 +4 *20695:A2 4.20499e-05 +5 *20693:A2 0.000633563 +6 *20697:A2 0.000124515 +7 *20692:A2 0.000325521 +8 *20698:A2 2.67115e-05 +9 *20691:A 0.000199099 +10 *20690:X 0.000263356 +11 *2039:86 0.000432794 +12 *2039:33 0.000600503 +13 *2039:31 0.000508052 +14 *2039:29 0.00102411 +15 *2039:27 0.000551053 +16 *2039:14 0.000690394 +17 *2039:13 0.000854528 +18 *2039:7 0.000825833 +19 *20691:A *24441:CLK 6.08467e-05 +20 *20691:A *2040:8 6.3657e-05 +21 *20691:A *4903:54 4.65669e-05 +22 *20692:A2 *20698:A1 9.19886e-06 +23 *20692:A2 *20698:B1 5.20546e-06 +24 *20692:A2 *20698:B2 6.44622e-05 +25 *20692:A2 *2040:40 0.000152158 +26 *20693:A2 *20693:A1 6.3657e-05 +27 *20693:A2 *21293:A 1.5714e-05 +28 *20693:A2 *2813:30 1.9101e-05 +29 *20693:A2 *5857:467 3.11663e-05 +30 *20694:A2 *20694:A1 0.000167076 +31 *20694:A2 *24440:CLK 0.000167076 +32 *20695:A2 *20695:A1 2.16355e-05 +33 *20695:A2 *20695:B2 0 +34 *20695:A2 *2287:82 6.08467e-05 +35 *20696:A2 *20696:A1 2.16355e-05 +36 *20696:A2 *20696:B1 2.95884e-05 +37 *20696:A2 *20696:B2 0.000283605 +38 *20696:A2 *2584:32 1.55462e-05 +39 *20696:A2 *3263:24 1.14395e-05 +40 *20696:A2 *5034:13 4.65164e-05 +41 *20698:A2 *3257:51 3.79806e-05 +42 *20699:A2 *20699:A1 6.08467e-05 +43 *20699:A2 *20699:B2 2.16355e-05 +44 *20699:A2 *2813:30 4.18989e-05 +45 *2039:7 *20699:A1 0.000107496 +46 *2039:7 *20699:B1 0.000154145 +47 *2039:7 *20699:B2 2.77625e-06 +48 *2039:13 *2257:47 0.000680092 +49 *2039:13 *2813:30 7.50722e-05 +50 *2039:14 *2486:84 0.000189652 +51 *2039:14 *2584:32 0.000146107 +52 *2039:14 *3263:24 1.29348e-05 +53 *2039:27 *2486:84 0.000147388 +54 *2039:27 *2584:32 0.000396463 +55 *2039:29 *2486:84 0.000193992 +56 *2039:29 *2584:32 0.00055306 +57 *2039:31 *20697:A1 4.31485e-06 +58 *2039:31 *21778:A 5.32607e-05 +59 *2039:31 *24443:RESET_B 2.47808e-05 +60 *2039:31 *2584:32 4.51062e-05 +61 *2039:31 *3257:44 2.53624e-06 +62 *2039:31 *5857:467 0.000109605 +63 *2039:33 *20997:B1 0.000566256 +64 *2039:33 *3257:51 2.66117e-05 +65 *2039:33 *5857:467 4.84944e-05 +66 *2039:86 *2584:32 3.58199e-05 +67 *2039:86 *3263:24 2.0726e-05 +68 *24440:D *20696:A2 5.481e-05 +69 *24441:D *20691:A 2.65831e-05 +70 *24442:D *20696:A2 4.07577e-05 +71 *476:161 *20698:A2 8.2643e-05 +72 *476:161 *2039:29 7.67842e-05 +73 *476:161 *2039:31 0.000329707 +74 *476:161 *2039:33 0.000688534 +75 *476:167 *2039:14 4.87198e-05 +76 *500:22 *20693:A2 0.000129091 +77 *1608:98 *20693:A2 0.000181035 +78 *1899:34 *20693:A2 2.16355e-05 +79 *1899:36 *20699:A2 5.05252e-05 +80 *1899:36 *2039:13 7.14746e-05 +*RES +1 *20690:X *2039:7 17.8002 +2 *2039:7 *2039:13 18.35 +3 *2039:13 *2039:14 7.23027 +4 *2039:14 *20691:A 18.3789 +5 *2039:14 *2039:27 8.37832 +6 *2039:27 *2039:29 10.3447 +7 *2039:29 *2039:31 6.19214 +8 *2039:31 *2039:33 12.6286 +9 *2039:33 *20698:A2 15.1659 +10 *2039:33 *20692:A2 20.0909 +11 *2039:31 *20697:A2 16.1605 +12 *2039:29 *20693:A2 22.786 +13 *2039:27 *20695:A2 15.0513 +14 *2039:13 *2039:86 2.24725 +15 *2039:86 *20694:A2 15.5817 +16 *2039:86 *20696:A2 22.4265 +17 *2039:7 *20699:A2 15.474 +*END + +*D_NET *2040 0.0151023 +*CONN +*I *20696:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20699:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20694:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20695:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20693:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20698:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20692:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20697:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20691:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20696:B2 0.000298405 +2 *20699:B2 0.000352883 +3 *20694:B2 0 +4 *20695:B2 0.000156516 +5 *20693:B2 0.000289579 +6 *20698:B2 3.68889e-05 +7 *20692:B2 0 +8 *20697:B2 0.000225069 +9 *20691:Y 0 +10 *2040:86 0.000693501 +11 *2040:80 0.000283935 +12 *2040:41 8.76185e-05 +13 *2040:40 0.000215433 +14 *2040:30 0.000697541 +15 *2040:12 0.000971609 +16 *2040:10 0.000633287 +17 *2040:8 0.000642107 +18 *2040:4 0.000597307 +19 *20693:B2 *20693:B1 5.04829e-06 +20 *20693:B2 *2433:85 0.000576949 +21 *20693:B2 *2460:41 0.000576949 +22 *20693:B2 *2794:9 1.82679e-05 +23 *20693:B2 *4873:26 0.000177459 +24 *20693:B2 *5417:26 0.000170518 +25 *20695:B2 *20695:B1 4.16657e-05 +26 *20695:B2 *2287:82 0.000154145 +27 *20695:B2 *2534:10 6.63489e-05 +28 *20695:B2 *5053:10 4.2372e-05 +29 *20696:B2 *20694:B1 5.51483e-06 +30 *20696:B2 *20696:B1 5.481e-05 +31 *20696:B2 *24440:CLK 0.000311249 +32 *20696:B2 *2584:32 0.00015041 +33 *20697:B2 *4813:125 8.16827e-05 +34 *20697:B2 *5864:690 0.000347849 +35 *20698:B2 *20698:A1 0 +36 *20699:B2 *20694:B1 5.51483e-06 +37 *20699:B2 *20699:A1 2.92975e-05 +38 *20699:B2 *20699:B1 1.82679e-05 +39 *20699:B2 *5034:13 6.17618e-05 +40 *20699:B2 *5034:17 5.90389e-05 +41 *2040:8 *2467:87 9.99037e-05 +42 *2040:8 *4813:125 0.000165982 +43 *2040:8 *4819:12 0.000222133 +44 *2040:8 *4827:100 9.53955e-05 +45 *2040:8 *4903:54 2.16608e-05 +46 *2040:10 *4813:125 0.00036666 +47 *2040:10 *4819:12 0.000361786 +48 *2040:12 *4813:125 0.00046294 +49 *2040:12 *4819:12 9.47958e-05 +50 *2040:12 *4819:14 1.09168e-05 +51 *2040:30 *24444:RESET_B 4.2372e-05 +52 *2040:30 *2813:30 6.31465e-05 +53 *2040:30 *2813:42 0.00018678 +54 *2040:30 *4819:14 5.1493e-06 +55 *2040:30 *4904:114 0.000120632 +56 *2040:30 *4904:167 0.000718738 +57 *2040:30 *5055:7 5.04829e-06 +58 *2040:30 *5864:677 0.000468306 +59 *2040:30 *5864:690 1.67658e-05 +60 *2040:40 *20692:A1 5.20546e-06 +61 *2040:40 *24444:RESET_B 3.99086e-06 +62 *2040:80 *20694:B1 6.08467e-05 +63 *2040:80 *4827:100 0.000446157 +64 *2040:80 *4903:48 0.000451253 +65 *2040:86 *20699:A1 0 +66 *20690:A *20699:B2 0 +67 *20691:A *2040:8 6.3657e-05 +68 *20692:A2 *20698:B2 6.44622e-05 +69 *20692:A2 *2040:40 0.000152158 +70 *20695:A2 *20695:B2 0 +71 *20696:A2 *20696:B2 0.000283605 +72 *20699:A2 *20699:B2 2.16355e-05 +73 *24437:D *20699:B2 6.50586e-05 +74 *24442:D *20696:B2 7.81962e-05 +75 *24444:D *2040:30 5.51483e-06 +76 *24444:D *2040:40 0.000308358 +77 *500:22 *20697:B2 8.52652e-05 +78 *500:22 *2040:8 1.77967e-05 +79 *500:22 *2040:12 0.00032049 +80 *2039:7 *20699:B2 2.77625e-06 +*RES +1 *20691:Y *2040:4 9.24915 +2 *2040:4 *2040:8 14.3934 +3 *2040:8 *2040:10 6.81502 +4 *2040:10 *2040:12 8.89128 +5 *2040:12 *20697:B2 20.3261 +6 *2040:12 *2040:30 31.87 +7 *2040:30 *20692:B2 9.24915 +8 *2040:30 *2040:40 14.2888 +9 *2040:40 *2040:41 57.9449 +10 *2040:41 *20698:B2 19.5834 +11 *2040:10 *20693:B2 34.3442 +12 *2040:8 *20695:B2 18.3789 +13 *2040:4 *2040:80 18.6147 +14 *2040:80 *20694:B2 9.24915 +15 *2040:80 *2040:86 0.988641 +16 *2040:86 *20699:B2 17.0618 +17 *2040:86 *20696:B2 27.7651 +*END + +*D_NET *2041 0.000430887 +*CONN +*I *20701:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20700:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20701:A 6.32667e-05 +2 *20700:X 6.32667e-05 +3 *20701:A *2042:5 6.08467e-05 +4 *20701:A *2819:18 9.31362e-05 +5 *20701:A *2868:12 8.95237e-05 +6 *20701:A *4890:66 6.08467e-05 +*RES +1 *20700:X *20701:A 30.0537 +*END + +*D_NET *2042 0.0207783 +*CONN +*I *20704:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20708:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20705:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20703:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20702:A I *D sky130_fd_sc_hd__inv_2 +*I *20707:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20706:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20710:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20709:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20701:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20704:A2 0.000263363 +2 *20708:A2 0.000205415 +3 *20705:A2 0.000249111 +4 *20703:A2 9.52685e-05 +5 *20702:A 0 +6 *20707:A2 2.06324e-05 +7 *20706:A2 0.000358462 +8 *20710:A2 2.19601e-05 +9 *20709:A2 0 +10 *20701:X 0 +11 *2042:76 0.000440604 +12 *2042:70 0.000572219 +13 *2042:56 0.000514799 +14 *2042:41 0.000807659 +15 *2042:28 0.00105572 +16 *2042:10 0.00154571 +17 *2042:5 0.00147799 +18 *2042:4 0.00059296 +19 *20703:A2 *2881:18 3.81406e-05 +20 *20704:A2 *20704:A1 2.65667e-05 +21 *20704:A2 *20704:B1 3.8122e-05 +22 *20704:A2 *20704:B2 0.000702124 +23 *20704:A2 *4822:66 0.000121676 +24 *20704:A2 *4890:62 8.6297e-06 +25 *20704:A2 *5861:298 0.000748314 +26 *20705:A2 *2374:18 0.000145861 +27 *20705:A2 *4826:61 0.000406794 +28 *20706:A2 *20706:A1 7.63448e-05 +29 *20706:A2 *20707:A1 5.04829e-06 +30 *20706:A2 *20707:B1 2.20702e-05 +31 *20706:A2 *2043:18 0.000160617 +32 *20706:A2 *2043:21 0.000264612 +33 *20706:A2 *2531:6 0 +34 *20706:A2 *2584:16 2.16355e-05 +35 *20706:A2 *3047:14 0.000198387 +36 *20706:A2 *4826:59 6.63489e-05 +37 *20706:A2 *4845:670 0.000114771 +38 *20708:A2 *2542:8 0.000383347 +39 *20708:A2 *2797:36 1.91391e-05 +40 *20708:A2 *2871:8 0.000342861 +41 *20708:A2 *4860:18 4.65545e-06 +42 *20710:A2 *20710:A1 2.53145e-06 +43 *2042:5 *4890:66 6.39306e-05 +44 *2042:5 *4890:102 3.31745e-05 +45 *2042:5 *5865:10 0.000111722 +46 *2042:10 *20709:A1 0.000213725 +47 *2042:10 *25375:A 4.59785e-05 +48 *2042:10 *2043:41 8.42083e-05 +49 *2042:10 *2601:8 5.77352e-05 +50 *2042:10 *3070:20 9.99386e-06 +51 *2042:10 *4890:66 8.78673e-05 +52 *2042:10 *5865:10 0.000387391 +53 *2042:28 *20710:A1 8.27225e-06 +54 *2042:28 *20710:B1 7.92757e-06 +55 *2042:28 *20710:B2 2.16355e-05 +56 *2042:28 *25375:A 0.000108706 +57 *2042:28 *2601:8 0.000566405 +58 *2042:28 *2826:10 0.000174315 +59 *2042:28 *3070:20 2.29142e-05 +60 *2042:28 *4860:23 0.000247443 +61 *2042:28 *5861:257 8.50938e-05 +62 *2042:28 *5861:273 8.20978e-05 +63 *2042:41 *20710:A1 5.63168e-05 +64 *2042:41 *2531:6 0.000521269 +65 *2042:41 *2985:14 0.000521269 +66 *2042:41 *4860:23 0.00035043 +67 *2042:56 *20707:A1 2.16355e-05 +68 *2042:56 *2043:18 4.66492e-05 +69 *2042:70 *20707:A1 0.000170817 +70 *2042:70 *2043:18 0.0010191 +71 *2042:70 *2635:7 3.82228e-05 +72 *2042:70 *4822:56 0.000192472 +73 *2042:70 *4826:61 0.000253916 +74 *2042:70 *5853:119 0.000182287 +75 *2042:76 *4826:61 0.000357964 +76 *20443:B2 *2042:28 8.15055e-05 +77 *20701:A *2042:5 6.08467e-05 +78 *24433:D *20706:A2 0.000107496 +79 *24435:D *20704:A2 9.82896e-06 +80 *1418:181 *20704:A2 1.65872e-05 +81 *1595:28 *20705:A2 0.000396822 +82 *1595:28 *2042:76 0.000125747 +83 *1595:39 *2042:28 0.000298304 +84 *1629:40 *20703:A2 0.000157347 +85 *1646:11 *2042:28 0.000294093 +86 *1784:124 *20705:A2 0.000362765 +87 *1789:47 *2042:41 0.000415244 +88 *1790:188 *2042:70 0.000158357 +*RES +1 *20701:X *2042:4 9.24915 +2 *2042:4 *2042:5 5.16022 +3 *2042:5 *2042:10 20.09 +4 *2042:10 *20709:A2 13.7491 +5 *2042:10 *2042:28 34.9775 +6 *2042:28 *20710:A2 9.82786 +7 *2042:28 *2042:41 28.3188 +8 *2042:41 *20706:A2 30.8382 +9 *2042:41 *2042:56 0.723396 +10 *2042:56 *20707:A2 9.82786 +11 *2042:56 *2042:70 27.2503 +12 *2042:70 *20702:A 9.24915 +13 *2042:70 *2042:76 4.05102 +14 *2042:76 *20703:A2 21.3269 +15 *2042:76 *20705:A2 29.6698 +16 *2042:5 *20708:A2 25.8947 +17 *2042:4 *20704:A2 30.5328 +*END + +*D_NET *2043 0.0185372 +*CONN +*I *20705:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20703:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20707:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20706:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20710:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20708:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20704:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20709:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20702:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20705:B2 0.000341158 +2 *20703:B2 5.96674e-05 +3 *20707:B2 0 +4 *20706:B2 0.000107848 +5 *20710:B2 1.98947e-05 +6 *20708:B2 0.000367314 +7 *20704:B2 0.000218412 +8 *20709:B2 3.79396e-05 +9 *20702:Y 0 +10 *2043:41 0.0012228 +11 *2043:29 0.00104138 +12 *2043:22 0.000649985 +13 *2043:21 0.000682771 +14 *2043:18 0.00078512 +15 *2043:8 0.000580246 +16 *2043:5 0.000387815 +17 *20703:B2 *4845:670 1.80042e-05 +18 *20704:B2 *20704:B1 0.000102003 +19 *20704:B2 *2868:12 7.77309e-06 +20 *20704:B2 *4822:66 2.82537e-05 +21 *20704:B2 *4844:681 0.000542329 +22 *20704:B2 *4890:62 0.000154862 +23 *20704:B2 *5861:298 2.41483e-05 +24 *20705:B2 *24485:RESET_B 2.28919e-05 +25 *20705:B2 *2886:8 6.28904e-05 +26 *20705:B2 *4843:202 1.64789e-05 +27 *20705:B2 *4844:665 0.000262491 +28 *20705:B2 *5301:10 0.000200863 +29 *20706:B2 *21544:A 5.22654e-06 +30 *20706:B2 *2601:8 0.000107496 +31 *20706:B2 *2809:18 0.000165481 +32 *20706:B2 *2826:10 4.49758e-05 +33 *20708:B2 *20708:A1 0.000122255 +34 *20708:B2 *20708:B1 6.08467e-05 +35 *20708:B2 *24431:RESET_B 0.000168047 +36 *20708:B2 *2868:12 4.57241e-06 +37 *20708:B2 *2871:7 1.00846e-05 +38 *20708:B2 *2996:45 0.000345866 +39 *20708:B2 *4822:66 8.47466e-05 +40 *20709:B2 *20709:B1 8.51541e-05 +41 *20710:B2 *20710:B1 6.08467e-05 +42 *2043:8 *4844:665 0.00012063 +43 *2043:18 *2531:6 1.87469e-05 +44 *2043:18 *4845:670 0.00129902 +45 *2043:21 *20707:B1 0.000184716 +46 *2043:21 *4845:670 0.000121886 +47 *2043:22 *2809:18 0.000561684 +48 *2043:22 *2826:10 9.96659e-05 +49 *2043:22 *5861:257 0.000191021 +50 *2043:29 *20709:B1 7.06457e-06 +51 *2043:29 *2809:18 0.000635846 +52 *2043:29 *2826:10 8.09758e-06 +53 *2043:29 *2826:19 0.000439609 +54 *2043:29 *5861:257 4.49767e-05 +55 *2043:29 *5861:273 0.000142046 +56 *2043:41 *20709:A1 5.99691e-05 +57 *2043:41 *25375:A 0.000207266 +58 *2043:41 *2996:45 0.000444738 +59 *2043:41 *4890:66 0.000953653 +60 *2043:41 *4890:102 6.08467e-05 +61 *20443:A2 *20708:B2 2.37299e-05 +62 *20704:A2 *20704:B2 0.000702124 +63 *20706:A2 *2043:18 0.000160617 +64 *20706:A2 *2043:21 0.000264612 +65 *24430:D *2043:29 0.000107496 +66 *24430:D *2043:41 7.39264e-05 +67 *24431:D *20708:B2 0.000305421 +68 *24433:D *20706:B2 3.82228e-05 +69 *1439:424 *20708:B2 0.000101738 +70 *1800:183 *20705:B2 7.54541e-05 +71 *1800:183 *2043:8 0.00012063 +72 *2018:44 *20705:B2 0.000188813 +73 *2030:39 *20705:B2 0.000390373 +74 *2042:10 *2043:41 8.42083e-05 +75 *2042:28 *20710:B2 2.16355e-05 +76 *2042:56 *2043:18 4.66492e-05 +77 *2042:70 *2043:18 0.0010191 +*RES +1 *20702:Y *2043:5 13.7491 +2 *2043:5 *2043:8 6.74725 +3 *2043:8 *2043:18 28.5204 +4 *2043:18 *2043:21 14.0971 +5 *2043:21 *2043:22 10.5523 +6 *2043:22 *2043:29 18.9853 +7 *2043:29 *20709:B2 10.8286 +8 *2043:29 *2043:41 24.6345 +9 *2043:41 *20704:B2 24.6208 +10 *2043:41 *20708:B2 27.9669 +11 *2043:22 *20710:B2 14.4725 +12 *2043:21 *20706:B2 18.1049 +13 *2043:18 *20707:B2 9.24915 +14 *2043:8 *20703:B2 10.5271 +15 *2043:5 *20705:B2 28.1035 +*END + +*D_NET *2044 0.00387484 +*CONN +*I *20712:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20711:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20712:A 0 +2 *20711:X 0.000726536 +3 *2044:15 0.000726536 +4 *2044:15 *20714:A1 0.000161518 +5 *2044:15 *20714:A2 0.000222275 +6 *2044:15 *2765:8 4.7305e-05 +7 *2044:15 *2765:14 0.000241324 +8 *2044:15 *2765:17 4.95311e-05 +9 *2044:15 *4146:35 0.000477142 +10 *2044:15 *4538:22 0.00121272 +11 *1594:43 *2044:15 9.95542e-06 +*RES +1 *20711:X *2044:15 46.4876 +2 *2044:15 *20712:A 9.24915 +*END + +*D_NET *2045 0.0088667 +*CONN +*I *20713:A I *D sky130_fd_sc_hd__inv_2 +*I *20715:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20716:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20717:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20718:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20714:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20712:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20713:A 0.000296436 +2 *20715:A2 0 +3 *20716:A2 4.13947e-05 +4 *20717:A2 4.81083e-05 +5 *20718:A2 0.000420174 +6 *20714:A2 0.000136914 +7 *20712:X 0 +8 *2045:50 0.000452396 +9 *2045:41 0.000589178 +10 *2045:31 0.000439932 +11 *2045:17 0.000887913 +12 *2045:4 0.000604654 +13 *20713:A *24427:CLK 7.6604e-05 +14 *20713:A *2046:8 6.3657e-05 +15 *20714:A2 *20714:A1 6.08606e-05 +16 *20714:A2 *20714:B1 1.56384e-05 +17 *20716:A2 *21749:A 0.000212741 +18 *20716:A2 *5856:39 0.000165845 +19 *20717:A2 *20714:B2 4.23622e-05 +20 *20717:A2 *20717:B1 7.71503e-05 +21 *20718:A2 *19835:A 2.65831e-05 +22 *20718:A2 *20714:B2 0.000235062 +23 *20718:A2 *20717:B1 8.04172e-05 +24 *20718:A2 *20718:A1 2.65667e-05 +25 *20718:A2 *20718:B2 4.31539e-05 +26 *20718:A2 *5045:8 8.44496e-05 +27 *20718:A2 *5458:88 0 +28 *20718:A2 *5856:47 7.99851e-05 +29 *20718:A2 *5918:20 9.33263e-05 +30 *2045:17 *20714:B2 4.86736e-05 +31 *2045:17 *20717:B1 0.000674388 +32 *2045:17 *4538:22 0.000618697 +33 *2045:17 *4700:30 0.000439501 +34 *2045:17 *5868:237 0.000158092 +35 *2045:17 *5918:20 0.000210626 +36 *2045:41 *20717:B1 1.02986e-05 +37 *2045:41 *24475:CLK 2.15992e-05 +38 *2045:41 *2046:14 0 +39 *2045:41 *3082:22 0.000147294 +40 *2045:50 *2046:10 0 +41 *24425:D *2045:41 1.30068e-05 +42 *24427:D *20713:A 5.28741e-05 +43 *495:8 *20713:A 0.000116227 +44 *495:8 *20717:A2 1.09168e-05 +45 *495:8 *2045:41 0.000625185 +46 *495:8 *2045:50 0.000195542 +47 *2044:15 *20714:A2 0.000222275 +*RES +1 *20712:X *2045:4 9.24915 +2 *2045:4 *20714:A2 13.3243 +3 *2045:4 *2045:17 20.427 +4 *2045:17 *20718:A2 20.7586 +5 *2045:17 *2045:31 3.36879 +6 *2045:31 *20717:A2 15.3735 +7 *2045:31 *2045:41 12.7385 +8 *2045:41 *20716:A2 16.1364 +9 *2045:41 *2045:50 3.90826 +10 *2045:50 *20715:A2 13.7491 +11 *2045:50 *20713:A 21.7594 +*END + +*D_NET *2046 0.0057472 +*CONN +*I *20715:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20716:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20714:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20717:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20718:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20713:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20715:B2 3.75927e-05 +2 *20716:B2 0 +3 *20714:B2 0.000541786 +4 *20717:B2 3.50097e-05 +5 *20718:B2 0.00023205 +6 *20713:Y 0.000144065 +7 *2046:26 0.000669728 +8 *2046:14 0.000708188 +9 *2046:10 0.000525648 +10 *2046:8 0.0003241 +11 *20714:B2 *20714:A1 9.51479e-06 +12 *20714:B2 *20714:B1 1.22436e-05 +13 *20714:B2 *20717:B1 7.58067e-06 +14 *20714:B2 *24996:A 5.04829e-06 +15 *20714:B2 *4935:79 0 +16 *20714:B2 *4935:90 0 +17 *20714:B2 *5918:20 0.000294914 +18 *20715:B2 *24426:SET_B 9.46346e-05 +19 *20715:B2 *4841:67 9.46346e-05 +20 *20717:B2 *20717:B1 6.75063e-06 +21 *20717:B2 *4935:90 4.09154e-05 +22 *20718:B2 *19835:A 1.40978e-05 +23 *20718:B2 *20718:A1 6.12686e-06 +24 *20718:B2 *4838:92 2.66419e-05 +25 *2046:8 *4137:77 0 +26 *2046:10 *4137:77 0 +27 *2046:10 *5035:10 4.34007e-05 +28 *2046:14 *24475:CLK 0 +29 *2046:14 *3082:22 0 +30 *2046:14 *4137:77 0 +31 *2046:14 *4935:90 6.42782e-05 +32 *2046:14 *5035:10 3.67528e-06 +33 *2046:14 *5856:47 0.000670801 +34 *2046:26 *19835:A 4.89898e-06 +35 *2046:26 *20717:A1 8.87923e-05 +36 *2046:26 *20717:B1 2.33103e-06 +37 *2046:26 *4935:90 1.04747e-05 +38 *20713:A *2046:8 6.3657e-05 +39 *20717:A2 *20714:B2 4.23622e-05 +40 *20718:A2 *20714:B2 0.000235062 +41 *20718:A2 *20718:B2 4.31539e-05 +42 *24424:D *20718:B2 0.000111708 +43 *495:8 *20714:B2 0.000482665 +44 *2045:17 *20714:B2 4.86736e-05 +45 *2045:41 *2046:14 0 +46 *2045:50 *2046:10 0 +*RES +1 *20713:Y *2046:8 16.7198 +2 *2046:8 *2046:10 3.90826 +3 *2046:10 *2046:14 17.1286 +4 *2046:14 *20718:B2 14.8434 +5 *2046:14 *2046:26 6.71122 +6 *2046:26 *20717:B2 14.543 +7 *2046:26 *20714:B2 32.1453 +8 *2046:10 *20716:B2 13.7491 +9 *2046:8 *20715:B2 16.1364 +*END + +*D_NET *2047 0.00039401 +*CONN +*I *20720:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20719:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20720:A 5.03603e-05 +2 *20719:X 5.03603e-05 +3 *20720:A *2616:28 0.000146645 +4 *20720:A *2963:71 0.000146645 +*RES +1 *20719:X *20720:A 30.1608 +*END + +*D_NET *2048 0.00898102 +*CONN +*I *20726:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20721:A I *D sky130_fd_sc_hd__inv_2 +*I *20722:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20725:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20724:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20723:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20720:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20726:A2 0.000155232 +2 *20721:A 0 +3 *20722:A2 3.73941e-05 +4 *20725:A2 0 +5 *20724:A2 0.000246934 +6 *20723:A2 3.54682e-05 +7 *20720:X 0.000300482 +8 *2048:42 3.73941e-05 +9 *2048:26 0.000479128 +10 *2048:14 0.000585413 +11 *2048:10 0.000367447 +12 *2048:9 0.00050541 +13 *20722:A2 *20722:A1 0.000154145 +14 *20722:A2 *2049:7 0.000154145 +15 *20723:A2 *20723:A1 0.000154145 +16 *20723:A2 *20723:B1 0.000156955 +17 *20724:A2 *20724:A1 0.000394498 +18 *20724:A2 *20724:B1 0.000500727 +19 *20724:A2 *5868:49 7.65807e-05 +20 *20726:A2 *20726:A1 0.000200794 +21 *20726:A2 *3089:24 0.000226298 +22 *20726:A2 *4799:6 0.000231926 +23 *2048:9 *24423:CLK 0.000644251 +24 *2048:9 *5336:8 3.75221e-05 +25 *2048:10 *3089:24 0.000134421 +26 *2048:10 *4799:6 0.000148144 +27 *2048:14 *3089:24 0.000895919 +28 *2048:14 *4799:6 0.000895919 +29 *2048:26 *20723:A1 0.000200794 +30 *2048:26 *20723:B1 0.000188093 +31 *2048:26 *24420:RESET_B 0.000169432 +32 *2048:26 *5868:49 0.000368812 +33 *24420:D *2048:26 9.96342e-05 +34 *1483:19 *20726:A2 0.000107496 +35 *1784:58 *20724:A2 8.3341e-05 +36 *1784:58 *2048:26 6.71978e-06 +*RES +1 *20720:X *2048:9 21.7065 +2 *2048:9 *2048:10 2.6625 +3 *2048:10 *2048:14 20.8658 +4 *2048:14 *20723:A2 11.0817 +5 *2048:14 *2048:26 14.435 +6 *2048:26 *20724:A2 23.3722 +7 *2048:26 *20725:A2 13.7491 +8 *2048:10 *2048:42 4.5 +9 *2048:42 *20722:A2 11.0817 +10 *2048:42 *20721:A 9.24915 +11 *2048:9 *20726:A2 20.4599 +*END + +*D_NET *2049 0.00722299 +*CONN +*I *20722:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20726:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20723:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20725:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20724:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20721:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20722:B2 0 +2 *20726:B2 0.000211348 +3 *20723:B2 9.55824e-05 +4 *20725:B2 0.00040376 +5 *20724:B2 0.000161849 +6 *20721:Y 0.000191684 +7 *2049:18 0.000730119 +8 *2049:16 0.000742745 +9 *2049:9 0.000694001 +10 *2049:7 0.000191684 +11 *20723:B2 *3671:31 0.000107496 +12 *20723:B2 *4702:28 0.000111802 +13 *20724:B2 *4702:28 7.25176e-05 +14 *20724:B2 *5852:117 5.26029e-05 +15 *20724:B2 *5852:125 3.82228e-05 +16 *20726:B2 *4723:8 0.00010062 +17 *20726:B2 *4798:33 2.24484e-05 +18 *20726:B2 *4934:19 2.57847e-05 +19 *20726:B2 *5801:92 1.45944e-05 +20 *20726:B2 *5861:157 0.00032956 +21 *2049:7 *20722:A1 3.31745e-05 +22 *2049:16 *2785:74 1.26298e-05 +23 *2049:16 *3671:31 0.000107496 +24 *2049:16 *4702:28 0.000122776 +25 *2049:16 *4798:33 0.000742589 +26 *2049:16 *5861:157 0.000543324 +27 *2049:18 *4702:28 0.00017088 +28 *20722:A2 *2049:7 0.000154145 +29 *24421:D *20724:B2 5.97411e-05 +30 *24422:D *20723:B2 2.16355e-05 +31 *24422:D *2049:16 0.000115772 +32 *24423:D *20726:B2 0.000127179 +33 *24423:D *2049:7 0.000112149 +34 *1438:152 *2049:16 0.000308666 +35 *1482:34 *20724:B2 9.86554e-05 +36 *1482:34 *2049:16 2.04806e-05 +37 *1482:34 *2049:18 0.000173271 +*RES +1 *20721:Y *2049:7 14.4335 +2 *2049:7 *2049:9 4.5 +3 *2049:9 *2049:16 28.4786 +4 *2049:16 *2049:18 6.81502 +5 *2049:18 *20724:B2 19.49 +6 *2049:18 *20725:B2 21.1278 +7 *2049:16 *20723:B2 16.691 +8 *2049:9 *20726:B2 21.2876 +9 *2049:7 *20722:B2 9.24915 +*END + +*D_NET *2050 0.00522476 +*CONN +*I *20728:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20727:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20728:A 0 +2 *20727:X 0 +3 *2050:9 0.000966608 +4 *2050:5 0.000966608 +5 *2050:9 *20917:A2 0.000229649 +6 *2050:9 *20922:A2 0.000526042 +7 *2050:9 *2148:51 0.000510347 +8 *2050:9 *2319:9 0.000102405 +9 *2050:9 *2384:10 0.000297554 +10 *2050:9 *2448:74 0.000925897 +11 *2050:9 *2797:19 0.000581155 +12 *2050:9 *4902:57 6.13107e-05 +13 *2050:9 *4961:8 5.71808e-05 +14 *2050:9 *5893:18 0 +*RES +1 *20727:X *2050:5 13.7491 +2 *2050:5 *2050:9 42.4912 +3 *2050:9 *20728:A 9.24915 +*END + +*D_NET *2051 0.0134752 +*CONN +*I *20733:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20734:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20737:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20729:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20730:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20736:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20731:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20732:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20735:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20728:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20733:A2 0.000105142 +2 *20734:A2 0.000127866 +3 *20737:A2 0.000358552 +4 *20729:A 0 +5 *20730:A2 0.000229624 +6 *20736:A2 0.000321446 +7 *20731:A2 6.31679e-05 +8 *20732:A2 0.000160917 +9 *20735:A2 5.80504e-05 +10 *20728:X 0.000247272 +11 *2051:89 0.000644924 +12 *2051:79 0.000230787 +13 *2051:51 0.0012197 +14 *2051:48 0.000990441 +15 *2051:35 0.000670775 +16 *2051:23 0.000791504 +17 *2051:19 0.000469519 +18 *2051:8 0.000397191 +19 *20730:A2 *21255:A 3.93017e-05 +20 *20730:A2 *2052:13 0 +21 *20730:A2 *4816:22 6.34536e-06 +22 *20730:A2 *4845:183 0.000330934 +23 *20730:A2 *5031:8 0.0001453 +24 *20731:A2 *20731:A1 6.3657e-05 +25 *20731:A2 *20731:B1 1.65872e-05 +26 *20731:A2 *20731:B2 1.09551e-05 +27 *20731:A2 *21298:A 0 +28 *20731:A2 *5714:35 9.68627e-06 +29 *20733:A2 *20733:B1 2.16608e-05 +30 *20733:A2 *20733:B2 1.72144e-05 +31 *20733:A2 *2460:15 0.00017995 +32 *20733:A2 *4881:187 1.31166e-05 +33 *20734:A2 *20734:A1 2.19549e-05 +34 *20734:A2 *20734:B2 5.04829e-06 +35 *20734:A2 *2486:24 7.56946e-06 +36 *20734:A2 *5855:619 6.82847e-05 +37 *20735:A2 *5857:64 6.08467e-05 +38 *20736:A2 *20736:B2 4.03125e-05 +39 *20736:A2 *3011:10 0.000200221 +40 *20736:A2 *4845:183 0.000140125 +41 *20737:A2 *19876:A 0 +42 *20737:A2 *20734:B2 8.53519e-05 +43 *20737:A2 *20737:A1 0 +44 *20737:A2 *2052:72 5.92192e-05 +45 *20737:A2 *2486:24 2.97152e-05 +46 *20737:A2 *2503:9 0.000171273 +47 *20737:A2 *4838:321 0 +48 *20737:A2 *4898:6 0.000172691 +49 *20737:A2 *4898:15 0.000231395 +50 *20737:A2 *4898:84 0.000114955 +51 *20737:A2 *5855:619 0.000215771 +52 *2051:8 *20733:B1 9.78384e-05 +53 *2051:8 *21497:A 4.3116e-06 +54 *2051:8 *2319:9 8.03278e-05 +55 *2051:8 *5857:61 1.09738e-05 +56 *2051:8 *5857:69 0.000123597 +57 *2051:19 *21497:A 4.3116e-06 +58 *2051:19 *5857:61 2.65e-05 +59 *2051:23 *20733:B1 7.35524e-05 +60 *2051:23 *20733:B2 0.000200794 +61 *2051:23 *2460:15 0.000205006 +62 *2051:23 *4832:34 0.000391973 +63 *2051:23 *5857:61 0.000119706 +64 *2051:35 *2052:13 9.02355e-05 +65 *2051:35 *4832:34 0.00032577 +66 *2051:35 *5714:35 0.000174631 +67 *2051:35 *5857:61 0.000118387 +68 *2051:48 *2486:32 0.000460228 +69 *2051:48 *5714:35 2.15348e-05 +70 *2051:79 *2486:32 0.000113456 +71 *2051:89 *2052:7 4.87439e-05 +72 *2051:89 *2321:13 0.000162739 +73 *2051:89 *2486:24 2.63743e-05 +74 *2051:89 *2486:32 0 +75 *2051:89 *5855:619 2.75449e-05 +76 *24411:D *20737:A2 0.000148144 +77 *24416:D *20732:A2 2.45636e-05 +78 *24417:D *20731:A2 6.3657e-05 +79 *24417:D *2051:48 4.11147e-05 +80 *24418:D *2051:35 9.04224e-05 +81 *477:44 *2051:48 0 +82 *477:44 *2051:79 0 +83 *477:44 *2051:89 4.61454e-05 +84 *489:13 *20736:A2 3.33771e-05 +85 *489:13 *2051:51 4.41591e-05 +86 *489:22 *20735:A2 9.94284e-06 +87 *489:22 *2051:19 9.24241e-05 +88 *1634:16 *20737:A2 6.14273e-05 +89 *1634:16 *2051:19 5.47736e-05 +90 *1634:21 *20735:A2 0.000114594 +91 *1658:168 *20736:A2 0 +92 *1912:20 *20730:A2 0.00017563 +*RES +1 *20728:X *2051:8 20.4627 +2 *2051:8 *20735:A2 15.5817 +3 *2051:8 *2051:19 7.57775 +4 *2051:19 *2051:23 14.5327 +5 *2051:23 *20732:A2 16.9683 +6 *2051:23 *2051:35 16.6146 +7 *2051:35 *20731:A2 11.6605 +8 *2051:35 *2051:48 13.2842 +9 *2051:48 *2051:51 13.5424 +10 *2051:51 *20736:A2 26.6209 +11 *2051:51 *20730:A2 27.1614 +12 *2051:48 *2051:79 6.74725 +13 *2051:79 *20729:A 9.24915 +14 *2051:79 *2051:89 13.9104 +15 *2051:89 *20737:A2 33.0212 +16 *2051:89 *20734:A2 12.625 +17 *2051:19 *20733:A2 12.9626 +*END + +*D_NET *2052 0.0127378 +*CONN +*I *20734:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20737:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20731:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20730:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20736:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20732:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20733:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20735:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20729:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20734:B2 9.36376e-05 +2 *20737:B2 0.000304866 +3 *20731:B2 2.74169e-05 +4 *20730:B2 0 +5 *20736:B2 0.000342047 +6 *20732:B2 2.45825e-05 +7 *20733:B2 0.000316026 +8 *20735:B2 0.000453084 +9 *20729:Y 0.000159999 +10 *2052:72 0.000489632 +11 *2052:20 0.00101866 +12 *2052:18 0.000456912 +13 *2052:17 0.000555085 +14 *2052:13 0.000810222 +15 *2052:10 0.000976643 +16 *2052:7 0.00042039 +17 *20731:B2 *20731:B1 1.64789e-05 +18 *20731:B2 *21298:A 0 +19 *20732:B2 *4843:219 3.18327e-05 +20 *20732:B2 *4872:60 2.16355e-05 +21 *20733:B2 *20733:B1 5.8973e-05 +22 *20733:B2 *2460:15 0.000109747 +23 *20733:B2 *4881:187 0.000109879 +24 *20734:B2 *2486:24 4.87439e-05 +25 *20734:B2 *5855:619 7.68538e-06 +26 *20735:B2 *2319:9 0.00015324 +27 *20735:B2 *2797:19 1.78514e-05 +28 *20735:B2 *5857:64 6.50727e-05 +29 *20735:B2 *5893:18 0 +30 *20736:B2 *20631:A1 0 +31 *20736:B2 *20736:A1 3.01683e-06 +32 *20736:B2 *21254:A1 0.000304451 +33 *20736:B2 *24959:A 0.000113968 +34 *20736:B2 *3011:10 0.000633417 +35 *20737:B2 *2321:10 0.000555295 +36 *20737:B2 *5855:619 6.64393e-05 +37 *20737:B2 *5857:61 0.000558877 +38 *2052:7 *2321:13 1.58551e-05 +39 *2052:10 *2486:32 0.00045002 +40 *2052:13 *20730:A1 0.000107496 +41 *2052:13 *20730:B1 6.08467e-05 +42 *2052:13 *4816:9 4.3564e-06 +43 *2052:13 *5714:26 1.75155e-06 +44 *2052:13 *5714:35 9.80242e-07 +45 *2052:17 *4816:9 2.16355e-05 +46 *2052:18 *21254:A1 0.000353078 +47 *2052:18 *3011:10 0.000143032 +48 *2052:18 *4845:205 3.15552e-05 +49 *2052:18 *4872:60 5.56367e-05 +50 *2052:20 *20732:A1 0 +51 *2052:20 *20732:B1 2.1558e-06 +52 *2052:20 *21254:A1 0.00034354 +53 *2052:20 *2797:19 4.73267e-05 +54 *2052:20 *4845:205 0 +55 *2052:20 *4872:60 4.51619e-05 +56 *2052:72 *2486:24 1.41786e-05 +57 *2052:72 *2486:32 0.000141632 +58 *20730:A2 *2052:13 0 +59 *20731:A2 *20731:B2 1.09551e-05 +60 *20733:A2 *20733:B2 1.72144e-05 +61 *20734:A2 *20734:B2 5.04829e-06 +62 *20736:A2 *20736:B2 4.03125e-05 +63 *20737:A2 *20734:B2 8.53519e-05 +64 *20737:A2 *2052:72 5.92192e-05 +65 *24418:D *2052:13 2.20796e-05 +66 *1627:215 *20735:B2 4.47713e-05 +67 *1634:16 *2052:10 0.000279003 +68 *1634:16 *2052:72 0.000257456 +69 *1634:21 *20735:B2 0.000158371 +70 *1658:168 *20736:B2 0.000180751 +71 *1771:182 *2052:10 7.14746e-05 +72 *1912:20 *2052:18 0 +73 *2051:23 *20733:B2 0.000200794 +74 *2051:35 *2052:13 9.02355e-05 +75 *2051:89 *2052:7 4.87439e-05 +*RES +1 *20729:Y *2052:7 16.1364 +2 *2052:7 *2052:10 12.5608 +3 *2052:10 *2052:13 11.6949 +4 *2052:13 *2052:17 5.2234 +5 *2052:17 *2052:18 6.81502 +6 *2052:18 *2052:20 7.23027 +7 *2052:20 *20735:B2 25.1754 +8 *2052:20 *20733:B2 22.9939 +9 *2052:18 *20732:B2 14.5931 +10 *2052:17 *20736:B2 28.6497 +11 *2052:13 *20730:B2 9.24915 +12 *2052:10 *20731:B2 10.2378 +13 *2052:7 *2052:72 9.23876 +14 *2052:72 *20737:B2 31.4673 +15 *2052:72 *20734:B2 12.191 +*END + +*D_NET *2053 0.0154911 +*CONN +*I *20739:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20738:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20739:A 0.00066219 +2 *20738:X 0.000784486 +3 *2053:20 0.0016281 +4 *2053:16 0.00153352 +5 *2053:10 0.0013521 +6 *20739:A *20741:A1 6.08467e-05 +7 *20739:A *2417:14 6.08467e-05 +8 *20739:A *4897:10 9.95063e-05 +9 *20739:A *4897:58 0.000232324 +10 *20739:A *5867:549 2.31895e-05 +11 *20739:A *5867:555 0.000311364 +12 *2053:10 *20628:B1 0.000757827 +13 *2053:10 *22346:B1 0.000353672 +14 *2053:10 *2250:16 0.000140402 +15 *2053:10 *2287:22 5.51483e-06 +16 *2053:10 *2421:18 1.65872e-05 +17 *2053:10 *2487:20 0.000278511 +18 *2053:10 *2493:8 0.000121864 +19 *2053:10 *2493:36 0.000111722 +20 *2053:10 *2620:37 0.000283913 +21 *2053:10 *4844:151 0.000169639 +22 *2053:10 *5865:178 0.000226571 +23 *2053:10 *5880:31 0.000135192 +24 *2053:16 *2493:8 1.62321e-05 +25 *2053:16 *4831:8 0.000735192 +26 *2053:16 *4845:662 0.000152164 +27 *2053:20 *20669:A1 0 +28 *2053:20 *21433:A 0.000179953 +29 *2053:20 *21608:A 0 +30 *2053:20 *24389:RESET_B 0 +31 *2053:20 *24458:CLK 1.41761e-05 +32 *2053:20 *2493:8 0.00149107 +33 *2053:20 *4824:90 0 +34 *2053:20 *4824:97 0.000136647 +35 *2053:20 *4897:10 3.31882e-05 +36 *2053:20 *5891:26 1.41761e-05 +37 *20738:B *2053:10 0.000107496 +38 *23950:A0 *2053:20 5.41467e-05 +39 *24353:D *20739:A 0.000115508 +40 *24458:D *2053:20 9.60366e-05 +41 *489:8 *20739:A 0.0016628 +42 *489:8 *2053:20 0.000290126 +43 *491:8 *2053:16 0.000323054 +44 *491:8 *2053:20 5.84937e-05 +45 *1418:163 *2053:10 0.000652942 +46 *1725:205 *2053:16 7.81681e-06 +*RES +1 *20738:X *2053:10 49.8834 +2 *2053:10 *2053:16 22.1172 +3 *2053:16 *2053:20 35.9926 +4 *2053:20 *20739:A 44.1264 +*END + +*D_NET *2054 0.0149822 +*CONN +*I *20743:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20745:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20740:A I *D sky130_fd_sc_hd__inv_2 +*I *20742:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20746:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20747:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20748:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20744:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20741:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20739:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20743:A2 0 +2 *20745:A2 0.000272922 +3 *20740:A 0 +4 *20742:A2 0 +5 *20746:A2 1.83798e-05 +6 *20747:A2 0.000443597 +7 *20748:A2 6.57102e-05 +8 *20744:A2 0.000192774 +9 *20741:A2 5.4166e-05 +10 *20739:X 7.65268e-05 +11 *2054:89 0.000734606 +12 *2054:54 0.00059075 +13 *2054:46 0.000433609 +14 *2054:42 0.000628548 +15 *2054:32 0.000605569 +16 *2054:30 0.000883607 +17 *2054:19 0.00042732 +18 *2054:7 0.000290884 +19 *20741:A2 *20741:A1 0.000160617 +20 *20741:A2 *2417:14 0.000164829 +21 *20741:A2 *4843:161 1.70077e-05 +22 *20744:A2 *2589:10 0.000122935 +23 *20744:A2 *4822:14 0.000331841 +24 *20745:A2 *20745:A1 3.75217e-05 +25 *20745:A2 *20745:B2 0.000107496 +26 *20745:A2 *2055:7 2.81584e-05 +27 *20745:A2 *4841:252 1.41291e-05 +28 *20746:A2 *20746:A1 3.13805e-06 +29 *20747:A2 *20747:B1 0.000401917 +30 *20747:A2 *22031:A 2.59921e-05 +31 *20747:A2 *2589:10 0.000215737 +32 *20747:A2 *4822:14 0.000526291 +33 *20748:A2 *2996:24 0.000159032 +34 *2054:7 *20741:A1 0.000111722 +35 *2054:19 *4843:157 9.21854e-06 +36 *2054:19 *4843:161 8.68703e-05 +37 *2054:30 *20743:A1 2.65667e-05 +38 *2054:30 *20743:B2 0.000217271 +39 *2054:30 *2055:20 0.000208218 +40 *2054:30 *2813:16 0.000172515 +41 *2054:32 *2055:20 0.000411006 +42 *2054:42 *20742:A1 5.35113e-05 +43 *2054:42 *20746:A1 6.65367e-05 +44 *2054:42 *2055:20 0.000201759 +45 *2054:42 *2055:34 0.00156695 +46 *2054:42 *2881:10 0.000895445 +47 *2054:46 *20746:A1 3.07133e-05 +48 *2054:46 *20746:B2 4.74689e-05 +49 *2054:46 *2055:34 2.33638e-05 +50 *2054:46 *2055:38 0.000394116 +51 *2054:46 *2055:40 0.000154145 +52 *2054:46 *4825:12 0.000746376 +53 *2054:54 *2589:10 2.09356e-05 +54 *2054:54 *4822:14 0.000180913 +55 *2054:89 *20745:B2 0 +56 *2054:89 *2055:8 0 +57 *2054:89 *4826:28 0.000334475 +58 *24403:D *20744:A2 8.62625e-06 +59 *24403:D *2054:54 0.000125461 +60 *24404:D *20747:A2 2.41483e-05 +61 *24405:D *2054:46 2.35405e-05 +62 *24406:D *20745:A2 6.50586e-05 +63 *24408:D *2054:30 1.00981e-05 +64 *24409:D *2054:42 0.000217951 +65 *24410:D *20741:A2 1.07248e-05 +66 *24410:D *2054:19 8.26897e-05 +67 *489:8 *20741:A2 5.05252e-05 +68 *489:8 *2054:19 0.000317558 +69 *2005:10 *2054:42 5.00938e-05 +*RES +1 *20739:X *2054:7 15.0271 +2 *2054:7 *20741:A2 16.5832 +3 *2054:7 *2054:19 10.4845 +4 *2054:19 *2054:30 9.3077 +5 *2054:30 *2054:32 4.60562 +6 *2054:32 *2054:42 31.4381 +7 *2054:42 *2054:46 13.5424 +8 *2054:46 *20744:A2 20.1489 +9 *2054:46 *2054:54 3.493 +10 *2054:54 *20748:A2 15.5817 +11 *2054:54 *20747:A2 29.7886 +12 *2054:42 *20746:A2 9.82786 +13 *2054:32 *20742:A2 9.24915 +14 *2054:30 *2054:89 22.4591 +15 *2054:89 *20740:A 9.24915 +16 *2054:89 *20745:A2 16.1214 +17 *2054:19 *20743:A2 9.24915 +*END + +*D_NET *2055 0.015249 +*CONN +*I *20745:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20743:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20742:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20746:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20747:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20744:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20748:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20741:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20740:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20745:B2 7.89518e-05 +2 *20743:B2 6.98684e-05 +3 *20742:B2 2.09558e-05 +4 *20746:B2 1.59932e-05 +5 *20747:B2 0.000336252 +6 *20744:B2 0.000225197 +7 *20748:B2 0.000147922 +8 *20741:B2 0.000235885 +9 *20740:Y 0.000115353 +10 *2055:40 0.000443056 +11 *2055:38 0.000493781 +12 *2055:37 0.000103585 +13 *2055:35 7.10213e-05 +14 *2055:34 0.000598236 +15 *2055:20 0.000714968 +16 *2055:19 0.000236666 +17 *2055:8 0.000620459 +18 *2055:7 0.000578878 +19 *20741:B2 *2264:6 0.000340648 +20 *20741:B2 *2417:14 6.50586e-05 +21 *20741:B2 *4824:87 2.16355e-05 +22 *20741:B2 *4826:28 0 +23 *20743:B2 *2813:16 6.08467e-05 +24 *20744:B2 *19849:A 5.29437e-05 +25 *20744:B2 *20744:B1 6.92705e-05 +26 *20744:B2 *20748:A1 2.61934e-05 +27 *20744:B2 *21473:A 6.50586e-05 +28 *20744:B2 *2589:10 0.000348458 +29 *20744:B2 *3045:10 3.49364e-05 +30 *20745:B2 *2264:6 8.16827e-05 +31 *20747:B2 *20747:B1 0.000111722 +32 *20747:B2 *22031:A 0.000111722 +33 *20747:B2 *2996:24 0.000185293 +34 *20747:B2 *3036:10 0.000719617 +35 *20747:B2 *3036:14 1.93511e-06 +36 *20747:B2 *4822:14 0.000228928 +37 *20748:B2 *20748:B1 6.08467e-05 +38 *20748:B2 *24403:SET_B 0.000150008 +39 *20748:B2 *2566:15 0.000153715 +40 *20748:B2 *2996:24 6.08467e-05 +41 *2055:8 *2264:6 0.000697616 +42 *2055:8 *4826:28 0 +43 *2055:20 *20742:B1 5.56461e-05 +44 *2055:34 *20742:B1 0.000809168 +45 *2055:34 *4824:84 0.000258128 +46 *2055:34 *4825:12 0.000206549 +47 *2055:34 *4825:28 1.44611e-05 +48 *2055:34 *4825:180 1.27159e-05 +49 *2055:34 *4844:100 0.00036137 +50 *2055:38 *24403:SET_B 0.000299994 +51 *2055:40 *24403:SET_B 0.000294093 +52 *2055:40 *4825:12 1.41689e-05 +53 *20500:A *20748:B2 0.000160001 +54 *20745:A2 *20745:B2 0.000107496 +55 *20745:A2 *2055:7 2.81584e-05 +56 *24403:D *20748:B2 0.000258128 +57 *24403:D *2055:40 9.32983e-05 +58 *24405:D *20746:B2 2.32169e-05 +59 *24405:D *2055:38 5.78104e-06 +60 *24409:D *2055:20 3.58044e-05 +61 *490:31 *20743:B2 4.73598e-05 +62 *490:31 *2055:20 0.000183145 +63 *2054:30 *20743:B2 0.000217271 +64 *2054:30 *2055:20 0.000208218 +65 *2054:32 *2055:20 0.000411006 +66 *2054:42 *2055:20 0.000201759 +67 *2054:42 *2055:34 0.00156695 +68 *2054:46 *20746:B2 4.74689e-05 +69 *2054:46 *2055:34 2.33638e-05 +70 *2054:46 *2055:38 0.000394116 +71 *2054:46 *2055:40 0.000154145 +72 *2054:89 *20745:B2 0 +73 *2054:89 *2055:8 0 +*RES +1 *20740:Y *2055:7 15.5817 +2 *2055:7 *2055:8 12.6286 +3 *2055:8 *20741:B2 20.8723 +4 *2055:8 *2055:19 4.5 +5 *2055:19 *2055:20 9.04245 +6 *2055:20 *2055:34 41.0123 +7 *2055:34 *2055:35 81.1229 +8 *2055:35 *2055:37 9.24915 +9 *2055:37 *2055:38 4.60562 +10 *2055:38 *2055:40 3.49641 +11 *2055:40 *20748:B2 24.9921 +12 *2055:40 *20744:B2 25.7876 +13 *2055:38 *20747:B2 32.9862 +14 *2055:37 *20746:B2 9.97254 +15 *2055:20 *20742:B2 9.82786 +16 *2055:19 *20743:B2 12.2151 +17 *2055:7 *20745:B2 16.4439 +*END + +*D_NET *2056 0.00567401 +*CONN +*I *20750:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20749:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20750:A 0 +2 *20749:X 4.56066e-05 +3 *2056:8 0.00129681 +4 *2056:7 0.00134242 +5 *2056:7 *2531:21 0.000211464 +6 *2056:8 *21557:A 4.12833e-05 +7 *2056:8 *22051:A 0 +8 *2056:8 *2288:14 0.000224321 +9 *2056:8 *2889:10 0.000285163 +10 *2056:8 *3065:8 0.00105472 +11 *2056:8 *3860:30 0 +12 *2056:8 *5308:10 3.92275e-05 +13 *2056:8 *5318:8 0.000101148 +14 *20141:B *2056:8 4.61414e-05 +15 *20749:B *2056:8 0.000122098 +16 *657:212 *2056:8 0.000716461 +17 *1947:11 *2056:7 3.31745e-05 +18 *1947:22 *2056:7 0.000113968 +*RES +1 *20749:X *2056:7 16.1364 +2 *2056:7 *2056:8 47.5097 +3 *2056:8 *20750:A 13.7491 +*END + +*D_NET *2057 0.00876263 +*CONN +*I *20753:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20754:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20751:A I *D sky130_fd_sc_hd__inv_2 +*I *20752:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20756:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20755:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20750:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20753:A2 4.49716e-05 +2 *20754:A2 0.000230111 +3 *20751:A 4.60383e-05 +4 *20752:A2 4.31605e-05 +5 *20756:A2 0 +6 *20755:A2 3.80673e-05 +7 *20750:X 0.000173465 +8 *2057:40 0.000368714 +9 *2057:31 0.000509622 +10 *2057:23 0.000841552 +11 *2057:10 0.000774828 +12 *2057:5 0.000487542 +13 *20751:A *2058:7 0.000217951 +14 *20751:A *4869:113 3.51034e-05 +15 *20751:A *4869:115 0.000164843 +16 *20752:A2 *20752:A1 0.000158357 +17 *20752:A2 *4843:63 5.97411e-05 +18 *20753:A2 *2592:6 0.000122083 +19 *20754:A2 *20754:A1 0.000353686 +20 *20754:A2 *2592:6 0.000341253 +21 *20754:A2 *4842:36 0.000307037 +22 *20754:A2 *4884:15 0.00010556 +23 *20754:A2 *4884:28 3.88655e-05 +24 *20754:A2 *5868:369 1.41976e-05 +25 *20755:A2 *20753:B2 3.82228e-05 +26 *20755:A2 *20755:A1 0.000107496 +27 *20755:A2 *20755:B2 5.04829e-06 +28 *2057:10 *2604:6 0.000122083 +29 *2057:10 *4841:57 6.88287e-05 +30 *2057:23 *2288:14 0.000109084 +31 *2057:23 *2592:6 0.00022327 +32 *2057:23 *2604:6 0.000168053 +33 *2057:23 *2954:12 0.000427824 +34 *2057:23 *4841:50 8.18934e-05 +35 *2057:23 *4841:57 5.22654e-06 +36 *2057:31 *20756:A1 0.000213725 +37 *2057:31 *24587:CLK 0.000160592 +38 *2057:31 *2592:6 0.000765725 +39 *2057:31 *4841:44 0 +40 *2057:31 *5032:8 5.04879e-05 +41 *2057:31 *5921:70 0.000216535 +42 *2057:40 *24587:CLK 5.8261e-05 +43 *2057:40 *2592:6 0.00017632 +44 *2057:40 *4884:15 1.69932e-05 +45 *24399:D *2057:23 0.000148129 +46 *657:212 *20753:A2 0.000122083 +*RES +1 *20750:X *2057:5 11.6364 +2 *2057:5 *2057:10 9.68906 +3 *2057:10 *20755:A2 15.0271 +4 *2057:10 *2057:23 22.4291 +5 *2057:23 *20756:A2 9.24915 +6 *2057:23 *2057:31 20.3463 +7 *2057:31 *20752:A2 15.5817 +8 *2057:31 *2057:40 3.493 +9 *2057:40 *20751:A 16.7151 +10 *2057:40 *20754:A2 24.1999 +11 *2057:5 *20753:A2 20.4964 +*END + +*D_NET *2058 0.00792865 +*CONN +*I *20754:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20752:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20756:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20753:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20755:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20751:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20754:B2 0.000186069 +2 *20752:B2 0 +3 *20756:B2 9.36721e-06 +4 *20753:B2 0.000133831 +5 *20755:B2 0.000211836 +6 *20751:Y 0.000352793 +7 *2058:18 0.000707337 +8 *2058:14 0.000723847 +9 *2058:11 0.000456945 +10 *2058:7 0.000642998 +11 *20753:B2 *20753:A1 1.03434e-05 +12 *20753:B2 *20755:A1 1.19856e-05 +13 *20754:B2 *24402:RESET_B 4.01437e-05 +14 *20754:B2 *3860:22 0.00034069 +15 *20754:B2 *4842:36 0 +16 *20754:B2 *5868:369 6.08467e-05 +17 *20755:B2 *20755:A1 7.92757e-06 +18 *20755:B2 *20755:B1 4.80635e-06 +19 *20755:B2 *4839:194 9.80242e-07 +20 *20755:B2 *4839:199 1.82679e-05 +21 *20756:B2 *4838:52 6.50586e-05 +22 *20756:B2 *5921:70 6.50586e-05 +23 *2058:7 *4869:113 1.00846e-05 +24 *2058:7 *4869:115 0.000188588 +25 *2058:11 *3860:22 0.000219701 +26 *2058:11 *4842:42 0.000160617 +27 *2058:11 *4843:63 0.000105811 +28 *2058:14 *2604:6 0.000597208 +29 *2058:14 *2954:12 6.5713e-05 +30 *2058:18 *2231:6 0.000405614 +31 *2058:18 *2604:6 0.00100923 +32 *20751:A *2058:7 0.000217951 +33 *20755:A2 *20753:B2 3.82228e-05 +34 *20755:A2 *20755:B2 5.04829e-06 +35 *1619:26 *20754:B2 1.35423e-05 +36 *1619:28 *20754:B2 4.76266e-05 +37 *1619:28 *2058:11 0.000212506 +38 *1619:28 *2058:14 0.000309326 +39 *1619:28 *2058:18 0.000270732 +*RES +1 *20751:Y *2058:7 22.237 +2 *2058:7 *2058:11 10.2409 +3 *2058:11 *2058:14 17.9591 +4 *2058:14 *2058:18 22.5268 +5 *2058:18 *20755:B2 12.625 +6 *2058:18 *20753:B2 12.0704 +7 *2058:14 *20756:B2 14.4725 +8 *2058:11 *20752:B2 9.24915 +9 *2058:7 *20754:B2 20.4571 +*END + +*D_NET *2059 0.00326801 +*CONN +*I *20769:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *20766:B I *D sky130_fd_sc_hd__or4_1 +*I *20770:A1 I *D sky130_fd_sc_hd__o311a_1 +*I *20771:A1 I *D sky130_fd_sc_hd__o31a_1 +*I *20772:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *20757:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20769:A1 0.000207638 +2 *20766:B 0 +3 *20770:A1 1.53268e-05 +4 *20771:A1 1.73966e-05 +5 *20772:A1_N 0.000103214 +6 *20757:Y 3.10903e-05 +7 *2059:39 0.0002511 +8 *2059:13 0.000196198 +9 *2059:11 0.000322996 +10 *2059:8 0.000306634 +11 *20769:A1 *20770:A3 0.000124917 +12 *20769:A1 *20770:C1 0.000111708 +13 *20769:A1 *20869:B 7.65861e-05 +14 *20769:A1 *2067:9 0.000129131 +15 *20770:A1 *20770:B1 2.35297e-06 +16 *20770:A1 *20771:B1 0 +17 *20771:A1 *20771:B1 1.24907e-05 +18 *20772:A1_N *20771:A2 6.08467e-05 +19 *20772:A1_N *20771:B1 3.53803e-05 +20 *20772:A1_N *2065:13 1.57187e-05 +21 *20772:A1_N *4866:65 0.000176222 +22 *20772:A1_N *4877:159 0.000183432 +23 *2059:8 *5861:498 7.50872e-05 +24 *2059:8 *6023:21 7.50872e-05 +25 *2059:11 *20770:A2 7.92757e-06 +26 *2059:11 *20770:A3 0.000154145 +27 *2059:11 *20770:B1 2.77625e-06 +28 *2059:13 *20770:B1 7.71476e-05 +29 *2059:13 *20771:A2 6.50727e-05 +30 *2059:13 *20771:B1 6.22868e-05 +31 *2059:13 *20772:B2 1.67988e-05 +32 *2059:13 *2060:34 0.000211478 +33 *2059:39 *20770:A3 4.66492e-05 +34 *24396:D *20772:A1_N 1.57187e-05 +35 *74:12 *20769:A1 7.74511e-05 +*RES +1 *20757:Y *2059:8 19.6659 +2 *2059:8 *2059:11 3.93045 +3 *2059:11 *2059:13 4.05102 +4 *2059:13 *20772:A1_N 22.6524 +5 *2059:13 *20771:A1 9.82786 +6 *2059:11 *20770:A1 9.82786 +7 *2059:8 *2059:39 0.723396 +8 *2059:39 *20766:B 9.24915 +9 *2059:39 *20769:A1 23.3311 +*END + +*D_NET *2060 0.00501065 +*CONN +*I *20771:A3 I *D sky130_fd_sc_hd__o31a_1 +*I *20773:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *20764:A I *D sky130_fd_sc_hd__or3_1 +*I *20776:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *20758:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20771:A3 0 +2 *20773:A1 0.00024737 +3 *20764:A 4.73128e-05 +4 *20776:A1 0.000139044 +5 *20758:Y 0 +6 *2060:34 0.000452122 +7 *2060:18 0.000552149 +8 *2060:4 0.000439128 +9 *20764:A *2068:13 0.000211464 +10 *20764:A *2130:5 8.38894e-05 +11 *20773:A1 *20772:B1 0.000294093 +12 *20773:A1 *20871:A1 1.03434e-05 +13 *20773:A1 *2064:5 6.50586e-05 +14 *20773:A1 *2064:11 6.08467e-05 +15 *20773:A1 *2065:13 0.000247443 +16 *20773:A1 *2689:14 4.15408e-05 +17 *20773:A1 *2689:18 8.19494e-05 +18 *20773:A1 *4866:65 0.000123848 +19 *20776:A1 *20776:B1 0 +20 *20776:A1 *20776:C1 0 +21 *20776:A1 *6022:11 6.50727e-05 +22 *20776:A1 *6022:15 3.30146e-05 +23 *2060:18 *2882:8 9.86728e-05 +24 *2060:18 *3017:8 6.63327e-05 +25 *2060:18 *5695:19 0.000378009 +26 *2060:18 *6022:11 2.41483e-05 +27 *2060:34 *20772:B2 0.000111722 +28 *2060:34 *3017:8 6.77949e-05 +29 *2060:34 *5695:19 0.00018323 +30 *24397:D *2060:18 0.000571118 +31 *24397:D *2060:34 0.000102455 +32 *2059:13 *2060:34 0.000211478 +*RES +1 *20758:Y *2060:4 9.24915 +2 *2060:4 *20776:A1 12.7938 +3 *2060:4 *2060:18 17.8519 +4 *2060:18 *20764:A 16.1364 +5 *2060:18 *2060:34 11.6992 +6 *2060:34 *20773:A1 27.4097 +7 *2060:34 *20771:A3 9.24915 +*END + +*D_NET *2061 0.00791801 +*CONN +*I *20871:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *20762:A1 I *D sky130_fd_sc_hd__a32o_2 +*I *22114:A I *D sky130_fd_sc_hd__or3_1 +*I *21013:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *20980:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *20759:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20871:A1 0.000226409 +2 *20762:A1 0.000403065 +3 *22114:A 0 +4 *21013:A2 0.000134405 +5 *20980:A2 0.000223133 +6 *20759:Y 0 +7 *2061:37 0.000480382 +8 *2061:36 7.73169e-05 +9 *2061:13 0.000814913 +10 *2061:9 0.000552291 +11 *2061:5 0.000130037 +12 *2061:4 0.00026153 +13 *20762:A1 *20762:B2 7.13972e-05 +14 *20762:A1 *6027:19 2.99291e-05 +15 *20762:A1 *6027:21 1.33419e-05 +16 *20762:A1 *6027:29 3.48223e-05 +17 *20762:A1 *6027:31 2.4442e-05 +18 *20871:A1 *20871:A2 0.000258128 +19 *20871:A1 *2064:5 0.000546755 +20 *20871:A1 *6027:19 4.35088e-05 +21 *20871:A1 *6027:21 1.09236e-05 +22 *20871:A1 *6027:29 0.000268978 +23 *20980:A2 *20980:B1 0.000329847 +24 *20980:A2 *2381:22 0.000309642 +25 *20980:A2 *2446:35 0.000451209 +26 *20980:A2 *2667:32 0.000320669 +27 *21013:A2 *2381:22 8.06516e-05 +28 *21013:A2 *2667:32 0.000146645 +29 *21013:A2 *2900:59 4.58003e-05 +30 *2061:5 *22114:B 5.08751e-05 +31 *2061:5 *6027:29 6.50586e-05 +32 *2061:5 *6027:31 1.70925e-05 +33 *2061:9 *22114:B 0.000213739 +34 *2061:9 *23991:S 5.51483e-06 +35 *2061:9 *6027:29 0 +36 *2061:9 *6027:31 8.4404e-05 +37 *2061:13 *23991:S 0.00027131 +38 *20773:A1 *20871:A1 1.03434e-05 +39 *21013:A1 *21013:A2 0.000113968 +40 *439:11 *20980:A2 2.05783e-05 +41 *476:73 *2061:13 0.00049572 +42 *501:32 *2061:13 7.19676e-05 +43 *509:11 *2061:13 0.000207266 +*RES +1 *20759:Y *2061:4 9.24915 +2 *2061:4 *2061:5 1.278 +3 *2061:5 *2061:9 3.52053 +4 *2061:9 *2061:13 20.1977 +5 *2061:13 *20980:A2 24.8939 +6 *2061:13 *21013:A2 18.1049 +7 *2061:9 *22114:A 9.24915 +8 *2061:5 *2061:36 9.24915 +9 *2061:36 *2061:37 104.301 +10 *2061:37 *20762:A1 24.2131 +11 *2061:4 *20871:A1 18.3157 +*END + +*D_NET *2062 0.0085898 +*CONN +*I *20871:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *20762:A2 I *D sky130_fd_sc_hd__a32o_2 +*I *22109:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 +*I *20925:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20926:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *20760:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20871:A2 6.49943e-05 +2 *20762:A2 0 +3 *22109:A1_N 0.000253584 +4 *20925:B1 8.21586e-05 +5 *20926:A3 0 +6 *20760:Y 0 +7 *2062:42 0.000787434 +8 *2062:15 0.000431888 +9 *2062:8 0.00113408 +10 *2062:4 0.00176038 +11 *20871:A2 *20871:A3 0.000107496 +12 *20871:A2 *6027:19 4.97617e-05 +13 *20925:B1 *20925:C1 0.000156955 +14 *20925:B1 *22108:A 3.53886e-05 +15 *22109:A1_N *20808:A 0.000163428 +16 *22109:A1_N *20926:A1 7.77309e-06 +17 *22109:A1_N *22109:A2_N 1.65872e-05 +18 *22109:A1_N *2084:16 0.000188093 +19 *22109:A1_N *5992:18 0.000178255 +20 *2062:8 *20926:A1 5.22654e-06 +21 *2062:8 *2278:24 0 +22 *2062:8 *6028:43 0.000996765 +23 *2062:8 *6029:79 2.65831e-05 +24 *2062:8 *6029:90 4.34402e-05 +25 *2062:15 *20926:A1 1.25565e-05 +26 *2062:15 *20926:A2 0.000146652 +27 *2062:15 *5992:18 4.19841e-05 +28 *2062:42 *20762:A3 0.000198492 +29 *2062:42 *20870:B 0.000143032 +30 *2062:42 *2103:46 0.000257093 +31 *2062:42 *2103:62 9.2346e-06 +32 *2062:42 *5859:294 0 +33 *2062:42 *5871:839 0 +34 *2062:42 *6029:79 0.000115615 +35 *20871:A1 *20871:A2 0.000258128 +36 *531:51 *20925:B1 0.00025175 +37 *1520:42 *22109:A1_N 0.000133604 +38 *1520:42 *2062:8 0.000235539 +39 *1520:42 *2062:15 0.000208538 +40 *1573:71 *2062:42 8.73087e-05 +*RES +1 *20760:Y *2062:4 9.24915 +2 *2062:4 *2062:8 23.412 +3 *2062:8 *20926:A3 13.7491 +4 *2062:8 *2062:15 3.90826 +5 *2062:15 *20925:B1 17.2697 +6 *2062:15 *22109:A1_N 21.596 +7 *2062:4 *2062:42 27.8924 +8 *2062:42 *20762:A2 9.24915 +9 *2062:42 *20871:A2 12.191 +*END + +*D_NET *2063 0.029751 +*CONN +*I *20774:A3 I *D sky130_fd_sc_hd__o311a_1 +*I *20762:A3 I *D sky130_fd_sc_hd__a32o_2 +*I *20822:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *20846:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *20841:B I *D sky130_fd_sc_hd__nor3_1 +*I *20853:A1 I *D sky130_fd_sc_hd__o311a_1 +*I *20851:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *20817:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *20858:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20829:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *20813:A I *D sky130_fd_sc_hd__nor3_1 +*I *20818:A3 I *D sky130_fd_sc_hd__o31a_1 +*I *20761:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *20774:A3 9.03975e-05 +2 *20762:A3 9.93121e-05 +3 *20822:A1 9.56002e-05 +4 *20846:B1 0.000717109 +5 *20841:B 5.29899e-05 +6 *20853:A1 0.000688338 +7 *20851:B1 0 +8 *20817:B1 0.000103726 +9 *20858:B2 0.00033541 +10 *20829:A1 0 +11 *20813:A 5.42586e-05 +12 *20818:A3 0 +13 *20761:Y 0 +14 *2063:154 0.000527911 +15 *2063:112 0.00104582 +16 *2063:86 0.000974288 +17 *2063:82 0.000810223 +18 *2063:68 0.000941305 +19 *2063:46 0.000456279 +20 *2063:43 0.000768995 +21 *2063:34 0.000402745 +22 *2063:31 0.00046464 +23 *2063:23 0.000488644 +24 *2063:17 0.00306657 +25 *2063:4 0.00299578 +26 *20762:A3 *2103:46 0.000187767 +27 *20762:A3 *2103:62 1.07248e-05 +28 *20774:A3 *2448:171 0.00029284 +29 *20813:A *5946:36 0.000107496 +30 *20817:B1 *20817:A3 0.000247443 +31 *20817:B1 *4845:349 0.000387391 +32 *20817:B1 *4876:26 4.47134e-05 +33 *20822:A1 *20818:B1 4.88955e-05 +34 *20822:A1 *20819:B1 0.000196565 +35 *20822:A1 *20822:A2 7.44979e-06 +36 *20822:A1 *20822:B1 4.06316e-07 +37 *20822:A1 *20823:B1 6.92705e-05 +38 *20822:A1 *21364:A1 1.65872e-05 +39 *20822:A1 *2422:27 0.000143271 +40 *20841:B *20842:B 2.53145e-06 +41 *20841:B *20851:A2 1.41181e-05 +42 *20846:B1 *20841:A 1.03403e-05 +43 *20846:B1 *20842:A 6.50586e-05 +44 *20846:B1 *20849:B1_N 0.000192007 +45 *20846:B1 *20851:A2 1.25417e-05 +46 *20846:B1 *22111:B 0.000298304 +47 *20846:B1 *2104:5 1.00846e-05 +48 *20846:B1 *2105:9 3.12259e-05 +49 *20846:B1 *2113:21 0 +50 *20846:B1 *5954:24 2.53145e-06 +51 *20853:A1 *20853:A3 0.000116764 +52 *20853:A1 *20853:C1 1.06706e-05 +53 *20853:A1 *2085:50 0.00029699 +54 *20853:A1 *2088:84 0.000254613 +55 *20853:A1 *2088:88 1.41689e-05 +56 *20853:A1 *2108:33 6.50727e-05 +57 *20853:A1 *5683:19 0.000212189 +58 *20853:A1 *5949:41 0 +59 *20853:A1 *5949:43 5.5807e-05 +60 *20858:B2 *20829:A3 0.000123059 +61 *20858:B2 *20829:B2 0.000253275 +62 *20858:B2 *2102:19 0 +63 *20858:B2 *5859:288 9.82896e-06 +64 *2063:17 *23971:A1 0.000155021 +65 *2063:17 *2448:171 0.000111708 +66 *2063:17 *2759:12 7.13655e-06 +67 *2063:17 *4876:38 0 +68 *2063:17 *4877:8 0 +69 *2063:17 *5855:343 1.5714e-05 +70 *2063:23 *20819:A1 0.000181542 +71 *2063:23 *20819:A2 2.68182e-05 +72 *2063:23 *21364:A1 7.92757e-06 +73 *2063:23 *2528:28 4.57905e-05 +74 *2063:23 *4829:57 0 +75 *2063:23 *5864:136 0 +76 *2063:31 *20818:B1 0.000161172 +77 *2063:31 *21364:A1 7.77744e-05 +78 *2063:34 *2925:12 0.000545483 +79 *2063:34 *4876:38 5.18603e-05 +80 *2063:34 *5947:20 0.000326847 +81 *2063:43 *2925:12 0.000144614 +82 *2063:43 *4876:38 4.15143e-05 +83 *2063:46 *20829:A3 0.000256846 +84 *2063:46 *5859:277 4.33819e-05 +85 *2063:68 *20827:A 0.000227227 +86 *2063:68 *20829:A3 0.000127135 +87 *2063:68 *2468:127 0.000115588 +88 *2063:68 *2925:12 0.00101781 +89 *2063:68 *4876:29 7.90492e-05 +90 *2063:68 *4876:38 4.59164e-06 +91 *2063:68 *5857:263 2.57465e-06 +92 *2063:82 *24365:RESET_B 8.83396e-05 +93 *2063:82 *4830:79 5.11321e-05 +94 *2063:82 *4845:349 9.56728e-05 +95 *2063:82 *4876:26 0.000171273 +96 *2063:82 *4876:119 0.000139947 +97 *2063:82 *5949:41 3.88655e-06 +98 *2063:82 *5952:14 2.60879e-06 +99 *2063:86 *20851:B2 0.000207266 +100 *2063:86 *2088:84 3.80722e-05 +101 *2063:112 *20851:A2 1.09068e-05 +102 *2063:112 *20851:B2 0.000304791 +103 *2063:154 *2448:171 0.000785192 +104 *21169:B *2063:82 5.41377e-05 +105 *21169:C *2063:82 0.000328401 +106 *24362:D *20858:B2 0.000113968 +107 *24365:D *20853:A1 1.21831e-05 +108 *24365:D *2063:86 3.7482e-05 +109 *24395:D *20774:A3 0.000162583 +110 *460:67 *2063:17 0.000184841 +111 *504:16 *20846:B1 0.000151481 +112 *504:24 *2063:17 0 +113 *508:28 *2063:17 0.0033106 +114 *532:12 *2063:17 1.75625e-05 +115 *535:57 *2063:17 0.000206581 +116 *1428:85 *2063:23 2.78736e-05 +117 *1428:93 *2063:17 0.000112787 +118 *1636:52 *20846:B1 7.77309e-06 +119 *1695:26 *2063:17 0.000105242 +120 *1742:67 *2063:82 1.25165e-05 +121 *1884:262 *2063:23 2.01503e-05 +122 *1884:271 *2063:23 1.97664e-05 +123 *2062:42 *20762:A3 0.000198492 +*RES +1 *20761:Y *2063:4 9.24915 +2 *2063:4 *2063:17 46.7396 +3 *2063:17 *2063:23 18.6147 +4 *2063:23 *20818:A3 9.24915 +5 *2063:23 *2063:31 2.96592 +6 *2063:31 *2063:34 15.0523 +7 *2063:34 *20813:A 15.0271 +8 *2063:34 *2063:43 2.6625 +9 *2063:43 *2063:46 8.55102 +10 *2063:46 *20829:A1 9.24915 +11 *2063:46 *20858:B2 19.2561 +12 *2063:43 *2063:68 24.6031 +13 *2063:68 *20817:B1 13.8548 +14 *2063:68 *2063:82 18.6444 +15 *2063:82 *2063:86 9.82841 +16 *2063:86 *20851:B1 13.7491 +17 *2063:86 *20853:A1 41.4805 +18 *2063:82 *2063:112 4.60562 +19 *2063:112 *20841:B 11.13 +20 *2063:112 *20846:B1 32.0814 +21 *2063:31 *20822:A1 14.3129 +22 *2063:4 *2063:154 9.04245 +23 *2063:154 *20762:A3 22.1574 +24 *2063:154 *20774:A3 12.7456 +*END + +*D_NET *2064 0.00687507 +*CONN +*I *20772:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *20768:A I *D sky130_fd_sc_hd__or2_1 +*I *20764:B I *D sky130_fd_sc_hd__or3_1 +*I *20776:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20775:A I *D sky130_fd_sc_hd__inv_2 +*I *20773:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *20762:X O *D sky130_fd_sc_hd__a32o_2 +*CAP +1 *20772:B1 0.000308348 +2 *20768:A 0 +3 *20764:B 0.000208286 +4 *20776:A2 0.000205789 +5 *20775:A 6.49002e-05 +6 *20773:A2 0 +7 *20762:X 0.000529619 +8 *2064:18 0.000500737 +9 *2064:16 0.000548298 +10 *2064:14 0.000183469 +11 *2064:11 0.000418216 +12 *2064:5 0.000565983 +13 *20764:B *2446:53 0.000307037 +14 *20772:B1 *20772:B2 2.65667e-05 +15 *20772:B1 *2065:13 0.00013465 +16 *20775:A *20904:A 5.92342e-05 +17 *20775:A *20905:A1 4.81015e-05 +18 *20775:A *5857:215 2.36813e-05 +19 *20776:A2 *20776:C1 0.000241054 +20 *20776:A2 *20905:A1 7.02172e-06 +21 *20776:A2 *2689:14 1.1246e-05 +22 *20776:A2 *4877:159 1.87469e-05 +23 *2064:14 *20764:C 7.72683e-05 +24 *2064:14 *20767:A2 4.42742e-06 +25 *2064:14 *2065:16 9.03933e-05 +26 *2064:14 *6027:19 0 +27 *2064:16 *20764:C 0.000141579 +28 *2064:16 *20767:A2 1.83828e-05 +29 *2064:16 *20904:A 0.000112333 +30 *2064:16 *5857:215 2.47808e-05 +31 *2064:18 *20904:A 0.000643672 +32 *2064:18 *5857:215 0.00028696 +33 *20773:A1 *20772:B1 0.000294093 +34 *20773:A1 *2064:5 6.50586e-05 +35 *20773:A1 *2064:11 6.08467e-05 +36 *20871:A1 *2064:5 0.000546755 +37 *476:82 *2064:14 9.75356e-05 +*RES +1 *20762:X *2064:5 17.737 +2 *2064:5 *20773:A2 9.24915 +3 *2064:5 *2064:11 0.723396 +4 *2064:11 *2064:14 7.57775 +5 *2064:14 *2064:16 3.90826 +6 *2064:16 *2064:18 11.3828 +7 *2064:18 *20775:A 16.0286 +8 *2064:18 *20776:A2 26.6924 +9 *2064:16 *20764:B 17.2456 +10 *2064:14 *20768:A 13.7491 +11 *2064:11 *20772:B1 15.5668 +*END + +*D_NET *2065 0.00385234 +*CONN +*I *20868:C I *D sky130_fd_sc_hd__or4_2 +*I *20773:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *20771:A2 I *D sky130_fd_sc_hd__o31a_1 +*I *20764:C I *D sky130_fd_sc_hd__or3_1 +*I *20763:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20868:C 0.000199162 +2 *20773:B1 0 +3 *20771:A2 9.28728e-05 +4 *20764:C 0.000156658 +5 *20763:Y 0 +6 *2065:16 0.000308754 +7 *2065:13 0.000346514 +8 *2065:4 0.000486452 +9 *20764:C *2068:13 0.000258128 +10 *20764:C *2130:5 9.5562e-05 +11 *20764:C *5857:215 0.000202543 +12 *20771:A2 *20771:B1 5.24081e-05 +13 *20771:A2 *20772:B2 0.000154145 +14 *20868:C *20757:A 6.02512e-05 +15 *20868:C *6023:21 0.000207266 +16 *2065:13 *20757:A 0 +17 *2065:13 *6023:21 6.08467e-05 +18 *2065:16 *5857:215 0.000139435 +19 *20772:A1_N *20771:A2 6.08467e-05 +20 *20772:A1_N *2065:13 1.57187e-05 +21 *20772:B1 *2065:13 0.00013465 +22 *20773:A1 *2065:13 0.000247443 +23 *24396:D *2065:13 0.00017934 +24 *476:82 *2065:16 1.90335e-05 +25 *2059:13 *20771:A2 6.50727e-05 +26 *2064:14 *20764:C 7.72683e-05 +27 *2064:14 *2065:16 9.03933e-05 +28 *2064:16 *20764:C 0.000141579 +*RES +1 *20763:Y *2065:4 9.24915 +2 *2065:4 *2065:13 8.87366 +3 *2065:13 *2065:16 7.1625 +4 *2065:16 *20764:C 20.5992 +5 *2065:16 *20771:A2 16.691 +6 *2065:13 *20773:B1 9.24915 +7 *2065:4 *20868:C 14.4335 +*END + +*D_NET *2066 0.00624552 +*CONN +*I *20772:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *20774:B1 I *D sky130_fd_sc_hd__o311a_1 +*I *20770:A2 I *D sky130_fd_sc_hd__o311a_1 +*I *20769:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *20764:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *20772:A2_N 0.000124981 +2 *20774:B1 0.000113102 +3 *20770:A2 2.26212e-05 +4 *20769:A2 0.000581011 +5 *20764:X 0.000119205 +6 *2066:33 0.000480463 +7 *2066:21 0.000297448 +8 *2066:8 0.000732663 +9 *20769:A2 *20766:D 6.50727e-05 +10 *20769:A2 *20769:B1 4.66492e-05 +11 *20769:A2 *20770:A3 6.7671e-06 +12 *20769:A2 *20770:B1 6.08467e-05 +13 *20769:A2 *20770:C1 0.000491924 +14 *20769:A2 *2067:9 6.08467e-05 +15 *20770:A2 *20770:A3 5.04829e-06 +16 *20770:A2 *20770:B1 6.08467e-05 +17 *20772:A2_N *2689:18 8.98169e-05 +18 *20772:A2_N *4866:65 8.98169e-05 +19 *20774:B1 *20774:C1 0.000113968 +20 *20774:B1 *2129:9 0.000457655 +21 *20774:B1 *2448:171 0.00013618 +22 *20774:B1 *6029:20 1.47978e-05 +23 *2066:8 *2068:13 0.000200794 +24 *2066:8 *2446:53 0.00020502 +25 *2066:8 *2882:8 0.000158077 +26 *2066:8 *5695:19 0.00016169 +27 *2066:21 *2882:8 4.42033e-05 +28 *2066:21 *5695:19 3.7061e-05 +29 *2066:33 *20763:A 5.41227e-05 +30 *2066:33 *2129:9 0.000386138 +31 *2066:33 *2448:171 0.000152901 +32 *2066:33 *2882:8 0.00034577 +33 *2066:33 *5695:19 0.000111729 +34 *2066:33 *6023:8 9.24241e-05 +35 *24396:D *20772:A2_N 0.000115934 +36 *2059:11 *20770:A2 7.92757e-06 +*RES +1 *20764:X *2066:8 19.2141 +2 *2066:8 *20769:A2 24.5036 +3 *2066:8 *2066:21 1.00149 +4 *2066:21 *20770:A2 14.4725 +5 *2066:21 *2066:33 15.5054 +6 *2066:33 *20774:B1 14.9881 +7 *2066:33 *20772:A2_N 21.3591 +*END + +*D_NET *2067 0.00173503 +*CONN +*I *20769:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *20766:A I *D sky130_fd_sc_hd__or4_1 +*I *20770:A3 I *D sky130_fd_sc_hd__o311a_1 +*I *20765:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20769:B1 2.68991e-05 +2 *20766:A 1.66493e-05 +3 *20770:A3 0.00012853 +4 *20765:Y 7.37112e-05 +5 *2067:9 0.000237894 +6 *2067:8 0.000193325 +7 *20766:A *20770:C1 9.95922e-06 +8 *20770:A3 *20766:C 6.50727e-05 +9 *20770:A3 *20770:B1 7.92757e-06 +10 *20770:A3 *20770:C1 5.56449e-05 +11 *2067:8 *20868:A 0.000131423 +12 *2067:8 *5874:75 0.000120343 +13 *2067:8 *5886:8 4.31485e-06 +14 *2067:9 *20770:C1 2.83378e-05 +15 *2067:9 *2068:13 6.08467e-05 +16 *20769:A1 *20770:A3 0.000124917 +17 *20769:A1 *2067:9 0.000129131 +18 *20769:A2 *20769:B1 4.66492e-05 +19 *20769:A2 *20770:A3 6.7671e-06 +20 *20769:A2 *2067:9 6.08467e-05 +21 *20770:A2 *20770:A3 5.04829e-06 +22 *2059:11 *20770:A3 0.000154145 +23 *2059:39 *20770:A3 4.66492e-05 +*RES +1 *20765:Y *2067:8 20.9116 +2 *2067:8 *2067:9 2.94181 +3 *2067:9 *20770:A3 14.0477 +4 *2067:9 *20766:A 9.82786 +5 *2067:8 *20769:B1 9.97254 +*END + +*D_NET *2068 0.00755042 +*CONN +*I *20767:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *22114:C I *D sky130_fd_sc_hd__or3_1 +*I *22110:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *20766:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *20767:A2 0.000124347 +2 *22114:C 0.00025082 +3 *22110:B2 1.52566e-05 +4 *20766:X 0.000464395 +5 *2068:17 0.000792459 +6 *2068:13 0.00111512 +7 *20767:A2 *20904:A 3.45222e-05 +8 *20767:A2 *6027:8 0.000133598 +9 *20767:A2 *6027:19 1.25173e-05 +10 *22110:B2 *22110:C1 1.63995e-05 +11 *22114:C *22110:C1 8.03196e-05 +12 *22114:C *2759:12 0.000196919 +13 *22114:C *5855:343 0.000540128 +14 *2068:13 *20868:A 0.000186253 +15 *2068:13 *20869:A 0.000111708 +16 *2068:13 *20869:B 0.000215829 +17 *2068:13 *20869:C 0.000118166 +18 *2068:13 *20870:C 2.44829e-05 +19 *2068:13 *2130:5 0.000100364 +20 *2068:13 *2446:53 0.000979235 +21 *2068:13 *6025:8 2.95757e-05 +22 *2068:17 *20904:A 7.2401e-05 +23 *2068:17 *22110:A1 6.50586e-05 +24 *2068:17 *22110:A2 0.00014472 +25 *2068:17 *22110:C1 0.000102842 +26 *2068:17 *5793:34 0.000247443 +27 *2068:17 *6027:8 0.00017419 +28 *2068:17 *6027:291 6.50727e-05 +29 *20764:A *2068:13 0.000211464 +30 *20764:C *2068:13 0.000258128 +31 *476:81 *22114:C 9.75356e-05 +32 *537:33 *22114:C 7.75807e-06 +33 *537:33 *2068:17 0.000276938 +34 *2064:14 *20767:A2 4.42742e-06 +35 *2064:16 *20767:A2 1.83828e-05 +36 *2066:8 *2068:13 0.000200794 +37 *2067:9 *2068:13 6.08467e-05 +*RES +1 *20766:X *2068:13 42.9391 +2 *2068:13 *2068:17 21.051 +3 *2068:17 *22110:B2 9.82786 +4 *2068:17 *22114:C 29.2791 +5 *2068:13 *20767:A2 17.2421 +*END + +*D_NET *2069 0.00136292 +*CONN +*I *20771:B1 I *D sky130_fd_sc_hd__o31a_1 +*I *20768:B I *D sky130_fd_sc_hd__or2_1 +*I *20767:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *20771:B1 0.00021859 +2 *20768:B 0 +3 *20767:X 0.000145993 +4 *2069:5 0.000364582 +5 *20771:B1 *20770:B1 0.000121151 +6 *20771:B1 *2070:5 0.000147529 +7 *2069:5 *20767:B1 0.000137293 +8 *20770:A1 *20771:B1 0 +9 *20771:A1 *20771:B1 1.24907e-05 +10 *20771:A2 *20771:B1 5.24081e-05 +11 *20772:A1_N *20771:B1 3.53803e-05 +12 *476:81 *20771:B1 3.14978e-05 +13 *476:81 *2069:5 3.37194e-05 +14 *2059:13 *20771:B1 6.22868e-05 +*RES +1 *20767:X *2069:5 12.7456 +2 *2069:5 *20768:B 9.24915 +3 *2069:5 *20771:B1 16.8689 +*END + +*D_NET *2070 0.00388324 +*CONN +*I *20770:B1 I *D sky130_fd_sc_hd__o311a_1 +*I *20776:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *20768:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20770:B1 0.000264388 +2 *20776:C1 0.000425329 +3 *20768:X 0.000150937 +4 *2070:5 0.000840655 +5 *20776:C1 *20776:B1 7.18018e-05 +6 *20776:C1 *20905:A1 1.19721e-05 +7 *20776:C1 *2689:14 0.000842164 +8 *20776:C1 *4866:65 0.000318514 +9 *20776:C1 *4877:159 0.000140962 +10 *20776:C1 *6022:15 3.40423e-05 +11 *20769:A2 *20770:B1 6.08467e-05 +12 *20770:A1 *20770:B1 2.35297e-06 +13 *20770:A2 *20770:B1 6.08467e-05 +14 *20770:A3 *20770:B1 7.92757e-06 +15 *20771:B1 *20770:B1 0.000121151 +16 *20771:B1 *2070:5 0.000147529 +17 *20776:A1 *20776:C1 0 +18 *20776:A2 *20776:C1 0.000241054 +19 *24397:D *20770:B1 6.08467e-05 +20 *2059:11 *20770:B1 2.77625e-06 +21 *2059:13 *20770:B1 7.71476e-05 +*RES +1 *20768:X *2070:5 11.6364 +2 *2070:5 *20776:C1 36.8897 +3 *2070:5 *20770:B1 15.7356 +*END + +*D_NET *2071 0.00109819 +*CONN +*I *20770:C1 I *D sky130_fd_sc_hd__o311a_1 +*I *20769:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *20770:C1 0.000135236 +2 *20769:Y 0.000135236 +3 *20770:C1 *20766:C 6.50727e-05 +4 *20770:C1 *20766:D 6.50727e-05 +5 *20766:A *20770:C1 9.95922e-06 +6 *20769:A1 *20770:C1 0.000111708 +7 *20769:A2 *20770:C1 0.000491924 +8 *20770:A3 *20770:C1 5.56449e-05 +9 *2067:9 *20770:C1 2.83378e-05 +*RES +1 *20769:Y *20770:C1 24.9606 +*END + +*D_NET *2072 0.00102135 +*CONN +*I *20772:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *20771:X O *D sky130_fd_sc_hd__o31a_1 +*CAP +1 *20772:B2 0.000301053 +2 *20771:X 0.000301053 +3 *20772:B2 *2689:14 1.9101e-05 +4 *20772:B2 *2689:18 1.94327e-05 +5 *20772:B2 *3017:8 7.14746e-05 +6 *20771:A2 *20772:B2 0.000154145 +7 *20772:B1 *20772:B2 2.65667e-05 +8 *2059:13 *20772:B2 1.67988e-05 +9 *2060:34 *20772:B2 0.000111722 +*RES +1 *20771:X *20772:B2 33.1348 +*END + +*D_NET *2073 0.000568649 +*CONN +*I *20774:C1 I *D sky130_fd_sc_hd__o311a_1 +*I *20773:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *20774:C1 9.44928e-05 +2 *20773:Y 9.44928e-05 +3 *20774:C1 *2448:171 0.000113968 +4 *20774:C1 *5857:215 0.000110649 +5 *20774:B1 *20774:C1 0.000113968 +6 *476:82 *20774:C1 4.10776e-05 +*RES +1 *20773:Y *20774:C1 31.0235 +*END + +*D_NET *2074 0.000735753 +*CONN +*I *20776:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *20775:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20776:B2 0.00020516 +2 *20775:Y 0.00020516 +3 *20776:B2 *20905:A1 0.000167076 +4 *20776:B2 *2689:14 1.13963e-05 +5 *20776:B2 *4877:159 5.15415e-05 +6 *20776:B2 *6022:15 9.32983e-05 +7 *24394:D *20776:B2 2.1203e-06 +*RES +1 *20775:Y *20776:B2 31.4416 +*END + +*D_NET *2075 0.0102976 +*CONN +*I *20778:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20777:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20778:A 0.000767005 +2 *20777:X 0.000283072 +3 *2075:31 0.00171498 +4 *2075:19 0.00123104 +5 *20778:A *19963:A 1.5714e-05 +6 *20778:A *2963:22 0.000564262 +7 *20778:A *4826:12 3.9199e-05 +8 *20778:A *4826:18 0.000521322 +9 *20778:A *4839:105 2.01503e-05 +10 *20778:A *4888:126 0.000751416 +11 *20778:A *5157:8 0.000205332 +12 *20778:A *5866:237 0.000200794 +13 *2075:19 *2551:18 0.000125632 +14 *2075:19 *2697:19 2.47427e-05 +15 *2075:31 *24652:CLK 0.000378069 +16 *2075:31 *24950:A 1.50188e-05 +17 *2075:31 *2551:18 0.000514085 +18 *2075:31 *2818:24 0 +19 *2075:31 *2963:33 0.000147927 +20 *2075:31 *4889:183 5.60804e-05 +21 *2075:31 *4889:186 0.000340837 +22 *2075:31 *5918:20 5.83451e-05 +23 *1153:15 *20778:A 1.88878e-05 +24 *1418:203 *2075:19 0.000111722 +25 *1566:91 *2075:19 7.99971e-05 +26 *1601:8 *20778:A 8.5057e-05 +27 *1601:8 *2075:31 5.65355e-06 +28 *1619:58 *2075:19 6.51527e-05 +29 *1629:55 *2075:31 7.13663e-05 +30 *1884:201 *20778:A 7.13972e-05 +31 *1942:11 *2075:31 0.000174175 +32 *1942:21 *2075:31 0.000210596 +33 *1942:34 *2075:31 0.000132349 +34 *1942:47 *2075:31 0.000343452 +35 *1942:56 *2075:31 0.000346948 +36 *1942:67 *2075:31 0.000304214 +37 *1942:84 *20778:A 0.000301612 +*RES +1 *20777:X *2075:19 29.3001 +2 *2075:19 *2075:31 35.96 +3 *2075:31 *20778:A 47.6589 +*END + +*D_NET *2076 0.0229113 +*CONN +*I *20785:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20779:A I *D sky130_fd_sc_hd__inv_2 +*I *20787:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20782:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20780:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20781:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20784:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20786:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20783:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20778:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20785:A2 0.000112734 +2 *20779:A 0 +3 *20787:A2 1.47608e-05 +4 *20782:A2 0.000159657 +5 *20780:A2 0 +6 *20781:A2 0.000315655 +7 *20784:A2 0 +8 *20786:A2 0.000102098 +9 *20783:A2 5.1222e-05 +10 *20778:X 0.00023416 +11 *2076:103 0.000463148 +12 *2076:88 0.000447077 +13 *2076:70 0.000385172 +14 *2076:52 0.000913814 +15 *2076:39 0.000393077 +16 *2076:36 0.00261337 +17 *2076:34 0.0030203 +18 *2076:29 0.000581144 +19 *2076:20 0.000321797 +20 *2076:9 0.000433198 +21 *20781:A2 *20781:A1 7.39264e-05 +22 *20781:A2 *2077:29 0.000132321 +23 *20781:A2 *2358:7 0.000160617 +24 *20781:A2 *4844:126 1.64789e-05 +25 *20781:A2 *5017:9 0.000163986 +26 *20782:A2 *20780:B1 0.000226296 +27 *20782:A2 *20782:A1 4.24529e-05 +28 *20782:A2 *20782:B2 0.000160617 +29 *20782:A2 *2287:12 6.01944e-06 +30 *20782:A2 *2362:8 0.000252312 +31 *20782:A2 *5867:456 0.00036437 +32 *20783:A2 *20783:A1 4.31703e-05 +33 *20783:A2 *3057:7 0.000107496 +34 *20785:A2 *20785:A1 0.000102898 +35 *20785:A2 *20785:B2 0 +36 *20785:A2 *2077:67 0.00036211 +37 *20785:A2 *5013:9 6.50586e-05 +38 *20786:A2 *20786:A1 0.000353686 +39 *20786:A2 *5853:15 0.00010051 +40 *20787:A2 *20787:A1 6.08467e-05 +41 *20787:A2 *5861:35 6.08467e-05 +42 *2076:9 *20783:A1 0.00020634 +43 *2076:9 *3057:7 6.08467e-05 +44 *2076:9 *4942:16 0.000153225 +45 *2076:20 *20786:A1 0.000197707 +46 *2076:20 *22043:A 0.000116986 +47 *2076:20 *2766:6 0.000335643 +48 *2076:20 *2950:22 7.77309e-06 +49 *2076:29 *2766:6 0.000191624 +50 *2076:29 *2950:22 8.50941e-05 +51 *2076:34 *4881:177 0.000912077 +52 *2076:34 *5458:94 2.025e-05 +53 *2076:34 *5458:104 0.00154862 +54 *2076:36 *20546:A1 5.51483e-06 +55 *2076:36 *20632:A1 3.37866e-05 +56 *2076:36 *20632:B1 5.61932e-05 +57 *2076:36 *20784:B1 0.000107496 +58 *2076:36 *20784:B2 2.65831e-05 +59 *2076:36 *21373:A 2.65667e-05 +60 *2076:36 *2433:10 0.000750494 +61 *2076:36 *2819:7 0.000799404 +62 *2076:36 *4888:5 2.23259e-05 +63 *2076:36 *4888:94 4.00824e-05 +64 *2076:36 *5299:9 8.31378e-05 +65 *2076:36 *5458:94 1.48787e-05 +66 *2076:39 *2380:8 5.41377e-05 +67 *2076:39 *5867:499 5.41377e-05 +68 *2076:52 *20784:B2 0.00020701 +69 *2076:52 *2380:8 0.000751689 +70 *2076:52 *2416:10 8.33259e-05 +71 *2076:52 *5867:499 0.000111358 +72 *2076:70 *20780:A1 3.31882e-05 +73 *2076:70 *20780:B1 1.03403e-05 +74 *2076:70 *2077:43 7.98425e-06 +75 *2076:70 *2287:12 1.2693e-05 +76 *2076:70 *2362:8 5.92342e-05 +77 *2076:70 *5017:9 5.04829e-06 +78 *2076:88 *2766:6 0.000184414 +79 *2076:88 *2950:22 8.01741e-05 +80 *2076:103 *24386:SET_B 9.60216e-05 +81 *2076:103 *2077:8 7.86847e-05 +82 *2076:103 *2077:67 5.2092e-05 +83 *2076:103 *2766:6 0.000545502 +84 *2076:103 *2950:20 1.3813e-05 +85 *2076:103 *2950:22 0.000287433 +86 *2076:103 *5013:9 8.62625e-06 +87 *2076:103 *5853:15 9.57557e-06 +88 *20546:B2 *2076:36 0.000154145 +89 *20632:A2 *2076:36 2.65667e-05 +90 *1620:153 *20781:A2 3.14544e-05 +91 *1707:16 *2076:9 0.000153225 +92 *1725:220 *2076:9 0.000236901 +93 *1991:30 *2076:36 0.000341473 +*RES +1 *20778:X *2076:9 28.2903 +2 *2076:9 *20783:A2 11.1059 +3 *2076:9 *2076:20 10.8998 +4 *2076:20 *20786:A2 17.8002 +5 *2076:20 *2076:29 3.493 +6 *2076:29 *2076:34 23.2721 +7 *2076:34 *2076:36 60.8979 +8 *2076:36 *2076:39 5.50149 +9 *2076:39 *20784:A2 13.7491 +10 *2076:39 *2076:52 20.9391 +11 *2076:52 *20781:A2 26.1282 +12 *2076:52 *2076:70 8.4433 +13 *2076:70 *20780:A2 13.7491 +14 *2076:70 *20782:A2 22.7024 +15 *2076:29 *2076:88 3.493 +16 *2076:88 *20787:A2 14.4725 +17 *2076:88 *2076:103 18.8628 +18 *2076:103 *20779:A 9.24915 +19 *2076:103 *20785:A2 14.4576 +*END + +*D_NET *2077 0.0237936 +*CONN +*I *20787:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20783:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20786:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20785:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20781:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20782:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20780:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20784:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20779:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20787:B2 6.70495e-05 +2 *20783:B2 0.000223489 +3 *20786:B2 7.36468e-05 +4 *20785:B2 8.0427e-05 +5 *20781:B2 0 +6 *20782:B2 0.00022418 +7 *20780:B2 1.56354e-05 +8 *20784:B2 0.000374936 +9 *20779:Y 0 +10 *2077:80 0.000440895 +11 *2077:78 0.00050968 +12 *2077:67 0.000591278 +13 *2077:43 0.000316461 +14 *2077:29 0.000929566 +15 *2077:19 0.00130258 +16 *2077:9 0.00395923 +17 *2077:8 0.0031631 +18 *2077:5 0.000240446 +19 *20780:B2 *2380:8 5.92192e-05 +20 *20780:B2 *5017:9 5.56367e-05 +21 *20782:B2 *2380:8 0.000175689 +22 *20782:B2 *5017:9 9.25219e-05 +23 *20782:B2 *5867:456 6.63489e-05 +24 *20783:B2 *20783:B1 6.92705e-05 +25 *20783:B2 *2448:24 6.04912e-06 +26 *20783:B2 *3057:8 2.71542e-05 +27 *20783:B2 *4843:86 0.000282005 +28 *20783:B2 *5853:22 0.000376819 +29 *20784:B2 *2380:8 0 +30 *20784:B2 *2416:10 0.00031669 +31 *20784:B2 *5017:9 2.36813e-05 +32 *20785:B2 *20754:B1 1.03403e-05 +33 *20785:B2 *4840:76 1.03403e-05 +34 *20786:B2 *20786:A1 1.41976e-05 +35 *20786:B2 *20786:B1 0.00011818 +36 *20786:B2 *2853:22 2.20702e-05 +37 *20786:B2 *5853:15 2.16355e-05 +38 *20786:B2 *5853:22 2.26985e-05 +39 *20787:B2 *5861:25 0.000158371 +40 *2077:8 *2950:20 7.50872e-05 +41 *2077:9 *20634:B1 1.40978e-05 +42 *2077:9 *20882:A1 0.00065071 +43 *2077:9 *20882:B1 0.000559555 +44 *2077:9 *20884:A2 7.68538e-06 +45 *2077:9 *24388:CLK 0.000172971 +46 *2077:9 *24544:RESET_B 0.000891732 +47 *2077:9 *4838:225 6.36823e-05 +48 *2077:9 *4888:28 1.0947e-05 +49 *2077:9 *4888:55 3.03262e-06 +50 *2077:19 *24481:SET_B 0.00125988 +51 *2077:19 *24481:CLK 1.43983e-05 +52 *2077:19 *24548:CLK 1.89968e-05 +53 *2077:19 *2868:12 7.50872e-05 +54 *2077:19 *3036:14 2.652e-05 +55 *2077:19 *4844:126 0.000111722 +56 *2077:19 *5294:11 5.40939e-05 +57 *2077:29 *20781:A1 0.000253916 +58 *2077:29 *2375:12 9.75356e-05 +59 *2077:29 *4844:126 2.68765e-05 +60 *2077:29 *5017:9 5.73392e-05 +61 *2077:43 *5017:9 4.0752e-05 +62 *2077:67 *20785:A1 1.00981e-05 +63 *2077:67 *2950:20 0.000111311 +64 *2077:67 *4840:90 2.77625e-06 +65 *2077:67 *5013:9 1.55462e-05 +66 *2077:78 *24590:RESET_B 0.00031882 +67 *2077:78 *2448:20 3.42731e-05 +68 *2077:78 *2853:8 0.000777075 +69 *2077:78 *4840:63 8.16827e-05 +70 *2077:78 *5868:593 0.0003014 +71 *2077:80 *24590:RESET_B 8.62625e-06 +72 *2077:80 *2298:8 4.03753e-05 +73 *2077:80 *2853:8 0.000311608 +74 *2077:80 *4843:86 8.45896e-06 +75 *2077:80 *5458:104 7.41203e-05 +76 *2077:80 *5853:22 1.04747e-05 +77 *20781:A2 *2077:29 0.000132321 +78 *20782:A2 *20782:B2 0.000160617 +79 *20785:A2 *20785:B2 0 +80 *20785:A2 *2077:67 0.00036211 +81 *24388:D *20785:B2 0.000107496 +82 *24388:D *2077:67 0.000213725 +83 *24392:D *2077:29 1.75155e-06 +84 *24543:D *2077:9 0.000137098 +85 *1565:12 *2077:78 0.000119844 +86 *1620:153 *20782:B2 0 +87 *1620:153 *20784:B2 0.000974701 +88 *1620:153 *2077:29 4.3116e-06 +89 *1725:220 *20783:B2 1.43983e-05 +90 *1789:23 *2077:9 0.000158099 +91 *2076:36 *20784:B2 2.65831e-05 +92 *2076:52 *20784:B2 0.00020701 +93 *2076:70 *2077:43 7.98425e-06 +94 *2076:103 *2077:8 7.86847e-05 +95 *2076:103 *2077:67 5.2092e-05 +*RES +1 *20779:Y *2077:5 13.7491 +2 *2077:5 *2077:8 5.91674 +3 *2077:8 *2077:9 50.0831 +4 *2077:9 *2077:19 31.1541 +5 *2077:19 *2077:29 18.8135 +6 *2077:29 *20784:B2 36.1689 +7 *2077:29 *2077:43 6.3326 +8 *2077:43 *20780:B2 14.7506 +9 *2077:43 *20782:B2 20.8779 +10 *2077:19 *20781:B2 9.24915 +11 *2077:5 *2077:67 12.0468 +12 *2077:67 *20785:B2 11.5158 +13 *2077:67 *2077:78 18.7896 +14 *2077:78 *2077:80 6.19214 +15 *2077:80 *20786:B2 16.5391 +16 *2077:80 *20783:B2 22.6432 +17 *2077:78 *20787:B2 15.5817 +*END + +*D_NET *2078 0.000210043 +*CONN +*I *20789:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20788:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20789:A 2.64606e-05 +2 *20788:X 2.64606e-05 +3 *20789:A *4873:11 4.31539e-05 +4 *20788:B *20789:A 0.000113968 +*RES +1 *20788:X *20789:A 19.7763 +*END + +*D_NET *2079 0.0100361 +*CONN +*I *20791:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20793:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20795:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20794:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20792:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20790:A I *D sky130_fd_sc_hd__inv_2 +*I *20789:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20791:A2 0 +2 *20793:A2 0.000295855 +3 *20795:A2 0 +4 *20794:A2 0.000235094 +5 *20792:A2 0.000154761 +6 *20790:A 0.000200925 +7 *20789:X 0.000470561 +8 *2079:47 0.000462543 +9 *2079:23 0.000548359 +10 *2079:21 0.000267209 +11 *2079:9 0.000586617 +12 *2079:5 0.000914236 +13 *20790:A *4797:18 0.000219627 +14 *20790:A *4797:22 1.41341e-05 +15 *20790:A *4862:11 6.08467e-05 +16 *20792:A2 *4797:22 0.000345318 +17 *20793:A2 *20793:A1 6.08467e-05 +18 *20793:A2 *24385:RESET_B 5.12109e-05 +19 *20793:A2 *2523:38 0.000129265 +20 *20793:A2 *5671:142 0.000526206 +21 *20794:A2 *20794:A1 2.65831e-05 +22 *20794:A2 *20794:B1 0.000219218 +23 *20794:A2 *20794:B2 0.000347214 +24 *2079:5 *4873:11 0.000686564 +25 *2079:9 *4873:11 0.000400321 +26 *2079:21 *4538:41 0.00023141 +27 *2079:21 *4797:22 0.000304983 +28 *2079:23 *4538:41 5.41377e-05 +29 *2079:23 *4797:22 0.0004041 +30 *2079:47 *2523:38 0.000435914 +31 *2079:47 *2963:64 0.000403816 +32 *2079:47 *5671:142 1.2366e-05 +33 *24383:D *20793:A2 0.000151741 +34 *24385:D *20790:A 2.16355e-05 +35 *1438:159 *20794:A2 1.10297e-05 +36 *1483:14 *20790:A 0.000464886 +37 *1483:14 *20792:A2 0.0001491 +38 *1483:14 *2079:21 2.30271e-05 +39 *1483:14 *2079:23 0.000144406 +*RES +1 *20789:X *2079:5 17.1824 +2 *2079:5 *2079:9 9.10562 +3 *2079:9 *20790:A 23.2661 +4 *2079:9 *2079:21 5.56926 +5 *2079:21 *2079:23 7.23027 +6 *2079:23 *20792:A2 20.1489 +7 *2079:23 *20794:A2 20.5973 +8 *2079:21 *20795:A2 13.7491 +9 *2079:5 *2079:47 12.5608 +10 *2079:47 *20793:A2 24.9271 +11 *2079:47 *20791:A2 13.7491 +*END + +*D_NET *2080 0.00692378 +*CONN +*I *20793:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20791:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20795:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20794:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20792:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20790:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20793:B2 0.000276424 +2 *20791:B2 2.09358e-05 +3 *20795:B2 0 +4 *20794:B2 0.000189596 +5 *20792:B2 0.000121678 +6 *20790:Y 0 +7 *2080:36 0.000344954 +8 *2080:14 0.000436695 +9 *2080:12 0.000537157 +10 *2080:4 0.00045933 +11 *20791:B2 *4862:11 0.000171288 +12 *20792:B2 *4106:126 0.000376265 +13 *20792:B2 *4799:6 0.000379862 +14 *20793:B2 *20791:B1 0 +15 *20793:B2 *20793:B1 4.90594e-05 +16 *20793:B2 *3958:28 0.000123582 +17 *20793:B2 *4797:18 0.000531264 +18 *20793:B2 *5671:142 0 +19 *2080:12 *4106:126 0.000315176 +20 *2080:12 *4799:6 0.000682492 +21 *2080:14 *4106:126 0.000378054 +22 *2080:14 *4799:6 0.0003658 +23 *2080:36 *4797:18 7.65861e-05 +24 *2080:36 *5671:142 0 +25 *20794:A2 *20794:B2 0.000347214 +26 *24385:D *20791:B2 7.48797e-05 +27 *24385:D *2080:12 0.000200794 +28 *566:124 *20793:B2 2.04806e-05 +29 *1438:159 *20794:B2 0.000202663 +30 *1483:14 *2080:12 0.000180706 +31 *1829:83 *20793:B2 6.08467e-05 +*RES +1 *20790:Y *2080:4 9.24915 +2 *2080:4 *2080:12 20.0043 +3 *2080:12 *2080:14 6.81502 +4 *2080:14 *20792:B2 20.5642 +5 *2080:14 *20794:B2 20.0186 +6 *2080:12 *20795:B2 13.7491 +7 *2080:4 *2080:36 5.91674 +8 *2080:36 *20791:B2 15.5817 +9 *2080:36 *20793:B2 24.1943 +*END + +*D_NET *2081 0.0114878 +*CONN +*I *20797:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20796:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20797:A 8.06387e-05 +2 *20796:X 0 +3 *2081:6 0.00214148 +4 *2081:5 0.00206084 +5 *20797:A *24355:CLK 6.23875e-05 +6 *2081:6 *2263:43 0.000110963 +7 *2081:6 *2486:40 5.80995e-05 +8 *2081:6 *2499:10 3.80436e-07 +9 *2081:6 *2499:23 0 +10 *2081:6 *2797:30 0.000338564 +11 *2081:6 *4836:21 0.000194747 +12 *2081:6 *4836:43 0.00087958 +13 *2081:6 *5300:11 6.31665e-05 +14 *2081:6 *5302:9 0.000140451 +15 *2081:6 *5893:16 7.21078e-05 +16 *2081:6 *5893:18 0.00057041 +17 *24327:D *2081:6 4.14041e-05 +18 *480:189 *2081:6 0.000202502 +19 *480:207 *2081:6 0.000129296 +20 *1595:16 *2081:6 0.000347669 +21 *1771:173 *2081:6 0.00146859 +22 *1884:102 *2081:6 0.00252449 +*RES +1 *20796:X *2081:5 13.7491 +2 *2081:5 *2081:6 85.7129 +3 *2081:6 *20797:A 15.5817 +*END + +*D_NET *2082 0.012841 +*CONN +*I *20801:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20800:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20806:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20803:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20802:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20798:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20805:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20799:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20804:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20797:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20801:A2 3.93883e-05 +2 *20800:A2 0.000372477 +3 *20806:A2 2.31818e-05 +4 *20803:A2 0.000133725 +5 *20802:A2 0.000116798 +6 *20798:A 0 +7 *20805:A2 0.000326827 +8 *20799:A2 0 +9 *20804:A2 0.000279955 +10 *20797:X 0.000187551 +11 *2082:87 0.000667422 +12 *2082:59 0.000305277 +13 *2082:56 0.000437184 +14 *2082:49 0.000389557 +15 *2082:34 0.000814662 +16 *2082:29 0.000401423 +17 *2082:9 0.00030362 +18 *2082:6 0.000364306 +19 *20800:A2 *20800:A1 0.000464127 +20 *20800:A2 *20800:B2 0.000357898 +21 *20800:A2 *20802:B1 5.33575e-05 +22 *20800:A2 *2499:8 0 +23 *20800:A2 *4839:343 0.000366136 +24 *20800:A2 *5504:18 3.31745e-05 +25 *20801:A2 *24380:CLK 2.27135e-05 +26 *20802:A2 *21488:A 0.000179778 +27 *20802:A2 *24380:CLK 0.000168334 +28 *20802:A2 *2499:10 7.94494e-05 +29 *20802:A2 *4899:121 0.000194577 +30 *20802:A2 *5002:8 1.07248e-05 +31 *20803:A2 *20803:A1 0.000124534 +32 *20803:A2 *20803:B2 9.626e-05 +33 *20803:A2 *2262:27 0.000287777 +34 *20803:A2 *2357:17 9.81293e-06 +35 *20803:A2 *4841:256 3.96696e-05 +36 *20804:A2 *20799:B1 4.17399e-05 +37 *20804:A2 *20799:B2 6.92705e-05 +38 *20804:A2 *20804:B2 0.000217184 +39 *20804:A2 *2367:11 2.90919e-05 +40 *20804:A2 *2570:25 6.50586e-05 +41 *20804:A2 *5857:60 8.04508e-05 +42 *20805:A2 *20801:B1 0.000375027 +43 *20805:A2 *20805:A1 0.000317693 +44 *20805:A2 *2417:21 0.000317693 +45 *20805:A2 *4825:42 0.000143032 +46 *20805:A2 *4830:32 0.000139435 +47 *20806:A2 *24373:CLK 6.50586e-05 +48 *2082:6 *20736:B1 0 +49 *2082:6 *24380:CLK 3.20069e-06 +50 *2082:6 *2499:10 0.000299886 +51 *2082:6 *4839:357 0 +52 *2082:9 *2570:25 6.08467e-05 +53 *2082:29 *24380:CLK 0.000150046 +54 *2082:29 *2499:10 0.000356405 +55 *2082:34 *20801:B1 0.000201759 +56 *2082:34 *21426:A 3.99086e-06 +57 *2082:34 *24380:CLK 3.46062e-05 +58 *2082:34 *2499:10 8.24669e-05 +59 *2082:34 *5003:9 1.21461e-06 +60 *2082:49 *2083:8 0.000406827 +61 *2082:49 *2083:10 9.75356e-05 +62 *2082:49 *2570:25 0.000128353 +63 *2082:56 *2083:8 4.68256e-05 +64 *2082:56 *2083:51 0.000109951 +65 *2082:56 *2083:62 3.20407e-05 +66 *2082:56 *2570:25 4.01971e-05 +67 *2082:59 *20803:B2 0.000304866 +68 *2082:59 *2262:27 0.000302956 +69 *2082:87 *20802:B1 9.91932e-05 +70 *2082:87 *2083:62 0.000290374 +71 *2082:87 *2499:10 0 +72 *2082:87 *2570:25 4.20954e-05 +73 *2082:87 *4839:343 0.000108714 +74 *2082:87 *5004:9 0.000124209 +*RES +1 *20797:X *2082:6 19.3184 +2 *2082:6 *2082:9 5.2234 +3 *2082:9 *20804:A2 18.1228 +4 *2082:9 *20799:A2 9.24915 +5 *2082:6 *2082:29 6.6074 +6 *2082:29 *2082:34 9.06618 +7 *2082:34 *20805:A2 28.4591 +8 *2082:34 *2082:49 13.8065 +9 *2082:49 *20798:A 13.7491 +10 *2082:49 *2082:56 3.493 +11 *2082:56 *2082:59 7.99641 +12 *2082:59 *20802:A2 24.6489 +13 *2082:59 *20803:A2 16.3023 +14 *2082:56 *2082:87 10.137 +15 *2082:87 *20806:A2 14.4725 +16 *2082:87 *20800:A2 27.7374 +17 *2082:29 *20801:A2 14.8606 +*END + +*D_NET *2083 0.0105967 +*CONN +*I *20802:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20800:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20806:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20803:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20805:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20801:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20799:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20804:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20798:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20802:B2 9.22955e-05 +2 *20800:B2 0.000322165 +3 *20806:B2 0 +4 *20803:B2 8.60228e-05 +5 *20805:B2 0.000326653 +6 *20801:B2 3.42354e-05 +7 *20799:B2 1.03879e-05 +8 *20804:B2 0.000155645 +9 *20798:Y 5.38744e-05 +10 *2083:66 0.000581655 +11 *2083:62 0.00046912 +12 *2083:51 0.000258569 +13 *2083:14 0.000431306 +14 *2083:10 0.000440496 +15 *2083:8 0.000691299 +16 *2083:7 0.000332744 +17 *20799:B2 *2367:11 1.19856e-05 +18 *20800:B2 *20800:A1 0.000121588 +19 *20800:B2 *20800:B1 3.14978e-05 +20 *20800:B2 *20806:B1 0.000122083 +21 *20800:B2 *4830:32 0.000341268 +22 *20800:B2 *5504:18 2.39581e-05 +23 *20801:B2 *20801:B1 1.00981e-05 +24 *20801:B2 *21426:A 9.80128e-05 +25 *20801:B2 *2486:11 0.000171288 +26 *20802:B2 *24379:RESET_B 0.000175784 +27 *20803:B2 *2262:27 1.65872e-05 +28 *20803:B2 *4841:256 0.000447775 +29 *20804:B2 *2367:11 0.000132414 +30 *20804:B2 *5857:48 5.0715e-05 +31 *20804:B2 *5857:60 1.34424e-05 +32 *20805:B2 *2417:14 0.000111708 +33 *20805:B2 *2417:21 0.000639657 +34 *20805:B2 *2813:16 6.28168e-05 +35 *2083:7 *5867:572 1.03403e-05 +36 *2083:8 *4830:32 0 +37 *2083:8 *4839:343 0.000234637 +38 *2083:8 *4999:11 3.25751e-05 +39 *2083:10 *2570:25 0 +40 *2083:10 *4830:32 0 +41 *2083:14 *2570:25 0 +42 *2083:14 *4830:32 0 +43 *2083:14 *4830:36 0 +44 *2083:14 *4836:43 5.33945e-05 +45 *2083:51 *4839:343 0.000106293 +46 *2083:62 *4839:343 0.000315532 +47 *2083:66 *20800:A1 0.000116835 +48 *2083:66 *24373:SET_B 3.41406e-05 +49 *2083:66 *24379:RESET_B 2.46262e-05 +50 *2083:66 *4830:32 0.000271793 +51 *20800:A2 *20800:B2 0.000357898 +52 *20803:A2 *20803:B2 9.626e-05 +53 *20804:A2 *20799:B2 6.92705e-05 +54 *20804:A2 *20804:B2 0.000217184 +55 *24374:D *20805:B2 7.98171e-06 +56 *24375:D *20804:B2 0.000315461 +57 *24379:D *20800:B2 0.000115632 +58 *1595:8 *20805:B2 5.92342e-05 +59 *2082:49 *2083:8 0.000406827 +60 *2082:49 *2083:10 9.75356e-05 +61 *2082:56 *2083:8 4.68256e-05 +62 *2082:56 *2083:51 0.000109951 +63 *2082:56 *2083:62 3.20407e-05 +64 *2082:59 *20803:B2 0.000304866 +65 *2082:87 *2083:62 0.000290374 +*RES +1 *20798:Y *2083:7 14.4725 +2 *2083:7 *2083:8 8.47603 +3 *2083:8 *2083:10 3.493 +4 *2083:10 *2083:14 10.8998 +5 *2083:14 *20804:B2 17.2306 +6 *2083:14 *20799:B2 9.97254 +7 *2083:10 *20801:B2 16.1605 +8 *2083:8 *20805:B2 32.7931 +9 *2083:7 *2083:51 2.24725 +10 *2083:51 *20803:B2 18.9094 +11 *2083:51 *2083:62 10.4845 +12 *2083:62 *2083:66 11.626 +13 *2083:66 *20806:B2 13.7491 +14 *2083:66 *20800:B2 26.9971 +15 *2083:62 *20802:B2 12.0704 +*END + +*D_NET *2084 0.0026242 +*CONN +*I *20925:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22115:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *20808:A I *D sky130_fd_sc_hd__or2_2 +*I *20807:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20925:A2 0 +2 *22115:A1 0.000134893 +3 *20808:A 0.000188984 +4 *20807:Y 0 +5 *2084:16 0.000247885 +6 *2084:4 0.000301976 +7 *20808:A *20808:B 0.000270395 +8 *20808:A *2085:11 4.58003e-05 +9 *20808:A *5992:18 2.81262e-05 +10 *20808:A *6026:25 2.57986e-05 +11 *22115:A1 *22109:A2_N 3.55179e-05 +12 *22115:A1 *22115:A2 6.08467e-05 +13 *22115:A1 *22115:B2 2.15184e-05 +14 *22115:A1 *22117:B1 0.000154145 +15 *22115:A1 *2129:27 0.000113309 +16 *22115:A1 *2426:82 0.000179102 +17 *2084:16 *22109:A2_N 0.000218249 +18 *2084:16 *2426:82 0.000167762 +19 *2084:16 *6026:25 5.39109e-05 +20 *22109:A1_N *20808:A 0.000163428 +21 *22109:A1_N *2084:16 0.000188093 +22 *1556:20 *22115:A1 2.44579e-05 +*RES +1 *20807:Y *2084:4 9.24915 +2 *2084:4 *20808:A 15.5427 +3 *2084:4 *2084:16 9.96496 +4 *2084:16 *22115:A1 19.0748 +5 *2084:16 *20925:A2 13.7491 +*END + +*D_NET *2085 0.0153854 +*CONN +*I *20809:A I *D sky130_fd_sc_hd__inv_2 +*I *20853:B1 I *D sky130_fd_sc_hd__o311a_1 +*I *20822:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *20819:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *20808:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *20809:A 0 +2 *20853:B1 0 +3 *20822:B1 2.3415e-05 +4 *20819:B1 0.000374828 +5 *20808:X 0.000437399 +6 *2085:50 0.000901549 +7 *2085:41 0.00173854 +8 *2085:13 0.00055519 +9 *2085:11 0.00143133 +10 *20819:B1 *20819:A2 0.000118166 +11 *20819:B1 *20822:A2 3.66962e-05 +12 *20819:B1 *24370:CLK 5.97576e-05 +13 *20819:B1 *24908:CLK 0.000428805 +14 *20819:B1 *2422:27 5.96571e-06 +15 *20819:B1 *2428:87 2.64875e-05 +16 *20819:B1 *4876:38 0.000114694 +17 *20822:B1 *20822:A2 1.0662e-05 +18 *20822:B1 *5946:22 2.91559e-06 +19 *2085:11 *20808:B 1.98996e-05 +20 *2085:11 *23931:A1 3.072e-06 +21 *2085:11 *24320:RESET_B 3.31733e-05 +22 *2085:11 *2381:26 4.70005e-05 +23 *2085:11 *2422:27 0.000233427 +24 *2085:11 *4866:52 0.00100196 +25 *2085:11 *5992:18 1.00937e-05 +26 *2085:13 *20822:C1 1.96267e-05 +27 *2085:13 *2422:27 3.14174e-05 +28 *2085:13 *4866:52 0.000644265 +29 *2085:13 *5946:11 0.000485975 +30 *2085:41 *2107:20 0.000733811 +31 *2085:41 *2471:28 8.14875e-05 +32 *2085:41 *5864:171 0.000167945 +33 *2085:41 *5864:177 5.2504e-06 +34 *2085:41 *5949:12 5.88662e-05 +35 *2085:41 *5949:22 5.2367e-05 +36 *2085:50 *20817:A2 0.000236885 +37 *2085:50 *2088:84 9.79935e-05 +38 *2085:50 *2379:17 4.62112e-05 +39 *2085:50 *2440:54 0.000263366 +40 *2085:50 *2471:28 0.000481593 +41 *2085:50 *3076:58 1.69821e-05 +42 *2085:50 *5948:7 1.61631e-05 +43 *20808:A *2085:11 4.58003e-05 +44 *20822:A1 *20819:B1 0.000196565 +45 *20822:A1 *20822:B1 4.06316e-07 +46 *20853:A1 *2085:50 0.00029699 +47 *24370:D *20819:B1 2.44833e-05 +48 *460:82 *2085:11 3.10924e-05 +49 *1419:6 *2085:41 0.00152462 +50 *1419:8 *2085:41 0.000108642 +51 *1520:52 *2085:41 0.000213725 +52 *1520:52 *2085:50 0.000612673 +53 *1557:62 *2085:50 0.000101503 +54 *1884:262 *2085:50 0.0011737 +*RES +1 *20808:X *2085:11 34.6991 +2 *2085:11 *2085:13 7.93324 +3 *2085:13 *20819:B1 30.4551 +4 *2085:13 *20822:B1 9.82786 +5 *2085:11 *2085:41 42.2869 +6 *2085:41 *2085:50 45.6526 +7 *2085:50 *20853:B1 9.24915 +8 *2085:41 *20809:A 9.24915 +*END + +*D_NET *2086 0.00509228 +*CONN +*I *20846:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *20817:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *20809:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20846:A2 0.000355722 +2 *20817:A2 0.00119082 +3 *20809:Y 0 +4 *2086:4 0.00154654 +5 *20817:A2 *20817:B2 5.22654e-06 +6 *20817:A2 *24372:SET_B 0.000103626 +7 *20817:A2 *3479:10 2.65904e-05 +8 *20817:A2 *5948:7 6.23075e-05 +9 *20846:A2 *2103:16 0.000278952 +10 *504:16 *20846:A2 0.00027384 +11 *541:38 *20817:A2 0 +12 *1520:52 *20817:A2 0.00065935 +13 *1520:52 *20846:A2 0.000352419 +14 *2085:50 *20817:A2 0.000236885 +*RES +1 *20809:Y *2086:4 9.24915 +2 *2086:4 *20817:A2 38.4125 +3 *2086:4 *20846:A2 27.4542 +*END + +*D_NET *2087 0.00100544 +*CONN +*I *20811:A I *D sky130_fd_sc_hd__buf_4 +*I *20810:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20811:A 8.91362e-05 +2 *20810:X 8.91362e-05 +3 *20811:A *20810:B 6.08467e-05 +4 *20811:A *22518:A 0.000148901 +5 *20811:A *2099:9 0.000507114 +6 *20811:A *5945:11 0.000110306 +*RES +1 *20810:X *20811:A 24.2131 +*END + +*D_NET *2088 0.0318417 +*CONN +*I *20815:B I *D sky130_fd_sc_hd__or3_1 +*I *22541:A I *D sky130_fd_sc_hd__or3_1 +*I *22550:A I *D sky130_fd_sc_hd__or3_1 +*I *22577:A I *D sky130_fd_sc_hd__or3_1 +*I *22557:A I *D sky130_fd_sc_hd__or3_1 +*I *22579:A I *D sky130_fd_sc_hd__or3_1 +*I *22561:A I *D sky130_fd_sc_hd__or3_1 +*I *22515:B I *D sky130_fd_sc_hd__nor3_4 +*I *20813:B I *D sky130_fd_sc_hd__nor3_1 +*I *20821:A I *D sky130_fd_sc_hd__inv_2 +*I *20822:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *20818:A2 I *D sky130_fd_sc_hd__o31a_1 +*I *20811:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *20815:B 0 +2 *22541:A 0.000349908 +3 *22550:A 0.000216547 +4 *22577:A 9.19317e-05 +5 *22557:A 1.47608e-05 +6 *22579:A 0.000285675 +7 *22561:A 0.000106276 +8 *22515:B 0.000176121 +9 *20813:B 0 +10 *20821:A 0.000271072 +11 *20822:A2 0.000163087 +12 *20818:A2 9.28206e-05 +13 *20811:X 0.000141875 +14 *2088:137 0.000106692 +15 *2088:122 0.00043858 +16 *2088:113 0.0018565 +17 *2088:104 0.00213072 +18 *2088:103 0.0013907 +19 *2088:88 0.00122784 +20 *2088:84 0.00189373 +21 *2088:34 0.000648877 +22 *2088:24 0.000531882 +23 *2088:17 0.000393442 +24 *2088:12 0.000446844 +25 *2088:11 0.00163333 +26 *20818:A2 *20819:A1 3.99482e-05 +27 *20821:A *2095:9 0.000281912 +28 *20821:A *2096:7 6.08467e-05 +29 *20821:A *2240:8 4.15201e-05 +30 *20821:A *2423:33 7.72341e-05 +31 *20821:A *2441:72 5.35572e-05 +32 *20821:A *3177:50 1.59052e-05 +33 *20822:A2 *20823:A1 2.0456e-06 +34 *20822:A2 *20823:B1 5.1493e-06 +35 *20822:A2 *2422:27 3.59774e-05 +36 *20822:A2 *5946:22 7.24618e-06 +37 *22541:A *21893:B1 0.000167509 +38 *22541:A *24363:CLK 0.000167076 +39 *22541:A *2115:48 2.55661e-06 +40 *22541:A *3142:21 5.2942e-05 +41 *22541:A *3177:16 0.000102079 +42 *22541:A *5948:76 0.000193953 +43 *22550:A *2098:34 7.99851e-05 +44 *22550:A *2100:23 7.99851e-05 +45 *22550:A *2397:85 0.000121159 +46 *22550:A *2690:11 6.08467e-05 +47 *22557:A *22557:C 6.08467e-05 +48 *22557:A *2282:88 6.08467e-05 +49 *22561:A *22561:C 6.08467e-05 +50 *22561:A *2278:46 0.000340742 +51 *22561:A *2403:7 9.95234e-05 +52 *22577:A *22557:C 0.000286367 +53 *22577:A *22573:C 6.36477e-05 +54 *22577:A *2282:88 0.000444819 +55 *22577:A *5948:113 0.000110306 +56 *22579:A *22578:A 1.03403e-05 +57 *22579:A *22579:B 6.00782e-06 +58 *22579:A *22579:C 1.73087e-05 +59 *22579:A *22580:A 2.65831e-05 +60 *22579:A *2418:24 4.83562e-06 +61 *22579:A *2690:34 0.000195586 +62 *22579:A *3479:62 6.44379e-05 +63 *22579:A *3527:16 0.000355732 +64 *2088:11 *20810:B 1.99996e-05 +65 *2088:11 *2099:9 0.000453443 +66 *2088:11 *2949:57 0.000453443 +67 *2088:11 *5949:41 6.22114e-05 +68 *2088:12 *20817:A3 0.000188201 +69 *2088:12 *2925:12 0.000191872 +70 *2088:17 *20817:A3 0.000327361 +71 *2088:17 *20819:A1 7.14746e-05 +72 *2088:17 *2433:151 4.03362e-05 +73 *2088:17 *2925:12 0.000400417 +74 *2088:17 *5946:36 8.15832e-05 +75 *2088:24 *20813:C 2.51527e-05 +76 *2088:24 *20819:A1 0.000132507 +77 *2088:24 *2433:151 6.3657e-05 +78 *2088:24 *5946:36 7.54269e-06 +79 *2088:34 *2095:9 0.000199996 +80 *2088:34 *2095:14 0.000519498 +81 *2088:34 *2423:33 0.000248681 +82 *2088:84 *20817:A3 0.000650025 +83 *2088:84 *20852:A1 8.4653e-05 +84 *2088:84 *20853:A3 6.1578e-06 +85 *2088:84 *2110:17 1.16596e-05 +86 *2088:84 *2379:17 1.27071e-05 +87 *2088:84 *2411:5 7.89747e-05 +88 *2088:84 *2425:14 0.000200794 +89 *2088:84 *2440:54 3.67638e-05 +90 *2088:84 *2925:12 0.000909281 +91 *2088:84 *3076:58 5.74949e-05 +92 *2088:84 *5949:43 3.73224e-05 +93 *2088:84 *5949:52 0.000141909 +94 *2088:88 *20815:A 7.34948e-06 +95 *2088:88 *20853:A3 0.00018268 +96 *2088:88 *2108:33 0.000360145 +97 *2088:88 *2440:55 8.70609e-05 +98 *2088:103 *20815:C 7.50722e-05 +99 *2088:103 *22221:A 0.000144546 +100 *2088:103 *22222:A 0.000132885 +101 *2088:103 *24363:CLK 7.62621e-05 +102 *2088:103 *2108:33 0.000340333 +103 *2088:103 *2378:27 2.41274e-06 +104 *2088:103 *2394:17 9.30495e-06 +105 *2088:103 *2924:89 8.97257e-05 +106 *2088:103 *4876:8 0.000162064 +107 *2088:103 *5944:69 9.97981e-05 +108 *2088:103 *5948:63 2.82537e-05 +109 *2088:104 *21893:B1 0.000220952 +110 *2088:104 *3142:21 0.00021077 +111 *2088:113 *21893:B1 3.30319e-05 +112 *2088:113 *22146:B 4.58373e-05 +113 *2088:113 *22164:A 0.000151828 +114 *2088:113 *2098:34 4.0605e-06 +115 *2088:113 *2100:23 0.000163515 +116 *2088:113 *2418:24 1.3706e-05 +117 *2088:113 *2690:34 0.000145384 +118 *2088:113 *3106:11 0.000103002 +119 *2088:113 *3109:17 0.00126215 +120 *2088:113 *3117:15 7.33696e-05 +121 *2088:113 *3142:21 3.66153e-05 +122 *2088:113 *3479:53 0.00159405 +123 *2088:113 *3500:18 2.57465e-06 +124 *2088:113 *3507:19 1.07248e-05 +125 *2088:113 *3509:11 0.000149842 +126 *2088:113 *4807:54 3.06917e-06 +127 *2088:122 *2418:24 3.618e-05 +128 *2088:122 *2690:34 6.61215e-06 +129 *2088:122 *3507:19 0.000136711 +130 *2088:122 *3509:11 6.62968e-05 +131 *20819:B1 *20822:A2 3.66962e-05 +132 *20822:A1 *20822:A2 7.44979e-06 +133 *20822:B1 *20822:A2 1.0662e-05 +134 *20853:A1 *2088:84 0.000254613 +135 *20853:A1 *2088:88 1.41689e-05 +136 *24370:D *20822:A2 8.22553e-05 +137 *541:35 *2088:103 7.09666e-06 +138 *1419:18 *20821:A 6.92365e-05 +139 *1557:62 *2088:84 3.13066e-05 +140 *1679:37 *22541:A 6.02141e-05 +141 *1741:93 *22515:B 0.000192172 +142 *1884:262 *20818:A2 0.000118759 +143 *1884:262 *2088:24 0.000337733 +144 *1884:262 *2088:88 3.25681e-05 +145 *2063:86 *2088:84 3.80722e-05 +146 *2085:50 *2088:84 9.79935e-05 +*RES +1 *20811:X *2088:11 29.3261 +2 *2088:11 *2088:12 3.90826 +3 *2088:12 *2088:17 13.0083 +4 *2088:17 *2088:24 12.4095 +5 *2088:24 *20818:A2 16.204 +6 *2088:24 *2088:34 4.33655 +7 *2088:34 *20822:A2 21.6224 +8 *2088:34 *20821:A 19.9281 +9 *2088:17 *20813:B 9.24915 +10 *2088:12 *22515:B 18.9094 +11 *2088:11 *2088:84 49.7125 +12 *2088:84 *2088:88 13.9865 +13 *2088:88 *2088:103 37.3195 +14 *2088:103 *2088:104 0.967767 +15 *2088:104 *2088:113 49.7013 +16 *2088:113 *22561:A 17.8002 +17 *2088:113 *2088:122 3.90826 +18 *2088:122 *22579:A 23.0199 +19 *2088:122 *2088:137 4.5 +20 *2088:137 *22557:A 9.97254 +21 *2088:137 *22577:A 14.4094 +22 *2088:104 *22550:A 22.7933 +23 *2088:103 *22541:A 21.7285 +24 *2088:88 *20815:B 9.24915 +*END + +*D_NET *2089 0.0221212 +*CONN +*I *20813:C I *D sky130_fd_sc_hd__nor3_1 +*I *20815:A I *D sky130_fd_sc_hd__or3_1 +*I *22526:A I *D sky130_fd_sc_hd__or3_1 +*I *22516:C I *D sky130_fd_sc_hd__or4_1 +*I *22571:A I *D sky130_fd_sc_hd__or3_1 +*I *22573:A I *D sky130_fd_sc_hd__or3_1 +*I *22563:A I *D sky130_fd_sc_hd__or3_1 +*I *22535:C I *D sky130_fd_sc_hd__or4_1 +*I *22515:A I *D sky130_fd_sc_hd__nor3_4 +*I *20812:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20813:C 0.000183898 +2 *20815:A 0.000148417 +3 *22526:A 8.32193e-05 +4 *22516:C 0 +5 *22571:A 7.79897e-05 +6 *22573:A 0 +7 *22563:A 0 +8 *22535:C 4.07083e-05 +9 *22515:A 0 +10 *20812:X 0.000229552 +11 *2089:74 0.000473764 +12 *2089:62 0.000588447 +13 *2089:53 0.000425854 +14 *2089:45 0.000522669 +15 *2089:37 0.00202509 +16 *2089:36 0.00180837 +17 *2089:28 0.000144702 +18 *2089:22 0.00145754 +19 *2089:12 0.00148505 +20 *2089:7 0.000693373 +21 *20813:C *20812:A 6.50586e-05 +22 *20813:C *2433:151 0.000174777 +23 *20813:C *5946:36 0.000127062 +24 *20815:A *3479:13 7.97595e-05 +25 *20815:A *3496:13 9.88093e-06 +26 *20815:A *5948:39 4.51626e-05 +27 *22526:A *2313:25 0.000181867 +28 *22526:A *5944:90 0.000166283 +29 *22535:C *22535:A 6.08467e-05 +30 *22535:C *3496:13 0.000154145 +31 *22535:C *5948:39 3.8122e-05 +32 *22571:A *22572:A 6.78549e-05 +33 *22571:A *3523:16 6.87482e-05 +34 *2089:7 *5946:36 0.000160617 +35 *2089:12 *22520:A 0.000113968 +36 *2089:12 *24938:A 4.77183e-05 +37 *2089:12 *2378:12 0 +38 *2089:12 *3469:10 0.000582911 +39 *2089:12 *3472:17 4.19564e-05 +40 *2089:22 *24372:CLK 3.54395e-05 +41 *2089:22 *2256:13 3.85185e-05 +42 *2089:22 *2378:12 0 +43 *2089:22 *2378:27 9.68902e-05 +44 *2089:22 *2398:93 4.71543e-05 +45 *2089:22 *2924:89 2.71837e-05 +46 *2089:22 *3469:10 0.00121627 +47 *2089:22 *3469:12 0.00023365 +48 *2089:22 *4876:14 0.000228055 +49 *2089:22 *5944:44 0.00016986 +50 *2089:22 *5948:39 7.09666e-06 +51 *2089:28 *3479:13 1.92172e-05 +52 *2089:28 *3496:13 0.000338531 +53 *2089:28 *5948:39 0.000118864 +54 *2089:37 *22159:A 4.50502e-05 +55 *2089:37 *22160:A 0.000104168 +56 *2089:37 *22182:A 0.000120584 +57 *2089:37 *22226:A 5.36085e-05 +58 *2089:37 *22536:A 0.000141533 +59 *2089:37 *2098:34 6.77585e-05 +60 *2089:37 *2098:36 1.32834e-05 +61 *2089:37 *3118:10 0.000299632 +62 *2089:37 *3118:27 0.000434273 +63 *2089:37 *3467:8 5.54142e-05 +64 *2089:37 *3467:21 0.000179635 +65 *2089:37 *3467:28 0.000175097 +66 *2089:37 *3472:43 0.000322531 +67 *2089:37 *3472:52 0.000387857 +68 *2089:37 *3472:69 0.000108981 +69 *2089:37 *5476:69 0.00051479 +70 *2089:37 *5948:104 0.00132567 +71 *2089:45 *2100:58 0.000107052 +72 *2089:45 *3469:19 8.53519e-05 +73 *2089:45 *3472:69 0.000110477 +74 *2089:53 *22562:A 2.09102e-05 +75 *2089:53 *2100:68 4.03381e-05 +76 *2089:53 *2930:21 0.000256726 +77 *2089:53 *3126:10 0.000367905 +78 *2089:53 *5853:334 0.0001525 +79 *2089:53 *5944:80 2.79944e-05 +80 *2089:62 *22573:B 6.85742e-05 +81 *2089:62 *22578:A 7.77309e-06 +82 *2089:62 *3126:10 0.000200395 +83 *2089:62 *5944:80 1.82031e-05 +84 *2089:74 *22574:A 6.08467e-05 +85 *2089:74 *2313:25 0.000295821 +86 *2089:74 *3491:8 0.000200228 +87 *2089:74 *3491:10 0 +88 *2089:74 *3523:16 4.88765e-05 +89 *2089:74 *5944:90 5.15415e-05 +90 *24372:D *2089:22 0.000149009 +91 *545:29 *2089:37 0.000185876 +92 *545:32 *2089:12 1.2693e-05 +93 *1419:196 *2089:37 0.000101965 +94 *1542:201 *2089:53 1.5714e-05 +95 *2088:24 *20813:C 2.51527e-05 +96 *2088:88 *20815:A 7.34948e-06 +*RES +1 *20812:X *2089:7 13.3243 +2 *2089:7 *2089:12 14.3962 +3 *2089:12 *22515:A 13.7491 +4 *2089:12 *2089:22 40.9614 +5 *2089:22 *2089:28 4.79853 +6 *2089:28 *22535:C 11.0817 +7 *2089:28 *2089:36 4.5 +8 *2089:36 *2089:37 57.4758 +9 *2089:37 *2089:45 18.1345 +10 *2089:45 *22563:A 9.24915 +11 *2089:45 *2089:53 14.6721 +12 *2089:53 *22573:A 13.7491 +13 *2089:53 *2089:62 8.40826 +14 *2089:62 *22571:A 11.6605 +15 *2089:62 *2089:74 14.5355 +16 *2089:74 *22516:C 13.7491 +17 *2089:74 *22526:A 17.2421 +18 *2089:22 *20815:A 13.4931 +19 *2089:7 *20813:C 16.7001 +*END + +*D_NET *2090 0.00650156 +*CONN +*I *20817:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *20819:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *20813:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *20817:A3 0.000679567 +2 *20819:A1 0.000577498 +3 *20813:Y 7.28003e-05 +4 *2090:7 0.00132986 +5 *20817:A3 *2440:54 0.00100868 +6 *20817:A3 *4845:349 6.9815e-05 +7 *20817:A3 *4876:26 0.000398075 +8 *20819:A1 *2528:28 0.000177224 +9 *20819:A1 *2925:12 0.000150366 +10 *2090:7 *5946:36 0.000116 +11 *20817:B1 *20817:A3 0.000247443 +12 *20818:A2 *20819:A1 3.99482e-05 +13 *1884:262 *20817:A3 3.93791e-05 +14 *1884:262 *20819:A1 4.37882e-05 +15 *2063:23 *20819:A1 0.000181542 +16 *2088:12 *20817:A3 0.000188201 +17 *2088:17 *20817:A3 0.000327361 +18 *2088:17 *20819:A1 7.14746e-05 +19 *2088:24 *20819:A1 0.000132507 +20 *2088:84 *20817:A3 0.000650025 +*RES +1 *20813:Y *2090:7 15.0271 +2 *2090:7 *20819:A1 29.3577 +3 *2090:7 *20817:A3 40.9494 +*END + +*D_NET *2091 0.0268958 +*CONN +*I *20815:C I *D sky130_fd_sc_hd__or3_1 +*I *22579:C I *D sky130_fd_sc_hd__or3_1 +*I *22563:C I *D sky130_fd_sc_hd__or3_1 +*I *22516:D I *D sky130_fd_sc_hd__or4_1 +*I *22524:D I *D sky130_fd_sc_hd__or4_1 +*I *22543:C I *D sky130_fd_sc_hd__or3_1 +*I *22591:D I *D sky130_fd_sc_hd__or4_1 +*I *22569:C I *D sky130_fd_sc_hd__or3_1 +*I *22583:C I *D sky130_fd_sc_hd__or3_1 +*I *22571:C I *D sky130_fd_sc_hd__or3_1 +*I *22561:C I *D sky130_fd_sc_hd__or3_1 +*I *22559:D I *D sky130_fd_sc_hd__or4_1 +*I *22548:C I *D sky130_fd_sc_hd__or3_1 +*I *22550:C I *D sky130_fd_sc_hd__or3_1 +*I *22552:C I *D sky130_fd_sc_hd__or3_1 +*I *22537:C I *D sky130_fd_sc_hd__or3_1 +*I *20814:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *20815:C 0.000117826 +2 *22579:C 0.000296171 +3 *22563:C 0.000182291 +4 *22516:D 0.000477303 +5 *22524:D 5.97942e-05 +6 *22543:C 2.3034e-05 +7 *22591:D 0.000559664 +8 *22569:C 0 +9 *22583:C 0.000277669 +10 *22571:C 8.75059e-05 +11 *22561:C 1.47608e-05 +12 *22559:D 5.23245e-05 +13 *22548:C 5.91683e-05 +14 *22550:C 1.98947e-05 +15 *22552:C 7.81992e-05 +16 *22537:C 0.00021498 +17 *20814:Y 0 +18 *2091:124 0.000194226 +19 *2091:106 0.000853651 +20 *2091:99 0.000270234 +21 *2091:96 0.000672996 +22 *2091:83 0.000639138 +23 *2091:72 0.000555409 +24 *2091:63 0.000302173 +25 *2091:60 0.000699652 +26 *2091:57 0.000889234 +27 *2091:48 0.0014746 +28 *2091:37 0.00143214 +29 *2091:28 0.00023589 +30 *2091:19 0.000514321 +31 *2091:7 0.00135112 +32 *2091:4 0.000934508 +33 *20815:C *2108:33 9.83674e-06 +34 *20815:C *2425:7 6.08467e-05 +35 *20815:C *5948:30 6.97183e-05 +36 *20815:C *5948:63 7.14746e-05 +37 *22516:D *22516:A 4.45999e-05 +38 *22516:D *22583:A 0.00014642 +39 *22516:D *2635:87 1.87702e-05 +40 *22516:D *5948:140 5.23916e-05 +41 *22524:D *22524:C 7.49541e-05 +42 *22524:D *22543:A 6.36477e-05 +43 *22524:D *4805:73 0.000239158 +44 *22537:C *2398:100 3.89795e-05 +45 *22537:C *3160:22 3.03429e-05 +46 *22537:C *3496:13 4.00255e-05 +47 *22537:C *5683:16 5.35267e-05 +48 *22550:C *2397:85 6.08467e-05 +49 *22550:C *2690:11 2.16355e-05 +50 *22552:C *2122:23 0.000251669 +51 *22552:C *4876:7 8.79845e-05 +52 *22561:C *2403:7 6.08467e-05 +53 *22563:C *2930:21 2.89223e-05 +54 *22571:C *3523:16 0.000205101 +55 *22579:C *22578:A 0 +56 *22579:C *22580:A 1.03434e-05 +57 *22579:C *3136:149 0.000417718 +58 *22579:C *3151:141 0 +59 *22579:C *3204:122 0.000412004 +60 *22579:C *3527:16 1.00981e-05 +61 *22583:C *22583:A 0.000226329 +62 *22583:C *2635:87 2.68413e-06 +63 *22583:C *2635:95 2.99291e-05 +64 *22591:D *22643:A1 6.97711e-05 +65 *22591:D *22653:A 1.03403e-05 +66 *22591:D *3136:149 2.01428e-05 +67 *22591:D *3148:46 0.000108986 +68 *22591:D *3151:141 0.000109796 +69 *22591:D *3198:23 0.000963106 +70 *22591:D *3519:24 0.00013814 +71 *22591:D *4804:62 7.19128e-05 +72 *22591:D *4805:73 6.08467e-05 +73 *2091:7 *2425:7 0.00116612 +74 *2091:19 *2398:100 3.55705e-05 +75 *2091:19 *2411:13 0.000448403 +76 *2091:19 *3160:22 9.32401e-05 +77 *2091:19 *3206:15 0.000145911 +78 *2091:19 *3479:24 5.41227e-05 +79 *2091:19 *3487:13 0.000110106 +80 *2091:28 *2411:13 0.000118329 +81 *2091:28 *3204:107 2.42501e-05 +82 *2091:28 *3479:24 0.000128896 +83 *2091:28 *3479:31 6.05941e-05 +84 *2091:37 *3204:107 7.0884e-05 +85 *2091:37 *3479:31 0.000162447 +86 *2091:37 *3479:41 1.86178e-05 +87 *2091:48 *22151:A 0.000140223 +88 *2091:48 *22201:A 5.22029e-05 +89 *2091:48 *2111:30 0.000302152 +90 *2091:48 *2122:43 0.000267682 +91 *2091:48 *3128:11 1.91246e-05 +92 *2091:48 *3136:24 0.000226431 +93 *2091:48 *3136:149 0.000143685 +94 *2091:48 *3151:8 5.60291e-06 +95 *2091:48 *3151:37 0.000810878 +96 *2091:48 *3160:20 0.000114604 +97 *2091:48 *3160:22 0.000251378 +98 *2091:48 *3204:107 0.000329432 +99 *2091:48 *3479:41 9.4385e-05 +100 *2091:57 *3136:149 0.00021141 +101 *2091:57 *3151:37 4.34752e-05 +102 *2091:57 *3204:122 3.73224e-05 +103 *2091:60 *2930:26 0.000642113 +104 *2091:63 *3479:58 0.000132662 +105 *2091:63 *5948:104 0.000129186 +106 *2091:72 *2098:49 3.86874e-05 +107 *2091:72 *3479:58 0.000190868 +108 *2091:72 *3523:16 3.04443e-05 +109 *2091:72 *5948:104 0.000143769 +110 *2091:72 *5948:128 0.000176232 +111 *2091:83 *2098:49 0.000260957 +112 *2091:83 *3523:16 5.43178e-05 +113 *2091:83 *4813:56 1.34031e-05 +114 *2091:83 *5948:128 0.000327544 +115 *2091:96 *22583:A 0.00014584 +116 *2091:99 *3472:82 3.55926e-05 +117 *2091:99 *4813:56 0.000104166 +118 *2091:106 *3472:82 2.24412e-05 +119 *2091:106 *3472:89 5.84265e-05 +120 *2091:106 *4813:56 0.000229246 +121 *2091:124 *22524:C 2.16355e-05 +122 *2091:124 *22593:C 6.3657e-05 +123 *2091:124 *3472:89 2.01428e-05 +124 *2091:124 *3474:12 7.5301e-06 +125 *2091:124 *4805:73 0.000158451 +126 *2091:124 *4813:56 6.37466e-05 +127 *22561:A *22561:C 6.08467e-05 +128 *22579:A *22579:C 1.73087e-05 +129 *494:36 *22537:C 7.09666e-06 +130 *1551:67 *22559:D 4.31703e-05 +131 *2088:103 *20815:C 7.50722e-05 +*RES +1 *20814:Y *2091:4 9.24915 +2 *2091:4 *2091:7 17.9793 +3 *2091:7 *22537:C 18.9623 +4 *2091:7 *2091:19 10.9675 +5 *2091:19 *22552:C 16.691 +6 *2091:19 *2091:28 3.90826 +7 *2091:28 *22550:C 14.4725 +8 *2091:28 *2091:37 3.493 +9 *2091:37 *22548:C 15.0513 +10 *2091:37 *2091:48 36.7132 +11 *2091:48 *22559:D 15.0271 +12 *2091:48 *2091:57 4.32351 +13 *2091:57 *2091:60 11.8786 +14 *2091:60 *2091:63 7.1625 +15 *2091:63 *22561:C 14.4725 +16 *2091:63 *2091:72 6.81502 +17 *2091:72 *22571:C 16.1364 +18 *2091:72 *2091:83 12.1455 +19 *2091:83 *22583:C 15.181 +20 *2091:83 *2091:96 1.8326 +21 *2091:96 *2091:99 6.74725 +22 *2091:99 *22569:C 13.7491 +23 *2091:99 *2091:106 4.94639 +24 *2091:106 *22591:D 24.2612 +25 *2091:106 *2091:124 7.95697 +26 *2091:124 *22543:C 9.82786 +27 *2091:124 *22524:D 12.191 +28 *2091:96 *22516:D 16.6519 +29 *2091:60 *22563:C 12.4803 +30 *2091:57 *22579:C 24.106 +31 *2091:4 *20815:C 21.635 +*END + +*D_NET *2092 0.00101533 +*CONN +*I *20816:A I *D sky130_fd_sc_hd__buf_8 +*I *20815:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *20816:A 0.000338691 +2 *20815:X 0.000338691 +3 *20816:A *2093:9 1.43983e-05 +4 *20816:A *3177:27 0.000101644 +5 *20816:A *3479:10 5.82934e-05 +6 *24364:D *20816:A 0.00016361 +*RES +1 *20815:X *20816:A 35.8205 +*END + +*D_NET *2093 0.105769 +*CONN +*I *20817:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *22694:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22712:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22676:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22604:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22622:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22802:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *22730:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *22766:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *22748:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22545:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22784:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22658:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22640:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20816:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *20817:B2 0.000371083 +2 *22694:B2 0.000426379 +3 *22712:B2 0.00060685 +4 *22676:B2 0.000622259 +5 *22604:B2 0.00062367 +6 *22622:B2 2.3034e-05 +7 *22802:B2 2.0864e-05 +8 *22730:B2 0.000133624 +9 *22766:B2 1.10706e-05 +10 *22748:B2 2.5461e-05 +11 *22545:B2 0 +12 *22784:B2 0.000140095 +13 *22658:B2 0.000550338 +14 *22640:B2 0 +15 *20816:X 6.39303e-05 +16 *2093:201 0.000531292 +17 *2093:181 0.00124806 +18 *2093:158 0.0015279 +19 *2093:157 0.000734435 +20 *2093:153 0.00107999 +21 *2093:151 0.00119132 +22 *2093:149 0.00218467 +23 *2093:138 0.00198064 +24 *2093:136 0.00192358 +25 *2093:101 0.00281041 +26 *2093:98 0.00240556 +27 *2093:79 0.00108481 +28 *2093:68 0.00299344 +29 *2093:51 0.00564619 +30 *2093:29 0.00291573 +31 *2093:15 0.0006278 +32 *2093:10 0.00374832 +33 *2093:9 0.00434373 +34 *2093:5 0.0011911 +35 *20817:B2 *2467:126 0.000624668 +36 *20817:B2 *3177:27 0.000504784 +37 *20817:B2 *3479:10 0 +38 *22604:B2 *21235:B1 7.98171e-06 +39 *22604:B2 *3493:57 5.06289e-05 +40 *22604:B2 *3581:29 0.000474083 +41 *22658:B2 *22655:B1 4.38723e-05 +42 *22658:B2 *22658:B1 9.70097e-06 +43 *22658:B2 *2546:28 2.05342e-06 +44 *22658:B2 *2546:56 4.58003e-05 +45 *22658:B2 *3471:15 0.0010145 +46 *22658:B2 *3474:38 4.42985e-05 +47 *22658:B2 *3476:29 5.56461e-05 +48 *22658:B2 *3517:15 0.000219829 +49 *22658:B2 *3517:36 0.000919464 +50 *22676:B2 *21412:C1 3.53938e-05 +51 *22676:B2 *22356:A2 0.0003932 +52 *22676:B2 *22676:A1 7.09666e-06 +53 *22676:B2 *22676:B1 4.7372e-05 +54 *22676:B2 *2446:145 0.00108392 +55 *22676:B2 *2454:18 2.66117e-05 +56 *22676:B2 *2480:18 8.94611e-05 +57 *22676:B2 *3013:16 0.000469806 +58 *22676:B2 *3517:310 4.86736e-05 +59 *22694:B2 *2501:32 0.000207742 +60 *22694:B2 *3139:244 0.000110505 +61 *22694:B2 *3151:216 3.82228e-05 +62 *22694:B2 *3208:165 0.000428923 +63 *22694:B2 *3476:184 0.000107496 +64 *22712:B2 *21241:B1 0.000142497 +65 *22712:B2 *22712:B1 2.16355e-05 +66 *22712:B2 *22715:B1 3.99086e-06 +67 *22712:B2 *2480:25 1.21461e-06 +68 *22712:B2 *3050:35 9.47944e-05 +69 *22712:B2 *3493:19 2.1268e-06 +70 *22712:B2 *3493:26 0.000400339 +71 *22712:B2 *3666:13 0.0001389 +72 *22730:B2 *2344:11 0.000265919 +73 *22730:B2 *2538:13 0.000275328 +74 *22748:B2 *22060:A1 0.000111802 +75 *22748:B2 *2987:69 6.36477e-05 +76 *22748:B2 *3026:44 9.95542e-06 +77 *22766:B2 *2538:13 6.08467e-05 +78 *22784:B2 *22784:A2 1.48605e-05 +79 *22784:B2 *2697:39 6.36477e-05 +80 *22784:B2 *3722:8 7.60356e-05 +81 *2093:5 *2379:17 0.000186597 +82 *2093:5 *5794:45 7.13972e-05 +83 *2093:9 *2379:17 0.00186872 +84 *2093:9 *2418:10 7.16471e-05 +85 *2093:9 *5794:45 4.31539e-05 +86 *2093:10 *21358:A 5.47232e-06 +87 *2093:10 *22179:A 0.000239865 +88 *2093:10 *22179:B 3.88358e-05 +89 *2093:10 *22193:A 0.000161658 +90 *2093:10 *22195:C 6.1438e-05 +91 *2093:10 *22195:D 2.42668e-05 +92 *2093:10 *22196:C 5.87664e-05 +93 *2093:10 *22638:B2 1.5714e-05 +94 *2093:10 *22639:A2 7.86728e-05 +95 *2093:10 *2115:110 0.000134688 +96 *2093:10 *2123:47 7.4202e-05 +97 *2093:10 *2380:84 1.9101e-05 +98 *2093:10 *2391:49 1.5714e-05 +99 *2093:10 *2392:24 0.000119038 +100 *2093:10 *2689:46 4.55581e-05 +101 *2093:10 *3111:28 0.000725416 +102 *2093:10 *3148:20 1.00009e-05 +103 *2093:10 *3151:37 0.000101052 +104 *2093:10 *3179:134 2.78094e-05 +105 *2093:10 *3481:136 0.000427448 +106 *2093:10 *3483:87 0.000135408 +107 *2093:10 *3527:16 0.000704607 +108 *2093:10 *3529:13 2.41192e-05 +109 *2093:10 *4804:37 0.000282788 +110 *2093:10 *4813:56 2.27135e-05 +111 *2093:10 *4827:32 1.51757e-05 +112 *2093:10 *4827:51 2.2357e-05 +113 *2093:10 *4856:30 0.000387679 +114 *2093:10 *5870:779 0.00162629 +115 *2093:15 *22640:A1 2.03454e-05 +116 *2093:15 *22640:B1 3.41459e-05 +117 *2093:15 *3179:134 2.58144e-05 +118 *2093:15 *4818:79 2.77625e-06 +119 *2093:29 *21194:A1 6.36477e-05 +120 *2093:29 *22640:B1 1.88014e-05 +121 *2093:29 *2256:72 0.000280233 +122 *2093:29 *2411:26 6.08856e-05 +123 *2093:29 *2694:38 2.57465e-06 +124 *2093:29 *3179:134 3.41459e-05 +125 *2093:29 *3471:15 0.000374028 +126 *2093:29 *3474:38 0.000116428 +127 *2093:29 *3517:15 0.000406879 +128 *2093:29 *4818:79 0.000154145 +129 *2093:51 *2378:46 0.00241527 +130 *2093:51 *2813:47 2.0604e-05 +131 *2093:51 *3529:39 5.02797e-05 +132 *2093:51 *4815:116 8.3051e-06 +133 *2093:68 *21801:B1 0 +134 *2093:68 *21801:C1 2.29454e-05 +135 *2093:68 *22663:B1 0.000302705 +136 *2093:68 *22665:A1 7.45845e-05 +137 *2093:68 *22665:A2 0.000107496 +138 *2093:68 *22665:B1 2.7188e-05 +139 *2093:68 *22669:A1 0.000703961 +140 *2093:68 *22670:B 6.64392e-05 +141 *2093:68 *2286:36 3.18039e-05 +142 *2093:68 *2678:17 0.000483499 +143 *2093:68 *2777:60 0.000116125 +144 *2093:68 *2930:67 6.82968e-05 +145 *2093:68 *3136:208 7.57527e-05 +146 *2093:68 *3509:151 6.62712e-05 +147 *2093:68 *5592:70 0.000280042 +148 *2093:68 *5593:46 6.08467e-05 +149 *2093:68 *5593:57 1.9101e-05 +150 *2093:79 *21599:A1 0.000254158 +151 *2093:79 *21801:C1 0.000481907 +152 *2093:79 *2649:64 3.71167e-05 +153 *2093:79 *2664:14 0.000823389 +154 *2093:79 *2680:44 0.000726835 +155 *2093:79 *2817:11 6.17774e-05 +156 *2093:79 *3739:8 2.21241e-05 +157 *2093:79 *5593:46 8.80568e-05 +158 *2093:98 *2534:44 3.33795e-05 +159 *2093:98 *2825:57 0.000822234 +160 *2093:98 *2908:29 4.79233e-05 +161 *2093:98 *3072:20 0.00187833 +162 *2093:98 *3139:177 0.000261646 +163 *2093:98 *3139:244 0.000142627 +164 *2093:98 *3208:165 0.00040289 +165 *2093:98 *3527:54 0.000438874 +166 *2093:98 *4822:80 0.000112652 +167 *2093:101 *3050:35 0.000727524 +168 *2093:101 *3498:231 5.5493e-05 +169 *2093:136 *21241:B1 0.000187913 +170 *2093:136 *21630:B1 0.0004614 +171 *2093:136 *21658:B1 0.000154205 +172 *2093:136 *22724:C 9.51132e-06 +173 *2093:136 *2244:73 0.000632524 +174 *2093:136 *2245:34 1.91391e-05 +175 *2093:136 *2389:96 0.000467008 +176 *2093:136 *2417:82 0.00116284 +177 *2093:136 *2472:15 0.000289374 +178 *2093:136 *2472:27 9.66809e-05 +179 *2093:136 *2527:13 0.000155027 +180 *2093:136 *2949:71 0.000153427 +181 *2093:136 *3042:11 2.07503e-05 +182 *2093:136 *3342:12 0.000187913 +183 *2093:136 *3517:310 0.000246888 +184 *2093:136 *3541:29 0.000478207 +185 *2093:136 *3543:75 0.000563326 +186 *2093:136 *3547:17 0.000162752 +187 *2093:136 *3663:10 1.02986e-05 +188 *2093:136 *4813:110 2.49093e-05 +189 *2093:149 *21412:C1 8.48871e-05 +190 *2093:149 *22018:A 1.09444e-05 +191 *2093:149 *22358:B2 0.00107129 +192 *2093:149 *22722:B1 2.53145e-06 +193 *2093:149 *2294:64 0.000183667 +194 *2093:149 *2298:30 0.000188525 +195 *2093:149 *2446:145 0.000667159 +196 *2093:149 *2777:17 0.00106043 +197 *2093:149 *3327:33 3.95326e-05 +198 *2093:149 *3493:48 0.000167423 +199 *2093:149 *3517:310 8.04172e-05 +200 *2093:151 *22622:A1 0.000107496 +201 *2093:151 *2344:15 7.98171e-06 +202 *2093:151 *3493:48 2.37827e-05 +203 *2093:153 *2117:121 0.00241912 +204 *2093:153 *2117:143 0.000489302 +205 *2093:153 *2344:15 2.35078e-05 +206 *2093:153 *3120:143 5.30803e-05 +207 *2093:153 *3120:163 0.000155662 +208 *2093:153 *3569:7 0.000111802 +209 *2093:157 *22802:B1 0.000159756 +210 *2093:157 *3739:7 0.000107496 +211 *2093:158 *22748:A2 6.02659e-05 +212 *2093:158 *2771:58 3.04443e-05 +213 *2093:158 *2987:69 0.000162112 +214 *2093:181 *22018:D 0.000207883 +215 *2093:181 *22270:B2 0.000488567 +216 *2093:181 *2774:12 0.000414517 +217 *2093:181 *3111:186 0.000154145 +218 *2093:181 *3200:14 2.30481e-05 +219 *2093:181 *3493:88 0.000140108 +220 *2093:181 *3494:25 7.16859e-06 +221 *2093:181 *3546:26 0.000162003 +222 *2093:181 *5904:64 1.6732e-05 +223 *2093:201 *22748:A2 6.1578e-06 +224 *2093:201 *22766:A1 6.08467e-05 +225 *2093:201 *22802:B1 0.000160714 +226 *2093:201 *2344:11 0.000523458 +227 *2093:201 *2538:13 0.000969904 +228 *2093:201 *2771:58 0.000173749 +229 *6079:DIODE *2093:153 0.000442653 +230 *19885:B2 *2093:79 0.000560836 +231 *19899:A *2093:79 0.000438346 +232 *19899:B *2093:79 0.000154145 +233 *20816:A *2093:9 1.43983e-05 +234 *20817:A2 *20817:B2 5.22654e-06 +235 *21235:A2 *22604:B2 1.03403e-05 +236 *21294:B2 *2093:136 8.70824e-05 +237 *21652:B2 *2093:10 0 +238 *21814:A2 *2093:98 0.00032727 +239 *22029:B2 *2093:158 9.28716e-05 +240 *22314:B1 *2093:51 0.000538682 +241 *22545:A1 *2093:181 7.79159e-05 +242 *22730:A1 *22730:B2 0.000154145 +243 *541:38 *20817:B2 1.30489e-05 +244 *1443:60 *2093:51 0.000917951 +245 *1443:60 *2093:68 0.000102291 +246 *1496:130 *22784:B2 0.000132548 +247 *1537:113 *2093:79 0.000776285 +248 *1557:42 *2093:9 0.000169956 +249 *1562:21 *22712:B2 0.000107496 +250 *1562:21 *2093:101 0.00105556 +251 *1564:117 *22604:B2 0.000522201 +252 *1564:119 *22604:B2 0.000156955 +253 *1564:134 *2093:181 0.000260857 +254 *1575:81 *22802:B2 9.95922e-06 +255 *1575:81 *2093:153 0.00212209 +256 *1575:81 *2093:157 3.76166e-05 +257 *1584:95 *2093:181 0.000108044 +258 *1588:131 *2093:181 2.03491e-05 +259 *1592:70 *2093:68 8.62625e-06 +260 *1598:82 *2093:181 7.56514e-05 +261 *1602:7 *2093:79 2.81262e-05 +262 *1610:104 *22676:B2 0.000110306 +263 *1610:115 *2093:149 0.000202101 +264 *1614:30 *2093:68 0 +265 *1631:57 *2093:51 0.000596413 +266 *1645:94 *2093:98 0.001366 +267 *1658:27 *2093:79 0.000425195 +268 *1658:215 *2093:68 4.4078e-05 +269 *1658:223 *2093:68 1.53422e-05 +270 *1720:20 *2093:158 6.36561e-06 +271 *1720:20 *2093:181 1.87113e-05 +272 *1721:154 *2093:158 3.18827e-05 +273 *1721:154 *2093:181 3.47962e-05 +274 *1777:15 *22784:B2 2.57847e-05 +275 *1788:89 *2093:79 0.0001554 +276 *1800:95 *2093:98 0.0001387 +277 *1800:119 *22604:B2 0.000469159 +278 *1802:136 *2093:51 0.00162769 +*RES +1 *20816:X *2093:5 11.6364 +2 *2093:5 *2093:9 29.0714 +3 *2093:9 *2093:10 92.7722 +4 *2093:10 *2093:15 7.32124 +5 *2093:15 *22640:B2 9.24915 +6 *2093:15 *2093:29 17.0958 +7 *2093:29 *22658:B2 21.4629 +8 *2093:29 *2093:51 8.33717 +9 *2093:51 *2093:68 45.4921 +10 *2093:68 *2093:79 46.155 +11 *2093:79 *22784:B2 22.0503 +12 *2093:51 *2093:98 17.984 +13 *2093:98 *2093:101 16.8701 +14 *2093:101 *2093:136 47.0103 +15 *2093:136 *2093:138 3.36879 +16 *2093:138 *2093:149 36.2366 +17 *2093:149 *2093:151 4.05102 +18 *2093:151 *2093:153 40.6549 +19 *2093:153 *2093:157 7.44181 +20 *2093:157 *2093:158 6.39977 +21 *2093:158 *2093:181 45.5849 +22 *2093:181 *22545:B2 9.24915 +23 *2093:158 *22748:B2 15.0271 +24 *2093:157 *2093:201 20.4166 +25 *2093:201 *22766:B2 9.97254 +26 *2093:201 *22730:B2 15.7356 +27 *2093:153 *22802:B2 9.82786 +28 *2093:151 *22622:B2 9.82786 +29 *2093:149 *22604:B2 37.6457 +30 *2093:138 *22676:B2 22.0917 +31 *2093:101 *22712:B2 30.4176 +32 *2093:98 *22694:B2 25.8162 +33 *2093:5 *20817:B2 31.2929 +*END + +*D_NET *2094 0.000570235 +*CONN +*I *20819:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *20818:X O *D sky130_fd_sc_hd__o31a_1 +*CAP +1 *20819:A2 0.000139723 +2 *20818:X 0.000139723 +3 *20819:A2 *24908:CLK 1.00981e-05 +4 *20819:A2 *2428:87 8.36769e-05 +5 *20819:A2 *4829:57 3.28906e-05 +6 *20819:B1 *20819:A2 0.000118166 +7 *1884:271 *20819:A2 1.91391e-05 +8 *2063:23 *20819:A2 2.68182e-05 +*RES +1 *20818:X *20819:A2 31.9934 +*END + +*D_NET *2095 0.00584732 +*CONN +*I *20823:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *22520:B I *D sky130_fd_sc_hd__or2_4 +*I *22523:B I *D sky130_fd_sc_hd__or2_4 +*I *20820:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20823:A1 8.24817e-05 +2 *22520:B 0.000140677 +3 *22523:B 7.3458e-05 +4 *20820:Y 9.09005e-05 +5 *2095:14 0.000694615 +6 *2095:9 0.000653861 +7 *20823:A1 *20823:A3 0.000113562 +8 *20823:A1 *20823:B1 0.000196022 +9 *20823:A1 *2096:7 2.42208e-05 +10 *20823:A1 *4876:38 6.34564e-05 +11 *22520:B *24938:A 0.000200951 +12 *22523:B *2384:103 0.00020736 +13 *22523:B *2439:31 2.88923e-05 +14 *2095:9 *5946:22 1.91391e-05 +15 *2095:14 *24938:A 0.000245617 +16 *2095:14 *2423:33 0.000157042 +17 *2095:14 *5263:9 3.5353e-05 +18 *20821:A *2095:9 0.000281912 +19 *20822:A2 *20823:A1 2.0456e-06 +20 *24370:D *20823:A1 1.65872e-05 +21 *518:58 *2095:9 1.91391e-05 +22 *545:32 *22520:B 0 +23 *545:32 *2095:14 0 +24 *1419:18 *2095:9 0.000458924 +25 *1419:18 *2095:14 0.00132161 +26 *2088:34 *2095:9 0.000199996 +27 *2088:34 *2095:14 0.000519498 +*RES +1 *20820:Y *2095:9 18.1583 +2 *2095:9 *2095:14 9.70908 +3 *2095:14 *22523:B 16.1364 +4 *2095:14 *22520:B 17.6574 +5 *2095:9 *20823:A1 20.66 +*END + +*D_NET *2096 0.00196558 +*CONN +*I *20823:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *20829:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *20821:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20823:A2 0 +2 *20829:A2 0.000549413 +3 *20821:Y 0.000156708 +4 *2096:7 0.000706122 +5 *20829:A2 *20829:A3 6.50727e-05 +6 *20829:A2 *2423:33 7.12632e-06 +7 *20829:A2 *3177:37 0 +8 *20829:A2 *4876:38 0 +9 *20829:A2 *5859:277 6.50727e-05 +10 *20829:A2 *5946:22 0 +11 *20829:A2 *5946:36 0 +12 *2096:7 *20823:B1 1.97586e-05 +13 *2096:7 *2423:33 0.000311235 +14 *20821:A *2096:7 6.08467e-05 +15 *20823:A1 *2096:7 2.42208e-05 +16 *518:58 *20829:A2 0 +*RES +1 *20821:Y *2096:7 14.4335 +2 *2096:7 *20829:A2 29.5248 +3 *2096:7 *20823:A2 9.24915 +*END + +*D_NET *2097 0.000976227 +*CONN +*I *20823:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *20822:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *20823:B1 0.000215047 +2 *20822:X 0.000215047 +3 *20823:B1 *2422:27 6.50586e-05 +4 *20823:B1 *4876:38 3.04046e-05 +5 *20822:A1 *20823:B1 6.92705e-05 +6 *20822:A2 *20823:B1 5.1493e-06 +7 *20823:A1 *20823:B1 0.000196022 +8 *24370:D *20823:B1 0.000160469 +9 *2096:7 *20823:B1 1.97586e-05 +*RES +1 *20822:X *20823:B1 34.0189 +*END + +*D_NET *2098 0.0279028 +*CONN +*I *20826:A I *D sky130_fd_sc_hd__or2_4 +*I *22593:A I *D sky130_fd_sc_hd__or4_1 +*I *22591:A I *D sky130_fd_sc_hd__or4_1 +*I *22524:A I *D sky130_fd_sc_hd__or4_1 +*I *22516:A I *D sky130_fd_sc_hd__or4_1 +*I *22581:A I *D sky130_fd_sc_hd__or4_1 +*I *22559:A I *D sky130_fd_sc_hd__or4_1 +*I *22535:A I *D sky130_fd_sc_hd__or4_1 +*I *22546:A I *D sky130_fd_sc_hd__or4_1 +*I *20824:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20826:A 2.3034e-05 +2 *22593:A 0.000158579 +3 *22591:A 0.000393004 +4 *22524:A 7.46993e-05 +5 *22516:A 0.00042166 +6 *22581:A 5.00007e-05 +7 *22559:A 0.000107312 +8 *22535:A 1.47608e-05 +9 *22546:A 3.24738e-05 +10 *20824:Y 7.18598e-05 +11 *2098:82 0.000669375 +12 *2098:63 0.00101259 +13 *2098:58 0.000661236 +14 *2098:49 0.000932074 +15 *2098:36 0.00181795 +16 *2098:34 0.00196789 +17 *2098:19 0.00123131 +18 *2098:10 0.00115109 +19 *2098:5 0.00110909 +20 *22516:A *2635:87 8.11725e-05 +21 *22516:A *3126:10 5.31569e-06 +22 *22516:A *3491:10 0.000108301 +23 *22516:A *3491:140 0.000245573 +24 *22516:A *5944:90 6.23461e-05 +25 *22516:A *5948:145 0.000170442 +26 *22524:A *3126:10 0 +27 *22524:A *3126:12 5.81031e-05 +28 *22524:A *3491:140 2.57465e-06 +29 *22524:A *5944:90 0.000110477 +30 *22535:A *5948:39 6.08467e-05 +31 *22546:A *2978:99 0.000133863 +32 *22546:A *3472:21 0.000133863 +33 *22559:A *22560:A 4.73169e-05 +34 *22559:A *3469:19 0.000302611 +35 *22581:A *22581:B 3.58208e-05 +36 *22581:A *22581:D 0.000207266 +37 *22581:A *3519:24 0.000107496 +38 *22591:A *22591:C 0.000207892 +39 *22591:A *22593:C 0.000120482 +40 *22591:A *3204:140 5.88009e-05 +41 *22591:A *3474:12 0.000379277 +42 *22591:A *4805:73 3.54024e-05 +43 *22593:A *22593:D 0.00035152 +44 *22593:A *3517:15 0.000110865 +45 *22593:A *4818:73 0.00035152 +46 *22593:A *5948:169 0.000117961 +47 *2098:5 *20824:A 6.08467e-05 +48 *2098:5 *20827:A 0.000239718 +49 *2098:5 *2100:7 6.50586e-05 +50 *2098:5 *2896:7 8.80953e-05 +51 *2098:10 *2100:7 0.0011452 +52 *2098:10 *2100:12 2.03271e-05 +53 *2098:10 *2720:24 0.000200266 +54 *2098:10 *2896:7 0.000453802 +55 *2098:10 *4845:359 0 +56 *2098:10 *5944:45 0.00118581 +57 *2098:10 *5944:54 5.88009e-05 +58 *2098:19 *5944:54 0.000131539 +59 *2098:34 *22159:A 0.000125668 +60 *2098:34 *22160:A 5.15316e-05 +61 *2098:34 *2100:12 0.000250601 +62 *2098:34 *2100:23 8.9196e-05 +63 *2098:34 *2115:48 0.000117608 +64 *2098:34 *2115:59 0.000170592 +65 *2098:34 *3118:10 2.67922e-05 +66 *2098:34 *3118:27 3.62829e-05 +67 *2098:34 *3479:53 0.000133035 +68 *2098:34 *3500:18 7.56369e-05 +69 *2098:34 *3502:11 0.000275776 +70 *2098:34 *5944:54 5.93329e-05 +71 *2098:34 *5944:68 0.000138789 +72 *2098:36 *2418:24 0.000229268 +73 *2098:36 *3112:8 9.80784e-05 +74 *2098:36 *3112:19 0.00053152 +75 *2098:36 *3139:10 6.27072e-05 +76 *2098:36 *3479:53 8.20363e-05 +77 *2098:36 *5948:104 0.00129127 +78 *2098:49 *22581:B 0.0001607 +79 *2098:49 *2418:24 0.000778892 +80 *2098:49 *3479:58 0.00038116 +81 *2098:49 *3517:15 0.000488935 +82 *2098:49 *3523:16 8.83446e-05 +83 *2098:49 *3531:11 1.55462e-05 +84 *2098:49 *4813:56 1.34986e-05 +85 *2098:49 *5948:104 0.000117395 +86 *2098:58 *3517:15 4.27675e-05 +87 *2098:58 *3531:11 7.77309e-06 +88 *2098:58 *5948:169 2.69685e-05 +89 *2098:63 *22581:D 6.08467e-05 +90 *2098:63 *5948:152 0.000686785 +91 *2098:82 *3517:15 0.000148877 +92 *2098:82 *5948:169 0.000154591 +93 *22516:D *22516:A 4.45999e-05 +94 *22535:C *22535:A 6.08467e-05 +95 *22550:A *2098:34 7.99851e-05 +96 *545:29 *2098:10 0.000818895 +97 *545:29 *2098:19 0.00013521 +98 *545:29 *2098:34 0.000203111 +99 *2088:113 *2098:34 4.0605e-06 +100 *2089:37 *2098:34 6.77585e-05 +101 *2089:37 *2098:36 1.32834e-05 +102 *2091:72 *2098:49 3.86874e-05 +103 *2091:83 *2098:49 0.000260957 +*RES +1 *20824:Y *2098:5 12.7456 +2 *2098:5 *2098:10 43.7565 +3 *2098:10 *22546:A 15.3406 +4 *2098:10 *2098:19 3.07775 +5 *2098:19 *22535:A 14.4725 +6 *2098:19 *2098:34 31.4981 +7 *2098:34 *2098:36 27.37 +8 *2098:36 *22559:A 17.2456 +9 *2098:36 *2098:49 27.6509 +10 *2098:49 *22581:A 16.1364 +11 *2098:49 *2098:58 1.00149 +12 *2098:58 *2098:63 17.4878 +13 *2098:63 *22516:A 25.0693 +14 *2098:63 *22524:A 15.9964 +15 *2098:58 *2098:82 3.493 +16 *2098:82 *22591:A 31.3369 +17 *2098:82 *22593:A 20.4627 +18 *2098:5 *20826:A 9.82786 +*END + +*D_NET *2099 0.00517399 +*CONN +*I *20831:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22518:B I *D sky130_fd_sc_hd__or2_4 +*I *20826:B I *D sky130_fd_sc_hd__or2_4 +*I *20825:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20831:A1 2.3034e-05 +2 *22518:B 0 +3 *20826:B 0.000182299 +4 *20825:Y 4.18318e-05 +5 *2099:9 0.000697121 +6 *2099:5 0.000579688 +7 *20826:B *4829:57 7.26119e-05 +8 *2099:5 *20831:A2 6.08467e-05 +9 *2099:5 *2949:57 3.95516e-05 +10 *2099:5 *5857:196 0.000174074 +11 *2099:5 *5944:11 3.14978e-05 +12 *2099:5 *5944:13 5.94362e-05 +13 *2099:9 *20831:B2 0.000112646 +14 *2099:9 *22518:A 0.000210569 +15 *2099:9 *2103:16 0.000207266 +16 *2099:9 *2949:55 0.000217951 +17 *2099:9 *2949:57 0.000173861 +18 *2099:9 *5944:13 2.99287e-05 +19 *2099:9 *5944:17 4.58907e-05 +20 *2099:9 *5944:23 0.00109807 +21 *2099:9 *5945:11 0.000116893 +22 *20811:A *2099:9 0.000507114 +23 *1884:262 *20826:B 3.83658e-05 +24 *2088:11 *2099:9 0.000453443 +*RES +1 *20825:Y *2099:5 12.191 +2 *2099:5 *2099:9 25.1501 +3 *2099:9 *20826:B 22.1574 +4 *2099:9 *22518:B 9.24915 +5 *2099:5 *20831:A1 9.82786 +*END + +*D_NET *2100 0.0270353 +*CONN +*I *20827:A I *D sky130_fd_sc_hd__inv_2 +*I *22526:B I *D sky130_fd_sc_hd__or3_1 +*I *22543:A I *D sky130_fd_sc_hd__or3_1 +*I *22587:A I *D sky130_fd_sc_hd__or3_1 +*I *22589:A I *D sky130_fd_sc_hd__or3_1 +*I *22563:B I *D sky130_fd_sc_hd__or3_1 +*I *22531:B I *D sky130_fd_sc_hd__or3_1 +*I *22548:B I *D sky130_fd_sc_hd__or3_1 +*I *22552:A I *D sky130_fd_sc_hd__or3_1 +*I *20826:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *20827:A 0.000420867 +2 *22526:B 0.000112744 +3 *22543:A 1.28414e-05 +4 *22587:A 9.81838e-05 +5 *22589:A 6.2601e-05 +6 *22563:B 0 +7 *22531:B 0.000173316 +8 *22548:B 0.000285962 +9 *22552:A 3.51473e-05 +10 *20826:X 0 +11 *2100:76 0.000374929 +12 *2100:72 0.00051368 +13 *2100:68 0.00128281 +14 *2100:58 0.000986225 +15 *2100:55 0.00147184 +16 *2100:37 0.00194959 +17 *2100:23 0.000962892 +18 *2100:12 0.00181833 +19 *2100:7 0.00237405 +20 *2100:4 0.00128137 +21 *20827:A *20824:A 3.31745e-05 +22 *20827:A *4876:29 7.07123e-05 +23 *20827:A *5857:263 2.77419e-05 +24 *22526:B *2275:9 0.000139947 +25 *22531:B *22531:A 3.82228e-05 +26 *22531:B *22531:C 7.92757e-06 +27 *22531:B *3483:8 1.21461e-06 +28 *22543:A *22524:C 6.08467e-05 +29 *22548:B *22549:A 9.5562e-05 +30 *22552:A *2122:23 0.000107496 +31 *22552:A *4876:7 3.82228e-05 +32 *22587:A *3111:94 0.000129091 +33 *22587:A *3466:21 0.000133323 +34 *22587:A *3491:140 3.63593e-05 +35 *22587:A *4813:56 0 +36 *22589:A *22589:C 0.000107496 +37 *22589:A *2595:11 7.92757e-06 +38 *22589:A *4818:73 0.000163504 +39 *2100:7 *20518:A1 6.92705e-05 +40 *2100:12 *20513:A1 0.000130517 +41 *2100:12 *22538:A 0.000135313 +42 *2100:12 *22546:D 0.000187913 +43 *2100:12 *3109:17 2.57465e-06 +44 *2100:12 *3472:30 0.000430139 +45 *2100:12 *4844:303 0 +46 *2100:12 *4845:359 0 +47 *2100:12 *5857:263 1.5714e-05 +48 *2100:12 *5944:45 0.00118941 +49 *2100:12 *5944:54 0.000252308 +50 *2100:12 *5944:68 0.000128064 +51 *2100:23 *22553:A 0.000126483 +52 *2100:23 *3109:17 3.5455e-05 +53 *2100:23 *3500:18 6.70195e-05 +54 *2100:37 *22541:B 0.000347214 +55 *2100:37 *22549:A 0.000285154 +56 *2100:37 *3498:11 8.6931e-05 +57 *2100:37 *5948:81 8.3506e-05 +58 *2100:55 *22148:A 0.000358636 +59 *2100:55 *22151:A 6.19742e-05 +60 *2100:55 *22226:A 3.17103e-05 +61 *2100:55 *22226:B 0.000273822 +62 *2100:55 *2115:100 0.000138448 +63 *2100:55 *2115:139 0.000455001 +64 *2100:55 *2115:161 0.000256357 +65 *2100:55 *2950:125 0.000129666 +66 *2100:55 *3052:28 5.99155e-05 +67 *2100:55 *3116:17 0.00013521 +68 *2100:55 *3118:27 0.000220277 +69 *2100:55 *3126:10 0.000130543 +70 *2100:55 *3469:19 5.04829e-06 +71 *2100:55 *3498:11 1.86178e-05 +72 *2100:55 *5853:334 7.9849e-05 +73 *2100:55 *5944:69 0.00018869 +74 *2100:55 *5948:81 7.20738e-05 +75 *2100:55 *5948:95 0.000302134 +76 *2100:58 *3472:69 2.52964e-05 +77 *2100:58 *5944:80 0.000171187 +78 *2100:68 *22574:A 0.000139023 +79 *2100:68 *2117:20 6.93465e-05 +80 *2100:68 *2379:26 7.63124e-05 +81 *2100:68 *2930:21 1.39795e-05 +82 *2100:68 *3126:10 0.000994999 +83 *2100:68 *3491:10 0.000222745 +84 *2100:68 *3491:140 9.09818e-05 +85 *2100:72 *2275:9 0.000110297 +86 *2100:72 *3126:10 0.000209993 +87 *2100:72 *3126:12 0 +88 *2100:72 *3469:52 6.22114e-05 +89 *2100:72 *3469:65 0.00011832 +90 *2100:72 *3491:140 1.38817e-05 +91 *2100:72 *4806:24 7.26959e-06 +92 *2100:76 *22544:A 2.40371e-05 +93 *2100:76 *22588:A 6.22114e-05 +94 *2100:76 *3491:140 0.000193555 +95 *2100:76 *4806:24 7.39223e-06 +96 *22524:D *22543:A 6.36477e-05 +97 *22550:A *2100:23 7.99851e-05 +98 *24566:D *2100:12 1.84545e-05 +99 *1450:113 *2100:7 4.79321e-06 +100 *1557:42 *2100:12 0 +101 *1982:75 *2100:12 0 +102 *2063:68 *20827:A 0.000227227 +103 *2088:113 *2100:23 0.000163515 +104 *2089:45 *2100:58 0.000107052 +105 *2089:53 *2100:68 4.03381e-05 +106 *2098:5 *20827:A 0.000239718 +107 *2098:5 *2100:7 6.50586e-05 +108 *2098:10 *2100:7 0.0011452 +109 *2098:10 *2100:12 2.03271e-05 +110 *2098:34 *2100:12 0.000250601 +111 *2098:34 *2100:23 8.9196e-05 +*RES +1 *20826:X *2100:4 9.24915 +2 *2100:4 *2100:7 19.0885 +3 *2100:7 *2100:12 43.0152 +4 *2100:12 *22552:A 15.0271 +5 *2100:12 *2100:23 12.5608 +6 *2100:23 *22548:B 13.3002 +7 *2100:23 *2100:37 14.4581 +8 *2100:37 *22531:B 16.1364 +9 *2100:37 *2100:55 47.7584 +10 *2100:55 *2100:58 7.993 +11 *2100:58 *22563:B 9.24915 +12 *2100:58 *2100:68 32.5995 +13 *2100:68 *2100:72 11.3473 +14 *2100:72 *2100:76 5.05631 +15 *2100:76 *22589:A 16.1605 +16 *2100:76 *22587:A 21.4834 +17 *2100:72 *22543:A 14.4725 +18 *2100:68 *22526:B 11.0817 +19 *2100:4 *20827:A 27.0389 +*END + +*D_NET *2101 0.00150519 +*CONN +*I *20829:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *20827:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20829:A3 0.000183453 +2 *20827:Y 0.000183453 +3 *20829:A3 *4876:29 0.000109936 +4 *20829:A3 *4876:38 0.000191166 +5 *20829:A3 *5859:277 0.000248904 +6 *20829:A3 *5859:288 1.61631e-05 +7 *20829:A2 *20829:A3 6.50727e-05 +8 *20858:B2 *20829:A3 0.000123059 +9 *2063:46 *20829:A3 0.000256846 +10 *2063:68 *20829:A3 0.000127135 +*RES +1 *20827:Y *20829:A3 37.1186 +*END + +*D_NET *2102 0.00376809 +*CONN +*I *20830:A I *D sky130_fd_sc_hd__inv_2 +*I *20858:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *20829:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *20828:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20830:A 0 +2 *20858:A2 0 +3 *20829:B2 0.000160813 +4 *20828:X 0.000136607 +5 *2102:19 0.00045515 +6 *2102:5 0.000430945 +7 *20829:B2 *5859:288 0.000699447 +8 *2102:5 *20828:A 0.000111722 +9 *2102:5 *2103:10 1.65872e-05 +10 *2102:5 *2103:45 6.63489e-05 +11 *2102:5 *6028:70 0.000154145 +12 *2102:19 *2103:10 0.000288708 +13 *2102:19 *5859:288 0.000123247 +14 *2102:19 *6028:70 0.000374711 +15 *2102:19 *6028:78 0.000496381 +16 *20858:B2 *20829:B2 0.000253275 +17 *20858:B2 *2102:19 0 +*RES +1 *20828:X *2102:5 13.3002 +2 *2102:5 *2102:19 12.8041 +3 *2102:19 *20829:B2 17.1824 +4 *2102:19 *20858:A2 9.24915 +5 *2102:5 *20830:A 9.24915 +*END + +*D_NET *2103 0.023479 +*CONN +*I *20871:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *20873:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *20831:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20856:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *20848:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *20830:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20871:A3 2.60774e-05 +2 *20873:A1 0 +3 *20831:B2 2.40367e-05 +4 *20856:B2 0.00082267 +5 *20848:B1 0.000135841 +6 *20830:Y 0 +7 *2103:62 0.00118237 +8 *2103:46 0.00252213 +9 *2103:45 0.00285693 +10 *2103:16 0.00181609 +11 *2103:10 0.00162102 +12 *2103:4 0.00228265 +13 *20831:B2 *5944:17 6.51721e-05 +14 *20848:B1 *20848:A2 6.27744e-05 +15 *20848:B1 *22143:A 0.000207266 +16 *20848:B1 *22143:B 1.65872e-05 +17 *20848:B1 *2119:10 0.000154145 +18 *20848:B1 *4843:488 0.000275414 +19 *20856:B2 *20854:A 3.58044e-05 +20 *20856:B2 *20854:B 6.08467e-05 +21 *20856:B2 *20856:B1 5.04829e-06 +22 *20856:B2 *22143:B 3.31745e-05 +23 *20856:B2 *2122:5 0.000262354 +24 *20856:B2 *2394:17 5.37805e-05 +25 *20856:B2 *3076:46 0.000133119 +26 *20856:B2 *4843:488 0.000243944 +27 *20856:B2 *5857:189 0 +28 *20856:B2 *5953:32 0.000140948 +29 *20871:A3 *6027:19 0.000107496 +30 *2103:10 *24368:CLK 0.000229664 +31 *2103:10 *2667:42 0 +32 *2103:16 *20846:B2 0.000301303 +33 *2103:16 *20847:A 0.000139435 +34 *2103:16 *22111:A 0.00012883 +35 *2103:16 *2107:20 0.000314946 +36 *2103:45 *20828:A 0.000500106 +37 *2103:45 *2398:80 9.26783e-06 +38 *2103:45 *5503:9 0.000104553 +39 *2103:45 *5503:16 6.40265e-05 +40 *2103:46 *24173:CLK 0.000122068 +41 *2103:46 *2132:12 5.05252e-05 +42 *2103:46 *2333:37 4.92017e-06 +43 *2103:46 *2333:38 0 +44 *2103:46 *4866:55 0.000364103 +45 *2103:46 *4866:70 0.000729086 +46 *2103:46 *4939:10 0.000379361 +47 *2103:46 *5871:839 0 +48 *2103:46 *6029:75 9.60216e-05 +49 *2103:62 *20870:B 4.34675e-05 +50 *2103:62 *20870:C 8.86025e-05 +51 *2103:62 *2132:12 0.000529969 +52 *2103:62 *5956:32 0.000256513 +53 *20260:A2 *2103:46 8.46703e-05 +54 *20317:B2 *2103:45 2.65831e-05 +55 *20762:A3 *2103:46 0.000187767 +56 *20762:A3 *2103:62 1.07248e-05 +57 *20846:A2 *2103:16 0.000278952 +58 *20871:A2 *20871:A3 0.000107496 +59 *24171:D *2103:62 9.34404e-05 +60 *324:11 *2103:62 0 +61 *504:16 *20856:B2 8.21849e-06 +62 *504:16 *2103:16 0.000233066 +63 *510:71 *2103:62 0.000104368 +64 *537:33 *2103:62 0.00049362 +65 *1419:184 *2103:16 0.000105837 +66 *1573:71 *2103:62 0.000108627 +67 *1636:36 *2103:10 0.000408405 +68 *1636:52 *20856:B2 0.000162086 +69 *1709:78 *20856:B2 0.000452343 +70 *1865:17 *20856:B2 0 +71 *1901:56 *2103:46 5.0459e-05 +72 *2062:42 *2103:46 0.000257093 +73 *2062:42 *2103:62 9.2346e-06 +74 *2099:9 *20831:B2 0.000112646 +75 *2099:9 *2103:16 0.000207266 +76 *2102:5 *2103:10 1.65872e-05 +77 *2102:5 *2103:45 6.63489e-05 +78 *2102:19 *2103:10 0.000288708 +*RES +1 *20830:Y *2103:4 9.24915 +2 *2103:4 *2103:10 25.1334 +3 *2103:10 *2103:16 34.8123 +4 *2103:16 *20848:B1 14.9881 +5 *2103:16 *20856:B2 43.5475 +6 *2103:10 *20831:B2 10.5271 +7 *2103:4 *2103:45 27.4075 +8 *2103:45 *2103:46 42.1115 +9 *2103:46 *2103:62 44.8628 +10 *2103:62 *20873:A1 9.24915 +11 *2103:46 *20871:A3 15.0271 +*END + +*D_NET *2104 0.00130663 +*CONN +*I *20846:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *22111:A I *D sky130_fd_sc_hd__or2_4 +*I *20832:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20846:A1 2.3034e-05 +2 *22111:A 8.89999e-05 +3 *20832:Y 8.56991e-05 +4 *2104:5 0.000197733 +5 *22111:A *2107:20 0.000132291 +6 *22111:A *2113:21 2.65667e-05 +7 *22111:A *5954:24 6.08467e-05 +8 *2104:5 *20832:A 6.64392e-05 +9 *2104:5 *20846:B2 0.000107496 +10 *2104:5 *20849:B1_N 1.65872e-05 +11 *2104:5 *2113:21 1.41853e-05 +12 *2104:5 *5954:24 0.000347839 +13 *20846:B1 *2104:5 1.00846e-05 +14 *2103:16 *22111:A 0.00012883 +*RES +1 *20832:Y *2104:5 13.3002 +2 *2104:5 *22111:A 21.635 +3 *2104:5 *20846:A1 9.82786 +*END + +*D_NET *2105 0.00149767 +*CONN +*I *20842:B I *D sky130_fd_sc_hd__or2_1 +*I *20841:A I *D sky130_fd_sc_hd__nor3_1 +*I *20833:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20842:B 2.19601e-05 +2 *20841:A 2.3451e-05 +3 *20833:Y 0.000241326 +4 *2105:9 0.000286738 +5 *20841:A *20851:A2 6.08467e-05 +6 *2105:9 *20842:A 2.65667e-05 +7 *2105:9 *20849:A2 0.000435033 +8 *2105:9 *20849:B1_N 2.1203e-06 +9 *2105:9 *20851:A2 0.000111722 +10 *2105:9 *22111:B 0.00011445 +11 *2105:9 *5953:32 1.07248e-05 +12 *20841:B *20842:B 2.53145e-06 +13 *20846:B1 *20841:A 1.03403e-05 +14 *20846:B1 *2105:9 3.12259e-05 +15 *504:16 *2105:9 0.000103246 +16 *1636:52 *2105:9 1.53909e-05 +*RES +1 *20833:Y *2105:9 26.7658 +2 *2105:9 *20841:A 9.97254 +3 *2105:9 *20842:B 9.82786 +*END + +*D_NET *2106 0.0020355 +*CONN +*I *20854:A I *D sky130_fd_sc_hd__or2_2 +*I *20856:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *20836:A I *D sky130_fd_sc_hd__or2_2 +*I *20834:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20854:A 3.42713e-05 +2 *20856:B1 3.16108e-05 +3 *20836:A 6.27714e-05 +4 *20834:Y 0.00019259 +5 *2106:19 0.000128398 +6 *2106:8 0.000317878 +7 *20836:A *20836:B 6.08467e-05 +8 *20836:A *20855:B 0.000107496 +9 *20836:A *22144:A 3.31745e-05 +10 *20836:A *2411:5 0.000258142 +11 *20854:A *20854:B 6.50727e-05 +12 *20854:A *2394:17 0.00014642 +13 *20856:B1 *2122:5 1.18914e-05 +14 *20856:B1 *2394:17 8.41713e-05 +15 *2106:8 *2115:14 0.000148951 +16 *2106:8 *3093:10 3.10924e-05 +17 *2106:8 *3108:30 6.51527e-05 +18 *2106:19 *2115:14 0.00010817 +19 *2106:19 *3108:30 0.000101074 +20 *20856:B2 *20854:A 3.58044e-05 +21 *20856:B2 *20856:B1 5.04829e-06 +22 *1709:78 *2106:8 5.4694e-06 +*RES +1 *20834:Y *2106:8 18.1049 +2 *2106:8 *20836:A 16.691 +3 *2106:8 *2106:19 6.74725 +4 *2106:19 *20856:B1 10.2498 +5 *2106:19 *20854:A 11.0817 +*END + +*D_NET *2107 0.00733872 +*CONN +*I *20836:B I *D sky130_fd_sc_hd__or2_2 +*I *20855:B I *D sky130_fd_sc_hd__or2_2 +*I *20858:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *20835:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20836:B 1.30387e-05 +2 *20855:B 0.000186376 +3 *20858:B1 0.000181845 +4 *20835:Y 2.92944e-05 +5 *2107:20 0.0014098 +6 *2107:5 0.00142152 +7 *20836:B *22144:A 4.66492e-05 +8 *20855:B *22144:A 0.000216545 +9 *20855:B *22177:C 0.000263648 +10 *20855:B *2123:10 5.89592e-05 +11 *20855:B *2411:5 0.000140888 +12 *20858:B1 *3093:12 6.42671e-05 +13 *20858:B1 *5949:41 5.481e-05 +14 *2107:5 *5949:22 1.65872e-05 +15 *2107:5 *5949:41 5.04829e-06 +16 *2107:20 *20843:A 0.000253807 +17 *2107:20 *20847:A 0.000143017 +18 *2107:20 *2115:14 0.000188934 +19 *2107:20 *3093:10 0 +20 *2107:20 *5949:22 5.30145e-05 +21 *20836:A *20836:B 6.08467e-05 +22 *20836:A *20855:B 0.000107496 +23 *22111:A *2107:20 0.000132291 +24 *504:16 *2107:20 0 +25 *1419:6 *20858:B1 0.000156578 +26 *1419:6 *2107:20 7.5512e-05 +27 *1419:179 *2107:20 0.000172395 +28 *1419:184 *2107:20 0.000836803 +29 *2085:41 *2107:20 0.000733811 +30 *2103:16 *2107:20 0.000314946 +*RES +1 *20835:Y *2107:5 9.97254 +2 *2107:5 *20858:B1 23.1595 +3 *2107:5 *2107:20 49.8657 +4 *2107:20 *20855:B 17.4476 +5 *2107:20 *20836:B 9.97254 +*END + +*D_NET *2108 0.0109876 +*CONN +*I *20840:A I *D sky130_fd_sc_hd__or2_1 +*I *20853:A2 I *D sky130_fd_sc_hd__o311a_1 +*I *22145:A I *D sky130_fd_sc_hd__or2_1 +*I *22221:A I *D sky130_fd_sc_hd__or3_1 +*I *22177:A I *D sky130_fd_sc_hd__or3_1 +*I *20850:C I *D sky130_fd_sc_hd__or3_1 +*I *20836:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *20840:A 0.000420808 +2 *20853:A2 0 +3 *22145:A 0 +4 *22221:A 0.000221902 +5 *22177:A 0 +6 *20850:C 0.000132795 +7 *20836:X 0.000613611 +8 *2108:45 0.00042102 +9 *2108:33 0.000547347 +10 *2108:29 0.000738117 +11 *2108:8 0.000559872 +12 *2108:7 0.00107161 +13 *20840:A *22113:B 1.45944e-05 +14 *20840:A *2111:17 1.77537e-06 +15 *20840:A *2112:22 1.58247e-05 +16 *20840:A *2120:12 0 +17 *20840:A *3092:23 3.49052e-05 +18 *20840:A *4823:142 0.000529246 +19 *20840:A *5952:36 7.58217e-06 +20 *20840:A *5952:48 4.82237e-05 +21 *20850:C *20852:A3 0.00010724 +22 *20850:C *2120:12 0.000138386 +23 *20850:C *4823:142 5.12982e-05 +24 *20850:C *5952:23 7.26959e-06 +25 *20850:C *5952:25 7.72394e-06 +26 *22221:A *22145:B 0.000111802 +27 *22221:A *2122:23 0.000400335 +28 *22221:A *3109:8 2.15184e-05 +29 *22221:A *4876:7 0.000152901 +30 *22221:A *5944:69 0.000148129 +31 *2108:7 *22144:A 8.29362e-05 +32 *2108:7 *22177:C 0.000495694 +33 *2108:7 *2411:5 1.4091e-06 +34 *2108:7 *3108:5 4.88955e-05 +35 *2108:8 *4823:142 5.30622e-05 +36 *2108:29 *20852:A1 0.000186018 +37 *2108:29 *21689:B1 0.000958949 +38 *2108:29 *23941:S 1.98294e-05 +39 *2108:29 *2440:54 0.000157659 +40 *2108:33 *22177:C 0.000371245 +41 *2108:33 *3177:27 2.49656e-05 +42 *2108:45 *22145:B 4.314e-05 +43 *2108:45 *22177:C 3.31733e-05 +44 *2108:45 *3104:9 0.000107496 +45 *2108:45 *5948:76 1.55462e-05 +46 *20815:C *2108:33 9.83674e-06 +47 *20853:A1 *2108:33 6.50727e-05 +48 *24364:D *2108:33 5.05976e-05 +49 *518:58 *20840:A 0.000554581 +50 *518:58 *20850:C 4.24063e-05 +51 *518:58 *2108:8 1.69821e-05 +52 *541:35 *2108:33 0.000110675 +53 *541:35 *2108:45 6.43474e-05 +54 *541:38 *2108:29 3.74542e-05 +55 *1439:145 *2108:29 3.17729e-05 +56 *1884:262 *2108:29 3.29488e-05 +57 *2088:88 *2108:33 0.000360145 +58 *2088:103 *22221:A 0.000144546 +59 *2088:103 *2108:33 0.000340333 +*RES +1 *20836:X *2108:7 23.3462 +2 *2108:7 *2108:8 1.20912 +3 *2108:8 *20850:C 18.3387 +4 *2108:8 *2108:29 13.4581 +5 *2108:29 *2108:33 17.8576 +6 *2108:33 *22177:A 13.7491 +7 *2108:33 *2108:45 8.88267 +8 *2108:45 *22221:A 27.3499 +9 *2108:45 *22145:A 9.24915 +10 *2108:29 *20853:A2 9.24915 +11 *2108:7 *20840:A 29.1868 +*END + +*D_NET *2109 0.00400586 +*CONN +*I *20851:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *20839:A I *D sky130_fd_sc_hd__or2_2 +*I *22154:A I *D sky130_fd_sc_hd__or2_2 +*I *20837:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20851:A1 0.00012879 +2 *20839:A 5.87659e-05 +3 *22154:A 0 +4 *20837:Y 4.24874e-05 +5 *2109:8 0.000787837 +6 *2109:7 0.000900349 +7 *20839:A *2123:17 0.000141533 +8 *20839:A *3091:28 0.000116986 +9 *20839:A *5949:74 3.89332e-06 +10 *20851:A1 *20837:A 6.92705e-05 +11 *20851:A1 *20851:A2 0.000113968 +12 *20851:A1 *20851:B2 3.40314e-05 +13 *20851:A1 *4830:79 4.15661e-05 +14 *20851:A1 *5949:41 1.13071e-05 +15 *2109:7 *20851:A2 6.50586e-05 +16 *2109:8 *2120:12 0.000246144 +17 *2109:8 *2123:17 0.000209297 +18 *2109:8 *2425:14 0.000262355 +19 *2109:8 *2689:35 0.000133113 +20 *2109:8 *4830:79 0.000209943 +21 *2109:8 *5949:41 0.000233596 +22 *2109:8 *5949:43 3.17984e-05 +23 *2109:8 *5951:19 1.04965e-05 +24 *2109:8 *5951:28 0.000144034 +25 *2109:8 *5952:23 0 +26 *1636:68 *2109:8 9.2346e-06 +*RES +1 *20837:Y *2109:7 14.4725 +2 *2109:7 *2109:8 22.5946 +3 *2109:8 *22154:A 13.7491 +4 *2109:8 *20839:A 16.4116 +5 *2109:7 *20851:A1 17.3402 +*END + +*D_NET *2110 0.00550505 +*CONN +*I *20850:B I *D sky130_fd_sc_hd__or3_1 +*I *22112:B I *D sky130_fd_sc_hd__or3_1 +*I *22168:B I *D sky130_fd_sc_hd__or3_1 +*I *22173:B I *D sky130_fd_sc_hd__or4_2 +*I *20839:B I *D sky130_fd_sc_hd__or2_2 +*I *20853:A3 I *D sky130_fd_sc_hd__o311a_1 +*I *20838:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20850:B 0.00018865 +2 *22112:B 0.000123016 +3 *22168:B 4.04761e-05 +4 *22173:B 0.000148137 +5 *20839:B 3.21523e-05 +6 *20853:A3 0.000144756 +7 *20838:Y 0 +8 *2110:39 0.000399191 +9 *2110:28 0.000426205 +10 *2110:17 0.000401468 +11 *2110:7 0.000655452 +12 *2110:4 0.000372399 +13 *20839:B *2122:23 0.000213725 +14 *20850:B *22177:C 0.000207266 +15 *20850:B *2120:12 2.20663e-05 +16 *20850:B *5952:25 6.85742e-05 +17 *20853:A3 *20852:A1 6.22114e-05 +18 *20853:A3 *20853:C1 5.42811e-05 +19 *20853:A3 *2440:55 1.91391e-05 +20 *22112:B *2122:23 3.2013e-05 +21 *22168:B *2123:17 6.85778e-05 +22 *22168:B *3091:28 6.85778e-05 +23 *22173:B *2122:23 1.80888e-05 +24 *22173:B *2440:55 6.85778e-05 +25 *2110:7 *22177:C 0.000247443 +26 *2110:17 *20852:A1 3.74542e-05 +27 *2110:17 *2440:55 0.000549876 +28 *2110:17 *5949:52 3.47394e-05 +29 *2110:17 *5949:74 8.35041e-05 +30 *2110:28 *2440:55 9.40059e-05 +31 *2110:28 *5949:74 2.82635e-05 +32 *2110:39 *2122:23 6.37725e-05 +33 *20853:A1 *20853:A3 0.000116764 +34 *1577:183 *20839:B 0.000213725 +35 *1884:260 *22173:B 0 +36 *2088:84 *20853:A3 6.1578e-06 +37 *2088:84 *2110:17 1.16596e-05 +38 *2088:88 *20853:A3 0.00018268 +*RES +1 *20838:Y *2110:4 9.24915 +2 *2110:4 *2110:7 7.44181 +3 *2110:7 *20853:A3 18.523 +4 *2110:7 *2110:17 10.5523 +5 *2110:17 *20839:B 16.1364 +6 *2110:17 *2110:28 6.332 +7 *2110:28 *22173:B 20.9439 +8 *2110:28 *2110:39 3.49641 +9 *2110:39 *22168:B 19.6659 +10 *2110:39 *22112:B 11.0817 +11 *2110:4 *20850:B 22.0531 +*END + +*D_NET *2111 0.0117634 +*CONN +*I *20840:B I *D sky130_fd_sc_hd__or2_1 +*I *22215:C I *D sky130_fd_sc_hd__or4_1 +*I *22183:C I *D sky130_fd_sc_hd__or4_1 +*I *22200:A I *D sky130_fd_sc_hd__or3_1 +*I *22198:A I *D sky130_fd_sc_hd__or3_1 +*I *22190:A I *D sky130_fd_sc_hd__or3_1 +*I *22166:A I *D sky130_fd_sc_hd__or3_1 +*I *20839:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *20840:B 1.96064e-05 +2 *22215:C 0 +3 *22183:C 0.000181658 +4 *22200:A 0 +5 *22198:A 0.000210257 +6 *22190:A 0.000108165 +7 *22166:A 0 +8 *20839:X 0.000117954 +9 *2111:30 0.000416465 +10 *2111:25 0.000534474 +11 *2111:23 0.000484609 +12 *2111:20 0.00101404 +13 *2111:17 0.000705226 +14 *2111:11 0.000479094 +15 *20840:B *2397:85 7.54633e-05 +16 *22183:C *22152:A 7.67734e-06 +17 *22183:C *22163:B 8.70662e-06 +18 *22183:C *22183:A 2.58616e-05 +19 *22183:C *22183:B 2.58616e-05 +20 *22183:C *3108:51 5.32263e-05 +21 *22183:C *3108:58 5.04829e-06 +22 *22183:C *3118:39 0.000133359 +23 *22190:A *22166:B 9.11833e-06 +24 *22190:A *22190:C 0.00020502 +25 *22190:A *3052:38 0.00020502 +26 *22190:A *3108:103 4.74497e-05 +27 *22198:A *22200:C 9.95922e-06 +28 *22198:A *2115:103 2.30248e-05 +29 *22198:A *2115:110 7.56374e-05 +30 *22198:A *3162:11 6.27782e-05 +31 *22198:A *3204:107 0.000170424 +32 *22198:A *4804:37 0.000250405 +33 *2111:11 *2112:11 0 +34 *2111:11 *2120:12 0.000161437 +35 *2111:11 *2123:17 0.000165049 +36 *2111:11 *2397:85 3.58208e-05 +37 *2111:11 *5952:48 0.000107496 +38 *2111:17 *2120:12 0.000354438 +39 *2111:17 *2397:76 0.000119952 +40 *2111:17 *2467:123 1.65872e-05 +41 *2111:17 *3177:16 0.000597572 +42 *2111:17 *5950:58 0.000194565 +43 *2111:17 *5950:60 0.000498566 +44 *2111:20 *22173:C 3.04443e-05 +45 *2111:20 *22174:A 5.84813e-05 +46 *2111:20 *22216:A 3.58321e-05 +47 *2111:20 *22542:A 0 +48 *2111:20 *2117:13 0 +49 *2111:20 *2379:26 5.9648e-06 +50 *2111:20 *2440:55 0 +51 *2111:20 *2467:126 1.06618e-05 +52 *2111:20 *3108:51 7.26959e-06 +53 *2111:20 *3118:39 0.000270184 +54 *2111:20 *3179:12 0.000175779 +55 *2111:23 *2115:103 0.00104376 +56 *2111:23 *3108:39 0.000110458 +57 *2111:23 *3139:10 0.00125754 +58 *2111:23 *4804:37 0.000164503 +59 *2111:25 *2115:103 6.36477e-05 +60 *2111:25 *4804:37 1.65872e-05 +61 *2111:30 *3151:8 5.52147e-05 +62 *2111:30 *3151:37 6.20921e-05 +63 *20840:A *2111:17 1.77537e-06 +64 *506:27 *22198:A 0.000173965 +65 *518:46 *2111:17 0 +66 *2091:48 *2111:30 0.000302152 +*RES +1 *20839:X *2111:11 23.1836 +2 *2111:11 *2111:17 23.8876 +3 *2111:17 *2111:20 14.637 +4 *2111:20 *2111:23 24.0799 +5 *2111:23 *2111:25 0.723396 +6 *2111:25 *2111:30 15.815 +7 *2111:30 *22166:A 9.24915 +8 *2111:30 *22190:A 13.3243 +9 *2111:25 *22198:A 25.9861 +10 *2111:23 *22200:A 9.24915 +11 *2111:20 *22183:C 18.5201 +12 *2111:17 *22215:C 9.24915 +13 *2111:11 *20840:B 10.0811 +*END + +*D_NET *2112 0.00823608 +*CONN +*I *22158:A I *D sky130_fd_sc_hd__or2_4 +*I *20844:A I *D sky130_fd_sc_hd__or2_1 +*I *20848:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *20841:C I *D sky130_fd_sc_hd__nor3_1 +*I *20840:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22158:A 0.000126202 +2 *20844:A 0 +3 *20848:A2 0.000141945 +4 *20841:C 0.00014173 +5 *20840:X 0.000141833 +6 *2112:40 0.000421356 +7 *2112:22 0.00115372 +8 *2112:11 0.00130703 +9 *20841:C *2113:21 6.71354e-05 +10 *20841:C *6028:93 3.63249e-05 +11 *20848:A2 *20848:A1 0.000107496 +12 *20848:A2 *4843:488 5.35246e-05 +13 *22158:A *22158:B 0.000112228 +14 *22158:A *22211:B 2.77564e-05 +15 *22158:A *2800:14 0.000163229 +16 *22158:A *3092:23 0.000130776 +17 *22158:A *3108:33 3.54024e-05 +18 *2112:11 *2397:81 0 +19 *2112:22 *20834:A 6.88203e-05 +20 *2112:22 *20857:A 0.000163245 +21 *2112:22 *22113:B 0.000163982 +22 *2112:22 *2123:10 0.000133725 +23 *2112:22 *3092:7 2.16355e-05 +24 *2112:22 *4823:142 0.00010072 +25 *2112:22 *5950:18 0.000104803 +26 *2112:22 *5950:20 1.01656e-05 +27 *2112:22 *6028:93 9.35753e-06 +28 *2112:22 *6028:102 8.60797e-05 +29 *2112:40 *20857:A 5.0608e-05 +30 *2112:40 *2800:14 0.000676611 +31 *2112:40 *3092:23 0.000690403 +32 *20840:A *2112:22 1.58247e-05 +33 *20848:B1 *20848:A2 6.27744e-05 +34 *24363:D *2112:11 6.88284e-05 +35 *518:58 *20841:C 0.000225069 +36 *518:58 *2112:22 0.00116967 +37 *1419:196 *22158:A 8.20145e-05 +38 *1679:37 *2112:22 1.51735e-05 +39 *1679:37 *2112:40 4.58666e-05 +40 *1709:78 *2112:22 0.000103006 +41 *2111:11 *2112:11 0 +*RES +1 *20840:X *2112:11 17.1491 +2 *2112:11 *2112:22 39.0798 +3 *2112:22 *20841:C 18.0727 +4 *2112:22 *20848:A2 17.8243 +5 *2112:11 *2112:40 14.2896 +6 *2112:40 *20844:A 13.7491 +7 *2112:40 *22158:A 19.2141 +*END + +*D_NET *2113 0.00297873 +*CONN +*I *20849:B1_N I *D sky130_fd_sc_hd__o21ba_1 +*I *20846:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *20841:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *20849:B1_N 0.000255387 +2 *20846:A3 0 +3 *20841:Y 0.000635597 +4 *2113:21 0.000890984 +5 *20849:B1_N *20846:B2 6.08467e-05 +6 *20849:B1_N *20849:A2 4.23858e-05 +7 *20849:B1_N *22111:B 0.000110306 +8 *2113:21 *20846:B2 6.50586e-05 +9 *2113:21 *20847:A 6.08467e-05 +10 *2113:21 *20851:A2 6.08467e-05 +11 *2113:21 *5952:14 9.1094e-05 +12 *2113:21 *5954:24 1.90101e-05 +13 *2113:21 *6028:93 8.50318e-05 +14 *20841:C *2113:21 6.71354e-05 +15 *20846:B1 *20849:B1_N 0.000192007 +16 *20846:B1 *2113:21 0 +17 *22111:A *2113:21 2.65667e-05 +18 *504:16 *20849:B1_N 1.26341e-05 +19 *518:58 *2113:21 6.85058e-05 +20 *1636:52 *20849:B1_N 0.000201595 +21 *2104:5 *20849:B1_N 1.65872e-05 +22 *2104:5 *2113:21 1.41853e-05 +23 *2105:9 *20849:B1_N 2.1203e-06 +*RES +1 *20841:Y *2113:21 33.8724 +2 *2113:21 *20846:A3 9.24915 +3 *2113:21 *20849:B1_N 26.1959 +*END + +*D_NET *2114 0.00136708 +*CONN +*I *20843:A I *D sky130_fd_sc_hd__buf_4 +*I *20842:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20843:A 0.000418711 +2 *20842:X 0.000418711 +3 *20843:A *22143:A 0.000124034 +4 *20843:A *3093:10 5.1315e-05 +5 *20843:A *3093:12 9.1376e-05 +6 *1419:179 *20843:A 9.12416e-06 +7 *2107:20 *20843:A 0.000253807 +*RES +1 *20842:X *20843:A 39.7116 +*END + +*D_NET *2115 0.0371068 +*CONN +*I *22211:A I *D sky130_fd_sc_hd__or2_1 +*I *22234:D I *D sky130_fd_sc_hd__or4_1 +*I *20844:B I *D sky130_fd_sc_hd__or2_1 +*I *22226:A I *D sky130_fd_sc_hd__or2_1 +*I *22202:A I *D sky130_fd_sc_hd__or2_1 +*I *22196:A I *D sky130_fd_sc_hd__and4_2 +*I *22228:D I *D sky130_fd_sc_hd__or4_1 +*I *22232:A I *D sky130_fd_sc_hd__or2_1 +*I *22200:C I *D sky130_fd_sc_hd__or3_1 +*I *22198:C I *D sky130_fd_sc_hd__or3_1 +*I *22204:A I *D sky130_fd_sc_hd__or2_1 +*I *22215:D I *D sky130_fd_sc_hd__or4_1 +*I *22221:C I *D sky130_fd_sc_hd__or3_1 +*I *22242:C I *D sky130_fd_sc_hd__or3_1 +*I *22244:C I *D sky130_fd_sc_hd__or3_1 +*I *22240:A I *D sky130_fd_sc_hd__or2_1 +*I *22213:A I *D sky130_fd_sc_hd__or2_1 +*I *20843:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *22211:A 0.000237233 +2 *22234:D 0 +3 *20844:B 5.05641e-05 +4 *22226:A 0.000178829 +5 *22202:A 0.000138126 +6 *22196:A 0.000814047 +7 *22228:D 0.000113463 +8 *22232:A 0 +9 *22200:C 2.0864e-05 +10 *22198:C 6.976e-05 +11 *22204:A 6.31589e-05 +12 *22215:D 0.000121093 +13 *22221:C 1.98947e-05 +14 *22242:C 0 +15 *22244:C 0.000251627 +16 *22240:A 3.38434e-05 +17 *22213:A 0.000134362 +18 *20843:X 0.000680543 +19 *2115:210 0.000541334 +20 *2115:198 0.00045078 +21 *2115:165 0.00137264 +22 *2115:161 0.00104281 +23 *2115:144 0.000260774 +24 *2115:139 0.00135316 +25 *2115:110 0.000494333 +26 *2115:103 0.000865165 +27 *2115:100 0.00146965 +28 *2115:61 0.000302283 +29 *2115:59 0.000348342 +30 *2115:48 0.00134514 +31 *2115:39 0.00129111 +32 *2115:31 0.000875215 +33 *2115:26 0.000631762 +34 *2115:15 0.00066265 +35 *2115:14 0.00114767 +36 *20844:B *2117:13 0.000107496 +37 *22196:A *22157:C 0.000107496 +38 *22196:A *22170:A 0.000115585 +39 *22196:A *3106:13 0.000122601 +40 *22196:A *3106:42 3.82228e-05 +41 *22196:A *3117:34 0.000286628 +42 *22196:A *3160:7 0.000158451 +43 *22196:A *3204:122 7.01586e-06 +44 *22198:C *3162:11 0.000141444 +45 *22202:A *22203:A 8.51781e-05 +46 *22202:A *3117:34 9.4385e-05 +47 *22202:A *3151:37 0.000200794 +48 *22204:A *22205:A 4.66121e-05 +49 *22204:A *3162:11 0.000209367 +50 *22211:A *22211:B 0.000125849 +51 *22211:A *22234:A 0.000111802 +52 *22211:A *22234:C 4.66492e-05 +53 *22211:A *22235:A 0.000174184 +54 *22213:A *22214:A 5.07314e-05 +55 *22213:A *4866:110 1.00846e-05 +56 *22215:D *22532:A 4.58003e-05 +57 *22215:D *2467:123 6.50727e-05 +58 *22215:D *3177:16 4.31703e-05 +59 *22221:C *2122:23 6.08467e-05 +60 *22221:C *4876:7 2.16355e-05 +61 *22226:A *3091:66 0 +62 *22226:A *3467:28 5.01835e-05 +63 *22226:A *3469:19 0.000205101 +64 *22228:D *22151:B 0.000316282 +65 *22228:D *22228:C 6.50727e-05 +66 *22240:A *22240:B 0.000107496 +67 *22240:A *22244:B 7.92757e-06 +68 *22240:A *3204:19 2.57986e-05 +69 *22244:C *22244:B 7.51191e-05 +70 *22244:C *2123:47 6.08467e-05 +71 *22244:C *2378:27 0.000114584 +72 *22244:C *2378:33 2.5144e-05 +73 *22244:C *2394:17 5.04829e-06 +74 *22244:C *3204:19 2.77419e-05 +75 *22244:C *4827:29 0.000189194 +76 *22244:C *4865:24 3.33173e-06 +77 *2115:14 *20854:B 1.5714e-05 +78 *2115:14 *3091:20 7.14746e-05 +79 *2115:14 *3093:10 1.64943e-05 +80 *2115:14 *3108:30 9.71537e-05 +81 *2115:15 *4866:110 5.37686e-05 +82 *2115:26 *2467:123 2.06692e-05 +83 *2115:31 *3118:39 0.000667946 +84 *2115:31 *4866:110 0.00154214 +85 *2115:39 *22531:A 2.57365e-05 +86 *2115:39 *22531:C 0.000118166 +87 *2115:39 *22532:A 0.000247833 +88 *2115:39 *3104:22 2.29364e-05 +89 *2115:39 *5948:95 0.000188363 +90 *2115:48 *22542:A 6.62343e-05 +91 *2115:48 *2397:85 7.69591e-05 +92 *2115:48 *3118:10 6.97218e-05 +93 *2115:48 *3118:27 5.30873e-05 +94 *2115:48 *3177:16 0 +95 *2115:48 *5948:76 8.39598e-05 +96 *2115:59 *22240:B 0.000507206 +97 *2115:59 *3109:8 0.00025456 +98 *2115:59 *3118:10 0.000170592 +99 *2115:59 *3118:77 0.000320801 +100 *2115:59 *3204:19 4.31603e-06 +101 *2115:61 *22240:B 6.08467e-05 +102 *2115:61 *22244:B 5.51483e-06 +103 *2115:100 *3104:22 8.15234e-05 +104 *2115:100 *5948:95 0.000110808 +105 *2115:103 *22201:A 0.000193865 +106 *2115:110 *22179:A 0.000131147 +107 *2115:110 *22201:A 1.41976e-05 +108 *2115:110 *3168:7 3.4123e-05 +109 *2115:110 *4804:37 1.07038e-05 +110 *2115:139 *22151:A 0.000279752 +111 *2115:139 *22152:A 0.000382603 +112 *2115:139 *2950:125 3.35725e-05 +113 *2115:139 *3104:22 2.63218e-05 +114 *2115:139 *3108:68 0.000104188 +115 *2115:144 *22151:A 6.50727e-05 +116 *2115:144 *22151:B 6.11103e-05 +117 *2115:144 *22232:B 0.000111802 +118 *2115:144 *3115:7 2.16355e-05 +119 *2115:161 *22151:A 3.0577e-05 +120 *2115:161 *22161:B 8.20492e-06 +121 *2115:161 *2381:38 1.41908e-05 +122 *2115:161 *3091:66 4.86182e-05 +123 *2115:161 *3126:10 6.81706e-05 +124 *2115:161 *3126:142 0.000130883 +125 *2115:161 *5853:334 0.000403843 +126 *2115:165 *3126:142 0.000699792 +127 *2115:165 *5853:334 0.00107547 +128 *2115:198 *2467:123 3.43836e-05 +129 *2115:210 *22234:C 0.000107496 +130 *2115:210 *2467:123 6.79288e-05 +131 *20130:A *22211:A 6.27718e-05 +132 *20130:B *22211:A 3.82228e-05 +133 *20130:B *2115:14 0.000752628 +134 *22198:A *22200:C 9.95922e-06 +135 *22198:A *2115:103 2.30248e-05 +136 *22198:A *2115:110 7.56374e-05 +137 *22541:A *2115:48 2.55661e-06 +138 *506:22 *22196:A 4.37781e-05 +139 *506:22 *22202:A 2.14402e-05 +140 *506:27 *22196:A 7.00554e-05 +141 *518:46 *2115:26 9.62952e-05 +142 *518:46 *2115:198 0.000158299 +143 *518:46 *2115:210 0.000325945 +144 *541:28 *2115:139 2.8973e-05 +145 *541:35 *2115:48 0.000424614 +146 *541:35 *2115:139 0 +147 *1419:184 *2115:14 0.0010519 +148 *1419:221 *22211:A 0.000166494 +149 *1551:67 *22202:A 2.5798e-05 +150 *1551:67 *22226:A 0.000396003 +151 *1709:78 *2115:14 1.43848e-05 +152 *1853:11 *22211:A 0.000154145 +153 *2089:37 *22226:A 5.36085e-05 +154 *2093:10 *2115:110 0.000134688 +155 *2098:34 *2115:48 0.000117608 +156 *2098:34 *2115:59 0.000170592 +157 *2100:55 *22226:A 3.17103e-05 +158 *2100:55 *2115:100 0.000138448 +159 *2100:55 *2115:139 0.000455001 +160 *2100:55 *2115:161 0.000256357 +161 *2106:8 *2115:14 0.000148951 +162 *2106:19 *2115:14 0.00010817 +163 *2107:20 *2115:14 0.000188934 +164 *2111:23 *2115:103 0.00104376 +165 *2111:25 *2115:103 6.36477e-05 +*RES +1 *20843:X *2115:14 45.3777 +2 *2115:14 *2115:15 6.26943 +3 *2115:15 *22213:A 11.6605 +4 *2115:15 *2115:26 6.332 +5 *2115:26 *2115:31 26.3615 +6 *2115:31 *2115:39 11.1277 +7 *2115:39 *2115:48 33.2657 +8 *2115:48 *2115:59 18.501 +9 *2115:59 *2115:61 0.723396 +10 *2115:61 *22240:A 10.5271 +11 *2115:61 *22244:C 25.0992 +12 *2115:59 *22242:C 9.24915 +13 *2115:48 *22221:C 14.4725 +14 *2115:39 *22215:D 12.7456 +15 *2115:31 *2115:100 6.47305 +16 *2115:100 *2115:103 17.9793 +17 *2115:103 *2115:110 17.3773 +18 *2115:110 *22204:A 11.6364 +19 *2115:110 *22198:C 11.0817 +20 *2115:103 *22200:C 9.82786 +21 *2115:100 *2115:139 29.1109 +22 *2115:139 *2115:144 9.12973 +23 *2115:144 *22232:A 9.24915 +24 *2115:144 *22228:D 13.3002 +25 *2115:139 *2115:161 9.13994 +26 *2115:161 *2115:165 4.94847 +27 *2115:165 *22196:A 29.4231 +28 *2115:165 *22202:A 18.176 +29 *2115:161 *22226:A 23.5114 +30 *2115:26 *2115:198 3.07775 +31 *2115:198 *20844:B 15.0271 +32 *2115:198 *2115:210 12.593 +33 *2115:210 *22234:D 9.24915 +34 *2115:210 *22211:A 17.954 +*END + +*D_NET *2116 0.000122272 +*CONN +*I *20845:A I *D sky130_fd_sc_hd__buf_8 +*I *20844:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20845:A 1.98947e-05 +2 *20844:X 1.98947e-05 +3 *20845:A *2117:13 6.08467e-05 +4 *20845:A *4866:109 2.16355e-05 +*RES +1 *20844:X *20845:A 19.2217 +*END + +*D_NET *2117 0.104859 +*CONN +*I *20846:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *22312:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22400:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22356:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22290:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22488:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22422:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22239:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22444:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22466:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22510:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22268:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22378:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22334:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *20845:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *20846:B2 0.000241175 +2 *22312:A2 0.00022866 +3 *22400:A2 2.03803e-05 +4 *22356:A2 0.000299958 +5 *22290:A2 0.000118403 +6 *22488:A2 0.00185376 +7 *22422:A2 0.000174628 +8 *22239:A2 0.000152878 +9 *22444:A2 0 +10 *22466:A2 0.000205277 +11 *22510:A2 2.11612e-05 +12 *22268:A2 0.000254298 +13 *22378:A2 1.10281e-05 +14 *22334:A2 0.00279358 +15 *20845:X 0 +16 *2117:297 0.00118601 +17 *2117:200 0.00306374 +18 *2117:180 0.00189247 +19 *2117:148 0.000424696 +20 *2117:143 0.00277989 +21 *2117:121 0.00503183 +22 *2117:107 0.00242471 +23 *2117:102 0.00212165 +24 *2117:84 0.00262731 +25 *2117:77 0.00208525 +26 *2117:63 0.00203237 +27 *2117:55 0.0029443 +28 *2117:45 0.0021809 +29 *2117:20 0.00508297 +30 *2117:13 0.00347697 +31 *2117:4 0.00240455 +32 *22239:A2 *2539:12 1.37385e-05 +33 *22239:A2 *3111:192 0.000118693 +34 *22239:A2 *3202:14 4.49767e-05 +35 *22268:A2 *2827:30 0.000221549 +36 *22268:A2 *3026:18 3.12828e-05 +37 *22268:A2 *3249:15 0.000122101 +38 *22290:A2 *22290:A1 6.10662e-05 +39 *22290:A2 *22290:B2 5.36185e-05 +40 *22290:A2 *22622:B1 7.08723e-06 +41 *22290:A2 *2508:23 9.66958e-06 +42 *22290:A2 *2806:19 8.08342e-05 +43 *22290:A2 *3243:41 6.85778e-05 +44 *22312:A2 *22312:B1 2.57847e-05 +45 *22312:A2 *22636:A2 0.000307687 +46 *22312:A2 *3192:57 9.4385e-05 +47 *22312:A2 *3471:108 5.52318e-05 +48 *22312:A2 *3493:8 1.37385e-05 +49 *22334:A2 *22306:D 0.000333673 +50 *22334:A2 *22334:B1 5.44913e-05 +51 *22334:A2 *22337:A 6.3657e-05 +52 *22334:A2 *22337:C 2.57465e-06 +53 *22334:A2 *22645:B1 0.00339937 +54 *22334:A2 *2244:36 9.18088e-05 +55 *22334:A2 *2286:36 0.000314443 +56 *22334:A2 *2629:37 1.44934e-05 +57 *22334:A2 *3111:39 0.000135476 +58 *22334:A2 *3144:116 0.000310433 +59 *22334:A2 *3148:46 5.69128e-05 +60 *22334:A2 *3206:146 0.000289661 +61 *22334:A2 *3487:133 0.000469178 +62 *22334:A2 *3507:19 0.000892375 +63 *22334:A2 *3507:34 0.00123247 +64 *22334:A2 *4804:96 0.000305811 +65 *22334:A2 *4819:40 8.20833e-07 +66 *22334:A2 *4824:153 0.00031519 +67 *22356:A2 *21412:C1 0.000543464 +68 *22356:A2 *22356:B2 1.5714e-05 +69 *22356:A2 *2446:145 4.16709e-05 +70 *22356:A2 *2454:18 9.55484e-05 +71 *22356:A2 *3120:109 9.55484e-05 +72 *22356:A2 *3122:136 1.04747e-05 +73 *22378:A2 *22378:B1 3.75603e-05 +74 *22378:A2 *3111:149 3.01683e-06 +75 *22400:A2 *22718:A1 6.08467e-05 +76 *22422:A2 *5904:70 2.16608e-05 +77 *22466:A2 *22510:A1 1.78868e-05 +78 *22466:A2 *22510:B1 6.49796e-06 +79 *22466:A2 *22510:B2 0.000364328 +80 *22466:A2 *3419:12 1.41976e-05 +81 *22466:A2 *3461:11 2.69435e-05 +82 *22488:A2 *21838:B1 0.00148915 +83 *22488:A2 *22488:A1 0.000156955 +84 *22488:A2 *22488:B1 3.67552e-06 +85 *22488:A2 *22488:B2 1.9633e-05 +86 *22488:A2 *22762:B1 0.00122448 +87 *22488:A2 *22772:B2 0.00015927 +88 *22488:A2 *2661:30 0.000644602 +89 *22488:A2 *3377:20 0.000160615 +90 *22488:A2 *3417:32 3.74542e-05 +91 *22488:A2 *3461:15 4.04556e-05 +92 *22488:A2 *3474:111 3.29488e-05 +93 *22488:A2 *3531:73 0.000153427 +94 *22488:A2 *3702:20 0.00100353 +95 *22488:A2 *5593:46 0.000168543 +96 *22510:A2 *22510:A1 6.08467e-05 +97 *22510:A2 *22510:B2 7.92757e-06 +98 *2117:13 *22174:A 0.000105296 +99 *2117:13 *22174:B 0.000110127 +100 *2117:13 *22542:A 1.12493e-05 +101 *2117:13 *2123:25 0.000586099 +102 *2117:13 *2906:82 0.000495936 +103 *2117:13 *3104:37 0.000352403 +104 *2117:13 *3491:8 4.71895e-05 +105 *2117:13 *4866:102 7.92757e-06 +106 *2117:13 *4866:109 4.58298e-05 +107 *2117:20 *22152:A 5.65383e-05 +108 *2117:20 *22161:B 3.88655e-06 +109 *2117:20 *22574:A 5.58336e-05 +110 *2117:20 *2244:19 0.000821729 +111 *2117:20 *2379:26 0.000786651 +112 *2117:20 *2383:25 0.000414911 +113 *2117:20 *2636:28 7.6496e-05 +114 *2117:20 *3115:33 2.28823e-05 +115 *2117:20 *3116:17 7.48033e-05 +116 *2117:20 *3196:12 0.000281381 +117 *2117:20 *3196:135 0.00168 +118 *2117:20 *3491:8 0.00161167 +119 *2117:45 *2244:19 0.000139455 +120 *2117:45 *2383:25 0.000116014 +121 *2117:45 *3196:135 0.000214158 +122 *2117:45 *3196:152 0.00028662 +123 *2117:55 *22398:A1 6.34651e-06 +124 *2117:55 *22636:A2 0.000114642 +125 *2117:55 *22689:D 0.000760367 +126 *2117:55 *22715:A1 6.39754e-06 +127 *2117:55 *22715:C1 8.80635e-05 +128 *2117:55 *22725:A 4.1946e-06 +129 *2117:55 *2459:56 5.01306e-05 +130 *2117:55 *2480:38 0.000163641 +131 *2117:55 *3111:94 8.8078e-05 +132 *2117:55 *3111:125 0.000193066 +133 *2117:55 *3198:123 9.06161e-05 +134 *2117:55 *3198:154 8.6297e-06 +135 *2117:55 *3471:139 8.44264e-05 +136 *2117:55 *3493:15 3.77755e-05 +137 *2117:55 *3653:8 0.00148977 +138 *2117:55 *4809:13 0.000142682 +139 *2117:55 *4826:126 0.000148576 +140 *2117:63 *22353:B1 0.000112233 +141 *2117:63 *22354:A1 2.01653e-05 +142 *2117:63 *22354:B2 0.000154322 +143 *2117:63 *22398:A1 0 +144 *2117:63 *22400:A1 3.82228e-05 +145 *2117:63 *22403:B 2.35752e-05 +146 *2117:63 *22718:A1 2.77625e-06 +147 *2117:63 *22725:A 0.000430077 +148 *2117:63 *2312:26 9.32704e-05 +149 *2117:63 *2480:25 0.000192874 +150 *2117:63 *3122:115 0.00126993 +151 *2117:77 *22353:B1 1.26119e-05 +152 *2117:77 *22356:B2 3.44695e-05 +153 *2117:77 *22403:A 0.000148364 +154 *2117:77 *22403:B 0.000108079 +155 *2117:77 *22403:C 6.22114e-05 +156 *2117:77 *22723:A2 3.80436e-07 +157 *2117:77 *2243:22 4.36e-05 +158 *2117:77 *3111:142 0.0005997 +159 *2117:77 *3122:136 7.00663e-05 +160 *2117:77 *3358:11 7.92757e-06 +161 *2117:77 *3620:14 0.000596937 +162 *2117:84 *3111:149 0.000228364 +163 *2117:102 *22378:B1 2.16355e-05 +164 *2117:102 *22378:C1 5.481e-05 +165 *2117:102 *2357:87 7.13972e-05 +166 *2117:102 *3111:149 5.51483e-06 +167 *2117:102 *3111:155 3.64553e-05 +168 *2117:102 *3144:187 5.213e-05 +169 *2117:102 *3204:202 0.000239029 +170 *2117:102 *3204:232 0.00054591 +171 *2117:107 *2827:30 7.63393e-05 +172 *2117:107 *3204:202 0.00032748 +173 *2117:107 *3249:15 0.000255857 +174 *2117:143 *21759:A1 2.42414e-05 +175 *2117:143 *22029:B1 0.000227379 +176 *2117:143 *22444:A1 1.55025e-05 +177 *2117:143 *22444:B2 3.95516e-05 +178 *2117:143 *22466:B1 0.000104754 +179 *2117:143 *22762:B1 0.000400098 +180 *2117:143 *24909:A 3.17436e-05 +181 *2117:143 *2344:15 6.51527e-05 +182 *2117:143 *2539:10 3.1862e-05 +183 *2117:143 *2780:25 0 +184 *2117:143 *2818:30 4.64851e-05 +185 *2117:143 *2843:51 0.00114374 +186 *2117:143 *2873:17 3.50416e-05 +187 *2117:143 *2954:44 4.36562e-05 +188 *2117:143 *2987:50 1.17292e-05 +189 *2117:143 *3122:173 0.00102459 +190 *2117:143 *3398:17 1.91391e-05 +191 *2117:143 *3513:143 0.000213458 +192 *2117:143 *3519:174 2.27135e-05 +193 *2117:148 *2795:20 0.000303721 +194 *2117:148 *3519:174 0.000300195 +195 *2117:180 *2601:40 6.22114e-05 +196 *2117:180 *3015:16 1.69327e-05 +197 *2117:180 *3111:192 3.29488e-05 +198 *2117:180 *3134:142 0.000522722 +199 *2117:180 *3202:14 3.03575e-05 +200 *2117:180 *3546:13 0.000226764 +201 *2117:180 *5457:13 0.000136252 +202 *2117:200 *22054:C1 0.000156946 +203 *2117:200 *2674:8 0.000369185 +204 *2117:200 *3111:192 0.000298399 +205 *2117:200 *3111:204 0.000298399 +206 *2117:297 *22144:A 0.000663035 +207 *2117:297 *24363:SET_B 0.000172722 +208 *2117:297 *2924:80 0.000364616 +209 *2117:297 *4866:102 1.03403e-05 +210 *19796:A *22488:A2 4.16596e-05 +211 *19810:B2 *2117:200 0.000860355 +212 *19841:B2 *2117:143 3.02189e-05 +213 *20844:B *2117:13 0.000107496 +214 *20845:A *2117:13 6.08467e-05 +215 *20849:B1_N *20846:B2 6.08467e-05 +216 *21759:A2 *2117:143 1.9101e-05 +217 *21783:B2 *2117:143 0 +218 *22054:B2 *2117:180 0.000197581 +219 *22247:A1 *2117:143 7.35518e-06 +220 *22422:A1 *22422:A2 7.52371e-05 +221 *22545:B1 *2117:180 0.00042018 +222 *22676:B2 *22356:A2 0.0003932 +223 *22726:B1 *22488:A2 0.000173514 +224 *482:28 *2117:13 1.79941e-05 +225 *482:79 *2117:77 8.03699e-06 +226 *504:16 *20846:B2 0.000333347 +227 *504:16 *2117:297 0.00060262 +228 *520:27 *22312:A2 0.000276989 +229 *520:27 *2117:55 0.000114642 +230 *1419:184 *20846:B2 7.70944e-06 +231 *1419:184 *2117:297 0.00177636 +232 *1543:40 *2117:143 1.27831e-06 +233 *1545:54 *2117:20 8.46249e-05 +234 *1554:36 *22422:A2 0.000247443 +235 *1554:42 *22422:A2 3.31745e-05 +236 *1566:55 *22422:A2 2.41274e-06 +237 *1573:101 *2117:297 0.000345406 +238 *1575:39 *2117:143 0.000216908 +239 *1585:22 *2117:143 0.000469316 +240 *1588:136 *22239:A2 0.000250254 +241 *1598:133 *2117:143 0.000118427 +242 *1602:45 *22239:A2 6.1578e-06 +243 *1610:104 *2117:84 0.00105338 +244 *1616:157 *2117:102 0.000434826 +245 *1617:50 *2117:180 0.00013337 +246 *1634:66 *2117:200 4.49912e-05 +247 *1648:10 *2117:20 8.4653e-05 +248 *1690:132 *22488:A2 4.04556e-05 +249 *1690:133 *22422:A2 6.08467e-05 +250 *1690:133 *2117:200 0.00152563 +251 *1777:15 *22488:A2 0.000250244 +252 *1796:10 *2117:200 2.10515e-05 +253 *1796:12 *2117:200 0.000252351 +254 *1801:75 *2117:143 0.000392273 +255 *2093:153 *2117:121 0.00241912 +256 *2093:153 *2117:143 0.000489302 +257 *2100:68 *2117:20 6.93465e-05 +258 *2103:16 *20846:B2 0.000301303 +259 *2104:5 *20846:B2 0.000107496 +260 *2111:20 *2117:13 0 +261 *2113:21 *20846:B2 6.50586e-05 +*RES +1 *20845:X *2117:4 9.24915 +2 *2117:4 *2117:13 48.6503 +3 *2117:13 *2117:20 40.1703 +4 *2117:20 *22334:A2 36.7378 +5 *2117:20 *2117:45 1.5701 +6 *2117:45 *2117:55 47.428 +7 *2117:55 *2117:63 41.6956 +8 *2117:63 *2117:77 30.2295 +9 *2117:77 *2117:84 22.609 +10 *2117:84 *22378:A2 9.82786 +11 *2117:84 *2117:102 27.7543 +12 *2117:102 *2117:107 13.5657 +13 *2117:107 *22268:A2 20.2222 +14 *2117:107 *2117:121 32.9536 +15 *2117:121 *2117:143 38.7728 +16 *2117:143 *2117:148 15.3998 +17 *2117:148 *22510:A2 9.97254 +18 *2117:148 *22466:A2 15.4221 +19 *2117:143 *22444:A2 9.24915 +20 *2117:121 *2117:180 24.9252 +21 *2117:180 *22239:A2 18.6864 +22 *2117:180 *2117:200 46.3009 +23 *2117:200 *22422:A2 14.4335 +24 *2117:200 *22488:A2 38.1517 +25 *2117:102 *22290:A2 22.463 +26 *2117:77 *22356:A2 23.7197 +27 *2117:63 *22400:A2 14.4725 +28 *2117:45 *22312:A2 20.3515 +29 *2117:4 *2117:297 46.2723 +30 *2117:297 *20846:B2 22.0498 +*END + +*D_NET *2118 0.00115845 +*CONN +*I *20847:A I *D sky130_fd_sc_hd__inv_2 +*I *20846:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *20847:A 0.00022123 +2 *20846:X 0.00022123 +3 *20847:A *4845:349 7.63284e-05 +4 *20847:A *4876:119 0.000296359 +5 *2103:16 *20847:A 0.000139435 +6 *2107:20 *20847:A 0.000143017 +7 *2113:21 *20847:A 6.08467e-05 +*RES +1 *20846:X *20847:A 34.9352 +*END + +*D_NET *2119 0.00372513 +*CONN +*I *20849:A2 I *D sky130_fd_sc_hd__o21ba_1 +*I *20851:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *20848:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *20849:A2 0.000243259 +2 *20851:A2 0.000400951 +3 *20848:Y 0.000106724 +4 *2119:10 0.000750934 +5 *20849:A2 *22111:B 6.10722e-05 +6 *20849:A2 *3091:10 0.000509293 +7 *20851:A2 *20837:A 5.23916e-05 +8 *20851:A2 *20851:B2 4.19698e-05 +9 *2119:10 *22143:A 0.000105549 +10 *2119:10 *3093:12 0.000105549 +11 *2119:10 *4843:488 0.000154145 +12 *20841:A *20851:A2 6.08467e-05 +13 *20841:B *20851:A2 1.41181e-05 +14 *20846:B1 *20851:A2 1.25417e-05 +15 *20848:B1 *2119:10 0.000154145 +16 *20849:B1_N *20849:A2 4.23858e-05 +17 *20851:A1 *20851:A2 0.000113968 +18 *1419:179 *20849:A2 0.000111722 +19 *2063:112 *20851:A2 1.09068e-05 +20 *2105:9 *20849:A2 0.000435033 +21 *2105:9 *20851:A2 0.000111722 +22 *2109:7 *20851:A2 6.50586e-05 +23 *2113:21 *20851:A2 6.08467e-05 +*RES +1 *20848:Y *2119:10 22.329 +2 *2119:10 *20851:A2 18.8462 +3 *2119:10 *20849:A2 19.2802 +*END + +*D_NET *2120 0.00911888 +*CONN +*I *20851:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *22188:A I *D sky130_fd_sc_hd__or2_1 +*I *22213:B I *D sky130_fd_sc_hd__or2_1 +*I *20850:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *20851:B2 0.000536853 +2 *22188:A 0.000427884 +3 *22213:B 0 +4 *20850:X 0 +5 *2120:12 0.00160445 +6 *2120:4 0.00171342 +7 *20851:B2 *20837:A 0.000211464 +8 *20851:B2 *5952:23 0.000129526 +9 *20851:B2 *5956:48 0.000148643 +10 *22188:A *22189:A 6.08467e-05 +11 *22188:A *2467:123 0.000162753 +12 *22188:A *3136:24 0.000270466 +13 *22188:A *3175:19 3.91944e-05 +14 *22188:A *3177:229 0.000575697 +15 *2120:12 *20852:A3 4.72646e-05 +16 *2120:12 *2123:17 0.000158933 +17 *2120:12 *2467:123 5.35634e-05 +18 *2120:12 *3091:28 0 +19 *2120:12 *3177:16 7.00288e-05 +20 *2120:12 *3177:229 3.20069e-06 +21 *2120:12 *5952:23 1.0064e-05 +22 *2120:12 *5952:25 0.000374984 +23 *2120:12 *5952:36 0.000172707 +24 *2120:12 *5952:48 0.000154324 +25 *20840:A *2120:12 0 +26 *20850:B *2120:12 2.20663e-05 +27 *20850:C *2120:12 0.000138386 +28 *20851:A1 *20851:B2 3.40314e-05 +29 *20851:A2 *20851:B2 4.19698e-05 +30 *518:46 *2120:12 0 +31 *518:58 *20851:B2 0.000682076 +32 *2063:86 *20851:B2 0.000207266 +33 *2063:112 *20851:B2 0.000304791 +34 *2109:8 *2120:12 0.000246144 +35 *2111:11 *2120:12 0.000161437 +36 *2111:17 *2120:12 0.000354438 +*RES +1 *20850:X *2120:4 9.24915 +2 *2120:4 *2120:12 36.6244 +3 *2120:12 *22213:B 13.7491 +4 *2120:12 *22188:A 27.9316 +5 *2120:4 *20851:B2 37.9964 +*END + +*D_NET *2121 0.000305067 +*CONN +*I *20853:C1 I *D sky130_fd_sc_hd__o311a_1 +*I *20852:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *20853:C1 0.000110628 +2 *20852:X 0.000110628 +3 *20853:C1 *20852:A1 1.61477e-05 +4 *20853:C1 *20852:A3 2.71226e-06 +5 *20853:A1 *20853:C1 1.06706e-05 +6 *20853:A3 *20853:C1 5.42811e-05 +*RES +1 *20852:X *20853:C1 22.5915 +*END + +*D_NET *2122 0.0159341 +*CONN +*I *20856:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *22112:C I *D sky130_fd_sc_hd__or3_1 +*I *22151:A I *D sky130_fd_sc_hd__or2_1 +*I *22163:A I *D sky130_fd_sc_hd__or3_1 +*I *22166:B I *D sky130_fd_sc_hd__or3_1 +*I *22200:B I *D sky130_fd_sc_hd__or3_1 +*I *22242:A I *D sky130_fd_sc_hd__or3_1 +*I *20854:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *20856:A2 4.80867e-05 +2 *22112:C 0 +3 *22151:A 0.000941048 +4 *22163:A 6.77024e-05 +5 *22166:B 0.000172222 +6 *22200:B 0 +7 *22242:A 9.187e-05 +8 *20854:X 0.000192818 +9 *2122:46 0.000253562 +10 *2122:43 0.00127251 +11 *2122:34 0.00119864 +12 *2122:23 0.00208201 +13 *2122:15 0.00154786 +14 *2122:5 0.000679433 +15 *20856:A2 *20856:A3 2.53145e-06 +16 *20856:A2 *2394:17 2.99287e-05 +17 *22151:A *22151:B 6.50727e-05 +18 *22151:A *22192:B 8.79845e-05 +19 *22151:A *2950:125 3.29488e-05 +20 *22151:A *3115:33 2.0762e-05 +21 *22151:A *3116:17 0.000158371 +22 *22151:A *3128:11 0.000370956 +23 *22151:A *3160:22 0.00014228 +24 *22163:A *22167:A 2.4442e-05 +25 *22163:A *3108:76 7.92757e-06 +26 *22163:A *3108:103 9.02101e-05 +27 *22166:B *3108:103 1.07038e-05 +28 *22242:A *2398:100 7.12632e-06 +29 *22242:A *2411:13 3.15806e-05 +30 *22242:A *4827:29 5.87127e-05 +31 *2122:5 *2394:17 6.77611e-06 +32 *2122:15 *20856:A3 4.12168e-05 +33 *2122:15 *2123:17 9.29156e-05 +34 *2122:15 *2394:17 4.79321e-06 +35 *2122:15 *4823:142 0.000293759 +36 *2122:15 *5952:25 0.000140487 +37 *2122:15 *5952:36 0.000160384 +38 *2122:23 *22178:A 0.000409595 +39 *2122:23 *3204:19 5.07314e-05 +40 *2122:23 *3479:24 0.000200794 +41 *2122:23 *4876:7 6.35046e-05 +42 *2122:34 *22180:A 0.000225382 +43 *2122:34 *22187:A 0.000208564 +44 *2122:34 *2411:13 1.27347e-05 +45 *2122:34 *3151:8 0.000527771 +46 *2122:34 *3204:107 0.000416532 +47 *2122:34 *4804:37 0.000109427 +48 *2122:34 *4827:29 0.000103752 +49 *2122:43 *3160:22 0.000264257 +50 *2122:43 *4804:37 0.000142909 +51 *2122:46 *3108:103 6.08467e-05 +52 *20839:B *2122:23 0.000213725 +53 *20856:B1 *2122:5 1.18914e-05 +54 *20856:B2 *2122:5 0.000262354 +55 *22112:B *2122:23 3.2013e-05 +56 *22173:B *2122:23 1.80888e-05 +57 *22190:A *22166:B 9.11833e-06 +58 *22221:A *2122:23 0.000400335 +59 *22221:C *2122:23 6.08467e-05 +60 *22552:A *2122:23 0.000107496 +61 *22552:C *2122:23 0.000251669 +62 *506:27 *2122:34 1.07161e-05 +63 *1577:183 *2122:15 0.000107496 +64 *1577:183 *2122:23 0.000312851 +65 *2091:48 *22151:A 0.000140223 +66 *2091:48 *2122:43 0.000267682 +67 *2100:55 *22151:A 6.19742e-05 +68 *2110:39 *2122:23 6.37725e-05 +69 *2115:139 *22151:A 0.000279752 +70 *2115:144 *22151:A 6.50727e-05 +71 *2115:161 *22151:A 3.0577e-05 +*RES +1 *20854:X *2122:5 13.8548 +2 *2122:5 *2122:15 21.5862 +3 *2122:15 *2122:23 34.8103 +4 *2122:23 *22242:A 16.4116 +5 *2122:23 *2122:34 27.5421 +6 *2122:34 *22200:B 9.24915 +7 *2122:34 *2122:43 12.3171 +8 *2122:43 *2122:46 5.2234 +9 *2122:46 *22166:B 12.0704 +10 *2122:46 *22163:A 11.0817 +11 *2122:43 *22151:A 46.4776 +12 *2122:15 *22112:C 9.24915 +13 *2122:5 *20856:A2 10.9612 +*END + +*D_NET *2123 0.0175075 +*CONN +*I *20856:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *22168:C I *D sky130_fd_sc_hd__or3_1 +*I *22148:A I *D sky130_fd_sc_hd__or2_1 +*I *22159:A I *D sky130_fd_sc_hd__or3_1 +*I *22198:B I *D sky130_fd_sc_hd__or3_1 +*I *22190:B I *D sky130_fd_sc_hd__or3_1 +*I *22244:A I *D sky130_fd_sc_hd__or3_1 +*I *20855:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *20856:A3 4.41608e-05 +2 *22168:C 0 +3 *22148:A 0.000237197 +4 *22159:A 0.000118337 +5 *22198:B 0 +6 *22190:B 0.000418995 +7 *22244:A 0 +8 *20855:X 0.000121402 +9 *2123:47 0.0010258 +10 *2123:33 0.00144479 +11 *2123:31 0.00080422 +12 *2123:29 0.00114637 +13 *2123:25 0.00137424 +14 *2123:17 0.00140782 +15 *2123:10 0.000660151 +16 *20856:A3 *2394:17 3.48903e-05 +17 *22148:A *5944:69 0.000358636 +18 *22159:A *3481:9 6.3657e-05 +19 *22159:A *4866:110 6.08467e-05 +20 *22190:B *3132:29 0.000549492 +21 *22190:B *4827:32 0.000573171 +22 *2123:10 *2411:5 0.000154145 +23 *2123:10 *5950:18 0.000130264 +24 *2123:17 *22173:A 4.66492e-05 +25 *2123:17 *2394:17 0.000174403 +26 *2123:17 *2397:85 0.000158451 +27 *2123:17 *3091:28 6.27762e-05 +28 *2123:17 *5952:48 3.95516e-05 +29 *2123:25 *22173:A 6.50586e-05 +30 *2123:25 *22174:B 1.99503e-05 +31 *2123:25 *22542:A 0.000124038 +32 *2123:25 *2397:85 6.08467e-05 +33 *2123:25 *3137:6 4.65293e-05 +34 *2123:25 *4804:24 0.000649526 +35 *2123:25 *4830:71 0.000250701 +36 *2123:25 *5948:95 0.000107496 +37 *2123:25 *5949:87 4.14481e-05 +38 *2123:29 *22534:A 6.08467e-05 +39 *2123:29 *5948:95 0.000297054 +40 *2123:29 *5948:104 3.73237e-05 +41 *2123:31 *3162:11 9.16428e-05 +42 *2123:31 *3204:107 0.000203595 +43 *2123:47 *21334:B1 5.04829e-06 +44 *2123:47 *22179:A 0.000281993 +45 *2123:47 *22240:B 6.50727e-05 +46 *2123:47 *2378:33 0.000676915 +47 *2123:47 *2391:49 4.56841e-05 +48 *2123:47 *2689:46 0.000223738 +49 *2123:47 *3132:8 4.37017e-05 +50 *2123:47 *3132:29 0.000138069 +51 *2123:47 *4827:32 0.000131356 +52 *2123:47 *4856:30 7.14746e-05 +53 *2123:47 *4865:24 7.39264e-05 +54 *2123:47 *5870:779 0.000150654 +55 *20839:A *2123:17 0.000141533 +56 *20855:B *2123:10 5.89592e-05 +57 *20856:A2 *20856:A3 2.53145e-06 +58 *22168:B *2123:17 6.85778e-05 +59 *22244:C *2123:47 6.08467e-05 +60 *506:27 *22190:B 1.01656e-05 +61 *2089:37 *22159:A 4.50502e-05 +62 *2093:10 *2123:47 7.4202e-05 +63 *2098:34 *22159:A 0.000125668 +64 *2100:55 *22148:A 0.000358636 +65 *2109:8 *2123:17 0.000209297 +66 *2111:11 *2123:17 0.000165049 +67 *2112:22 *2123:10 0.000133725 +68 *2117:13 *2123:25 0.000586099 +69 *2120:12 *2123:17 0.000158933 +70 *2122:15 *20856:A3 4.12168e-05 +71 *2122:15 *2123:17 9.29156e-05 +*RES +1 *20855:X *2123:10 22.7442 +2 *2123:10 *2123:17 26.5451 +3 *2123:17 *2123:25 35.2766 +4 *2123:25 *2123:29 5.73894 +5 *2123:29 *2123:31 11.2609 +6 *2123:31 *2123:33 4.5 +7 *2123:33 *2123:47 42.0831 +8 *2123:47 *22244:A 9.24915 +9 *2123:33 *22190:B 26.6952 +10 *2123:31 *22198:B 9.24915 +11 *2123:29 *22159:A 21.635 +12 *2123:25 *22148:A 25.8947 +13 *2123:17 *22168:C 9.24915 +14 *2123:10 *20856:A3 10.9009 +*END + +*D_NET *2124 0.00125705 +*CONN +*I *20857:A I *D sky130_fd_sc_hd__inv_2 +*I *20856:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *20857:A 0.000309061 +2 *20856:X 0.000309061 +3 *20857:A *22113:B 0.000207394 +4 *20857:A *3092:23 0.000140502 +5 *20857:A *5950:18 7.71779e-05 +6 *2112:22 *20857:A 0.000163245 +7 *2112:40 *20857:A 5.0608e-05 +*RES +1 *20856:X *20857:A 37.5376 +*END + +*D_NET *2125 0.000362309 +*CONN +*I *20860:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20859:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20860:A 6.08339e-05 +2 *20859:X 6.08339e-05 +3 *20860:A *2126:7 0.000211573 +4 *20859:B *20860:A 2.90688e-05 +*RES +1 *20859:X *20860:A 20.8855 +*END + +*D_NET *2126 0.00945594 +*CONN +*I *20863:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20861:A I *D sky130_fd_sc_hd__inv_2 +*I *20866:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20862:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20864:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20865:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20860:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20863:A2 6.27846e-05 +2 *20861:A 0.000293557 +3 *20866:A2 0 +4 *20862:A2 0.000136704 +5 *20864:A2 0.000102067 +6 *20865:A2 7.14244e-05 +7 *20860:X 0.000538717 +8 *2126:48 0.000474765 +9 *2126:41 0.000438033 +10 *2126:28 0.000504348 +11 *2126:17 0.000581793 +12 *2126:7 0.00104183 +13 *20861:A *24357:CLK 0.000192597 +14 *20861:A *4932:31 0.000107496 +15 *20862:A2 *20862:A1 4.50711e-05 +16 *20862:A2 *20862:B1 6.3623e-05 +17 *20862:A2 *20862:B2 0.000158371 +18 *20862:A2 *4996:8 8.41713e-05 +19 *20863:A2 *20863:A1 6.08467e-05 +20 *20863:A2 *21551:A 6.08467e-05 +21 *20863:A2 *2614:17 0.000118485 +22 *20864:A2 *24361:CLK 0.000200794 +23 *2126:17 *2127:21 6.22259e-05 +24 *2126:28 *2127:21 0.000111079 +25 *2126:41 *2127:14 0.000599256 +26 *2126:41 *2127:21 1.86178e-05 +27 *2126:41 *2608:14 3.93599e-05 +28 *2126:41 *2614:17 0.00014012 +29 *2126:41 *4932:31 1.2693e-05 +30 *2126:48 *2614:17 0.000240225 +31 *20859:A *2126:7 2.81262e-05 +32 *20859:B *2126:7 0.000160054 +33 *20860:A *2126:7 0.000211573 +34 *20981:B *2126:7 0.000200794 +35 *21144:B *20863:A2 0.000109859 +36 *21144:B *2126:41 8.47244e-06 +37 *21144:B *2126:48 0.000231101 +38 *24359:D *20864:A2 3.82228e-05 +39 *24361:D *20862:A2 0.000205121 +40 *1419:260 *2126:17 3.88358e-05 +41 *1594:30 *20865:A2 3.68431e-05 +42 *1594:30 *2126:17 0.000585744 +43 *1594:30 *2126:28 0.000116728 +44 *1594:30 *2126:41 0.000460283 +45 *1624:38 *20861:A 4.89898e-06 +46 *1689:107 *20865:A2 0.000114086 +47 *1689:107 *2126:17 0.0001836 +48 *1689:107 *2126:41 4.97346e-05 +49 *1729:51 *20861:A 0.000109953 +*RES +1 *20860:X *2126:7 22.237 +2 *2126:7 *20865:A2 15.9964 +3 *2126:7 *2126:17 12.5308 +4 *2126:17 *20864:A2 16.7151 +5 *2126:17 *2126:28 2.24725 +6 *2126:28 *20862:A2 18.6924 +7 *2126:28 *2126:41 13.8743 +8 *2126:41 *20866:A2 13.7491 +9 *2126:41 *2126:48 4.73876 +10 *2126:48 *20861:A 19.5122 +11 *2126:48 *20863:A2 16.7198 +*END + +*D_NET *2127 0.00811503 +*CONN +*I *20863:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20866:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20862:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20864:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20865:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20861:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20863:B2 0.000172278 +2 *20866:B2 6.70495e-05 +3 *20862:B2 4.72351e-05 +4 *20864:B2 3.6706e-05 +5 *20865:B2 0.000432713 +6 *20861:Y 0 +7 *2127:21 0.000663401 +8 *2127:14 0.000613763 +9 *2127:6 0.000524465 +10 *2127:5 0.000257149 +11 *20862:B2 *4996:8 1.65872e-05 +12 *20862:B2 *5867:66 0.00020502 +13 *20863:B2 *2468:8 2.57465e-06 +14 *20863:B2 *2783:16 3.3239e-06 +15 *20863:B2 *3040:10 0.000235977 +16 *20864:B2 *2783:24 4.49912e-05 +17 *20864:B2 *3028:16 1.27402e-05 +18 *20865:B2 *20865:B1 0.000154145 +19 *20865:B2 *20928:A 0.000135182 +20 *20865:B2 *2662:14 6.50586e-05 +21 *20865:B2 *2783:24 0.000639374 +22 *20865:B2 *3028:16 0.000199748 +23 *20866:B2 *5861:112 0.000158371 +24 *2127:6 *2783:16 0.000120834 +25 *2127:6 *3040:10 8.99936e-06 +26 *2127:6 *3040:18 1.62892e-05 +27 *2127:6 *5855:60 3.85185e-05 +28 *2127:14 *2468:34 5.61932e-05 +29 *2127:14 *2783:16 0.000145095 +30 *2127:14 *3533:100 0.000300565 +31 *2127:14 *5855:60 0.000137956 +32 *2127:21 *24361:CLK 0.000340742 +33 *2127:21 *2608:25 0.000342673 +34 *20862:A2 *20862:B2 0.000158371 +35 *24358:D *20865:B2 1.58551e-05 +36 *1689:107 *2127:14 0.000588497 +37 *1689:107 *2127:21 0.000185393 +38 *1729:51 *20863:B2 0.000180018 +39 *2126:17 *2127:21 6.22259e-05 +40 *2126:28 *2127:21 0.000111079 +41 *2126:41 *2127:14 0.000599256 +42 *2126:41 *2127:21 1.86178e-05 +*RES +1 *20861:Y *2127:5 13.7491 +2 *2127:5 *2127:6 2.6625 +3 *2127:6 *2127:14 26.1265 +4 *2127:14 *2127:21 16.9593 +5 *2127:21 *20865:B2 28.2103 +6 *2127:21 *20864:B2 14.7506 +7 *2127:14 *20862:B2 16.1364 +8 *2127:6 *20866:B2 15.5817 +9 *2127:5 *20863:B2 19.3673 +*END + +*D_NET *2128 0.000627907 +*CONN +*I *20873:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *20867:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *20873:A2 0.000255759 +2 *20867:Y 0.000255759 +3 *20873:A2 *20873:A3 7.18648e-06 +4 *20873:A2 *20873:B1 8.41713e-05 +5 *20873:A2 *20873:B2 1.28744e-05 +6 *20873:A2 *20905:A2 4.80635e-06 +7 *20873:A2 *6029:44 7.34948e-06 +*RES +1 *20867:Y *20873:A2 23.3209 +*END + +*D_NET *2129 0.0110003 +*CONN +*I *20870:B I *D sky130_fd_sc_hd__and3_1 +*I *22109:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 +*I *20925:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22117:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20868:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *20870:B 0.0001262 +2 *22109:A2_N 0.000124688 +3 *20925:B2 0 +4 *22117:A2 0 +5 *20868:X 0.00133243 +6 *2129:27 0.000552115 +7 *2129:14 0.00140933 +8 *2129:9 0.00244053 +9 *22109:A2_N *2426:82 7.77309e-06 +10 *22109:A2_N *6026:25 0.000160617 +11 *2129:9 *2448:171 9.59392e-05 +12 *2129:9 *5874:75 2.99929e-05 +13 *2129:9 *6024:8 2.99929e-05 +14 *2129:9 *6029:13 2.41483e-05 +15 *2129:9 *6029:20 0.00035144 +16 *2129:14 *2448:171 7.56085e-05 +17 *2129:14 *5785:59 5.97411e-05 +18 *2129:14 *5855:343 0.000969913 +19 *2129:14 *6029:20 0.000154145 +20 *2129:14 *6029:90 0.000203207 +21 *2129:27 *20925:A1 2.03171e-05 +22 *2129:27 *22117:A1 6.08467e-05 +23 *2129:27 *22117:B1 0.000397127 +24 *2129:27 *5855:343 4.19841e-05 +25 *2129:27 *6029:90 3.20264e-05 +26 *20774:B1 *2129:9 0.000457655 +27 *22109:A1_N *22109:A2_N 1.65872e-05 +28 *22115:A1 *22109:A2_N 3.55179e-05 +29 *22115:A1 *2129:27 0.000113309 +30 *324:11 *2129:14 0.000314386 +31 *1556:20 *22109:A2_N 0.000202282 +32 *1556:20 *2129:27 0.000116652 +33 *1573:71 *20870:B 0.000252891 +34 *2062:42 *20870:B 0.000143032 +35 *2066:33 *2129:9 0.000386138 +36 *2084:16 *22109:A2_N 0.000218249 +37 *2103:62 *20870:B 4.34675e-05 +*RES +1 *20868:X *2129:9 40.355 +2 *2129:9 *2129:14 30.4601 +3 *2129:14 *22117:A2 13.7491 +4 *2129:14 *2129:27 17.9636 +5 *2129:27 *20925:B2 13.7491 +6 *2129:27 *22109:A2_N 19.49 +7 *2129:9 *20870:B 22.9879 +*END + +*D_NET *2130 0.00557911 +*CONN +*I *20904:A I *D sky130_fd_sc_hd__inv_2 +*I *20870:C I *D sky130_fd_sc_hd__and3_1 +*I *20869:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *20904:A 0.000522196 +2 *20870:C 0.00049765 +3 *20869:X 0.00094966 +4 *2130:5 0.00196951 +5 *20870:C *20767:B1 4.65865e-05 +6 *20870:C *2446:53 1.71589e-05 +7 *20904:A *20905:A1 4.08185e-05 +8 *20904:A *2333:28 0 +9 *20904:A *5857:215 4.96483e-05 +10 *20904:A *6027:8 4.40641e-05 +11 *2130:5 *20869:A 4.31539e-05 +12 *2130:5 *20869:C 4.58003e-05 +13 *20764:A *2130:5 8.38894e-05 +14 *20764:C *2130:5 9.5562e-05 +15 *20767:A2 *20904:A 3.45222e-05 +16 *20775:A *20904:A 5.92342e-05 +17 *1573:71 *20870:C 3.78098e-05 +18 *2064:16 *20904:A 0.000112333 +19 *2064:18 *20904:A 0.000643672 +20 *2068:13 *20870:C 2.44829e-05 +21 *2068:13 *2130:5 0.000100364 +22 *2068:17 *20904:A 7.2401e-05 +23 *2103:62 *20870:C 8.86025e-05 +*RES +1 *20869:X *2130:5 23.283 +2 *2130:5 *20870:C 26.3265 +3 *2130:5 *20904:A 36.276 +*END + +*D_NET *2131 0.00100448 +*CONN +*I *20871:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *20870:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *20871:B1 0.000275743 +2 *20870:X 0.000275743 +3 *20871:B1 *6027:19 0.000116986 +4 *476:81 *20871:B1 0.000304791 +5 *476:82 *20871:B1 3.1218e-05 +*RES +1 *20870:X *20871:B1 33.242 +*END + +*D_NET *2132 0.00595854 +*CONN +*I *20873:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *20872:A I *D sky130_fd_sc_hd__inv_2 +*I *20905:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *20871:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *20873:B2 0.000109365 +2 *20872:A 0 +3 *20905:B2 0.000164221 +4 *20871:X 0.000572553 +5 *2132:24 0.000156748 +6 *2132:12 0.000784156 +7 *20873:B2 *20873:A3 0.0002646 +8 *20873:B2 *20873:B1 2.16355e-05 +9 *20873:B2 *20905:A2 2.07841e-05 +10 *20873:B2 *6029:44 0.000100926 +11 *20905:B2 *20905:B1 9.58242e-05 +12 *20905:B2 *2291:25 0.00042812 +13 *20905:B2 *4939:12 0.000196638 +14 *20905:B2 *5871:584 0.000200221 +15 *2132:12 *4939:10 0.000243428 +16 *2132:12 *4939:12 0.00113329 +17 *2132:12 *5871:580 3.93144e-05 +18 *2132:12 *5871:584 0.000593564 +19 *2132:12 *6027:19 6.08467e-05 +20 *2132:24 *20873:A3 1.41291e-05 +21 *2132:24 *20905:A2 0.000164815 +22 *20873:A2 *20873:B2 1.28744e-05 +23 *2103:46 *2132:12 5.05252e-05 +24 *2103:62 *2132:12 0.000529969 +*RES +1 *20871:X *2132:12 40.0472 +2 *2132:12 *20905:B2 21.8478 +3 *2132:12 *2132:24 6.3326 +4 *2132:24 *20872:A 9.24915 +5 *2132:24 *20873:B2 14.4817 +*END + +*D_NET *2133 0.00111629 +*CONN +*I *20873:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *20872:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20873:A3 0.000414191 +2 *20872:Y 0.000414191 +3 *20873:A3 *20867:B 0 +4 *20873:A3 *20873:B1 1.99543e-06 +5 *20873:A2 *20873:A3 7.18648e-06 +6 *20873:B2 *20873:A3 0.0002646 +7 *2132:24 *20873:A3 1.41291e-05 +*RES +1 *20872:Y *20873:A3 25.6117 +*END + +*D_NET *2134 0.0102774 +*CONN +*I *20875:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20874:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20875:A 9.00356e-05 +2 *20874:X 0.000212395 +3 *2134:8 0.00163467 +4 *2134:7 0.00175703 +5 *20875:A *2135:8 7.34948e-06 +6 *2134:7 *21186:B1 0.00030253 +7 *2134:8 *2251:12 0.00355152 +8 *2134:8 *2473:8 0.000647548 +9 *2134:8 *2770:8 0.00087554 +10 *2134:8 *5855:589 0.000572631 +11 *20628:A2 *2134:8 4.69319e-05 +12 *22303:A1 *2134:8 0.000151176 +13 *489:13 *20875:A 8.39059e-05 +14 *489:50 *2134:8 0.000107604 +15 *2018:10 *2134:8 0.000236567 +*RES +1 *20874:X *2134:7 17.2456 +2 *2134:7 *2134:8 65.7808 +3 *2134:8 *20875:A 16.1364 +*END + +*D_NET *2135 0.0183817 +*CONN +*I *20877:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20878:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20883:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20882:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20884:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20880:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20876:A I *D sky130_fd_sc_hd__inv_2 +*I *20879:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20881:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20875:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20877:A2 0 +2 *20878:A2 3.5247e-05 +3 *20883:A2 0.000305647 +4 *20882:A2 0 +5 *20884:A2 0.000196081 +6 *20880:A2 1.98947e-05 +7 *20876:A 2.2385e-05 +8 *20879:A2 0 +9 *20881:A2 0 +10 *20875:X 0.000187356 +11 *2135:88 0.0004168 +12 *2135:83 0.000655144 +13 *2135:74 0.0002956 +14 *2135:70 0.00150894 +15 *2135:50 0.00208713 +16 *2135:30 8.37008e-05 +17 *2135:26 0.00107433 +18 *2135:20 0.000757958 +19 *2135:8 0.000622159 +20 *20876:A *20879:A1 0.000150646 +21 *20880:A2 *20880:A1 6.08467e-05 +22 *20883:A2 *4986:11 6.48687e-06 +23 *20883:A2 *5920:28 7.70832e-05 +24 *20884:A2 *19792:A 0 +25 *20884:A2 *20634:B1 0.000517117 +26 *20884:A2 *20882:A1 2.41483e-05 +27 *20884:A2 *20882:B1 4.17467e-05 +28 *20884:A2 *20882:B2 0.000314053 +29 *20884:A2 *20884:A1 0.000164829 +30 *20884:A2 *20884:B2 0.000285926 +31 *20884:A2 *2984:8 7.77309e-06 +32 *20884:A2 *3070:8 0.000196638 +33 *2135:8 *2473:8 0.000143613 +34 *2135:8 *4831:67 0.000331044 +35 *2135:20 *20877:B1 0 +36 *2135:20 *24355:CLK 1.61631e-05 +37 *2135:20 *2473:8 0.000397041 +38 *2135:20 *4831:67 0.000906325 +39 *2135:26 *20877:B1 1.65872e-05 +40 *2135:26 *20877:B2 2.16355e-05 +41 *2135:26 *20879:A1 3.53119e-05 +42 *2135:30 *20879:A1 6.08467e-05 +43 *2135:30 *20879:B1 7.15796e-05 +44 *2135:30 *2136:8 1.41689e-05 +45 *2135:50 *20879:B1 1.6446e-05 +46 *2135:50 *24354:RESET_B 1.00846e-05 +47 *2135:50 *2264:6 3.92275e-05 +48 *2135:50 *2294:12 7.75363e-05 +49 *2135:50 *4843:181 0.000101133 +50 *2135:50 *4897:65 6.73022e-05 +51 *2135:70 *20426:A1 2.39581e-05 +52 *2135:70 *20431:B1 1.5714e-05 +53 *2135:70 *20878:B1 3.50157e-05 +54 *2135:70 *20878:B2 1.25991e-05 +55 *2135:70 *24626:CLK 0.000466373 +56 *2135:70 *2294:12 1.40978e-05 +57 *2135:70 *2441:8 4.69495e-06 +58 *2135:70 *4844:116 0.000122098 +59 *2135:70 *4897:67 0.000457683 +60 *2135:70 *5148:10 6.08467e-05 +61 *2135:70 *5866:601 7.63448e-05 +62 *2135:70 *5888:8 0.000118485 +63 *2135:70 *5920:28 0 +64 *2135:74 *20880:A1 0.000213725 +65 *2135:74 *3045:10 8.19494e-05 +66 *2135:74 *4989:9 0.000156871 +67 *2135:83 *2985:8 0.000323811 +68 *2135:83 *5918:24 0.000330908 +69 *2135:88 *20634:B1 4.0752e-05 +70 *2135:88 *20882:A1 0.000111708 +71 *2135:88 *2985:8 0.000568434 +72 *2135:88 *5918:24 0.000568434 +73 *20431:B2 *2135:70 0.000594665 +74 *20499:B *2135:74 6.50586e-05 +75 *20875:A *2135:8 7.34948e-06 +76 *24353:D *2135:26 6.50586e-05 +77 *24354:D *2135:50 0.000102003 +78 *24354:D *2135:70 0.000111722 +79 *24355:D *2135:20 4.88171e-05 +80 *24626:D *2135:70 0.000315461 +81 *489:13 *2135:8 6.11359e-06 +82 *1607:14 *20883:A2 8.71605e-06 +83 *1884:121 *2135:74 6.73351e-05 +84 *1884:129 *20880:A2 2.16355e-05 +85 *1884:129 *2135:74 4.73598e-05 +86 *1955:72 *2135:70 6.50586e-05 +87 *1955:92 *2135:70 0.000466458 +88 *1955:103 *2135:70 0.000134965 +89 *2077:9 *20884:A2 7.68538e-06 +*RES +1 *20875:X *2135:8 20.4571 +2 *2135:8 *20881:A2 13.7491 +3 *2135:8 *2135:20 23.4165 +4 *2135:20 *2135:26 8.56019 +5 *2135:26 *2135:30 2.84536 +6 *2135:30 *20879:A2 9.24915 +7 *2135:30 *20876:A 11.0817 +8 *2135:26 *2135:50 22.8163 +9 *2135:50 *2135:70 48.4391 +10 *2135:70 *2135:74 10.1754 +11 *2135:74 *20880:A2 9.97254 +12 *2135:74 *2135:83 10.6921 +13 *2135:83 *2135:88 16.1227 +14 *2135:88 *20884:A2 29.8683 +15 *2135:88 *20882:A2 9.24915 +16 *2135:83 *20883:A2 21.8659 +17 *2135:50 *20878:A2 10.2378 +18 *2135:20 *20877:A2 9.24915 +*END + +*D_NET *2136 0.0183391 +*CONN +*I *20877:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20881:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20878:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20880:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20883:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20882:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20884:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20879:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20876:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20877:B2 4.07682e-05 +2 *20881:B2 0.000459775 +3 *20878:B2 5.7322e-05 +4 *20880:B2 0 +5 *20883:B2 3.12922e-05 +6 *20882:B2 5.50873e-05 +7 *20884:B2 0.000167853 +8 *20879:B2 0 +9 *20876:Y 0 +10 *2136:84 0.000536906 +11 *2136:41 0.000441025 +12 *2136:39 0.00050832 +13 *2136:34 0.000332677 +14 *2136:29 0.00251779 +15 *2136:18 0.00353192 +16 *2136:8 0.00132758 +17 *2136:4 0.000333407 +18 *20877:B2 *20877:B1 5.05252e-05 +19 *20877:B2 *20879:A1 6.08467e-05 +20 *20877:B2 *5891:26 4.55115e-05 +21 *20878:B2 *20878:A1 1.77537e-06 +22 *20878:B2 *20878:B1 2.43387e-05 +23 *20878:B2 *5866:601 5.65354e-05 +24 *20881:B2 *20877:B1 8.62625e-06 +25 *20881:B2 *20881:B1 1.64789e-05 +26 *20881:B2 *21183:A 6.50727e-05 +27 *20881:B2 *21425:A 5.21782e-05 +28 *20881:B2 *2251:10 0.000214769 +29 *20881:B2 *5891:26 0.000907657 +30 *20882:B2 *20634:B1 1.65872e-05 +31 *20882:B2 *20882:B1 0.000367174 +32 *20883:B2 *20883:B1 6.50727e-05 +33 *20883:B2 *2503:9 0.000111722 +34 *20884:B2 *20884:A1 2.15184e-05 +35 *20884:B2 *2984:8 0.000200251 +36 *20884:B2 *3070:8 9.22013e-06 +37 *20884:B2 *4840:100 0.000211478 +38 *2136:8 *20879:A1 0.000260388 +39 *2136:8 *20879:B1 0.00011818 +40 *2136:8 *4824:90 5.77352e-05 +41 *2136:18 *4824:90 0.000255795 +42 *2136:18 *5867:549 6.79169e-05 +43 *2136:18 *5920:28 0 +44 *2136:29 *20880:B1 0.000191541 +45 *2136:29 *2367:11 5.90436e-05 +46 *2136:29 *2881:17 5.65463e-05 +47 *2136:29 *2985:8 0.000280436 +48 *2136:29 *4823:8 2.26334e-05 +49 *2136:29 *4845:112 0.000785032 +50 *2136:29 *5857:46 0.000202527 +51 *2136:29 *5920:28 0 +52 *2136:34 *20880:A1 2.61405e-05 +53 *2136:39 *2984:8 0.000353315 +54 *2136:39 *5859:20 0.000252929 +55 *2136:41 *2984:8 0.000527766 +56 *2136:41 *3070:8 0.000132847 +57 *2136:41 *5859:20 2.24484e-05 +58 *2136:41 *5859:28 0.000177772 +59 *2136:84 *20879:A1 6.08467e-05 +60 *20884:A2 *20882:B2 0.000314053 +61 *20884:A2 *20884:B2 0.000285926 +62 *24348:D *20884:B2 3.61993e-05 +63 *489:8 *2136:8 1.36691e-05 +64 *489:8 *2136:18 7.01237e-05 +65 *1607:14 *2136:29 7.30714e-05 +66 *1771:203 *20881:B2 0.000275256 +67 *1884:129 *2136:34 2.10013e-05 +68 *1884:129 *2136:39 0.00018248 +69 *1955:72 *2136:34 0.000156946 +70 *1955:72 *2136:39 0.00010103 +71 *2005:10 *20878:B2 0 +72 *2135:26 *20877:B2 2.16355e-05 +73 *2135:30 *2136:8 1.41689e-05 +74 *2135:70 *20878:B2 1.25991e-05 +*RES +1 *20876:Y *2136:4 9.24915 +2 *2136:4 *2136:8 10.1071 +3 *2136:8 *20879:B2 13.7491 +4 *2136:8 *2136:18 10.1243 +5 *2136:18 *2136:29 46.6424 +6 *2136:29 *2136:34 7.32124 +7 *2136:34 *2136:39 15.9206 +8 *2136:39 *2136:41 9.72179 +9 *2136:41 *20884:B2 21.3173 +10 *2136:41 *20882:B2 17.8002 +11 *2136:39 *20883:B2 15.0271 +12 *2136:34 *20880:B2 9.24915 +13 *2136:18 *20878:B2 18.6164 +14 *2136:4 *2136:84 5.2234 +15 *2136:84 *20881:B2 33.2202 +16 *2136:84 *20877:B2 15.474 +*END + +*D_NET *2137 0.000265071 +*CONN +*I *20886:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20885:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20886:A 2.22385e-05 +2 *20885:X 2.22385e-05 +3 *20886:A *2138:7 0.000110297 +4 *20885:B *20886:A 0.000110297 +*RES +1 *20885:X *20886:A 19.7763 +*END + +*D_NET *2138 0.0128362 +*CONN +*I *20892:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20887:A I *D sky130_fd_sc_hd__inv_2 +*I *20890:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20891:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20888:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20889:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20886:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20892:A2 0.000134644 +2 *20887:A 5.37141e-05 +3 *20890:A2 0.000163412 +4 *20891:A2 0.000105467 +5 *20888:A2 0.000116685 +6 *20889:A2 0.000451001 +7 *20886:X 0.000311798 +8 *2138:59 0.000474696 +9 *2138:12 0.000886706 +10 *2138:10 0.00083071 +11 *2138:8 0.000651646 +12 *2138:7 0.000680148 +13 *20887:A *2672:13 5.97576e-05 +14 *20887:A *5082:101 3.31745e-05 +15 *20888:A2 *20888:A1 0.000440512 +16 *20888:A2 *20888:B1 0.000207266 +17 *20888:A2 *5851:70 8.29362e-05 +18 *20889:A2 *20889:A1 0.000491468 +19 *20889:A2 *2531:35 6.08467e-05 +20 *20889:A2 *2593:24 0.000194384 +21 *20889:A2 *2593:30 0 +22 *20889:A2 *2878:51 9.08935e-05 +23 *20890:A2 *20890:A1 0.000183929 +24 *20890:A2 *2567:7 1.67988e-05 +25 *20890:A2 *2672:21 0.000442634 +26 *20890:A2 *2771:12 0.000207883 +27 *20890:A2 *5851:36 0.000239757 +28 *20891:A2 *20891:A1 0.000544575 +29 *20891:A2 *5854:27 0.000544575 +30 *20892:A2 *20892:A1 4.88345e-05 +31 *20892:A2 *2531:30 5.302e-05 +32 *2138:8 *2537:33 0.00010836 +33 *2138:10 *2537:33 0.000404714 +34 *2138:10 *2537:35 3.49833e-05 +35 *2138:12 *24549:CLK 0.000596256 +36 *2138:12 *2537:35 2.18442e-05 +37 *2138:12 *2593:24 8.03951e-06 +38 *2138:59 *2537:30 6.08467e-05 +39 *2138:59 *2537:33 0.000218657 +40 *2138:59 *2655:25 0.000152743 +41 *2138:59 *2672:13 1.92172e-05 +42 *2138:59 *2684:24 5.66868e-06 +43 *2138:59 *5082:101 8.12984e-05 +44 *2138:59 *5856:124 5.61389e-05 +45 *20885:A *2138:59 0 +46 *20885:B *2138:7 0.000200862 +47 *20886:A *2138:7 0.000110297 +48 *1521:36 *20892:A2 6.25467e-05 +49 *1521:46 *20889:A2 3.8122e-05 +50 *1569:54 *20889:A2 0.000118277 +51 *1597:15 *20892:A2 0.000114011 +52 *1603:28 *2138:10 0 +53 *1625:106 *2138:7 2.40846e-05 +54 *1690:120 *20889:A2 1.13018e-05 +55 *1729:63 *20887:A 6.08467e-05 +56 *1729:63 *2138:59 0.000309847 +57 *1729:64 *20892:A2 2.95757e-05 +58 *1755:77 *20889:A2 0.000158451 +59 *1801:70 *2138:10 0 +60 *1801:70 *2138:59 0 +61 *1809:19 *20889:A2 0.000161252 +62 *1809:80 *20889:A2 0.000157484 +63 *1809:80 *2138:12 0.000109191 +64 *1947:103 *2138:10 4.18989e-05 +65 *1947:103 *2138:12 0.000387628 +66 *1947:115 *2138:12 0.000167925 +*RES +1 *20886:X *2138:7 18.9094 +2 *2138:7 *2138:8 2.24725 +3 *2138:8 *2138:10 10.5523 +4 *2138:10 *2138:12 13.4591 +5 *2138:12 *20889:A2 38.3918 +6 *2138:12 *20888:A2 18.9094 +7 *2138:10 *20891:A2 20.0186 +8 *2138:8 *20890:A2 21.7547 +9 *2138:7 *2138:59 14.9536 +10 *2138:59 *20887:A 11.0817 +11 *2138:59 *20892:A2 23.0148 +*END + +*D_NET *2139 0.00822632 +*CONN +*I *20892:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20890:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20891:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20888:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20889:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20887:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20892:B2 0.000150745 +2 *20890:B2 0.000318262 +3 *20891:B2 1.09219e-05 +4 *20888:B2 3.37551e-05 +5 *20889:B2 0.000179627 +6 *20887:Y 7.98254e-05 +7 *2139:12 0.000549393 +8 *2139:10 0.000702285 +9 *2139:8 0.000705145 +10 *2139:7 0.0002621 +11 *20888:B2 *5852:125 2.16355e-05 +12 *20889:B2 *20889:B1 6.50586e-05 +13 *20889:B2 *2933:10 0.000349219 +14 *20889:B2 *4933:87 0.000356399 +15 *20890:B2 *2567:7 4.31539e-05 +16 *20890:B2 *5851:36 0.000107496 +17 *20891:B2 *5854:27 6.36477e-05 +18 *20891:B2 *5858:80 6.36477e-05 +19 *20892:B2 *3857:52 0.00024464 +20 *2139:7 *2672:13 0.000107496 +21 *2139:8 *3857:52 6.64609e-05 +22 *2139:10 *3857:52 0.000632237 +23 *2139:10 *4933:77 5.15876e-05 +24 *2139:12 *24347:CLK 2.01503e-05 +25 *2139:12 *2531:30 1.23804e-05 +26 *2139:12 *2933:10 0.00013689 +27 *2139:12 *4933:77 5.04879e-05 +28 *2139:12 *4933:79 3.1718e-05 +29 *2139:12 *4933:81 7.09685e-05 +30 *2139:12 *4933:85 8.44232e-05 +31 *2139:12 *4933:87 0.00017138 +32 *1521:46 *20889:B2 2.16355e-05 +33 *1550:73 *20892:B2 0.000110297 +34 *1575:24 *20892:B2 0.000256879 +35 *1575:24 *2139:8 7.14746e-05 +36 *1575:24 *2139:10 0.000780412 +37 *1575:24 *2139:12 0.000568659 +38 *1581:10 *20890:B2 0.00023344 +39 *1597:15 *20892:B2 9.90328e-05 +40 *1597:16 *20890:B2 0.000240635 +41 *1625:106 *20890:B2 0.00010072 +*RES +1 *20887:Y *2139:7 15.0271 +2 *2139:7 *2139:8 1.41674 +3 *2139:8 *2139:10 14.7048 +4 *2139:10 *2139:12 13.4591 +5 *2139:12 *20889:B2 21.2876 +6 *2139:12 *20888:B2 14.4725 +7 *2139:10 *20891:B2 14.4725 +8 *2139:8 *20890:B2 31.2925 +9 *2139:7 *20892:B2 20.3446 +*END + +*D_NET *2140 0.00288399 +*CONN +*I *20894:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20893:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20894:A 0.000491011 +2 *20893:X 0.000491011 +3 *20894:A *20623:B1 0.00154846 +4 *20894:A *21074:A1 1.92336e-05 +5 *20894:A *21074:A2 0.000158357 +6 *20894:A *2610:63 0.000115194 +7 *20894:A *2610:70 6.07224e-05 +*RES +1 *20893:X *20894:A 36.4144 +*END + +*D_NET *2141 0.0135476 +*CONN +*I *20896:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20898:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20897:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20902:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20901:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20895:A I *D sky130_fd_sc_hd__inv_2 +*I *20900:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20899:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20903:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20894:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20896:A2 0 +2 *20898:A2 0.000763257 +3 *20897:A2 3.51473e-05 +4 *20902:A2 0.000274645 +5 *20901:A2 0 +6 *20895:A 0 +7 *20900:A2 0.000294649 +8 *20899:A2 8.71677e-05 +9 *20903:A2 0.000116414 +10 *20894:X 0.000479475 +11 *2141:86 0.000963457 +12 *2141:81 0.000350044 +13 *2141:54 0.000591028 +14 *2141:49 0.000815331 +15 *2141:31 0.000508802 +16 *2141:21 0.000345437 +17 *2141:14 0.000329873 +18 *2141:7 0.000962774 +19 *20897:A2 *2142:67 3.82228e-05 +20 *20897:A2 *4978:11 0.000107496 +21 *20898:A2 *2142:61 1.69193e-05 +22 *20898:A2 *2142:67 5.39247e-05 +23 *20898:A2 *2468:111 7.14746e-05 +24 *20898:A2 *4843:385 0.000286475 +25 *20898:A2 *4843:393 1.4971e-05 +26 *20898:A2 *4845:303 7.50872e-05 +27 *20898:A2 *4978:11 0.000154145 +28 *20899:A2 *2333:38 0.000149927 +29 *20900:A2 *20900:B1 4.0744e-05 +30 *20900:A2 *20900:B2 0.000304052 +31 *20900:A2 *2142:5 2.77625e-06 +32 *20900:A2 *2900:69 0.000121845 +33 *20900:A2 *5855:265 2.29454e-05 +34 *20902:A2 *20902:A1 9.2463e-05 +35 *20902:A2 *2896:58 4.69495e-06 +36 *20902:A2 *2900:84 4.31485e-06 +37 *20902:A2 *4809:52 3.55731e-06 +38 *20902:A2 *4821:125 0.000150038 +39 *20902:A2 *4843:421 0.000110949 +40 *20902:A2 *4974:9 0.000347849 +41 *20903:A2 *20903:B2 8.79845e-05 +42 *20903:A2 *2142:9 1.65872e-05 +43 *20903:A2 *4823:99 0.000109519 +44 *2141:7 *20623:B1 6.08467e-05 +45 *2141:7 *24335:CLK 0.0001352 +46 *2141:14 *20899:B2 5.30254e-05 +47 *2141:14 *24335:SET_B 8.86374e-05 +48 *2141:14 *2333:38 5.11175e-05 +49 *2141:21 *2142:9 6.23875e-05 +50 *2141:21 *4823:99 5.99527e-05 +51 *2141:31 *2142:5 4.17949e-05 +52 *2141:31 *2142:9 6.63489e-05 +53 *2141:31 *4823:99 0.000156863 +54 *2141:31 *4832:77 0.000111722 +55 *2141:49 *24335:SET_B 8.62625e-06 +56 *2141:49 *2333:38 1.11594e-05 +57 *2141:49 *5861:458 0.000248181 +58 *2141:54 *20901:B2 6.08467e-05 +59 *2141:54 *21756:A 4.2372e-05 +60 *2141:54 *24342:CLK 9.27416e-05 +61 *2141:54 *4974:9 1.37669e-05 +62 *2141:54 *4979:11 0.000154145 +63 *2141:81 *20896:A1 0.000162663 +64 *2141:81 *2772:12 9.27278e-05 +65 *2141:81 *4845:303 0.000110306 +66 *2141:81 *4979:11 0.000207266 +67 *2141:81 *5861:458 6.83954e-05 +68 *24336:D *20902:A2 4.67853e-06 +69 *24337:D *20902:A2 7.54269e-06 +70 *24337:D *2141:54 5.04829e-06 +71 *24338:D *20900:A2 5.04829e-06 +72 *324:19 *2141:86 0.000352801 +73 *482:40 *2141:14 0.00017936 +74 *482:40 *2141:49 0.000652975 +75 *482:40 *2141:81 0.000172937 +76 *500:48 *20902:A2 0.000200525 +77 *510:51 *2141:86 0.000349219 +78 *1899:16 *20899:A2 0.000149927 +*RES +1 *20894:X *2141:7 20.5732 +2 *2141:7 *2141:14 10.1425 +3 *2141:14 *20903:A2 12.7456 +4 *2141:14 *2141:21 1.8326 +5 *2141:21 *20899:A2 21.3269 +6 *2141:21 *2141:31 6.26943 +7 *2141:31 *20900:A2 16.8448 +8 *2141:31 *20895:A 9.24915 +9 *2141:7 *2141:49 12.2133 +10 *2141:49 *2141:54 12.4574 +11 *2141:54 *20901:A2 9.24915 +12 *2141:54 *20902:A2 28.8126 +13 *2141:49 *2141:81 12.044 +14 *2141:81 *2141:86 15.815 +15 *2141:86 *20897:A2 10.5271 +16 *2141:86 *20898:A2 31.9395 +17 *2141:81 *20896:A2 9.24915 +*END + +*D_NET *2142 0.0117025 +*CONN +*I *20900:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20898:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20897:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20896:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20902:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20901:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20899:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20903:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20895:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20900:B2 0.000137407 +2 *20898:B2 0 +3 *20897:B2 1.78781e-05 +4 *20896:B2 0.000230021 +5 *20902:B2 0.000273871 +6 *20901:B2 2.86212e-05 +7 *20899:B2 0.000127777 +8 *20903:B2 0.000250951 +9 *20895:Y 0 +10 *2142:67 0.000950049 +11 *2142:62 0.00075288 +12 *2142:61 9.79932e-05 +13 *2142:58 0.000212182 +14 *2142:37 0.000497556 +15 *2142:28 0.000439231 +16 *2142:9 0.000613059 +17 *2142:5 0.000916131 +18 *2142:4 0.000467365 +19 *20896:B2 *2772:12 3.82228e-05 +20 *20899:B2 *2333:38 3.03087e-05 +21 *20900:B2 *2900:69 0.000200794 +22 *20902:B2 *20902:A1 3.29143e-06 +23 *20902:B2 *20902:B1 1.37514e-05 +24 *20902:B2 *2772:12 2.41274e-06 +25 *20903:B2 *20903:A1 7.03615e-05 +26 *20903:B2 *4823:99 2.77625e-06 +27 *20903:B2 *5861:463 1.58551e-05 +28 *2142:5 *4832:77 3.31745e-05 +29 *2142:9 *4832:77 1.41976e-05 +30 *2142:28 *2441:66 0.000108729 +31 *2142:28 *2949:60 0.000855903 +32 *2142:28 *4909:8 0.000347817 +33 *2142:28 *4909:10 0.000114679 +34 *2142:28 *4909:56 0.00023344 +35 *2142:28 *4909:65 0.000127849 +36 *2142:28 *4909:76 6.22259e-05 +37 *2142:37 *2949:60 0.000135426 +38 *2142:37 *4909:76 0.000133426 +39 *2142:58 *2949:60 0.000377487 +40 *2142:58 *4909:76 0.000148076 +41 *2142:58 *4909:91 0.000230896 +42 *2142:61 *4843:385 0.000105515 +43 *2142:67 *21299:A 1.00846e-05 +44 *2142:67 *4843:385 0.000263263 +45 *2142:67 *4844:244 0.000111722 +46 *2142:67 *4978:11 4.32984e-05 +47 *20897:A2 *2142:67 3.82228e-05 +48 *20898:A2 *2142:61 1.69193e-05 +49 *20898:A2 *2142:67 5.39247e-05 +50 *20900:A2 *20900:B2 0.000304052 +51 *20900:A2 *2142:5 2.77625e-06 +52 *20903:A2 *20903:B2 8.79845e-05 +53 *20903:A2 *2142:9 1.65872e-05 +54 *24335:D *20903:B2 0.000154145 +55 *482:40 *20899:B2 0.000195856 +56 *500:59 *20900:B2 0 +57 *502:26 *20896:B2 0.000296976 +58 *502:26 *20897:B2 5.41377e-05 +59 *1742:76 *20896:B2 0.000302687 +60 *1742:76 *20897:B2 4.58785e-05 +61 *2141:14 *20899:B2 5.30254e-05 +62 *2141:21 *2142:9 6.23875e-05 +63 *2141:31 *2142:5 4.17949e-05 +64 *2141:31 *2142:9 6.63489e-05 +65 *2141:54 *20901:B2 6.08467e-05 +*RES +1 *20895:Y *2142:4 9.24915 +2 *2142:4 *2142:5 4.60562 +3 *2142:5 *2142:9 5.18434 +4 *2142:9 *20903:B2 15.398 +5 *2142:9 *20899:B2 22.1574 +6 *2142:5 *2142:28 20.4506 +7 *2142:28 *20901:B2 14.4725 +8 *2142:28 *2142:37 2.6625 +9 *2142:37 *20902:B2 19.6328 +10 *2142:37 *2142:58 11.7303 +11 *2142:58 *2142:61 10.5271 +12 *2142:61 *2142:62 57.9449 +13 *2142:62 *2142:67 24.4554 +14 *2142:67 *20896:B2 21.0117 +15 *2142:67 *20897:B2 14.7506 +16 *2142:58 *20898:B2 9.24915 +17 *2142:4 *20900:B2 14.1441 +*END + +*D_NET *2143 0.000876537 +*CONN +*I *20905:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *20904:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20905:A3 0.000278875 +2 *20904:Y 0.000278875 +3 *20905:A3 *20905:A2 0.000188011 +4 *20905:A3 *2333:28 0.000130777 +*RES +1 *20904:Y *20905:A3 33.242 +*END + +*D_NET *2144 0.000682008 +*CONN +*I *20907:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20906:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20907:A 0.000110212 +2 *20906:X 0.000110212 +3 *20907:A *2727:7 0.000161252 +4 *20906:A *20907:A 6.08467e-05 +5 *1557:10 *20907:A 0.000111722 +6 *1588:17 *20907:A 5.73392e-05 +7 *1588:36 *20907:A 6.3657e-05 +8 *1742:60 *20907:A 6.7671e-06 +*RES +1 *20906:X *20907:A 22.5493 +*END + +*D_NET *2145 0.00907388 +*CONN +*I *20910:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20909:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20908:A I *D sky130_fd_sc_hd__inv_2 +*I *20912:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20913:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20911:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20907:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20910:A2 0 +2 *20909:A2 0 +3 *20908:A 0 +4 *20912:A2 0.000111078 +5 *20913:A2 7.29026e-05 +6 *20911:A2 3.15705e-05 +7 *20907:X 0.00038742 +8 *2145:42 0.000512432 +9 *2145:35 0.000594377 +10 *2145:25 0.000577658 +11 *2145:21 0.000471799 +12 *2145:8 0.000579057 +13 *20912:A2 *20912:B2 9.94778e-05 +14 *20912:A2 *4918:16 6.97621e-06 +15 *20913:A2 *20913:B2 6.08467e-05 +16 *20913:A2 *5860:491 7.63448e-05 +17 *2145:8 *2675:63 0.000689544 +18 *2145:21 *23942:A0 0.000432423 +19 *2145:21 *2547:10 3.63593e-05 +20 *2145:21 *2809:31 5.31466e-05 +21 *2145:25 *2547:10 0.000667883 +22 *2145:35 *5854:472 0.000154145 +23 *2145:35 *5860:491 5.75508e-05 +24 *2145:35 *5870:436 9.30719e-05 +25 *2145:42 *21524:C1 7.97985e-05 +26 *2145:42 *4918:15 0.000107496 +27 *2145:42 *5870:425 0.000190281 +28 *24333:D *2145:42 0.000264938 +29 *1497:155 *20913:A2 4.97617e-05 +30 *1497:155 *2145:35 0.000112149 +31 *1588:17 *2145:8 6.50586e-05 +32 *1588:36 *2145:8 1.65872e-05 +33 *1650:8 *2145:35 2.32792e-05 +34 *1650:8 *2145:42 0.000541514 +35 *1651:16 *20911:A2 1.22756e-05 +36 *1651:16 *2145:8 5.37647e-05 +37 *1669:20 *2145:42 3.39118e-05 +38 *1671:21 *2145:21 1.68435e-05 +39 *1671:21 *2145:25 0.000124623 +40 *1725:267 *2145:25 0.000143079 +41 *1731:20 *2145:35 5.48679e-05 +42 *1731:20 *2145:42 1.03824e-05 +43 *1731:136 *20911:A2 3.63593e-05 +44 *1731:136 *2145:8 0.000823383 +45 *1742:60 *2145:8 0.000111708 +46 *1746:8 *20912:A2 0.000140783 +47 *1746:8 *2145:25 0.000267098 +48 *1780:15 *2145:25 2.78708e-05 +*RES +1 *20907:X *2145:8 30.7701 +2 *2145:8 *20911:A2 14.543 +3 *2145:8 *2145:21 8.11675 +4 *2145:21 *2145:25 17.9591 +5 *2145:25 *20913:A2 11.6364 +6 *2145:25 *2145:35 11.0742 +7 *2145:35 *2145:42 18.7269 +8 *2145:42 *20912:A2 21.3269 +9 *2145:42 *20908:A 9.24915 +10 *2145:35 *20909:A2 13.7491 +11 *2145:21 *20910:A2 13.7491 +*END + +*D_NET *2146 0.00629312 +*CONN +*I *20909:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20911:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20910:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20913:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20912:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20908:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20909:B2 2.62774e-05 +2 *20911:B2 0.000243561 +3 *20910:B2 8.19514e-05 +4 *20913:B2 0.000101073 +5 *20912:B2 0.000356696 +6 *20908:Y 0 +7 *2146:36 0.000576186 +8 *2146:23 0.00052294 +9 *2146:19 0.00048711 +10 *2146:5 0.000646335 +11 *20909:B2 *2443:17 2.15348e-05 +12 *20910:B2 *20910:A1 2.53145e-06 +13 *20911:B2 *20910:A1 1.00846e-05 +14 *20911:B2 *20910:B1 0.000211492 +15 *20912:B2 *20912:A1 0.000109427 +16 *20912:B2 *24333:CLK 2.01396e-05 +17 *20912:B2 *3063:13 6.40849e-05 +18 *20912:B2 *4918:16 1.8535e-05 +19 *20913:B2 *5860:491 2.20702e-05 +20 *2146:19 *24333:CLK 4.90264e-05 +21 *2146:19 *5870:436 0.000102579 +22 *2146:23 *2443:17 8.39059e-05 +23 *20912:A2 *20912:B2 9.94778e-05 +24 *20913:A2 *20913:B2 6.08467e-05 +25 *24330:D *20912:B2 6.44964e-06 +26 *1492:35 *20913:B2 2.41274e-06 +27 *1497:155 *20913:B2 0.000253916 +28 *1637:16 *2146:23 0.000187483 +29 *1637:16 *2146:36 0.000643346 +30 *1650:8 *2146:19 2.12285e-05 +31 *1669:15 *20912:B2 4.51176e-05 +32 *1669:15 *2146:19 0.000132307 +33 *1671:21 *2146:23 0.0001839 +34 *1671:21 *2146:36 0.000661928 +35 *1741:115 *20910:B2 3.68685e-05 +36 *1741:115 *20911:B2 3.99086e-06 +37 *1746:8 *20912:B2 1.22613e-05 +38 *1746:8 *2146:19 0.000138882 +39 *1780:15 *2146:19 4.51619e-05 +*RES +1 *20908:Y *2146:5 13.7491 +2 *2146:5 *20912:B2 21.1726 +3 *2146:5 *2146:19 13.8065 +4 *2146:19 *2146:23 10.3802 +5 *2146:23 *20913:B2 17.2697 +6 *2146:23 *2146:36 16.7133 +7 *2146:36 *20910:B2 10.9612 +8 *2146:36 *20911:B2 13.7342 +9 *2146:19 *20909:B2 9.97254 +*END + +*D_NET *2147 0.000428715 +*CONN +*I *20915:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20914:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20915:A 8.57846e-05 +2 *20914:X 8.57846e-05 +3 *20915:A *4902:57 9.60366e-05 +4 *20727:B *20915:A 9.60366e-05 +5 *1418:129 *20915:A 6.50727e-05 +*RES +1 *20914:X *20915:A 30.0537 +*END + +*D_NET *2148 0.012078 +*CONN +*I *20921:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20916:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20920:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20922:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20918:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20917:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20923:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20919:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20924:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20915:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20921:A2 0.000140648 +2 *20916:A 7.72342e-05 +3 *20920:A2 8.57958e-05 +4 *20922:A2 0.000317158 +5 *20918:A2 3.411e-05 +6 *20917:A2 0.000103753 +7 *20923:A2 6.19982e-05 +8 *20919:A2 0 +9 *20924:A2 0 +10 *20915:X 0.000238955 +11 *2148:80 0.000301137 +12 *2148:69 0.00044745 +13 *2148:51 0.000656183 +14 *2148:43 0.0011158 +15 *2148:38 0.00113829 +16 *2148:25 0.000441876 +17 *2148:18 0.000535078 +18 *2148:10 0.000546914 +19 *20916:A *20921:B2 3.82228e-05 +20 *20917:A2 *2149:23 0 +21 *20917:A2 *4902:57 0.000182639 +22 *20918:A2 *2245:11 7.48633e-05 +23 *20920:A2 *4963:7 2.61955e-05 +24 *20921:A2 *20921:A1 2.93774e-05 +25 *20921:A2 *20921:B2 1.65872e-05 +26 *20921:A2 *24324:CLK 2.53145e-06 +27 *20921:A2 *4901:94 3.87797e-05 +28 *20922:A2 *20922:B1 6.50586e-05 +29 *20922:A2 *20922:B2 0 +30 *20922:A2 *21754:A 6.50209e-05 +31 *20922:A2 *4826:61 6.50586e-05 +32 *20922:A2 *4961:8 5.22654e-06 +33 *20922:A2 *4965:10 0 +34 *20923:A2 *4839:123 6.50727e-05 +35 *20923:A2 *4839:139 9.55447e-05 +36 *20923:A2 *4902:19 5.41377e-05 +37 *2148:10 *2380:41 0.000304777 +38 *2148:10 *2554:8 7.65861e-05 +39 *2148:18 *24326:CLK 3.5534e-06 +40 *2148:18 *2380:41 0.000222812 +41 *2148:18 *2380:60 2.01503e-05 +42 *2148:18 *4872:74 0 +43 *2148:18 *5861:319 0.000546015 +44 *2148:25 *24326:CLK 4.70267e-05 +45 *2148:25 *2486:39 1.01315e-05 +46 *2148:25 *4902:19 7.13223e-05 +47 *2148:38 *20921:B1 3.20069e-06 +48 *2148:38 *2486:39 2.53624e-06 +49 *2148:38 *4839:123 2.33193e-05 +50 *2148:38 *4902:19 9.88171e-05 +51 *2148:38 *5924:42 0 +52 *2148:43 *4902:20 0.000355657 +53 *2148:51 *2149:23 0 +54 *2148:69 *20921:B1 0.000283574 +55 *2148:80 *20921:B1 5.18062e-06 +56 *2148:80 *20921:B2 5.51922e-05 +57 *2148:80 *24325:CLK 4.48391e-05 +58 *24324:D *20921:A2 4.65954e-06 +59 *24325:D *20920:A2 2.16355e-05 +60 *24327:D *20918:A2 5.56461e-05 +61 *480:183 *20923:A2 0.000163428 +62 *489:29 *2148:38 0.0001839 +63 *489:29 *2148:69 0.000671147 +64 *489:29 *2148:80 0.000178278 +65 *1614:131 *2148:18 2.60209e-05 +66 *1658:126 *2148:18 8.36464e-05 +67 *1658:126 *2148:25 0.000105608 +68 *1912:10 *2148:10 7.65861e-05 +69 *2050:9 *20917:A2 0.000229649 +70 *2050:9 *20922:A2 0.000526042 +71 *2050:9 *2148:51 0.000510347 +*RES +1 *20915:X *2148:10 23.1623 +2 *2148:10 *20924:A2 9.24915 +3 *2148:10 *2148:18 15.2323 +4 *2148:18 *20919:A2 13.7491 +5 *2148:18 *2148:25 5.98452 +6 *2148:25 *20923:A2 25.5832 +7 *2148:25 *2148:38 5.15401 +8 *2148:38 *2148:43 19.7063 +9 *2148:43 *20917:A2 18.0727 +10 *2148:43 *2148:51 9.30653 +11 *2148:51 *20918:A2 15.5817 +12 *2148:51 *20922:A2 24.1943 +13 *2148:38 *2148:69 12.2133 +14 *2148:69 *20920:A2 15.5817 +15 *2148:69 *2148:80 7.993 +16 *2148:80 *20916:A 11.1059 +17 *2148:80 *20921:A2 13.0831 +*END + +*D_NET *2149 0.0106397 +*CONN +*I *20921:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20920:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20922:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20918:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20917:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20923:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20919:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20924:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20916:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *20921:B2 0.000233543 +2 *20920:B2 0 +3 *20922:B2 0.000232797 +4 *20918:B2 1.47608e-05 +5 *20917:B2 0 +6 *20923:B2 5.34937e-05 +7 *20919:B2 0 +8 *20924:B2 0.000299189 +9 *20916:Y 0 +10 *2149:32 0.000500344 +11 *2149:27 0.000486009 +12 *2149:23 0.000704633 +13 *2149:18 0.000590866 +14 *2149:17 0.000732655 +15 *2149:10 0.000586024 +16 *2149:4 0.00042254 +17 *20918:B2 *20918:B1 6.08467e-05 +18 *20918:B2 *21301:A 6.08467e-05 +19 *20921:B2 *24325:CLK 7.70102e-05 +20 *20922:B2 *21754:A 0 +21 *20922:B2 *24323:CLK 0.000332006 +22 *20922:B2 *2448:69 6.50727e-05 +23 *20922:B2 *4826:61 6.50727e-05 +24 *20923:B2 *20923:B1 0 +25 *20923:B2 *21996:A 0.000148413 +26 *20923:B2 *4839:123 6.50727e-05 +27 *20924:B2 *20919:B1 6.08467e-05 +28 *20924:B2 *2306:21 0.00017442 +29 *20924:B2 *2448:74 0.000199345 +30 *20924:B2 *4843:251 0.000207266 +31 *20924:B2 *4843:289 0.000353672 +32 *20924:B2 *5853:154 7.45028e-05 +33 *2149:10 *20733:B1 0.000144659 +34 *2149:10 *24327:RESET_B 0.000143175 +35 *2149:17 *24327:RESET_B 0.000177546 +36 *2149:17 *4965:10 5.93931e-05 +37 *2149:17 *5853:146 0.000203321 +38 *2149:18 *24323:CLK 8.36586e-06 +39 *2149:18 *4902:36 0.000179025 +40 *2149:18 *4965:10 3.69482e-05 +41 *2149:23 *20917:B1 1.77537e-06 +42 *2149:23 *21238:A 0.00011818 +43 *2149:23 *4845:220 0.000135391 +44 *2149:23 *4902:27 0.000379553 +45 *2149:23 *4902:36 0.000181333 +46 *2149:23 *4902:57 0 +47 *2149:23 *5893:18 1.87269e-05 +48 *2149:27 *20917:B1 6.50727e-05 +49 *2149:27 *21238:A 3.33861e-05 +50 *2149:27 *21996:A 0.000116 +51 *2149:27 *4966:9 0.000252552 +52 *2149:32 *2306:21 9.98029e-06 +53 *2149:32 *2486:39 0.000103557 +54 *2149:32 *3010:8 0.000115913 +55 *20916:A *20921:B2 3.82228e-05 +56 *20917:A2 *2149:23 0 +57 *20921:A2 *20921:B2 1.65872e-05 +58 *20922:A2 *20922:B2 0 +59 *24328:D *2149:23 0 +60 *477:145 *2149:32 0.000155012 +61 *480:183 *20923:B2 6.04161e-05 +62 *480:183 *2149:23 4.82966e-05 +63 *480:183 *2149:27 0.000947841 +64 *489:29 *20921:B2 8.39222e-06 +65 *1658:126 *2149:32 2.46552e-05 +66 *2148:51 *2149:23 0 +67 *2148:80 *20921:B2 5.51922e-05 +*RES +1 *20916:Y *2149:4 9.24915 +2 *2149:4 *2149:10 13.4951 +3 *2149:10 *2149:17 15.3992 +4 *2149:17 *2149:18 3.493 +5 *2149:18 *2149:23 19.2371 +6 *2149:23 *2149:27 11.285 +7 *2149:27 *2149:32 15.3998 +8 *2149:32 *20924:B2 28.9814 +9 *2149:32 *20919:B2 9.24915 +10 *2149:27 *20923:B2 11.8293 +11 *2149:23 *20917:B2 9.24915 +12 *2149:18 *20918:B2 14.4725 +13 *2149:17 *20922:B2 20.8723 +14 *2149:10 *20920:B2 9.24915 +15 *2149:4 *20921:B2 22.6077 +*END + +*D_NET *2150 0.00128895 +*CONN +*I *20926:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *20925:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *20926:B1 0.000325158 +2 *20925:X 0.000325158 +3 *20926:B1 *20926:A1 0.000126335 +4 *20926:B1 *22108:A 0.000389322 +5 *20926:B1 *2278:24 0.000122978 +*RES +1 *20925:X *20926:B1 34.3512 +*END + +*D_NET *2151 0.00156696 +*CONN +*I *20928:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20927:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20928:A 0.000219135 +2 *20927:X 0.000219135 +3 *20928:A *20931:A1 0.000343703 +4 *20928:A *2152:5 0.000107496 +5 *20928:A *2604:21 0.000191834 +6 *20928:A *3028:16 0.000135182 +7 *20928:A *3059:11 1.15389e-05 +8 *20865:B2 *20928:A 0.000135182 +9 *1561:125 *20928:A 0.000203756 +*RES +1 *20927:X *20928:A 36.9848 +*END + +*D_NET *2152 0.0069094 +*CONN +*I *20931:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20934:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20932:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20933:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20929:A I *D sky130_fd_sc_hd__inv_2 +*I *20930:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20928:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20931:A2 1.90687e-05 +2 *20934:A2 0.000350076 +3 *20932:A2 0.000128957 +4 *20933:A2 0 +5 *20929:A 0 +6 *20930:A2 0.000134476 +7 *20928:X 0.000199927 +8 *2152:35 0.000128957 +9 *2152:33 0.000453333 +10 *2152:24 0.000605001 +11 *2152:9 0.000738273 +12 *2152:5 0.000321048 +13 *20930:A2 *20930:B1 7.10829e-05 +14 *20931:A2 *20931:A1 1.02267e-05 +15 *20932:A2 *20933:A1 0.000107496 +16 *20932:A2 *20933:B1 0.000110306 +17 *20932:A2 *3027:8 0.000208263 +18 *20934:A2 *20934:A1 1.29691e-05 +19 *20934:A2 *20934:B2 2.63669e-05 +20 *20934:A2 *2173:9 0 +21 *20934:A2 *2615:8 2.94067e-05 +22 *20934:A2 *2655:19 2.49393e-05 +23 *20934:A2 *4003:54 4.94943e-05 +24 *20934:A2 *5861:112 2.1203e-06 +25 *2152:5 *20931:A1 0.00012765 +26 *2152:5 *2604:21 0.000107496 +27 *2152:9 *20930:B2 0.000107496 +28 *2152:9 *20931:A1 2.16355e-05 +29 *2152:9 *20931:B1 7.92757e-06 +30 *2152:9 *20931:B2 6.08467e-05 +31 *2152:24 *19802:A 6.08467e-05 +32 *2152:24 *20934:A1 2.59574e-05 +33 *2152:24 *2153:8 2.22399e-05 +34 *2152:24 *2153:36 5.407e-05 +35 *2152:24 *2655:25 0 +36 *2152:24 *4967:5 6.08467e-05 +37 *2152:24 *5856:108 0.000216294 +38 *2152:24 *5866:33 0.000107496 +39 *2152:33 *19802:A 9.32983e-05 +40 *2152:33 *2615:8 0.000157686 +41 *2152:33 *5866:33 0.000107496 +42 *20928:A *2152:5 0.000107496 +43 *21014:A *2152:9 1.65872e-05 +44 *823:20 *20934:A2 0.000149133 +45 *1574:20 *20932:A2 0.00021336 +46 *1574:20 *20934:A2 3.6549e-05 +47 *1574:20 *2152:33 0.000154073 +48 *1603:28 *20930:A2 0.000215106 +49 *1603:28 *2152:24 0.000443305 +50 *1809:80 *2152:5 0.000156076 +51 *1809:80 *2152:9 0.000346643 +*RES +1 *20928:X *2152:5 14.964 +2 *2152:5 *2152:9 8.55102 +3 *2152:9 *20930:A2 18.0727 +4 *2152:9 *2152:24 19.7256 +5 *2152:24 *20929:A 9.24915 +6 *2152:24 *2152:33 8.85575 +7 *2152:33 *2152:35 4.5 +8 *2152:35 *20933:A2 9.24915 +9 *2152:35 *20932:A2 23.4354 +10 *2152:33 *20934:A2 22.9732 +11 *2152:5 *20931:A2 9.82786 +*END + +*D_NET *2153 0.00489794 +*CONN +*I *20931:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20930:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20932:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20933:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20934:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20929:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20931:B2 1.20138e-05 +2 *20930:B2 0.000181779 +3 *20932:B2 7.79534e-05 +4 *20933:B2 1.326e-05 +5 *20934:B2 0.000125544 +6 *20929:Y 0 +7 *2153:36 0.000509628 +8 *2153:11 0.00020249 +9 *2153:8 0.000310462 +10 *2153:5 0.000484658 +11 *20930:B2 *20930:B1 7.30852e-05 +12 *20930:B2 *2655:25 9.35777e-05 +13 *20932:B2 *2615:8 0.000183153 +14 *20932:B2 *5861:112 0.000169657 +15 *20933:B2 *20933:A1 7.4174e-06 +16 *20934:B2 *20934:A1 4.75207e-05 +17 *20934:B2 *4003:57 8.54423e-05 +18 *20934:B2 *4665:50 6.3657e-05 +19 *20934:B2 *5861:127 9.119e-05 +20 *2153:8 *20934:A1 0.000120592 +21 *2153:8 *2615:8 0.000217644 +22 *2153:8 *2615:13 9.90599e-06 +23 *2153:8 *5861:112 3.45993e-05 +24 *2153:11 *20933:B1 5.04829e-06 +25 *2153:11 *20934:A1 7.92757e-06 +26 *2153:36 *2615:13 0.000499103 +27 *2153:36 *5856:108 8.69846e-05 +28 *20934:A2 *20934:B2 2.63669e-05 +29 *21014:A *20930:B2 0.000305515 +30 *21014:A *20931:B2 4.81452e-05 +31 *1603:28 *2153:36 0.00047352 +32 *1809:80 *20930:B2 8.54423e-05 +33 *2152:9 *20930:B2 0.000107496 +34 *2152:9 *20931:B2 6.08467e-05 +35 *2152:24 *2153:8 2.22399e-05 +36 *2152:24 *2153:36 5.407e-05 +*RES +1 *20929:Y *2153:5 13.7491 +2 *2153:5 *2153:8 5.47156 +3 *2153:8 *2153:11 5.778 +4 *2153:11 *20934:B2 13.8789 +5 *2153:11 *20933:B2 9.82786 +6 *2153:8 *20932:B2 17.2421 +7 *2153:5 *2153:36 16.7133 +8 *2153:36 *20930:B2 25.6538 +9 *2153:36 *20931:B2 9.97254 +*END + +*D_NET *2154 0.000304832 +*CONN +*I *20936:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20935:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20936:A 4.44633e-05 +2 *20935:X 4.44633e-05 +3 *20936:A *2421:67 0.000156946 +4 *20935:B *20936:A 5.89592e-05 +*RES +1 *20935:X *20936:A 20.3309 +*END + +*D_NET *2155 0.0149698 +*CONN +*I *20945:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20939:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20944:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20940:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20943:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20937:A I *D sky130_fd_sc_hd__inv_2 +*I *20938:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20942:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20941:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20936:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20945:A2 0.000486423 +2 *20939:A2 0 +3 *20944:A2 0.000283553 +4 *20940:A2 0.000132428 +5 *20943:A2 0 +6 *20937:A 6.80585e-05 +7 *20938:A2 8.50332e-05 +8 *20942:A2 0 +9 *20941:A2 0.000130391 +10 *20936:X 2.3451e-05 +11 *2155:51 0.000153092 +12 *2155:37 0.00057865 +13 *2155:28 0.000839538 +14 *2155:26 0.000823678 +15 *2155:22 0.000871455 +16 *2155:13 0.00130819 +17 *2155:8 0.000910017 +18 *2155:5 0.000701877 +19 *20937:A *5434:9 0.000239718 +20 *20937:A *5904:45 9.5562e-05 +21 *20938:A2 *5434:9 0.000300565 +22 *20938:A2 *5904:45 0.000112149 +23 *20940:A2 *20940:A1 0.000103482 +24 *20940:A2 *20940:B1 9.51132e-06 +25 *20940:A2 *4906:10 1.03403e-05 +26 *20941:A2 *20941:B2 1.37669e-05 +27 *20941:A2 *20942:A1 0.000123386 +28 *20941:A2 *2503:48 0.000179884 +29 *20944:A2 *22050:A 3.53797e-05 +30 *20944:A2 *24308:CLK 2.16355e-05 +31 *20944:A2 *24312:RESET_B 5.07712e-05 +32 *20944:A2 *2520:53 2.1558e-06 +33 *20944:A2 *4872:78 2.5775e-05 +34 *20945:A2 *19857:A 0.000105826 +35 *20945:A2 *2421:67 8.71712e-05 +36 *20945:A2 *4872:78 6.84608e-05 +37 *20945:A2 *5424:13 0.000114584 +38 *2155:5 *2421:67 1.03403e-05 +39 *2155:8 *2676:20 0.000362332 +40 *2155:8 *3306:32 7.68726e-06 +41 *2155:13 *21415:A 8.01837e-05 +42 *2155:13 *24308:CLK 1.65872e-05 +43 *2155:13 *2676:20 0.000350501 +44 *2155:13 *2676:22 8.93133e-05 +45 *2155:13 *3064:13 0.000493634 +46 *2155:13 *3306:32 0.000185185 +47 *2155:22 *24312:RESET_B 0.000154145 +48 *2155:22 *2156:67 2.25039e-05 +49 *2155:22 *2452:29 0.00013408 +50 *2155:22 *2520:53 0.000171309 +51 *2155:22 *4906:10 7.50872e-05 +52 *2155:26 *2156:67 0.00011795 +53 *2155:26 *2452:29 0.000110224 +54 *2155:26 *4818:22 0.000198756 +55 *2155:26 *5857:113 0.00012698 +56 *2155:28 *2156:10 5.65123e-05 +57 *2155:28 *2156:46 0.000565564 +58 *2155:28 *2319:55 8.6449e-05 +59 *2155:28 *2473:57 1.91246e-05 +60 *2155:28 *4818:22 0.00041303 +61 *2155:28 *5857:113 1.79196e-05 +62 *2155:28 *5857:125 1.12605e-05 +63 *2155:37 *20941:B2 1.65872e-05 +64 *2155:37 *20942:B1 5.87616e-05 +65 *2155:37 *2156:10 0.000109248 +66 *2155:37 *2156:23 0.000253916 +67 *2155:37 *2319:55 1.88638e-05 +68 *2155:37 *4816:124 5.57532e-05 +69 *20935:A *20945:A2 0.000406808 +70 *20935:A *2155:5 6.08467e-05 +71 *21998:B2 *20940:A2 0.000410225 +72 *24308:D *20944:A2 6.58209e-05 +73 *24310:D *2155:37 1.04833e-05 +74 *477:177 *20945:A2 7.29095e-06 +75 *477:177 *2155:13 1.5714e-05 +76 *1543:15 *2155:37 4.79321e-06 +77 *1631:58 *2155:22 0.000171136 +78 *1634:30 *2155:8 0.000330884 +*RES +1 *20936:X *2155:5 9.97254 +2 *2155:5 *2155:8 11.7303 +3 *2155:8 *2155:13 21.737 +4 *2155:13 *2155:22 21.4881 +5 *2155:22 *2155:26 10.8698 +6 *2155:26 *2155:28 13.4591 +7 *2155:28 *2155:37 13.3543 +8 *2155:37 *20941:A2 22.1574 +9 *2155:37 *20942:A2 9.24915 +10 *2155:28 *2155:51 4.5 +11 *2155:51 *20938:A2 12.7456 +12 *2155:51 *20937:A 12.191 +13 *2155:26 *20943:A2 13.7491 +14 *2155:22 *20940:A2 19.247 +15 *2155:13 *20944:A2 24.0317 +16 *2155:8 *20939:A2 13.7491 +17 *2155:5 *20945:A2 29.8658 +*END + +*D_NET *2156 0.0153199 +*CONN +*I *20943:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20945:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20939:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20944:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20940:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20941:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20942:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20938:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20937:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20943:B2 0 +2 *20945:B2 0.000436959 +3 *20939:B2 0.000395019 +4 *20944:B2 0.000149926 +5 *20940:B2 0.000228892 +6 *20941:B2 0.000192095 +7 *20942:B2 0 +8 *20938:B2 0.000223682 +9 *20937:Y 0.000247979 +10 *2156:82 0.00117752 +11 *2156:67 0.000733076 +12 *2156:51 0.000717663 +13 *2156:46 0.000723805 +14 *2156:23 0.00029999 +15 *2156:10 0.000446704 +16 *2156:7 0.000835747 +17 *20938:B2 *2319:55 4.03197e-05 +18 *20938:B2 *3020:21 0.000307037 +19 *20938:B2 *3153:112 5.1315e-05 +20 *20938:B2 *5857:125 0.000347214 +21 *20939:B2 *20939:A1 0.00034859 +22 *20939:B2 *20939:B1 1.85547e-05 +23 *20939:B2 *2334:15 1.00558e-05 +24 *20940:B2 *2245:27 7.04982e-05 +25 *20940:B2 *2906:52 0.000162279 +26 *20940:B2 *3306:29 0.00025175 +27 *20940:B2 *4815:68 0.00015514 +28 *20941:B2 *20941:A1 6.3657e-05 +29 *20941:B2 *20942:A1 4.74307e-05 +30 *20944:B2 *20944:B1 8.67924e-06 +31 *20944:B2 *24312:RESET_B 9.595e-05 +32 *20944:B2 *24312:CLK 6.08467e-05 +33 *20944:B2 *5432:5 0.000200794 +34 *20945:B2 *20939:A1 0.000446263 +35 *20945:B2 *2452:18 0.000403252 +36 *20945:B2 *4872:78 0 +37 *2156:7 *5904:45 0.000347214 +38 *2156:10 *4816:124 8.03951e-06 +39 *2156:10 *5857:125 0.000190505 +40 *2156:23 *20942:B1 1.47978e-05 +41 *2156:23 *2319:55 4.97617e-05 +42 *2156:46 *20943:A1 3.01683e-06 +43 *2156:46 *21761:A 8.08828e-06 +44 *2156:46 *5429:15 1.21461e-06 +45 *2156:46 *5857:125 0.00055985 +46 *2156:51 *2676:22 0.00034152 +47 *2156:51 *3306:29 0.000343593 +48 *2156:51 *5857:113 7.77309e-06 +49 *2156:67 *20940:A1 0.000167665 +50 *2156:67 *2245:27 3.8122e-05 +51 *2156:67 *2297:71 5.08731e-06 +52 *2156:67 *3306:29 4.66492e-05 +53 *2156:67 *4843:312 2.57518e-05 +54 *2156:67 *4906:10 0.000150603 +55 *2156:67 *4906:19 1.72347e-05 +56 *2156:67 *5857:113 7.10185e-05 +57 *2156:82 *20940:A1 2.13165e-05 +58 *2156:82 *4843:312 0.000224582 +59 *2156:82 *4906:19 0.000135339 +60 *2156:82 *4906:30 0.000473793 +61 *20941:A2 *20941:B2 1.37669e-05 +62 *21998:B2 *20940:B2 3.82228e-05 +63 *24312:D *20940:B2 3.82228e-05 +64 *477:177 *20945:B2 3.3238e-05 +65 *482:72 *20940:B2 0.000438346 +66 *482:72 *2156:67 0.000158451 +67 *1418:79 *20941:B2 0.00019424 +68 *1543:15 *20941:B2 2.16355e-05 +69 *1543:15 *2156:23 3.55208e-05 +70 *1649:189 *20938:B2 5.47879e-05 +71 *2155:22 *2156:67 2.25039e-05 +72 *2155:26 *2156:67 0.00011795 +73 *2155:28 *2156:10 5.65123e-05 +74 *2155:28 *2156:46 0.000565564 +75 *2155:37 *20941:B2 1.65872e-05 +76 *2155:37 *2156:10 0.000109248 +77 *2155:37 *2156:23 0.000253916 +*RES +1 *20937:Y *2156:7 17.8002 +2 *2156:7 *2156:10 8.40826 +3 *2156:10 *20938:B2 26.0719 +4 *2156:10 *2156:23 4.07513 +5 *2156:23 *20942:B2 9.24915 +6 *2156:23 *20941:B2 23.6042 +7 *2156:7 *2156:46 18.704 +8 *2156:46 *2156:51 16.6455 +9 *2156:51 *20940:B2 27.7651 +10 *2156:51 *2156:67 13.1476 +11 *2156:67 *20944:B2 18.403 +12 *2156:67 *2156:82 16.298 +13 *2156:82 *20939:B2 16.2902 +14 *2156:82 *20945:B2 29.3911 +15 *2156:46 *20943:B2 9.24915 +*END + +*D_NET *2157 0.000899238 +*CONN +*I *20947:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20946:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20947:A 0.000110815 +2 *20946:X 0.000110815 +3 *20947:A *24241:CLK 0.000251669 +4 *20947:A *2928:31 8.60109e-05 +5 *20947:A *2972:18 0.000253916 +6 *1419:70 *20947:A 8.60109e-05 +*RES +1 *20946:X *20947:A 32.2721 +*END + +*D_NET *2158 0.00666719 +*CONN +*I *20953:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20952:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20949:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20948:A I *D sky130_fd_sc_hd__inv_2 +*I *20950:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20951:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20947:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20953:A2 0 +2 *20952:A2 7.89529e-05 +3 *20949:A2 0 +4 *20948:A 0 +5 *20950:A2 1.00665e-05 +6 *20951:A2 0.000420126 +7 *20947:X 0.000404202 +8 *2158:30 4.65605e-05 +9 *2158:14 0.00064459 +10 *2158:12 0.000652579 +11 *2158:10 0.00066296 +12 *2158:8 0.000523601 +13 *20950:A2 *20950:B2 0.000175485 +14 *20950:A2 *5854:365 0.000175485 +15 *20951:A2 *20951:B1 2.91326e-05 +16 *20951:A2 *20951:B2 3.36899e-05 +17 *20951:A2 *21717:A2_N 8.10959e-05 +18 *20951:A2 *21799:A 1.13617e-05 +19 *20951:A2 *2159:15 1.36556e-05 +20 *20951:A2 *2159:29 6.08697e-06 +21 *20951:A2 *4917:21 0.000181472 +22 *20951:A2 *5745:33 1.27831e-06 +23 *20952:A2 *20952:A1 6.92705e-05 +24 *20952:A2 *5436:9 0.000201759 +25 *20952:A2 *5858:459 0.000423908 +26 *2158:8 *24241:CLK 3.82228e-05 +27 *2158:8 *2645:12 7.70338e-05 +28 *2158:8 *2928:24 0.000362051 +29 *2158:8 *5870:359 0 +30 *2158:10 *20953:B1 7.86825e-06 +31 *2158:10 *2645:12 8.85729e-06 +32 *2158:10 *5436:9 2.55661e-06 +33 *2158:10 *5858:459 0.00012063 +34 *2158:10 *5870:341 0 +35 *2158:10 *5870:359 0 +36 *2158:12 *20949:A1 0.000153225 +37 *2158:12 *24305:RESET_B 0 +38 *2158:12 *4917:64 0.000201736 +39 *2158:12 *5436:9 3.25182e-05 +40 *2158:12 *5858:459 2.69685e-05 +41 *2158:12 *5870:341 0 +42 *2158:14 *2159:29 9.39332e-05 +43 *2158:14 *4917:21 0.000196638 +44 *2158:14 *4917:58 0.000153957 +45 *2158:14 *4917:64 7.84597e-06 +46 *2158:30 *20950:B2 4.88955e-05 +47 *2158:30 *5854:365 0.000159299 +48 *24302:D *2158:8 4.94526e-05 +49 *514:106 *2158:30 6.49003e-05 +50 *1419:70 *2158:8 1.32841e-05 +*RES +1 *20947:X *2158:8 24.7489 +2 *2158:8 *2158:10 3.07775 +3 *2158:10 *2158:12 13.4591 +4 *2158:12 *2158:14 6.81502 +5 *2158:14 *20951:A2 25.2278 +6 *2158:14 *2158:30 6.88721 +7 *2158:30 *20950:A2 11.0817 +8 *2158:30 *20948:A 9.24915 +9 *2158:12 *20949:A2 13.7491 +10 *2158:10 *20952:A2 18.3548 +11 *2158:8 *20953:A2 13.7491 +*END + +*D_NET *2159 0.00547038 +*CONN +*I *20953:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20952:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20949:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20950:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20951:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20948:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20953:B2 0.000294406 +2 *20952:B2 0 +3 *20949:B2 0 +4 *20950:B2 7.79245e-05 +5 *20951:B2 0.000204139 +6 *20948:Y 0 +7 *2159:40 0.00095591 +8 *2159:29 0.000950109 +9 *2159:15 0.000404594 +10 *2159:5 0.000242205 +11 *20950:B2 *5854:351 4.31539e-05 +12 *20950:B2 *5854:365 3.83336e-05 +13 *20951:B2 *21717:A1_N 0.000373061 +14 *20951:B2 *2970:8 0 +15 *20953:B2 *21530:A1 0.000132483 +16 *20953:B2 *2586:8 3.52296e-05 +17 *20953:B2 *4829:23 0.000160462 +18 *2159:15 *2970:8 0 +19 *2159:29 *20950:A1 0 +20 *2159:29 *24305:RESET_B 0.000230789 +21 *2159:29 *2667:8 1.03403e-05 +22 *2159:29 *2970:8 0 +23 *2159:40 *20949:B1 4.80635e-06 +24 *2159:40 *2270:8 0 +25 *2159:40 *2586:8 0.000136697 +26 *2159:40 *2667:8 1.80647e-05 +27 *20950:A2 *20950:B2 0.000175485 +28 *20951:A2 *20951:B2 3.36899e-05 +29 *20951:A2 *2159:15 1.36556e-05 +30 *20951:A2 *2159:29 6.08697e-06 +31 *24304:D *20951:B2 4.58003e-05 +32 *514:106 *20950:B2 0.000423908 +33 *1746:8 *20953:B2 6.34651e-06 +34 *1746:8 *2159:40 0.00030987 +35 *2158:14 *2159:29 9.39332e-05 +36 *2158:30 *20950:B2 4.88955e-05 +*RES +1 *20948:Y *2159:5 13.7491 +2 *2159:5 *20951:B2 20.4627 +3 *2159:5 *2159:15 1.00149 +4 *2159:15 *20950:B2 18.9335 +5 *2159:15 *2159:29 12.4537 +6 *2159:29 *20949:B2 9.24915 +7 *2159:29 *2159:40 22.7281 +8 *2159:40 *20952:B2 13.7491 +9 *2159:40 *20953:B2 21.596 +*END + +*D_NET *2160 0.00021109 +*CONN +*I *20955:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20954:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20955:A 5.03967e-05 +2 *20954:X 5.03967e-05 +3 *20955:A *2820:9 0.000110297 +*RES +1 *20954:X *20955:A 19.7763 +*END + +*D_NET *2161 0.0169633 +*CONN +*I *20958:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20962:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20956:A I *D sky130_fd_sc_hd__inv_2 +*I *20961:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20957:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20960:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20964:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20963:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20959:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20955:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20958:A2 0.00013884 +2 *20962:A2 0.000113479 +3 *20956:A 0.000102665 +4 *20961:A2 0.000234968 +5 *20957:A2 6.00273e-05 +6 *20960:A2 0.000283969 +7 *20964:A2 2.60774e-05 +8 *20963:A2 0.000681862 +9 *20959:A2 7.42483e-05 +10 *20955:X 0.000476319 +11 *2161:99 0.000357743 +12 *2161:88 0.000459969 +13 *2161:66 0.000294996 +14 *2161:34 0.000961955 +15 *2161:25 0.000371186 +16 *2161:24 0.000632598 +17 *2161:20 0.000444625 +18 *2161:17 0.000867118 +19 *20956:A *2162:7 2.16355e-05 +20 *20956:A *2373:14 7.13972e-05 +21 *20957:A2 *20957:B2 0.000247443 +22 *20957:A2 *5421:9 0.000247443 +23 *20958:A2 *20958:A1 1.61606e-05 +24 *20958:A2 *20958:B1 6.50727e-05 +25 *20958:A2 *20958:B2 0.000110306 +26 *20958:A2 *20962:B2 5.3622e-05 +27 *20958:A2 *24300:CLK 1.03434e-05 +28 *20958:A2 *4844:182 4.78069e-06 +29 *20958:A2 *4903:166 0.000464069 +30 *20959:A2 *5419:9 0.000251655 +31 *20959:A2 *5859:155 9.21724e-05 +32 *20960:A2 *2729:54 0.000491387 +33 *20960:A2 *4820:78 5.92342e-05 +34 *20960:A2 *4844:183 0.000162584 +35 *20960:A2 *5419:9 0.000251669 +36 *20960:A2 *5859:155 3.34025e-05 +37 *20961:A2 *20957:B2 0.000386138 +38 *20961:A2 *20961:A1 2.16355e-05 +39 *20961:A2 *20961:B1 3.07159e-05 +40 *20961:A2 *20961:B2 7.83262e-05 +41 *20961:A2 *4903:126 0.000298241 +42 *20961:A2 *4903:141 1.09551e-05 +43 *20961:A2 *5421:9 0.000496444 +44 *20962:A2 *20962:B2 0.000393863 +45 *20962:A2 *4903:166 0.000140275 +46 *20963:A2 *20963:A1 6.3657e-05 +47 *20963:A2 *20963:B1 5.07331e-05 +48 *20963:A2 *20963:B2 5.89592e-05 +49 *20963:A2 *20964:A1 0.000158371 +50 *20963:A2 *2245:24 4.49767e-05 +51 *20963:A2 *4826:74 4.15661e-05 +52 *20964:A2 *20964:A1 0.000107496 +53 *2161:17 *2245:23 6.08467e-05 +54 *2161:17 *2245:24 9.19873e-05 +55 *2161:17 *2373:14 8.02776e-05 +56 *2161:17 *2499:28 7.14746e-05 +57 *2161:17 *4823:47 0.000174089 +58 *2161:17 *4826:74 0.000108288 +59 *2161:17 *5861:330 8.03699e-06 +60 *2161:20 *5857:97 0.000282574 +61 *2161:24 *5857:97 0.000705799 +62 *2161:25 *5419:9 0.000154145 +63 *2161:25 *5859:155 5.47093e-05 +64 *2161:34 *2245:24 0.00053188 +65 *2161:34 *4826:74 0.000542532 +66 *2161:88 *2433:20 0.000234392 +67 *2161:88 *4823:47 0.000253916 +68 *2161:99 *2433:20 0.000194773 +69 *20690:A *20963:A2 0.000240093 +70 *20690:A *20964:A2 0.000107496 +71 *478:54 *2161:88 0.000237933 +72 *478:54 *2161:99 0.00018911 +73 *480:14 *2161:20 0.000275478 +74 *480:14 *2161:24 0.000705799 +75 *1742:86 *20960:A2 3.82927e-05 +*RES +1 *20955:X *2161:17 36.4109 +2 *2161:17 *2161:20 10.0693 +3 *2161:20 *2161:24 17.9591 +4 *2161:24 *2161:25 1.8326 +5 *2161:25 *20959:A2 12.191 +6 *2161:25 *2161:34 15.0523 +7 *2161:34 *20963:A2 26.0597 +8 *2161:34 *20964:A2 15.0271 +9 *2161:24 *20960:A2 28.1509 +10 *2161:20 *2161:66 4.5 +11 *2161:66 *20957:A2 12.191 +12 *2161:66 *20961:A2 20.1724 +13 *2161:17 *2161:88 11.7653 +14 *2161:88 *20956:A 16.1364 +15 *2161:88 *2161:99 8.40826 +16 *2161:99 *20962:A2 13.8548 +17 *2161:99 *20958:A2 15.5668 +*END + +*D_NET *2162 0.0132046 +*CONN +*I *20958:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20962:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20961:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20957:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20960:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20959:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20964:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20963:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20956:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20958:B2 3.21131e-05 +2 *20962:B2 0.000269713 +3 *20961:B2 0.000212134 +4 *20957:B2 0.000387603 +5 *20960:B2 0 +6 *20959:B2 0.000285437 +7 *20964:B2 7.34275e-05 +8 *20963:B2 0.000111048 +9 *20956:Y 8.76044e-05 +10 *2162:76 0.000447146 +11 *2162:28 0.00106797 +12 *2162:14 0.00105926 +13 *2162:12 0.000461728 +14 *2162:10 0.00065734 +15 *2162:8 0.000441929 +16 *2162:7 0.000415599 +17 *20957:B2 *21182:A 6.40572e-05 +18 *20957:B2 *5421:9 1.15389e-05 +19 *20958:B2 *4844:182 1.96456e-05 +20 *20959:B2 *20959:B1 6.36477e-05 +21 *20959:B2 *21401:A 3.54024e-05 +22 *20959:B2 *3257:36 0.000396771 +23 *20959:B2 *4824:122 3.03563e-05 +24 *20959:B2 *4845:250 1.77439e-05 +25 *20959:B2 *5859:155 0.000158451 +26 *20959:B2 *5861:336 6.18144e-05 +27 *20961:B2 *5421:9 0.000107496 +28 *20962:B2 *20958:A1 0 +29 *20962:B2 *20962:B1 3.4934e-05 +30 *20962:B2 *4844:182 0.000332511 +31 *20962:B2 *4903:166 2.56653e-05 +32 *20963:B2 *2473:39 0.000135613 +33 *20963:B2 *4805:36 0.000139074 +34 *20964:B2 *3257:36 0.000137403 +35 *20964:B2 *5861:336 2.1844e-05 +36 *20964:B2 *5861:338 3.5534e-06 +37 *2162:7 *2373:14 7.76105e-06 +38 *2162:8 *2473:39 0.000418379 +39 *2162:8 *4805:36 0.000416278 +40 *2162:10 *2473:39 6.1438e-05 +41 *2162:10 *4805:36 5.62368e-05 +42 *2162:12 *2473:39 0.000535349 +43 *2162:12 *4805:36 0.000526225 +44 *2162:14 *2473:39 0.000580667 +45 *2162:14 *4805:36 0.000568488 +46 *2162:28 *5411:10 0.000144608 +47 *2162:76 *2433:20 4.69667e-05 +48 *2162:76 *2473:39 0.000241849 +49 *2162:76 *4805:36 0.000108798 +50 *20690:A *20963:B2 0.000154145 +51 *20956:A *2162:7 2.16355e-05 +52 *20957:A2 *20957:B2 0.000247443 +53 *20958:A2 *20958:B2 0.000110306 +54 *20958:A2 *20962:B2 5.3622e-05 +55 *20961:A2 *20957:B2 0.000386138 +56 *20961:A2 *20961:B2 7.83262e-05 +57 *20962:A2 *20962:B2 0.000393863 +58 *20963:A2 *20963:B2 5.89592e-05 +59 *24294:D *20959:B2 4.99151e-05 +60 *24294:D *20964:B2 6.87503e-05 +61 *24296:D *20962:B2 6.08467e-05 +*RES +1 *20956:Y *2162:7 15.0271 +2 *2162:7 *2162:8 8.06078 +3 *2162:8 *2162:10 1.41674 +4 *2162:10 *2162:12 10.137 +5 *2162:12 *2162:14 10.9675 +6 *2162:14 *20963:B2 18.2442 +7 *2162:14 *2162:28 18.5971 +8 *2162:28 *20964:B2 16.4116 +9 *2162:28 *20959:B2 23.806 +10 *2162:12 *20960:B2 13.7491 +11 *2162:10 *20957:B2 23.9249 +12 *2162:8 *20961:B2 18.0172 +13 *2162:7 *2162:76 9.23876 +14 *2162:76 *20962:B2 20.0519 +15 *2162:76 *20958:B2 10.5271 +*END + +*D_NET *2163 0.0132302 +*CONN +*I *21013:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *20980:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *21011:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21010:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21007:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21009:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20966:A I *D sky130_fd_sc_hd__buf_6 +*I *21006:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21008:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20965:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21013:B1 0.000474706 +2 *20980:B1 0.00021833 +3 *21011:A1 4.31349e-05 +4 *21010:A1 0.000232557 +5 *21007:A1 0.000150977 +6 *21009:A1 3.37551e-05 +7 *20966:A 0 +8 *21006:A1 0.000160075 +9 *21008:A1 6.83626e-05 +10 *20965:Y 0.000276195 +11 *2163:86 0.00107693 +12 *2163:73 0.000709466 +13 *2163:61 0.000599037 +14 *2163:52 0.000537597 +15 *2163:41 0.000411522 +16 *2163:15 0.000272881 +17 *2163:11 0.000196285 +18 *2163:9 0.000503229 +19 *20980:B1 *20980:B2 6.36477e-05 +20 *20980:B1 *2446:35 0.00016622 +21 *21006:A1 *2164:14 2.24484e-05 +22 *21006:A1 *2164:135 0.000123597 +23 *21006:A1 *2439:14 0.000144546 +24 *21006:A1 *2585:19 0.000113197 +25 *21007:A1 *2426:73 0.000177066 +26 *21008:A1 *2164:14 9.67445e-05 +27 *21008:A1 *2439:14 0.00022117 +28 *21009:A1 *2962:27 2.16355e-05 +29 *21010:A1 *21010:B2 2.65667e-05 +30 *21010:A1 *2278:24 0 +31 *21010:A1 *2291:15 4.91225e-06 +32 *21010:A1 *5794:37 5.53934e-05 +33 *21011:A1 *5785:52 0.00011818 +34 *21013:B1 *21013:B2 5.481e-05 +35 *21013:B1 *2900:59 2.73356e-05 +36 *21013:B1 *5794:37 0.000462934 +37 *2163:9 *23995:S 0.000190193 +38 *2163:9 *2278:24 0 +39 *2163:9 *2962:8 0.000357075 +40 *2163:9 *5871:650 0 +41 *2163:9 *5871:659 0 +42 *2163:9 *6027:178 1.15389e-05 +43 *2163:11 *21009:B2 0.000113968 +44 *2163:11 *2164:7 0.000219249 +45 *2163:11 *5983:11 6.08467e-05 +46 *2163:11 *6027:178 0.000236746 +47 *2163:15 *2164:7 0.000156955 +48 *2163:15 *6027:178 5.8973e-05 +49 *2163:41 *2392:8 0.00014665 +50 *2163:41 *5794:35 0.00014665 +51 *2163:52 *2392:8 0.00075098 +52 *2163:52 *5794:35 0.000458176 +53 *2163:52 *5794:37 0.000129485 +54 *2163:61 *2426:73 8.65522e-05 +55 *2163:73 *21011:B2 3.67708e-05 +56 *2163:73 *2278:24 0 +57 *2163:73 *5794:37 0.000142286 +58 *2163:73 *5871:560 0 +59 *2163:86 *21011:B2 0.000153257 +60 *2163:86 *5794:37 0.000155001 +61 *2163:86 *5871:560 0 +62 *2163:86 *5871:787 0 +63 *20980:A2 *20980:B1 0.000329847 +64 *21006:B1 *21006:A1 0 +65 *21008:A2 *21008:A1 7.50722e-05 +66 *21009:B1 *21006:A1 0.000107496 +67 *21010:A2 *21010:A1 1.84293e-05 +68 *21013:A1 *21013:B1 2.69292e-05 +69 *439:7 *20980:B1 0.000133684 +70 *439:11 *20980:B1 0.000143733 +71 *439:61 *21007:A1 0.000260388 +72 *439:71 *21006:A1 0.000113968 +73 *439:73 *21006:A1 0.000167076 +74 *501:32 *21013:B1 2.20585e-05 +75 *507:25 *2163:11 0.000152955 +76 *1520:42 *21013:B1 9.92353e-05 +77 *1520:42 *2163:86 7.4044e-05 +78 *1573:63 *21011:A1 2.85274e-05 +*RES +1 *20965:Y *2163:9 27.0361 +2 *2163:9 *2163:11 7.93324 +3 *2163:11 *2163:15 6.3326 +4 *2163:15 *21008:A1 17.6574 +5 *2163:15 *21006:A1 21.0414 +6 *2163:11 *20966:A 9.24915 +7 *2163:9 *2163:41 7.74122 +8 *2163:41 *21009:A1 14.4725 +9 *2163:41 *2163:52 17.9591 +10 *2163:52 *21007:A1 14.4094 +11 *2163:52 *2163:61 6.88721 +12 *2163:61 *21010:A1 18.8176 +13 *2163:61 *2163:73 7.23027 +14 *2163:73 *21011:A1 15.6059 +15 *2163:73 *2163:86 10.8698 +16 *2163:86 *20980:B1 22.5143 +17 *2163:86 *21013:B1 26.2936 +*END + +*D_NET *2164 0.0403534 +*CONN +*I *21005:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20973:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20978:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20977:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20976:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20974:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20975:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21000:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21001:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21002:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20967:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *20972:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20971:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20968:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20970:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20969:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21004:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21003:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *20966:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *21005:A1 0.00023529 +2 *20973:A1 0.00088815 +3 *20978:A1 0.000301282 +4 *20977:A1 0.000227859 +5 *20976:A1 0.000111491 +6 *20974:A1 0.000564868 +7 *20975:A1 0 +8 *21000:A1 0 +9 *21001:A1 0.000130958 +10 *21002:A1 0.000183138 +11 *20967:B2 0.000328582 +12 *20972:A1 0 +13 *20971:A1 0.000125268 +14 *20968:A1 0.000196037 +15 *20970:A1 0.000393953 +16 *20969:A1 7.11842e-05 +17 *21004:A1 5.42957e-05 +18 *21003:A1 0 +19 *20966:X 0.000170719 +20 *2164:208 0.00130002 +21 *2164:184 0.000827405 +22 *2164:169 0.000719254 +23 *2164:149 0.000904815 +24 *2164:140 0.000623552 +25 *2164:135 0.00177409 +26 *2164:109 0.000469044 +27 *2164:99 0.000855522 +28 *2164:97 0.000834342 +29 *2164:54 0.00058999 +30 *2164:43 0.000897129 +31 *2164:41 0.00120344 +32 *2164:38 0.000418584 +33 *2164:25 0.000802402 +34 *2164:15 0.000723398 +35 *2164:14 0.00102253 +36 *2164:7 0.00159366 +37 *20967:B2 *20967:A1 1.52448e-05 +38 *20967:B2 *24013:A1 0.000101996 +39 *20967:B2 *2439:10 0 +40 *20967:B2 *4875:46 6.45236e-05 +41 *20967:B2 *5871:677 5.40763e-05 +42 *20967:B2 *5979:8 3.52807e-05 +43 *20968:A1 *20968:B2 9.46484e-05 +44 *20968:A1 *20969:B2 7.6719e-06 +45 *20969:A1 *20969:B2 0.000175485 +46 *20969:A1 *2333:18 0 +47 *20970:A1 *20970:B2 2.4815e-05 +48 *20970:A1 *2278:8 5.25402e-05 +49 *20970:A1 *2290:18 9.63256e-05 +50 *20970:A1 *5976:10 0.000122378 +51 *20971:A1 *23981:S 6.08467e-05 +52 *20971:A1 *24263:CLK 6.08467e-05 +53 *20971:A1 *2831:29 0.000110955 +54 *20971:A1 *6027:99 0.000160617 +55 *20973:A1 *24262:CLK 0.000100657 +56 *20974:A1 *23977:S 7.24449e-05 +57 *20974:A1 *2378:12 0.000318291 +58 *20974:A1 *4875:6 0 +59 *20976:A1 *2859:14 7.13655e-06 +60 *20976:A1 *4832:109 0.000372907 +61 *20976:A1 *6027:249 7.13655e-06 +62 *20977:A1 *4877:8 0.000151849 +63 *20977:A1 *4878:118 0.00036019 +64 *20977:A1 *4878:127 3.31882e-05 +65 *21001:A1 *21001:B2 6.50727e-05 +66 *21001:A1 *24013:A1 0 +67 *21001:A1 *2439:10 0.0002172 +68 *21002:A1 *21002:B2 0.000307799 +69 *21002:A1 *24013:S 0.000307799 +70 *21002:A1 *6027:129 1.3808e-05 +71 *21004:A1 *21004:B2 0.000160617 +72 *21004:A1 *2925:8 5.05252e-05 +73 *21004:A1 *4877:30 8.62625e-06 +74 *21004:A1 *4877:32 5.05252e-05 +75 *21005:A1 *2585:19 4.15352e-05 +76 *21005:A1 *5987:11 9.60366e-05 +77 *21005:A1 *6027:66 2.04661e-05 +78 *2164:7 *6027:178 1.19721e-05 +79 *2164:14 *2439:14 0.000142132 +80 *2164:15 *24264:RESET_B 2.15348e-05 +81 *2164:25 *21004:B2 0.000107496 +82 *2164:25 *24007:A1 0.000164843 +83 *2164:25 *24007:S 1.03403e-05 +84 *2164:38 *2720:11 0.000984545 +85 *2164:38 *2925:8 0.000113374 +86 *2164:38 *4877:30 0.000104747 +87 *2164:38 *5852:5 0.000984545 +88 *2164:41 *20972:B2 4.87805e-05 +89 *2164:41 *23981:A1 3.04973e-05 +90 *2164:41 *24288:RESET_B 0 +91 *2164:43 *23981:A1 1.1512e-05 +92 *2164:43 *24263:CLK 3.65454e-05 +93 *2164:43 *24288:RESET_B 0 +94 *2164:43 *2267:20 0.000162078 +95 *2164:43 *2333:18 0 +96 *2164:43 *5871:505 0 +97 *2164:43 *6027:102 0 +98 *2164:97 *24264:RESET_B 0.00024594 +99 *2164:97 *2439:10 0 +100 *2164:97 *2439:14 0 +101 *2164:97 *5871:668 1.32509e-05 +102 *2164:97 *5871:670 8.38918e-05 +103 *2164:99 *24013:A1 0.000127318 +104 *2164:99 *2439:10 0 +105 *2164:99 *5871:670 7.08412e-05 +106 *2164:99 *5871:677 0.000146512 +107 *2164:135 *2295:13 0 +108 *2164:135 *2381:17 0 +109 *2164:135 *2439:14 0.000185099 +110 *2164:135 *2439:21 1.58659e-05 +111 *2164:135 *5785:39 8.65132e-05 +112 *2164:140 *2381:17 0 +113 *2164:140 *2899:24 0.000627222 +114 *2164:140 *2925:8 0 +115 *2164:140 *2925:12 0.000205614 +116 *2164:140 *5785:39 0.000170679 +117 *2164:149 *2899:24 6.43474e-05 +118 *2164:149 *2925:12 7.50722e-05 +119 *2164:169 *2528:20 0.000288861 +120 *2164:169 *4877:8 0.00015708 +121 *2164:169 *4877:13 0.000150481 +122 *2164:184 *2528:20 0.000213269 +123 *2164:184 *4877:8 0.000518593 +124 *2164:208 *2585:29 0.000404772 +125 *2164:208 *2859:8 4.73136e-05 +126 *2164:208 *2925:8 0.000505373 +127 *20967:A2 *20967:B2 0.000162687 +128 *20967:A2 *21001:A1 6.08467e-05 +129 *20971:A2 *20971:A1 0.000122378 +130 *20971:B1 *20971:A1 3.58602e-05 +131 *20975:A2 *20974:A1 6.08467e-05 +132 *20975:A2 *2164:169 0.000150632 +133 *20976:A2 *2164:184 0.000114579 +134 *21000:A2 *2164:99 0.000339582 +135 *21001:A2 *21002:A1 1.80042e-05 +136 *21003:A2 *2164:25 3.40028e-05 +137 *21003:B1 *2164:15 0.000432613 +138 *21003:B1 *2164:25 4.81714e-05 +139 *21004:B1 *2164:41 0 +140 *21005:A2 *21005:A1 0.000137921 +141 *21006:A1 *2164:14 2.24484e-05 +142 *21006:A1 *2164:135 0.000123597 +143 *21008:A1 *2164:14 9.67445e-05 +144 *21008:A2 *2164:14 7.86847e-05 +145 *21012:A *20978:A1 3.97864e-05 +146 *23973:A0 *20974:A1 0.000383493 +147 *23973:A0 *2164:169 6.49003e-05 +148 *23975:A0 *20974:A1 8.62625e-06 +149 *24007:A0 *21004:A1 0.000164843 +150 *24262:D *20973:A1 0.000164815 +151 *439:66 *2164:135 0.000450604 +152 *439:71 *2164:135 5.05252e-05 +153 *439:96 *2164:14 0.000108008 +154 *439:96 *2164:135 2.14422e-05 +155 *439:98 *2164:14 7.38072e-05 +156 *439:104 *2164:14 0.000166345 +157 *439:132 *21002:A1 0.000698654 +158 *439:143 *2164:43 0 +159 *439:150 *20968:A1 0.000118389 +160 *439:150 *20969:A1 0.000171273 +161 *439:150 *20970:A1 0.000377259 +162 *439:154 *20970:A1 0.000115827 +163 *439:224 *20974:A1 6.08467e-05 +164 *476:73 *20978:A1 7.8874e-05 +165 *503:17 *20977:A1 0.000164815 +166 *503:17 *20978:A1 0.000944528 +167 *509:11 *20977:A1 0.000160617 +168 *509:11 *20978:A1 0.00115825 +169 *510:71 *20976:A1 7.98526e-05 +170 *512:56 *2164:140 0 +171 *520:63 *20971:A1 0.000427804 +172 *534:8 *20974:A1 0.000173163 +173 *537:33 *20974:A1 6.08467e-05 +174 *550:25 *20973:A1 0.000132408 +175 *1573:62 *20974:A1 0 +176 *1636:33 *20978:A1 0.000178437 +177 *1695:11 *21002:A1 5.62568e-05 +178 *1695:11 *2164:109 0.000199117 +179 *2163:11 *2164:7 0.000219249 +180 *2163:15 *2164:7 0.000156955 +*RES +1 *20966:X *2164:7 18.3548 +2 *2164:7 *2164:14 19.2781 +3 *2164:14 *2164:15 5.16022 +4 *2164:15 *21003:A1 9.24915 +5 *2164:15 *2164:25 13.9765 +6 *2164:25 *21004:A1 16.5832 +7 *2164:25 *2164:38 17.4535 +8 *2164:38 *2164:41 10.4845 +9 *2164:41 *2164:43 20.1031 +10 *2164:43 *20969:A1 16.5832 +11 *2164:43 *2164:54 4.5 +12 *2164:54 *20970:A1 30.6452 +13 *2164:54 *20968:A1 15.181 +14 *2164:41 *20971:A1 21.3448 +15 *2164:38 *20972:A1 9.24915 +16 *2164:14 *2164:97 17.5845 +17 *2164:97 *2164:99 13.4591 +18 *2164:99 *20967:B2 24.3014 +19 *2164:99 *2164:109 9.66022 +20 *2164:109 *21002:A1 18.8462 +21 *2164:109 *21001:A1 22.8808 +22 *2164:97 *21000:A1 13.7491 +23 *2164:7 *2164:135 15.9379 +24 *2164:135 *2164:140 15.9598 +25 *2164:140 *20975:A1 13.7491 +26 *2164:140 *2164:149 5.91674 +27 *2164:149 *20974:A1 33.6378 +28 *2164:149 *2164:169 13.3581 +29 *2164:169 *20976:A1 21.3742 +30 *2164:169 *2164:184 14.8447 +31 *2164:184 *20977:A1 27.312 +32 *2164:184 *20978:A1 34.6668 +33 *2164:135 *2164:208 16.9677 +34 *2164:208 *20973:A1 23.7171 +35 *2164:208 *21005:A1 24.0464 +*END + +*D_NET *2165 0.000564161 +*CONN +*I *20980:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *20979:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20980:A3 0.000143033 +2 *20979:Y 0.000143033 +3 *24281:D *20980:A3 0.000150675 +4 *439:18 *20980:A3 0.000115448 +5 *507:21 *20980:A3 1.19721e-05 +*RES +1 *20979:Y *20980:A3 31.0235 +*END + +*D_NET *2166 0.0047045 +*CONN +*I *20982:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *20981:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20982:A 0 +2 *20981:X 0.00106696 +3 *2166:8 0.00106696 +4 *2166:8 *20931:A1 9.99244e-05 +5 *2166:8 *21615:A 3.31882e-05 +6 *2166:8 *24358:CLK 2.3783e-05 +7 *2166:8 *2535:8 0.000211919 +8 *2166:8 *3035:23 0.000164652 +9 *2166:8 *5858:668 0.000194027 +10 *2166:8 *5867:46 0.000712241 +11 *21014:A *2166:8 2.60597e-05 +12 *1419:261 *2166:8 3.82228e-05 +13 *1419:263 *2166:8 9.5562e-05 +14 *1569:23 *2166:8 0.00097101 +*RES +1 *20981:X *2166:8 49.6725 +2 *2166:8 *20982:A 13.7491 +*END + +*D_NET *2167 0.00962718 +*CONN +*I *20988:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20983:A I *D sky130_fd_sc_hd__inv_2 +*I *20986:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20987:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20985:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20984:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20982:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20988:A2 0.000277653 +2 *20983:A 0 +3 *20986:A2 1.98947e-05 +4 *20987:A2 3.05104e-05 +5 *20985:A2 6.42859e-05 +6 *20984:A2 7.16656e-05 +7 *20982:X 0.000156954 +8 *2167:51 0.00030988 +9 *2167:44 0.000262556 +10 *2167:33 0.00053568 +11 *2167:18 0.000670501 +12 *2167:6 0.000540099 +13 *20984:A2 *4869:62 0.000274994 +14 *20984:A2 *5851:640 0.000323889 +15 *20985:A2 *20985:A1 0.000253916 +16 *20985:A2 *3857:42 0.000304791 +17 *20985:A2 *4932:56 1.65872e-05 +18 *20986:A2 *20986:A1 6.08467e-05 +19 *20987:A2 *20987:A1 1.43848e-05 +20 *20987:A2 *5858:686 5.04829e-06 +21 *20988:A2 *20986:A1 0.000258142 +22 *20988:A2 *20988:A1 2.16355e-05 +23 *20988:A2 *20988:B1 1.64789e-05 +24 *20988:A2 *21791:A 0.000241964 +25 *20988:A2 *2883:11 6.08467e-05 +26 *20988:A2 *3857:26 0.000348381 +27 *20988:A2 *4869:59 0.000353492 +28 *2167:6 *2807:8 1.16596e-05 +29 *2167:6 *4739:51 0.000302563 +30 *2167:6 *5866:54 2.47663e-05 +31 *2167:18 *2807:8 0.000129386 +32 *2167:18 *3857:26 2.69795e-05 +33 *2167:18 *3857:42 0.000381912 +34 *2167:18 *4869:59 0.000330795 +35 *2167:18 *4932:56 0.000145324 +36 *2167:18 *5866:54 4.57241e-06 +37 *2167:33 *21603:A 2.95757e-05 +38 *2167:33 *2620:47 8.39059e-05 +39 *2167:33 *3069:6 0.00037218 +40 *2167:33 *4538:31 1.18938e-05 +41 *2167:33 *4700:32 0.000165481 +42 *2167:33 *4932:147 2.97007e-05 +43 *2167:33 *4932:156 8.01886e-05 +44 *2167:33 *5413:6 8.86481e-05 +45 *2167:33 *5858:13 0.000207266 +46 *2167:33 *5858:26 3.31733e-05 +47 *2167:33 *5858:686 9.24241e-05 +48 *2167:44 *4538:22 0.000224015 +49 *2167:44 *4538:31 0.000110784 +50 *2167:44 *4700:32 0.000598692 +51 *2167:51 *20986:A1 0.000107496 +52 *1569:23 *2167:6 0.000271139 +53 *1582:43 *20984:A2 1.92172e-05 +54 *1947:22 *20986:A2 2.16355e-05 +55 *1947:22 *20988:A2 0.000193552 +56 *1947:22 *2167:51 3.31745e-05 +*RES +1 *20982:X *2167:6 20.1489 +2 *2167:6 *20984:A2 17.5229 +3 *2167:6 *2167:18 15.9206 +4 *2167:18 *20985:A2 12.7456 +5 *2167:18 *2167:33 25.78 +6 *2167:33 *20987:A2 14.4725 +7 *2167:33 *2167:44 15.0523 +8 *2167:44 *20986:A2 9.97254 +9 *2167:44 *2167:51 1.278 +10 *2167:51 *20983:A 9.24915 +11 *2167:51 *20988:A2 31.6659 +*END + +*D_NET *2168 0.00794815 +*CONN +*I *20988:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20986:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20985:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20984:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20987:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20983:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20988:B2 0.000188925 +2 *20986:B2 0 +3 *20985:B2 0 +4 *20984:B2 0.000341758 +5 *20987:B2 0 +6 *20983:Y 6.68535e-05 +7 *2168:28 0.00068133 +8 *2168:14 0.000545113 +9 *2168:12 0.000586149 +10 *2168:6 0.000636386 +11 *20984:B2 *2615:8 0.000285395 +12 *20984:B2 *3857:42 0.000107496 +13 *20984:B2 *4665:58 0.000350296 +14 *20984:B2 *4869:62 0.000440512 +15 *20984:B2 *5401:11 8.62625e-06 +16 *20988:B2 *24276:CLK 0.000134421 +17 *20988:B2 *4838:101 0.000298318 +18 *2168:6 *24276:CLK 5.56367e-05 +19 *2168:6 *4932:163 0.000145462 +20 *2168:12 *24278:CLK 0.000128736 +21 *2168:12 *24471:CLK 2.16355e-05 +22 *2168:12 *2765:14 0.000247231 +23 *2168:12 *4003:42 0.000240104 +24 *2168:14 *2765:14 0.000188529 +25 *2168:14 *4003:42 0.000603328 +26 *2168:14 *4538:22 0 +27 *2168:14 *4538:31 0.000169388 +28 *2168:28 *3027:8 0.000436091 +29 *2168:28 *3857:42 1.58551e-05 +30 *2168:28 *4003:42 1.3807e-05 +31 *2168:28 *4538:31 0.000486714 +32 *495:8 *20984:B2 2.53024e-05 +33 *1438:169 *20984:B2 0.000166842 +34 *1619:51 *20988:B2 0.000139435 +35 *1619:51 *2168:6 0.000192472 +*RES +1 *20983:Y *2168:6 17.2421 +2 *2168:6 *2168:12 17.9291 +3 *2168:12 *2168:14 10.5523 +4 *2168:14 *20987:B2 13.7491 +5 *2168:14 *2168:28 16.3907 +6 *2168:28 *20984:B2 31.5024 +7 *2168:28 *20985:B2 9.24915 +8 *2168:12 *20986:B2 13.7491 +9 *2168:6 *20988:B2 19.9081 +*END + +*D_NET *2169 0.00396277 +*CONN +*I *20990:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *20989:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *20990:A 0.00148249 +2 *20989:X 0.00148249 +3 *20990:A *24242:SET_B 0.000106869 +4 *20990:A *4908:189 1.30111e-05 +5 *20990:A *4908:193 1.27193e-05 +6 *20990:A *5385:7 7.0842e-05 +7 *20990:A *5853:260 5.13559e-05 +8 *20990:A *5862:250 0.000724714 +9 *24242:D *20990:A 1.82679e-05 +*RES +1 *20989:X *20990:A 40.8753 +*END + +*D_NET *2170 0.0161551 +*CONN +*I *20998:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20997:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20999:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20994:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20993:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20992:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20996:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20991:A I *D sky130_fd_sc_hd__inv_2 +*I *20995:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20990:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *20998:A2 0 +2 *20997:A2 0 +3 *20999:A2 0.00031294 +4 *20994:A2 0.000371803 +5 *20993:A2 2.60774e-05 +6 *20992:A2 0 +7 *20996:A2 0.00133301 +8 *20991:A 0 +9 *20995:A2 0 +10 *20990:X 0.00032027 +11 *2170:78 0.000563657 +12 *2170:71 0.000363549 +13 *2170:58 0.00084272 +14 *2170:48 0.000857916 +15 *2170:35 0.00079322 +16 *2170:15 0.00155916 +17 *2170:10 0.000250326 +18 *2170:7 0.000663914 +19 *20993:A2 *20993:B1 0.000107496 +20 *20993:A2 *2391:19 0.000107496 +21 *20994:A2 *19564:A0 0.000467854 +22 *20994:A2 *20994:A1 2.08979e-05 +23 *20994:A2 *2423:78 9.8511e-05 +24 *20994:A2 *4843:407 6.98337e-06 +25 *20996:A2 *19564:A0 2.57847e-05 +26 *20996:A2 *19564:A1 3.82228e-05 +27 *20996:A2 *19564:S 0.000438346 +28 *20996:A2 *20996:A1 2.09776e-05 +29 *20996:A2 *20996:B2 7.92757e-06 +30 *20996:A2 *2171:7 7.92757e-06 +31 *20996:A2 *2282:68 0 +32 *20996:A2 *2978:48 0.000212062 +33 *20996:A2 *5908:59 4.97617e-05 +34 *20999:A2 *20997:A1 1.96041e-05 +35 *20999:A2 *20997:B1 3.73375e-05 +36 *20999:A2 *20997:B2 9.32983e-05 +37 *20999:A2 *20999:B2 0.000440512 +38 *20999:A2 *5398:7 1.03403e-05 +39 *2170:7 *20995:B1 1.41746e-05 +40 *2170:7 *2171:7 9.80242e-07 +41 *2170:7 *2171:11 2.4442e-05 +42 *2170:7 *2171:20 2.65667e-05 +43 *2170:7 *4845:289 5.88594e-05 +44 *2170:7 *5405:11 6.08467e-05 +45 *2170:7 *5853:260 7.92757e-06 +46 *2170:10 *21585:A 3.31733e-05 +47 *2170:10 *2333:38 5.05252e-05 +48 *2170:10 *2813:47 9.22013e-06 +49 *2170:15 *2171:7 0.000126933 +50 *2170:15 *5405:11 0.000540257 +51 *2170:35 *20992:A1 1.07248e-05 +52 *2170:35 *2333:38 0.000242844 +53 *2170:35 *2813:47 0.000175167 +54 *2170:48 *20992:A1 0.000244592 +55 *2170:48 *2282:53 0.00027699 +56 *2170:48 *2813:47 4.28078e-05 +57 *2170:48 *4844:215 1.72347e-05 +58 *2170:48 *4844:220 0.000289322 +59 *2170:58 *20993:B1 4.66492e-05 +60 *2170:58 *20993:B2 0.000112278 +61 *2170:58 *24269:CLK 0.000250244 +62 *2170:58 *2391:19 0.000493528 +63 *2170:58 *5859:197 0.000107496 +64 *2170:71 *19564:A0 0.000177548 +65 *2170:71 *5528:12 1.30711e-05 +66 *2170:78 *20997:A1 6.08467e-05 +67 *2170:78 *5403:10 3.20069e-06 +68 *2170:78 *5528:12 7.45956e-05 +69 *2170:78 *5592:102 1.79503e-05 +70 *24073:S *20999:A2 2.16355e-05 +71 *24271:D *20996:A2 0.000220682 +72 *24273:D *20994:A2 4.28856e-07 +73 *24275:D *2170:48 0.000112999 +74 *476:143 *20994:A2 0.000144664 +75 *476:143 *2170:71 6.95928e-05 +76 *476:143 *2170:78 0.000356607 +77 *1439:75 *20999:A2 0.000728911 +78 *1439:75 *2170:78 1.65872e-05 +79 *1439:81 *20996:A2 6.92263e-05 +80 *1652:65 *20999:A2 7.42334e-05 +81 *1899:20 *2170:35 2.15266e-05 +82 *1899:20 *2170:48 4.85419e-05 +83 *1899:24 *20999:A2 0.000188564 +*RES +1 *20990:X *2170:7 18.3548 +2 *2170:7 *2170:10 5.50149 +3 *2170:10 *20995:A2 9.24915 +4 *2170:10 *2170:15 7.37864 +5 *2170:15 *20991:A 9.24915 +6 *2170:15 *20996:A2 39.2339 +7 *2170:7 *2170:35 9.79507 +8 *2170:35 *20992:A2 13.7491 +9 *2170:35 *2170:48 18.8628 +10 *2170:48 *20993:A2 10.5271 +11 *2170:48 *2170:58 15.9391 +12 *2170:58 *20994:A2 24.9071 +13 *2170:58 *2170:71 3.90826 +14 *2170:71 *2170:78 12.7712 +15 *2170:78 *20999:A2 31.5321 +16 *2170:78 *20997:A2 9.24915 +17 *2170:71 *20998:A2 13.7491 +*END + +*D_NET *2171 0.0150237 +*CONN +*I *20996:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20994:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20998:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20997:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20999:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20993:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20992:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20995:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *20991:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *20996:B2 0.00036142 +2 *20994:B2 0.000368527 +3 *20998:B2 7.86567e-05 +4 *20997:B2 2.43553e-05 +5 *20999:B2 0.000380591 +6 *20993:B2 0.000514434 +7 *20992:B2 0 +8 *20995:B2 0 +9 *20991:Y 0 +10 *2171:54 0.000572275 +11 *2171:49 0.000441889 +12 *2171:33 0.000988309 +13 *2171:30 0.000965724 +14 *2171:20 0.000577231 +15 *2171:11 0.000276408 +16 *2171:8 6.45826e-05 +17 *2171:7 0.000793955 +18 *2171:4 0.0011092 +19 *20993:B2 *20993:B1 0.000253916 +20 *20993:B2 *21329:A 2.27026e-05 +21 *20994:B2 *2570:80 6.22732e-06 +22 *20994:B2 *3072:20 2.90373e-05 +23 *20994:B2 *4827:89 9.10636e-05 +24 *20994:B2 *4843:407 0.000107496 +25 *20994:B2 *5408:5 2.16355e-05 +26 *20996:B2 *19564:S 5.04829e-06 +27 *20996:B2 *20996:A1 9.51479e-06 +28 *20996:B2 *3263:24 0.000122068 +29 *20996:B2 *4817:97 8.54663e-05 +30 *20996:B2 *5405:11 0.000170921 +31 *20996:B2 *5859:205 0.000118485 +32 *20997:B2 *20997:B1 0.000107496 +33 *20998:B2 *24274:RESET_B 9.31879e-05 +34 *20998:B2 *2391:19 0.000188093 +35 *20999:B2 *20997:B1 1.41976e-05 +36 *20999:B2 *2813:42 0.000162584 +37 *20999:B2 *5855:244 0.000166166 +38 *2171:7 *20995:B1 6.78075e-05 +39 *2171:7 *5405:11 6.12686e-06 +40 *2171:8 *4845:290 6.25713e-05 +41 *2171:11 *20995:B1 6.31931e-05 +42 *2171:20 *20995:B1 5.31074e-05 +43 *2171:20 *2813:47 0.000517135 +44 *2171:20 *4825:102 0.000322905 +45 *2171:30 *2813:47 0.000118737 +46 *2171:30 *3072:20 3.23075e-05 +47 *2171:30 *4825:102 0.000112619 +48 *2171:33 *2949:64 0.000538207 +49 *2171:33 *4819:14 0.000148743 +50 *2171:49 *24274:RESET_B 7.64506e-05 +51 *2171:49 *2391:19 0.000110306 +52 *2171:49 *2949:64 0.000205879 +53 *2171:49 *4819:14 0.000197253 +54 *2171:49 *4904:34 1.29118e-05 +55 *2171:49 *5407:10 4.97617e-05 +56 *2171:54 *24269:CLK 7.39749e-05 +57 *2171:54 *2486:96 0.00037749 +58 *2171:54 *4904:20 0.000303516 +59 *20996:A2 *20996:B2 7.92757e-06 +60 *20996:A2 *2171:7 7.92757e-06 +61 *20999:A2 *20997:B2 9.32983e-05 +62 *20999:A2 *20999:B2 0.000440512 +63 *500:48 *20994:B2 8.7451e-05 +64 *529:35 *20994:B2 0.00043194 +65 *529:35 *2171:30 0.000571004 +66 *1439:75 *20999:B2 9.05137e-05 +67 *1652:52 *2171:33 0.000258079 +68 *2170:7 *2171:7 9.80242e-07 +69 *2170:7 *2171:11 2.4442e-05 +70 *2170:7 *2171:20 2.65667e-05 +71 *2170:15 *2171:7 0.000126933 +72 *2170:58 *20993:B2 0.000112278 +*RES +1 *20991:Y *2171:4 9.24915 +2 *2171:4 *2171:7 19.9554 +3 *2171:7 *2171:8 81.1229 +4 *2171:8 *2171:11 9.97254 +5 *2171:11 *20995:B2 9.24915 +6 *2171:11 *2171:20 14.9452 +7 *2171:20 *20992:B2 13.7491 +8 *2171:20 *2171:30 6.63845 +9 *2171:30 *2171:33 13.7135 +10 *2171:33 *20993:B2 22.1164 +11 *2171:33 *2171:49 11.9288 +12 *2171:49 *2171:54 16.2303 +13 *2171:54 *20999:B2 29.2601 +14 *2171:54 *20997:B2 10.5271 +15 *2171:49 *20998:B2 12.2151 +16 *2171:30 *20994:B2 20.7586 +17 *2171:4 *20996:B2 28.0679 +*END + +*D_NET *2172 0.00110278 +*CONN +*I *21013:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *21012:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21013:A3 0.00022373 +2 *21012:Y 0.00022373 +3 *21013:A3 *2381:22 0.000320578 +4 *21013:A3 *2667:32 5.81549e-05 +5 *24255:D *21013:A3 0.000169093 +6 *500:73 *21013:A3 0.000107496 +*RES +1 *21012:Y *21013:A3 34.7608 +*END + +*D_NET *2173 0.00617439 +*CONN +*I *21015:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *21014:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21015:A 0 +2 *21014:X 0 +3 *2173:9 0.00105154 +4 *2173:5 0.00105154 +5 *2173:9 *21017:A1 5.04829e-06 +6 *2173:9 *2655:25 1.78165e-05 +7 *2173:9 *2684:8 0.00186744 +8 *2173:9 *2684:24 9.73508e-05 +9 *2173:9 *3089:36 0.000873765 +10 *2173:9 *3857:42 0.000108054 +11 *2173:9 *4665:57 0.000137221 +12 *2173:9 *5854:719 0.000296602 +13 *2173:9 *5856:88 1.19737e-05 +14 *2173:9 *5856:93 0.000407502 +15 *2173:9 *5861:112 6.34651e-06 +16 *2173:9 *5868:148 0.000169041 +17 *20934:A2 *2173:9 0 +18 *823:20 *2173:9 4.11147e-05 +19 *1809:80 *2173:9 3.20264e-05 +*RES +1 *21014:X *2173:5 13.7491 +2 *2173:5 *2173:9 47.0618 +3 *2173:9 *21015:A 9.24915 +*END + +*D_NET *2174 0.00627752 +*CONN +*I *21017:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21020:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21021:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21016:A I *D sky130_fd_sc_hd__inv_2 +*I *21019:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21018:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21015:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21017:A2 0 +2 *21020:A2 0 +3 *21021:A2 0.000177242 +4 *21016:A 0 +5 *21019:A2 3.20005e-05 +6 *21018:A2 0 +7 *21015:X 0.000163571 +8 *2174:32 3.20005e-05 +9 *2174:30 0.00040223 +10 *2174:22 0.000535091 +11 *2174:12 0.000456933 +12 *2174:9 0.000310401 +13 *21019:A2 *21019:A1 0.000158357 +14 *21019:A2 *21019:B1 0.000158357 +15 *21021:A2 *19816:A 0.000207394 +16 *21021:A2 *21021:A1 0.000406794 +17 *21021:A2 *21021:B2 0.000113968 +18 *21021:A2 *4841:70 0.000198768 +19 *2174:9 *21017:A1 5.46928e-05 +20 *2174:9 *2531:24 5.66868e-06 +21 *2174:9 *4137:73 0.000116971 +22 *2174:12 *4547:19 0.000113548 +23 *2174:12 *6001:8 0.000370276 +24 *2174:22 *4547:14 2.27135e-05 +25 *2174:22 *4547:19 0.000601581 +26 *2174:22 *6001:8 0.000461209 +27 *2174:30 *19816:A 8.62625e-06 +28 *2174:30 *21018:B1 0.000111722 +29 *2174:30 *24253:RESET_B 1.92172e-05 +30 *2174:30 *4841:70 0.000348381 +31 *2174:30 *5856:65 0.000163801 +32 *2174:30 *6001:8 7.77309e-06 +33 *24251:D *2174:22 0.00012568 +34 *24254:D *2174:9 1.82679e-05 +35 *24254:D *2174:12 0.000115451 +36 *657:199 *2174:9 0.000151734 +37 *1829:95 *21021:A2 0.000107101 +*RES +1 *21015:X *2174:9 23.4382 +2 *2174:9 *2174:12 11.315 +3 *2174:12 *2174:22 19.5956 +4 *2174:22 *21018:A2 9.24915 +5 *2174:22 *2174:30 13.1476 +6 *2174:30 *2174:32 4.5 +7 *2174:32 *21019:A2 11.0817 +8 *2174:32 *21016:A 9.24915 +9 *2174:30 *21021:A2 22.263 +10 *2174:12 *21020:A2 13.7491 +11 *2174:9 *21017:A2 9.24915 +*END + +*D_NET *2175 0.0060129 +*CONN +*I *21021:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21018:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21020:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21017:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21019:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21016:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21021:B2 0.000225385 +2 *21018:B2 1.26553e-05 +3 *21020:B2 2.86212e-05 +4 *21017:B2 0.000156898 +5 *21019:B2 2.67881e-05 +6 *21016:Y 9.91396e-05 +7 *2175:18 0.000436006 +8 *2175:16 0.000448451 +9 *2175:15 0.000410694 +10 *2175:7 0.000125928 +11 *21017:B2 *21017:B1 1.61631e-05 +12 *21017:B2 *24383:CLK 0.000193604 +13 *21017:B2 *4547:19 0.000381968 +14 *21017:B2 *4935:45 0.000197488 +15 *21018:B2 *21018:A1 6.50586e-05 +16 *21018:B2 *24253:RESET_B 2.65667e-05 +17 *21019:B2 *4146:35 7.6719e-06 +18 *21020:B2 *4550:40 6.08467e-05 +19 *21021:B2 *21021:A1 2.57365e-05 +20 *21021:B2 *21021:B1 0.000180598 +21 *21021:B2 *4034:43 7.86514e-05 +22 *21021:B2 *4547:14 5.1573e-05 +23 *21021:B2 *4935:24 2.79326e-05 +24 *2175:7 *21019:B1 0.000342337 +25 *2175:7 *4146:35 0.000353686 +26 *2175:16 *4547:14 0.000166987 +27 *2175:16 *4935:24 8.8567e-05 +28 *2175:16 *4935:26 7.8406e-05 +29 *2175:18 *21645:A 0.000123582 +30 *2175:18 *4547:14 3.88655e-06 +31 *2175:18 *4547:19 0.00075528 +32 *2175:18 *4935:26 1.90335e-05 +33 *2175:18 *4935:43 0.000185984 +34 *2175:18 *4935:45 0.000182915 +35 *2175:18 *6021:14 0.000120731 +36 *21021:A2 *21021:B2 0.000113968 +37 *24250:D *21021:B2 1.15389e-05 +38 *1829:90 *21019:B2 7.34948e-06 +39 *1829:90 *21021:B2 0.000113374 +40 *1829:95 *21021:B2 6.08467e-05 +*RES +1 *21016:Y *2175:7 14.2888 +2 *2175:7 *21019:B2 9.97254 +3 *2175:7 *2175:15 4.5 +4 *2175:15 *2175:16 6.81502 +5 *2175:16 *2175:18 13.4591 +6 *2175:18 *21017:B2 21.2876 +7 *2175:18 *21020:B2 14.4725 +8 *2175:16 *21018:B2 14.4725 +9 *2175:15 *21021:B2 21.4861 +*END + +*D_NET *2176 0.00136128 +*CONN +*I *21023:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *21022:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21023:A 0.000177154 +2 *21022:X 0.000177154 +3 *21023:A *2636:28 6.13288e-05 +4 *21023:A *4808:12 0.000495694 +5 *21022:A *21023:A 0.000216839 +6 *1573:113 *21023:A 0.00020502 +7 *1652:28 *21023:A 2.80886e-05 +*RES +1 *21022:X *21023:A 35.8756 +*END + +*D_NET *2177 0.0204543 +*CONN +*I *21032:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21025:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21030:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21027:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21024:A I *D sky130_fd_sc_hd__inv_2 +*I *21029:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21031:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21026:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21028:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21023:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21032:A2 0.000107999 +2 *21025:A2 0.000378499 +3 *21030:A2 6.08297e-05 +4 *21027:A2 0 +5 *21024:A 0 +6 *21029:A2 0.000224461 +7 *21031:A2 1.43606e-05 +8 *21026:A2 0.000231755 +9 *21028:A2 2.3034e-05 +10 *21023:X 0 +11 *2177:103 0.0011484 +12 *2177:90 0.00122873 +13 *2177:82 0.000928254 +14 *2177:78 0.0015794 +15 *2177:51 0.000415266 +16 *2177:37 0.000400128 +17 *2177:21 0.000589785 +18 *2177:13 0.000623313 +19 *2177:4 0.00159436 +20 *21025:A2 *21025:B2 0.000693124 +21 *21025:A2 *21032:B2 0.00033085 +22 *21025:A2 *2458:21 0.000190598 +23 *21026:A2 *21026:B1 0.000251655 +24 *21026:A2 *2256:37 0.000201389 +25 *21029:A2 *21029:A1 4.76927e-05 +26 *21029:A2 *21029:B2 0.000362878 +27 *21029:A2 *24246:RESET_B 0.000368866 +28 *21029:A2 *2178:7 1.84978e-05 +29 *21029:A2 *2282:84 1.63591e-05 +30 *21030:A2 *24247:CLK 0.000158451 +31 *21031:A2 *5853:313 2.53145e-06 +32 *21032:A2 *21032:A1 6.36477e-05 +33 *21032:A2 *21032:B1 3.29046e-05 +34 *21032:A2 *21032:B2 4.06687e-05 +35 *21032:A2 *4845:575 8.1219e-05 +36 *2177:13 *21028:B2 2.61955e-05 +37 *2177:13 *21341:A 1.19618e-05 +38 *2177:13 *2178:17 7.92757e-06 +39 *2177:13 *2610:83 0.000241437 +40 *2177:13 *3198:18 0.000240412 +41 *2177:13 *5909:26 4.31485e-06 +42 *2177:21 *2178:17 5.99691e-05 +43 *2177:37 *2178:17 8.88552e-05 +44 *2177:37 *5389:7 1.46563e-05 +45 *2177:37 *5853:313 6.67628e-05 +46 *2177:51 *24243:CLK 6.08467e-05 +47 *2177:51 *24246:RESET_B 0.000172156 +48 *2177:51 *2178:7 7.89747e-05 +49 *2177:51 *4908:24 0.00015382 +50 *2177:51 *4908:26 2.43314e-05 +51 *2177:51 *5389:7 7.92757e-06 +52 *2177:51 *5853:313 7.51959e-05 +53 *2177:78 *20616:A1 0.00013282 +54 *2177:78 *20616:B1 0.000296778 +55 *2177:78 *20623:A1 0.000215896 +56 *2177:78 *21208:A 0.000219477 +57 *2177:78 *21454:A 7.23432e-05 +58 *2177:78 *2256:62 3.89306e-05 +59 *2177:78 *2275:39 4.76283e-05 +60 *2177:78 *2467:114 0.000357595 +61 *2177:78 *2610:70 0.000126684 +62 *2177:78 *3177:250 0.000752603 +63 *2177:78 *3192:12 2.13364e-05 +64 *2177:82 *21027:B1 5.48015e-06 +65 *2177:82 *3177:250 8.64791e-05 +66 *2177:82 *4819:40 5.01835e-05 +67 *2177:90 *21027:B2 8.93134e-05 +68 *2177:90 *2256:88 0.000298304 +69 *2177:90 *2642:21 0.000205101 +70 *2177:90 *3041:110 0 +71 *2177:90 *3257:80 0.000186914 +72 *2177:90 *4824:142 0.000725358 +73 *2177:90 *4825:118 0.000103499 +74 *2177:103 *3041:110 0 +75 *20623:B2 *2177:78 1.5714e-05 +76 *21022:A *2177:13 6.08467e-05 +77 *21022:A *2177:78 0.000154145 +78 *24244:D *21030:A2 2.16355e-05 +79 *24246:D *2177:21 6.50586e-05 +80 *24248:D *21026:A2 5.481e-05 +81 *24496:D *2177:78 1.84263e-05 +82 *504:14 *2177:51 2.55797e-05 +83 *504:16 *2177:51 0.000115149 +84 *512:47 *2177:82 3.41463e-05 +85 *531:30 *2177:78 0.000218396 +86 *1418:8 *21026:A2 5.1493e-06 +87 *1418:50 *2177:90 0.000321753 +88 *1418:50 *2177:103 0.000496719 +89 *1573:113 *21026:A2 0.000179347 +90 *1573:113 *2177:21 0.000253916 +91 *1576:16 *2177:78 1.10925e-05 +92 *1583:21 *2177:90 0.000149454 +93 *1584:153 *2177:82 0 +94 *1610:44 *2177:82 0.000185698 +95 *2016:12 *2177:78 2.29378e-05 +96 *2016:12 *2177:82 0.000265923 +*RES +1 *21023:X *2177:4 9.24915 +2 *2177:4 *2177:13 18.5373 +3 *2177:13 *21028:A2 9.82786 +4 *2177:13 *2177:21 4.60562 +5 *2177:21 *21026:A2 25.5145 +6 *2177:21 *2177:37 5.20845 +7 *2177:37 *21031:A2 9.82786 +8 *2177:37 *2177:51 16.1582 +9 *2177:51 *21029:A2 17.5441 +10 *2177:51 *21024:A 9.24915 +11 *2177:4 *2177:78 41.1527 +12 *2177:78 *2177:82 15.6752 +13 *2177:82 *21027:A2 9.24915 +14 *2177:82 *2177:90 22.5759 +15 *2177:90 *21030:A2 15.5817 +16 *2177:90 *2177:103 18.6919 +17 *2177:103 *21025:A2 21.6916 +18 *2177:103 *21032:A2 13.5172 +*END + +*D_NET *2178 0.0193845 +*CONN +*I *21029:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21032:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21025:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21030:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21027:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21031:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21026:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21028:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21024:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21029:B2 8.28642e-05 +2 *21032:B2 0.000100088 +3 *21025:B2 0.000252236 +4 *21030:B2 2.86212e-05 +5 *21027:B2 0.000679721 +6 *21031:B2 0 +7 *21026:B2 0.000114321 +8 *21028:B2 8.12425e-05 +9 *21024:Y 0 +10 *2178:62 0.00082034 +11 *2178:51 0.000720375 +12 *2178:40 0.00204351 +13 *2178:17 0.000377906 +14 *2178:14 0.00033133 +15 *2178:7 0.00142074 +16 *2178:4 0.000214568 +17 *21025:B2 *2458:21 4.44676e-05 +18 *21025:B2 *3120:74 3.31745e-05 +19 *21025:B2 *4845:575 0.000664357 +20 *21026:B2 *21028:B1 4.79289e-05 +21 *21026:B2 *2313:19 4.99006e-05 +22 *21026:B2 *2467:114 0.000165754 +23 *21027:B2 *21027:A1 2.72614e-05 +24 *21027:B2 *21027:B1 6.64392e-05 +25 *21027:B2 *3274:27 0 +26 *21027:B2 *4824:142 0.000438346 +27 *21028:B2 *21341:A 0.000154145 +28 *21029:B2 *2282:84 8.10973e-05 +29 *21030:B2 *24247:CLK 6.08467e-05 +30 *21032:B2 *4845:575 0.000398795 +31 *2178:7 *24246:RESET_B 5.51483e-06 +32 *2178:7 *24246:CLK 9.47944e-05 +33 *2178:7 *2282:84 0.000400966 +34 *2178:14 *24246:CLK 0.000156955 +35 *2178:14 *2282:84 0.000154145 +36 *2178:14 *2990:19 0.000205785 +37 *2178:14 *5859:215 5.49119e-05 +38 *2178:14 *5859:230 3.5534e-06 +39 *2178:14 *5862:101 5.41227e-05 +40 *2178:17 *21341:A 0.00014642 +41 *2178:17 *5853:313 0.00016181 +42 *2178:40 *2275:43 0.00130598 +43 *2178:40 *2642:27 3.81003e-05 +44 *2178:40 *3153:102 0.000269542 +45 *2178:40 *4866:121 0.00167712 +46 *2178:40 *4908:19 7.4222e-05 +47 *2178:40 *5855:418 0.000311948 +48 *2178:40 *5859:215 0.000824697 +49 *2178:51 *2275:43 0.000357026 +50 *2178:51 *3153:102 0.000365652 +51 *2178:62 *2275:43 0.000338395 +52 *2178:62 *3153:102 0.000657578 +53 *2178:62 *5395:11 5.11466e-05 +54 *21025:A2 *21025:B2 0.000693124 +55 *21025:A2 *21032:B2 0.00033085 +56 *21029:A2 *21029:B2 0.000362878 +57 *21029:A2 *2178:7 1.84978e-05 +58 *21032:A2 *21032:B2 4.06687e-05 +59 *512:38 *2178:62 8.38182e-05 +60 *531:30 *21026:B2 2.37611e-05 +61 *1573:113 *2178:17 0.000258114 +62 *1583:21 *21027:B2 1.07885e-05 +63 *2177:13 *21028:B2 2.61955e-05 +64 *2177:13 *2178:17 7.92757e-06 +65 *2177:21 *2178:17 5.99691e-05 +66 *2177:37 *2178:17 8.88552e-05 +67 *2177:51 *2178:7 7.89747e-05 +68 *2177:90 *21027:B2 8.93134e-05 +*RES +1 *21024:Y *2178:4 9.24915 +2 *2178:4 *2178:7 5.59426 +3 *2178:7 *2178:14 14.7409 +4 *2178:14 *2178:17 7.40275 +5 *2178:17 *21028:B2 11.6605 +6 *2178:17 *21026:B2 21.7421 +7 *2178:14 *21031:B2 9.24915 +8 *2178:7 *2178:40 49.5182 +9 *2178:40 *21027:B2 24.8894 +10 *2178:40 *2178:51 7.64553 +11 *2178:51 *21030:B2 14.4725 +12 *2178:51 *2178:62 18.3743 +13 *2178:62 *21025:B2 19.9795 +14 *2178:62 *21032:B2 14.4335 +15 *2178:4 *21029:B2 13.3002 +*END + +*D_NET *2179 0.00100878 +*CONN +*I *21034:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *21033:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21034:A 0.000333324 +2 *21033:X 0.000333324 +3 *21034:A *2180:13 3.28433e-06 +4 *21034:A *2450:29 8.81506e-05 +5 *21034:A *2581:18 8.46927e-06 +6 *21034:A *2967:14 1.86415e-05 +7 *21033:A *21034:A 6.08467e-05 +8 *21033:B *21034:A 0.000162739 +*RES +1 *21033:X *21034:A 33.3813 +*END + +*D_NET *2180 0.0091927 +*CONN +*I *21039:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21040:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21035:A I *D sky130_fd_sc_hd__inv_2 +*I *21036:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21038:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21037:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21034:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21039:A2 7.56624e-05 +2 *21040:A2 7.97435e-05 +3 *21035:A 0 +4 *21036:A2 0.000140862 +5 *21038:A2 1.44615e-05 +6 *21037:A2 0 +7 *21034:X 0.000277829 +8 *2180:38 0.000259744 +9 *2180:36 0.000377282 +10 *2180:27 0.00084464 +11 *2180:22 0.00114417 +12 *2180:13 0.000694811 +13 *21036:A2 *21448:A 0.000216968 +14 *21036:A2 *2641:19 0 +15 *21036:A2 *2972:18 9.12416e-06 +16 *21038:A2 *21038:A1 3.75221e-05 +17 *21039:A2 *21039:A1 6.50727e-05 +18 *21039:A2 *21524:A1 0.000207266 +19 *21039:A2 *4833:21 0.000119727 +20 *21040:A2 *21040:A1 0.000163418 +21 *21040:A2 *2900:13 1.41689e-05 +22 *2180:13 *21037:B2 2.16355e-05 +23 *2180:13 *24240:CLK 1.21461e-06 +24 *2180:13 *2581:18 0.000191526 +25 *2180:13 *5854:467 2.42273e-05 +26 *2180:13 *5854:472 1.58551e-05 +27 *2180:22 *2875:12 0.000488306 +28 *2180:22 *2876:8 0.000177729 +29 *2180:22 *5854:467 0.000404533 +30 *2180:27 *21038:A1 7.00923e-05 +31 *2180:27 *21038:B1 0.000136599 +32 *2180:27 *2641:19 2.59872e-05 +33 *2180:27 *2875:12 0.000697974 +34 *2180:27 *2876:8 0.000210403 +35 *2180:27 *4876:49 0.00011818 +36 *2180:36 *21038:A1 1.48503e-05 +37 *2180:36 *2448:184 7.24909e-05 +38 *2180:36 *2641:19 6.86244e-05 +39 *2180:36 *5851:400 0.000359231 +40 *2180:38 *2448:184 1.5714e-05 +41 *2180:38 *2641:19 2.99023e-05 +42 *2180:38 *2815:8 8.98062e-06 +43 *2180:38 *5851:400 9.21233e-05 +44 *21034:A *2180:13 3.28433e-06 +45 *24240:D *2180:22 2.65667e-05 +46 *496:31 *21038:A2 6.37652e-06 +47 *496:31 *2180:27 4.00959e-05 +48 *1419:37 *2180:22 4.77858e-05 +49 *1497:155 *2180:13 0.000253916 +50 *1497:155 *2180:22 2.75985e-05 +51 *1636:10 *21036:A2 2.70705e-05 +52 *1701:39 *21039:A2 1.41689e-05 +53 *1731:13 *2180:13 6.36477e-05 +54 *1749:29 *2180:27 4.28309e-05 +55 *1749:65 *2180:27 3.2586e-05 +56 *1780:36 *21040:A2 0.000107496 +57 *1780:104 *21040:A2 0.000107496 +58 *1802:206 *21040:A2 0.000403136 +*RES +1 *21034:X *2180:13 26.396 +2 *2180:13 *21037:A2 9.24915 +3 *2180:13 *2180:22 18.8274 +4 *2180:22 *2180:27 25.1927 +5 *2180:27 *21038:A2 9.69524 +6 *2180:27 *2180:36 11.315 +7 *2180:36 *2180:38 3.07775 +8 *2180:38 *21036:A2 18.3902 +9 *2180:38 *21035:A 13.7491 +10 *2180:36 *21040:A2 18.3548 +11 *2180:22 *21039:A2 17.2456 +*END + +*D_NET *2181 0.00809175 +*CONN +*I *21036:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21040:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21038:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21037:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21039:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21035:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21036:B2 7.94697e-05 +2 *21040:B2 0 +3 *21038:B2 3.08925e-05 +4 *21037:B2 0.000375441 +5 *21039:B2 5.43934e-05 +6 *21035:Y 8.65251e-05 +7 *2181:24 0.000994158 +8 *2181:19 0.000938696 +9 *2181:12 0.000544197 +10 *2181:5 0.000366712 +11 *21036:B2 *5851:400 0.000239402 +12 *21037:B2 *2581:18 0.00031463 +13 *21037:B2 *2815:12 6.57359e-05 +14 *21037:B2 *2967:14 0 +15 *21037:B2 *5854:472 6.08467e-05 +16 *21039:B2 *4972:8 3.82228e-05 +17 *2181:5 *21953:A1 5.7825e-05 +18 *2181:12 *21953:A1 8.48489e-05 +19 *2181:12 *2967:12 0.000124354 +20 *2181:12 *5860:466 1.19011e-05 +21 *2181:19 *2967:12 0.000364219 +22 *2181:19 *5860:471 0.000111708 +23 *2181:24 *24239:CLK 0.000199362 +24 *2181:24 *2760:10 1.5714e-05 +25 *2181:24 *2815:12 0.000893595 +26 *2181:24 *2967:14 0 +27 *2181:24 *4830:90 1.55192e-05 +28 *21524:B2 *21037:B2 6.17779e-05 +29 *21524:B2 *2181:24 0.000175483 +30 *496:31 *21038:B2 1.03403e-05 +31 *496:31 *2181:19 9.48043e-05 +32 *1419:62 *2181:19 0.000371278 +33 *1450:79 *21037:B2 0.000111038 +34 *1696:62 *2181:5 0.000311249 +35 *1696:62 *2181:12 0.000357911 +36 *1701:54 *21039:B2 0.000158357 +37 *1749:26 *2181:24 2.60879e-06 +38 *1780:36 *21036:B2 0.000239402 +39 *1802:206 *2181:19 0.000107496 +40 *2180:13 *21037:B2 2.16355e-05 +*RES +1 *21035:Y *2181:5 12.7456 +2 *2181:5 *2181:12 15.7135 +3 *2181:12 *2181:19 21.6986 +4 *2181:19 *2181:24 22.4291 +5 *2181:24 *21039:B2 15.5817 +6 *2181:24 *21037:B2 24.1943 +7 *2181:19 *21038:B2 9.97254 +8 *2181:12 *21040:B2 9.24915 +9 *2181:5 *21036:B2 22.5727 +*END + +*D_NET *2182 0.00187387 +*CONN +*I *21055:A I *D sky130_fd_sc_hd__nor2_1 +*I *21264:B I *D sky130_fd_sc_hd__or2_1 +*I *21041:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21055:A 8.52436e-05 +2 *21264:B 0.000247103 +3 *21041:Y 0.000247887 +4 *2182:10 0.000580234 +5 *21055:A *21055:B 6.50586e-05 +6 *21055:A *23300:B1 3.57201e-05 +7 *21264:B *23300:B1 0.000269548 +8 *2182:10 *21055:B 9.60215e-05 +9 *2182:10 *21057:C1 0 +10 *2182:10 *22067:B 6.50586e-05 +11 *2182:10 *24236:RESET_B 9.24241e-05 +12 *657:228 *2182:10 8.95741e-05 +*RES +1 *21041:Y *2182:10 25.3723 +2 *2182:10 *21264:B 16.0732 +3 *2182:10 *21055:A 11.6605 +*END + +*D_NET *2183 0.000838186 +*CONN +*I *21053:A I *D sky130_fd_sc_hd__or4_2 +*I *21042:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *21053:A 0.000307871 +2 *21042:X 0.000307871 +3 *21053:A *21053:C 3.75603e-05 +4 *21053:A *22885:C 0.000160617 +5 *21053:A *3809:10 5.04829e-06 +6 *21053:A *5617:11 1.92172e-05 +*RES +1 *21042:X *21053:A 25.9252 +*END + +*D_NET *2184 0.000252236 +*CONN +*I *21053:B I *D sky130_fd_sc_hd__or4_2 +*I *21043:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *21053:B 0.000120126 +2 *21043:X 0.000120126 +3 *21053:B *21053:C 1.19836e-05 +*RES +1 *21043:X *21053:B 20.3309 +*END + +*D_NET *2185 0.000189065 +*CONN +*I *21045:C I *D sky130_fd_sc_hd__or3_1 +*I *21044:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *21045:C 4.74119e-05 +2 *21044:X 4.74119e-05 +3 *21045:C *21045:B 1.43848e-05 +4 *21045:C *22892:D 6.50586e-05 +5 *21045:C *5604:13 1.47978e-05 +*RES +1 *21044:X *21045:C 20.2103 +*END + +*D_NET *2186 0.00223558 +*CONN +*I *21053:C I *D sky130_fd_sc_hd__or4_2 +*I *21045:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *21053:C 0.000818341 +2 *21045:X 0.000818341 +3 *21053:C *21043:A 0.000264614 +4 *21053:C *21043:B 2.52287e-06 +5 *21053:C *22892:A 7.24449e-05 +6 *21053:C *22892:B 3.42853e-05 +7 *21053:C *22892:D 0.000175485 +8 *21053:A *21053:C 3.75603e-05 +9 *21053:B *21053:C 1.19836e-05 +*RES +1 *21045:X *21053:C 32.4116 +*END + +*D_NET *2187 0.00493221 +*CONN +*I *21052:A I *D sky130_fd_sc_hd__or4b_1 +*I *22899:B1 I *D sky130_fd_sc_hd__a32o_2 +*I *22873:C I *D sky130_fd_sc_hd__or3_1 +*I *22954:C I *D sky130_fd_sc_hd__or3_4 +*I *22949:C I *D sky130_fd_sc_hd__or3_1 +*I *21046:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21052:A 3.28757e-05 +2 *22899:B1 0.000293152 +3 *22873:C 1.5243e-05 +4 *22954:C 0 +5 *22949:C 0.000115954 +6 *21046:X 0 +7 *2187:33 0.00049682 +8 *2187:13 0.000225935 +9 *2187:9 0.000577478 +10 *2187:5 0.000623046 +11 *22873:C *22873:A 1.64789e-05 +12 *22899:B1 *21046:B 5.22654e-06 +13 *22899:B1 *21052:D_N 7.02172e-06 +14 *22899:B1 *22899:A2 0.000127479 +15 *22899:B1 *22899:A3 0.000315049 +16 *22899:B1 *5614:8 0 +17 *22899:B1 *5614:13 0 +18 *22899:B1 *5615:8 1.69932e-05 +19 *22949:C *22949:B 3.92275e-05 +20 *22949:C *3809:32 2.08059e-05 +21 *22949:C *5613:16 2.22342e-05 +22 *22949:C *5614:28 0.000188544 +23 *2187:9 *22873:A 6.08467e-05 +24 *2187:9 *22873:B 0.000144531 +25 *2187:9 *24778:CLK 0.000339206 +26 *2187:9 *2191:8 0.000144531 +27 *2187:9 *2191:37 0.000217587 +28 *2187:9 *2192:9 0 +29 *2187:9 *4882:116 0.000148129 +30 *2187:9 *5614:22 0 +31 *2187:13 *22873:A 0.000411971 +32 *2187:13 *23221:A1 6.9865e-05 +33 *2187:13 *3864:11 0.000115615 +34 *2187:33 *21046:A 3.89332e-06 +35 *2187:33 *21046:B 7.87416e-05 +36 *2187:33 *24778:CLK 5.77352e-05 +37 *2187:33 *2192:9 0 +38 *2187:33 *5614:13 0 +39 *2187:33 *5614:22 0 +*RES +1 *21046:X *2187:5 13.7491 +2 *2187:5 *2187:9 20.3435 +3 *2187:9 *2187:13 5.73894 +4 *2187:13 *22949:C 22.1574 +5 *2187:13 *22954:C 9.24915 +6 *2187:9 *22873:C 9.82786 +7 *2187:5 *2187:33 4.73876 +8 *2187:33 *22899:B1 20.6343 +9 *2187:33 *21052:A 14.4819 +*END + +*D_NET *2188 0.00198179 +*CONN +*I *21052:B I *D sky130_fd_sc_hd__or4b_1 +*I *21047:X O *D sky130_fd_sc_hd__or4bb_1 +*CAP +1 *21052:B 0.000525069 +2 *21047:X 0.000525069 +3 *21052:B *21047:B 4.81015e-05 +4 *21052:B *21052:C 0.000822703 +5 *21052:B *21052:D_N 0 +6 *21052:B *2193:14 6.08467e-05 +*RES +1 *21047:X *21052:B 35.5463 +*END + +*D_NET *2189 0.00324842 +*CONN +*I *21052:C I *D sky130_fd_sc_hd__or4b_1 +*I *21048:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *21052:C 0.00107884 +2 *21048:X 0.00107884 +3 *21052:C *20193:A0 2.81957e-05 +4 *21052:C *21047:B 2.18041e-06 +5 *21052:C *21048:A 5.07314e-05 +6 *21052:C *22898:A 1.7146e-05 +7 *21052:C *22898:B 0.000107496 +8 *21052:C *22899:A3 6.22868e-05 +9 *21052:B *21052:C 0.000822703 +*RES +1 *21048:X *21052:C 42.7562 +*END + +*D_NET *2190 0.00182093 +*CONN +*I *21051:A I *D sky130_fd_sc_hd__or2_1 +*I *22954:A I *D sky130_fd_sc_hd__or3_4 +*I *23206:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21049:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21051:A 0.000216118 +2 *22954:A 0.000213179 +3 *23206:A1 0.000162823 +4 *21049:Y 0 +5 *2190:17 0.000474145 +6 *2190:4 0.000207671 +7 *21051:A *2191:37 0.000113968 +8 *21051:A *5614:22 6.60196e-05 +9 *21051:A *5614:24 2.82537e-05 +10 *22954:A *22873:B 0 +11 *22954:A *2191:8 0 +12 *22954:A *5614:24 0.000111901 +13 *22954:A *5614:28 2.22198e-05 +14 *23206:A1 *21050:A 0.000159316 +15 *23206:A1 *4882:113 2.61012e-05 +16 *2190:17 *21050:A 1.92172e-05 +*RES +1 *21049:Y *2190:4 9.24915 +2 *2190:4 *23206:A1 13.903 +3 *2190:4 *2190:17 5.2234 +4 *2190:17 *22954:A 19.3184 +5 *2190:17 *21051:A 19.3507 +*END + +*D_NET *2191 0.00467104 +*CONN +*I *21051:B I *D sky130_fd_sc_hd__or2_1 +*I *23084:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *23084:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *23222:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22895:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22873:B I *D sky130_fd_sc_hd__or3_1 +*I *21050:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21051:B 0 +2 *23084:A1_N 0.000140417 +3 *23084:B1 0 +4 *23222:A1 0 +5 *22895:A1 0.000111044 +6 *22873:B 0.000174359 +7 *21050:Y 0.000151511 +8 *2191:41 0.000192747 +9 *2191:37 0.00042629 +10 *2191:18 0.000505855 +11 *2191:8 0.000657772 +12 *2191:7 0.000614074 +13 *22873:B *22874:A 0 +14 *22873:B *5614:28 0 +15 *22895:A1 *23220:A 2.36494e-05 +16 *22895:A1 *23221:A2 0.000111722 +17 *22895:A1 *23221:B1 3.31733e-05 +18 *22895:A1 *23222:A2 0.000169093 +19 *22895:A1 *4128:8 9.35753e-06 +20 *22895:A1 *5613:37 4.31703e-05 +21 *23084:A1_N *23084:A2_N 1.78949e-05 +22 *23084:A1_N *3992:21 0.000123185 +23 *2191:7 *4882:113 3.94667e-05 +24 *2191:18 *23221:A2 0.00015511 +25 *2191:18 *5613:37 0.000213674 +26 *2191:18 *5932:13 0.000136856 +27 *2191:41 *23084:A2_N 0 +28 *2191:41 *3992:21 0 +29 *21051:A *2191:37 0.000113968 +30 *22954:A *22873:B 0 +31 *22954:A *2191:8 0 +32 *2187:9 *22873:B 0.000144531 +33 *2187:9 *2191:8 0.000144531 +34 *2187:9 *2191:37 0.000217587 +*RES +1 *21050:Y *2191:7 16.1364 +2 *2191:7 *2191:8 2.6625 +3 *2191:8 *22873:B 18.0727 +4 *2191:8 *2191:18 16.3155 +5 *2191:18 *22895:A1 22.6049 +6 *2191:18 *23222:A1 9.24915 +7 *2191:7 *2191:37 14.1231 +8 *2191:37 *2191:41 1.30211 +9 *2191:41 *23084:B1 9.24915 +10 *2191:41 *23084:A1_N 13.5353 +11 *2191:37 *21051:B 9.24915 +*END + +*D_NET *2192 0.00196613 +*CONN +*I *22896:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *22899:B2 I *D sky130_fd_sc_hd__a32o_2 +*I *21052:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *21051:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22896:B1 0 +2 *22899:B2 3.25524e-05 +3 *21052:D_N 0.000170911 +4 *21051:X 0.000271166 +5 *2192:12 0.00030934 +6 *2192:9 0.000377043 +7 *21052:D_N *22899:A2 0.000271058 +8 *21052:D_N *22899:A3 2.93844e-05 +9 *22899:B2 *5613:8 3.31882e-05 +10 *22899:B2 *5613:10 2.24484e-05 +11 *22899:B2 *5614:13 0 +12 *2192:9 *22896:A1 4.58003e-05 +13 *2192:9 *5614:13 4.0752e-05 +14 *2192:9 *5614:22 0.000129514 +15 *2192:12 *5613:10 0.000169093 +16 *2192:12 *5613:12 3.31733e-05 +17 *2192:12 *5614:13 0 +18 *2192:12 *5614:22 2.36813e-05 +19 *21052:B *21052:D_N 0 +20 *22899:B1 *21052:D_N 7.02172e-06 +21 *2187:9 *2192:9 0 +22 *2187:33 *2192:9 0 +*RES +1 *21051:X *2192:9 25.7904 +2 *2192:9 *2192:12 7.993 +3 *2192:12 *21052:D_N 18.7888 +4 *2192:12 *22899:B2 14.7506 +5 *2192:9 *22896:B1 9.24915 +*END + +*D_NET *2193 0.00340525 +*CONN +*I *21053:D I *D sky130_fd_sc_hd__or4_2 +*I *21052:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *21053:D 0 +2 *21052:X 0.000847718 +3 *2193:14 0.000847718 +4 *2193:14 *21046:A 0.000113374 +5 *2193:14 *2194:9 0.00144317 +6 *2193:14 *5609:8 0 +7 *2193:14 *5616:6 9.24241e-05 +8 *2193:14 *5618:10 0 +9 *21052:B *2193:14 6.08467e-05 +*RES +1 *21052:X *2193:14 48.0988 +2 *2193:14 *21053:D 13.7491 +*END + +*D_NET *2194 0.0174468 +*CONN +*I *21056:B I *D sky130_fd_sc_hd__or2_1 +*I *21054:B I *D sky130_fd_sc_hd__and2_1 +*I *21053:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *21056:B 0.000255002 +2 *21054:B 6.59546e-05 +3 *21053:X 0.0018039 +4 *2194:15 0.00125024 +5 *2194:12 0.00369471 +6 *2194:11 0.00276543 +7 *2194:9 0.0018039 +8 *21054:B *21054:A 0.000107496 +9 *21054:B *22067:B 0.000158357 +10 *21056:B *21054:A 0.000300565 +11 *21056:B *21057:A1 0.000103983 +12 *21056:B *22067:B 0.000129297 +13 *21056:B *24236:CLK 0.000553199 +14 *21056:B *4881:45 5.05252e-05 +15 *21056:B *4881:54 0.000149643 +16 *21056:B *6001:211 8.01741e-05 +17 *2194:9 *5618:10 2.99929e-05 +18 *2194:12 *20099:B1 0 +19 *2194:12 *20193:A0 0 +20 *2194:12 *20193:A1 0 +21 *2194:12 *21047:A 0.000122068 +22 *2194:12 *21047:B 8.01741e-05 +23 *2194:12 *23498:A 0 +24 *2194:12 *23957:A0 0.000101148 +25 *2194:12 *23957:A1 0 +26 *2194:12 *23958:A0 0 +27 *2194:12 *23958:A1 0.000654595 +28 *2194:12 *24778:RESET_B 0 +29 *2194:12 *3842:336 0 +30 *2194:12 *4371:24 0 +31 *2194:12 *4402:8 0.000216822 +32 *2194:12 *4402:15 1.79196e-05 +33 *2194:12 *5466:27 0 +34 *2194:12 *5467:15 0 +35 *2194:15 *21263:A 0.000423922 +36 *2194:15 *22067:B 1.96472e-05 +37 *2194:15 *23497:A 2.41274e-06 +38 *2194:15 *23498:B 2.32594e-05 +39 *2194:15 *23499:B 0.000417464 +40 *2194:15 *2327:5 3.21548e-05 +41 *20095:A *2194:12 0 +42 *20191:S *2194:12 0 +43 *20192:A *2194:12 4.47713e-05 +44 *21056:A *21056:B 6.08467e-05 +45 *24779:D *2194:12 0 +46 *24822:D *2194:12 0 +47 *1809:181 *2194:12 0.000484053 +48 *2193:14 *2194:9 0.00144317 +*RES +1 *21053:X *2194:9 47.5649 +2 *2194:9 *2194:11 4.5 +3 *2194:11 *2194:12 76.1621 +4 *2194:12 *2194:15 27.4075 +5 *2194:15 *21054:B 12.0704 +6 *2194:15 *21056:B 29.8442 +*END + +*D_NET *2195 0.00300023 +*CONN +*I *22118:B I *D sky130_fd_sc_hd__or2_1 +*I *21055:B I *D sky130_fd_sc_hd__nor2_1 +*I *21054:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22118:B 0.000421778 +2 *21055:B 0.000300627 +3 *21054:X 7.30513e-05 +4 *2195:5 0.000795456 +5 *21055:B *21057:C1 3.91205e-05 +6 *21055:B *22067:B 0.000158357 +7 *21055:B *23300:B1 6.50586e-05 +8 *21055:B *24236:RESET_B 9.24241e-05 +9 *21055:B *24236:CLK 0.000144531 +10 *22118:B *21041:A 0 +11 *22118:B *4137:83 0.000129299 +12 *22118:B *5671:232 0 +13 *22118:B *5815:15 0.000202283 +14 *2195:5 *22067:B 9.97706e-05 +15 *21055:A *21055:B 6.50586e-05 +16 *566:110 *22118:B 0.000317392 +17 *2182:10 *21055:B 9.60215e-05 +*RES +1 *21054:X *2195:5 10.5271 +2 *2195:5 *21055:B 26.7897 +3 *2195:5 *22118:B 32.1235 +*END + +*D_NET *2196 0.00112305 +*CONN +*I *21057:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21055:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *21057:B2 0.000251633 +2 *21055:Y 0.000251633 +3 *21057:B2 *21057:B1 7.75061e-05 +4 *21057:B2 *21057:C1 0.000122068 +5 *21057:B2 *4003:29 0.000264586 +6 *21057:B2 *5458:108 3.7141e-05 +7 *566:110 *21057:B2 0.000118485 +*RES +1 *21055:Y *21057:B2 33.9292 +*END + +*D_NET *2197 0.00333019 +*CONN +*I *22103:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *21057:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21056:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22103:B1 0.00031066 +2 *21057:C1 0.000155691 +3 *21056:X 0.00029961 +4 *2197:14 0.000765961 +5 *21057:C1 *24236:CLK 1.44467e-05 +6 *22103:B1 *22103:A2 6.08467e-05 +7 *2197:14 *23300:B1 0.000211478 +8 *2197:14 *4788:27 5.04829e-06 +9 *2197:14 *4881:54 0 +10 *2197:14 *5458:108 0.000423936 +11 *21055:B *21057:C1 3.91205e-05 +12 *21056:A *2197:14 6.73186e-05 +13 *21057:B2 *21057:C1 0.000122068 +14 *22103:A1 *22103:B1 6.08467e-05 +15 *22848:A *2197:14 0.00015887 +16 *24236:D *2197:14 2.20688e-05 +17 *566:110 *21057:C1 3.42731e-05 +18 *566:110 *22103:B1 0.000557778 +19 *566:110 *2197:14 2.01653e-05 +20 *657:228 *22103:B1 0 +21 *2182:10 *21057:C1 0 +*RES +1 *21056:X *2197:14 33.1983 +2 *2197:14 *21057:C1 18.5978 +3 *2197:14 *22103:B1 24.402 +*END + +*D_NET *2198 0.000267147 +*CONN +*I *21059:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *21058:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21059:A 2.60774e-05 +2 *21058:X 2.60774e-05 +3 *21059:A *3179:27 0.000107496 +4 *21058:A *21059:A 0.000107496 +*RES +1 *21058:X *21059:A 19.7763 +*END + +*D_NET *2199 0.0067785 +*CONN +*I *21063:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21062:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21061:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21064:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21065:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21060:A I *D sky130_fd_sc_hd__inv_2 +*I *21059:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21063:A2 0.000328111 +2 *21062:A2 3.40213e-05 +3 *21061:A2 0.00016311 +4 *21064:A2 0.00012842 +5 *21065:A2 5.87788e-05 +6 *21060:A 1.47608e-05 +7 *21059:X 0.000314395 +8 *2199:49 0.000493612 +9 *2199:28 0.000520562 +10 *2199:19 0.000375097 +11 *2199:10 0.000338478 +12 *2199:5 0.000682306 +13 *21060:A *3179:29 6.08467e-05 +14 *21061:A2 *24232:CLK 0.000200794 +15 *21061:A2 *2200:57 0.000111921 +16 *21061:A2 *2581:42 0.000169172 +17 *21061:A2 *2825:12 2.33193e-05 +18 *21061:A2 *3126:148 0.000127969 +19 *21061:A2 *3126:152 6.3657e-05 +20 *21061:A2 *5870:572 1.65872e-05 +21 *21062:A2 *2577:36 4.49767e-05 +22 *21062:A2 *3485:90 4.49767e-05 +23 *21063:A2 *21062:A1 6.08467e-05 +24 *21063:A2 *21062:B2 3.07848e-05 +25 *21063:A2 *21063:B2 5.45622e-05 +26 *21063:A2 *3162:21 1.30259e-05 +27 *21064:A2 *21064:B2 6.08467e-05 +28 *21064:A2 *21917:A 6.63489e-05 +29 *21064:A2 *5384:13 2.49328e-05 +30 *21065:A2 *3052:38 1.05631e-05 +31 *2199:5 *3179:27 2.77625e-06 +32 *2199:10 *2200:26 7.18659e-05 +33 *2199:10 *2581:42 7.53431e-05 +34 *2199:19 *2200:26 4.42142e-05 +35 *2199:19 *2200:43 0.000103284 +36 *2199:19 *2581:42 0.000140402 +37 *2199:28 *2200:43 0.000323937 +38 *2199:28 *2200:57 1.86178e-05 +39 *2199:28 *2581:42 0.00033124 +40 *2199:49 *2577:36 0.000228769 +41 *2199:49 *3485:90 0.000221673 +42 *21058:A *2199:5 8.79845e-05 +43 *21657:B2 *2199:5 1.65872e-05 +44 *24231:D *21065:A2 0.000113968 +45 *1645:68 *21065:A2 3.82228e-05 +46 *1648:25 *21064:A2 6.08467e-05 +47 *1648:29 *21064:A2 9.05137e-05 +48 *1660:40 *21060:A 6.08467e-05 +49 *1660:40 *2199:5 2.16355e-05 +50 *1660:40 *2199:10 8.79845e-05 +*RES +1 *21059:X *2199:5 13.8548 +2 *2199:5 *2199:10 8.85855 +3 *2199:10 *21060:A 14.4725 +4 *2199:10 *2199:19 3.07775 +5 *2199:19 *21065:A2 15.5817 +6 *2199:19 *2199:28 7.23027 +7 *2199:28 *21064:A2 17.8243 +8 *2199:28 *21061:A2 20.184 +9 *2199:5 *2199:49 9.23876 +10 *2199:49 *21062:A2 14.7506 +11 *2199:49 *21063:A2 19.681 +*END + +*D_NET *2200 0.00693603 +*CONN +*I *21061:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21064:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21062:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21063:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21065:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21060:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21061:B2 1.97101e-05 +2 *21064:B2 1.47608e-05 +3 *21062:B2 1.92199e-05 +4 *21063:B2 0.000143525 +5 *21065:B2 0.000292553 +6 *21060:Y 0 +7 *2200:58 8.37334e-05 +8 *2200:57 0.000520483 +9 *2200:43 0.000727536 +10 *2200:26 0.000474851 +11 *2200:19 0.000611883 +12 *2200:4 0.000336015 +13 *21061:B2 *3126:152 6.17774e-05 +14 *21061:B2 *5870:572 2.36575e-05 +15 *21062:B2 *3162:21 1.88422e-05 +16 *21063:B2 *21063:B1 6.08467e-05 +17 *21063:B2 *21882:B1 1.17054e-05 +18 *21063:B2 *3162:21 0.000345474 +19 *21064:B2 *21917:A 6.08467e-05 +20 *21065:B2 *21065:B1 6.08467e-05 +21 *21065:B2 *2894:39 0.000107052 +22 *21065:B2 *3179:29 0.0003012 +23 *21065:B2 *3502:105 0.000110649 +24 *2200:19 *3179:29 4.66492e-05 +25 *2200:26 *2581:42 0.000155379 +26 *2200:26 *2825:8 4.15661e-05 +27 *2200:26 *2825:10 5.31569e-06 +28 *2200:26 *2825:12 0.000296393 +29 *2200:43 *2825:12 0.000435159 +30 *2200:57 *2825:12 0.000129156 +31 *2200:57 *5870:572 0.000538359 +32 *21061:A2 *2200:57 0.000111921 +33 *21063:A2 *21062:B2 3.07848e-05 +34 *21063:A2 *21063:B2 5.45622e-05 +35 *21064:A2 *21064:B2 6.08467e-05 +36 *24231:D *21065:B2 6.08467e-05 +37 *2199:10 *2200:26 7.18659e-05 +38 *2199:19 *2200:26 4.42142e-05 +39 *2199:19 *2200:43 0.000103284 +40 *2199:28 *2200:43 0.000323937 +41 *2199:28 *2200:57 1.86178e-05 +*RES +1 *21060:Y *2200:4 9.24915 +2 *2200:4 *21065:B2 24.7162 +3 *2200:4 *2200:19 5.2234 +4 *2200:19 *2200:26 13.0493 +5 *2200:26 *21063:B2 14.4576 +6 *2200:26 *21062:B2 9.97254 +7 *2200:19 *2200:43 8.89128 +8 *2200:43 *21064:B2 14.4725 +9 *2200:43 *2200:57 22.6811 +10 *2200:57 *2200:58 81.1229 +11 *2200:58 *21061:B2 19.2217 +*END + +*D_NET *2201 0.000452166 +*CONN +*I *21067:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *21066:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21067:A 7.04028e-05 +2 *21066:X 7.04028e-05 +3 *21067:A *2295:78 0.000103458 +4 *21067:A *2468:80 0.000207901 +*RES +1 *21066:X *21067:A 21.4401 +*END + +*D_NET *2202 0.0152279 +*CONN +*I *21069:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21076:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21075:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21071:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21068:A I *D sky130_fd_sc_hd__inv_2 +*I *21074:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21073:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21072:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21070:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21067:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21069:A2 8.77501e-05 +2 *21076:A2 6.06217e-05 +3 *21075:A2 0 +4 *21071:A2 7.7751e-05 +5 *21068:A 1.26553e-05 +6 *21074:A2 3.98724e-05 +7 *21073:A2 0.000186013 +8 *21072:A2 8.44143e-05 +9 *21070:A2 6.32974e-05 +10 *21067:X 0.000124924 +11 *2202:74 0.000362089 +12 *2202:31 0.000643605 +13 *2202:27 0.000652712 +14 *2202:25 0.00042783 +15 *2202:14 0.000631867 +16 *2202:12 0.00116955 +17 *2202:8 0.000761164 +18 *2202:7 0.00040106 +19 *21068:A *24492:RESET_B 6.50586e-05 +20 *21068:A *2203:7 2.65667e-05 +21 *21069:A2 *2610:48 0.000196169 +22 *21069:A2 *4805:36 0.000203265 +23 *21070:A2 *21070:A1 0.000111722 +24 *21070:A2 *4820:64 0.000111722 +25 *21071:A2 *24341:CLK 0.000213725 +26 *21072:A2 *21072:A1 8.38894e-05 +27 *21072:A2 *21072:B2 0 +28 *21072:A2 *21073:B2 7.92757e-06 +29 *21072:A2 *5859:210 0.000262339 +30 *21073:A2 *21073:A1 2.16355e-05 +31 *21073:A2 *21073:B1 7.92757e-06 +32 *21073:A2 *21073:B2 5.95743e-05 +33 *21073:A2 *5859:210 0.000477351 +34 *21074:A2 *21074:A1 6.50586e-05 +35 *21074:A2 *2610:63 3.31745e-05 +36 *21076:A2 *20617:B1 2.86778e-05 +37 *21076:A2 *4845:281 0.000205006 +38 *2202:7 *2468:79 0.000538117 +39 *2202:8 *2610:48 0.000127196 +40 *2202:8 *4805:36 0.000137921 +41 *2202:12 *2610:48 0.000349927 +42 *2202:12 *2610:56 9.40172e-05 +43 *2202:12 *2610:63 7.13086e-05 +44 *2202:12 *4805:36 3.40382e-05 +45 *2202:12 *4824:130 0.000235947 +46 *2202:12 *4824:142 1.58656e-05 +47 *2202:14 *2394:41 0.000610335 +48 *2202:14 *2610:63 8.43032e-06 +49 *2202:14 *2814:24 3.22915e-05 +50 *2202:14 *4824:142 0.000564675 +51 *2202:25 *2394:41 0.000169705 +52 *2202:25 *2814:24 3.30161e-05 +53 *2202:27 *21892:A 2.82537e-05 +54 *2202:27 *2394:41 0.000526199 +55 *2202:27 *2584:66 8.62321e-06 +56 *2202:27 *2908:63 0.000292759 +57 *2202:31 *21072:A1 5.30254e-05 +58 *2202:31 *2394:41 0.000730859 +59 *2202:31 *2908:63 0.00036616 +60 *2202:74 *2610:48 0.000337805 +61 *2202:74 *4805:36 0.000346432 +62 *20894:A *21074:A2 0.000158357 +63 *24224:D *2202:12 6.85778e-05 +64 *502:24 *2202:7 0.000491468 +65 *539:21 *21076:A2 0.000278223 +66 *1551:83 *2202:25 0 +67 *1551:83 *2202:27 0 +68 *1556:30 *2202:12 0.000550361 +*RES +1 *21067:X *2202:7 20.0186 +2 *2202:7 *2202:8 2.6625 +3 *2202:8 *2202:12 21.3977 +4 *2202:12 *2202:14 13.4591 +5 *2202:14 *21070:A2 15.7599 +6 *2202:14 *2202:25 3.90826 +7 *2202:25 *2202:27 9.72179 +8 *2202:27 *2202:31 18.3743 +9 *2202:31 *21072:A2 12.7697 +10 *2202:31 *21073:A2 15.5427 +11 *2202:27 *21074:A2 15.5817 +12 *2202:25 *21068:A 14.4725 +13 *2202:12 *21071:A2 16.1364 +14 *2202:8 *21075:A2 13.7491 +15 *2202:7 *2202:74 7.23027 +16 *2202:74 *21076:A2 16.9683 +17 *2202:74 *21069:A2 17.6574 +*END + +*D_NET *2203 0.0139741 +*CONN +*I *21069:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21076:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21075:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21071:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21070:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21074:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21073:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21072:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21068:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21069:B2 0.000221017 +2 *21076:B2 1.47608e-05 +3 *21075:B2 0.000116393 +4 *21071:B2 1.39582e-05 +5 *21070:B2 0 +6 *21074:B2 0 +7 *21073:B2 0.00051762 +8 *21072:B2 0.000122674 +9 *21068:Y 9.41218e-05 +10 *2203:59 0.000564841 +11 *2203:50 0.00111321 +12 *2203:41 0.00116395 +13 *2203:34 0.000587974 +14 *2203:12 0.000975609 +15 *2203:8 0.0005462 +16 *2203:7 0.000410749 +17 *21069:B2 *21069:B1 3.06765e-05 +18 *21069:B2 *21244:A 0.000148561 +19 *21069:B2 *24495:RESET_B 5.04829e-06 +20 *21069:B2 *2311:13 0.000107496 +21 *21069:B2 *5862:267 0.000122834 +22 *21069:B2 *5862:282 4.08323e-05 +23 *21071:B2 *24341:CLK 6.36477e-05 +24 *21071:B2 *4843:371 2.57847e-05 +25 *21072:B2 *5859:210 1.71698e-05 +26 *21073:B2 *21072:A1 0.000260374 +27 *21073:B2 *21073:B1 1.64789e-05 +28 *21073:B2 *5859:210 4.89898e-06 +29 *21075:B2 *2267:70 0.000247443 +30 *21076:B2 *21076:B1 6.08467e-05 +31 *2203:7 *24492:RESET_B 5.17988e-05 +32 *2203:7 *24492:CLK 6.08467e-05 +33 *2203:7 *2814:15 0.000118166 +34 *2203:7 *5066:5 0.00020502 +35 *2203:8 *4844:255 0.000560642 +36 *2203:8 *4908:10 0.000239234 +37 *2203:8 *4908:123 0.000317712 +38 *2203:8 *4908:132 1.72347e-05 +39 *2203:12 *2900:60 0 +40 *2203:12 *2908:63 0 +41 *2203:12 *4844:255 0.000661322 +42 *2203:12 *4908:10 0.000177313 +43 *2203:12 *5853:293 0.000293982 +44 *2203:34 *2908:12 2.17933e-05 +45 *2203:34 *4844:255 7.78431e-05 +46 *2203:34 *4908:132 0.000138635 +47 *2203:41 *2908:12 0.000213655 +48 *2203:41 *4825:112 8.3506e-05 +49 *2203:41 *4843:385 6.5606e-05 +50 *2203:41 *4908:132 0.000138887 +51 *2203:41 *4908:146 0.000357067 +52 *2203:50 *21071:A1 3.93264e-05 +53 *2203:50 *21432:A 1.72594e-05 +54 *2203:50 *24224:CLK 0.000227119 +55 *2203:50 *2415:32 7.04035e-05 +56 *2203:50 *2908:12 3.63435e-05 +57 *2203:50 *4811:18 1.00521e-05 +58 *2203:50 *4817:104 0.000158016 +59 *2203:50 *4843:385 3.31882e-05 +60 *2203:50 *4908:184 0.000414618 +61 *2203:59 *2415:32 4.31667e-05 +62 *2203:59 *5862:267 0.000231318 +63 *21068:A *2203:7 2.65667e-05 +64 *21072:A2 *21072:B2 0 +65 *21072:A2 *21073:B2 7.92757e-06 +66 *21073:A2 *21073:B2 5.95743e-05 +67 *502:18 *21069:B2 0.000160182 +68 *502:18 *2203:59 0.000364811 +69 *539:21 *21076:B2 6.08467e-05 +70 *1450:164 *2203:50 0.000575654 +71 *1450:164 *2203:59 1.66771e-05 +72 *1551:83 *2203:41 2.27901e-06 +73 *1551:83 *2203:50 3.3239e-06 +*RES +1 *21068:Y *2203:7 17.8002 +2 *2203:7 *2203:8 10.5523 +3 *2203:8 *2203:12 17.1286 +4 *2203:12 *21072:B2 11.5158 +5 *2203:12 *21073:B2 17.6164 +6 *2203:8 *21074:B2 13.7491 +7 *2203:7 *2203:34 3.07775 +8 *2203:34 *21070:B2 13.7491 +9 *2203:34 *2203:41 13.4591 +10 *2203:41 *21071:B2 14.4725 +11 *2203:41 *2203:50 20.1031 +12 *2203:50 *21075:B2 16.691 +13 *2203:50 *2203:59 9.72179 +14 *2203:59 *21076:B2 14.4725 +15 *2203:59 *21069:B2 20.7627 +*END + +*D_NET *2204 0.000258796 +*CONN +*I *21078:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *21077:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21078:A 7.02005e-06 +2 *21077:X 7.02005e-06 +3 *21078:A *5856:405 0.000122378 +4 *21077:A *21078:A 0.000122378 +*RES +1 *21077:X *21078:A 19.7763 +*END + +*D_NET *2205 0.00891023 +*CONN +*I *21084:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21081:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21080:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21079:A I *D sky130_fd_sc_hd__inv_2 +*I *21082:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21083:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21078:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21084:A2 0 +2 *21081:A2 0 +3 *21080:A2 0.000121023 +4 *21079:A 5.24535e-05 +5 *21082:A2 0.000243215 +6 *21083:A2 1.12245e-05 +7 *21078:X 0.00067884 +8 *2205:26 0.000451591 +9 *2205:24 0.000398536 +10 *2205:22 0.000647476 +11 *2205:12 0.000819558 +12 *2205:8 0.000961287 +13 *21079:A *21575:A 4.41269e-05 +14 *21079:A *21717:B1 0.000213725 +15 *21079:A *24222:CLK 1.41976e-05 +16 *21080:A2 *5851:311 0.000249494 +17 *21082:A2 *21082:B2 5.78321e-05 +18 *21082:A2 *2561:91 0.000165495 +19 *21082:A2 *4924:85 5.68225e-06 +20 *21083:A2 *21083:A1 6.50586e-05 +21 *21083:A2 *3060:11 1.84293e-05 +22 *2205:8 *21084:A1 0.000129742 +23 *2205:8 *2505:23 0.000683001 +24 *2205:8 *2951:28 0.0001403 +25 *2205:12 *21084:A1 2.77419e-05 +26 *2205:12 *21084:B2 0.000186099 +27 *2205:12 *2951:28 0.000503991 +28 *2205:22 *21083:A1 0.000271044 +29 *2205:22 *21575:A 0 +30 *2205:22 *24672:CLK 0 +31 *2205:22 *2632:8 0 +32 *2205:22 *3060:8 7.12207e-05 +33 *2205:22 *3060:11 0.000118669 +34 *2205:22 *4845:466 0.000212222 +35 *2205:22 *5854:337 4.99831e-05 +36 *2205:24 *21575:A 0 +37 *2205:24 *2206:22 3.51249e-05 +38 *2205:26 *21082:B2 1.65078e-05 +39 *2205:26 *21575:A 0 +40 *2205:26 *2206:22 3.98412e-05 +41 *24220:D *21082:A2 0.00012568 +42 *24221:D *2205:22 0.000115451 +43 *514:101 *21080:A2 0.000612765 +44 *1573:33 *2205:8 1.67988e-05 +45 *1573:46 *2205:8 0.000334808 +*RES +1 *21078:X *2205:8 27.6725 +2 *2205:8 *2205:12 14.2218 +3 *2205:12 *21083:A2 9.97254 +4 *2205:12 *2205:22 20.7671 +5 *2205:22 *2205:24 3.07775 +6 *2205:24 *2205:26 3.90826 +7 *2205:26 *21082:A2 21.468 +8 *2205:26 *21079:A 16.1364 +9 *2205:24 *21080:A2 20.5732 +10 *2205:22 *21081:A2 13.7491 +11 *2205:8 *21084:A2 13.7491 +*END + +*D_NET *2206 0.00745436 +*CONN +*I *21081:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21084:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21083:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21080:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21082:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21079:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21081:B2 0 +2 *21084:B2 0.000446261 +3 *21083:B2 2.3034e-05 +4 *21080:B2 0.000105192 +5 *21082:B2 0.000205316 +6 *21079:Y 0 +7 *2206:43 0.0008296 +8 *2206:30 0.000985832 +9 *2206:22 0.000715217 +10 *2206:5 0.000400198 +11 *21080:B2 *2864:11 6.73186e-05 +12 *21080:B2 *5851:301 6.23875e-05 +13 *21080:B2 *5870:200 0.000118485 +14 *21082:B2 *21082:B1 0.000115934 +15 *21082:B2 *21820:C1 4.81015e-05 +16 *21082:B2 *2561:91 0.000169093 +17 *21082:B2 *4924:85 0.000193655 +18 *21082:B2 *4924:92 0.00021459 +19 *21082:B2 *4924:103 1.07248e-05 +20 *21084:B2 *21083:A1 5.04829e-06 +21 *21084:B2 *21084:A1 4.42142e-05 +22 *21084:B2 *2951:28 3.33173e-06 +23 *21084:B2 *3060:11 5.47093e-05 +24 *21084:B2 *5858:415 2.77564e-05 +25 *21084:B2 *5858:429 0.000408107 +26 *21084:B2 *5860:401 6.56602e-05 +27 *21084:B2 *5860:433 2.54969e-05 +28 *21084:B2 *5870:247 2.63758e-05 +29 *2206:22 *21081:B1 1.64789e-05 +30 *2206:22 *4924:103 0.000289177 +31 *2206:22 *5854:331 1.65872e-05 +32 *2206:30 *5854:331 0.000341131 +33 *2206:43 *24219:RESET_B 0.000569701 +34 *2206:43 *3060:11 7.13972e-05 +35 *2206:43 *5870:200 3.31882e-05 +36 *21082:A2 *21082:B2 5.78321e-05 +37 *24219:D *21084:B2 1.34424e-05 +38 *514:93 *21084:B2 2.16355e-05 +39 *514:93 *2206:43 7.13972e-05 +40 *1439:252 *21084:B2 0.000107496 +41 *1511:56 *21080:B2 3.4475e-05 +42 *1511:56 *2206:43 0.000161213 +43 *2205:12 *21084:B2 0.000186099 +44 *2205:24 *2206:22 3.51249e-05 +45 *2205:26 *21082:B2 1.65078e-05 +46 *2205:26 *2206:22 3.98412e-05 +*RES +1 *21079:Y *2206:5 13.7491 +2 *2206:5 *21082:B2 22.8361 +3 *2206:5 *2206:22 11.3714 +4 *2206:22 *2206:30 14.1453 +5 *2206:30 *21080:B2 17.829 +6 *2206:30 *2206:43 17.8547 +7 *2206:43 *21083:B2 9.82786 +8 *2206:43 *21084:B2 32.4908 +9 *2206:22 *21081:B2 9.24915 +*END + +*D_NET *2207 0.000216013 +*CONN +*I *21086:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *21085:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21086:A 3.51473e-05 +2 *21085:X 3.51473e-05 +3 *21086:A *2729:38 3.82228e-05 +4 *21085:A *21086:A 0.000107496 +*RES +1 *21085:X *21086:A 19.7763 +*END + +*D_NET *2208 0.0233202 +*CONN +*I *21093:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21090:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21088:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21087:A I *D sky130_fd_sc_hd__inv_2 +*I *21089:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21094:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21092:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21091:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21095:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21086:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21093:A2 9.65039e-05 +2 *21090:A2 0.000321728 +3 *21088:A2 0 +4 *21087:A 1.72814e-05 +5 *21089:A2 5.32609e-05 +6 *21094:A2 9.2056e-05 +7 *21092:A2 3.52769e-05 +8 *21091:A2 0.000181628 +9 *21095:A2 0.000457271 +10 *21086:X 0.000438655 +11 *2208:129 0.000469891 +12 *2208:117 0.000543785 +13 *2208:103 0.000624209 +14 *2208:100 0.000290915 +15 *2208:99 9.83084e-05 +16 *2208:97 0.00125745 +17 *2208:80 0.001907 +18 *2208:65 0.000823383 +19 *2208:41 0.00092534 +20 *2208:27 0.00088671 +21 *2208:5 0.000895927 +22 *21089:A2 *2209:7 9.37539e-06 +23 *21089:A2 *4844:137 1.47978e-05 +24 *21090:A2 *21090:A1 0.000111708 +25 *21090:A2 *2252:8 0.000334014 +26 *21090:A2 *2317:12 2.76648e-05 +27 *21090:A2 *2317:20 2.40731e-05 +28 *21090:A2 *2487:14 0.000234182 +29 *21090:A2 *4843:251 2.20702e-05 +30 *21091:A2 *21092:B1 0.000559906 +31 *21091:A2 *22025:A 4.83074e-05 +32 *21091:A2 *2989:5 0.000580043 +33 *21092:A2 *21092:B1 6.97988e-06 +34 *21092:A2 *22025:A 7.92757e-06 +35 *21092:A2 *2989:5 2.15184e-05 +36 *21093:A2 *21093:A1 6.08467e-05 +37 *21093:A2 *2209:17 6.08467e-05 +38 *21093:A2 *2886:8 0.000145853 +39 *21093:A2 *4844:665 0.00014986 +40 *21094:A2 *21094:A1 0.000135046 +41 *21094:A2 *2635:8 4.49912e-05 +42 *21094:A2 *2886:8 4.15661e-05 +43 *21094:A2 *4956:27 0.000238316 +44 *21095:A2 *21095:B1 4.31603e-06 +45 *21095:A2 *24210:CLK 0.000105454 +46 *21095:A2 *2209:30 2.35833e-05 +47 *21095:A2 *2729:38 0.000107496 +48 *21095:A2 *2869:26 0.000120237 +49 *21095:A2 *4822:66 5.36085e-05 +50 *21095:A2 *5346:7 0.000267557 +51 *2208:5 *2729:38 0.000637807 +52 *2208:41 *2770:18 5.24732e-06 +53 *2208:41 *2806:8 3.06564e-05 +54 *2208:41 *2886:8 0.000384133 +55 *2208:41 *3044:23 0.00038831 +56 *2208:41 *4822:71 0.000219426 +57 *2208:41 *5352:8 0 +58 *2208:41 *5865:70 0.000331709 +59 *2208:65 *2886:8 0.000226566 +60 *2208:65 *3044:6 0.000118308 +61 *2208:65 *3044:23 6.62712e-05 +62 *2208:80 *2770:16 0 +63 *2208:80 *2886:8 0.00106461 +64 *2208:80 *4844:665 8.62625e-06 +65 *2208:80 *4844:669 0.000190943 +66 *2208:97 *24212:RESET_B 6.70581e-05 +67 *2208:97 *2209:17 0.00198351 +68 *2208:97 *2770:13 2.41274e-06 +69 *2208:97 *2776:19 4.95889e-05 +70 *2208:97 *5351:10 3.31733e-05 +71 *2208:103 *21089:A1 3.51086e-06 +72 *2208:103 *2209:7 3.35025e-06 +73 *2208:103 *2441:43 0.000111708 +74 *2208:103 *4844:137 1.70925e-05 +75 *2208:117 *2209:7 7.22888e-05 +76 *2208:117 *5880:31 0.000253916 +77 *2208:129 *21088:A1 7.30161e-05 +78 *2208:129 *21088:B1 6.08467e-05 +79 *2208:129 *2209:7 1.03403e-05 +80 *2208:129 *2209:88 1.34424e-05 +81 *2208:129 *2209:92 6.08467e-05 +82 *2208:129 *5880:31 0.000351774 +83 *22035:A2 *21095:A2 6.63489e-05 +84 *24210:D *21095:A2 0.000176382 +85 *24213:D *21092:A2 1.41976e-05 +86 *24213:D *2208:41 9.12416e-06 +87 *24215:D *21090:A2 7.34948e-06 +88 *24435:D *2208:80 0.000104731 +89 *1439:424 *2208:97 0.000200794 +90 *1608:156 *21095:A2 2.01828e-05 +91 *1610:162 *2208:41 0.000250244 +92 *1631:90 *21094:A2 0.000107496 +93 *1647:126 *2208:65 1.54479e-05 +94 *1647:126 *2208:80 9.63875e-05 +95 *1647:148 *2208:80 4.4434e-05 +96 *1959:40 *2208:97 0.000763453 +97 *1959:52 *2208:97 0.000528332 +98 *1959:68 *2208:97 9.61086e-05 +*RES +1 *21086:X *2208:5 16.6278 +2 *2208:5 *21095:A2 30.5087 +3 *2208:5 *2208:27 4.5 +4 *2208:27 *2208:41 33.2154 +5 *2208:41 *21091:A2 19.0873 +6 *2208:41 *21092:A2 10.5513 +7 *2208:27 *2208:65 5.15401 +8 *2208:65 *21094:A2 26.5839 +9 *2208:65 *2208:80 20.1031 +10 *2208:80 *2208:97 48.3759 +11 *2208:97 *2208:99 9.24915 +12 *2208:99 *2208:100 127.479 +13 *2208:100 *2208:103 12.191 +14 *2208:103 *21089:A2 10.9612 +15 *2208:103 *2208:117 7.98147 +16 *2208:117 *21087:A 9.82786 +17 *2208:117 *2208:129 5.49781 +18 *2208:129 *21088:A2 9.24915 +19 *2208:129 *21090:A2 27.8078 +20 *2208:80 *21093:A2 17.5503 +*END + +*D_NET *2209 0.0196736 +*CONN +*I *21088:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21090:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21089:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21093:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21094:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21095:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21091:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21092:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21087:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21088:B2 0 +2 *21090:B2 0.00038527 +3 *21089:B2 1.34882e-05 +4 *21093:B2 2.06324e-05 +5 *21094:B2 1.47608e-05 +6 *21095:B2 0.000177588 +7 *21091:B2 0 +8 *21092:B2 0.000268137 +9 *21087:Y 0 +10 *2209:92 0.000412777 +11 *2209:89 7.12383e-05 +12 *2209:88 0.000134926 +13 *2209:32 0.00068074 +14 *2209:30 0.000896158 +15 *2209:28 0.000914997 +16 *2209:17 0.00131023 +17 *2209:7 0.00142259 +18 *2209:4 0.000804959 +19 *21089:B2 *4844:137 4.80635e-06 +20 *21090:B2 *21090:A1 6.50727e-05 +21 *21090:B2 *21090:B1 1.96041e-05 +22 *21090:B2 *2317:12 2.07178e-05 +23 *21090:B2 *2317:20 7.09666e-06 +24 *21090:B2 *4843:253 9.80242e-07 +25 *21090:B2 *4845:220 0.000219426 +26 *21092:B2 *21092:B1 6.08467e-05 +27 *21092:B2 *22025:A 2.16355e-05 +28 *21092:B2 *2770:18 1.66626e-05 +29 *21092:B2 *2869:32 1.91391e-05 +30 *21092:B2 *3164:180 0.000534604 +31 *21093:B2 *4840:139 0 +32 *21094:B2 *4956:27 6.08467e-05 +33 *21094:B2 *5859:115 6.08467e-05 +34 *21095:B2 *2542:8 6.46333e-05 +35 *21095:B2 *2729:20 0.000525966 +36 *21095:B2 *2871:8 5.99155e-05 +37 *2209:7 *21089:A1 1.62258e-05 +38 *2209:7 *4844:137 1.99131e-05 +39 *2209:17 *21093:A1 0.000110131 +40 *2209:17 *21093:B1 4.58003e-05 +41 *2209:17 *2797:36 0.000846973 +42 *2209:17 *4844:137 0.00141803 +43 *2209:28 *21093:B1 2.16355e-05 +44 *2209:28 *2797:36 6.08467e-05 +45 *2209:28 *2869:26 0.00118395 +46 *2209:28 *4822:66 0.00122913 +47 *2209:28 *4956:27 0.000110306 +48 *2209:30 *2869:26 9.02963e-06 +49 *2209:30 *4822:66 0.000251921 +50 *2209:30 *4890:8 5.94319e-06 +51 *2209:30 *4890:10 5.25083e-05 +52 *2209:32 *2869:26 3.12931e-05 +53 *2209:32 *2869:32 0.000426885 +54 *2209:32 *4890:10 2.41701e-05 +55 *20624:B *21090:B2 0.000290983 +56 *21089:A2 *2209:7 9.37539e-06 +57 *21093:A2 *2209:17 6.08467e-05 +58 *21095:A2 *2209:30 2.35833e-05 +59 *21975:B2 *21092:B2 0.000545674 +60 *24212:D *2209:17 7.68538e-06 +61 *489:50 *21090:B2 5.20546e-06 +62 *1608:156 *21095:B2 1.03403e-05 +63 *1620:113 *21095:B2 0.000535045 +64 *1631:90 *2209:28 0.00014539 +65 *1631:90 *2209:30 0.000176032 +66 *1647:126 *21092:B2 2.02035e-05 +67 *1771:131 *21092:B2 2.27135e-05 +68 *1771:131 *2209:32 0.00051306 +69 *1959:40 *2209:17 7.6719e-06 +70 *2208:97 *2209:17 0.00198351 +71 *2208:103 *2209:7 3.35025e-06 +72 *2208:117 *2209:7 7.22888e-05 +73 *2208:129 *2209:7 1.03403e-05 +74 *2208:129 *2209:88 1.34424e-05 +75 *2208:129 *2209:92 6.08467e-05 +*RES +1 *21087:Y *2209:4 9.24915 +2 *2209:4 *2209:7 10.1758 +3 *2209:7 *2209:17 34.94 +4 *2209:17 *2209:28 39.0975 +5 *2209:28 *2209:30 7.85315 +6 *2209:30 *2209:32 12.4209 +7 *2209:32 *21092:B2 22.7821 +8 *2209:32 *21091:B2 13.7491 +9 *2209:30 *21095:B2 23.1575 +10 *2209:28 *21094:B2 14.4725 +11 *2209:17 *21093:B2 9.82786 +12 *2209:7 *21089:B2 9.82786 +13 *2209:4 *2209:88 10.5271 +14 *2209:88 *2209:89 57.9449 +15 *2209:89 *2209:92 9.97254 +16 *2209:92 *21090:B2 27.6095 +17 *2209:92 *21088:B2 9.24915 +*END + +*D_NET *2210 0.00110795 +*CONN +*I *21097:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21096:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21097:A 0.000345351 +2 *21096:X 0.000345351 +3 *21096:A *21097:A 4.23858e-05 +4 *21099:B1 *21097:A 4.62604e-05 +5 *24209:D *21097:A 0.000318265 +6 *1251:7 *21097:A 1.03403e-05 +*RES +1 *21096:X *21097:A 27.9748 +*END + +*D_NET *2211 0.00308464 +*CONN +*I *21099:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21103:B1_N I *D sky130_fd_sc_hd__o21ba_1 +*I *21098:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *21099:A2 0 +2 *21103:B1_N 0.000438019 +3 *21098:X 0.00030299 +4 *2211:8 0.00074101 +5 *21103:B1_N *21099:A1 3.67708e-05 +6 *21103:B1_N *21103:A2 2.32447e-05 +7 *21103:B1_N *5447:25 0 +8 *2211:8 *21099:A1 0.000172706 +9 *2211:8 *2955:29 0.0002646 +10 *2211:8 *5446:23 8.01687e-05 +11 *2211:8 *5447:46 0 +12 *24209:RESET_B *2211:8 2.5386e-05 +13 *24743:D *21103:B1_N 0.000255333 +14 *1862:18 *21103:B1_N 1.79196e-05 +15 *1862:18 *2211:8 0.00017156 +16 *1862:24 *21103:B1_N 0.000286722 +17 *1862:33 *21103:B1_N 0.00026821 +*RES +1 *21098:X *2211:8 23.9212 +2 *2211:8 *21103:B1_N 30.5302 +3 *2211:8 *21099:A2 13.7491 +*END + +*D_NET *2212 0.000997902 +*CONN +*I *21101:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21100:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21101:A 0.000209747 +2 *21100:X 0.000209747 +3 *24208:D *21101:A 0 +4 *24208:RESET_B *21101:A 0.000174175 +5 *24743:D *21101:A 0.000341237 +6 *1845:32 *21101:A 7.34948e-06 +7 *1845:37 *21101:A 5.56461e-05 +*RES +1 *21100:X *21101:A 34.7608 +*END + +*D_NET *2213 0.0023042 +*CONN +*I *21106:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *21103:A2 I *D sky130_fd_sc_hd__o21ba_1 +*I *21102:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *21106:B1 0.000125857 +2 *21103:A2 0.000271182 +3 *21102:Y 0.000421408 +4 *2213:7 0.000818447 +5 *21103:A2 *21105:A 1.04453e-05 +6 *21103:A2 *5473:54 8.50305e-05 +7 *21106:B1 *5811:44 0 +8 *21106:B1 *5812:60 2.57847e-05 +9 *2213:7 *4867:75 0.00015806 +10 *2213:7 *5446:8 0.00011818 +11 *21103:B1_N *21103:A2 2.32447e-05 +12 *24207:RESET_B *21103:A2 9.37259e-05 +13 *1862:33 *21103:A2 6.03133e-05 +14 *1862:33 *21106:B1 5.9708e-05 +15 *1862:33 *2213:7 1.6652e-05 +16 *1862:47 *2213:7 1.61631e-05 +*RES +1 *21102:Y *2213:7 20.5732 +2 *2213:7 *21103:A2 21.7325 +3 *2213:7 *21106:B1 17.135 +*END + +*D_NET *2214 0.00119981 +*CONN +*I *21105:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21104:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21105:A 0.0004725 +2 *21104:X 0.0004725 +3 *21105:A *4867:66 9.82896e-06 +4 *21105:A *4867:75 8.08297e-05 +5 *21105:A *5811:44 0 +6 *21105:A *5812:60 3.14978e-05 +7 *21103:A2 *21105:A 1.04453e-05 +8 *21104:A *21105:A 5.56461e-05 +9 *24207:RESET_B *21105:A 6.65668e-05 +*RES +1 *21104:X *21105:A 36.015 +*END + +*D_NET *2215 0.000796859 +*CONN +*I *21108:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21107:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21108:A 0.000251546 +2 *21107:X 0.000251546 +3 *21108:A *21113:B2 4.31603e-06 +4 *21108:A *2715:29 0.000175484 +5 *21107:A *21108:A 0.000113968 +*RES +1 *21107:X *21108:A 25.3706 +*END + +*D_NET *2216 0.00284883 +*CONN +*I *22121:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *21110:C I *D sky130_fd_sc_hd__and3_1 +*I *22125:B I *D sky130_fd_sc_hd__or2_1 +*I *21109:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *22121:A3 2.68979e-05 +2 *21110:C 0.000380502 +3 *22125:B 0 +4 *21109:Y 5.93115e-05 +5 *2216:13 0.000587884 +6 *2216:6 0.000239796 +7 *21110:C *21110:A 6.08467e-05 +8 *21110:C *22121:B2 4.78069e-06 +9 *20332:B *22121:A3 2.06598e-05 +10 *21111:B1 *21110:C 0.000125212 +11 *22121:A1 *22121:A3 4.02276e-05 +12 *22121:A1 *2216:13 1.03594e-05 +13 *22121:A2 *21110:C 0.000110306 +14 *22121:B1 *21110:C 2.16355e-05 +15 *484:10 *2216:13 2.3526e-05 +16 *484:20 *2216:6 8.01987e-05 +17 *484:20 *2216:13 0.000163465 +18 *484:26 *2216:6 9.32891e-05 +19 *1534:22 *2216:13 9.42598e-05 +20 *1815:73 *2216:6 0.000175055 +21 *1815:73 *2216:13 1.07248e-05 +22 *1815:82 *22121:A3 2.6524e-05 +23 *1815:82 *2216:13 0.000493367 +*RES +1 *21109:Y *2216:6 16.8269 +2 *2216:6 *22125:B 13.7491 +3 *2216:6 *2216:13 8.89128 +4 *2216:13 *21110:C 19.5604 +5 *2216:13 *22121:A3 14.7506 +*END + +*D_NET *2217 0.000546134 +*CONN +*I *21111:A2 I *D sky130_fd_sc_hd__o21ai_4 +*I *21110:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *21111:A2 0.000109463 +2 *21110:X 0.000109463 +3 *21111:A2 *5485:62 6.27718e-05 +4 *21111:A2 *5485:67 6.27782e-05 +5 *21111:B1 *21111:A2 8.57779e-05 +6 *1816:56 *21111:A2 0.00011588 +*RES +1 *21110:X *21111:A2 23.1521 +*END + +*D_NET *2218 0.0173329 +*CONN +*I *21128:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21122:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21113:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21116:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21119:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21125:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21131:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21134:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21112:A I *D sky130_fd_sc_hd__inv_2 +*I *21111:Y O *D sky130_fd_sc_hd__o21ai_4 +*CAP +1 *21128:A2 0.000117034 +2 *21122:A2 0.000126338 +3 *21113:A2 0.000125994 +4 *21116:A2 4.733e-05 +5 *21119:A2 0 +6 *21125:A2 0 +7 *21131:A2 9.31462e-05 +8 *21134:A2 1.95833e-05 +9 *21112:A 0 +10 *21111:Y 0.000401105 +11 *2218:79 0.00048311 +12 *2218:68 0.00075282 +13 *2218:55 0.00112149 +14 *2218:42 0.000902918 +15 *2218:39 0.000574578 +16 *2218:18 0.000300816 +17 *2218:10 0.000372785 +18 *2218:7 0.00078721 +19 *21113:A2 *21113:A1 0.000260235 +20 *21113:A2 *21113:B2 0.00048126 +21 *21113:A2 *21122:B2 6.08467e-05 +22 *21113:A2 *5445:22 0.000186565 +23 *21122:A2 *21122:A1 6.78549e-05 +24 *21122:A2 *21122:B2 0.00031414 +25 *21122:A2 *2864:61 4.02594e-05 +26 *21122:A2 *5445:22 0.000297132 +27 *21128:A2 *21128:A1 2.16355e-05 +28 *21128:A2 *21128:B2 6.08467e-05 +29 *21131:A2 *21131:A1 0.00015574 +30 *21131:A2 *21131:B2 0.0002646 +31 *21131:A2 *21134:A1 1.90281e-05 +32 *21131:A2 *5473:104 0.000470585 +33 *21134:A2 *2219:10 3.31882e-05 +34 *21134:A2 *4937:8 5.41227e-05 +35 *2218:7 *4864:124 0.000158438 +36 *2218:10 *2219:10 1.79807e-05 +37 *2218:10 *2219:27 6.37052e-05 +38 *2218:10 *2803:44 0.000317391 +39 *2218:18 *2219:10 0.000320319 +40 *2218:18 *2803:41 0.000213725 +41 *2218:18 *4937:8 0.000327446 +42 *2218:39 *21128:B2 0.000211573 +43 *2218:39 *2219:27 5.36616e-05 +44 *2218:39 *2803:44 0.00020243 +45 *2218:42 *2922:33 0.000548562 +46 *2218:42 *3177:114 0.000233759 +47 *2218:55 *21124:A 1.65326e-05 +48 *2218:55 *2922:33 5.41227e-05 +49 *2218:55 *3177:114 1.69932e-05 +50 *2218:55 *5791:23 0.00106053 +51 *2218:68 *2219:59 0.00049507 +52 *2218:68 *2219:74 3.38973e-05 +53 *2218:68 *2803:48 6.2182e-05 +54 *2218:68 *5437:23 3.02534e-05 +55 *2218:68 *5791:23 0.000425035 +56 *2218:79 *2219:74 0.000483886 +57 *2218:79 *2803:48 1.60212e-05 +58 *21125:B1 *2218:55 0.000113968 +59 *21134:B1 *21134:A2 1.1246e-05 +60 *24205:D *21116:A2 0.000121693 +61 *448:15 *21122:A2 3.41075e-05 +62 *1427:44 *2218:68 0.000309079 +63 *1427:44 *2218:79 0.000404933 +64 *1755:21 *21128:A2 9.31436e-05 +65 *1755:21 *2218:39 0.000155183 +66 *1786:28 *2218:10 1.2693e-05 +67 *1786:33 *2218:55 0.000107496 +68 *1818:21 *2218:55 0.00106411 +69 *1818:21 *2218:68 0.000417907 +70 *1845:139 *2218:55 0.000109503 +*RES +1 *21111:Y *2218:7 22.237 +2 *2218:7 *2218:10 10.8998 +3 *2218:10 *21112:A 9.24915 +4 *2218:10 *2218:18 12.8717 +5 *2218:18 *21134:A2 14.7506 +6 *2218:18 *21131:A2 19.898 +7 *2218:7 *2218:39 12.4593 +8 *2218:39 *2218:42 14.637 +9 *2218:42 *21125:A2 13.7491 +10 *2218:42 *2218:55 35.5435 +11 *2218:55 *21119:A2 13.7491 +12 *2218:55 *2218:68 28.1999 +13 *2218:68 *21116:A2 15.0271 +14 *2218:68 *2218:79 13.8065 +15 *2218:79 *21113:A2 16.2661 +16 *2218:79 *21122:A2 15.7115 +17 *2218:39 *21128:A2 12.7697 +*END + +*D_NET *2219 0.0140967 +*CONN +*I *21122:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21113:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21116:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21119:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21125:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21128:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21131:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21134:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21112:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21122:B2 6.68027e-05 +2 *21113:B2 0.000178519 +3 *21116:B2 0.000109771 +4 *21119:B2 0.00018065 +5 *21125:B2 0 +6 *21128:B2 0.000102812 +7 *21131:B2 7.57792e-05 +8 *21134:B2 7.44739e-05 +9 *21112:Y 7.14967e-05 +10 *2219:74 0.000501233 +11 *2219:59 0.000738032 +12 *2219:46 0.00131538 +13 *2219:36 0.00106337 +14 *2219:27 0.000747957 +15 *2219:10 0.000376578 +16 *2219:7 0.00064198 +17 *21113:B2 *21113:A1 1.92336e-05 +18 *21113:B2 *2864:61 0.000716623 +19 *21116:B2 *4864:11 4.19683e-05 +20 *21116:B2 *5452:11 5.02666e-05 +21 *21119:B2 *21118:A 1.43848e-05 +22 *21119:B2 *21119:A1 6.27744e-05 +23 *21119:B2 *5082:57 1.28832e-05 +24 *21122:B2 *2864:61 0.00014935 +25 *21131:B2 *21131:A1 1.03434e-05 +26 *21131:B2 *21134:A1 0.000128182 +27 *21131:B2 *5473:104 1.65872e-05 +28 *21134:B2 *21134:A1 6.98337e-06 +29 *21134:B2 *5473:104 6.73022e-05 +30 *2219:10 *4937:8 2.33193e-05 +31 *2219:27 *2803:44 0 +32 *2219:27 *3177:114 9.71143e-05 +33 *2219:27 *4863:128 0 +34 *2219:27 *4937:8 3.00073e-05 +35 *2219:36 *2803:44 0 +36 *2219:36 *3177:114 0.000225986 +37 *2219:46 *21119:A1 0.000108071 +38 *2219:46 *3177:114 0.000220541 +39 *2219:46 *5443:8 3.77206e-05 +40 *2219:59 *21119:A1 3.01683e-06 +41 *2219:59 *2803:44 0.000227612 +42 *2219:59 *2803:48 0 +43 *2219:59 *5791:23 0.000911478 +44 *2219:74 *5791:23 0.000521876 +45 *21108:A *21113:B2 4.31603e-06 +46 *21113:A2 *21113:B2 0.00048126 +47 *21113:A2 *21122:B2 6.08467e-05 +48 *21122:A2 *21122:B2 0.00031414 +49 *21128:A2 *21128:B2 6.08467e-05 +50 *21131:A2 *21131:B2 0.0002646 +51 *21134:A2 *2219:10 3.31882e-05 +52 *21134:B1 *2219:10 0.000118485 +53 *443:10 *2219:7 0.000118166 +54 *552:8 *2219:10 0 +55 *1699:16 *2219:36 9.49485e-05 +56 *1699:16 *2219:46 0.000450176 +57 *1714:24 *21116:B2 0.000317671 +58 *1725:33 *2219:46 0 +59 *1786:28 *2219:10 0 +60 *1818:29 *21116:B2 3.73224e-05 +61 *1845:138 *2219:36 0.000112174 +62 *2218:10 *2219:10 1.79807e-05 +63 *2218:10 *2219:27 6.37052e-05 +64 *2218:18 *2219:10 0.000320319 +65 *2218:39 *21128:B2 0.000211573 +66 *2218:39 *2219:27 5.36616e-05 +67 *2218:68 *2219:59 0.00049507 +68 *2218:68 *2219:74 3.38973e-05 +69 *2218:79 *2219:74 0.000483886 +*RES +1 *21112:Y *2219:7 15.0271 +2 *2219:7 *2219:10 12.1455 +3 *2219:10 *21134:B2 11.6605 +4 *2219:10 *21131:B2 13.3243 +5 *2219:7 *2219:27 9.30653 +6 *2219:27 *21128:B2 16.691 +7 *2219:27 *2219:36 9.72179 +8 *2219:36 *21125:B2 13.7491 +9 *2219:36 *2219:46 27.1297 +10 *2219:46 *21119:B2 13.3243 +11 *2219:46 *2219:59 21.6522 +12 *2219:59 *21116:B2 21.7129 +13 *2219:59 *2219:74 14.8447 +14 *2219:74 *21113:B2 18.3398 +15 *2219:74 *21122:B2 13.3002 +*END + +*D_NET *2220 0.000728707 +*CONN +*I *21115:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21114:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21115:A 0.000318181 +2 *21114:X 0.000318181 +3 *21114:A *21115:A 6.08467e-05 +4 *24205:RESET_B *21115:A 3.14978e-05 +*RES +1 *21114:X *21115:A 25.9252 +*END + +*D_NET *2221 0.0013507 +*CONN +*I *21118:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21117:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21118:A 0.000330634 +2 *21117:X 0.000330634 +3 *21118:A *5082:57 0.000319815 +4 *21117:A *21118:A 0 +5 *21119:B1 *21118:A 5.92192e-05 +6 *21119:B2 *21118:A 1.43848e-05 +7 *24204:RESET_B *21118:A 7.38516e-05 +8 *1438:81 *21118:A 7.02782e-05 +9 *1818:21 *21118:A 0.000151886 +10 *1845:144 *21118:A 0 +*RES +1 *21117:X *21118:A 38.6402 +*END + +*D_NET *2222 0.00330344 +*CONN +*I *21121:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21120:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21121:A 0.000632253 +2 *21120:X 0.000632253 +3 *21121:A *2877:11 0.000548863 +4 *21121:A *5801:73 0.000350024 +5 *24203:RESET_B *21121:A 0.000251669 +6 *1485:112 *21121:A 0.000448551 +7 *1801:52 *21121:A 0.000439827 +*RES +1 *21120:X *21121:A 45.8795 +*END + +*D_NET *2223 0.00129415 +*CONN +*I *21124:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21123:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21124:A 0.000475209 +2 *21123:X 0.000475209 +3 *21124:A *21125:A1 0.000268798 +4 *21125:B1 *21124:A 2.01828e-05 +5 *1845:139 *21124:A 3.82228e-05 +6 *2218:55 *21124:A 1.65326e-05 +*RES +1 *21123:X *21124:A 26.311 +*END + +*D_NET *2224 0.00176997 +*CONN +*I *21127:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21126:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21127:A 0.000625937 +2 *21126:X 0.000625937 +3 *21127:A *21158:A 1.75637e-06 +4 *21127:A *24175:CLK 3.02866e-05 +5 *21127:A *5898:51 4.15897e-05 +6 *21157:A *21127:A 0.000134659 +7 *24201:RESET_B *21127:A 0.000107496 +8 *493:10 *21127:A 0.000114659 +9 *1485:80 *21127:A 4.43444e-05 +10 *1756:25 *21127:A 4.33066e-05 +*RES +1 *21126:X *21127:A 39.5114 +*END + +*D_NET *2225 0.00104812 +*CONN +*I *21130:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21129:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21130:A 0.000283354 +2 *21129:X 0.000283354 +3 *21130:A *4878:40 0.000145569 +4 *21130:A *5916:35 0.000311343 +5 *24200:RESET_B *21130:A 2.44976e-05 +6 *1845:106 *21130:A 0 +*RES +1 *21129:X *21130:A 33.6572 +*END + +*D_NET *2226 0.000554513 +*CONN +*I *21133:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21132:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21133:A 8.01804e-05 +2 *21132:X 8.01804e-05 +3 *21133:A *2405:5 0.000125475 +4 *21132:A *21133:A 0.000237893 +5 *24199:RESET_B *21133:A 3.07848e-05 +*RES +1 *21132:X *21133:A 22.7663 +*END + +*D_NET *2227 0.00137526 +*CONN +*I *21136:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21135:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *21136:A 0.000284768 +2 *21135:X 0.000284768 +3 *21136:A *2263:30 0.00030002 +4 *21136:A *4835:28 0.00030002 +5 *21135:S *21136:A 0.000205687 +*RES +1 *21135:X *21136:A 35.4548 +*END + +*D_NET *2228 0.000693817 +*CONN +*I *21138:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21137:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21138:A 0.000123184 +2 *21137:X 0.000123184 +3 *21138:A *24944:A 0.000132085 +4 *21138:A *2619:19 0.000207868 +5 *21137:A *21138:A 0.000107496 +*RES +1 *21137:X *21138:A 24.2613 +*END + +*D_NET *2229 0.00130397 +*CONN +*I *21140:A I *D sky130_fd_sc_hd__inv_2 +*I *21141:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21139:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *21140:A 0.000168192 +2 *21141:B2 2.24235e-05 +3 *21139:X 0.000126718 +4 *2229:7 0.000317333 +5 *21140:A *2632:27 3.84518e-05 +6 *21140:A *2838:21 0.000260388 +7 *21140:A *5812:50 5.23887e-05 +8 *21140:A *5812:53 1.32509e-05 +9 *2229:7 *5811:38 1.21461e-06 +10 *20064:A *21140:A 4.66492e-05 +11 *20065:A *21140:A 8.89094e-05 +12 *20065:A *21141:B2 5.64867e-05 +13 *24826:RESET_B *2229:7 5.20546e-06 +14 *657:16 *21140:A 1.9101e-05 +15 *657:22 *21140:A 6.08467e-05 +16 *1818:15 *21140:A 5.68225e-06 +17 *1818:15 *21141:B2 2.0732e-05 +*RES +1 *21139:X *2229:7 16.1364 +2 *2229:7 *21141:B2 14.7506 +3 *2229:7 *21140:A 21.7527 +*END + +*D_NET *2230 0.00491399 +*CONN +*I *21141:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *22124:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *22107:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *21140:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21141:A2 0 +2 *22124:A3 0 +3 *22107:A2 0.0002809 +4 *21140:Y 5.36663e-05 +5 *2230:18 0.000979533 +6 *2230:6 0.000752299 +7 *22107:A2 *22107:A1 3.38174e-05 +8 *22107:A2 *5473:69 0 +9 *22107:A2 *5477:26 1.05272e-06 +10 *22107:A2 *5477:31 3.5359e-05 +11 *22107:A2 *5804:25 0.000321905 +12 *22107:A2 *5812:53 5.44567e-05 +13 *2230:6 *5791:8 0.000143912 +14 *2230:18 *21141:A1 9.32927e-05 +15 *2230:18 *2951:21 1.80122e-05 +16 *2230:18 *5474:63 6.87762e-05 +17 *2230:18 *5477:26 3.26264e-06 +18 *2230:18 *5478:12 0.000687725 +19 *2230:18 *5791:8 0.00079455 +20 *2230:18 *5812:53 3.93193e-05 +21 *20072:B1 *2230:18 0.000319954 +22 *24166:D *22107:A2 6.99486e-05 +23 *24168:D *2230:18 5.1493e-06 +24 *1818:15 *2230:6 0.000126934 +25 *1818:15 *2230:18 3.01645e-05 +*RES +1 *21140:Y *2230:6 16.4116 +2 *2230:6 *2230:18 31.6008 +3 *2230:18 *22107:A2 22.1478 +4 *2230:18 *22124:A3 13.7491 +5 *2230:6 *21141:A2 13.7491 +*END + +*D_NET *2231 0.00806572 +*CONN +*I *21145:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *21144:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21145:A 4.12039e-05 +2 *21144:X 0 +3 *2231:6 0.00138004 +4 *2231:5 0.00133884 +5 *21145:A *2232:10 5.20546e-06 +6 *2231:6 *19811:A 8.82194e-05 +7 *2231:6 *2604:6 0.000867015 +8 *2231:6 *2624:21 2.59186e-05 +9 *2231:6 *4106:72 9.57557e-06 +10 *20650:A2 *2231:6 0.000243618 +11 *1569:9 *2231:6 7.86847e-05 +12 *1593:10 *2231:6 0.000541988 +13 *1619:28 *2231:6 0.00122641 +14 *1829:105 *2231:6 0.00106597 +15 *1829:106 *2231:6 3.42564e-05 +16 *2024:17 *2231:6 0.000529234 +17 *2024:21 *2231:6 0.000183932 +18 *2058:18 *2231:6 0.000405614 +*RES +1 *21144:X *2231:5 13.7491 +2 *2231:5 *2231:6 61.6283 +3 *2231:6 *21145:A 14.4725 +*END + +*D_NET *2232 0.0161799 +*CONN +*I *21150:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21148:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21151:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21146:A I *D sky130_fd_sc_hd__inv_2 +*I *21147:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21153:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21149:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21152:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21154:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21145:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21150:A2 0 +2 *21148:A2 0 +3 *21151:A2 8.65456e-05 +4 *21146:A 0.000191363 +5 *21147:A2 0 +6 *21153:A2 2.91059e-05 +7 *21149:A2 0 +8 *21152:A2 7.0876e-05 +9 *21154:A2 1.47608e-05 +10 *21145:X 0.000625771 +11 *2232:78 0.000790364 +12 *2232:67 0.000943442 +13 *2232:59 0.000768494 +14 *2232:46 0.000751671 +15 *2232:39 0.000736176 +16 *2232:36 0.000500297 +17 *2232:22 0.000487566 +18 *2232:10 0.000908042 +19 *21146:A *2233:7 3.82228e-05 +20 *21151:A2 *21151:A1 6.08467e-05 +21 *21151:A2 *4841:33 1.03403e-05 +22 *21151:A2 *4844:26 0.000222684 +23 *21151:A2 *4885:29 0.000222684 +24 *21152:A2 *21767:A 0.000164829 +25 *21152:A2 *24189:CLK 6.50586e-05 +26 *21152:A2 *24398:RESET_B 3.8122e-05 +27 *21152:A2 *24398:CLK 0.000199527 +28 *21152:A2 *5182:7 0 +29 *21153:A2 *21153:A1 0.000160617 +30 *21153:A2 *21153:B1 0.000160617 +31 *21154:A2 *21154:A1 6.08467e-05 +32 *2232:10 *4842:52 0.000139435 +33 *2232:10 *5177:5 2.22317e-05 +34 *2232:10 *5815:24 0.000143032 +35 *2232:22 *21154:A1 0.000154145 +36 *2232:22 *2468:8 0.000511622 +37 *2232:22 *2783:8 0.000306467 +38 *2232:22 *4842:52 7.77675e-05 +39 *2232:36 *21150:A1 0.000167076 +40 *2232:36 *2468:8 9.39667e-05 +41 *2232:36 *4842:52 0.000334255 +42 *2232:36 *4881:169 1.65872e-05 +43 *2232:39 *2468:8 0 +44 *2232:39 *4869:118 7.01913e-05 +45 *2232:39 *4884:42 0.000571163 +46 *2232:39 *4884:44 0.000191541 +47 *2232:46 *4869:118 0.000245105 +48 *2232:46 *4884:40 0.000201734 +49 *2232:46 *4884:42 0.000174206 +50 *2232:46 *4884:114 0.000559208 +51 *2232:46 *5185:8 9.60366e-05 +52 *2232:59 *2233:12 0 +53 *2232:59 *4869:118 0.00058243 +54 *2232:59 *4884:114 4.65133e-05 +55 *2232:67 *24585:CLK 0.000148129 +56 *2232:67 *2233:10 5.68225e-06 +57 *2232:67 *2233:12 1.77537e-06 +58 *2232:67 *4844:26 0.000916795 +59 *2232:67 *4869:118 9.57557e-06 +60 *2232:67 *4885:31 7.06708e-05 +61 *2232:67 *4885:35 0.000574518 +62 *2232:78 *21147:A1 0.000158002 +63 *2232:78 *2624:6 0 +64 *2232:78 *4845:21 0.00015607 +65 *2232:78 *4869:118 0.000770928 +66 *21145:A *2232:10 5.20546e-06 +67 *24188:D *2232:59 5.53934e-05 +68 *24191:D *2232:39 8.85525e-05 +69 *657:218 *21154:A2 6.08467e-05 +70 *657:218 *2232:22 0.000144173 +*RES +1 *21145:X *2232:10 28.2903 +2 *2232:10 *21154:A2 9.97254 +3 *2232:10 *2232:22 16.3719 +4 *2232:22 *21152:A2 17.2697 +5 *2232:22 *2232:36 10.6561 +6 *2232:36 *2232:39 17.9591 +7 *2232:39 *21149:A2 13.7491 +8 *2232:39 *2232:46 16.3658 +9 *2232:46 *21153:A2 15.5817 +10 *2232:46 *2232:59 12.0179 +11 *2232:59 *2232:67 22.8932 +12 *2232:67 *21147:A2 9.24915 +13 *2232:67 *2232:78 26.1243 +14 *2232:78 *21146:A 13.3002 +15 *2232:78 *21151:A2 22.8808 +16 *2232:59 *21148:A2 13.7491 +17 *2232:36 *21150:A2 9.24915 +*END + +*D_NET *2233 0.0118968 +*CONN +*I *21151:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21147:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21148:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21153:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21149:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21150:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21152:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21154:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21146:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21151:B2 0.000157666 +2 *21147:B2 0 +3 *21148:B2 3.57807e-05 +4 *21153:B2 0.000198889 +5 *21149:B2 3.29888e-05 +6 *21150:B2 0 +7 *21152:B2 1.53521e-05 +8 *21154:B2 0.000259684 +9 *21146:Y 8.88952e-05 +10 *2233:26 0.000494847 +11 *2233:19 0.000509189 +12 *2233:14 0.000687123 +13 *2233:12 0.000868539 +14 *2233:10 0.000670136 +15 *2233:8 0.000577491 +16 *2233:7 0.000494592 +17 *21151:B2 *4841:33 1.30021e-05 +18 *21151:B2 *4844:26 7.26165e-05 +19 *21151:B2 *4884:117 0.000240119 +20 *21152:B2 *24189:CLK 6.08467e-05 +21 *21152:B2 *5182:7 2.65667e-05 +22 *21153:B2 *21153:A1 6.50586e-05 +23 *21153:B2 *21153:B1 1.49045e-05 +24 *21154:B2 *4374:40 8.85525e-05 +25 *21154:B2 *4700:16 9.17602e-05 +26 *21154:B2 *5856:28 2.24484e-05 +27 *21154:B2 *5856:33 0.000516749 +28 *2233:8 *4844:26 0.000126285 +29 *2233:8 *4884:117 0.000711565 +30 *2233:8 *6019:9 0.000179271 +31 *2233:10 *4844:26 0.000403396 +32 *2233:10 *4884:117 0.00093052 +33 *2233:12 *4884:114 0.000113333 +34 *2233:12 *4884:117 0.000393344 +35 *2233:12 *6020:16 0.000118233 +36 *2233:14 *4884:40 9.02862e-05 +37 *2233:14 *4884:42 8.01741e-05 +38 *2233:14 *4884:114 0.000225475 +39 *2233:14 *5868:349 0.000109859 +40 *2233:14 *6020:16 0.000350368 +41 *2233:19 *4842:42 0.000381637 +42 *2233:19 *4884:42 0.000162352 +43 *2233:19 *4884:44 9.2346e-06 +44 *2233:19 *5856:28 0.000123582 +45 *2233:19 *5868:317 1.65872e-05 +46 *2233:19 *5868:333 5.66868e-06 +47 *2233:19 *5868:349 0.00061642 +48 *2233:26 *24189:RESET_B 2.16355e-05 +49 *2233:26 *4700:16 9.14201e-05 +50 *2233:26 *5856:28 5.61454e-05 +51 *2233:26 *5868:317 2.16355e-05 +52 *2233:26 *5868:333 5.56367e-05 +53 *21146:A *2233:7 3.82228e-05 +54 *24190:D *21151:B2 1.03403e-05 +55 *24192:D *21149:B2 2.16355e-05 +56 *24194:D *2233:8 5.62448e-05 +57 *657:218 *21154:B2 6.50586e-05 +58 *2232:59 *2233:12 0 +59 *2232:67 *2233:10 5.68225e-06 +60 *2232:67 *2233:12 1.77537e-06 +*RES +1 *21146:Y *2233:7 15.0271 +2 *2233:7 *2233:8 12.6286 +3 *2233:8 *2233:10 16.3658 +4 *2233:10 *2233:12 11.3828 +5 *2233:12 *2233:14 15.5353 +6 *2233:14 *2233:19 18.6825 +7 *2233:19 *2233:26 10.4191 +8 *2233:26 *21154:B2 24.1943 +9 *2233:26 *21152:B2 14.4725 +10 *2233:19 *21150:B2 9.24915 +11 *2233:14 *21149:B2 14.4725 +12 *2233:12 *21153:B2 17.125 +13 *2233:10 *21148:B2 14.4725 +14 *2233:8 *21147:B2 13.7491 +15 *2233:7 *21151:B2 19.3748 +*END + +*D_NET *2234 0.00136849 +*CONN +*I *21158:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21157:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21158:A 0.000426071 +2 *21157:X 0.000426071 +3 *21158:A *5671:32 0.000143032 +4 *21158:A *5898:51 5.81224e-05 +5 *21158:A *5898:63 0.000166875 +6 *21127:A *21158:A 1.75637e-06 +7 *24175:SET_B *21158:A 7.14746e-05 +8 *1744:108 *21158:A 3.17266e-05 +9 *1756:25 *21158:A 4.33655e-05 +*RES +1 *21157:X *21158:A 35.8756 +*END + +*D_NET *2235 0.000734338 +*CONN +*I *21160:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21159:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21160:A 0.000122464 +2 *21159:X 0.000122464 +3 *21160:A *24208:CLK 8.92568e-06 +4 *21160:A *5804:28 0.000339738 +5 *1862:47 *21160:A 0.000140745 +*RES +1 *21159:X *21160:A 33.4828 +*END + +*D_NET *2236 0.00103126 +*CONN +*I *21162:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21161:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21162:A 0.000200509 +2 *21161:X 0.000200509 +3 *21162:A *2951:21 2.23259e-05 +4 *21162:A *2955:17 0.000263084 +5 *21162:A *4937:8 0.000344834 +6 *1522:46 *21162:A 0 +*RES +1 *21161:X *21162:A 34.7608 +*END + +*D_NET *2237 0.000252055 +*CONN +*I *21164:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21163:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21164:A 0.000106721 +2 *21163:X 0.000106721 +3 *21164:A *5473:86 3.86121e-05 +*RES +1 *21163:X *21164:A 21.3195 +*END + +*D_NET *2238 0.000637823 +*CONN +*I *21166:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21165:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21166:A 0.000205642 +2 *21165:X 0.000205642 +3 *21166:A *20154:A1 5.22654e-06 +4 *21166:A *5483:15 6.80864e-05 +5 *21166:A *5814:18 0.000153225 +*RES +1 *21165:X *21166:A 33.1026 +*END + +*D_NET *2239 0.000537992 +*CONN +*I *21168:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21167:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21168:A 0.000126937 +2 *21167:X 0.000126937 +3 *21168:A *2510:11 6.49003e-05 +4 *21168:A *4867:24 0.000111722 +5 *21167:A *21168:A 0.000107496 +*RES +1 *21167:X *21168:A 22.5975 +*END + +*D_NET *2240 0.0234036 +*CONN +*I *21170:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *21169:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *21170:A 0.000681061 +2 *21169:X 0.000107431 +3 *2240:20 0.00205191 +4 *2240:19 0.00210124 +5 *2240:8 0.00240678 +6 *2240:7 0.00178382 +7 *21170:A *2333:27 0.000114842 +8 *21170:A *2759:11 0.000104809 +9 *2240:7 *4845:349 0.000162663 +10 *2240:8 *2384:103 0.000300857 +11 *2240:8 *2428:63 0.00070596 +12 *2240:8 *3177:50 0.000183855 +13 *2240:8 *6028:93 0.000533019 +14 *2240:19 *24282:RESET_B 8.86331e-05 +15 *2240:19 *24283:RESET_B 0.000246817 +16 *2240:19 *3177:50 0.000158077 +17 *2240:19 *3212:73 0.00196057 +18 *2240:19 *5871:805 6.50727e-05 +19 *2240:19 *5969:5 3.91317e-05 +20 *2240:20 *19629:B1 0.000258545 +21 *2240:20 *24356:CLK 0.000546486 +22 *2240:20 *2738:14 0.000239258 +23 *2240:20 *2759:12 0.00353461 +24 *2240:20 *4875:92 0.000170607 +25 *2240:20 *4913:29 0 +26 *2240:20 *5853:392 0.000623402 +27 *20821:A *2240:8 4.15201e-05 +28 *24889:D *2240:20 3.77804e-05 +29 *512:56 *2240:8 0.000111693 +30 *518:58 *2240:8 0.000555357 +31 *518:62 *2240:8 0.00113423 +32 *529:63 *2240:19 0.00196057 +33 *1419:8 *2240:19 2.21765e-05 +34 *1430:8 *2240:20 0.000226281 +35 *1430:55 *2240:20 0.000144531 +*RES +1 *21169:X *2240:7 15.5817 +2 *2240:7 *2240:8 54.569 +3 *2240:8 *2240:19 48.5909 +4 *2240:19 *2240:20 63.2893 +5 *2240:20 *21170:A 28.8922 +*END + +*D_NET *2241 0.00680575 +*CONN +*I *21173:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21172:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21174:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21171:A I *D sky130_fd_sc_hd__inv_2 +*I *21175:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *21170:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21173:A2 0 +2 *21172:A2 0.000162498 +3 *21174:A2 0 +4 *21171:A 0.000129589 +5 *21175:A2 0.000655035 +6 *21170:X 0.00031816 +7 *2241:18 0.000893386 +8 *2241:16 0.000324113 +9 *2241:13 0.000597252 +10 *2241:8 0.000537564 +11 *21171:A *24162:CLK 0.000127288 +12 *21172:A2 *21172:B1 0.000148352 +13 *21172:A2 *21172:B2 0.000164829 +14 *21175:A2 *21175:B1 3.07897e-05 +15 *21175:A2 *21175:B2 0.000603914 +16 *21175:A2 *5798:11 0.000123582 +17 *2241:8 *21866:A 0.00016345 +18 *2241:8 *3017:8 0 +19 *2241:8 *4912:12 4.01437e-05 +20 *2241:13 *21172:B2 0.000113968 +21 *2241:13 *21173:B2 0.000325416 +22 *2241:13 *21866:A 3.31882e-05 +23 *2241:13 *23938:A1 0.000111708 +24 *2241:13 *2242:32 3.31882e-05 +25 *2241:13 *3017:8 0 +26 *2241:13 *4913:7 2.41274e-06 +27 *2241:13 *5959:68 0.000168692 +28 *2241:16 *21172:B1 5.17598e-05 +29 *2241:16 *23938:A1 7.50722e-05 +30 *2241:16 *2242:32 0.000178172 +31 *2241:16 *3017:8 0.000101133 +32 *2241:16 *5798:11 0.000304746 +33 *2241:18 *21175:B2 1.72645e-05 +34 *2241:18 *2242:19 3.82612e-05 +35 *2241:18 *2242:32 1.59362e-06 +36 *2241:18 *5798:11 0.000215732 +37 *24161:D *21175:A2 1.3501e-05 +*RES +1 *21170:X *2241:8 21.4325 +2 *2241:8 *2241:13 13.5629 +3 *2241:13 *2241:16 13.8065 +4 *2241:16 *2241:18 3.90826 +5 *2241:18 *21175:A2 26.7509 +6 *2241:18 *21171:A 17.2456 +7 *2241:16 *21174:A2 13.7491 +8 *2241:13 *21172:A2 14.0477 +9 *2241:8 *21173:A2 13.7491 +*END + +*D_NET *2242 0.00504576 +*CONN +*I *21173:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21172:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21174:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21175:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *21171:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21173:B2 0.000369485 +2 *21172:B2 8.62277e-05 +3 *21174:B2 2.31627e-05 +4 *21175:B2 0.00034618 +5 *21171:Y 7.46627e-05 +6 *2242:32 0.000789634 +7 *2242:19 0.000434987 +8 *2242:7 0.000498745 +9 *21173:B2 *21173:B1 2.65667e-05 +10 *21173:B2 *3017:8 9.4477e-05 +11 *21173:B2 *5789:11 0.000111708 +12 *21174:B2 *21174:B1 6.27718e-05 +13 *21175:B2 *21175:B1 7.66789e-05 +14 *21175:B2 *5798:11 0 +15 *21175:B2 *5861:501 6.08467e-05 +16 *21175:B2 *5959:59 9.60135e-05 +17 *2242:7 *24162:CLK 0.000113968 +18 *2242:19 *5959:59 6.20216e-05 +19 *2242:32 *23938:A1 7.14746e-05 +20 *2242:32 *3017:8 4.3116e-06 +21 *2242:32 *5959:59 8.89961e-05 +22 *2242:32 *5959:68 4.07936e-05 +23 *6150:DIODE *21175:B2 3.14978e-05 +24 *21172:A2 *21172:B2 0.000164829 +25 *21175:A2 *21175:B2 0.000603914 +26 *24163:D *21173:B2 0 +27 *520:33 *21173:B2 3.94161e-06 +28 *2241:13 *21172:B2 0.000113968 +29 *2241:13 *21173:B2 0.000325416 +30 *2241:13 *2242:32 3.31882e-05 +31 *2241:16 *2242:32 0.000178172 +32 *2241:18 *21175:B2 1.72645e-05 +33 *2241:18 *2242:19 3.82612e-05 +34 *2241:18 *2242:32 1.59362e-06 +*RES +1 *21171:Y *2242:7 15.0271 +2 *2242:7 *21175:B2 26.1694 +3 *2242:7 *2242:19 2.6625 +4 *2242:19 *21174:B2 14.4725 +5 *2242:19 *2242:32 10.6256 +6 *2242:32 *21172:B2 16.691 +7 *2242:32 *21173:B2 23.6641 +*END + +*D_NET *2243 0.0241722 +*CONN +*I *22400:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21181:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22708:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21176:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22400:B1 2.41021e-05 +2 *21181:A1 0.000112485 +3 *22708:B1 0.000345838 +4 *21176:Y 0 +5 *2243:28 0.00163484 +6 *2243:22 0.00144766 +7 *2243:14 0.00203464 +8 *2243:12 0.0021738 +9 *2243:8 0.00190836 +10 *2243:5 0.00152216 +11 *21181:A1 *21188:A 0.000107496 +12 *21181:A1 *21417:C1 3.98097e-05 +13 *22400:B1 *22400:B2 1.41976e-05 +14 *22400:B1 *22718:A1 2.16355e-05 +15 *22708:B1 *21188:B 8.42542e-05 +16 *22708:B1 *21417:B1 0.000119447 +17 *22708:B1 *2293:44 1.01851e-05 +18 *22708:B1 *2474:37 0.000183111 +19 *22708:B1 *3300:8 0.000183046 +20 *22708:B1 *3466:185 0.000589707 +21 *22708:B1 *3474:195 0.000298399 +22 *22708:B1 *3476:184 0.000112278 +23 *2243:8 *20210:B1 0.000160202 +24 *2243:8 *20918:B1 8.74104e-05 +25 *2243:8 *22394:D 3.29488e-05 +26 *2243:8 *22686:B1 1.5714e-05 +27 *2243:8 *2252:43 8.46032e-05 +28 *2243:8 *2421:26 0.00144876 +29 *2243:8 *2421:32 1.04192e-05 +30 *2243:8 *4844:152 0.00121273 +31 *2243:12 *21441:C1 1.16164e-05 +32 *2243:12 *22394:D 0.000103303 +33 *2243:12 *22404:B 0.000133978 +34 *2243:12 *2421:32 2.28965e-05 +35 *2243:12 *2421:42 1.05456e-05 +36 *2243:14 *21441:C1 0.00043947 +37 *2243:14 *2249:38 0.00209857 +38 *2243:14 *2320:81 4.15661e-05 +39 *2243:14 *2421:42 2.25323e-05 +40 *2243:14 *2485:36 1.43361e-05 +41 *2243:14 *2498:38 0.0021277 +42 *2243:14 *3111:142 2.00353e-05 +43 *2243:14 *3632:19 9.88e-05 +44 *2243:22 *22399:B 7.40684e-06 +45 *2243:22 *22400:C1 0.000134817 +46 *2243:22 *2949:71 0.000182008 +47 *2243:22 *3111:142 0.000194023 +48 *2243:22 *3122:115 0.000182008 +49 *2243:22 *3620:14 1.3706e-05 +50 *2243:28 *21180:B1 2.41274e-06 +51 *2243:28 *21188:B 0.000521893 +52 *2243:28 *21417:B1 2.77564e-05 +53 *2243:28 *22400:B2 4.46277e-05 +54 *2243:28 *22718:A1 6.46827e-05 +55 *2243:28 *2244:73 0.000147008 +56 *2243:28 *2306:75 0.000115864 +57 *2243:28 *2472:27 0.000125842 +58 *2243:28 *2476:8 9.54065e-06 +59 *2243:28 *3124:242 5.35941e-05 +60 *2243:28 *3358:11 2.91038e-05 +61 *21417:B2 *21181:A1 1.9633e-05 +62 *24764:D *2243:8 0.000109954 +63 *1573:166 *21181:A1 8.67924e-06 +64 *1725:201 *2243:8 0.000230992 +65 *1884:64 *2243:12 2.37478e-05 +66 *1884:71 *2243:8 0.000231869 +67 *1884:71 *2243:12 0.000481804 +68 *2117:77 *2243:22 4.36e-05 +*RES +1 *21176:Y *2243:5 13.7491 +2 *2243:5 *2243:8 46.7892 +3 *2243:8 *2243:12 11.4927 +4 *2243:12 *2243:14 55.1919 +5 *2243:14 *2243:22 15.6328 +6 *2243:22 *2243:28 28.1295 +7 *2243:28 *22708:B1 26.9729 +8 *2243:28 *21181:A1 16.7151 +9 *2243:22 *22400:B1 9.97254 +*END + +*D_NET *2244 0.0673633 +*CONN +*I *21181:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *23924:B I *D sky130_fd_sc_hd__nor2_2 +*I *21177:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *21181:B1 0.000374958 +2 *23924:B 0.00375281 +3 *21177:Y 0.00527799 +4 *2244:73 0.00361734 +5 *2244:36 0.00662702 +6 *2244:19 0.0113946 +7 *21181:B1 *21181:C1 6.36477e-05 +8 *21181:B1 *2294:64 0.00028282 +9 *21181:B1 *2501:22 0.000289772 +10 *23924:B *21623:B1 0.000523409 +11 *23924:B *22005:A1 1.43499e-05 +12 *23924:B *22481:C1 0.000302934 +13 *23924:B *22790:A1 0.000301713 +14 *23924:B *22798:B1 2.78645e-05 +15 *23924:B *2704:72 0.00159748 +16 *23924:B *2708:44 0.000119866 +17 *23924:B *2959:35 6.22482e-05 +18 *23924:B *3114:96 0.0012899 +19 *23924:B *3134:62 8.12259e-06 +20 *23924:B *3146:65 4.3663e-05 +21 *23924:B *3157:91 0.000123411 +22 *23924:B *3166:82 6.37671e-05 +23 *23924:B *3428:23 3.91944e-05 +24 *23924:B *3509:81 0.000106621 +25 *23924:B *3511:63 8.66643e-06 +26 *23924:B *3517:53 0.000207873 +27 *23924:B *3523:74 0.00173361 +28 *2244:19 *21177:A 4.51062e-05 +29 *2244:19 *21194:B1 0 +30 *2244:19 *22310:B2 7.84467e-06 +31 *2244:19 *24335:SET_B 5.55383e-05 +32 *2244:19 *2282:78 0.000419113 +33 *2244:19 *2291:32 4.51062e-05 +34 *2244:19 *2383:25 0.00097008 +35 *2244:19 *2391:34 1.2128e-05 +36 *2244:19 *2459:29 0.00146527 +37 *2244:19 *2545:67 0.000401381 +38 *2244:19 *3471:15 0.00036073 +39 *2244:19 *4814:77 0.00130465 +40 *2244:19 *4823:94 6.23101e-05 +41 *2244:19 *5855:272 0.00202535 +42 *2244:19 *5862:146 0.000461092 +43 *2244:19 *5874:133 0.000719621 +44 *2244:19 *5898:191 6.23101e-05 +45 *2244:36 *21624:A1 0.00176602 +46 *2244:36 *22645:B1 0.000630376 +47 *2244:36 *2894:60 5.01835e-05 +48 *2244:36 *3019:23 3.55859e-05 +49 *2244:36 *3052:77 5.4678e-05 +50 *2244:36 *3071:57 3.91685e-05 +51 *2244:36 *3128:46 0.00299304 +52 *2244:36 *3206:146 0.000488587 +53 *2244:36 *3487:133 0.000470744 +54 *2244:36 *3500:99 6.74182e-05 +55 *2244:36 *3500:105 2.57465e-06 +56 *2244:36 *3533:38 8.05411e-05 +57 *2244:36 *4814:77 3.54099e-05 +58 *2244:36 *5874:133 0.00118692 +59 *2244:73 *21328:C 0.000109235 +60 *2244:73 *21658:B1 0.000252934 +61 *2244:73 *22376:A1 0.000802224 +62 *2244:73 *22378:A1 0.000127512 +63 *2244:73 *22401:B1 0.000112892 +64 *2244:73 *2258:64 4.15661e-05 +65 *2244:73 *2389:96 0.000109235 +66 *2244:73 *2456:30 2.19779e-05 +67 *2244:73 *2472:27 0.000142244 +68 *2244:73 *3139:156 0.000159964 +69 *2244:73 *3148:72 0.000230852 +70 *2244:73 *3177:288 4.49912e-05 +71 *2244:73 *3198:170 0.00044694 +72 *2244:73 *3483:124 0.00030534 +73 *2244:73 *3496:142 1.19038e-05 +74 *2244:73 *3531:43 0.00100026 +75 *2244:73 *3541:29 0.00275388 +76 *2244:73 *3543:75 0.000130571 +77 *21242:A2 *2244:73 0.000527275 +78 *21476:A2 *2244:19 3.43802e-05 +79 *22334:A2 *2244:36 9.18088e-05 +80 *537:29 *2244:19 6.55917e-05 +81 *1450:145 *2244:19 0.000133572 +82 *1459:110 *23924:B 5.60804e-05 +83 *1544:133 *23924:B 1.86819e-05 +84 *1554:85 *2244:73 0.000698609 +85 *1570:15 *23924:B 0.000421524 +86 *1570:50 *23924:B 0.00139403 +87 *1573:166 *21181:B1 3.41459e-05 +88 *1573:183 *21181:B1 4.50334e-05 +89 *1649:11 *23924:B 0.000204366 +90 *1717:102 *2244:73 0.000365052 +91 *1717:106 *2244:73 0.000153427 +92 *2093:136 *2244:73 0.000632524 +93 *2117:20 *2244:19 0.000821729 +94 *2117:45 *2244:19 0.000139455 +95 *2243:28 *2244:73 0.000147008 +*RES +1 *21177:Y *2244:19 33.4766 +2 *2244:19 *2244:36 48.3579 +3 *2244:36 *23924:B 30.8153 +4 *2244:19 *2244:73 46.4032 +5 *2244:73 *21181:B1 23.6694 +*END + +*D_NET *2245 0.0370121 +*CONN +*I *22402:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21180:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21178:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22402:B1 0.000574868 +2 *21180:A1 0.000218308 +3 *21178:Y 0.00188806 +4 *2245:34 0.00116162 +5 *2245:27 0.00157835 +6 *2245:24 0.00316694 +7 *2245:23 0.00220797 +8 *2245:17 0.00127907 +9 *2245:11 0.00217923 +10 *2245:7 0.00303916 +11 *21180:A1 *21180:B1 0.000161262 +12 *21180:A1 *22718:B2 2.93365e-05 +13 *21180:A1 *22719:C1 0.000110473 +14 *21180:A1 *2246:30 4.09154e-05 +15 *21180:A1 *2472:27 6.84784e-06 +16 *21180:A1 *2476:8 1.64564e-05 +17 *21180:A1 *3493:45 2.16355e-05 +18 *22402:B1 *21235:A1 0.000154145 +19 *22402:B1 *22402:A2 7.84548e-05 +20 *22402:B1 *22402:B2 2.16355e-05 +21 *22402:B1 *22716:A1 0.000200794 +22 *22402:B1 *22716:B1 0.000158451 +23 *22402:B1 *22717:A1 1.65872e-05 +24 *22402:B1 *22717:A2 6.3657e-05 +25 *22402:B1 *22717:B1 6.63616e-05 +26 *22402:B1 *22717:B2 1.65872e-05 +27 *22402:B1 *22717:C1 3.82228e-05 +28 *22402:B1 *22719:C1 6.08467e-05 +29 *22402:B1 *2274:115 9.80242e-07 +30 *22402:B1 *2294:64 0.000103246 +31 *22402:B1 *2355:53 2.61574e-05 +32 *22402:B1 *3315:18 8.42687e-05 +33 *22402:B1 *3358:11 6.3657e-05 +34 *2245:7 *20918:B1 0.000124869 +35 *2245:7 *24933:A 2.78407e-05 +36 *2245:7 *2258:22 0.000241681 +37 *2245:7 *4860:38 0.000107496 +38 *2245:7 *4872:8 5.44807e-05 +39 *2245:11 *20918:B1 0.000708057 +40 *2245:11 *21301:A 1.41291e-05 +41 *2245:11 *2363:20 0.0002646 +42 *2245:11 *2384:11 0.000168434 +43 *2245:11 *2797:19 0.000799362 +44 *2245:11 *5853:545 0.000104084 +45 *2245:17 *24326:RESET_B 0.000469791 +46 *2245:17 *2306:12 0.000175689 +47 *2245:17 *2321:14 0.000102588 +48 *2245:17 *2321:26 0.000969409 +49 *2245:17 *2373:14 7.13972e-05 +50 *2245:17 *4826:68 8.80519e-05 +51 *2245:17 *5861:330 0.000136733 +52 *2245:17 *5865:301 0.000561339 +53 *2245:23 *4823:47 6.81788e-05 +54 *2245:23 *5857:93 0.000132804 +55 *2245:24 *20656:B1 5.49916e-05 +56 *2245:24 *2262:102 0.00141678 +57 *2245:24 *4824:122 0.000342653 +58 *2245:24 *4826:74 0.000437871 +59 *2245:24 *5861:330 0.00125966 +60 *2245:27 *22396:A1 0.00044694 +61 *2245:27 *22722:A2 0.000347858 +62 *2245:27 *22722:B2 6.08467e-05 +63 *2245:27 *22723:C1 0.000178627 +64 *2245:27 *2305:49 0.000345048 +65 *2245:27 *3306:29 0.000298399 +66 *2245:27 *5859:172 0.000254541 +67 *2245:34 *22719:C1 2.09495e-05 +68 *2245:34 *2476:8 0.000422044 +69 *2245:34 *3543:75 0.000289724 +70 *2245:34 *3543:97 1.72347e-05 +71 *20918:A2 *2245:11 7.48633e-05 +72 *20940:B2 *2245:27 7.04982e-05 +73 *20963:A2 *2245:24 4.49767e-05 +74 *21180:B2 *22402:B1 3.99086e-06 +75 *21294:B2 *21180:A1 1.9101e-05 +76 *21294:B2 *2245:34 9.00098e-05 +77 *24296:D *2245:17 0.000164733 +78 *24301:D *2245:24 0.000172431 +79 *24327:D *2245:11 7.02172e-06 +80 *478:54 *2245:23 0.000140457 +81 *480:12 *2245:17 0.000659908 +82 *480:26 *2245:27 0.000680313 +83 *482:72 *2245:27 0.000296064 +84 *482:79 *2245:27 0.00140632 +85 *502:14 *2245:24 0.00168908 +86 *1564:117 *22402:B1 4.47134e-05 +87 *1610:74 *2245:27 0.000107496 +88 *1647:68 *22402:B1 9.96332e-05 +89 *1679:64 *2245:27 7.87842e-05 +90 *2093:136 *2245:34 1.91391e-05 +91 *2156:67 *2245:27 3.8122e-05 +92 *2161:17 *2245:23 6.08467e-05 +93 *2161:17 *2245:24 9.19873e-05 +94 *2161:34 *2245:24 0.00053188 +*RES +1 *21178:Y *2245:7 36.6176 +2 *2245:7 *2245:11 36.2812 +3 *2245:11 *2245:17 47.6851 +4 *2245:17 *2245:23 15.7135 +5 *2245:23 *2245:24 70.7639 +6 *2245:24 *2245:27 49.5917 +7 *2245:27 *2245:34 15.5408 +8 *2245:34 *21180:A1 19.8076 +9 *2245:34 *22402:B1 46.3417 +*END + +*D_NET *2246 0.016236 +*CONN +*I *22393:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21180:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22718:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21179:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22393:B1 1.47608e-05 +2 *21180:B1 0.000174394 +3 *22718:B1 0 +4 *21179:Y 0.0014401 +5 *2246:30 0.00255848 +6 *2246:14 0.00383895 +7 *21180:B1 *3358:11 0.000442653 +8 *21180:B1 *3493:45 2.137e-05 +9 *22393:B1 *22393:A2 6.08467e-05 +10 *22393:B1 *22393:C1 6.08467e-05 +11 *2246:14 *22389:A1 1.25417e-05 +12 *2246:14 *2305:16 0.000777835 +13 *2246:14 *2360:45 0.00025175 +14 *2246:14 *2433:31 0.000118828 +15 *2246:14 *2495:10 0.000110505 +16 *2246:14 *3114:275 0.000107496 +17 *2246:14 *3305:8 0.000106833 +18 *2246:14 *3343:22 6.22114e-05 +19 *2246:14 *5893:18 5.88009e-05 +20 *2246:30 *22686:B1 0.00018632 +21 *2246:30 *22718:B2 3.63593e-05 +22 *2246:30 *22722:B1 0.00127523 +23 *2246:30 *2252:43 0 +24 *2246:30 *2274:95 6.98716e-05 +25 *2246:30 *2297:86 0.000565853 +26 *2246:30 *2415:64 5.60804e-05 +27 *2246:30 *2417:82 2.40234e-05 +28 *2246:30 *2451:48 0.000343466 +29 *2246:30 *2495:10 8.56016e-05 +30 *2246:30 *2530:90 0.0013345 +31 *2246:30 *3305:8 8.56016e-05 +32 *2246:30 *3541:29 3.13555e-05 +33 *2246:30 *3543:75 0.000374532 +34 *20209:A2 *2246:14 3.90534e-05 +35 *21180:A1 *21180:B1 0.000161262 +36 *21180:A1 *2246:30 4.09154e-05 +37 *21180:B2 *21180:B1 3.15947e-05 +38 *510:41 *2246:30 0.000539038 +39 *1602:161 *2246:30 0.000478789 +40 *1618:149 *2246:30 0.000254957 +41 *2243:28 *21180:B1 2.41274e-06 +*RES +1 *21179:Y *2246:14 47.1317 +2 *2246:14 *2246:30 23.6264 +3 *2246:30 *22718:B1 9.24915 +4 *2246:30 *21180:B1 15.5668 +5 *2246:14 *22393:B1 14.4725 +*END + +*D_NET *2247 0.00218573 +*CONN +*I *21181:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21180:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21181:C1 0.000508249 +2 *21180:X 0.000508249 +3 *21181:C1 *21235:C1 3.34384e-05 +4 *21181:C1 *22401:A2 0.000211625 +5 *21181:C1 *22401:B1 1.9101e-05 +6 *21181:C1 *2294:64 0.000604657 +7 *21181:C1 *3177:298 6.11393e-06 +8 *21181:C1 *3206:190 0.000191722 +9 *21181:C1 *3493:45 1.72919e-05 +10 *21181:B1 *21181:C1 6.36477e-05 +11 *1573:183 *21181:C1 2.16355e-05 +*RES +1 *21180:X *21181:C1 41.6807 +*END + +*D_NET *2248 0.000624256 +*CONN +*I *21188:A I *D sky130_fd_sc_hd__nand2_1 +*I *21181:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21188:A 0.000159295 +2 *21181:X 0.000159295 +3 *21188:A *3493:45 0.000101489 +4 *21181:A1 *21188:A 0.000107496 +5 *1573:166 *21188:A 9.66809e-05 +*RES +1 *21181:X *21188:A 31.0235 +*END + +*D_NET *2249 0.0234618 +*CONN +*I *21187:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22396:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22721:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21182:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21187:A1 0.00029666 +2 *22396:A1 0.000338401 +3 *22721:A1 0 +4 *21182:Y 0.000135856 +5 *2249:38 0.00183549 +6 *2249:35 0.00193923 +7 *2249:27 0.00171561 +8 *2249:12 0.0021444 +9 *2249:8 0.000710123 +10 *21187:A1 *22384:A1 0.000583588 +11 *21187:A1 *2266:13 6.21666e-05 +12 *21187:A1 *2294:44 1.66771e-05 +13 *21187:A1 *2306:38 8.11463e-06 +14 *21187:A1 *2363:31 0.000143431 +15 *21187:A1 *5855:134 0.000252001 +16 *22396:A1 *22359:B 0.000291211 +17 *22396:A1 *2454:23 0.000291211 +18 *2249:8 *24301:CLK 3.31733e-05 +19 *2249:8 *2499:39 7.02687e-05 +20 *2249:8 *5924:42 8.18725e-05 +21 *2249:12 *21435:A1 0.000154145 +22 *2249:12 *21435:B1 0.00278305 +23 *2249:12 *24769:CLK 2.58521e-05 +24 *2249:12 *24968:A 0.000138742 +25 *2249:12 *2421:26 3.29488e-05 +26 *2249:12 *2452:17 0.00118121 +27 *2249:12 *2493:41 0.000353686 +28 *2249:12 *2545:48 2.95884e-05 +29 *2249:12 *5855:149 0.000596422 +30 *2249:27 *22341:B1 0.000642113 +31 *2249:27 *24764:CLK 0.000101605 +32 *2249:27 *24769:CLK 3.29488e-05 +33 *2249:27 *2250:55 0.000208411 +34 *2249:27 *2305:16 7.60356e-05 +35 *2249:27 *2363:39 0.000366347 +36 *2249:27 *2421:26 0.000387939 +37 *2249:27 *2421:32 0.000491794 +38 *2249:27 *2495:10 0 +39 *2249:27 *3128:227 2.65667e-05 +40 *2249:27 *5888:14 0.00020808 +41 *2249:35 *2306:48 4.31539e-05 +42 *2249:35 *3128:227 6.13007e-05 +43 *2249:35 *3663:7 6.08467e-05 +44 *2249:38 *22352:B1 0.000134022 +45 *2249:38 *22682:B1 6.22111e-05 +46 *2249:38 *22684:B1 0.000117408 +47 *2249:38 *22687:A1 6.14756e-06 +48 *2249:38 *2421:42 5.38503e-05 +49 *2249:38 *2491:8 0.000103002 +50 *2249:38 *2491:13 0.000442816 +51 *2249:38 *2530:90 9.84424e-06 +52 *2249:38 *3521:191 8.45896e-06 +53 *2249:38 *3632:19 0.000669429 +54 *20206:B *2249:38 1.33542e-05 +55 *21435:A2 *21187:A1 3.41361e-05 +56 *480:26 *22396:A1 0.000302686 +57 *1602:161 *21187:A1 6.70447e-06 +58 *2243:14 *2249:38 0.00209857 +59 *2245:27 *22396:A1 0.00044694 +*RES +1 *21182:Y *2249:8 22.1574 +2 *2249:8 *2249:12 37.0751 +3 *2249:12 *2249:27 45.479 +4 *2249:27 *22721:A1 9.24915 +5 *2249:27 *2249:35 13.5424 +6 *2249:35 *2249:38 48.6877 +7 *2249:38 *22396:A1 29.8091 +8 *2249:12 *21187:A1 24.0914 +*END + +*D_NET *2250 0.0286382 +*CONN +*I *22722:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21187:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22390:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21183:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22722:B1 0.00109804 +2 *21187:B1 4.86586e-05 +3 *22390:B1 0.000300234 +4 *21183:Y 0.000367253 +5 *2250:55 0.0026815 +6 *2250:31 0.00245796 +7 *2250:16 0.00235529 +8 *2250:13 0.00248784 +9 *2250:7 0.00162588 +10 *21187:B1 *2253:10 5.22654e-06 +11 *21187:B1 *4831:8 3.52645e-06 +12 *22390:B1 *21429:A1 9.31436e-05 +13 *22390:B1 *22390:A1 0.000154145 +14 *22390:B1 *2487:20 1.66626e-05 +15 *22722:B1 *21396:A1 0.000157659 +16 *22722:B1 *22717:B2 3.74542e-05 +17 *22722:B1 *22722:A1 5.04829e-06 +18 *22722:B1 *22722:A2 0.000243028 +19 *22722:B1 *22722:B2 1.78868e-05 +20 *22722:B1 *22723:C1 3.99086e-06 +21 *22722:B1 *2254:23 3.29488e-05 +22 *22722:B1 *2534:23 0.00045051 +23 *2250:13 *24484:CLK 0.000173627 +24 *2250:13 *2487:14 0.000430676 +25 *2250:13 *4845:209 0.000200794 +26 *2250:13 *4898:35 5.50458e-05 +27 *2250:13 *4898:37 0.000271824 +28 *2250:13 *5865:200 0.000656444 +29 *2250:13 *5865:212 0.00036933 +30 *2250:16 *20628:B1 0.000835324 +31 *2250:16 *21322:A 0.000460851 +32 *2250:16 *2448:69 0.000329533 +33 *2250:16 *2487:20 3.11616e-05 +34 *2250:16 *2493:8 0 +35 *2250:16 *3022:12 0.000498035 +36 *2250:16 *5880:31 0.000136812 +37 *2250:16 *5888:14 0.000374006 +38 *2250:31 *22303:A2 1.77657e-05 +39 *2250:31 *22347:A2 8.6297e-06 +40 *2250:31 *22347:B1 2.66117e-05 +41 *2250:31 *22384:A1 5.39635e-06 +42 *2250:31 *2253:10 3.95519e-05 +43 *2250:31 *2380:41 1.5714e-05 +44 *2250:31 *2487:20 1.04726e-05 +45 *2250:31 *3022:12 0.000125077 +46 *2250:31 *3347:9 0.000784961 +47 *2250:31 *4956:24 0.000268132 +48 *2250:55 *22340:A1 4.17069e-06 +49 *2250:55 *22341:A2 3.3239e-06 +50 *2250:55 *22383:A1 3.55859e-05 +51 *2250:55 *22385:A1 1.67271e-05 +52 *2250:55 *22389:B2 0.00017294 +53 *2250:55 *22681:A1 0.000288838 +54 *2250:55 *22684:B2 4.32286e-05 +55 *2250:55 *22721:A2 0.000317173 +56 *2250:55 *2254:17 3.81605e-05 +57 *2250:55 *2257:29 9.12138e-05 +58 *2250:55 *2294:52 4.03281e-05 +59 *2250:55 *2354:11 0.000157659 +60 *2250:55 *2485:36 0.000150156 +61 *2250:55 *3177:315 0.000748542 +62 *2250:55 *3347:9 0.000425679 +63 *2250:55 *3517:281 0.000157659 +64 *2250:55 *3543:97 0.000115998 +65 *2250:55 *4831:14 7.8756e-07 +66 *2250:55 *4831:25 3.98514e-05 +67 *2250:55 *5855:134 8.03699e-06 +68 *2250:55 *5888:14 0.000171472 +69 *20628:B2 *2250:13 2.7585e-05 +70 *20628:B2 *2250:16 0.00034011 +71 *20631:B2 *2250:13 2.33193e-05 +72 *20662:A *2250:13 0.000112149 +73 *20738:B *2250:31 4.23622e-05 +74 *1602:161 *2250:55 8.8078e-05 +75 *1618:149 *22722:B1 0.00127523 +76 *1618:149 *2250:55 5.4678e-05 +77 *1655:220 *2250:31 0.000500147 +78 *1679:64 *22722:B1 0.000107496 +79 *1771:203 *2250:7 0.000559686 +80 *2019:12 *2250:13 2.55865e-05 +81 *2030:16 *2250:16 5.80086e-05 +82 *2053:10 *2250:16 0.000140402 +83 *2093:149 *22722:B1 2.53145e-06 +84 *2246:30 *22722:B1 0.00127523 +85 *2249:27 *2250:55 0.000208411 +*RES +1 *21183:Y *2250:7 20.0186 +2 *2250:7 *2250:13 46.4478 +3 *2250:13 *2250:16 42.6366 +4 *2250:16 *22390:B1 20.5995 +5 *2250:16 *2250:31 24.2556 +6 *2250:31 *21187:B1 14.8606 +7 *2250:31 *2250:55 47.4903 +8 *2250:55 *22722:B1 24.5061 +*END + +*D_NET *2251 0.0150203 +*CONN +*I *21186:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22391:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21184:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21186:A1 0 +2 *22391:A1 0.000251827 +3 *21184:Y 0.0013862 +4 *2251:12 0.00206571 +5 *2251:10 0.00320008 +6 *22391:A1 *2263:43 0.000258142 +7 *22391:A1 *5888:14 0.000331458 +8 *2251:10 *20877:A1 0.000151726 +9 *2251:10 *20877:B1 7.137e-05 +10 *2251:10 *21425:A 0.000148114 +11 *2251:10 *2417:14 2.65667e-05 +12 *2251:10 *2473:8 0 +13 *2251:10 *4845:151 1.17185e-05 +14 *2251:10 *4990:8 0.000165495 +15 *2251:10 *5855:589 1.8895e-05 +16 *2251:10 *5891:22 0 +17 *2251:10 *5891:26 0 +18 *2251:12 *2493:8 0 +19 *2251:12 *5855:589 0.000118447 +20 *2251:12 *5888:14 0.00248982 +21 *2251:12 *5891:26 0 +22 *20669:A2 *2251:12 0 +23 *20881:B2 *2251:10 0.000214769 +24 *22303:A1 *22391:A1 2.81088e-05 +25 *22303:A1 *2251:12 1.273e-05 +26 *24462:D *2251:12 0 +27 *1608:117 *22391:A1 0.000263711 +28 *1610:195 *22391:A1 0.000253916 +29 *2134:8 *2251:12 0.00355152 +*RES +1 *21184:Y *2251:10 49.5858 +2 *2251:10 *2251:12 71.0203 +3 *2251:12 *22391:A1 23.506 +4 *2251:12 *21186:A1 13.7491 +*END + +*D_NET *2252 0.0237076 +*CONN +*I *22397:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21186:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21185:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22397:B1 0.000458196 +2 *21186:B1 0.000368079 +3 *21185:Y 0.00113577 +4 *2252:43 0.00422899 +5 *2252:8 0.00527464 +6 *21186:B1 *2306:37 0.00011818 +7 *22397:B1 *3301:8 0.000625582 +8 *22397:B1 *3666:13 0.000613009 +9 *2252:8 *24215:CLK 7.13655e-06 +10 *2252:8 *2258:12 0 +11 *2252:8 *2317:20 0 +12 *2252:8 *2487:14 0.000362478 +13 *2252:8 *2493:36 0.000110473 +14 *2252:43 *22384:A1 0.000343996 +15 *2252:43 *22686:B1 2.84516e-05 +16 *2252:43 *22718:B2 0.00133707 +17 *2252:43 *24769:CLK 0.000454326 +18 *2252:43 *2293:38 0.00400732 +19 *2252:43 *2306:37 0.000148666 +20 *2252:43 *2337:22 0 +21 *2252:43 *2373:17 0.000345678 +22 *2252:43 *2417:82 0.000370649 +23 *2252:43 *2421:26 0.000965537 +24 *2252:43 *2493:36 0.000111708 +25 *2252:43 *2949:71 0.000104754 +26 *20874:B *21186:B1 5.88657e-05 +27 *21090:A2 *2252:8 0.000334014 +28 *21186:B2 *21186:B1 0.000108335 +29 *21259:B2 *2252:43 0.00011195 +30 *24217:D *2252:8 9.83892e-05 +31 *1602:161 *2252:43 0 +32 *1614:120 *2252:43 6.31593e-05 +33 *1618:149 *2252:43 2.40234e-05 +34 *1655:238 *21186:B1 4.17276e-05 +35 *1725:201 *2252:8 0.000480314 +36 *1725:201 *2252:43 0.000478985 +37 *2134:7 *21186:B1 0.00030253 +38 *2243:8 *2252:43 8.46032e-05 +39 *2246:30 *2252:43 0 +*RES +1 *21185:Y *2252:8 49.9793 +2 *2252:8 *21186:B1 19.2561 +3 *2252:8 *2252:43 48.8454 +4 *2252:43 *22397:B1 27.4158 +*END + +*D_NET *2253 0.00350313 +*CONN +*I *21187:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21186:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21187:C1 0 +2 *21186:X 0.000862702 +3 *2253:10 0.000862702 +4 *2253:10 *21429:A1 2.16355e-05 +5 *2253:10 *22303:A2 0.000166619 +6 *2253:10 *22347:A2 0.000136463 +7 *2253:10 *22347:B1 5.88009e-05 +8 *2253:10 *22384:A1 2.01653e-05 +9 *2253:10 *3134:238 9.47911e-05 +10 *2253:10 *4831:8 0.00107353 +11 *21187:B1 *2253:10 5.22654e-06 +12 *1655:220 *2253:10 0.000160941 +13 *2250:31 *2253:10 3.95519e-05 +*RES +1 *21186:X *2253:10 41.5671 +2 *2253:10 *21187:C1 9.24915 +*END + +*D_NET *2254 0.0161697 +*CONN +*I *21188:B I *D sky130_fd_sc_hd__nand2_1 +*I *21187:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21188:B 0.000727825 +2 *21187:X 0.000939001 +3 *2254:23 0.00186976 +4 *2254:17 0.00232037 +5 *2254:11 0.00211743 +6 *21188:B *22343:C1 1.41976e-05 +7 *21188:B *22387:B2 8.67924e-06 +8 *21188:B *2255:13 6.08467e-05 +9 *21188:B *2293:44 0.000278461 +10 *21188:B *2476:8 0.000103252 +11 *21188:B *3151:216 0.000117082 +12 *2254:11 *22341:A1 1.9101e-05 +13 *2254:11 *22345:A2 2.24715e-05 +14 *2254:11 *22389:A2 4.95613e-05 +15 *2254:11 *2294:44 0.000212848 +16 *2254:11 *2306:38 0.000772124 +17 *2254:11 *2306:48 0.000377603 +18 *2254:11 *3128:227 0.000154145 +19 *2254:11 *3305:8 0 +20 *2254:11 *3663:10 4.15661e-05 +21 *2254:11 *5091:22 8.91927e-05 +22 *2254:11 *5888:14 2.55688e-05 +23 *2254:17 *21412:B1 5.12836e-05 +24 *2254:17 *22681:A1 0.000651845 +25 *2254:17 *22721:A2 0.000277896 +26 *2254:17 *2485:36 0.000155855 +27 *2254:17 *3523:202 0.000133894 +28 *2254:17 *5888:14 0.00145412 +29 *2254:23 *21235:A1 0.000120386 +30 *2254:23 *22373:A1 8.43701e-05 +31 *2254:23 *22717:A2 0.000876723 +32 *2254:23 *22717:B2 0.000314086 +33 *2254:23 *22722:A1 0.00074168 +34 *2254:23 *2287:112 3.96933e-05 +35 *2254:23 *2476:8 3.31346e-05 +36 *2254:23 *3493:45 6.51527e-05 +37 *21412:B2 *2254:23 8.8078e-05 +38 *22708:B1 *21188:B 8.42542e-05 +39 *22722:B1 *2254:23 3.29488e-05 +40 *1610:104 *2254:23 3.29488e-05 +41 *1618:149 *2254:17 5.01835e-05 +42 *2243:28 *21188:B 0.000521893 +43 *2250:55 *2254:17 3.81605e-05 +*RES +1 *21187:X *2254:11 48.0069 +2 *2254:11 *2254:17 43.1202 +3 *2254:17 *2254:23 39.3801 +4 *2254:23 *21188:B 31.3074 +*END + +*D_NET *2255 0.00392584 +*CONN +*I *21262:A_N I *D sky130_fd_sc_hd__nand4b_4 +*I *21188:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *21262:A_N 0 +2 *21188:Y 0.0015231 +3 *2255:13 0.0015231 +4 *2255:13 *22690:A1 0.000110297 +5 *2255:13 *2355:53 5.88009e-05 +6 *2255:13 *3151:216 0.000439555 +7 *2255:13 *3208:165 6.51527e-05 +8 *2255:13 *3474:175 0.000105086 +9 *21188:B *2255:13 6.08467e-05 +10 *1573:183 *2255:13 3.99086e-05 +*RES +1 *21188:Y *2255:13 40.9578 +2 *2255:13 *21262:A_N 9.24915 +*END + +*D_NET *2256 0.0573258 +*CONN +*I *22400:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22712:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21194:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21189:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22400:A1 0.00111175 +2 *22712:A1 0.000157489 +3 *21194:A1 0.000226889 +4 *21189:Y 0.00158463 +5 *2256:114 0.00210782 +6 *2256:101 0.00225475 +7 *2256:88 0.00237443 +8 *2256:72 0.00156984 +9 *2256:62 0.00241095 +10 *2256:38 0.00228095 +11 *2256:37 0.00158393 +12 *2256:27 0.00223799 +13 *2256:14 0.00235453 +14 *2256:13 0.00303041 +15 *21194:A1 *21213:A 3.82228e-05 +16 *21194:A1 *22640:B1 0.000114916 +17 *21194:A1 *4818:79 2.03542e-05 +18 *22400:A1 *21242:C1 5.85325e-05 +19 *22400:A1 *22359:B 0.000571195 +20 *22400:A1 *22398:B1 0.000139993 +21 *22400:A1 *22718:A1 4.31703e-05 +22 *22400:A1 *2454:23 0.00108803 +23 *22400:A1 *2479:52 2.54493e-05 +24 *22400:A1 *2480:25 3.68594e-05 +25 *22400:A1 *2527:13 1.97459e-05 +26 *22400:A1 *3192:69 3.9858e-05 +27 *22400:A1 *3192:73 1.18955e-05 +28 *22400:A1 *3474:218 3.7061e-05 +29 *22712:A1 *2479:52 9.78531e-05 +30 *22712:A1 *2480:25 4.2372e-05 +31 *22712:A1 *3122:105 7.13972e-05 +32 *22712:A1 *3474:218 0.000108054 +33 *2256:13 *21915:B1 0.00105921 +34 *2256:13 *21926:A1 0.000547329 +35 *2256:13 *3017:26 0.00453588 +36 *2256:13 *4830:79 0.000103048 +37 *2256:13 *4876:14 3.39118e-05 +38 *2256:13 *5870:733 0.000154145 +39 *2256:14 *2425:21 0.00235358 +40 *2256:14 *2738:14 0.000125365 +41 *2256:14 *3052:13 0.000114694 +42 *2256:14 *4806:81 2.81627e-06 +43 *2256:14 *4845:344 5.79083e-05 +44 *2256:14 *4911:16 0.000119813 +45 *2256:14 *5235:11 0.000174175 +46 *2256:14 *5864:226 0.000587665 +47 *2256:14 *5864:231 2.04806e-05 +48 *2256:27 *20172:A1 6.75453e-05 +49 *2256:27 *2896:37 0.000438266 +50 *2256:27 *5229:5 0.000162627 +51 *2256:27 *5859:230 2.61955e-05 +52 *2256:37 *22212:A 4.46283e-05 +53 *2256:37 *2930:17 1.91391e-05 +54 *2256:37 *2990:19 0.000698438 +55 *2256:37 *3122:11 0.000452219 +56 *2256:37 *5859:230 0 +57 *2256:37 *5862:101 0.000165381 +58 *2256:38 *5862:101 0.000134733 +59 *2256:38 *5862:118 4.57241e-06 +60 *2256:62 *22517:A 5.22654e-06 +61 *2256:62 *2275:39 8.62625e-06 +62 *2256:62 *2546:20 0.000256133 +63 *2256:62 *2610:70 6.83957e-05 +64 *2256:62 *3190:10 4.71458e-05 +65 *2256:62 *3466:10 0.00021115 +66 *2256:62 *3471:6 0.000268161 +67 *2256:62 *3471:108 8.61737e-06 +68 *2256:62 *4805:73 2.18034e-05 +69 *2256:62 *4806:11 0.000391697 +70 *2256:72 *2411:26 3.33173e-06 +71 *2256:72 *2546:20 0.00144678 +72 *2256:72 *2642:27 1.65872e-05 +73 *2256:72 *2694:38 0.000300166 +74 *2256:72 *3476:29 0.000438346 +75 *2256:72 *3493:202 0.000231926 +76 *2256:72 *3498:163 0.00134189 +77 *2256:88 *24489:SET_B 0.0004164 +78 *2256:88 *2275:39 0.000111722 +79 *2256:88 *2275:43 0.000125413 +80 *2256:88 *2546:5 0.000107496 +81 *2256:88 *2676:26 5.1493e-06 +82 *2256:88 *3153:101 0.000652591 +83 *2256:88 *4825:118 0.000294093 +84 *2256:88 *4908:14 0.000162483 +85 *2256:88 *4908:19 0.000173524 +86 *2256:101 *2310:10 5.71419e-05 +87 *2256:101 *2310:37 9.56948e-05 +88 *2256:101 *2311:17 7.88437e-05 +89 *2256:101 *4818:48 1.66741e-05 +90 *2256:101 *4818:50 0.00187666 +91 *2256:101 *4825:118 2.77419e-05 +92 *2256:101 *4843:348 0.000242083 +93 *2256:101 *4843:352 0.000925557 +94 *2256:114 *2275:56 2.16736e-05 +95 *2256:114 *4814:64 0.000397957 +96 *2256:114 *4818:48 5.81031e-05 +97 *2256:114 *5592:76 0.000713935 +98 *2256:114 *5919:72 0.000398766 +99 *20250:A *2256:27 0.000921628 +100 *20250:B *2256:27 1.00846e-05 +101 *20613:B *2256:62 3.07997e-05 +102 *20621:A2 *2256:101 1.9101e-05 +103 *20621:A2 *2256:114 1.2851e-05 +104 *20621:B2 *2256:114 0.000101641 +105 *21026:A2 *2256:37 0.000201389 +106 *21169:C *2256:13 0.000200003 +107 *21395:B2 *22400:A1 0.000132548 +108 *24248:D *2256:37 5.01835e-05 +109 *24491:D *2256:114 7.58102e-05 +110 *24496:D *2256:62 8.39223e-05 +111 *512:47 *2256:101 2.55576e-05 +112 *518:44 *2256:88 0.000100908 +113 *527:22 *2256:101 2.60017e-05 +114 *531:41 *2256:14 4.74668e-05 +115 *1418:8 *2256:27 5.04829e-06 +116 *1418:8 *2256:37 0.000106685 +117 *1418:29 *2256:37 7.70767e-05 +118 *1450:132 *2256:14 0.000174048 +119 *1562:21 *22400:A1 5.88009e-05 +120 *1573:113 *2256:37 4.47503e-05 +121 *1576:7 *2256:37 4.9933e-05 +122 *1576:16 *2256:37 1.46079e-05 +123 *1576:16 *2256:38 0.000454055 +124 *1576:16 *2256:88 6.02412e-05 +125 *1610:54 *2256:114 3.26582e-06 +126 *1649:189 *2256:114 8.31531e-05 +127 *1679:30 *2256:14 0.000179271 +128 *1742:76 *2256:14 0.00044052 +129 *2015:18 *2256:88 1.5254e-05 +130 *2015:29 *2256:38 7.14746e-05 +131 *2015:29 *2256:88 0.000247503 +132 *2015:91 *2256:101 6.1449e-05 +133 *2016:71 *2256:88 0.000300195 +134 *2089:22 *2256:13 3.85185e-05 +135 *2093:29 *21194:A1 6.36477e-05 +136 *2093:29 *2256:72 0.000280233 +137 *2117:63 *22400:A1 3.82228e-05 +138 *2177:78 *2256:62 3.89306e-05 +139 *2177:90 *2256:88 0.000298304 +*RES +1 *21189:Y *2256:13 29.4353 +2 *2256:13 *2256:14 56.8529 +3 *2256:14 *2256:27 34.5118 +4 *2256:27 *2256:37 45.1348 +5 *2256:37 *2256:38 8.47603 +6 *2256:38 *2256:62 48.6861 +7 *2256:62 *2256:72 45.7101 +8 *2256:72 *21194:A1 14.4094 +9 *2256:38 *2256:88 46.4383 +10 *2256:88 *2256:101 48.1137 +11 *2256:101 *2256:114 29.5129 +12 *2256:114 *22712:A1 18.3836 +13 *2256:114 *22400:A1 44.8232 +*END + +*D_NET *2257 0.0738178 +*CONN +*I *22385:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22720:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21194:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21190:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22385:A1 3.45136e-05 +2 *22720:B1 0 +3 *21194:B1 0.00665875 +4 *21190:Y 0.000349623 +5 *2257:85 0.00814658 +6 *2257:73 0.00232759 +7 *2257:69 0.00278927 +8 *2257:47 0.00410575 +9 *2257:46 0.0032004 +10 *2257:29 0.00192237 +11 *2257:19 0.000912713 +12 *2257:17 0.00168868 +13 *2257:11 0.00203831 +14 *21194:B1 *22640:A1 0.00294527 +15 *21194:B1 *2266:90 0.000851781 +16 *21194:B1 *2274:95 0 +17 *21194:B1 *2300:70 0.000162271 +18 *21194:B1 *2368:34 3.91685e-05 +19 *21194:B1 *2441:66 0.00175346 +20 *21194:B1 *2809:24 0 +21 *21194:B1 *2950:92 5.87774e-05 +22 *21194:B1 *3122:39 0.00237155 +23 *21194:B1 *3122:64 0.00103746 +24 *21194:B1 *3198:23 8.97779e-05 +25 *21194:B1 *3519:30 4.3648e-05 +26 *21194:B1 *4821:125 0.000374788 +27 *21194:B1 *4828:169 6.43572e-05 +28 *21194:B1 *5853:277 0 +29 *21194:B1 *5855:272 0 +30 *21194:B1 *5862:146 0.000124828 +31 *21194:B1 *5874:36 0.000107949 +32 *22385:A1 *22341:A2 3.90021e-05 +33 *2257:11 *20439:B1 0.000182583 +34 *2257:11 *21347:A 0.000116986 +35 *2257:11 *2380:16 7.83998e-06 +36 *2257:11 *2380:20 0.000182116 +37 *2257:11 *2409:6 0.000154702 +38 *2257:11 *2464:12 1.16709e-05 +39 *2257:17 *21429:A1 4.4196e-06 +40 *2257:17 *22340:B2 3.21447e-05 +41 *2257:17 *2258:62 0.000263337 +42 *2257:17 *2263:77 7.11222e-05 +43 *2257:17 *2362:20 0.00139345 +44 *2257:17 *2380:20 0.000309122 +45 *2257:17 *2409:6 0 +46 *2257:17 *2659:17 0.000165678 +47 *2257:17 *3162:181 1.91391e-05 +48 *2257:17 *4805:14 0.000420269 +49 *2257:17 *5090:21 0.000735398 +50 *2257:29 *22341:A2 0.000346471 +51 *2257:29 *22341:B2 0.000253275 +52 *2257:29 *22720:A2 0.000181887 +53 *2257:29 *22721:B1 1.86362e-05 +54 *2257:29 *2294:52 8.62625e-06 +55 *2257:29 *2294:63 0 +56 *2257:29 *2360:57 5.481e-05 +57 *2257:29 *2363:39 7.77744e-05 +58 *2257:29 *3177:315 0 +59 *2257:46 *22685:B2 0.000115508 +60 *2257:46 *22720:B2 0.00011191 +61 *2257:46 *3051:14 0.00101049 +62 *2257:46 *3051:20 0.000390192 +63 *2257:46 *3299:21 3.63593e-05 +64 *2257:46 *3343:22 3.29488e-05 +65 *2257:47 *20963:B1 0.000156955 +66 *2257:47 *21437:A 0.000589054 +67 *2257:47 *21441:A1 1.21461e-06 +68 *2257:47 *2417:43 0.000340742 +69 *2257:47 *2497:5 4.77002e-05 +70 *2257:47 *4843:307 0.000107496 +71 *2257:47 *4843:759 0.00320075 +72 *2257:69 *2287:82 1.66626e-05 +73 *2257:69 *2320:56 0.00170593 +74 *2257:69 *2384:32 0.000461618 +75 *2257:69 *2448:146 0.00287393 +76 *2257:69 *2467:49 0.000236764 +77 *2257:69 *2687:42 0.00015856 +78 *2257:69 *2687:68 0.000292254 +79 *2257:69 *2692:31 0.000186986 +80 *2257:69 *4814:64 3.00134e-05 +81 *2257:69 *4823:85 1.62137e-05 +82 *2257:69 *4828:80 3.29776e-05 +83 *2257:69 *5494:10 2.01503e-05 +84 *2257:69 *5592:112 6.08131e-05 +85 *2257:69 *5902:32 0.000752138 +86 *2257:73 *2282:68 0.000114594 +87 *2257:73 *2389:72 0.0002696 +88 *2257:73 *2404:73 0.00011158 +89 *2257:73 *2448:147 0.00229823 +90 *2257:73 *4833:130 8.36973e-06 +91 *2257:73 *5475:112 3.45657e-05 +92 *2257:73 *5863:8 1.5714e-05 +93 *2257:73 *5874:36 0.00108351 +94 *2257:73 *5910:26 1.5714e-05 +95 *2257:85 *23865:A 0.000114483 +96 *2257:85 *25247:A 1.02739e-05 +97 *2257:85 *2291:61 4.0057e-05 +98 *2257:85 *2448:147 2.17073e-05 +99 *2257:85 *2729:77 0.000428192 +100 *2257:85 *2882:74 2.27135e-05 +101 *2257:85 *2989:80 8.18772e-06 +102 *2257:85 *4821:143 0.000269932 +103 *2257:85 *5475:112 0.00075384 +104 mgmt_gpio_oeb[9] *2257:73 0.000113066 +105 mgmt_gpio_out[8] *2257:85 2.32676e-05 +106 *20206:B *2257:46 2.00142e-05 +107 *20689:B *2257:47 0.000786353 +108 *21429:A2 *2257:17 1.91023e-05 +109 *21436:B2 *2257:46 0.00100702 +110 *21476:A2 *21194:B1 0.000201973 +111 *25136:A *2257:69 0.000118501 +112 *25136:A *2257:73 1.27046e-05 +113 *476:167 *2257:47 1.37531e-05 +114 *500:48 *21194:B1 0.000378385 +115 *510:16 *2257:29 0.000126259 +116 *510:41 *2257:29 0.000153862 +117 *520:27 *21194:B1 0.000759499 +118 *1418:163 *2257:11 0.000111722 +119 *1450:152 *21194:B1 0.000102893 +120 *1551:172 *2257:17 0.000204295 +121 *1617:12 *2257:47 0.000115933 +122 *1627:128 *2257:29 0.000394132 +123 *1627:202 *2257:11 0.000414439 +124 *1645:183 *2257:46 9.49307e-05 +125 *2039:13 *2257:47 0.000680092 +126 *2244:19 *21194:B1 0 +127 *2250:55 *22385:A1 1.67271e-05 +128 *2250:55 *2257:29 9.12138e-05 +*RES +1 *21190:Y *2257:11 35.8252 +2 *2257:11 *2257:17 48.7728 +3 *2257:17 *2257:19 4.5 +4 *2257:19 *2257:29 37.1634 +5 *2257:29 *2257:46 39.7357 +6 *2257:46 *2257:47 61.7298 +7 *2257:47 *2257:69 21.3766 +8 *2257:69 *2257:73 46.4361 +9 *2257:73 *2257:85 46.4617 +10 *2257:85 *21194:B1 45.6433 +11 *2257:29 *22720:B1 9.24915 +12 *2257:19 *22385:A1 14.7506 +*END + +*D_NET *2258 0.0560875 +*CONN +*I *22716:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21193:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22383:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21191:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22716:A1 0.000114251 +2 *21193:A1 0.00106476 +3 *22383:B1 7.11691e-05 +4 *21191:Y 0.00127683 +5 *2258:69 0.00238139 +6 *2258:64 0.00413027 +7 *2258:62 0.00613017 +8 *2258:34 0.00327345 +9 *2258:32 0.00151134 +10 *2258:22 0.00280349 +11 *2258:12 0.00278888 +12 *2258:11 0.00277356 +13 *21193:A1 *22646:A1 4.83562e-06 +14 *21193:A1 *22646:B1 1.74104e-05 +15 *21193:A1 *22671:A 0.000251761 +16 *21193:A1 *4807:101 2.07772e-05 +17 *21193:A1 *4810:66 0.000442357 +18 *21193:A1 *4819:72 7.8756e-07 +19 *22383:B1 *22296:C1 6.56522e-05 +20 *22383:B1 *22383:B2 7.34948e-06 +21 *22716:A1 *22717:C1 7.16939e-05 +22 *2258:11 *20542:B1 0.000818461 +23 *2258:11 *21619:A 0.000164815 +24 *2258:11 *2493:7 9.19886e-06 +25 *2258:12 *20629:B1 3.51113e-05 +26 *2258:12 *21619:A 2.95757e-05 +27 *2258:12 *24487:CLK 7.75932e-05 +28 *2258:12 *2487:14 0 +29 *2258:12 *3022:6 0 +30 *2258:12 *4843:212 2.72089e-05 +31 *2258:12 *4898:37 9.31394e-05 +32 *2258:12 *5865:190 1.35431e-05 +33 *2258:22 *20918:B1 1.61631e-05 +34 *2258:22 *22346:A1 0.00023753 +35 *2258:22 *4831:8 0.000224472 +36 *2258:32 *21429:A1 2.81262e-05 +37 *2258:32 *22302:A1 0.000491387 +38 *2258:32 *22302:B1 0.000157541 +39 *2258:32 *22302:B2 0.000391209 +40 *2258:32 *22346:B1 0.000132113 +41 *2258:32 *22390:A2 6.08467e-05 +42 *2258:32 *22391:B1 5.01835e-05 +43 *2258:32 *22391:C1 1.79096e-05 +44 *2258:32 *2488:14 0.000642916 +45 *2258:32 *3131:206 6.08467e-05 +46 *2258:32 *3131:207 0.000118064 +47 *2258:32 *3326:15 0.000139508 +48 *2258:62 *22296:C1 1.5613e-05 +49 *2258:62 *22339:A2 0.000539491 +50 *2258:62 *22340:B2 0.00047422 +51 *2258:62 *22383:A1 8.80156e-05 +52 *2258:62 *22383:B2 4.49781e-05 +53 *2258:62 *22686:B1 0.000835258 +54 *2258:62 *22722:A1 5.65354e-05 +55 *2258:62 *2357:61 1.37669e-05 +56 *2258:62 *2359:27 0.00191217 +57 *2258:62 *2404:60 5.9447e-05 +58 *2258:62 *2409:22 0.000673921 +59 *2258:62 *2415:64 9.96035e-05 +60 *2258:62 *2416:34 0.000305562 +61 *2258:62 *2416:53 0.000228112 +62 *2258:62 *2464:51 0.00109476 +63 *2258:62 *2488:14 0 +64 *2258:62 *2554:21 0.000281299 +65 *2258:62 *2949:71 0.000457152 +66 *2258:62 *3148:72 7.13992e-06 +67 *2258:62 *3177:298 4.88722e-05 +68 *2258:62 *3333:33 0.000165508 +69 *2258:62 *4831:25 7.09806e-06 +70 *2258:64 *21359:A1 0.000289557 +71 *2258:64 *21659:C1 0.00014284 +72 *2258:64 *22677:A1 3.55731e-06 +73 *2258:64 *22694:A1 1.5714e-05 +74 *2258:64 *22713:B1 0.000316775 +75 *2258:64 *22714:C1 0.000140852 +76 *2258:64 *22722:A1 0.000285618 +77 *2258:64 *2361:29 0.000113916 +78 *2258:64 *2417:82 0.000138994 +79 *2258:64 *2452:51 0.000661053 +80 *2258:64 *2477:34 0.000294464 +81 *2258:64 *2686:40 3.7816e-05 +82 *2258:64 *2687:68 1.38967e-05 +83 *2258:64 *3050:35 0.000165472 +84 *2258:64 *3124:146 2.57465e-05 +85 *2258:64 *3151:153 0.000539094 +86 *2258:64 *3177:288 0.000242733 +87 *2258:64 *3177:298 0.000414763 +88 *2258:64 *3179:190 0.00121121 +89 *2258:64 *3333:33 9.32704e-05 +90 *2258:64 *3476:184 0.000100741 +91 *2258:64 *3493:45 3.04754e-05 +92 *2258:64 *3621:10 0 +93 *2258:64 *3634:14 5.41147e-05 +94 *2258:69 *2503:61 0.00125937 +95 *2258:69 *3485:137 0.000247443 +96 *2258:69 *3485:197 0.000107496 +97 *20626:A *2258:11 4.41404e-05 +98 *21242:A2 *2258:64 0.000128739 +99 *21315:B2 *2258:32 0.000152622 +100 *22402:B1 *22716:A1 0.000200794 +101 *24216:D *2258:22 0.000217385 +102 *480:196 *2258:22 5.15415e-05 +103 *490:21 *2258:12 4.04556e-05 +104 *491:8 *2258:22 0.00107619 +105 *500:13 *2258:62 0.000274483 +106 *529:14 *2258:64 8.714e-05 +107 *1450:176 *2258:64 5.49916e-05 +108 *1564:84 *2258:64 1.92974e-05 +109 *1564:117 *22716:A1 0.000259415 +110 *1577:103 *2258:64 3.29488e-05 +111 *1583:35 *2258:69 8.01158e-05 +112 *1595:13 *2258:11 6.50586e-05 +113 *1608:148 *2258:32 3.03051e-05 +114 *1610:195 *2258:32 0.000101212 +115 *1627:118 *2258:62 6.14756e-06 +116 *1627:140 *2258:32 0.000119161 +117 *1645:69 *21193:A1 0.00140994 +118 *1655:213 *2258:62 3.63738e-05 +119 *1680:137 *21193:A1 0.000545554 +120 *1725:201 *2258:12 0.000131779 +121 *1750:45 *21193:A1 9.82202e-06 +122 *1771:192 *2258:12 0.000187726 +123 *1884:71 *2258:12 6.91555e-05 +124 *1884:86 *2258:12 0.000103323 +125 *2018:93 *2258:12 0.000677424 +126 *2018:111 *2258:12 0 +127 *2019:7 *2258:11 0.000213739 +128 *2019:12 *2258:12 0.000722913 +129 *2019:14 *2258:12 7.14746e-05 +130 *2019:19 *2258:12 0.000724374 +131 *2244:73 *2258:64 4.15661e-05 +132 *2245:7 *2258:22 0.000241681 +133 *2252:8 *2258:12 0 +134 *2257:17 *2258:62 0.000263337 +*RES +1 *21191:Y *2258:11 45.1686 +2 *2258:11 *2258:12 50.4165 +3 *2258:12 *2258:22 49.6155 +4 *2258:22 *2258:32 48.6623 +5 *2258:32 *2258:34 4.5 +6 *2258:34 *22383:B1 10.9612 +7 *2258:34 *2258:62 46.9477 +8 *2258:62 *2258:64 74.9164 +9 *2258:64 *2258:69 30.2437 +10 *2258:69 *21193:A1 44.6488 +11 *2258:62 *22716:A1 18.403 +*END + +*D_NET *2259 0.0559738 +*CONN +*I *21193:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21192:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21193:B1 0.00878795 +2 *21192:Y 0.0121722 +3 *2259:15 0.0209601 +4 *21193:B1 *22657:A2 0.000109796 +5 *21193:B1 *22671:B 0.000115045 +6 *21193:B1 *2273:18 9.55326e-05 +7 *21193:B1 *2368:19 0 +8 *21193:B1 *2431:23 0.000139271 +9 *21193:B1 *2596:18 0.000432289 +10 *21193:B1 *2636:28 0.000104754 +11 *21193:B1 *2975:50 0 +12 *21193:B1 *3487:133 0.000326069 +13 *21193:B1 *3496:29 0.000613097 +14 *21193:B1 *4819:72 0 +15 *2259:15 *6178:DIODE 0 +16 *2259:15 *20582:B1 1.59814e-05 +17 *2259:15 *21390:C1 0 +18 *2259:15 *24505:RESET_B 0.000656449 +19 *2259:15 *2426:28 0.000468381 +20 *2259:15 *2448:184 0 +21 *2259:15 *2632:27 0 +22 *2259:15 *2963:102 0 +23 *2259:15 *4876:38 9.57288e-05 +24 *2259:15 *5481:16 0.000315002 +25 *2259:15 *5735:24 7.86825e-06 +26 *2259:15 *5830:41 1.16687e-05 +27 *2259:15 *5854:305 2.50243e-05 +28 *2259:15 *5856:396 0 +29 *2259:15 *5870:269 0 +30 *2259:15 *5917:481 7.92206e-05 +31 *2259:15 *5926:56 1.1573e-05 +32 *19947:A *2259:15 3.57218e-06 +33 *20029:B1 *2259:15 0.00019207 +34 *20107:A1 *2259:15 3.66627e-05 +35 *20582:B2 *2259:15 5.70506e-05 +36 *460:63 *2259:15 0.00039408 +37 *497:111 *2259:15 0 +38 *1587:38 *2259:15 0.00177452 +39 *1671:30 *2259:15 0.00124054 +40 *1680:86 *21193:B1 8.00779e-06 +41 *1690:26 *2259:15 0.000584831 +42 *1692:19 *2259:15 0.000184254 +43 *1697:97 *2259:15 0.00109485 +44 *1735:100 *21193:B1 0.00288676 +45 *1748:16 *2259:15 2.48636e-05 +46 *1749:47 *2259:15 0 +47 *1783:100 *2259:15 0.00169381 +48 *1788:57 *21193:B1 3.63738e-05 +49 *1815:60 *2259:15 9.71045e-05 +50 *2004:13 *2259:15 0.000121499 +*RES +1 *21192:Y *2259:15 49.6848 +2 *2259:15 *21193:B1 47.2689 +*END + +*D_NET *2260 0.00166504 +*CONN +*I *21194:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21193:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21194:C1 0.000201826 +2 *21193:X 0.000201826 +3 *21194:C1 *2546:28 0.000100106 +4 *21194:C1 *2596:18 9.66809e-05 +5 *21194:C1 *3502:124 0.000534455 +6 *1730:240 *21194:C1 0.000530149 +*RES +1 *21193:X *21194:C1 36.015 +*END + +*D_NET *2261 0.00112385 +*CONN +*I *21213:A I *D sky130_fd_sc_hd__and4_2 +*I *21194:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21213:A 0.000362765 +2 *21194:X 0.000362765 +3 *21213:A *2368:34 7.11783e-06 +4 *21213:A *3502:124 0.000207911 +5 *21213:A *3519:30 5.4678e-05 +6 *21194:A1 *21213:A 3.82228e-05 +7 *1691:105 *21213:A 9.03922e-05 +*RES +1 *21194:X *21213:A 33.9359 +*END + +*D_NET *2262 0.0823818 +*CONN +*I *22389:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22708:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21199:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21195:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22389:A1 0.0012522 +2 *22708:A1 0.00134713 +3 *21199:A1 0.000885073 +4 *21195:Y 0.000564048 +5 *2262:102 0.00489056 +6 *2262:85 0.00482239 +7 *2262:78 0.00449032 +8 *2262:58 0.00244863 +9 *2262:43 0.00490731 +10 *2262:40 0.00239037 +11 *2262:34 0.00185324 +12 *2262:30 0.00223409 +13 *2262:27 0.00383169 +14 *2262:13 0.00580012 +15 *2262:10 0.00391912 +16 *21199:A1 *22369:B2 0 +17 *21199:A1 *22369:C1 2.14842e-06 +18 *21199:A1 *2331:8 0 +19 *21199:A1 *2339:8 0.000131406 +20 *21199:A1 *4956:27 1.37531e-05 +21 *22389:A1 *20209:A1 0.000116755 +22 *22389:A1 *22389:C1 0 +23 *22389:A1 *2305:16 0.000126438 +24 *22389:A1 *3114:275 4.39732e-05 +25 *22389:A1 *5096:9 6.08467e-05 +26 *22708:A1 *22709:C1 1.77843e-05 +27 *22708:A1 *2275:71 0.00025175 +28 *22708:A1 *2332:13 4.95549e-05 +29 *22708:A1 *2332:17 0.00139988 +30 *22708:A1 *3466:185 1.77843e-05 +31 *22708:A1 *3474:218 9.44503e-05 +32 *22708:A1 *3620:30 9.2937e-05 +33 *2262:10 *2287:9 0.000668642 +34 *2262:10 *2869:17 0.00103121 +35 *2262:10 *2886:8 0.000124831 +36 *2262:10 *5866:359 0.000282814 +37 *2262:13 *24405:RESET_B 4.76635e-06 +38 *2262:13 *24408:CLK 2.16355e-05 +39 *2262:13 *4841:252 0.000554431 +40 *2262:13 *5338:10 2.88767e-05 +41 *2262:27 *2357:32 0.000340481 +42 *2262:27 *4841:252 0.00037587 +43 *2262:27 *4841:256 1.74062e-05 +44 *2262:27 *4841:262 1.74016e-05 +45 *2262:27 *5867:682 0.00024536 +46 *2262:30 *24046:A1 0 +47 *2262:30 *2294:28 0.00113947 +48 *2262:30 *4842:237 0 +49 *2262:30 *4845:755 0 +50 *2262:30 *4900:124 9.16212e-05 +51 *2262:34 *19566:A0 3.6482e-05 +52 *2262:34 *20321:A1 1.90915e-05 +53 *2262:34 *24046:A0 0 +54 *2262:34 *24046:A1 9.82879e-05 +55 *2262:34 *2294:28 0.000151738 +56 *2262:34 *2813:26 0 +57 *2262:34 *3011:22 0.000865507 +58 *2262:34 *4816:22 0.000460979 +59 *2262:34 *4901:40 2.82537e-05 +60 *2262:40 *2530:44 0.00141014 +61 *2262:40 *2530:52 0.000472941 +62 *2262:40 *3011:22 1.6267e-05 +63 *2262:40 *3011:24 0.00227677 +64 *2262:40 *4816:22 5.97597e-05 +65 *2262:40 *5993:9 0.000173175 +66 *2262:43 *2372:60 0 +67 *2262:43 *2380:60 0.000175162 +68 *2262:43 *2950:76 0.00166953 +69 *2262:58 *24321:SET_B 0.000357898 +70 *2262:58 *24321:CLK 0.000113968 +71 *2262:58 *2263:43 0.000367606 +72 *2262:58 *2263:45 0.00058046 +73 *2262:58 *2263:77 6.22259e-05 +74 *2262:58 *2294:39 8.04171e-05 +75 *2262:58 *2294:44 0.00126588 +76 *2262:58 *2409:6 5.88009e-05 +77 *2262:58 *2448:74 1.5714e-05 +78 *2262:58 *2950:76 0.000110846 +79 *2262:58 *4902:59 7.08723e-06 +80 *2262:58 *5086:20 0.000253916 +81 *2262:78 *2321:36 0 +82 *2262:78 *3212:19 2.14262e-05 +83 *2262:78 *4815:68 4.69495e-06 +84 *2262:78 *4836:21 0 +85 *2262:85 *20209:B1 0 +86 *2262:85 *21179:A 0.000121095 +87 *2262:85 *24768:RESET_B 0.000573987 +88 *2262:85 *24770:CLK 0.000200994 +89 *2262:85 *2433:31 7.68538e-06 +90 *2262:85 *2486:76 0.000934857 +91 *2262:85 *4815:68 3.48438e-05 +92 *2262:102 *2282:53 4.17052e-05 +93 *2262:102 *2391:89 0.000168752 +94 *2262:102 *2467:104 2.33815e-05 +95 *2262:102 *2631:28 0.00224331 +96 *2262:102 *2738:14 0.00132235 +97 *2262:102 *2870:21 1.86023e-05 +98 *2262:102 *3020:14 0 +99 *2262:102 *3153:112 0.000134839 +100 *2262:102 *4818:48 0.000130282 +101 *2262:102 *4824:122 0.00142104 +102 *2262:102 *4826:117 0.0014549 +103 *2262:102 *5857:105 0.000148323 +104 *2262:102 *5857:113 8.00779e-06 +105 *2262:102 *5861:363 0.000128023 +106 *2262:102 *5924:37 0.000218537 +107 *20209:A2 *22389:A1 0.000338433 +108 *20209:B2 *22389:A1 6.65505e-05 +109 *20263:A *2262:40 0.000166346 +110 *20276:B2 *2262:30 0.00018684 +111 *20285:A *2262:27 8.47925e-06 +112 *20321:B1 *2262:34 0.000101705 +113 *20727:B *2262:78 0.00026089 +114 *20803:A2 *2262:27 0.000287777 +115 *20803:B2 *2262:27 1.65872e-05 +116 *24693:D *2262:34 5.04879e-05 +117 *24720:D *2262:30 0.00012568 +118 *512:38 *2262:78 2.96444e-05 +119 *512:38 *2262:102 0.000109235 +120 *1418:108 *2262:78 0 +121 *1439:28 *2262:78 1.57481e-05 +122 *1608:98 *2262:102 8.35491e-05 +123 *1631:72 *2262:78 0 +124 *1631:90 *21199:A1 0.000155928 +125 *1631:90 *2262:43 0.000521128 +126 *1631:90 *2262:58 0.000112538 +127 *1631:126 *2262:43 0.00206592 +128 *1663:27 *2262:85 7.48635e-05 +129 *1725:192 *21199:A1 0.00108783 +130 *1742:93 *2262:43 1.86997e-05 +131 *1907:8 *2262:30 4.73244e-05 +132 *1907:15 *2262:30 0.000359712 +133 *1907:27 *2262:30 0.000187405 +134 *1908:8 *2262:30 2.19291e-05 +135 *1908:32 *2262:30 0.000202667 +136 *1908:45 *2262:30 0.000208747 +137 *1908:56 *2262:30 9.58043e-06 +138 *1924:52 *2262:30 1.81863e-06 +139 *1925:8 *2262:30 7.17091e-05 +140 *1925:17 *2262:30 0.000102696 +141 *2082:59 *2262:27 0.000302956 +142 *2245:24 *2262:102 0.00141678 +143 *2246:14 *22389:A1 1.25417e-05 +*RES +1 *21195:Y *2262:10 41.3193 +2 *2262:10 *2262:13 48.998 +3 *2262:13 *2262:27 30.5724 +4 *2262:27 *2262:30 47.0945 +5 *2262:30 *2262:34 31.6813 +6 *2262:34 *2262:40 48.4135 +7 *2262:40 *2262:43 8.42878 +8 *2262:43 *2262:58 48.5213 +9 *2262:58 *21199:A1 38.8909 +10 *2262:43 *2262:78 8.98278 +11 *2262:78 *2262:85 32.4854 +12 *2262:85 *2262:102 48.5801 +13 *2262:102 *22708:A1 45.8526 +14 *2262:78 *22389:A1 36.3191 +*END + +*D_NET *2263 0.0555973 +*CONN +*I *22388:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22721:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21199:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21196:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22388:B1 4.133e-05 +2 *22721:B1 0.000351371 +3 *21199:B1 0.000836834 +4 *21196:Y 3.16809e-05 +5 *2263:80 0.000447456 +6 *2263:77 0.00135267 +7 *2263:45 0.00233002 +8 *2263:43 0.0012044 +9 *2263:33 0.00308576 +10 *2263:30 0.00342676 +11 *2263:22 0.00212377 +12 *2263:13 0.0048655 +13 *2263:11 0.00411248 +14 *2263:9 0.00204703 +15 *2263:8 0.00205808 +16 *21199:B1 *21199:C1 7.40414e-05 +17 *21199:B1 *22369:A2 0.000303677 +18 *21199:B1 *2266:13 0.000116755 +19 *21199:B1 *2349:15 8.64186e-05 +20 *21199:B1 *2350:30 6.13538e-05 +21 *21199:B1 *3326:7 0.000107496 +22 *21199:B1 *5086:24 2.42023e-05 +23 *22388:B1 *22388:B2 0.000154145 +24 *22388:B1 *22389:C1 0.000107496 +25 *22388:B1 *3114:268 1.65872e-05 +26 *22721:B1 *22721:B2 7.21423e-05 +27 *22721:B1 *2294:63 8.3897e-06 +28 *22721:B1 *3128:227 0.000352136 +29 *22721:B1 *3529:212 2.33852e-05 +30 *2263:8 *2347:14 0.000104731 +31 *2263:9 *2503:9 0.00444643 +32 *2263:13 *2306:11 0.00352952 +33 *2263:13 *2503:9 0.00108183 +34 *2263:22 *4827:118 0.000730937 +35 *2263:22 *4835:28 0.00101865 +36 *2263:22 *5865:478 4.69494e-05 +37 *2263:30 *21924:A 0.000272165 +38 *2263:30 *2791:8 4.12533e-05 +39 *2263:30 *4835:28 0.000356857 +40 *2263:30 *4835:37 0.000657338 +41 *2263:30 *5884:32 0 +42 *2263:33 *4834:39 0.00275135 +43 *2263:33 *5880:17 0.000102153 +44 *2263:43 *22391:A2 6.08467e-05 +45 *2263:43 *2294:44 0.00121051 +46 *2263:43 *2305:8 0.000224599 +47 *2263:43 *2363:20 4.91345e-05 +48 *2263:43 *2363:31 1.86389e-05 +49 *2263:43 *2486:40 2.81627e-06 +50 *2263:45 *22391:B2 0.000113968 +51 *2263:45 *22391:C1 9.29156e-05 +52 *2263:45 *5086:24 2.42156e-05 +53 *2263:77 *22384:B2 0.000128601 +54 *2263:77 *2266:13 0.000202933 +55 *2263:77 *2293:38 0.000119416 +56 *2263:77 *2363:39 7.89747e-05 +57 *2263:77 *2409:6 0.000563988 +58 *2263:77 *2464:12 0.000568694 +59 *2263:77 *2659:17 9.90068e-05 +60 *2263:77 *3155:207 1.2128e-05 +61 *2263:77 *3320:10 0.00039405 +62 *2263:77 *4805:14 0.000163023 +63 *2263:77 *5865:88 2.87885e-05 +64 *6166:DIODE *2263:9 2.65831e-05 +65 *19603:A *2263:22 0.000275058 +66 *19603:A *2263:30 1.76791e-05 +67 *20273:A *2263:30 8.01987e-05 +68 *20424:A *2263:9 0.000122378 +69 *20796:B *2263:43 0.00030942 +70 *21136:A *2263:30 0.00030002 +71 *22391:A1 *2263:43 0.000258142 +72 *24198:D *2263:30 3.25405e-05 +73 *24349:D *2263:9 0.000313996 +74 *24694:D *2263:22 1.43055e-05 +75 *24905:D *2263:30 3.77659e-05 +76 *478:8 *2263:22 8.62625e-06 +77 *480:189 *2263:43 0.000202502 +78 *480:207 *2263:43 0.00015324 +79 *1551:172 *2263:77 0.000200819 +80 *1596:134 *2263:43 0.000164825 +81 *1610:195 *2263:43 0.00133825 +82 *1610:195 *2263:45 1.10297e-05 +83 *1627:128 *22721:B1 0.000316352 +84 *1627:128 *2263:80 0.000111921 +85 *1655:220 *22721:B1 0.000319852 +86 *1655:220 *2263:80 0.000106324 +87 *1799:10 *2263:8 0.000101148 +88 *1800:187 *2263:9 2.41483e-05 +89 *1884:133 *2263:9 0.000152294 +90 *1906:6 *2263:22 0 +91 *1906:6 *2263:30 0.000266129 +92 *2081:6 *2263:43 0.000110963 +93 *2257:17 *2263:77 7.11222e-05 +94 *2257:29 *22721:B1 1.86362e-05 +95 *2262:58 *2263:43 0.000367606 +96 *2262:58 *2263:45 0.00058046 +97 *2262:58 *2263:77 6.22259e-05 +*RES +1 *21196:Y *2263:8 20.0811 +2 *2263:8 *2263:9 58.1249 +3 *2263:9 *2263:11 0.578717 +4 *2263:11 *2263:13 68.6623 +5 *2263:13 *2263:22 35.1676 +6 *2263:22 *2263:30 48.5534 +7 *2263:30 *2263:33 39.0542 +8 *2263:33 *2263:43 44.2635 +9 *2263:43 *2263:45 7.37864 +10 *2263:45 *21199:B1 26.8819 +11 *2263:45 *2263:77 49.9633 +12 *2263:77 *2263:80 6.74725 +13 *2263:80 *22721:B1 25.6092 +14 *2263:80 *22388:B1 15.5817 +*END + +*D_NET *2264 0.0205317 +*CONN +*I *22391:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21198:A I *D sky130_fd_sc_hd__or2_1 +*I *21197:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22391:B1 0.000558991 +2 *21198:A 0 +3 *21197:Y 0 +4 *2264:18 0.000558991 +5 *2264:16 0.00139573 +6 *2264:15 0.0022618 +7 *2264:6 0.00236295 +8 *2264:5 0.00149688 +9 *22391:B1 *21429:B1 0.000193069 +10 *22391:B1 *22347:B2 5.35941e-05 +11 *22391:B1 *22347:C1 0.000107496 +12 *22391:B1 *22391:C1 5.4678e-05 +13 *22391:B1 *2488:11 6.08467e-05 +14 *22391:B1 *2950:76 0.000359753 +15 *22391:B1 *4831:8 5.35941e-05 +16 *22391:B1 *5861:298 0.000359753 +17 *2264:6 *24393:RESET_B 6.65681e-05 +18 *2264:6 *4826:28 0 +19 *2264:6 *4843:181 0.000217601 +20 *2264:6 *4843:183 0.000141934 +21 *2264:6 *4843:185 6.08473e-05 +22 *2264:6 *4845:102 5.37895e-05 +23 *2264:6 *4845:106 0.000274238 +24 *2264:6 *4872:127 4.09557e-05 +25 *2264:6 *4872:136 3.63121e-05 +26 *2264:6 *4896:25 0.000691078 +27 *2264:6 *4896:30 0.000332568 +28 *2264:6 *4897:20 0.000165495 +29 *2264:6 *4897:25 0.000158087 +30 *2264:6 *4897:65 0.000122098 +31 *2264:6 *5867:457 6.11062e-05 +32 *2264:6 *5867:534 0.000204363 +33 *2264:6 *5867:549 2.2599e-05 +34 *2264:15 *24393:RESET_B 0 +35 *2264:15 *4843:189 0 +36 *2264:15 *4872:127 0.00040396 +37 *2264:15 *4897:25 0.000246031 +38 *2264:15 *5014:11 9.24241e-05 +39 *2264:15 *5855:561 2.41274e-06 +40 *2264:15 *5855:575 0.000124453 +41 *2264:16 *2287:16 0.00289654 +42 *2264:16 *2349:12 0 +43 *2264:16 *2380:8 0.0007463 +44 *2264:16 *2488:11 0.00188678 +45 *2264:16 *2488:14 2.95757e-05 +46 *2264:16 *5855:561 2.47808e-05 +47 *20441:A2 *2264:16 0 +48 *20741:B2 *2264:6 0.000340648 +49 *20745:B2 *2264:6 8.16827e-05 +50 *21429:B2 *22391:B1 1.65872e-05 +51 *24391:D *2264:6 0.000174205 +52 *24614:D *2264:16 1.79807e-05 +53 *1620:141 *2264:16 8.59228e-05 +54 *1620:143 *2264:16 3.73904e-05 +55 *1627:198 *2264:16 9.2346e-06 +56 *2055:8 *2264:6 0.000697616 +57 *2135:50 *2264:6 3.92275e-05 +58 *2258:32 *22391:B1 5.01835e-05 +*RES +1 *21197:Y *2264:5 13.7491 +2 *2264:5 *2264:6 58.0498 +3 *2264:6 *2264:15 31.794 +4 *2264:15 *2264:16 59.5521 +5 *2264:16 *2264:18 4.5 +6 *2264:18 *21198:A 9.24915 +7 *2264:18 *22391:B1 36.0399 +*END + +*D_NET *2265 0.00257078 +*CONN +*I *21199:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21198:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21199:C1 0.000381045 +2 *21198:X 0.000381045 +3 *21199:C1 *21430:C1 9.12416e-06 +4 *21199:C1 *22369:A2 3.31745e-05 +5 *21199:C1 *2266:13 2.82473e-05 +6 *21199:C1 *2349:12 2.68899e-05 +7 *21199:C1 *2349:15 0.000404561 +8 *21199:C1 *2350:30 6.72373e-06 +9 *21199:C1 *2488:14 8.6931e-05 +10 *21199:C1 *3134:214 2.41274e-06 +11 *21199:C1 *5086:24 0.00113659 +12 *21199:B1 *21199:C1 7.40414e-05 +*RES +1 *21198:X *21199:C1 42.8337 +*END + +*D_NET *2266 0.0688911 +*CONN +*I *21213:B I *D sky130_fd_sc_hd__and4_2 +*I *6167:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21199:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21213:B 0 +2 *6167:DIODE 4.42262e-05 +3 *21199:X 0.00249143 +4 *2266:92 4.42262e-05 +5 *2266:90 0.00153737 +6 *2266:71 0.00355376 +7 *2266:63 0.00304614 +8 *2266:55 0.00187049 +9 *2266:38 0.00237703 +10 *2266:36 0.00247905 +11 *2266:33 0.00267706 +12 *2266:24 0.0030351 +13 *2266:13 0.00379223 +14 *6167:DIODE *21213:C 6.11872e-05 +15 *6167:DIODE *4808:58 0.000154145 +16 *2266:13 *21290:A1 1.9101e-05 +17 *2266:13 *21315:B1 0.000215759 +18 *2266:13 *22297:B1 0.00174672 +19 *2266:13 *22369:B2 3.81654e-05 +20 *2266:13 *22383:A1 0.000224684 +21 *2266:13 *22384:A1 1.38333e-05 +22 *2266:13 *22384:B2 9.2386e-05 +23 *2266:13 *2331:8 2.98102e-05 +24 *2266:13 *2350:38 1.67435e-05 +25 *2266:13 *2352:8 5.50979e-05 +26 *2266:13 *2362:20 0.000402817 +27 *2266:13 *2363:31 0.00112666 +28 *2266:13 *2373:17 3.32873e-06 +29 *2266:13 *2373:32 0.00020466 +30 *2266:13 *2820:26 2.111e-05 +31 *2266:13 *3155:193 7.48886e-05 +32 *2266:13 *3155:207 3.3378e-06 +33 *2266:13 *3157:225 0.000354317 +34 *2266:13 *4823:45 6.86274e-05 +35 *2266:13 *5855:134 0.000698359 +36 *2266:13 *5865:88 6.4789e-05 +37 *2266:24 *20959:B1 0.000714029 +38 *2266:24 *24299:CLK 0.000618536 +39 *2266:24 *24766:CLK 0.00017196 +40 *2266:24 *2473:39 0.000109157 +41 *2266:24 *2499:39 0.000154321 +42 *2266:24 *2545:48 1.5714e-05 +43 *2266:24 *3257:20 0 +44 *2266:24 *4815:67 8.92051e-05 +45 *2266:24 *4843:302 0.000200794 +46 *2266:24 *4844:183 0.000105574 +47 *2266:24 *4902:71 7.22263e-05 +48 *2266:24 *5092:8 0 +49 *2266:24 *5853:156 0 +50 *2266:24 *5853:171 0.000107183 +51 *2266:24 *5859:151 0.000826636 +52 *2266:33 *23872:A 0.000209232 +53 *2266:33 *23873:A 0.000253916 +54 *2266:33 *4814:31 4.68978e-05 +55 *2266:33 *4814:37 1.17519e-05 +56 *2266:33 *4814:107 1.48984e-05 +57 *2266:33 *4823:63 5.04829e-06 +58 *2266:33 *5853:208 1.55025e-05 +59 *2266:33 *5853:227 0.000500727 +60 *2266:33 *5862:521 0.000214849 +61 *2266:36 *2320:56 7.53968e-05 +62 *2266:36 *2570:46 0.00204873 +63 *2266:36 *2687:30 1.27142e-05 +64 *2266:36 *2729:54 0.000179785 +65 *2266:36 *4825:95 0.000332525 +66 *2266:36 *4833:138 0.000638747 +67 *2266:36 *5040:13 0.000229208 +68 *2266:38 *2448:146 1.52726e-05 +69 *2266:38 *2448:147 0.00305399 +70 *2266:38 *2635:48 0.00311644 +71 *2266:38 *2729:62 2.04589e-05 +72 *2266:38 *4833:138 0.00144437 +73 *2266:38 *5874:17 2.53656e-05 +74 *2266:55 *2291:61 0.000957432 +75 *2266:55 *2423:69 0.000225462 +76 *2266:55 *2629:37 0.000111938 +77 *2266:55 *2729:77 0.000127281 +78 *2266:55 *5475:110 0.00127351 +79 *2266:55 *5910:26 3.80286e-05 +80 *2266:63 *21892:A 6.08467e-05 +81 *2266:63 *22817:A 0.000111722 +82 *2266:63 *2584:66 0.00301841 +83 *2266:63 *4813:31 0.00149912 +84 *2266:63 *4813:37 0.000237675 +85 *2266:63 *4829:108 0.000446237 +86 *2266:71 *22315:A 6.36477e-05 +87 *2266:71 *4810:29 0.00170292 +88 *2266:71 *4810:49 0.00117035 +89 *2266:71 *4813:37 0.000322699 +90 *2266:90 *21212:B1 0.000266977 +91 *2266:90 *22304:B1 0.000156396 +92 *2266:90 *2368:34 3.55968e-05 +93 *2266:90 *2378:46 0.000222285 +94 *2266:90 *2690:40 5.79618e-05 +95 *2266:90 *3190:15 0.00102032 +96 *2266:90 *3198:23 0.000845324 +97 *2266:90 *3476:20 3.63738e-05 +98 *2266:90 *3476:29 0.000282203 +99 *2266:90 *3513:26 3.74433e-05 +100 *2266:90 *3519:30 7.35113e-05 +101 *2266:90 *3521:26 9.32704e-05 +102 *2266:90 *3529:13 0.000616025 +103 *2266:90 *3531:43 2.17361e-05 +104 *2266:90 *3541:13 0.000213816 +105 *2266:90 *4819:57 0.00101753 +106 mgmt_gpio_oeb[12] *2266:36 3.5353e-05 +107 mgmt_gpio_oeb[8] *2266:55 3.17436e-05 +108 mgmt_gpio_out[11] *2266:36 0.000304758 +109 *21187:A1 *2266:13 6.21666e-05 +110 *21194:B1 *2266:90 0.000851781 +111 *21199:B1 *2266:13 0.000116755 +112 *21199:C1 *2266:13 2.82473e-05 +113 *21289:B2 *2266:13 0.000366764 +114 *21611:B2 *2266:13 0.000153861 +115 *24765:D *2266:24 1.03079e-05 +116 *24766:D *2266:24 9.40407e-06 +117 *25174:A *2266:55 1.91924e-05 +118 *490:84 *2266:36 2.79121e-05 +119 *490:84 *2266:38 0.00145136 +120 *1602:161 *2266:13 6.03237e-05 +121 *1608:156 *2266:13 8.86331e-05 +122 *1610:155 *2266:13 1.9101e-05 +123 *1631:90 *2266:13 1.27831e-05 +124 *1688:91 *2266:55 6.68585e-05 +125 *1725:192 *2266:13 4.7492e-05 +126 *1741:40 *2266:36 4.31485e-06 +127 *1802:136 *2266:90 0.000215939 +128 *1886:64 *2266:13 4.93206e-05 +129 *2263:77 *2266:13 0.000202933 +*RES +1 *21199:X *2266:13 46.0918 +2 *2266:13 *2266:24 47.6075 +3 *2266:24 *2266:33 46.2308 +4 *2266:33 *2266:36 40.5604 +5 *2266:36 *2266:38 84.0519 +6 *2266:38 *2266:55 30.3707 +7 *2266:55 *2266:63 41.9811 +8 *2266:63 *2266:71 48.1208 +9 *2266:71 *2266:90 49.7193 +10 *2266:90 *2266:92 4.5 +11 *2266:92 *6167:DIODE 11.0817 +12 *2266:92 *21213:B 9.24915 +*END + +*D_NET *2267 0.0771036 +*CONN +*I *22392:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22714:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21206:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21200:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22392:B1 2.31267e-05 +2 *22714:B1 0.000127227 +3 *21206:A1 0.000529786 +4 *21200:Y 0 +5 *2267:80 0.00142756 +6 *2267:70 0.00174259 +7 *2267:63 0.00174079 +8 *2267:59 0.00375156 +9 *2267:40 0.00436659 +10 *2267:39 0.00338786 +11 *2267:31 0.00327966 +12 *2267:21 0.00312178 +13 *2267:20 0.00216028 +14 *2267:4 0.00135052 +15 *21206:A1 *20360:A1 0.000211249 +16 *21206:A1 *20360:B1 6.28176e-05 +17 *21206:A1 *4845:401 0.000196209 +18 *21206:A1 *4845:412 0.00011843 +19 *22392:B1 *22392:B2 0.000107496 +20 *22392:B1 *3491:188 0.000111802 +21 *22714:B1 *2477:34 5.60291e-06 +22 *22714:B1 *3300:8 0.000150793 +23 *22714:B1 *3348:21 0.000111515 +24 *2267:20 *2333:18 0.000257335 +25 *2267:21 *21000:B2 0.000323699 +26 *2267:21 *23940:A0 7.48797e-05 +27 *2267:21 *23940:A1 0.000209312 +28 *2267:21 *24009:A1 6.92705e-05 +29 *2267:21 *24009:S 4.82966e-05 +30 *2267:21 *25312:A 8.42057e-05 +31 *2267:21 *2333:24 0.00170005 +32 *2267:21 *5871:505 0.000303887 +33 *2267:21 *5958:5 0.000352405 +34 *2267:31 *24186:CLK 6.23875e-05 +35 *2267:31 *2759:12 0.000665168 +36 *2267:31 *5796:7 0.000364385 +37 *2267:31 *5855:343 0.000132795 +38 *2267:31 *5855:350 0.000167566 +39 *2267:31 *5956:7 8.39059e-05 +40 *2267:31 *5960:19 1.4091e-06 +41 *2267:39 *23936:S 0.000175674 +42 *2267:39 *24334:CLK 0.000181733 +43 *2267:39 *2689:14 0.000470368 +44 *2267:39 *4877:152 0.000132381 +45 *2267:39 *5725:17 3.12848e-05 +46 *2267:39 *5857:215 4.68893e-05 +47 *2267:39 *6022:8 8.35699e-06 +48 *2267:40 *2291:26 0.00511163 +49 *2267:40 *2882:36 7.75215e-05 +50 *2267:40 *2938:56 0.00126805 +51 *2267:59 *19564:S 0.00439553 +52 *2267:59 *2295:39 0.00017391 +53 *2267:59 *2295:59 0.00126189 +54 *2267:59 *2404:101 1.86819e-05 +55 *2267:59 *2791:50 5.96043e-05 +56 *2267:59 *4817:85 0.00033063 +57 *2267:59 *4829:125 0.000230443 +58 *2267:59 *5874:36 0.000368692 +59 *2267:59 *5874:46 0.0027871 +60 *2267:63 *21075:A1 1.7883e-05 +61 *2267:63 *21075:B1 8.78407e-06 +62 *2267:63 *2295:59 0.00233437 +63 *2267:63 *4805:47 0.000343543 +64 *2267:63 *4810:8 0.000300565 +65 *2267:70 *22673:B1 0.00137262 +66 *2267:70 *2301:45 0.000652858 +67 *2267:70 *2908:29 7.34841e-05 +68 *2267:70 *3306:22 0.000192283 +69 *2267:70 *5068:15 8.93134e-05 +70 *2267:80 *21424:B 0.000223278 +71 *2267:80 *2295:78 6.36477e-05 +72 *2267:80 *2397:13 0.000897654 +73 *2267:80 *2477:34 9.82202e-06 +74 *2267:80 *2570:94 0.000675898 +75 *2267:80 *3120:82 0.00049639 +76 *2267:80 *3300:8 8.34698e-05 +77 *2267:80 *3476:195 0.000111185 +78 *2267:80 *3491:178 7.71734e-05 +79 mgmt_gpio_oeb[6] *2267:59 0.000374723 +80 *20360:A2 *21206:A1 0.000206696 +81 *21000:B1 *2267:21 0.000424623 +82 *21066:B *2267:80 4.47134e-05 +83 *21075:B2 *2267:70 0.000247443 +84 *24009:A0 *2267:21 0.0002646 +85 *24224:D *2267:63 6.08467e-05 +86 *520:28 *2267:40 2.17447e-05 +87 *521:18 *2267:21 6.11359e-06 +88 *529:35 *2267:80 0.000291917 +89 *529:41 *2267:59 0.000566886 +90 *535:25 *2267:59 0.000446129 +91 *537:14 *2267:80 3.82228e-05 +92 *537:29 *2267:80 0.000966572 +93 *537:30 *2267:40 0.00965606 +94 *539:40 *2267:40 0.00363778 +95 *539:48 *2267:40 0.000397735 +96 *1430:8 *2267:31 8.2536e-05 +97 *1439:81 *2267:59 1.91391e-05 +98 *1564:86 *2267:80 3.54024e-05 +99 *1649:143 *2267:80 0.000899299 +100 *1741:81 *2267:40 0.000104426 +101 *1917:29 *2267:59 7.13655e-06 +102 *2164:43 *2267:20 0.000162078 +*RES +1 *21200:Y *2267:4 9.24915 +2 *2267:4 *21206:A1 25.6943 +3 *2267:4 *2267:20 30.2586 +4 *2267:20 *2267:21 46.7555 +5 *2267:21 *2267:31 46.1254 +6 *2267:31 *2267:39 47.2245 +7 *2267:39 *2267:40 172.708 +8 *2267:40 *2267:59 25.4697 +9 *2267:59 *2267:63 34.0237 +10 *2267:63 *2267:70 29.1606 +11 *2267:70 *2267:80 42.6179 +12 *2267:80 *22714:B1 17.5597 +13 *2267:80 *22392:B1 15.0271 +*END + +*D_NET *2268 0.0180258 +*CONN +*I *21206:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21201:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21206:B1 0.000430561 +2 *21201:Y 0 +3 *2268:19 0.00144508 +4 *2268:11 0.00173496 +5 *2268:7 0.00232324 +6 *2268:4 0.0016028 +7 *21206:B1 *4845:412 0.000105739 +8 *2268:7 *24869:CLK 1.50924e-05 +9 *2268:7 *5858:372 0.00168961 +10 *2268:11 *24869:SET_B 1.18293e-05 +11 *2268:11 *24869:CLK 5.85118e-05 +12 *2268:11 *5770:8 2.2819e-05 +13 *2268:19 *6188:DIODE 2.16355e-05 +14 *2268:19 *21501:A 0.000444708 +15 *2268:19 *21713:B1 0.00313025 +16 *2268:19 *5770:8 2.31606e-05 +17 *2268:19 *5770:26 2.71342e-05 +18 *19690:A2 *2268:7 6.55312e-05 +19 *19690:B2 *2268:7 1.1289e-05 +20 *20242:A2 *21206:B1 1.41976e-05 +21 *20242:A2 *2268:19 0.000233296 +22 *21206:B2 *21206:B1 0.000228576 +23 *1494:61 *2268:7 0.000245832 +24 *1495:38 *2268:7 5.04423e-06 +25 *1495:38 *2268:11 2.8182e-06 +26 *1502:102 *21206:B1 0.000107496 +27 *1516:38 *2268:7 0.0013268 +28 *1669:66 *2268:19 0.0026539 +29 *1896:47 *2268:19 4.38909e-05 +*RES +1 *21201:Y *2268:4 9.24915 +2 *2268:4 *2268:7 44.5612 +3 *2268:7 *2268:11 10.4531 +4 *2268:11 *2268:19 47.2498 +5 *2268:19 *21206:B1 20.0097 +*END + +*D_NET *2269 0.000897361 +*CONN +*I *21205:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21202:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21205:A1 0.000190199 +2 *21202:Y 0.000190199 +3 *21205:A1 *21211:B1 0.000148129 +4 *21205:A1 *2388:7 0.00010307 +5 *21205:A1 *2560:16 8.52652e-05 +6 *21205:A1 *4843:545 0 +7 *21326:A2 *21205:A1 0.000180499 +*RES +1 *21202:Y *21205:A1 35.321 +*END + +*D_NET *2270 0.0424126 +*CONN +*I *21327:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *21377:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21205:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21967:B2 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *21887:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21713:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *21505:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21203:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21327:B2 0 +2 *21377:B2 2.57444e-05 +3 *21205:A2 0 +4 *21967:B2 9.9931e-05 +5 *21887:B2 1.64184e-05 +6 *21713:B2 0.000298351 +7 *21505:A2 1.08227e-05 +8 *21203:X 8.65965e-05 +9 *2270:133 0.00251757 +10 *2270:128 0.00343763 +11 *2270:97 0.00145822 +12 *2270:91 0.00154445 +13 *2270:75 0.00126055 +14 *2270:51 0.0022606 +15 *2270:28 0.00195729 +16 *2270:16 0.000714159 +17 *2270:8 0.00232779 +18 *2270:7 0.00309451 +19 *21377:B2 *21377:A1 4.18856e-06 +20 *21377:B2 *21377:B1 3.04697e-05 +21 *21505:A2 *2560:21 6.92705e-05 +22 *21505:A2 *2831:39 2.41483e-05 +23 *21505:A2 *5852:9 0.000118166 +24 *21713:B2 *21713:B1 5.72763e-05 +25 *21713:B2 *2739:13 0.000160942 +26 *21887:B2 *21887:A1 4.0621e-06 +27 *2270:7 *2580:17 1.65872e-05 +28 *2270:8 *2586:8 0.00028183 +29 *2270:8 *2586:23 0.000232165 +30 *2270:8 *2743:11 4.59944e-05 +31 *2270:8 *2757:8 0.000267698 +32 *2270:8 *2761:6 0.000442187 +33 *2270:8 *3063:16 1.05982e-05 +34 *2270:8 *5745:12 8.86825e-05 +35 *2270:8 *5860:482 0.000986499 +36 *2270:16 *21717:A1_N 4.58003e-05 +37 *2270:28 *2560:21 0.000201759 +38 *2270:28 *5852:9 0.000213725 +39 *2270:51 *19986:A 0.000172794 +40 *2270:51 *20244:B1 0.000700553 +41 *2270:51 *20245:B1 0.000287284 +42 *2270:51 *20367:B1 0.000110267 +43 *2270:51 *21588:B1 0.000370699 +44 *2270:51 *21713:B1 0.000258905 +45 *2270:51 *21887:A1 8.85014e-05 +46 *2270:51 *2903:7 6.50586e-05 +47 *2270:51 *5860:327 0.000171288 +48 *2270:51 *5871:63 3.60268e-05 +49 *2270:75 *20243:A1 1.40953e-05 +50 *2270:75 *20245:A1 1.00981e-05 +51 *2270:75 *20245:B1 0.000189746 +52 *2270:75 *21377:B1 6.63616e-05 +53 *2270:75 *21887:A1 9.42563e-05 +54 *2270:75 *2437:7 0.000107496 +55 *2270:75 *5878:13 0.000127995 +56 *2270:91 *21205:B1 6.50727e-05 +57 *2270:91 *21377:A1 4.31603e-06 +58 *2270:91 *21377:B1 0.000286721 +59 *2270:91 *2272:20 0.000181006 +60 *2270:97 *21377:B1 7.65861e-05 +61 *2270:97 *2273:6 1.47583e-05 +62 *2270:97 *2385:14 0.000740821 +63 *2270:97 *2388:8 0.00172163 +64 *2270:97 *4945:31 0.000133773 +65 *2270:128 *2580:17 0.00073981 +66 *2270:128 *2757:8 6.64609e-05 +67 *2270:128 *2761:6 7.14746e-05 +68 *2270:128 *2928:31 0.000107496 +69 *2270:133 *21364:A1 7.34948e-06 +70 *2270:133 *24560:CLK 0.000166059 +71 *2270:133 *2424:7 0.000313246 +72 *2270:133 *4844:356 0.000112581 +73 *2270:133 *4865:6 2.88928e-05 +74 *19615:A2 *2270:133 5.37805e-05 +75 *19615:B2 *2270:133 2.16355e-05 +76 *19677:A *2270:51 5.9036e-05 +77 *20243:A2 *2270:75 0.000243764 +78 *20245:A2 *2270:75 0.000185642 +79 *20245:B2 *2270:75 0.00010182 +80 *20510:B *2270:133 1.80887e-05 +81 *21205:B2 *2270:91 0.000204338 +82 *21205:B2 *2270:97 0 +83 *21377:A2 *2270:75 3.34025e-05 +84 *21377:A2 *2270:91 6.92705e-05 +85 *23981:A0 *21967:B2 6.08467e-05 +86 *24744:D *2270:75 0.000236334 +87 *516:25 *2270:133 0.000459929 +88 *546:15 *2270:97 0.000843793 +89 *547:49 *21967:B2 7.14746e-05 +90 *1428:51 *2270:7 0.000340742 +91 *1439:231 *2270:8 0 +92 *1439:231 *2270:16 8.90309e-05 +93 *1439:231 *2270:28 0.00112212 +94 *1450:79 *2270:128 0.000597201 +95 *1450:79 *2270:133 0.000373255 +96 *1453:7 *2270:133 0.00011864 +97 *1488:8 *2270:8 2.47881e-05 +98 *1520:34 *2270:8 9.96222e-05 +99 *1669:34 *2270:8 0.00146963 +100 *1669:34 *2270:16 9.18696e-05 +101 *1671:21 *2270:128 0.000183441 +102 *1691:63 *2270:7 0.000294093 +103 *1691:145 *2270:133 4.52871e-05 +104 *1740:36 *2270:8 3.88358e-05 +105 *1740:38 *2270:8 0.00145408 +106 *1740:48 *2270:8 0.000157378 +107 *1746:8 *2270:8 0 +108 *1746:8 *2270:28 0.00112723 +109 *2159:40 *2270:8 0 +*RES +1 *21203:X *2270:7 17.8002 +2 *2270:7 *2270:8 73.2554 +3 *2270:8 *2270:16 20.7625 +4 *2270:16 *21505:A2 10.5271 +5 *2270:16 *2270:28 32.3208 +6 *2270:28 *21713:B2 15.0363 +7 *2270:28 *2270:51 39.9978 +8 *2270:51 *21887:B2 9.82786 +9 *2270:51 *2270:75 26.3557 +10 *2270:75 *2270:91 9.91053 +11 *2270:91 *2270:97 49.912 +12 *2270:97 *21967:B2 20.3893 +13 *2270:91 *21205:A2 9.24915 +14 *2270:75 *21377:B2 10.7201 +15 *2270:7 *2270:128 28.8484 +16 *2270:128 *2270:133 45.7327 +17 *2270:133 *21327:B2 13.7491 +*END + +*D_NET *2271 0.000836962 +*CONN +*I *21205:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21204:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21205:B1 0.000247585 +2 *21204:Y 0.000247585 +3 *21205:B1 *21377:B1 3.22726e-05 +4 *21205:B1 *2272:20 8.10016e-06 +5 *21205:B1 *2902:12 0.000171273 +6 *21205:B2 *21205:B1 6.50727e-05 +7 *2270:91 *21205:B1 6.50727e-05 +*RES +1 *21204:Y *21205:B1 32.7168 +*END + +*D_NET *2272 0.00187139 +*CONN +*I *21206:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21205:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21206:C1 0 +2 *21205:X 0.00042806 +3 *2272:20 0.00042806 +4 *2272:20 *21377:A1 2.16355e-05 +5 *2272:20 *21377:B1 7.92757e-06 +6 *2272:20 *2434:8 0.000213169 +7 *21205:B1 *2272:20 8.10016e-06 +8 *21205:B2 *2272:20 0.000583428 +9 *2270:91 *2272:20 0.000181006 +*RES +1 *21205:X *2272:20 41.4394 +2 *2272:20 *21206:C1 9.24915 +*END + +*D_NET *2273 0.0352799 +*CONN +*I *21213:C I *D sky130_fd_sc_hd__and4_2 +*I *21206:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21213:C 0.000408913 +2 *21206:X 0 +3 *2273:18 0.00243101 +4 *2273:17 0.00215167 +5 *2273:14 0.00146574 +6 *2273:6 0.00543655 +7 *2273:5 0.00410039 +8 *21213:C *22332:A2 1.41976e-05 +9 *21213:C *3139:122 1.99131e-05 +10 *21213:C *4808:58 2.764e-05 +11 *2273:6 *20233:A1 3.42931e-05 +12 *2273:6 *21316:A 0.000144531 +13 *2273:6 *23986:A1 0.000291192 +14 *2273:6 *24751:RESET_B 0.000127614 +15 *2273:6 *2385:14 0.00128439 +16 *2273:6 *2410:8 0.00422004 +17 *2273:6 *4830:84 0.00126297 +18 *2273:6 *4844:372 9.61745e-05 +19 *2273:6 *4868:18 0.00165883 +20 *2273:6 *4945:31 0.000572187 +21 *2273:14 *21334:C1 4.64395e-05 +22 *2273:14 *21351:B1 1.91246e-05 +23 *2273:14 *21352:C1 7.74697e-06 +24 *2273:14 *21353:B 3.00073e-05 +25 *2273:14 *21365:B1 8.75504e-06 +26 *2273:14 *2408:10 0.000138607 +27 *2273:14 *2420:42 0.000593363 +28 *2273:14 *2421:138 0.000448509 +29 *2273:14 *2720:24 2.82267e-05 +30 *2273:17 *2693:19 8.32605e-05 +31 *2273:17 *3124:15 0.000110297 +32 *2273:17 *3498:24 0.000502863 +33 *2273:18 *22671:A 0.000360169 +34 *2273:18 *22671:B 0.000123662 +35 *2273:18 *2407:41 1.5714e-05 +36 *2273:18 *2596:18 5.38297e-06 +37 *2273:18 *3139:122 1.37385e-05 +38 *2273:18 *3487:118 0.000101639 +39 *2273:18 *3513:26 3.73224e-05 +40 *6167:DIODE *21213:C 6.11872e-05 +41 *21193:B1 *2273:18 9.55326e-05 +42 *21205:B2 *2273:6 0 +43 *21320:A2 *2273:18 8.39604e-05 +44 *21320:B2 *2273:18 3.67131e-05 +45 *21334:B2 *2273:14 7.273e-05 +46 *21365:A2 *2273:14 8.52802e-05 +47 *21915:B2 *2273:14 0.000107496 +48 *24900:D *2273:14 8.62625e-06 +49 *521:11 *2273:6 0 +50 *524:43 *2273:6 0 +51 *533:8 *2273:6 5.73598e-05 +52 *533:8 *2273:14 8.8789e-05 +53 *546:15 *2273:6 7.50722e-05 +54 *548:11 *2273:6 0 +55 *1428:54 *2273:14 0.000103547 +56 *1497:172 *2273:14 0.000151199 +57 *1502:204 *2273:18 0.000137126 +58 *1551:47 *2273:18 0.0017174 +59 *1645:69 *2273:18 8.20316e-05 +60 *1706:157 *2273:18 0.00215964 +61 *1717:45 *2273:14 0 +62 *1744:42 *2273:6 0 +63 *1749:47 *2273:6 0.000639569 +64 *1780:146 *2273:14 9.32983e-05 +65 *1788:57 *2273:18 3.91944e-05 +66 *1933:52 *2273:6 0.000355834 +67 *1933:62 *2273:6 0.000590477 +68 *2270:97 *2273:6 1.47583e-05 +*RES +1 *21206:X *2273:5 13.7491 +2 *2273:5 *2273:6 144.264 +3 *2273:6 *2273:14 47.4808 +4 *2273:14 *2273:17 10.2148 +5 *2273:17 *2273:18 61.6283 +6 *2273:18 *21213:C 19.464 +*END + +*D_NET *2274 0.130583 +*CONN +*I *22716:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22402:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *6091:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21212:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21207:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22716:B1 0.000300858 +2 *22402:A1 0 +3 *6091:DIODE 0.000252422 +4 *21212:A1 8.6822e-05 +5 *21207:Y 0.000376661 +6 *2274:115 0.000398952 +7 *2274:95 0.011974 +8 *2274:71 0.013334 +9 *2274:63 0.00801191 +10 *2274:38 0.00757804 +11 *2274:30 0.00379684 +12 *2274:28 0.00410267 +13 *2274:22 0.00326389 +14 *2274:10 0.00214494 +15 *6091:DIODE *21235:A1 0.000532692 +16 *6091:DIODE *21630:B1 0.000536634 +17 *6091:DIODE *22402:A2 6.36477e-05 +18 *6091:DIODE *22402:B2 6.08467e-05 +19 *6091:DIODE *2409:33 1.5714e-05 +20 *6091:DIODE *3649:8 2.27135e-05 +21 *21212:A1 *21212:C1 6.78596e-05 +22 *21212:A1 *21213:D 1.5714e-05 +23 *21212:A1 *2368:34 4.29715e-05 +24 *21212:A1 *3485:133 6.39153e-06 +25 *22716:B1 *22402:A2 2.09018e-05 +26 *22716:B1 *22402:B2 0.000156946 +27 *22716:B1 *22691:B1 7.09666e-06 +28 *22716:B1 *22716:A2 0.000187333 +29 *22716:B1 *22717:C1 0.000107496 +30 *22716:B1 *2409:33 3.70945e-05 +31 *22716:B1 *3179:212 0.000142625 +32 *2274:10 *5726:29 0.000224381 +33 *2274:22 *20347:A1 0.000132269 +34 *2274:22 *2514:13 0.000972183 +35 *2274:22 *2580:16 0.000111708 +36 *2274:22 *2732:29 1.91246e-05 +37 *2274:22 *5830:41 7.89747e-05 +38 *2274:22 *5851:502 0.000495626 +39 *2274:22 *5917:465 1.58415e-05 +40 *2274:28 *24892:CLK 6.44576e-05 +41 *2274:28 *2836:8 0.000131432 +42 *2274:28 *5851:502 0.000350089 +43 *2274:28 *5917:431 7.14746e-05 +44 *2274:30 *20452:A1 2.65331e-05 +45 *2274:30 *21927:B1 8.11463e-06 +46 *2274:30 *24632:CLK 6.45299e-05 +47 *2274:30 *24660:SET_B 0.000286448 +48 *2274:30 *2284:28 0.000182324 +49 *2274:30 *2703:21 7.51112e-06 +50 *2274:30 *2836:8 0.000999877 +51 *2274:38 *20452:A1 0.00025233 +52 *2274:38 *2627:24 9.10152e-05 +53 *2274:38 *2836:8 0 +54 *2274:38 *2943:66 2.15339e-05 +55 *2274:38 *3411:29 0.00109301 +56 *2274:38 *5851:141 0.000263188 +57 *2274:38 *5858:141 3.39588e-06 +58 *2274:38 *5869:319 0.000115878 +59 *2274:38 *5869:331 1.66771e-05 +60 *2274:63 *22435:C1 9.14516e-05 +61 *2274:63 *22806:B2 0.000155692 +62 *2274:63 *2520:119 0.0026674 +63 *2274:63 *2548:41 8.25014e-05 +64 *2274:63 *2563:26 5.60903e-06 +65 *2274:63 *2634:29 0.000393045 +66 *2274:63 *2704:72 5.27033e-05 +67 *2274:63 *2708:32 0.000291781 +68 *2274:63 *2943:66 0.000845779 +69 *2274:63 *3028:30 0.000930949 +70 *2274:63 *3029:33 0.00122183 +71 *2274:63 *3066:26 0.000763712 +72 *2274:63 *3394:26 0.000125938 +73 *2274:63 *3432:32 0.000121186 +74 *2274:63 *3463:27 0.000426174 +75 *2274:63 *3466:47 2.05226e-05 +76 *2274:63 *3519:93 0.000145523 +77 *2274:63 *5455:12 0.00536885 +78 *2274:63 *5851:141 2.2379e-05 +79 *2274:71 *21212:B1 0.000372458 +80 *2274:71 *2503:124 0.000860735 +81 *2274:71 *2520:91 0.000918979 +82 *2274:71 *2520:119 8.4815e-05 +83 *2274:71 *2532:18 0.000129666 +84 *2274:71 *2634:29 0.000190937 +85 *2274:71 *3466:47 0.0018334 +86 *2274:71 *3513:26 7.41739e-06 +87 *2274:71 *4807:82 0.000129091 +88 *2274:95 *21212:B1 0.000547486 +89 *2274:95 *21235:A1 0.00047909 +90 *2274:95 *21436:B1 0.000207097 +91 *2274:95 *21630:B1 0.00285091 +92 *2274:95 *22643:A1 0.00136828 +93 *2274:95 *22653:C 0.000168468 +94 *2274:95 *22718:A2 0.000446624 +95 *2274:95 *24230:RESET_B 3.56216e-05 +96 *2274:95 *2300:70 0.001073 +97 *2274:95 *2380:84 6.46249e-06 +98 *2274:95 *2384:38 0.000202328 +99 *2274:95 *2384:73 0.000273904 +100 *2274:95 *2415:64 0.000362621 +101 *2274:95 *2423:93 0 +102 *2274:95 *2467:114 0.000170471 +103 *2274:95 *2492:32 0.000206356 +104 *2274:95 *2520:91 0.00950625 +105 *2274:95 *2584:62 0.00320142 +106 *2274:95 *2635:67 0.000481034 +107 *2274:95 *2882:74 0.000751222 +108 *2274:95 *2908:29 8.12259e-06 +109 *2274:95 *3050:28 0.00026753 +110 *2274:95 *3072:20 4.11778e-05 +111 *2274:95 *3498:163 2.40252e-05 +112 *2274:95 *3521:14 0.000726432 +113 *2274:95 *3521:191 2.43368e-05 +114 *2274:95 *4814:64 0.00535131 +115 *2274:95 *4816:124 3.32122e-05 +116 *2274:95 *4819:28 0.00123322 +117 *2274:95 *4826:117 0.000326516 +118 *2274:95 *5862:296 7.95779e-05 +119 *2274:95 *5874:46 0.000154689 +120 *2274:95 *5898:191 0.00234727 +121 *2274:115 *22402:A2 4.46277e-05 +122 *2274:115 *2294:64 3.39118e-05 +123 *2274:115 *2354:47 3.88358e-05 +124 *20002:B *2274:22 0.000360145 +125 *20012:C1 *2274:30 0.000162462 +126 *20345:S *2274:22 2.01503e-05 +127 *20348:A *2274:28 1.92172e-05 +128 *20368:B *2274:30 8.69165e-05 +129 *20640:B2 *2274:38 1.5714e-05 +130 *20641:A2 *2274:38 1.97089e-05 +131 *21180:B2 *22716:B1 3.41459e-05 +132 *21180:B2 *2274:115 4.83435e-05 +133 *21193:B2 *2274:71 0.000104754 +134 *21194:B1 *2274:95 0 +135 *21212:A2 *21212:A1 7.23423e-05 +136 *21259:B2 *2274:95 0.000779179 +137 *22402:B1 *22716:B1 0.000158451 +138 *22402:B1 *2274:115 9.80242e-07 +139 *25173:A *2274:95 0 +140 *476:18 *2274:30 9.21233e-05 +141 *476:54 *2274:10 0.000220183 +142 *512:38 *2274:95 0.00123952 +143 *520:27 *2274:95 0.0002227 +144 *527:44 *2274:95 0.000106142 +145 *537:29 *2274:95 0 +146 *1427:22 *2274:28 0.00127005 +147 *1428:14 *2274:10 0.000111412 +148 *1428:24 *2274:10 0.000437433 +149 *1432:8 *2274:30 4.65545e-06 +150 *1432:15 *2274:30 7.77994e-06 +151 *1439:333 *2274:28 0 +152 *1439:333 *2274:30 6.55469e-05 +153 *1439:356 *2274:30 0.00160972 +154 *1442:63 *2274:30 0.00136712 +155 *1442:63 *2274:38 7.92757e-06 +156 *1442:73 *2274:30 7.07853e-05 +157 *1448:97 *2274:22 0.00017005 +158 *1459:70 *2274:63 9.66619e-05 +159 *1461:248 *2274:30 0 +160 *1467:22 *2274:22 7.31749e-05 +161 *1467:30 *2274:10 0 +162 *1467:184 *2274:63 0.00077899 +163 *1485:50 *2274:22 0.000328579 +164 *1496:106 *2274:63 0.000131362 +165 *1506:35 *2274:10 0.0003258 +166 *1515:20 *2274:30 0.000407071 +167 *1544:13 *2274:22 0.000317707 +168 *1551:109 *2274:95 0.000128123 +169 *1564:50 *2274:71 2.41701e-05 +170 *1573:155 *2274:95 0.000225039 +171 *1604:91 *2274:63 0.000104754 +172 *1616:42 *2274:71 1.60312e-05 +173 *1641:77 *2274:71 3.74499e-06 +174 *1683:17 *2274:30 0.000201831 +175 *1686:8 *2274:10 0.00027988 +176 *1691:7 *2274:22 0.00011818 +177 *1691:44 *2274:22 0.000710289 +178 *1715:48 *2274:30 1.53722e-05 +179 *1725:41 *2274:30 0.000346528 +180 *1726:28 *2274:38 1.59052e-05 +181 *1730:87 *2274:38 0.000512334 +182 *1740:14 *2274:22 5.13677e-05 +183 *1784:13 *2274:22 0.000173242 +184 *1788:57 *2274:71 0.000273016 +185 *1791:14 *2274:22 1.66771e-05 +186 *1802:116 *2274:71 1.13797e-05 +187 *1808:26 *2274:38 0.000859524 +188 *2246:30 *2274:95 6.98716e-05 +*RES +1 *21207:Y *2274:10 33.2649 +2 *2274:10 *2274:22 47.4868 +3 *2274:22 *2274:28 30.3337 +4 *2274:28 *2274:30 83.9664 +5 *2274:30 *2274:38 47.5852 +6 *2274:38 *2274:63 48.9917 +7 *2274:63 *2274:71 10.501 +8 *2274:71 *21212:A1 19.4657 +9 *2274:71 *2274:95 42.8544 +10 *2274:95 *6091:DIODE 19.0347 +11 *2274:95 *2274:115 10.3747 +12 *2274:115 *22402:A1 9.24915 +13 *2274:115 *22716:B1 27.6446 +*END + +*D_NET *2275 0.0294302 +*CONN +*I *22398:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22709:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21212:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21208:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22398:B1 0.000460515 +2 *22709:A1 6.27366e-05 +3 *21212:B1 0.000703127 +4 *21208:Y 0 +5 *2275:71 0.00105685 +6 *2275:56 0.00181094 +7 *2275:43 0.00258738 +8 *2275:39 0.00165859 +9 *2275:9 0.00211605 +10 *2275:4 0.00176147 +11 *21212:B1 *21212:C1 7.91293e-05 +12 *21212:B1 *2403:18 8.90266e-05 +13 *21212:B1 *2694:38 7.22263e-05 +14 *21212:B1 *3124:127 8.56161e-05 +15 *21212:B1 *3491:27 1.34424e-05 +16 *21212:B1 *3496:125 0.000233989 +17 *21212:B1 *3498:163 0.000275111 +18 *21212:B1 *3513:26 4.54865e-05 +19 *21212:B1 *3519:30 2.31296e-05 +20 *21212:B1 *4807:82 5.04829e-06 +21 *22398:B1 *22354:B1 6.3314e-05 +22 *22398:B1 *22398:B2 0.000207911 +23 *22398:B1 *22398:C1 5.43795e-05 +24 *22398:B1 *2477:26 6.98337e-06 +25 *22398:B1 *2527:13 4.76283e-05 +26 *22398:B1 *3192:69 3.01846e-05 +27 *22709:A1 *22709:B1 0.000302625 +28 *22709:A1 *3466:173 0.000298399 +29 *2275:9 *22653:C 6.50586e-05 +30 *2275:9 *24496:CLK 1.82618e-05 +31 *2275:9 *3192:12 0.00026491 +32 *2275:9 *3204:140 0.000201623 +33 *2275:9 *3466:10 6.87762e-05 +34 *2275:9 *3476:7 0.00168522 +35 *2275:9 *3476:20 0.000403562 +36 *2275:9 *3491:17 0.000551534 +37 *2275:9 *3491:27 0.000179982 +38 *2275:9 *5909:26 3.58968e-05 +39 *2275:39 *2546:5 4.73434e-05 +40 *2275:39 *2610:70 1.55462e-05 +41 *2275:39 *4806:11 0.000892447 +42 *2275:43 *2887:11 0.000250244 +43 *2275:43 *3153:101 0.000649115 +44 *2275:43 *3263:33 9.27065e-05 +45 *2275:43 *4811:21 0.000247443 +46 *2275:43 *4908:14 0.000169783 +47 *2275:43 *4908:19 0.000198254 +48 *2275:56 *2676:22 6.74605e-06 +49 *2275:56 *2676:26 0.0013374 +50 *2275:56 *3153:112 0.000219785 +51 *2275:56 *4818:48 0.000238377 +52 *2275:56 *4818:50 8.17829e-06 +53 *2275:56 *5857:125 0.000171941 +54 *2275:56 *5857:138 7.71503e-05 +55 *2275:71 *19800:A1_N 0.00010707 +56 *2275:71 *22709:B1 0.000235492 +57 *2275:71 *2332:13 0.000254551 +58 *2275:71 *2527:13 6.04664e-05 +59 *2275:71 *3466:173 0.000327267 +60 *2275:71 *4826:117 2.7257e-05 +61 *19800:A2_N *2275:71 6.26221e-05 +62 *20616:B2 *2275:9 1.61631e-05 +63 *22400:A1 *22398:B1 0.000139993 +64 *22526:B *2275:9 0.000139947 +65 *22708:A1 *2275:71 0.00025175 +66 *512:38 *2275:43 6.09935e-05 +67 *1558:24 *2275:71 0 +68 *1576:16 *2275:39 0.000398169 +69 *1610:54 *2275:71 8.61022e-05 +70 *1649:189 *2275:56 0 +71 *1652:28 *2275:9 6.45577e-05 +72 *2015:78 *2275:56 0.000817717 +73 *2015:91 *2275:56 0.000445031 +74 *2016:71 *2275:43 7.28091e-05 +75 *2100:72 *2275:9 0.000110297 +76 *2177:78 *2275:39 4.76283e-05 +77 *2178:40 *2275:43 0.00130598 +78 *2178:51 *2275:43 0.000357026 +79 *2178:62 *2275:43 0.000338395 +80 *2256:62 *2275:39 8.62625e-06 +81 *2256:88 *2275:39 0.000111722 +82 *2256:88 *2275:43 0.000125413 +83 *2256:114 *2275:56 2.16736e-05 +84 *2266:90 *21212:B1 0.000266977 +85 *2274:71 *21212:B1 0.000372458 +86 *2274:95 *21212:B1 0.000547486 +*RES +1 *21208:Y *2275:4 9.24915 +2 *2275:4 *2275:9 49.8174 +3 *2275:9 *21212:B1 32.9669 +4 *2275:4 *2275:39 24.8219 +5 *2275:39 *2275:43 47.8923 +6 *2275:43 *2275:56 48.4978 +7 *2275:56 *2275:71 24.8659 +8 *2275:71 *22709:A1 12.7456 +9 *2275:71 *22398:B1 29.3084 +*END + +*D_NET *2276 0.0119002 +*CONN +*I *21211:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21209:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21211:A1 1.93962e-05 +2 *21209:Y 0.00136248 +3 *2276:13 0.00110295 +4 *2276:11 0.00244604 +5 *2276:11 *24870:SET_B 1.19856e-05 +6 *2276:11 *24870:CLK 8.65522e-05 +7 *2276:11 *5871:48 1.71154e-05 +8 *2276:13 *21930:A 0.000231442 +9 *2276:13 *2644:13 5.2374e-05 +10 *2276:13 *2755:14 0.000131072 +11 *2276:13 *4954:27 4.52871e-05 +12 *19675:B *2276:13 3.80872e-05 +13 *19678:S *2276:13 6.36477e-05 +14 *19916:A1 *2276:11 0.000275256 +15 *19989:A1 *2276:13 0.000259585 +16 *20240:A *2276:13 4.31539e-05 +17 *21211:A2 *2276:13 0.0031138 +18 *21326:B2 *2276:13 7.24449e-05 +19 *24870:D *2276:11 0.000221251 +20 *1488:21 *2276:11 4.33819e-05 +21 *1488:21 *2276:13 0.00052146 +22 *1489:5 *2276:13 0.00052425 +23 *1744:61 *2276:13 0.000540793 +24 *1746:7 *2276:13 0.000169041 +25 *1780:61 *21211:A1 3.01683e-06 +26 *1780:61 *2276:13 0.000504318 +*RES +1 *21209:Y *2276:11 35.1467 +2 *2276:11 *2276:13 52.8561 +3 *2276:13 *21211:A1 9.82786 +*END + +*D_NET *2277 0.0137321 +*CONN +*I *21211:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21210:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21211:B1 0.000565691 +2 *21210:Y 5.4056e-05 +3 *2277:11 0.00328395 +4 *2277:8 0.00277232 +5 *21211:B1 *21376:A 0 +6 *21211:B1 *21966:A 0 +7 *21211:B1 *2278:8 0.000888972 +8 *21211:B1 *2333:13 0.000206112 +9 *21211:B1 *2560:16 1.72799e-05 +10 *21211:B1 *2560:20 9.24241e-05 +11 *21211:B1 *2980:6 0.000114739 +12 *21211:B1 *4843:545 0 +13 *21211:B1 *5922:11 0.000459901 +14 *2277:8 *2386:13 7.50872e-05 +15 *2277:11 *21325:A 1.88014e-05 +16 *2277:11 *2290:13 8.26312e-05 +17 *2277:11 *2333:13 7.83311e-05 +18 *2277:11 *2387:7 0.00386736 +19 *21205:A1 *21211:B1 0.000148129 +20 *21326:B2 *21211:B1 0.000835865 +21 *324:10 *2277:11 0.000135387 +22 *1780:61 *21211:B1 3.51034e-05 +*RES +1 *21210:Y *2277:8 19.6659 +2 *2277:8 *2277:11 48.998 +3 *2277:11 *21211:B1 43.031 +*END + +*D_NET *2278 0.0529828 +*CONN +*I *21212:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21211:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21212:C1 0.000136549 +2 *21211:X 0.00176083 +3 *2278:46 0.00172847 +4 *2278:39 0.00345244 +5 *2278:28 0.00448069 +6 *2278:26 0.00272436 +7 *2278:24 0.00250327 +8 *2278:23 0.00308009 +9 *2278:13 0.00212928 +10 *2278:8 0.0032091 +11 *21212:C1 *3491:27 0.000451263 +12 *21212:C1 *3496:125 3.38674e-05 +13 *2278:8 *20970:B2 0.000244389 +14 *2278:8 *24290:CLK 4.65396e-05 +15 *2278:8 *2290:18 0 +16 *2278:8 *5871:271 1.59966e-05 +17 *2278:8 *5871:280 0.000136628 +18 *2278:23 *24013:S 3.20069e-06 +19 *2278:23 *24264:RESET_B 0.000124942 +20 *2278:23 *2559:8 0.000113348 +21 *2278:23 *2831:7 0.000368693 +22 *2278:23 *2831:11 0.0003371 +23 *2278:23 *5989:7 0.000113968 +24 *2278:24 *19629:B1 0 +25 *2278:24 *20926:A1 0.00011076 +26 *2278:24 *21010:B2 0 +27 *2278:24 *23995:S 0 +28 *2278:24 *2398:71 0.000187646 +29 *2278:24 *2738:14 0.000532499 +30 *2278:24 *2962:8 0 +31 *2278:24 *4913:29 0.000339153 +32 *2278:24 *5794:35 0 +33 *2278:24 *5794:37 0 +34 *2278:24 *5871:560 0.000156141 +35 *2278:24 *5871:650 9.0014e-05 +36 *2278:24 *5871:659 3.42931e-05 +37 *2278:24 *5871:787 0.000198685 +38 *2278:24 *5871:799 0 +39 *2278:24 *5977:9 0.000142789 +40 *2278:28 *20169:A1 2.82537e-05 +41 *2278:28 *20169:B1 0.00183062 +42 *2278:28 *24170:SET_B 0.000245078 +43 *2278:28 *24789:CLK 0.00021354 +44 *2278:28 *2738:14 0.000105921 +45 *2278:28 *2896:10 0.000152823 +46 *2278:28 *2896:19 0.000449779 +47 *2278:28 *2896:45 0.00065346 +48 *2278:28 *2908:65 0.000262439 +49 *2278:28 *4804:109 1.57964e-05 +50 *2278:28 *4821:59 6.30598e-05 +51 *2278:28 *4844:267 0.000849683 +52 *2278:28 *4911:147 0.000200794 +53 *2278:28 *4911:156 0.000152739 +54 *2278:28 *5853:379 0.00068528 +55 *2278:28 *5853:383 0.00122576 +56 *2278:28 *5864:207 0.000873803 +57 *2278:28 *5864:213 6.25413e-05 +58 *2278:28 *5944:11 0.000121824 +59 *2278:39 *2381:38 0.00253347 +60 *2278:39 *2403:7 0.000384772 +61 *2278:39 *2415:19 0.000187599 +62 *2278:39 *2440:55 0.000411704 +63 *2278:39 *2930:17 2.82304e-05 +64 *2278:39 *2989:91 0.000146638 +65 *2278:39 *3120:41 1.97773e-05 +66 *2278:39 *3153:50 0.000327958 +67 *2278:39 *5853:334 0.000870603 +68 *2278:46 *22203:A 1.88014e-05 +69 *2278:46 *2368:23 3.77954e-05 +70 *2278:46 *2403:7 0.000209348 +71 *2278:46 *2404:101 3.55859e-05 +72 *2278:46 *2411:26 0.000138967 +73 *2278:46 *3166:15 0.000741021 +74 *2278:46 *3485:133 0.000679777 +75 *2278:46 *3498:132 0.000144762 +76 *2278:46 *4807:54 0.000400291 +77 *20165:A2 *2278:28 0.00011557 +78 *20926:B1 *2278:24 0.000122978 +79 *20970:A1 *2278:8 5.25402e-05 +80 *21010:A1 *2278:24 0 +81 *21211:B1 *2278:8 0.000888972 +82 *21212:A1 *21212:C1 6.78596e-05 +83 *21212:A2 *21212:C1 4.29635e-05 +84 *21212:B1 *21212:C1 7.91293e-05 +85 *21326:B2 *2278:8 8.14875e-05 +86 *22561:A *2278:46 0.000340742 +87 *439:106 *2278:23 3.0079e-05 +88 *439:108 *2278:23 0.000118135 +89 *520:45 *2278:23 0.00104749 +90 *1431:46 *2278:24 0.000551697 +91 *1520:42 *2278:24 0 +92 *1520:42 *2278:28 0.000381375 +93 *1556:20 *2278:24 0.00393762 +94 *1556:20 *2278:28 0 +95 *1557:89 *2278:28 7.06329e-05 +96 *1557:90 *2278:28 0.000376817 +97 *1695:12 *2278:23 0 +98 *1780:61 *2278:8 1.80257e-05 +99 *1884:24 *2278:39 0.000161373 +100 *2062:8 *2278:24 0 +101 *2163:9 *2278:24 0 +102 *2163:73 *2278:24 0 +*RES +1 *21211:X *2278:8 46.515 +2 *2278:8 *2278:13 40.2266 +3 *2278:13 *2278:23 38.9099 +4 *2278:23 *2278:24 86.6289 +5 *2278:24 *2278:26 1.85642 +6 *2278:26 *2278:28 108.259 +7 *2278:28 *2278:39 35.1871 +8 *2278:39 *2278:46 46.0306 +9 *2278:46 *21212:C1 19.4881 +*END + +*D_NET *2279 0.000889448 +*CONN +*I *21213:D I *D sky130_fd_sc_hd__and4_2 +*I *21212:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21213:D 0.000229462 +2 *21212:X 0.000229462 +3 *21213:D *2368:34 0.000124969 +4 *21213:D *3496:125 0.000216526 +5 *21213:D *3511:28 7.33158e-05 +6 *21212:A1 *21213:D 1.5714e-05 +*RES +1 *21212:X *21213:D 32.548 +*END + +*D_NET *2280 0.0132569 +*CONN +*I *21262:B I *D sky130_fd_sc_hd__nand4b_4 +*I *21213:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *21262:B 0.000354125 +2 *21213:X 0 +3 *2280:6 0.00341678 +4 *2280:5 0.00306266 +5 *21262:B *21272:B1 5.82548e-05 +6 *21262:B *21273:A1 0.000110505 +7 *21262:B *21396:A1 9.18646e-06 +8 *21262:B *2331:29 3.33173e-06 +9 *21262:B *2336:21 0.000183125 +10 *21262:B *2350:57 1.80225e-05 +11 *21262:B *3124:242 9.3002e-05 +12 *2280:6 *21272:B1 1.93276e-05 +13 *2280:6 *21359:A1 7.60999e-06 +14 *2280:6 *21396:A1 4.60627e-05 +15 *2280:6 *21443:D 7.7841e-05 +16 *2280:6 *21636:A1 7.22263e-05 +17 *2280:6 *22313:B2 0.000185971 +18 *2280:6 *22370:B1 7.13655e-06 +19 *2280:6 *22695:A1 0.000179584 +20 *2280:6 *22696:A1 2.20194e-05 +21 *2280:6 *22696:B1 0.000210104 +22 *2280:6 *2333:60 7.13655e-06 +23 *2280:6 *2350:57 7.72394e-06 +24 *2280:6 *2368:34 2.97156e-05 +25 *2280:6 *2368:49 0.000606309 +26 *2280:6 *2368:64 0.000101636 +27 *2280:6 *2456:22 7.93422e-05 +28 *2280:6 *2503:61 0.000175236 +29 *2280:6 *2631:38 0.0001128 +30 *2280:6 *3041:71 0.000248271 +31 *2280:6 *3139:192 5.01835e-05 +32 *2280:6 *3206:146 0.000235956 +33 *2280:6 *3208:150 3.7816e-05 +34 *2280:6 *3485:133 0.000714137 +35 *2280:6 *3502:130 2.206e-05 +36 *2280:6 *3511:28 0.00109591 +37 *2280:6 *3587:8 7.00754e-05 +38 *2280:6 *4811:42 0.000634331 +39 *21372:A2 *2280:6 2.57465e-06 +40 *490:114 *2280:6 0.000536624 +41 *529:14 *2280:6 7.60356e-05 +42 *1558:24 *21262:B 1.9101e-05 +43 *1610:33 *2280:6 1.5714e-05 +44 *1627:79 *21262:B 7.46032e-05 +45 *1627:79 *2280:6 0.000166733 +46 *1802:143 *2280:6 0 +*RES +1 *21213:X *2280:5 13.7491 +2 *2280:5 *2280:6 80.107 +3 *2280:6 *21262:B 22.9946 +*END + +*D_NET *2281 0.0498082 +*CONN +*I *21219:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21214:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21219:A1 0.000192364 +2 *21214:Y 0.0107872 +3 *2281:13 0.0109795 +4 *21219:A1 *2825:12 0 +5 *2281:13 *20609:B1 1.71862e-05 +6 *2281:13 *21455:B1 0.000142357 +7 *2281:13 *21456:B1 0.000749303 +8 *2281:13 *21676:B1 0.000831552 +9 *2281:13 *22751:A1 0.000187664 +10 *2281:13 *23351:B 7.62063e-05 +11 *2281:13 *23373:B 0 +12 *2281:13 *2511:30 6.00641e-05 +13 *2281:13 *2612:62 0.000260524 +14 *2281:13 *2620:76 0.00028633 +15 *2281:13 *2628:17 0.00133151 +16 *2281:13 *2645:50 0.000320284 +17 *2281:13 *2922:58 0.000241677 +18 *2281:13 *2930:59 0.00029915 +19 *2281:13 *3060:43 0.00963445 +20 *2281:13 *3060:71 0.00548939 +21 *2281:13 *3124:47 0.000679499 +22 *2281:13 *3166:36 0.00190626 +23 *2281:13 *3175:57 9.96421e-05 +24 *2281:13 *3509:91 0.000162778 +25 *2281:13 *3840:160 2.02035e-05 +26 *2281:13 *3868:200 2.37478e-05 +27 *2281:13 *3883:18 0 +28 *2281:13 *4236:39 4.68824e-05 +29 *2281:13 *4236:61 1.09444e-05 +30 *2281:13 *4663:19 0.00119154 +31 *2281:13 *5854:125 3.33898e-05 +32 *2281:13 *5869:386 9.85648e-05 +33 *2281:13 *5926:35 2.46887e-05 +34 debug_in *2281:13 0 +35 *21219:A2 *21219:A1 0.000157161 +36 *1501:70 *2281:13 9.00314e-05 +37 *1502:201 *21219:A1 0.000159953 +38 *1510:99 *2281:13 0.000350781 +39 *1545:54 *2281:13 0.000129793 +40 *1587:63 *2281:13 0 +41 *1636:82 *2281:13 0.00212414 +42 *1662:40 *2281:13 0.00020476 +43 *1680:71 *21219:A1 1.05272e-06 +44 *1680:86 *21219:A1 0 +45 *1680:86 *2281:13 7.78063e-05 +46 *1691:87 *2281:13 0.000104828 +47 *1788:46 *21219:A1 0.000201545 +48 *1797:20 *2281:13 2.14262e-05 +*RES +1 *21214:Y *2281:13 48.9673 +2 *2281:13 *21219:A1 23.0001 +*END + +*D_NET *2282 0.0556525 +*CONN +*I *21219:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22396:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22718:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21215:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21219:B1 0 +2 *22396:B1 0.000129617 +3 *22718:A1 0.000544145 +4 *21215:Y 0 +5 *2282:88 0.00164178 +6 *2282:84 0.00449643 +7 *2282:81 0.00347014 +8 *2282:78 0.00336507 +9 *2282:68 0.00383062 +10 *2282:59 0.00186883 +11 *2282:53 0.00275296 +12 *2282:9 0.0014675 +13 *2282:4 0.00275889 +14 *22396:B1 *22396:C1 7.5909e-06 +15 *22396:B1 *22723:A1 0.000129424 +16 *22396:B1 *2312:26 2.13165e-05 +17 *22396:B1 *2480:25 5.80013e-05 +18 *22718:A1 *22400:B2 7.92757e-06 +19 *22718:A1 *22403:C 0.000156946 +20 *22718:A1 *2306:75 0.000298399 +21 *22718:A1 *2312:26 0.000145922 +22 *22718:A1 *2480:25 2.57465e-06 +23 *22718:A1 *3122:115 0.00013388 +24 *22718:A1 *3358:11 0.000403007 +25 *2282:9 *21215:A 6.3657e-05 +26 *2282:9 *22028:B1 0.000369989 +27 *2282:9 *22395:A1 0.000627744 +28 *2282:9 *22395:B1 0.000107496 +29 *2282:9 *22395:B2 6.3657e-05 +30 *2282:9 *22403:A 5.51483e-06 +31 *2282:9 *2452:29 9.46775e-05 +32 *2282:9 *3153:117 0.000187416 +33 *2282:9 *3359:10 0.000824458 +34 *2282:53 *22028:B1 6.08467e-05 +35 *2282:53 *24230:RESET_B 0.000161329 +36 *2282:53 *24470:CLK 1.41307e-05 +37 *2282:53 *2384:38 0.000142228 +38 *2282:53 *2404:60 0.000519523 +39 *2282:53 *2423:93 0.0014263 +40 *2282:53 *2631:28 0.00127889 +41 *2282:53 *2738:14 3.08489e-05 +42 *2282:53 *2813:47 0.000280572 +43 *2282:53 *2896:72 0.0018268 +44 *2282:53 *2949:71 0.000512791 +45 *2282:53 *4816:96 1.91391e-05 +46 *2282:53 *5862:296 0.000133004 +47 *2282:53 *5924:40 2.27135e-05 +48 *2282:59 *2389:81 3.4123e-05 +49 *2282:59 *2471:56 0.000796382 +50 *2282:59 *2584:62 0.000117093 +51 *2282:59 *4829:127 0.000787774 +52 *2282:59 *4844:220 0.000111708 +53 *2282:68 *23864:A 5.04829e-06 +54 *2282:68 *2389:72 0.000328144 +55 *2282:68 *2882:74 8.81855e-05 +56 *2282:68 *2938:34 0.000421473 +57 *2282:78 *24335:SET_B 0.000349598 +58 *2282:78 *2389:56 0.00162616 +59 *2282:78 *2391:34 0.000217212 +60 *2282:78 *2729:87 0.000840118 +61 *2282:78 *2938:34 1.72799e-05 +62 *2282:78 *2989:91 0 +63 *2282:78 *4823:94 1.43499e-05 +64 *2282:78 *4832:77 4.31122e-06 +65 *2282:78 *5898:191 0.000132101 +66 *2282:81 *20903:A1 1.9101e-05 +67 *2282:81 *5861:469 0.00131189 +68 *2282:84 *21029:A1 0.000336521 +69 *2282:84 *24246:CLK 0.000267692 +70 *2282:84 *2300:60 0.000875359 +71 *2282:84 *3177:229 1.19726e-05 +72 *2282:84 *3192:12 3.82228e-05 +73 *2282:88 *21219:C1 2.73145e-05 +74 *2282:88 *21321:A1 1.58551e-05 +75 *2282:88 *22557:B 0.00016491 +76 *2282:88 *22557:C 1.65872e-05 +77 *2282:88 *22562:A 0.000108686 +78 *2282:88 *22573:C 8.56505e-05 +79 *2282:88 *22577:B 0.000189407 +80 *2282:88 *22638:A2 0.000103679 +81 *2282:88 *2286:10 0.00031186 +82 *2282:88 *2383:25 0.00126861 +83 *2282:88 *3479:62 0.000158357 +84 *2282:88 *3485:115 1.0758e-05 +85 mgmt_gpio_oeb[7] *2282:78 0.000150101 +86 *20996:A2 *2282:68 0 +87 *21022:A *2282:88 2.16355e-05 +88 *21029:A2 *2282:84 1.63591e-05 +89 *21029:B2 *2282:84 8.10973e-05 +90 *21219:A2 *2282:88 0.000449092 +91 *21219:B2 *2282:88 1.65872e-05 +92 *21320:B2 *2282:88 0.000489972 +93 *22400:A1 *22718:A1 4.31703e-05 +94 *22400:A2 *22718:A1 6.08467e-05 +95 *22400:B1 *22718:A1 2.16355e-05 +96 *22557:A *2282:88 6.08467e-05 +97 *22577:A *2282:88 0.000444819 +98 *24271:D *2282:68 0 +99 *324:19 *2282:81 1.38742e-05 +100 *490:105 *2282:53 5.36521e-05 +101 *510:55 *2282:81 0.00135974 +102 *539:21 *2282:59 0.000422135 +103 *539:34 *2282:59 2.88574e-05 +104 *1450:145 *2282:78 3.81208e-05 +105 *1502:201 *2282:88 4.19698e-05 +106 *1542:201 *2282:88 5.57927e-05 +107 *1669:181 *2282:88 0.000390201 +108 *1709:48 *2282:78 0.00073643 +109 *1741:63 *2282:68 6.07912e-05 +110 *1917:11 *2282:59 0.00144168 +111 *2117:63 *22718:A1 2.77625e-06 +112 *2170:48 *2282:53 0.00027699 +113 *2178:7 *2282:84 0.000400966 +114 *2178:14 *2282:84 0.000154145 +115 *2243:28 *22718:A1 6.46827e-05 +116 *2244:19 *2282:78 0.000419113 +117 *2257:73 *2282:68 0.000114594 +118 *2262:102 *2282:53 4.17052e-05 +*RES +1 *21215:Y *2282:4 9.24915 +2 *2282:4 *2282:9 30.7593 +3 *2282:9 *22718:A1 29.9927 +4 *2282:9 *22396:B1 17.2421 +5 *2282:4 *2282:53 32.3446 +6 *2282:53 *2282:59 42.4542 +7 *2282:59 *2282:68 33.6046 +8 *2282:68 *2282:78 37.827 +9 *2282:78 *2282:81 30.6242 +10 *2282:81 *2282:84 44.0066 +11 *2282:84 *2282:88 49.9626 +12 *2282:88 *21219:B1 9.24915 +*END + +*D_NET *2283 0.0242197 +*CONN +*I *21218:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21216:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21218:A1 8.86578e-05 +2 *21216:Y 0.000355232 +3 *2283:29 0.0011512 +4 *2283:21 0.00230268 +5 *2283:13 0.00458881 +6 *2283:7 0.0037039 +7 *21218:A1 *2285:16 1.90191e-05 +8 *21218:A1 *4863:154 0.000134323 +9 *2283:7 *24828:CLK_N 0.000132899 +10 *2283:7 *2715:24 7.98171e-06 +11 *2283:13 *21925:A 0.000504672 +12 *2283:13 *2426:8 0.00177646 +13 *2283:13 *2711:13 0.000153057 +14 *2283:13 *2937:10 5.65074e-05 +15 *2283:13 *5452:23 0.00016622 +16 *2283:13 *5563:45 0 +17 *2283:13 *5800:32 0.000124228 +18 *2283:13 *5801:58 0.000383357 +19 *2283:21 *23366:B 0.000120081 +20 *2283:21 *23374:B 0.000405253 +21 *2283:21 *23376:A 0.000163414 +22 *2283:21 *2803:48 0.00104264 +23 *2283:21 *2911:17 0.000492878 +24 *2283:21 *2911:30 1.41976e-05 +25 *2283:21 *2955:40 0.000396225 +26 *2283:21 *4280:7 0.000298399 +27 *2283:29 *20450:B1 0.000247443 +28 *2283:29 *24608:CLK 2.65831e-05 +29 *2283:29 *24997:A 0.000451182 +30 *2283:29 *2284:28 0.00239053 +31 *2283:29 *2623:18 0.000113374 +32 *2283:29 *2911:30 0.000462617 +33 *2283:29 *2913:15 0.000932879 +34 *2283:29 *5856:500 6.63489e-05 +35 *2283:29 *5994:8 0.000116986 +36 *19760:B1 *2283:13 0 +37 *19768:A *2283:13 0.000116689 +38 *20158:A2 *2283:29 0.000154145 +39 *459:72 *2283:13 3.71926e-05 +40 *1471:169 *2283:13 5.16255e-05 +41 *1697:45 *2283:13 0.000290035 +42 *1764:32 *21218:A1 0.00017982 +43 *1818:29 *2283:21 0 +*RES +1 *21216:Y *2283:7 18.9094 +2 *2283:7 *2283:13 43.402 +3 *2283:13 *2283:21 45.1342 +4 *2283:21 *2283:29 47.3568 +5 *2283:29 *21218:A1 21.7421 +*END + +*D_NET *2284 0.0193681 +*CONN +*I *21218:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21217:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21218:B1 0 +2 *21217:Y 0.00275157 +3 *2284:28 0.000841286 +4 *2284:22 0.00220813 +5 *2284:11 0.00411841 +6 *2284:11 *21217:A 2.65831e-05 +7 *2284:11 *21351:B1 0.00198729 +8 *2284:11 *23034:D 0 +9 *2284:11 *23363:A 0.000127827 +10 *2284:11 *23363:B 6.50586e-05 +11 *2284:11 *2612:32 2.33103e-06 +12 *2284:11 *2911:10 0.000126407 +13 *2284:11 *3897:11 4.82966e-05 +14 *2284:11 *4275:17 0 +15 *2284:22 *20155:B1 0.00025378 +16 *2284:22 *23370:A 1.56949e-05 +17 *2284:22 *2864:64 5.11466e-05 +18 *2284:22 *4864:7 1.49927e-05 +19 *2284:22 *5671:78 0.000439718 +20 *2284:22 *5671:87 7.83442e-05 +21 *2284:28 *20158:B1 1.58551e-05 +22 *2284:28 *2911:30 7.92757e-06 +23 *2284:28 *4936:7 0.000280055 +24 *2284:28 *5856:222 0.000500078 +25 *2284:28 *5856:500 0.000632314 +26 *20158:A2 *2284:28 1.65872e-05 +27 *20158:B2 *2284:28 0.000160617 +28 *24795:D *2284:28 6.63489e-05 +29 *657:114 *2284:11 0.00027394 +30 *1459:52 *2284:22 0.000493574 +31 *1482:69 *2284:28 0.000493634 +32 *1699:23 *2284:22 0.000515077 +33 *1725:41 *2284:28 0.000182324 +34 *2274:30 *2284:28 0.000182324 +35 *2283:29 *2284:28 0.00239053 +*RES +1 *21217:Y *2284:11 48.6007 +2 *2284:11 *2284:22 45.3005 +3 *2284:22 *2284:28 45.938 +4 *2284:28 *21218:B1 9.24915 +*END + +*D_NET *2285 0.0270925 +*CONN +*I *21219:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21218:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21219:C1 0.00104117 +2 *21218:X 0.000124305 +3 *2285:23 0.00204041 +4 *2285:19 0.00240278 +5 *2285:16 0.00277464 +6 *2285:13 0.00149541 +7 *21219:C1 *22320:A2 0.000113968 +8 *21219:C1 *22746:A2 0.00158793 +9 *21219:C1 *22746:B2 1.9633e-05 +10 *21219:C1 *22761:B 0.00025175 +11 *21219:C1 *2286:10 1.55025e-05 +12 *21219:C1 *2576:85 3.82228e-05 +13 *21219:C1 *2999:65 0.000215339 +14 *21219:C1 *3498:119 0.00171373 +15 *2285:13 *22467:A1 6.50727e-05 +16 *2285:13 *2785:38 0.000239573 +17 *2285:13 *4863:154 6.48693e-05 +18 *2285:16 *21676:B1 1.91391e-05 +19 *2285:16 *24633:RESET_B 1.94827e-05 +20 *2285:16 *2505:75 0.00022273 +21 *2285:16 *2943:63 0.000526356 +22 *2285:16 *4863:154 0.000157762 +23 *2285:16 *4863:157 0.000173603 +24 *2285:16 *5869:249 2.85257e-05 +25 *2285:16 *5869:386 0.000157484 +26 *2285:19 *21571:A1 0.000584215 +27 *2285:19 *22735:B2 3.4123e-05 +28 *2285:19 *22753:A2 6.08467e-05 +29 *2285:19 *22753:B1 0.000205101 +30 *2285:19 *22753:B2 3.37381e-05 +31 *2285:19 *2616:43 0.000292105 +32 *2285:19 *2616:52 2.37425e-05 +33 *2285:19 *2623:29 2.16045e-05 +34 *2285:19 *2627:37 0.00155919 +35 *2285:19 *2628:17 0.000396664 +36 *2285:19 *2998:57 3.20712e-05 +37 *2285:19 *3164:54 0.000347214 +38 *2285:23 *2628:17 0.000711388 +39 *2285:23 *2641:68 0.000114605 +40 *2285:23 *2641:80 0.000985935 +41 *2285:23 *2998:57 0.000109155 +42 *2285:23 *2999:65 0.000718241 +43 *2285:23 *3196:60 3.84097e-05 +44 *2285:23 *3448:20 4.75654e-05 +45 *19995:A *2285:23 0.000247443 +46 *21218:A1 *2285:16 1.90191e-05 +47 *21218:A2 *2285:13 8.88516e-05 +48 *21218:B2 *2285:13 6.3657e-05 +49 *21788:B2 *2285:16 0.000186931 +50 *22736:B1 *2285:23 0.000637781 +51 *1502:155 *2285:19 9.94284e-06 +52 *1502:201 *21219:C1 8.29451e-05 +53 *1541:139 *2285:19 0.000315549 +54 *1542:150 *21219:C1 4.79321e-06 +55 *1715:36 *2285:16 0.000143032 +56 *1725:97 *21219:C1 0.000438346 +57 *1725:97 *2285:23 0.000664465 +58 *1729:64 *2285:16 4.68019e-05 +59 *1756:83 *2285:19 0.000729645 +60 *1764:32 *2285:16 0.000105032 +61 *1947:181 *2285:16 0.00145097 +62 *1947:185 *2285:16 4.69204e-06 +63 *2282:88 *21219:C1 2.73145e-05 +*RES +1 *21218:X *2285:13 19.681 +2 *2285:13 *2285:16 48.6877 +3 *2285:16 *2285:19 46.225 +4 *2285:19 *2285:23 39.0152 +5 *2285:23 *21219:C1 47.565 +*END + +*D_NET *2286 0.0203048 +*CONN +*I *21236:A I *D sky130_fd_sc_hd__and4_1 +*I *21219:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21236:A 2.56332e-05 +2 *21219:X 0.00133147 +3 *2286:64 7.63628e-05 +4 *2286:63 0.000621234 +5 *2286:53 0.00192951 +6 *2286:36 0.00329781 +7 *2286:10 0.00327028 +8 *2286:10 *22326:A1 4.49767e-05 +9 *2286:10 *22332:A1 6.14756e-06 +10 *2286:10 *22337:A 0 +11 *2286:10 *22337:B 8.92568e-06 +12 *2286:10 *2581:42 0.00028597 +13 *2286:10 *2581:61 3.13079e-05 +14 *2286:10 *2630:16 1.66659e-05 +15 *2286:10 *2800:53 0.00137027 +16 *2286:10 *3502:124 0.000311232 +17 *2286:10 *3523:276 0.000122307 +18 *2286:36 *22330:C1 3.88655e-06 +19 *2286:36 *22337:A 3.47311e-05 +20 *2286:36 *22337:C 2.27118e-06 +21 *2286:36 *22338:A 0.000771766 +22 *2286:36 *22338:B 8.66271e-06 +23 *2286:36 *22338:C 1.84499e-05 +24 *2286:36 *22602:B1 0.000132395 +25 *2286:36 *22602:B2 9.06144e-06 +26 *2286:36 *22603:C1 2.31895e-05 +27 *2286:36 *2503:124 0.000126931 +28 *2286:36 *2572:60 2.3329e-05 +29 *2286:36 *2827:57 7.09666e-06 +30 *2286:36 *2894:60 9.6492e-06 +31 *2286:36 *3041:71 1.0452e-05 +32 *2286:36 *3111:39 0.000116114 +33 *2286:36 *3117:204 4.15661e-05 +34 *2286:36 *3136:208 0.000565491 +35 *2286:36 *3144:116 3.9461e-05 +36 *2286:36 *3175:243 0.000101605 +37 *2286:36 *3243:22 0.00021904 +38 *2286:36 *3243:30 9.05255e-05 +39 *2286:36 *3483:173 0.000149783 +40 *2286:36 *4817:161 1.49935e-05 +41 *2286:53 *21229:C1 6.41916e-05 +42 *2286:53 *22601:A2 0.000211567 +43 *2286:53 *22617:B 3.94438e-05 +44 *2286:53 *22619:A2 9.04241e-05 +45 *2286:53 *22625:A2 0.000106889 +46 *2286:53 *22625:B1 0.000197001 +47 *2286:53 *2295:82 0.000213548 +48 *2286:53 *2527:13 0.000499311 +49 *2286:53 *2631:70 4.67545e-05 +50 *2286:53 *3021:39 0.000100741 +51 *2286:53 *3185:155 0.000130003 +52 *2286:53 *3243:30 0.000276434 +53 *2286:53 *3474:166 3.39118e-05 +54 *2286:53 *3491:226 4.37588e-05 +55 *2286:53 *3500:152 1.5714e-05 +56 *2286:53 *3569:13 1.33276e-05 +57 *2286:53 *5456:11 3.82228e-05 +58 *2286:53 *5919:40 1.9101e-05 +59 *2286:53 *5924:37 0.000501314 +60 *2286:63 *2777:28 0.000143317 +61 *2286:63 *3566:11 6.08467e-05 +62 *21219:C1 *2286:10 1.55025e-05 +63 *21543:B2 *2286:10 0.000128977 +64 *22334:A2 *2286:36 0.000314443 +65 *518:15 *2286:53 0.000133334 +66 *518:31 *2286:53 2.60401e-05 +67 *1502:201 *2286:10 1.09075e-05 +68 *1542:86 *21236:A 5.88657e-05 +69 *1542:86 *2286:63 0.000707467 +70 *1558:42 *21236:A 1.94584e-05 +71 *1583:53 *2286:36 7.98528e-05 +72 *1592:70 *2286:36 6.33165e-05 +73 *1620:31 *2286:10 7.58517e-05 +74 *1620:35 *2286:36 4.28608e-06 +75 *1620:35 *2286:63 0.000138722 +76 *1641:130 *2286:53 1.79408e-05 +77 *1744:219 *2286:53 4.97935e-05 +78 *2093:68 *2286:36 3.18039e-05 +79 *2282:88 *2286:10 0.00031186 +*RES +1 *21219:X *2286:10 49.0956 +2 *2286:10 *2286:36 48.4013 +3 *2286:36 *2286:53 48.9898 +4 *2286:53 *2286:63 25.8546 +5 *2286:63 *2286:64 57.9449 +6 *2286:64 *21236:A 19.2217 +*END + +*D_NET *2287 0.0817363 +*CONN +*I *21225:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22390:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21220:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21225:A1 0.000410626 +2 *22390:A1 7.13917e-05 +3 *21220:Y 8.27183e-05 +4 *2287:112 0.00172368 +5 *2287:94 0.00302106 +6 *2287:90 0.00263787 +7 *2287:82 0.00169153 +8 *2287:74 0.00185165 +9 *2287:62 0.00273908 +10 *2287:53 0.00272983 +11 *2287:43 0.00372133 +12 *2287:39 0.00322603 +13 *2287:22 0.00138748 +14 *2287:16 0.00218456 +15 *2287:14 0.00148723 +16 *2287:12 0.0011567 +17 *2287:11 0.00112338 +18 *2287:9 0.00184797 +19 *2287:8 0.00193069 +20 *21225:A1 *21225:B1 1.03403e-05 +21 *21225:A1 *21328:B 9.77007e-05 +22 *21225:A1 *2368:70 0.000254551 +23 *22390:A1 *21429:A1 0.000158371 +24 *22390:A1 *2487:20 5.49916e-05 +25 *22390:A1 *4831:8 5.97855e-05 +26 *2287:8 *4840:49 0.000182869 +27 *2287:9 *20033:A 0.000164815 +28 *2287:9 *20405:A1 0.000259373 +29 *2287:9 *20405:B1 1.41291e-05 +30 *2287:9 *21195:A 0.000104868 +31 *2287:9 *21276:A 0.000629908 +32 *2287:9 *2339:7 1.19856e-05 +33 *2287:9 *2869:17 0.000158644 +34 *2287:9 *4838:205 0.00120211 +35 *2287:9 *4838:243 0.000515939 +36 *2287:9 *4838:259 0.000946644 +37 *2287:9 *4838:271 0.000343701 +38 *2287:9 *4838:283 0.00300011 +39 *2287:12 *20780:A1 9.96342e-05 +40 *2287:12 *20780:B1 0.00106941 +41 *2287:12 *2362:8 4.98813e-05 +42 *2287:12 *2380:8 0.00290784 +43 *2287:12 *4825:28 1.91391e-05 +44 *2287:12 *4826:28 0.000401607 +45 *2287:16 *20784:B1 0.000165495 +46 *2287:16 *2362:8 0.000444545 +47 *2287:16 *2380:8 0.000258518 +48 *2287:22 *2421:18 0.000400321 +49 *2287:22 *2487:20 5.74949e-05 +50 *2287:22 *4831:8 5.23737e-05 +51 *2287:39 *2441:63 0.000299346 +52 *2287:39 *2480:8 0.000117627 +53 *2287:39 *2809:21 0.00152174 +54 *2287:39 *4834:46 0.000114166 +55 *2287:43 *20919:A1 7.45943e-06 +56 *2287:43 *4835:37 0.000338075 +57 *2287:43 *4964:5 6.02078e-06 +58 *2287:53 *2530:52 0.00121364 +59 *2287:53 *5417:22 0.000239827 +60 *2287:62 *20696:A1 0.000492022 +61 *2287:62 *24688:CLK 5.97576e-05 +62 *2287:62 *2380:62 0.000809271 +63 *2287:62 *2989:33 2.06668e-05 +64 *2287:62 *4818:13 0.000182279 +65 *2287:62 *4819:111 0.000595827 +66 *2287:62 *4827:105 0.00017958 +67 *2287:62 *4872:90 1.80257e-05 +68 *2287:74 *20696:A1 3.92654e-05 +69 *2287:74 *24440:RESET_B 7.13972e-05 +70 *2287:74 *2467:49 1.9101e-05 +71 *2287:74 *2729:61 0.000235121 +72 *2287:74 *5040:13 1.65872e-05 +73 *2287:74 *5493:43 3.71311e-05 +74 *2287:74 *5493:51 7.50872e-05 +75 *2287:82 *20695:A1 7.30023e-05 +76 *2287:82 *20695:B1 1.22226e-05 +77 *2287:82 *23870:A 4.6535e-05 +78 *2287:82 *2467:55 1.5714e-05 +79 *2287:82 *2534:10 0.0005303 +80 *2287:82 *5494:10 0.000113821 +81 *2287:82 *5529:11 0.00097461 +82 *2287:90 *20997:B1 1.22569e-05 +83 *2287:90 *2467:87 0.000401662 +84 *2287:90 *2467:104 0.000442609 +85 *2287:90 *2794:8 0.00018985 +86 *2287:90 *4827:100 0.000317789 +87 *2287:90 *4941:8 0.00209119 +88 *2287:94 *20657:A1 3.46618e-05 +89 *2287:94 *21259:A1 1.41307e-05 +90 *2287:94 *24314:RESET_B 0.00038521 +91 *2287:94 *24314:CLK 0.000286928 +92 *2287:94 *24467:CLK 0.000602624 +93 *2287:94 *2473:57 3.65763e-05 +94 *2287:94 *4815:91 2.53145e-06 +95 *2287:94 *4845:262 0.000302705 +96 *2287:94 *5054:7 0.000196814 +97 *2287:94 *5855:227 0.000154145 +98 *2287:94 *5864:623 8.18851e-05 +99 *2287:112 *21259:A1 2.77625e-06 +100 *2287:112 *21304:C 2.7585e-05 +101 *2287:112 *21328:B 1.32878e-05 +102 *2287:112 *21328:C 0.000349354 +103 *2287:112 *21354:B 0.000300565 +104 *2287:112 *21354:C 0.000156955 +105 *2287:112 *22401:A2 0.000203595 +106 *2287:112 *22401:B1 0.000350875 +107 *2287:112 *22722:A1 7.27261e-05 +108 *2287:112 *24314:CLK 6.08467e-05 +109 *2287:112 *2301:63 0.00191438 +110 *2287:112 *2312:26 0.000242748 +111 *2287:112 *2354:47 8.19857e-05 +112 *2287:112 *2359:27 0.000216639 +113 *2287:112 *2361:74 5.55136e-05 +114 *2287:112 *4815:91 0.000423402 +115 *20262:A *2287:53 6.34767e-05 +116 *20319:C1 *2287:43 2.41274e-06 +117 *20462:A *2287:9 2.16355e-05 +118 *20695:A2 *2287:82 6.08467e-05 +119 *20695:B2 *2287:82 0.000154145 +120 *20738:A *2287:22 0.000144173 +121 *20738:B *2287:22 7.65399e-05 +122 *20782:A2 *2287:12 6.01944e-06 +123 *21225:A2 *21225:A1 1.64789e-05 +124 *21225:B2 *21225:A1 7.76105e-06 +125 *22390:B1 *22390:A1 0.000154145 +126 *24314:D *2287:94 5.481e-05 +127 *24326:D *2287:43 9.32076e-05 +128 *24389:D *2287:16 0.000148129 +129 *24688:D *2287:62 1.82679e-05 +130 *25138:A *2287:74 8.42336e-05 +131 *490:42 *2287:12 6.01588e-05 +132 *490:62 *2287:53 0.00146718 +133 *500:41 *2287:90 0.000243742 +134 *1418:169 *2287:22 0.000200794 +135 *1439:15 *2287:43 1.69093e-05 +136 *1439:404 *2287:43 7.73137e-05 +137 *1555:58 *2287:90 3.6549e-05 +138 *1555:69 *2287:74 0.000412493 +139 *1608:98 *2287:82 0.000537673 +140 *1614:131 *2287:43 0.00195985 +141 *1614:139 *2287:53 0.000321155 +142 *1620:143 *2287:16 0.00277673 +143 *1631:126 *2287:43 5.58564e-05 +144 *1635:69 *2287:112 0.000154009 +145 *1635:74 *2287:112 0.000185041 +146 *1709:40 *2287:82 0.000130457 +147 *1783:7 *2287:9 0.00036013 +148 *1899:39 *2287:53 0.000351426 +149 *1919:7 *2287:43 1.88785e-05 +150 *1946:12 *2287:8 0 +151 *2053:10 *2287:22 5.51483e-06 +152 *2076:70 *2287:12 1.2693e-05 +153 *2254:23 *2287:112 3.96933e-05 +154 *2257:69 *2287:82 1.66626e-05 +155 *2262:10 *2287:9 0.000668642 +156 *2264:16 *2287:16 0.00289654 +*RES +1 *21220:Y *2287:8 21.3269 +2 *2287:8 *2287:9 79.4771 +3 *2287:9 *2287:11 4.5 +4 *2287:11 *2287:12 52.2363 +5 *2287:12 *2287:14 0.732798 +6 *2287:14 *2287:16 68.9441 +7 *2287:16 *2287:22 21.47 +8 *2287:22 *22390:A1 16.7909 +9 *2287:22 *2287:39 15.8076 +10 *2287:39 *2287:43 47.678 +11 *2287:43 *2287:53 46.6463 +12 *2287:53 *2287:62 48.5081 +13 *2287:62 *2287:74 33.7974 +14 *2287:74 *2287:82 37.7572 +15 *2287:82 *2287:90 45.1848 +16 *2287:90 *2287:94 44.0066 +17 *2287:94 *2287:112 49.842 +18 *2287:112 *21225:A1 18.7739 +*END + +*D_NET *2288 0.061406 +*CONN +*I *21225:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22722:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22401:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21221:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21225:B1 0.000133135 +2 *22722:A1 0.000714814 +3 *22401:A1 0 +4 *21221:Y 0.0113609 +5 *2288:38 0.00138712 +6 *2288:24 0.00600646 +7 *2288:14 0.0165619 +8 *22722:A1 *22401:B1 0.000154145 +9 *22722:A1 *22717:B2 0.000415667 +10 *22722:A1 *22722:A2 6.08467e-05 +11 *22722:A1 *22722:B2 0.000107496 +12 *22722:A1 *3177:298 8.34211e-06 +13 *22722:A1 *3493:45 1.59945e-05 +14 *2288:14 *22472:B1 0 +15 *2288:14 *2592:6 0.000594564 +16 *2288:14 *2614:8 0.000186015 +17 *2288:14 *2684:24 0.000422634 +18 *2288:14 *2685:42 1.53352e-05 +19 *2288:14 *2954:8 0.000109084 +20 *2288:14 *3065:8 0.000107245 +21 *2288:14 *3527:156 0.00150275 +22 *2288:14 *3531:157 0 +23 *2288:14 *4838:40 0.000244213 +24 *2288:14 *4840:198 0.000192345 +25 *2288:14 *4841:40 0.000105494 +26 *2288:14 *5855:52 0 +27 *2288:14 *5868:440 4.40158e-05 +28 *2288:14 *5923:23 8.91819e-06 +29 *2288:24 *21512:A1 7.6441e-05 +30 *2288:24 *2368:70 5.1493e-06 +31 *2288:24 *2564:33 0.000242415 +32 *2288:24 *2567:35 0.0012047 +33 *2288:24 *2896:72 0.000328827 +34 *2288:24 *3051:54 5.60804e-05 +35 *2288:24 *3076:23 0.00234632 +36 *2288:24 *3190:144 0.000177764 +37 *2288:24 *3202:14 6.58567e-05 +38 *2288:24 *3238:16 0.000575982 +39 *2288:24 *4813:110 0.000866471 +40 *2288:38 *21236:B 0.000104166 +41 *2288:38 *22401:B2 3.79418e-05 +42 *2288:38 *2294:64 0.00016968 +43 *2288:38 *2354:47 0.000261018 +44 *2288:38 *2368:70 2.11989e-05 +45 *2288:38 *2501:22 3.29488e-05 +46 *2288:38 *3198:170 0.000300565 +47 *2288:38 *3204:165 8.49586e-06 +48 *2288:38 *3238:16 0.000191964 +49 *2288:38 *5904:45 0.000911397 +50 *19820:A2 *2288:24 7.26548e-05 +51 *20141:B *2288:14 0.000152287 +52 *21225:A1 *21225:B1 1.03403e-05 +53 *21225:A2 *21225:B1 5.20546e-06 +54 *21225:B2 *21225:B1 0.000205101 +55 *21225:B2 *2288:24 0.000141265 +56 *21511:B2 *2288:24 0.00199149 +57 *21630:A2 *2288:24 6.74182e-05 +58 *22722:B1 *22722:A1 5.04829e-06 +59 *657:209 *2288:14 0.00017136 +60 *657:212 *2288:14 0.000105687 +61 *1419:260 *2288:14 0.000304953 +62 *1546:14 *2288:14 0 +63 *1554:49 *2288:38 0.000298128 +64 *1554:85 *2288:38 0.000634225 +65 *1594:43 *2288:14 9.73335e-05 +66 *1602:45 *2288:24 1.81156e-05 +67 *1616:81 *2288:38 0.000636328 +68 *1625:115 *2288:24 0.00288673 +69 *1645:118 *2288:24 0.000267244 +70 *1655:111 *2288:24 0.00140623 +71 *1679:64 *22722:A1 5.51483e-06 +72 *1689:135 *2288:24 0.00144937 +73 *1721:154 *2288:24 2.57465e-06 +74 *1733:45 *2288:24 0.000456017 +75 *1796:10 *2288:24 8.05411e-05 +76 *2056:8 *2288:14 0.000224321 +77 *2057:23 *2288:14 0.000109084 +78 *2254:23 *22722:A1 0.00074168 +79 *2258:62 *22722:A1 5.65354e-05 +80 *2258:64 *22722:A1 0.000285618 +81 *2287:112 *22722:A1 7.27261e-05 +*RES +1 *21221:Y *2288:14 48.8162 +2 *2288:14 *2288:24 37.6536 +3 *2288:24 *2288:38 41.0893 +4 *2288:38 *22401:A1 9.24915 +5 *2288:38 *22722:A1 39.944 +6 *2288:24 *21225:B1 17.2697 +*END + +*D_NET *2289 0.00108834 +*CONN +*I *21224:A1 I *D sky130_fd_sc_hd__o22a_4 +*I *21222:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21224:A1 0.000307512 +2 *21222:Y 0.000307512 +3 *21224:A1 *20230:A1 0.000211492 +4 *21224:A1 *2667:20 5.04054e-06 +5 *21224:A1 *4845:382 0.000116986 +6 *21224:A1 *4953:13 1.92172e-05 +7 *20230:B2 *21224:A1 0.000120584 +*RES +1 *21222:Y *21224:A1 33.7966 +*END + +*D_NET *2290 0.0205899 +*CONN +*I *21224:B1 I *D sky130_fd_sc_hd__o22a_4 +*I *21223:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21224:B1 0 +2 *21223:Y 0.00134539 +3 *2290:30 0.000915695 +4 *2290:18 0.00257233 +5 *2290:17 0.00171547 +6 *2290:13 0.000573354 +7 *2290:10 0.0018599 +8 *2290:10 *19938:A 0.000144531 +9 *2290:10 *21142:B1 0.000144531 +10 *2290:10 *21223:A 1.61631e-05 +11 *2290:10 *21528:A 0.000115615 +12 *2290:10 *24196:CLK 0.000100913 +13 *2290:10 *2422:7 2.03183e-05 +14 *2290:10 *5957:11 1.81331e-05 +15 *2290:13 *20023:A 0.000489918 +16 *2290:13 *21325:A 0.000111802 +17 *2290:13 *2386:13 0.000199803 +18 *2290:13 *2387:7 0.00104833 +19 *2290:13 *4843:665 0.00413635 +20 *2290:17 *2333:13 0.000423908 +21 *2290:17 *2387:7 0.000523693 +22 *2290:17 *4843:665 4.09471e-05 +23 *2290:18 *21326:B1 0 +24 *2290:18 *21503:A 0 +25 *2290:18 *23983:A1 0.000185811 +26 *2290:18 *24289:RESET_B 0 +27 *2290:18 *24291:CLK 5.92342e-05 +28 *2290:18 *2333:18 0 +29 *2290:18 *2428:13 0 +30 *2290:18 *2720:12 0.000344596 +31 *2290:18 *4875:24 0 +32 *2290:18 *5871:280 0 +33 *2290:18 *5975:8 0.000115451 +34 *2290:30 *21380:A 2.65667e-05 +35 *2290:30 *24669:RESET_B 0.000276172 +36 *2290:30 *2440:10 6.50586e-05 +37 *2290:30 *2962:33 0.000378458 +38 *2290:30 *4844:382 0 +39 *2290:30 *4915:106 6.50586e-05 +40 *2290:30 *4915:114 0.000351867 +41 *2290:30 *4915:123 0.000132661 +42 *2290:30 *5199:9 0.000163855 +43 *20970:A1 *2290:18 9.63256e-05 +44 *20970:B1 *2290:18 0.000148129 +45 *408:11 *2290:18 0 +46 *439:166 *2290:18 0.00108978 +47 *439:182 *2290:18 0.000374877 +48 *550:25 *2290:30 0.000116256 +49 *2277:11 *2290:13 8.26312e-05 +50 *2278:8 *2290:18 0 +*RES +1 *21223:Y *2290:10 40.4916 +2 *2290:10 *2290:13 44.5612 +3 *2290:13 *2290:17 10.2148 +4 *2290:17 *2290:18 50.4165 +5 *2290:18 *2290:30 42.0433 +6 *2290:30 *21224:B1 9.24915 +*END + +*D_NET *2291 0.0835669 +*CONN +*I *21225:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *6168:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21224:X O *D sky130_fd_sc_hd__o22a_4 +*CAP +1 *21225:C1 4.85849e-05 +2 *6168:DIODE 0 +3 *21224:X 0.00169313 +4 *2291:66 0.000922535 +5 *2291:61 0.00765253 +6 *2291:32 0.00893063 +7 *2291:31 0.00238124 +8 *2291:26 0.00165078 +9 *2291:25 0.00243514 +10 *2291:15 0.00321305 +11 *2291:10 0.00389264 +12 *21225:C1 *21869:A1 7.5394e-05 +13 *21225:C1 *22379:B2 7.99105e-05 +14 *2291:10 *23979:S 0 +15 *2291:10 *2667:20 6.54443e-05 +16 *2291:10 *3177:57 0.00247877 +17 *2291:10 *6027:207 1.79672e-05 +18 *2291:15 *21010:B2 2.65667e-05 +19 *2291:15 *24002:A1 0.000317707 +20 *2291:15 *24356:CLK 2.65667e-05 +21 *2291:15 *6027:204 9.86451e-05 +22 *2291:25 *6224:DIODE 1.84293e-05 +23 *2291:25 *20873:B1 0.000213725 +24 *2291:25 *20905:B1 0.000574224 +25 *2291:25 *5725:17 9.66124e-05 +26 *2291:31 *22823:A 2.41274e-06 +27 *2291:32 *21177:A 2.20084e-05 +28 *2291:32 *22817:A 1.23804e-05 +29 *2291:32 *25246:A 0.000317713 +30 *2291:32 *2295:42 5.95447e-05 +31 *2291:32 *2391:34 0.00187657 +32 *2291:32 *2391:49 0.000134557 +33 *2291:32 *2433:128 0.00173515 +34 *2291:32 *2446:62 1.3813e-05 +35 *2291:32 *2446:68 0.0024031 +36 *2291:32 *2446:89 3.49445e-05 +37 *2291:32 *2729:111 0.000594664 +38 *2291:32 *2978:68 0.000692168 +39 *2291:32 *2978:82 0.00154096 +40 *2291:32 *5378:9 0.00012063 +41 *2291:32 *5475:90 0.00174344 +42 *2291:32 *5475:110 0.000201273 +43 *2291:32 *5874:50 1.3813e-05 +44 *2291:32 *5874:54 0.00202903 +45 *2291:32 *5874:64 6.34651e-06 +46 *2291:61 *20938:B1 0.00141671 +47 *2291:61 *21328:C 0.00262824 +48 *2291:61 *22817:A 4.61962e-05 +49 *2291:61 *23865:A 6.01329e-05 +50 *2291:61 *25247:A 2.18679e-05 +51 *2291:61 *2295:42 2.26886e-05 +52 *2291:61 *2295:59 0.00108259 +53 *2291:61 *2389:96 0.000363944 +54 *2291:61 *2421:125 0.00107168 +55 *2291:61 *2471:56 0.000110809 +56 *2291:61 *2486:96 6.22732e-06 +57 *2291:61 *2501:22 0.00146575 +58 *2291:61 *2503:31 0 +59 *2291:61 *2584:62 0.0022185 +60 *2291:61 *2629:37 1.78841e-05 +61 *2291:61 *2679:37 0.00228444 +62 *2291:61 *2692:53 5.57395e-05 +63 *2291:61 *2729:77 7.83998e-06 +64 *2291:61 *2950:76 6.3271e-05 +65 *2291:61 *2989:80 6.61437e-06 +66 *2291:61 *3208:170 0.000779313 +67 *2291:61 *4814:64 0.000432086 +68 *2291:61 *4817:85 0.000220036 +69 *2291:61 *4823:85 0.00054467 +70 *2291:61 *4827:99 0.000153427 +71 *2291:61 *4829:125 0.000155698 +72 *2291:61 *4843:345 0.00114535 +73 *2291:61 *5475:110 0.000174652 +74 *2291:61 *5861:397 3.00347e-05 +75 *2291:61 *5874:36 0.000534481 +76 *2291:61 *5908:87 0.001254 +77 *2291:66 *21235:C1 9.0457e-05 +78 *2291:66 *21869:A1 2.27135e-05 +79 *2291:66 *22379:B2 1.91391e-05 +80 *2291:66 *2389:96 2.22007e-06 +81 *2291:66 *3204:168 0.000151006 +82 *2291:66 *3208:170 0.000297638 +83 mgmt_gpio_oeb[5] *2291:32 6.25163e-05 +84 mgmt_gpio_oeb[6] *2291:32 4.70612e-05 +85 mgmt_gpio_oeb[7] *2291:32 4.97938e-05 +86 *20905:B2 *2291:25 0.00042812 +87 *21010:A1 *2291:15 4.91225e-06 +88 *21010:A2 *2291:15 0.000372847 +89 *21010:B1 *2291:15 0.000146193 +90 *21225:A2 *2291:66 5.60804e-05 +91 *22028:B2 *2291:61 4.97935e-05 +92 *24002:S *2291:15 1.00846e-05 +93 *24003:A0 *2291:15 0.000916275 +94 *24257:D *2291:15 0.000164829 +95 *24334:D *2291:25 0.000160617 +96 *25171:A *2291:32 9.61451e-05 +97 *490:105 *2291:61 0.000534481 +98 *508:28 *2291:61 0.0012699 +99 *512:64 *2291:10 0 +100 *520:28 *2291:26 0.00206553 +101 *539:48 *2291:26 4.31194e-05 +102 *539:53 *2291:25 5.99181e-06 +103 *1608:65 *2291:61 3.11023e-05 +104 *1608:98 *2291:61 0.000820165 +105 *1641:141 *21225:C1 2.16355e-05 +106 *1688:91 *2291:61 1.89231e-05 +107 *1708:95 *2291:66 0.000206333 +108 *1741:81 *2291:26 0.000407421 +109 *2244:19 *2291:32 4.51062e-05 +110 *2257:85 *2291:61 4.0057e-05 +111 *2266:55 *2291:61 0.000957432 +112 *2267:40 *2291:26 0.00511163 +*RES +1 *21224:X *2291:10 48.6713 +2 *2291:10 *2291:15 49.7214 +3 *2291:15 *2291:25 33.1706 +4 *2291:25 *2291:26 86.1282 +5 *2291:26 *2291:31 13.051 +6 *2291:31 *2291:32 126.615 +7 *2291:32 *2291:61 49.7914 +8 *2291:61 *2291:66 5.67129 +9 *2291:66 *6168:DIODE 13.7491 +10 *2291:66 *21225:C1 15.8893 +*END + +*D_NET *2292 0.00189558 +*CONN +*I *21236:B I *D sky130_fd_sc_hd__and4_1 +*I *21225:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21236:B 0.000490246 +2 *21225:X 0.000490246 +3 *21236:B *3204:165 3.96199e-05 +4 *21236:B *3238:16 4.54772e-05 +5 *1558:42 *21236:B 2.20583e-05 +6 *1608:65 *21236:B 0.000289234 +7 *1616:71 *21236:B 0.000414533 +8 *2288:38 *21236:B 0.000104166 +*RES +1 *21225:X *21236:B 40.1591 +*END + +*D_NET *2293 0.0452969 +*CONN +*I *22397:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22713:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21229:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21226:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22397:A1 2.59393e-05 +2 *22713:A1 0 +3 *21229:A1 0.000203231 +4 *21226:Y 0.000698613 +5 *2293:68 0.000307838 +6 *2293:67 0.000104607 +7 *2293:65 0.00169585 +8 *2293:44 0.00272324 +9 *2293:38 0.00533451 +10 *2293:22 0.00666834 +11 *2293:16 0.00275674 +12 *2293:13 0.00106819 +13 *21229:A1 *3238:16 0.000126589 +14 *21229:A1 *3466:201 0.00010603 +15 *21229:A1 *3566:11 0.000120237 +16 *22397:A1 *21658:B1 3.03217e-05 +17 *22397:A1 *22387:A1 1.91246e-05 +18 *2293:13 *19851:A 6.08467e-05 +19 *2293:13 *2350:20 0.000544575 +20 *2293:13 *2601:23 0.000200794 +21 *2293:13 *2728:44 8.29362e-05 +22 *2293:13 *2850:12 8.98169e-05 +23 *2293:13 *3220:12 0.000200794 +24 *2293:16 *19851:A 0.000125109 +25 *2293:16 *19886:A 0.000281209 +26 *2293:16 *22023:A1 1.13111e-05 +27 *2293:16 *2601:23 5.93547e-06 +28 *2293:16 *2986:20 0.000217331 +29 *2293:16 *3070:32 1.3706e-05 +30 *2293:22 *2350:38 5.46889e-05 +31 *2293:22 *2821:11 0.00339364 +32 *2293:38 *22384:A2 0.000194894 +33 *2293:38 *22384:B2 1.5714e-05 +34 *2293:38 *22404:A 0.000599096 +35 *2293:38 *22686:B1 0.000213044 +36 *2293:38 *22718:B2 3.32373e-05 +37 *2293:38 *2317:20 1.29517e-05 +38 *2293:38 *2340:24 0.000348979 +39 *2293:38 *2417:82 1.24827e-05 +40 *2293:38 *2452:14 5.85612e-05 +41 *2293:38 *2464:12 7.40528e-05 +42 *2293:38 *2479:52 3.20312e-05 +43 *2293:38 *2501:22 0.00275398 +44 *2293:38 *2659:17 0.000251579 +45 *2293:38 *3164:188 0.000290249 +46 *2293:38 *3320:10 3.74433e-05 +47 *2293:38 *3521:165 0.000586039 +48 *2293:38 *4812:38 4.70237e-05 +49 *2293:38 *4831:25 0.000459006 +50 *2293:38 *5865:88 0.00026924 +51 *2293:44 *21658:B1 0.00064356 +52 *2293:44 *22677:A1 8.6297e-06 +53 *2293:44 *2476:8 0.000166833 +54 *2293:44 *3124:242 3.11366e-05 +55 *2293:44 *3300:8 0.000627488 +56 *2293:44 *3493:26 0.000534238 +57 *2293:44 *3493:45 0.000195605 +58 *2293:65 *6170:DIODE 0.000367813 +59 *2293:65 *21272:B1 0.000137586 +60 *2293:65 *22375:A1 9.82896e-06 +61 *2293:65 *22695:A1 4.42987e-06 +62 *2293:65 *22713:B2 7.72043e-06 +63 *2293:65 *22714:C1 0.000107496 +64 *2293:65 *2631:38 0.000162503 +65 *2293:65 *3050:41 0.000724027 +66 *2293:65 *3493:26 1.81626e-05 +67 *2293:65 *3498:232 5.20388e-05 +68 *2293:65 *3500:127 6.36477e-05 +69 *2293:65 *3637:18 0.000107496 +70 *19801:A2 *22397:A1 5.60804e-05 +71 *19801:A2 *2293:44 0.000759969 +72 *21188:B *2293:44 0.000278461 +73 *21241:A2 *22397:A1 1.91246e-05 +74 *21272:A2 *2293:65 6.7671e-06 +75 *21289:B2 *2293:22 5.01835e-05 +76 *21396:B2 *21229:A1 0.000110364 +77 *21701:A2 *2293:16 2.57465e-06 +78 *22023:B2 *2293:16 1.23967e-05 +79 *22296:B1 *2293:38 0 +80 *22708:B1 *2293:44 1.01851e-05 +81 *489:78 *2293:16 9.4385e-05 +82 *1562:21 *2293:65 6.50586e-05 +83 *1562:39 *21229:A1 0.000107538 +84 *1592:151 *2293:38 0 +85 *1608:43 *2293:65 3.60456e-05 +86 *1609:22 *2293:13 0.000445425 +87 *1610:181 *2293:38 0.000157317 +88 *1620:113 *2293:38 0.000462576 +89 *1631:106 *2293:16 0.00025967 +90 *1655:220 *2293:38 0.000167649 +91 *1658:119 *2293:38 0.000385164 +92 *1741:40 *2293:38 6.057e-05 +93 *1744:219 *2293:65 1.58551e-05 +94 *1770:31 *2293:13 7.48886e-05 +95 *1884:64 *2293:38 0 +96 *2252:43 *2293:38 0.00400732 +97 *2263:77 *2293:38 0.000119416 +*RES +1 *21226:Y *2293:13 38.7838 +2 *2293:13 *2293:16 16.298 +3 *2293:16 *2293:22 48.0689 +4 *2293:22 *2293:38 36.1992 +5 *2293:38 *2293:44 29.3385 +6 *2293:44 *2293:65 42.1281 +7 *2293:65 *2293:67 9.24915 +8 *2293:67 *2293:68 127.479 +9 *2293:68 *21229:A1 33.2473 +10 *2293:44 *22713:A1 9.24915 +11 *2293:38 *22397:A1 17.5847 +*END + +*D_NET *2294 0.0639487 +*CONN +*I *22388:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22713:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21229:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21227:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22388:A1 0 +2 *22713:B1 0.00039124 +3 *21229:B1 0.0009923 +4 *21227:Y 9.51931e-05 +5 *2294:74 0.00170993 +6 *2294:64 0.00217992 +7 *2294:63 0.00314755 +8 *2294:52 0.00167399 +9 *2294:44 0.00172113 +10 *2294:39 0.00260643 +11 *2294:30 0.00258841 +12 *2294:28 0.00245356 +13 *2294:25 0.00314786 +14 *2294:21 0.00264116 +15 *2294:12 0.00337369 +16 *2294:8 0.00284516 +17 *21229:B1 *21279:B1 0.000501338 +18 *21229:B1 *21396:A1 0.00011195 +19 *21229:B1 *21396:B1 0.000874124 +20 *21229:B1 *22635:D 2.26985e-05 +21 *21229:B1 *2527:13 0.00014276 +22 *21229:B1 *2857:18 1.91246e-05 +23 *21229:B1 *3547:17 0.00209748 +24 *22713:B1 *21396:B1 0.000339786 +25 *22713:B1 *22677:A1 2.04734e-05 +26 *22713:B1 *2384:59 0.000105313 +27 *22713:B1 *3547:17 0.000308841 +28 *22713:B1 *3634:14 0.000146854 +29 *2294:8 *2530:11 0 +30 *2294:8 *4823:8 8.36326e-05 +31 *2294:8 *5148:10 7.50722e-05 +32 *2294:12 *20878:B1 0.000404547 +33 *2294:12 *24353:CLK 1.43983e-05 +34 *2294:12 *24375:CLK 0.000196406 +35 *2294:12 *24626:RESET_B 0.000156762 +36 *2294:12 *4897:59 3.79844e-06 +37 *2294:12 *5148:10 1.76347e-05 +38 *2294:12 *5866:601 3.82278e-05 +39 *2294:12 *5893:8 8.50796e-05 +40 *2294:21 *24355:CLK 0.00128049 +41 *2294:25 *24355:CLK 0.00034768 +42 *2294:25 *24706:CLK 7.16893e-05 +43 *2294:25 *2813:25 0.00117761 +44 *2294:25 *4815:11 4.63772e-05 +45 *2294:25 *5518:7 0.000197314 +46 *2294:28 *19566:S 8.03934e-05 +47 *2294:28 *24905:CLK 0.000118485 +48 *2294:28 *2320:16 0.000268946 +49 *2294:28 *3011:22 1.2366e-05 +50 *2294:28 *4842:237 0 +51 *2294:28 *4901:12 0.000156055 +52 *2294:28 *4901:40 0.000638114 +53 *2294:28 *5903:6 0 +54 *2294:30 *21775:A 5.43333e-05 +55 *2294:30 *2320:20 0 +56 *2294:30 *3011:22 0.000198737 +57 *2294:30 *3011:24 0.000477099 +58 *2294:30 *4812:14 0.00144763 +59 *2294:30 *4901:12 7.69939e-05 +60 *2294:30 *5993:20 0.000191491 +61 *2294:39 *21405:A 0.000110306 +62 *2294:39 *24321:SET_B 0.000143355 +63 *2294:39 *24321:CLK 0.000210077 +64 *2294:39 *2321:26 0.000302918 +65 *2294:39 *2465:7 0.00031195 +66 *2294:39 *4956:10 0.000158782 +67 *2294:39 *5086:20 0.000251935 +68 *2294:39 *5855:187 9.60277e-05 +69 *2294:39 *5855:201 0.00012362 +70 *2294:39 *5857:88 0.000353686 +71 *2294:39 *5884:45 0.000461231 +72 *2294:44 *2306:38 7.57664e-05 +73 *2294:44 *3263:13 8.35425e-05 +74 *2294:44 *3305:8 0.000133925 +75 *2294:44 *4823:45 1.49935e-05 +76 *2294:44 *5091:22 8.56518e-05 +77 *2294:44 *5859:132 0.000946023 +78 *2294:52 *22340:A1 6.01329e-05 +79 *2294:52 *22341:A2 1.62321e-05 +80 *2294:52 *2363:39 0.000160479 +81 *2294:63 *22344:A1 1.05934e-05 +82 *2294:63 *22374:B1 5.40815e-05 +83 *2294:63 *22388:B2 0.000305582 +84 *2294:63 *22389:C1 3.26052e-05 +85 *2294:63 *22720:A2 1.45067e-05 +86 *2294:63 *2298:30 0.000727074 +87 *2294:63 *2906:39 0.000110306 +88 *2294:63 *3177:298 1.96611e-05 +89 *2294:63 *3177:303 5.24931e-05 +90 *2294:63 *3177:315 6.08467e-05 +91 *2294:63 *3177:327 0.000391697 +92 *2294:63 *3179:227 1.17614e-05 +93 *2294:63 *3320:10 0.000476984 +94 *2294:64 *22378:A1 0.000108133 +95 *2294:64 *22381:C 0.000319937 +96 *2294:64 *22717:B1 5.11466e-05 +97 *2294:64 *2298:30 0.000584163 +98 *2294:64 *2298:36 0.000249846 +99 *2294:64 *2354:47 8.31266e-05 +100 *2294:64 *2355:42 7.62821e-05 +101 *2294:64 *2446:145 5.38612e-06 +102 *2294:64 *2501:22 2.06745e-05 +103 *2294:64 *2777:17 0.000206452 +104 *2294:64 *3206:190 1.14055e-05 +105 *2294:64 *3493:45 1.00454e-05 +106 *2294:74 *2501:22 1.89975e-05 +107 *2294:74 *2501:32 0.000314227 +108 *2294:74 *3206:190 0.000388118 +109 *20273:B *2294:30 0.00100632 +110 *20321:B1 *2294:28 0.000271189 +111 *20321:B2 *2294:28 0.000221215 +112 *20914:A *2294:39 6.50727e-05 +113 *21180:B2 *2294:64 5.8518e-05 +114 *21181:B1 *2294:64 0.00028282 +115 *21181:C1 *2294:64 0.000604657 +116 *21187:A1 *2294:44 1.66771e-05 +117 *21273:B2 *21229:B1 0.000153427 +118 *21412:A2 *2294:64 0.000108713 +119 *22303:A1 *2294:44 0.000295861 +120 *22402:B1 *2294:64 0.000103246 +121 *22721:B1 *2294:63 8.3897e-06 +122 *24353:D *2294:12 7.03223e-05 +123 *24375:D *2294:12 3.31733e-05 +124 *24626:D *2294:8 2.5386e-05 +125 *476:174 *2294:30 0 +126 *1418:129 *2294:39 0.000352419 +127 *1551:190 *2294:44 0.000179296 +128 *1595:8 *2294:12 4.86511e-05 +129 *1608:117 *2294:44 1.65082e-05 +130 *1610:195 *2294:39 0.000197706 +131 *1610:195 *2294:44 1.41689e-05 +132 *1627:101 *2294:64 1.19533e-05 +133 *1627:118 *2294:64 0.000672307 +134 *1647:68 *2294:64 8.30408e-05 +135 *1655:220 *2294:63 0.000281958 +136 *1884:110 *2294:12 1.00763e-05 +137 *1907:8 *2294:28 0.000796882 +138 *1913:11 *2294:25 6.08467e-05 +139 *2093:149 *2294:64 0.000183667 +140 *2135:50 *2294:12 7.75363e-05 +141 *2135:70 *2294:12 1.40978e-05 +142 *2250:55 *2294:52 4.03281e-05 +143 *2254:11 *2294:44 0.000212848 +144 *2257:29 *2294:52 8.62625e-06 +145 *2257:29 *2294:63 0 +146 *2258:64 *22713:B1 0.000316775 +147 *2262:30 *2294:28 0.00113947 +148 *2262:34 *2294:28 0.000151738 +149 *2262:58 *2294:39 8.04171e-05 +150 *2262:58 *2294:44 0.00126588 +151 *2263:43 *2294:44 0.00121051 +152 *2274:115 *2294:64 3.39118e-05 +153 *2288:38 *2294:64 0.00016968 +*RES +1 *21227:Y *2294:8 21.7421 +2 *2294:8 *2294:12 46.984 +3 *2294:12 *2294:21 20.9693 +4 *2294:21 *2294:25 37.945 +5 *2294:25 *2294:28 48.8654 +6 *2294:28 *2294:30 49.7936 +7 *2294:30 *2294:39 47.5903 +8 *2294:39 *2294:44 49.9881 +9 *2294:44 *2294:52 20.3529 +10 *2294:52 *2294:63 47.2587 +11 *2294:63 *2294:64 50.8318 +12 *2294:64 *2294:74 13.689 +13 *2294:74 *21229:B1 20.6825 +14 *2294:74 *22713:B1 24.6494 +15 *2294:52 *22388:A1 9.24915 +*END + +*D_NET *2295 0.109279 +*CONN +*I *21229:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *6169:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21228:X O *D sky130_fd_sc_hd__or3b_4 +*CAP +1 *21229:C1 5.36252e-05 +2 *6169:DIODE 0 +3 *21228:X 8.78688e-05 +4 *2295:82 0.00261249 +5 *2295:78 0.00386377 +6 *2295:59 0.00258458 +7 *2295:42 0.00234287 +8 *2295:41 0.00106319 +9 *2295:39 0.00246118 +10 *2295:14 0.0034733 +11 *2295:13 0.0107106 +12 *2295:8 0.0114522 +13 *2295:7 0.00184151 +14 *21229:C1 *3466:201 6.08467e-05 +15 *2295:8 *24506:CLK 0 +16 *2295:8 *2398:38 0.000256894 +17 *2295:8 *2704:10 0.000126295 +18 *2295:8 *2719:8 0.00328013 +19 *2295:13 *24665:RESET_B 0.00104817 +20 *2295:13 *2812:11 0.000512922 +21 *2295:13 *2839:27 0.00108118 +22 *2295:13 *3177:77 0.00108373 +23 *2295:13 *4828:129 0.00840856 +24 *2295:13 *5785:39 0.000834229 +25 *2295:14 *2446:54 0.00461164 +26 *2295:14 *5683:34 0.0046026 +27 *2295:14 *5725:14 0.000469653 +28 *2295:14 *5898:164 0.0021696 +29 *2295:39 *22820:A 0.000127164 +30 *2295:39 *22821:A 4.69495e-06 +31 *2295:39 *2301:18 0.00118526 +32 *2295:39 *2391:49 0.00107887 +33 *2295:39 *2423:57 8.17436e-05 +34 *2295:39 *2423:69 2.02035e-05 +35 *2295:39 *2446:89 6.04405e-05 +36 *2295:39 *2729:121 2.06526e-05 +37 *2295:39 *2882:36 4.08676e-05 +38 *2295:39 *2938:56 4.28427e-05 +39 *2295:39 *2978:82 4.50847e-05 +40 *2295:39 *5475:83 3.31915e-06 +41 *2295:39 *5525:11 0.000150603 +42 *2295:39 *5527:23 0.000153182 +43 *2295:39 *5874:46 0.000164927 +44 *2295:39 *5874:50 0.000260464 +45 *2295:39 *5874:54 2.12472e-05 +46 *2295:39 *5898:167 8.17436e-05 +47 *2295:39 *5898:168 2.02035e-05 +48 *2295:39 *5908:29 0.000469647 +49 *2295:39 *5908:55 0.0021858 +50 *2295:42 *21177:A 0.000208228 +51 *2295:42 *22816:A 2.97007e-05 +52 *2295:42 *22817:A 0.000343774 +53 *2295:42 *25246:A 2.04806e-05 +54 *2295:42 *2391:34 0.000155671 +55 *2295:42 *2421:125 0.00106886 +56 *2295:42 *2471:56 1.72954e-05 +57 *2295:42 *2635:70 0.000662724 +58 *2295:42 *2989:80 7.91475e-05 +59 *2295:42 *2989:91 0.00105282 +60 *2295:42 *5380:13 0.000259082 +61 *2295:42 *5527:23 8.05972e-06 +62 *2295:42 *5527:36 0.000571774 +63 *2295:42 *5908:55 0.0011206 +64 *2295:42 *5913:17 0.000100647 +65 *2295:59 *21075:B1 0.000527751 +66 *2295:59 *24275:RESET_B 0.000436841 +67 *2295:59 *2570:80 5.17409e-06 +68 *2295:59 *2584:62 8.6473e-06 +69 *2295:59 *4817:85 2.65815e-05 +70 *2295:59 *4829:125 0.000157879 +71 *2295:59 *5864:573 0.000377419 +72 *2295:78 *2468:79 0.00100461 +73 *2295:78 *2468:80 2.05025e-05 +74 *2295:78 *2479:8 0.00140642 +75 *2295:78 *2492:32 0.000368626 +76 *2295:78 *2570:94 0.000146762 +77 *2295:78 *2908:29 0.000854092 +78 *2295:78 *3050:13 0.000152665 +79 *2295:78 *3072:20 6.65802e-05 +80 *2295:78 *3306:22 6.32248e-05 +81 *2295:78 *4805:47 7.09666e-06 +82 *2295:78 *5853:260 1.65159e-05 +83 *2295:82 *22625:B1 8.30669e-06 +84 *2295:82 *22695:B1 0.000220099 +85 *2295:82 *2333:60 4.51757e-05 +86 *2295:82 *2416:53 0.00100569 +87 *2295:82 *2417:82 0.00076306 +88 *2295:82 *2474:37 0.000108986 +89 *2295:82 *3139:192 0.000160909 +90 *2295:82 *3348:21 0.00069931 +91 *2295:82 *4814:64 0.000233079 +92 *2295:82 *5919:72 0.00433224 +93 mgmt_gpio_out[0] *2295:13 6.30725e-05 +94 mgmt_gpio_out[4] *2295:39 0 +95 *20218:A *2295:8 0 +96 *21066:A *2295:78 0.000120265 +97 *21067:A *2295:78 0.000103458 +98 *21395:A2 *2295:82 5.70933e-05 +99 *21933:B2 *2295:8 0.000288752 +100 *25147:A *2295:13 0 +101 *25170:A *2295:39 0.000100043 +102 *324:27 *2295:78 0.000936445 +103 *466:17 *2295:7 3.99086e-06 +104 *476:100 *2295:14 0.000148104 +105 *512:56 *2295:13 0.000676927 +106 *529:35 *2295:78 0.000614254 +107 *529:50 *2295:14 5.53589e-05 +108 *535:38 *2295:14 0.00127831 +109 *535:38 *2295:39 1.14553e-05 +110 *1439:81 *2295:59 1.5714e-05 +111 *1455:13 *2295:7 6.08467e-05 +112 *1455:14 *2295:8 0.00189337 +113 *1502:57 *2295:8 1.2568e-05 +114 *1520:17 *2295:8 0 +115 *1573:155 *2295:78 0.00037079 +116 *1641:130 *21229:C1 6.97183e-05 +117 *1641:130 *2295:82 0.000268891 +118 *1688:91 *2295:59 0.000355306 +119 *1721:118 *21229:C1 2.16355e-05 +120 *1741:70 *2295:14 1.72799e-05 +121 *1742:76 *2295:78 0.000202161 +122 *1744:219 *2295:82 1.63384e-05 +123 *1889:6 *2295:8 0 +124 *1917:29 *2295:59 4.69495e-06 +125 *2164:135 *2295:13 0 +126 *2267:59 *2295:39 0.00017391 +127 *2267:59 *2295:59 0.00126189 +128 *2267:63 *2295:59 0.00233437 +129 *2267:80 *2295:78 6.36477e-05 +130 *2286:53 *21229:C1 6.41916e-05 +131 *2286:53 *2295:82 0.000213548 +132 *2291:32 *2295:42 5.95447e-05 +133 *2291:61 *2295:42 2.26886e-05 +134 *2291:61 *2295:59 0.00108259 +*RES +1 *21228:X *2295:7 15.0271 +2 *2295:7 *2295:8 65.158 +3 *2295:8 *2295:13 39.2958 +4 *2295:13 *2295:14 110.836 +5 *2295:14 *2295:39 47.0946 +6 *2295:39 *2295:41 3.36879 +7 *2295:41 *2295:42 56.6453 +8 *2295:42 *2295:59 47.0503 +9 *2295:59 *2295:78 47.5949 +10 *2295:78 *2295:82 16.0086 +11 *2295:82 *6169:DIODE 13.7491 +12 *2295:82 *21229:C1 15.8893 +*END + +*D_NET *2296 0.000966044 +*CONN +*I *21236:C I *D sky130_fd_sc_hd__and4_1 +*I *21229:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21236:C 0.000190945 +2 *21229:X 0.000190945 +3 *21236:C *21236:D 2.37478e-05 +4 *21236:C *3238:16 0.000311243 +5 *21236:C *3566:11 0.000227247 +6 *1616:71 *21236:C 2.1915e-05 +*RES +1 *21229:X *21236:C 33.8981 +*END + +*D_NET *2297 0.0743887 +*CONN +*I *22383:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22719:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21235:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21230:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22383:A1 0.00172722 +2 *22719:B1 2.02243e-05 +3 *21235:A1 0.00129066 +4 *21230:Y 3.93973e-05 +5 *2297:86 0.0033078 +6 *2297:71 0.00298828 +7 *2297:60 0.00203668 +8 *2297:52 0.00400348 +9 *2297:43 0.00188663 +10 *2297:39 0.00127385 +11 *2297:26 0.00274322 +12 *2297:25 0.00328567 +13 *2297:17 0.00252968 +14 *2297:11 0.00329627 +15 *2297:8 0.0019666 +16 *21235:A1 *21235:B1 0.000191663 +17 *21235:A1 *21236:D 0.000154145 +18 *21235:A1 *21354:C 0.00012229 +19 *21235:A1 *21630:B1 0.000128973 +20 *21235:A1 *22717:A1 9.54357e-06 +21 *21235:A1 *22719:C1 2.07503e-05 +22 *21235:A1 *2415:64 0.000428712 +23 *21235:A1 *2476:8 0.000116773 +24 *22383:A1 *22296:C1 6.50586e-05 +25 *22383:A1 *22297:B1 0.00201108 +26 *22383:A1 *22383:B2 1.94425e-05 +27 *22383:A1 *24764:SET_B 0.000100572 +28 *22383:A1 *2545:55 0.000924241 +29 *22383:A1 *2989:32 0.000568322 +30 *22383:A1 *4815:35 5.67305e-05 +31 *22383:A1 *4815:51 7.64175e-05 +32 *22383:A1 *4831:25 4.00504e-05 +33 *22383:A1 *5865:88 0.000235175 +34 *22719:B1 *22717:A1 6.08467e-05 +35 *2297:8 *2570:14 1.79807e-05 +36 *2297:8 *5866:630 7.86847e-05 +37 *2297:11 *24373:SET_B 2.16355e-05 +38 *2297:11 *24379:CLK 2.65831e-05 +39 *2297:11 *4838:283 0.00213927 +40 *2297:17 *20805:B1 0.000317693 +41 *2297:17 *24373:SET_B 5.56367e-05 +42 *2297:17 *2620:10 0.000760946 +43 *2297:17 *2620:16 0.00066028 +44 *2297:17 *4839:357 0.000612779 +45 *2297:17 *5558:10 0.000113107 +46 *2297:17 *5893:8 0.000188392 +47 *2297:25 *19560:S 3.40207e-05 +48 *2297:25 *20282:A1 1.00846e-05 +49 *2297:25 *24049:A1 0.000258128 +50 *2297:25 *24685:CLK 0.00115373 +51 *2297:25 *2620:16 3.96379e-06 +52 *2297:25 *5898:236 8.88984e-06 +53 *2297:26 *20282:A1 0 +54 *2297:26 *20731:B1 0.000144531 +55 *2297:26 *24049:A1 2.95757e-05 +56 *2297:26 *2620:20 0 +57 *2297:26 *2813:26 0.00133953 +58 *2297:26 *4815:26 0 +59 *2297:26 *4844:796 0 +60 *2297:26 *4844:802 0.000212506 +61 *2297:26 *5865:511 0.000958863 +62 *2297:26 *5865:525 0.000204811 +63 *2297:39 *2319:17 0.000107496 +64 *2297:39 *2320:35 0.000790671 +65 *2297:39 *2384:11 0.000272722 +66 *2297:39 *2813:26 0.000197055 +67 *2297:39 *4812:7 7.16984e-05 +68 *2297:39 *5884:29 0.000879772 +69 *2297:43 *2319:23 0.000122742 +70 *2297:43 *2433:20 0.00160288 +71 *2297:43 *2473:37 0.000656125 +72 *2297:52 *21804:A 3.7516e-05 +73 *2297:52 *24296:RESET_B 8.08156e-06 +74 *2297:52 *4816:55 0.000286696 +75 *2297:52 *4835:49 0.00103817 +76 *2297:52 *5416:5 8.56861e-05 +77 *2297:52 *5853:156 0.000847026 +78 *2297:60 *2676:17 2.89104e-05 +79 *2297:60 *2676:20 0.00069056 +80 *2297:60 *4815:68 0.000575533 +81 *2297:60 *4906:55 7.13972e-05 +82 *2297:60 *5853:156 0.000279371 +83 *2297:60 *5853:171 0.000100727 +84 *2297:71 *2448:92 6.23875e-05 +85 *2297:71 *2676:20 0.000256678 +86 *2297:71 *2676:22 0.000561286 +87 *2297:71 *4843:312 0.00132453 +88 *2297:86 *21412:C1 6.16209e-06 +89 *2297:86 *22722:A2 0.00015927 +90 *2297:86 *2423:93 1.2797e-05 +91 *2297:86 *2472:27 1.5714e-05 +92 *2297:86 *2534:23 0.000205446 +93 *2297:86 *2676:22 0.000133904 +94 *2297:86 *2949:71 0.000104754 +95 *2297:86 *3306:32 1.40496e-05 +96 *2297:86 *5903:18 7.70185e-05 +97 *6091:DIODE *21235:A1 0.000532692 +98 *19598:A *2297:43 0.000132031 +99 *20282:B2 *2297:25 2.15348e-05 +100 *20323:B1 *2297:39 0.000115668 +101 *20343:A2 *2297:26 2.82369e-05 +102 *21235:A2 *21235:A1 2.53145e-06 +103 *21260:B2 *2297:86 0.00013724 +104 *21294:B2 *2297:86 0.000135978 +105 *21303:B2 *21235:A1 3.65454e-05 +106 *21998:B2 *2297:71 0.000107496 +107 *22402:B1 *21235:A1 0.000154145 +108 *24296:D *2297:52 6.23875e-05 +109 *24313:D *2297:71 0.000106732 +110 *24720:D *2297:25 0.000455422 +111 *24732:D *2297:39 9.0819e-05 +112 *24733:D *2297:26 0.000284063 +113 *477:69 *2297:26 0 +114 *1419:239 *2297:86 0.000236173 +115 *1419:249 *2297:86 0.00241543 +116 *1564:117 *21235:A1 0.00108466 +117 *1564:117 *22719:B1 7.92757e-06 +118 *1602:161 *22383:A1 3.17436e-05 +119 *1616:81 *21235:A1 3.80436e-07 +120 *1618:149 *2297:86 0.000560292 +121 *1634:30 *2297:60 0.000694021 +122 *1645:118 *21235:A1 0.000927578 +123 *1886:49 *22383:A1 0.000697866 +124 *1905:16 *2297:26 0 +125 *1905:20 *2297:26 0.000179967 +126 *1905:26 *2297:26 0.00133248 +127 *1905:28 *2297:26 0.000368367 +128 *1905:34 *2297:26 2.27135e-05 +129 *1905:34 *2297:39 0.000236587 +130 *1907:38 *2297:26 0.000160419 +131 *1907:47 *2297:26 4.3116e-06 +132 *1912:10 *2297:52 0.000852609 +133 *1912:10 *2297:60 0.00104475 +134 *1919:69 *2297:39 0.000319616 +135 *1924:8 *2297:43 0.000525636 +136 *2156:67 *2297:71 5.08731e-06 +137 *2246:30 *2297:86 0.000565853 +138 *2250:55 *22383:A1 3.55859e-05 +139 *2254:23 *21235:A1 0.000120386 +140 *2258:62 *22383:A1 8.80156e-05 +141 *2266:13 *22383:A1 0.000224684 +142 *2274:95 *21235:A1 0.00047909 +*RES +1 *21230:Y *2297:8 19.6659 +2 *2297:8 *2297:11 36.2812 +3 *2297:11 *2297:17 46.5747 +4 *2297:17 *2297:25 30.6508 +5 *2297:25 *2297:26 80.9375 +6 *2297:26 *2297:39 36.9576 +7 *2297:39 *2297:43 34.7326 +8 *2297:43 *2297:52 45.1437 +9 *2297:52 *2297:60 45.5722 +10 *2297:60 *2297:71 47.8577 +11 *2297:71 *2297:86 20.7011 +12 *2297:86 *21235:A1 47.3276 +13 *2297:86 *22719:B1 9.97254 +14 *2297:52 *22383:A1 47.0014 +*END + +*D_NET *2298 0.0549128 +*CONN +*I *22385:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21235:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22717:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21231:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22385:B1 0.000171732 +2 *21235:B1 0.0011908 +3 *22717:B1 0.000268731 +4 *21231:Y 4.9469e-05 +5 *2298:36 0.0016893 +6 *2298:30 0.00243703 +7 *2298:17 0.00584232 +8 *2298:16 0.00433418 +9 *2298:13 0.0014078 +10 *2298:8 0.00233849 +11 *2298:7 0.00185099 +12 *21235:B1 *21236:D 5.481e-05 +13 *21235:B1 *21328:B 0.000156955 +14 *21235:B1 *21354:A 0.000119401 +15 *21235:B1 *2364:8 4.59164e-06 +16 *21235:B1 *2613:29 0.000583043 +17 *21235:B1 *2949:71 0.000939217 +18 *21235:B1 *3333:33 5.83513e-05 +19 *21235:B1 *4813:110 7.56459e-05 +20 *22385:B1 *22341:B2 4.25147e-05 +21 *22385:B1 *3164:188 0.000324573 +22 *22385:B1 *3164:198 4.65119e-05 +23 *22717:B1 *22717:B2 5.88253e-05 +24 *22717:B1 *22717:C1 0.000242047 +25 *22717:B1 *2354:47 5.45571e-05 +26 *2298:7 *24592:RESET_B 0.000111722 +27 *2298:8 *20477:A1 0.000144546 +28 *2298:8 *24590:RESET_B 8.53663e-05 +29 *2298:8 *2448:20 0.00149777 +30 *2298:8 *2552:14 0.000273879 +31 *2298:8 *2602:12 0.000450235 +32 *2298:8 *2853:22 0.00116957 +33 *2298:8 *2954:21 0.000571275 +34 *2298:8 *4843:38 0.00146097 +35 *2298:8 *4843:43 0.000186445 +36 *2298:8 *5458:104 0.000310079 +37 *2298:8 *5853:22 0.000118361 +38 *2298:8 *5853:32 0.00137678 +39 *2298:8 *5868:593 1.5254e-05 +40 *2298:13 *24649:CLK 0.000251224 +41 *2298:16 *22258:A1 2.57465e-06 +42 *2298:16 *2551:8 0.000194304 +43 *2298:16 *2697:8 2.0388e-06 +44 *2298:16 *2728:41 4.51619e-05 +45 *2298:16 *2950:34 0.000587867 +46 *2298:16 *3131:167 0.000573138 +47 *2298:16 *5158:8 0.000101148 +48 *2298:17 *21974:B1 0.000120515 +49 *2298:17 *22275:B1 0.000155364 +50 *2298:17 *2331:13 0.000294649 +51 *2298:17 *2404:25 0.000157659 +52 *2298:17 *2845:14 5.76799e-05 +53 *2298:17 *2963:127 0.000131057 +54 *2298:17 *2978:29 6.02528e-05 +55 *2298:17 *2987:15 3.06313e-05 +56 *2298:17 *3164:131 0.000231989 +57 *2298:17 *3164:153 0.00141946 +58 *2298:17 *3164:180 0.000918578 +59 *2298:17 *3164:188 0.000857914 +60 *2298:17 *5865:88 8.41581e-05 +61 *2298:30 *21412:C1 0.000131966 +62 *2298:30 *22374:B1 4.0605e-06 +63 *2298:30 *22381:C 0.000312457 +64 *2298:30 *22676:A2 0.000106833 +65 *2298:30 *22685:B1 0.000184508 +66 *2298:30 *22685:C1 0.000118724 +67 *2298:30 *22686:B1 0.000266187 +68 *2298:30 *2464:51 0.000115355 +69 *2298:30 *2554:21 0.000299419 +70 *2298:30 *2777:17 8.23984e-05 +71 *2298:30 *3064:20 0.000188053 +72 *2298:30 *3148:91 0.000454292 +73 *2298:30 *3177:298 2.75376e-05 +74 *2298:30 *3179:227 0.000355304 +75 *2298:30 *3493:45 0.000167152 +76 *2298:30 *3519:260 0.000119593 +77 *2298:30 *4812:38 0.00026629 +78 *2298:30 *4831:25 0 +79 *2298:30 *4873:17 5.60804e-05 +80 *2298:36 *2354:47 5.84419e-05 +81 *2298:36 *2355:42 4.43086e-05 +82 *2298:36 *2888:28 4.15661e-05 +83 *2298:36 *5863:29 0.000156955 +84 *20387:B2 *2298:16 5.7135e-05 +85 *20777:B *2298:16 0.00067137 +86 *21235:A1 *21235:B1 0.000191663 +87 *21235:A2 *21235:B1 8.16417e-05 +88 *21975:A2 *2298:17 3.95949e-05 +89 *21975:B2 *2298:17 0.000221919 +90 *22208:B1 *2298:17 0.000314365 +91 *22402:B1 *22717:B1 6.63616e-05 +92 *22604:B2 *21235:B1 7.98171e-06 +93 *480:27 *2298:36 0.000154145 +94 *1564:117 *21235:B1 9.45056e-06 +95 *1565:12 *2298:8 0.000251232 +96 *1586:117 *2298:16 0.00115021 +97 *1602:161 *2298:30 2.14262e-05 +98 *1627:118 *2298:30 4.89994e-05 +99 *1627:128 *22385:B1 8.90266e-05 +100 *1645:118 *21235:B1 7.10185e-05 +101 *1732:10 *2298:8 0.00118475 +102 *1741:40 *22385:B1 0.00029283 +103 *1741:40 *2298:17 0.00606699 +104 *1783:28 *2298:16 1.0823e-05 +105 *1787:40 *2298:17 0.000119815 +106 *2077:80 *2298:8 4.03753e-05 +107 *2093:149 *2298:30 0.000188525 +108 *2294:63 *2298:30 0.000727074 +109 *2294:64 *22717:B1 5.11466e-05 +110 *2294:64 *2298:30 0.000584163 +111 *2294:64 *2298:36 0.000249846 +*RES +1 *21231:Y *2298:7 15.0271 +2 *2298:7 *2298:8 91.5265 +3 *2298:8 *2298:13 16.9332 +4 *2298:13 *2298:16 39.8743 +5 *2298:16 *2298:17 11.7911 +6 *2298:17 *2298:30 49.282 +7 *2298:30 *2298:36 16.1942 +8 *2298:36 *22717:B1 19.6121 +9 *2298:36 *21235:B1 38.1986 +10 *2298:17 *22385:B1 19.6281 +*END + +*D_NET *2299 0.0135315 +*CONN +*I *21234:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21232:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21234:A1 0 +2 *21232:Y 0.000436281 +3 *2299:8 0.00300331 +4 *2299:7 0.00343959 +5 *2299:7 *21506:B1 7.75123e-05 +6 *2299:8 *20365:A1 4.5539e-05 +7 *2299:8 *21524:B1 5.91302e-05 +8 *2299:8 *21959:A1 0.0018965 +9 *2299:8 *24665:RESET_B 1.66771e-05 +10 *2299:8 *24895:SET_B 0.000261561 +11 *2299:8 *2426:68 8.74897e-06 +12 *2299:8 *2443:17 1.9101e-05 +13 *2299:8 *2756:8 0.000560344 +14 *2299:8 *2756:14 0 +15 *2299:8 *2756:18 0 +16 *2299:8 *2981:16 0.000224035 +17 *2299:8 *5864:20 0.000353932 +18 *516:25 *2299:8 0.000206793 +19 *516:26 *2299:8 0 +20 *522:18 *2299:8 5.09857e-05 +21 *541:70 *2299:8 0.000104293 +22 *549:24 *2299:8 0.000834354 +23 *1669:130 *2299:8 0.000476101 +24 *1671:30 *2299:8 0.000721017 +25 *1671:38 *2299:8 0.00072165 +26 *1695:42 *2299:8 1.40158e-05 +*RES +1 *21232:Y *2299:7 20.0186 +2 *2299:7 *2299:8 101.908 +3 *2299:8 *21234:A1 13.7491 +*END + +*D_NET *2300 0.0694043 +*CONN +*I *22401:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22710:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21234:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21233:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22401:B1 0.00265563 +2 *22710:B1 0.000121751 +3 *21234:B1 0.000979662 +4 *21233:Y 0 +5 *2300:70 0.00603177 +6 *2300:60 0.00453591 +7 *2300:53 0.00247302 +8 *2300:44 0.00203778 +9 *2300:33 0.00166611 +10 *2300:29 0.00180697 +11 *2300:19 0.00239392 +12 *2300:4 0.00238643 +13 *21234:B1 *21809:A 6.08467e-05 +14 *21234:B1 *24902:CLK 0.00022814 +15 *21234:B1 *2468:130 0.000210224 +16 *21234:B1 *2577:28 0 +17 *21234:B1 *2675:46 7.1817e-05 +18 *21234:B1 *5857:286 0.000141262 +19 *21234:B1 *5864:11 0.000107496 +20 *21234:B1 *5864:41 0.000737644 +21 *22401:B1 *21235:C1 1.5714e-05 +22 *22401:B1 *21328:C 0.000356317 +23 *22401:B1 *21658:B1 0.000150066 +24 *22401:B1 *22401:B2 6.08467e-05 +25 *22401:B1 *22711:C1 5.01835e-05 +26 *22401:B1 *2354:47 3.73375e-05 +27 *22401:B1 *2389:96 0.00036266 +28 *22401:B1 *2456:22 0.00117273 +29 *22401:B1 *2456:30 0.000272423 +30 *22401:B1 *3041:61 0.000609601 +31 *22401:B1 *3498:179 9.15966e-05 +32 *22401:B1 *3541:29 0.00337316 +33 *22401:B1 *3543:75 0.00120584 +34 *22401:B1 *4809:40 5.94887e-05 +35 *22401:B1 *4828:169 0.000619128 +36 *22710:B1 *22636:B2 0.000391947 +37 *22710:B1 *22710:A1 6.61884e-05 +38 *22710:B1 *2313:33 0.000147916 +39 *22710:B1 *4826:141 5.49895e-05 +40 *2300:19 *2398:81 0.0029263 +41 *2300:19 *2468:130 1.92336e-05 +42 *2300:19 *2667:43 0.00518371 +43 *2300:19 *5853:404 5.09358e-05 +44 *2300:19 *5944:44 0.000538117 +45 *2300:29 *20261:A1 5.51483e-06 +46 *2300:29 *2398:81 0.000264968 +47 *2300:29 *5853:404 0.00103755 +48 *2300:33 *2441:66 0.000211852 +49 *2300:33 *2949:60 0.00225346 +50 *2300:33 *4832:84 0.00226007 +51 *2300:44 *24099:A 0.000881365 +52 *2300:44 *4807:8 5.66868e-06 +53 *2300:44 *4832:84 0.000472075 +54 *2300:44 *4843:457 0.000972077 +55 *2300:44 *4843:469 8.62625e-06 +56 *2300:44 *4938:8 4.40641e-05 +57 *2300:53 *24810:RESET_B 1.23467e-05 +58 *2300:53 *4808:158 0.000173101 +59 *2300:53 *5864:441 5.54078e-05 +60 *2300:53 *5864:450 0.000173193 +61 *2300:53 *5909:14 0.000207119 +62 *2300:60 *21029:A1 0.000115864 +63 *2300:60 *24810:CLK 0.000678064 +64 *2300:60 *2394:41 0.000110114 +65 *2300:60 *2425:24 0.000194547 +66 *2300:60 *2630:8 0.000250346 +67 *2300:60 *2635:80 6.61889e-05 +68 *2300:60 *2896:45 0.000340171 +69 *2300:60 *2908:65 3.89332e-06 +70 *2300:60 *4808:6 0.000179855 +71 *2300:60 *4808:135 7.7353e-05 +72 *2300:60 *5857:168 0.000200794 +73 *2300:60 *5861:469 1.80122e-05 +74 *2300:60 *5861:476 8.90311e-06 +75 *2300:70 *22640:A1 8.043e-05 +76 *2300:70 *22643:A1 0.000314404 +77 *2300:70 *2457:16 0.00176579 +78 *2300:70 *2467:114 0.000163315 +79 *2300:70 *2950:92 1.59557e-05 +80 *2300:70 *3198:123 0.000229097 +81 *2300:70 *3498:179 4.39185e-05 +82 *2300:70 *3521:14 0.000420847 +83 *2300:70 *4809:40 0.000136357 +84 *2300:70 *4830:111 5.31198e-05 +85 *20140:A2 *2300:60 5.97411e-05 +86 *20140:B2 *2300:60 0.000165658 +87 *20261:A2 *2300:29 0.000189558 +88 *20261:B2 *2300:29 6.73022e-05 +89 *20313:B1 *2300:44 0 +90 *21181:C1 *22401:B1 1.9101e-05 +91 *21194:B1 *2300:70 0.000162271 +92 *22722:A1 *22401:B1 0.000154145 +93 *24735:D *2300:29 6.12686e-06 +94 *24805:D *2300:60 0.000111708 +95 *476:123 *2300:53 3.28525e-05 +96 *482:104 *2300:44 5.94583e-05 +97 *520:27 *2300:70 0.000429142 +98 *1551:28 *21234:B1 0.000352635 +99 *1573:90 *2300:33 9.19632e-06 +100 *1573:120 *2300:70 0.000138341 +101 *1679:20 *2300:53 0.00156231 +102 *1717:68 *2300:70 0.00178384 +103 *1741:105 *21234:B1 7.38555e-05 +104 *1901:18 *2300:44 0.000125693 +105 *1917:36 *2300:53 9.72721e-05 +106 *1917:64 *2300:44 1.44611e-05 +107 *1918:36 *2300:29 0.000408854 +108 *2244:73 *22401:B1 0.000112892 +109 *2274:95 *2300:70 0.001073 +110 *2282:84 *2300:60 0.000875359 +111 *2287:112 *22401:B1 0.000350875 +*RES +1 *21233:Y *2300:4 9.24915 +2 *2300:4 *21234:B1 47.0554 +3 *2300:4 *2300:19 61.4525 +4 *2300:19 *2300:29 33.3032 +5 *2300:29 *2300:33 46.2285 +6 *2300:33 *2300:44 49.8024 +7 *2300:44 *2300:53 46.3676 +8 *2300:53 *2300:60 48.1831 +9 *2300:60 *2300:70 17.7918 +10 *2300:70 *22710:B1 19.681 +11 *2300:70 *22401:B1 40.3507 +*END + +*D_NET *2301 0.0761172 +*CONN +*I *21235:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21234:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21235:C1 0.00147028 +2 *21234:X 0.00511331 +3 *2301:63 0.00357231 +4 *2301:57 0.00381828 +5 *2301:45 0.0032917 +6 *2301:36 0.00324201 +7 *2301:30 0.00261334 +8 *2301:27 0.00162785 +9 *2301:18 0.00211984 +10 *2301:17 0.0020464 +11 *2301:11 0.00572094 +12 *21235:C1 *21309:C1 0.000141481 +13 *21235:C1 *21630:B1 0.00213221 +14 *21235:C1 *22379:B2 0.000129091 +15 *21235:C1 *22380:C1 0.00014841 +16 *21235:C1 *22401:A2 7.09666e-06 +17 *21235:C1 *2389:96 0.00110656 +18 *21235:C1 *2508:23 6.34651e-06 +19 *21235:C1 *3177:298 0.000116428 +20 *21235:C1 *3204:168 0.000284385 +21 *21235:C1 *3238:16 0.000127931 +22 *21235:C1 *3581:29 0.000124732 +23 *2301:11 *23942:A0 0.00977526 +24 *2301:11 *2809:31 0.00138147 +25 *2301:11 *2894:8 1.91391e-05 +26 *2301:11 *2904:8 2.27135e-05 +27 *2301:11 *5908:9 0 +28 *2301:17 *22822:A 0.000165495 +29 *2301:17 *2882:19 0.000797165 +30 *2301:17 *2978:90 0.000307037 +31 *2301:17 *4805:96 0.000771782 +32 *2301:17 *5683:27 0.000311249 +33 *2301:17 *5855:319 7.94727e-05 +34 *2301:17 *5855:329 0.000105511 +35 *2301:17 *5855:334 0.000140524 +36 *2301:18 *20311:A1 0.000407889 +37 *2301:18 *22821:A 1.26839e-05 +38 *2301:18 *2389:53 0.00195477 +39 *2301:18 *2471:36 0.000923604 +40 *2301:18 *2471:54 1.00478e-05 +41 *2301:18 *5527:23 0.00182055 +42 *2301:18 *5874:50 2.81361e-06 +43 *2301:18 *5908:23 0.000777703 +44 *2301:18 *5908:29 0.000133182 +45 *2301:18 *5910:26 5.74984e-05 +46 *2301:27 *24338:RESET_B 0.000198002 +47 *2301:27 *2471:54 0.00110141 +48 *2301:27 *5527:23 1.75951e-05 +49 *2301:27 *5527:36 0.00105842 +50 *2301:30 *20900:A1 9.82479e-06 +51 *2301:30 *22007:A 3.58825e-05 +52 *2301:30 *24336:CLK 0.000105599 +53 *2301:30 *2380:62 0.000268679 +54 *2301:30 *2380:84 2.86453e-05 +55 *2301:30 *2900:84 4.23875e-05 +56 *2301:30 *5855:261 0.000626479 +57 *2301:30 *5864:490 1.44467e-05 +58 *2301:30 *5864:520 0.000275295 +59 *2301:30 *5864:524 8.64424e-05 +60 *2301:36 *4821:125 0.000340742 +61 *2301:45 *19781:A 5.64297e-06 +62 *2301:45 *24224:CLK 4.97617e-05 +63 *2301:45 *2425:40 1.07248e-05 +64 *2301:45 *2906:56 0.000226589 +65 *2301:45 *3076:28 0 +66 *2301:45 *4810:82 0.000107496 +67 *2301:45 *5068:15 6.08467e-05 +68 *2301:45 *5363:10 1.63504e-05 +69 *2301:45 *5862:634 0.000393863 +70 *2301:57 *2379:63 0.000122994 +71 *2301:57 *2397:10 0.000102032 +72 *2301:57 *3050:28 0.000176999 +73 *2301:57 *3153:102 0.000137978 +74 *2301:57 *3153:112 0.000128904 +75 *2301:57 *4814:64 8.64171e-05 +76 *2301:57 *4816:124 0.000921996 +77 *2301:57 *4906:103 0.000290569 +78 *2301:57 *4906:115 0.00018902 +79 *2301:57 *5068:15 2.95757e-05 +80 *2301:63 *21257:A 0.000171278 +81 *2301:63 *22712:B1 6.30498e-05 +82 *21181:C1 *21235:C1 3.34384e-05 +83 *22401:B1 *21235:C1 1.5714e-05 +84 *24224:D *2301:45 3.17103e-05 +85 *24338:D *2301:30 0.000307398 +86 *25170:A *2301:18 4.31485e-06 +87 *324:19 *2301:36 0.000592183 +88 *482:133 *2301:17 2.04806e-05 +89 *510:51 *2301:36 0.000583914 +90 *535:25 *2301:30 1.81953e-05 +91 *1439:104 *2301:36 3.7516e-05 +92 *1450:176 *2301:45 0.000201845 +93 *1543:15 *2301:57 0.000739784 +94 *1592:115 *21235:C1 0.000382542 +95 *1610:74 *2301:63 0.000334275 +96 *1679:20 *2301:30 0.00231288 +97 *1918:48 *2301:17 0.000217587 +98 *2267:70 *2301:45 0.000652858 +99 *2287:112 *2301:63 0.00191438 +100 *2291:66 *21235:C1 9.0457e-05 +101 *2295:39 *2301:18 0.00118526 +*RES +1 *21234:X *2301:11 37.6666 +2 *2301:11 *2301:17 39.0359 +3 *2301:17 *2301:18 72.6325 +4 *2301:18 *2301:27 33.8186 +5 *2301:27 *2301:30 46.6115 +6 *2301:30 *2301:36 39.4081 +7 *2301:36 *2301:45 42.9789 +8 *2301:45 *2301:57 46.9408 +9 *2301:57 *2301:63 45.9324 +10 *2301:63 *21235:C1 43.8218 +*END + +*D_NET *2302 0.00476465 +*CONN +*I *21236:D I *D sky130_fd_sc_hd__and4_1 +*I *21235:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21236:D 0.000934415 +2 *21235:X 0.000934415 +3 *21236:D *21279:B1 0.00110064 +4 *21236:D *21630:B1 8.02889e-06 +5 *21236:D *2367:79 5.61614e-05 +6 *21236:D *3124:242 0.000255816 +7 *21236:D *3327:8 1.49935e-05 +8 *21236:D *5457:13 0.000207243 +9 *19820:B2 *21236:D 0.000303775 +10 *21235:A1 *21236:D 0.000154145 +11 *21235:B1 *21236:D 5.481e-05 +12 *21236:C *21236:D 2.37478e-05 +13 *21309:B2 *21236:D 8.09106e-06 +14 *1573:183 *21236:D 0.000262203 +15 *1577:97 *21236:D 0.000252934 +16 *1592:115 *21236:D 8.20799e-06 +17 *1616:71 *21236:D 2.02035e-05 +18 *1680:174 *21236:D 0.000110143 +19 *1689:135 *21236:D 5.4678e-05 +*RES +1 *21235:X *21236:D 41.6863 +*END + +*D_NET *2303 0.00192027 +*CONN +*I *21262:C I *D sky130_fd_sc_hd__nand4b_4 +*I *21236:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21262:C 0.000578219 +2 *21236:X 0.000578219 +3 *21262:C *22690:A1 3.12828e-05 +4 *21262:C *2354:50 2.6096e-05 +5 *21262:C *3126:42 0.000107971 +6 *21262:C *3476:172 0.00058046 +7 *1558:42 *21262:C 1.80257e-05 +*RES +1 *21236:X *21262:C 37.6788 +*END + +*D_NET *2304 0.0327145 +*CONN +*I *21242:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22387:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22711:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21237:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21242:A1 0.000868722 +2 *22387:B1 0.000159488 +3 *22711:B1 0.00056855 +4 *21237:Y 0.000688272 +5 *2304:65 0.0024413 +6 *2304:58 0.0028071 +7 *2304:22 0.00217991 +8 *2304:19 0.00212122 +9 *2304:14 0.00278996 +10 *2304:11 0.00157437 +11 *21242:A1 *21242:B1 1.43848e-05 +12 *21242:A1 *22354:A1 7.92757e-06 +13 *21242:A1 *22354:A2 1.9633e-05 +14 *21242:A1 *22354:B2 3.82228e-05 +15 *21242:A1 *22354:C1 1.58551e-05 +16 *21242:A1 *2477:7 2.77625e-06 +17 *21242:A1 *2477:26 6.08467e-05 +18 *21242:A1 *3312:7 1.83795e-06 +19 *22387:B1 *22387:A1 0.00012371 +20 *22387:B1 *22387:A2 4.82213e-05 +21 *22387:B1 *22387:B2 3.15947e-05 +22 *22387:B1 *2477:7 0.000251669 +23 *22711:B1 *22309:B1 3.75064e-05 +24 *22711:B1 *22689:B 1.88152e-05 +25 *22711:B1 *22711:A1 3.31605e-05 +26 *22711:B1 *22711:B2 2.57986e-05 +27 *22711:B1 *2361:13 0.000969359 +28 *22711:B1 *2440:67 0.000782127 +29 *22711:B1 *2478:15 8.29362e-05 +30 *22711:B1 *3263:33 0.000124398 +31 *22711:B1 *3491:146 0.000123103 +32 *22711:B1 *3537:19 0.00015693 +33 *2304:11 *20136:B1 0.000204206 +34 *2304:11 *2636:14 0.000247443 +35 *2304:11 *5853:313 0.000396886 +36 *2304:11 *5853:353 3.58044e-05 +37 *2304:14 *24227:CLK 0.000184363 +38 *2304:14 *24246:RESET_B 7.8834e-05 +39 *2304:14 *2397:50 1.18859e-05 +40 *2304:14 *2459:10 0.000352982 +41 *2304:14 *2459:29 0.000362508 +42 *2304:14 *3076:30 8.96465e-06 +43 *2304:14 *3076:32 0.0016071 +44 *2304:14 *5862:75 8.91927e-05 +45 *2304:19 *24247:CLK 3.24705e-06 +46 *2304:19 *2310:10 0.000242308 +47 *2304:19 *2397:50 0.000807578 +48 *2304:19 *2642:21 0.000100741 +49 *2304:19 *3076:28 0.00102939 +50 *2304:19 *3076:32 1.30557e-05 +51 *2304:19 *4819:28 4.87385e-05 +52 *2304:19 *5393:7 8.57243e-06 +53 *2304:19 *5857:151 0.000598264 +54 *2304:22 *3120:74 0.000400071 +55 *2304:22 *3466:145 0.000396545 +56 *2304:58 *21247:B1 0.00106395 +57 *2304:58 *21443:B 0.000153427 +58 *2304:58 *24249:CLK 0.00027883 +59 *2304:58 *24494:CLK 0.000140333 +60 *2304:58 *2492:32 0.000202101 +61 *2304:58 *2791:50 6.07247e-05 +62 *2304:58 *2887:11 0.000156955 +63 *2304:58 *2990:46 0.000144894 +64 *2304:58 *3120:74 0.000163424 +65 *2304:58 *3120:82 0 +66 *2304:58 *3198:154 0.00053565 +67 *2304:58 *3466:145 0.000168574 +68 *2304:58 *4811:21 0.000154145 +69 *2304:65 *21423:A1 1.5714e-05 +70 *2304:65 *2306:75 8.08437e-05 +71 *2304:65 *2477:26 5.35941e-05 +72 *2304:65 *3142:211 0.000381673 +73 *2304:65 *3476:195 0.000570657 +74 *2304:65 *3498:211 0.000637757 +75 *2304:65 *3620:14 2.57042e-05 +76 *2304:65 *3620:30 6.29542e-05 +77 *2304:65 *3666:13 0.000252938 +78 *21242:A2 *21242:A1 7.56446e-05 +79 *21242:B2 *21242:A1 1.58551e-05 +80 *1443:60 *2304:58 9.77822e-05 +81 *1542:101 *2304:65 8.84648e-05 +82 *1557:95 *2304:11 0.00020502 +83 *1573:141 *2304:58 0.000481301 +84 *1573:155 *2304:58 1.3869e-05 +85 *1631:57 *2304:58 3.32971e-05 +*RES +1 *21237:Y *2304:11 30.1943 +2 *2304:11 *2304:14 32.463 +3 *2304:14 *2304:19 45.844 +4 *2304:19 *2304:22 12.5608 +5 *2304:22 *22711:B1 44.1355 +6 *2304:22 *2304:58 35.2605 +7 *2304:58 *2304:65 39.5644 +8 *2304:65 *22387:B1 15.615 +9 *2304:65 *21242:A1 22.0533 +*END + +*D_NET *2305 0.0242992 +*CONN +*I *22393:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21242:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22720:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21238:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22393:A1 0 +2 *21242:B1 0.000946395 +3 *22720:A1 0.000295894 +4 *21238:Y 5.18949e-05 +5 *2305:49 0.0015954 +6 *2305:40 0.00173858 +7 *2305:20 0.00156661 +8 *2305:16 0.000620217 +9 *2305:8 0.00196415 +10 *2305:7 0.00157697 +11 *21242:B1 *22359:B 0.000147339 +12 *21242:B1 *22403:A 6.87762e-05 +13 *21242:B1 *3120:84 2.16057e-05 +14 *21242:B1 *3192:73 0.000708133 +15 *21242:B1 *3348:33 0.00112166 +16 *22720:A1 *2360:57 0.000109179 +17 *22720:A1 *3348:39 4.31703e-05 +18 *2305:7 *5354:7 6.50727e-05 +19 *2305:8 *24764:SET_B 3.50844e-05 +20 *2305:8 *2363:31 0.000428973 +21 *2305:8 *2490:10 0.00100119 +22 *2305:8 *2490:18 3.54949e-06 +23 *2305:8 *2498:12 3.45842e-05 +24 *2305:8 *2821:10 5.94319e-06 +25 *2305:8 *4805:32 0.000172136 +26 *2305:16 *22349:B2 3.5534e-06 +27 *2305:16 *2421:32 1.40931e-05 +28 *2305:16 *3114:275 4.05967e-05 +29 *2305:20 *22349:B2 2.27901e-06 +30 *2305:20 *22393:C1 7.72394e-06 +31 *2305:20 *2360:57 0.000266942 +32 *2305:20 *2421:32 4.31485e-06 +33 *2305:20 *2421:42 4.31485e-06 +34 *2305:40 *20212:A1 0.000234279 +35 *2305:40 *21431:A 0.000205006 +36 *2305:40 *21556:A 2.57465e-06 +37 *2305:40 *2421:42 0.000550953 +38 *2305:40 *2460:51 7.0525e-05 +39 *2305:40 *2485:36 0.000107496 +40 *2305:40 *3348:39 0.000254945 +41 *2305:40 *3359:14 0.00116697 +42 *2305:40 *5093:7 1.43983e-05 +43 *2305:49 *2433:59 0.000107496 +44 *2305:49 *2462:8 7.85171e-05 +45 *20206:B *2305:20 0.000296219 +46 *20209:A2 *2305:16 1.03403e-05 +47 *21242:A1 *21242:B1 1.43848e-05 +48 *21242:A2 *21242:B1 4.31603e-06 +49 *21436:B2 *2305:40 0.000822737 +50 *22389:A1 *2305:16 0.000126438 +51 *24763:D *2305:8 4.1752e-05 +52 *477:177 *2305:49 0.000207905 +53 *480:26 *2305:49 0.000345048 +54 *1551:154 *2305:8 0.00102121 +55 *1564:171 *2305:40 0.000193687 +56 *1564:171 *2305:49 0.000795509 +57 *1591:9 *22720:A1 0.000251224 +58 *1591:9 *2305:40 0.000178223 +59 *1596:134 *2305:8 5.88422e-05 +60 *1635:83 *2305:49 0.000376549 +61 *1658:119 *2305:8 1.64462e-05 +62 *1886:19 *2305:8 7.5119e-05 +63 *1886:34 *2305:8 0.000454757 +64 *1886:49 *2305:8 0.000125458 +65 *2245:27 *2305:49 0.000345048 +66 *2246:14 *2305:16 0.000777835 +67 *2249:27 *2305:16 7.60356e-05 +68 *2263:43 *2305:8 0.000224599 +*RES +1 *21238:Y *2305:7 15.0271 +2 *2305:7 *2305:8 46.6792 +3 *2305:8 *2305:16 21.853 +4 *2305:16 *2305:20 10.8998 +5 *2305:20 *22720:A1 17.7611 +6 *2305:20 *2305:40 49.3413 +7 *2305:40 *2305:49 35.1948 +8 *2305:49 *21242:B1 41.8494 +9 *2305:16 *22393:A1 13.7491 +*END + +*D_NET *2306 0.0458026 +*CONN +*I *22389:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22717:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21241:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21239:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22389:B1 0 +2 *22717:A1 0.00019283 +3 *21241:A1 0 +4 *21239:Y 3.91239e-05 +5 *2306:75 0.000985469 +6 *2306:56 0.00254859 +7 *2306:48 0.00224138 +8 *2306:38 0.00175425 +9 *2306:37 0.00201601 +10 *2306:21 0.00263779 +11 *2306:12 0.00298627 +12 *2306:11 0.00195271 +13 *2306:8 0.000510502 +14 *22717:A1 *22717:B2 1.03434e-05 +15 *22717:A1 *22719:C1 0.000107496 +16 *22717:A1 *22724:A 0.000107496 +17 *2306:8 *2487:14 9.60366e-05 +18 *2306:8 *4831:67 9.96342e-05 +19 *2306:11 *2503:9 0.00353373 +20 *2306:12 *2321:14 0.00297948 +21 *2306:12 *5594:16 0.000946593 +22 *2306:12 *5865:301 7.26644e-05 +23 *2306:12 *5865:310 0.000210425 +24 *2306:12 *5865:382 0.0003129 +25 *2306:12 *5865:409 0.000598308 +26 *2306:12 *5865:418 0.000222269 +27 *2306:12 *5865:427 0.000107237 +28 *2306:12 *5865:436 0.000290759 +29 *2306:12 *5865:450 0.000430671 +30 *2306:12 *5921:99 0.000126074 +31 *2306:12 *5924:46 0 +32 *2306:12 *5924:50 0 +33 *2306:21 *2486:39 0 +34 *2306:21 *2541:11 0.000469387 +35 *2306:21 *2764:11 5.7438e-05 +36 *2306:21 *3010:8 0.000247963 +37 *2306:21 *4839:119 0.000256037 +38 *2306:21 *4843:251 2.2664e-05 +39 *2306:21 *4966:9 9.59051e-06 +40 *2306:37 *21430:B1 1.91391e-05 +41 *2306:37 *2317:20 0.000285101 +42 *2306:37 *2493:36 0.000165872 +43 *2306:37 *4843:251 0.00110144 +44 *2306:38 *3263:13 1.74104e-05 +45 *2306:38 *4823:45 5.36085e-05 +46 *2306:38 *5888:14 0.00144879 +47 *2306:48 *22344:A1 1.5714e-05 +48 *2306:48 *22345:A2 0.000699115 +49 *2306:48 *22345:B2 4.04556e-05 +50 *2306:48 *22349:A2 1.87125e-05 +51 *2306:48 *22350:B 6.56617e-05 +52 *2306:48 *3051:14 1.66626e-05 +53 *2306:48 *3663:10 0.00017545 +54 *2306:48 *5888:14 0.000275176 +55 *2306:56 *22350:B 3.74801e-05 +56 *2306:56 *22683:A2 1.69093e-05 +57 *2306:56 *22687:C1 0.000432537 +58 *2306:56 *22722:A2 1.66771e-05 +59 *2306:56 *22724:C 0.000162025 +60 *2306:56 *22724:D 3.91944e-05 +61 *2306:56 *2475:36 0.000748677 +62 *2306:56 *3013:16 7.60356e-05 +63 *2306:56 *3301:8 2.02525e-05 +64 *2306:56 *3517:281 0.0001056 +65 *2306:56 *3517:310 4.019e-05 +66 *2306:56 *3663:10 0.00106358 +67 *2306:75 *21658:B1 0.00013899 +68 *2306:75 *22387:A1 0.000139761 +69 *2306:75 *22398:C1 1.03113e-05 +70 *2306:75 *22718:A2 7.12632e-06 +71 *2306:75 *22719:A1 3.80436e-07 +72 *2306:75 *2472:27 2.93733e-05 +73 *2306:75 *2475:36 7.02059e-05 +74 *2306:75 *3517:310 3.31733e-05 +75 *2306:75 *3519:279 8.6297e-06 +76 *2306:75 *3620:14 1.09087e-05 +77 *2306:75 *3666:13 0.000505086 +78 *20924:B2 *2306:21 0.00017442 +79 *21186:B1 *2306:37 0.00011818 +80 *21187:A1 *2306:38 8.11463e-06 +81 *21235:A1 *22717:A1 9.54357e-06 +82 *21241:A2 *2306:75 0.00049441 +83 *21416:A2_N *2306:56 4.32474e-05 +84 *22402:B1 *22717:A1 1.65872e-05 +85 *22718:A1 *2306:75 0.000298399 +86 *22719:B1 *22717:A1 6.08467e-05 +87 *24414:D *2306:12 0 +88 *1551:162 *2306:37 0.000158371 +89 *1551:172 *2306:37 0.000200794 +90 *1557:126 *2306:75 0.00013899 +91 *1564:114 *2306:75 3.19105e-05 +92 *1564:117 *22717:A1 2.41916e-05 +93 *1608:117 *2306:38 0.000214814 +94 *1614:131 *2306:37 0.000142922 +95 *1616:102 *2306:56 8.08437e-05 +96 *1634:22 *2306:21 0.000341189 +97 *1645:182 *2306:48 9.96332e-05 +98 *1655:226 *2306:37 0.000220079 +99 *1655:238 *2306:37 0.000171231 +100 *1725:192 *2306:37 0.000121159 +101 *1725:201 *2306:37 1.2012e-05 +102 *2149:32 *2306:21 9.98029e-06 +103 *2243:28 *2306:75 0.000115864 +104 *2245:17 *2306:12 0.000175689 +105 *2249:35 *2306:48 4.31539e-05 +106 *2252:43 *2306:37 0.000148666 +107 *2254:11 *2306:38 0.000772124 +108 *2254:11 *2306:48 0.000377603 +109 *2263:13 *2306:11 0.00352952 +110 *2294:44 *2306:38 7.57664e-05 +111 *2304:65 *2306:75 8.08437e-05 +*RES +1 *21239:Y *2306:8 20.0811 +2 *2306:8 *2306:11 43.491 +3 *2306:11 *2306:12 67.4418 +4 *2306:12 *2306:21 49.6159 +5 *2306:21 *2306:37 48.1891 +6 *2306:37 *2306:38 29.6539 +7 *2306:38 *2306:48 33.5688 +8 *2306:48 *2306:56 38.9726 +9 *2306:56 *2306:75 45.684 +10 *2306:75 *21241:A1 9.24915 +11 *2306:56 *22717:A1 18.9335 +12 *2306:38 *22389:B1 13.7491 +*END + +*D_NET *2307 0.0115575 +*CONN +*I *21241:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22714:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22386:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21240:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21241:B1 0.000940317 +2 *22714:A1 4.96959e-05 +3 *22386:B1 9.01747e-05 +4 *21240:Y 0.00098517 +5 *2307:30 0.00137894 +6 *2307:19 0.00146428 +7 *21241:B1 *21242:C1 6.08467e-05 +8 *21241:B1 *22343:A2 0.000274435 +9 *21241:B1 *22387:B2 1.09551e-05 +10 *21241:B1 *22398:C1 7.09666e-06 +11 *21241:B1 *3342:12 0.000184385 +12 *21241:B1 *3348:21 2.01653e-05 +13 *21241:B1 *3666:13 0.000135132 +14 *21241:B1 *5592:76 0.000290748 +15 *22386:B1 *22386:A1 6.08467e-05 +16 *22386:B1 *22386:B2 6.08467e-05 +17 *22386:B1 *3342:12 1.72202e-05 +18 *22714:A1 *22714:B2 0.000154145 +19 *22714:A1 *2857:11 0.000175039 +20 *22714:A1 *3498:211 4.66492e-05 +21 *2307:19 *21240:A 6.36477e-05 +22 *2307:19 *21247:B1 2.1203e-06 +23 *2307:19 *21403:B1 6.90667e-05 +24 *2307:19 *21403:C1 7.8653e-05 +25 *2307:19 *21422:A1 1.27831e-06 +26 *2307:19 *22672:A2 6.98337e-06 +27 *2307:19 *22673:A2 0.000106696 +28 *2307:19 *22673:C1 9.92928e-05 +29 *2307:19 *22689:A 4.1377e-05 +30 *2307:19 *2458:21 0.000117973 +31 *2307:19 *2463:11 0.000542404 +32 *2307:19 *2492:32 3.91685e-05 +33 *2307:19 *2570:94 9.93325e-05 +34 *2307:19 *3466:172 0.000106696 +35 *2307:19 *3491:178 1.66626e-05 +36 *2307:19 *4908:193 2.79859e-05 +37 *2307:30 *3185:184 0.000142396 +38 *2307:30 *5592:76 0.000457332 +39 *21241:B2 *21241:B1 0.000221661 +40 *21403:A2 *2307:19 1.67329e-05 +41 *21403:B2 *2307:19 6.79159e-05 +42 *22712:B2 *21241:B1 0.000142497 +43 *1450:176 *2307:19 0.000780631 +44 *1542:101 *2307:30 0.000107503 +45 *1545:92 *22386:B1 0.000261648 +46 *1545:92 *2307:19 0.00047727 +47 *1558:24 *21241:B1 8.05519e-05 +48 *1649:143 *2307:19 0.000537652 +49 *1649:162 *2307:19 0.000249364 +50 *2093:136 *21241:B1 0.000187913 +*RES +1 *21240:Y *2307:19 46.5662 +2 *2307:19 *22386:B1 12.7697 +3 *2307:19 *2307:30 14.637 +4 *2307:30 *22714:A1 16.1364 +5 *2307:30 *21241:B1 38.7779 +*END + +*D_NET *2308 0.00407942 +*CONN +*I *21242:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21241:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21242:C1 0.000736671 +2 *21241:X 0.000736671 +3 *21242:C1 *22398:C1 1.5714e-05 +4 *21242:C1 *2379:63 0.000417539 +5 *21242:C1 *2479:52 0.000166442 +6 *21242:C1 *2882:74 0.000655947 +7 *21242:C1 *3192:69 2.09155e-05 +8 *21242:C1 *3342:12 1.9101e-05 +9 *21242:C1 *5924:37 0.000415944 +10 *21241:B1 *21242:C1 6.08467e-05 +11 *21241:B2 *21242:C1 6.36477e-05 +12 *21242:A2 *21242:C1 0.000660302 +13 *22400:A1 *21242:C1 5.85325e-05 +14 *1717:102 *21242:C1 5.11419e-05 +*RES +1 *21241:X *21242:C1 39.5282 +*END + +*D_NET *2309 0.000279623 +*CONN +*I *21261:A I *D sky130_fd_sc_hd__and4_1 +*I *21242:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21261:A 4.94191e-05 +2 *21242:X 4.94191e-05 +3 *21261:A *2462:8 9.03922e-05 +4 *19797:A *21261:A 9.03922e-05 +*RES +1 *21242:X *21261:A 29.3303 +*END + +*D_NET *2310 0.0171308 +*CONN +*I *21248:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22392:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22711:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21243:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21248:A1 7.36164e-05 +2 *22392:A1 0.000929516 +3 *22711:A1 0.000672328 +4 *21243:Y 0.000852397 +5 *2310:37 0.00212376 +6 *2310:10 0.00264535 +7 *21248:A1 *2312:22 0.000107576 +8 *22392:A1 *21248:C1 0.000156946 +9 *22392:A1 *22715:A1 0.000188291 +10 *22392:A1 *2312:22 9.38438e-06 +11 *22392:A1 *2479:17 4.44686e-05 +12 *22392:A1 *2479:52 2.57986e-05 +13 *22392:A1 *3122:105 0.000156955 +14 *22392:A1 *3491:188 0.000448167 +15 *22711:A1 *21421:A 0.000200794 +16 *22711:A1 *22311:B 0.00010072 +17 *22711:A1 *24247:CLK 7.39264e-05 +18 *22711:A1 *3263:33 0.00112617 +19 *22711:A1 *3537:19 2.44829e-05 +20 *22711:A1 *4826:126 0.000105509 +21 *2310:10 *21243:A 4.80635e-06 +22 *2310:10 *24247:RESET_B 0.000125354 +23 *2310:10 *3041:61 0.000407361 +24 *2310:10 *3257:80 0.000515068 +25 *2310:10 *4825:112 0.000239799 +26 *2310:10 *5393:7 7.37511e-05 +27 *2310:10 *5857:151 0.00117681 +28 *2310:37 *21247:B1 8.52364e-05 +29 *2310:37 *2312:22 2.77564e-05 +30 *2310:37 *2467:104 0.000301644 +31 *2310:37 *2467:114 0.000759815 +32 *2310:37 *2679:41 0.000265313 +33 *2310:37 *4826:117 0.00117062 +34 *2310:37 *4827:79 5.1415e-05 +35 *2310:37 *4843:352 0.000251108 +36 *2310:37 *5593:57 0.000160069 +37 *20309:A1 *2310:37 0.000258358 +38 *21247:B2 *22392:A1 5.04829e-06 +39 *21248:A2 *21248:A1 3.82927e-05 +40 *21248:A2 *2310:37 1.6644e-05 +41 *22711:B1 *22711:A1 3.31605e-05 +42 *512:47 *2310:10 0.000156187 +43 *512:47 *2310:37 0.000309855 +44 *529:26 *22392:A1 3.9391e-05 +45 *1551:109 *2310:37 7.8489e-05 +46 *1610:44 *2310:37 6.31811e-05 +47 *1649:162 *2310:37 5.49916e-05 +48 *2256:101 *2310:10 5.71419e-05 +49 *2256:101 *2310:37 9.56948e-05 +50 *2304:19 *2310:10 0.000242308 +*RES +1 *21243:Y *2310:10 45.8592 +2 *2310:10 *22711:A1 33.4014 +3 *2310:10 *2310:37 23.605 +4 *2310:37 *22392:A1 34.7035 +5 *2310:37 *21248:A1 15.9964 +*END + +*D_NET *2311 0.0102866 +*CONN +*I *21248:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22398:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22715:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *21244:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21248:B1 2.3034e-05 +2 *22398:A1 0.000752936 +3 *22715:A1 0.000161336 +4 *21244:Y 0.000852898 +5 *2311:33 0.00116759 +6 *2311:17 0.000525714 +7 *2311:13 0.00110226 +8 *22398:A1 *22354:A2 9.12416e-06 +9 *22398:A1 *22398:B2 1.9101e-05 +10 *22398:A1 *22712:B1 8.94611e-05 +11 *22398:A1 *22715:B1 0.000134066 +12 *22398:A1 *2480:38 0.000146289 +13 *22398:A1 *2631:34 5.51106e-05 +14 *22398:A1 *3122:115 4.23944e-05 +15 *22398:A1 *3139:254 0.000217517 +16 *22398:A1 *3471:162 0.000296278 +17 *22398:A1 *3471:183 2.68152e-05 +18 *22398:A1 *3632:25 6.46145e-05 +19 *22715:A1 *22715:A2 9.95922e-06 +20 *22715:A1 *22715:B1 0.000116868 +21 *22715:A1 *22715:C1 6.74182e-05 +22 *22715:A1 *2480:38 2.95757e-05 +23 *22715:A1 *3491:178 2.57986e-05 +24 *22715:A1 *3491:188 2.16355e-05 +25 *2311:13 *24491:RESET_B 0.000340742 +26 *2311:13 *24495:RESET_B 3.05039e-05 +27 *2311:13 *2312:11 0.000290885 +28 *2311:13 *3050:13 0.000557313 +29 *2311:13 *3076:26 0.000154872 +30 *2311:13 *3076:28 2.86152e-05 +31 *2311:13 *4843:345 0.000281174 +32 *2311:13 *5069:5 8.58902e-06 +33 *2311:17 *21841:A 0.000107496 +34 *2311:17 *2857:11 0.000428455 +35 *2311:33 *22725:A 0.00011302 +36 *2311:33 *2480:38 6.08467e-05 +37 *2311:33 *2857:11 0.00069017 +38 *2311:33 *3666:13 0 +39 *20621:B2 *2311:13 0.000347214 +40 *21069:B2 *2311:13 0.000107496 +41 *21248:A2 *2311:17 4.03324e-05 +42 *22392:A1 *22715:A1 0.000188291 +43 *527:11 *2311:33 8.82889e-05 +44 *527:22 *2311:17 0.000246024 +45 *527:22 *2311:33 0.000119104 +46 *529:26 *22715:A1 7.76105e-06 +47 *2117:55 *22398:A1 6.34651e-06 +48 *2117:55 *22715:A1 6.39754e-06 +49 *2117:63 *22398:A1 0 +50 *2256:101 *2311:17 7.88437e-05 +*RES +1 *21244:Y *2311:13 48.0671 +2 *2311:13 *2311:17 13.0167 +3 *2311:17 *2311:33 14.9892 +4 *2311:33 *22715:A1 18.823 +5 *2311:33 *22398:A1 31.8493 +6 *2311:17 *21248:B1 9.82786 +*END + +*D_NET *2312 0.0156875 +*CONN +*I *21247:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22723:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22395:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21245:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21247:A1 0 +2 *22723:A1 0.000271397 +3 *22395:B1 4.01375e-05 +4 *21245:Y 0.00118481 +5 *2312:26 0.000786723 +6 *2312:22 0.00151938 +7 *2312:11 0.002229 +8 *22395:B1 *22403:A 0.000130939 +9 *22395:B1 *3359:10 1.65872e-05 +10 *22723:A1 *22353:B1 1.19737e-05 +11 *22723:A1 *22396:C1 8.86025e-05 +12 *22723:A1 *22723:B1 0.000200794 +13 *22723:A1 *2320:81 0.000110608 +14 *22723:A1 *2498:38 7.70172e-06 +15 *22723:A1 *3042:11 6.63489e-05 +16 *2312:11 *24275:CLK 0.000158451 +17 *2312:11 *2479:17 0.00127719 +18 *2312:11 *2486:106 0.000656302 +19 *2312:11 *3050:13 0.00165038 +20 *2312:22 *21261:D 0.000293153 +21 *2312:22 *2315:10 0.000151399 +22 *2312:22 *2479:17 3.67123e-05 +23 *2312:22 *4826:117 1.68266e-05 +24 *2312:22 *5592:87 6.3214e-05 +25 *2312:26 *22353:B1 0.00031955 +26 *2312:26 *2322:7 7.49212e-05 +27 *2312:26 *3122:115 1.90084e-05 +28 *2312:26 *4815:91 0.000207266 +29 *19797:A *2312:22 8.48495e-06 +30 *19800:A2_N *2312:22 0.000443606 +31 *20621:B2 *2312:11 3.33407e-05 +32 *20621:B2 *2312:22 0.000437627 +33 *21247:B2 *2312:11 6.1478e-06 +34 *21247:B2 *2312:22 2.19482e-05 +35 *21248:A1 *2312:22 0.000107576 +36 *22392:A1 *2312:22 9.38438e-06 +37 *22396:B1 *22723:A1 0.000129424 +38 *22396:B1 *2312:26 2.13165e-05 +39 *22718:A1 *2312:26 0.000145922 +40 *1555:19 *2312:22 0.000445355 +41 *1558:24 *2312:22 6.01588e-05 +42 *1564:106 *2312:22 0.000787924 +43 *1635:74 *2312:26 0.000674402 +44 *1649:189 *2312:22 3.33173e-06 +45 *2117:63 *2312:26 9.32704e-05 +46 *2282:9 *22395:B1 0.000107496 +47 *2287:112 *2312:26 0.000242748 +48 *2310:37 *2312:22 2.77564e-05 +49 *2311:13 *2312:11 0.000290885 +*RES +1 *21245:Y *2312:11 47.0586 +2 *2312:11 *2312:22 44.0014 +3 *2312:22 *2312:26 21.4667 +4 *2312:26 *22395:B1 15.5817 +5 *2312:26 *22723:A1 21.7648 +6 *2312:11 *21247:A1 9.24915 +*END + +*D_NET *2313 0.0312741 +*CONN +*I *21247:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22386:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22710:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21246:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21247:B1 0.00123915 +2 *22386:A1 7.80568e-05 +3 *22710:A1 3.6561e-05 +4 *21246:Y 0.0014524 +5 *2313:56 0.00238405 +6 *2313:33 0.00212813 +7 *2313:25 0.00237332 +8 *2313:19 0.00203234 +9 *2313:11 0.00213614 +10 *21247:B1 *21422:A1 0.000128998 +11 *21247:B1 *2492:32 0.000459848 +12 *21247:B1 *2679:41 6.03237e-05 +13 *21247:B1 *2791:50 0.00106572 +14 *21247:B1 *3491:178 3.10028e-05 +15 *21247:B1 *3620:30 1.27831e-06 +16 *22386:A1 *22386:B2 3.74433e-05 +17 *22386:A1 *3342:12 5.04829e-06 +18 *22386:A1 *5592:76 3.29488e-05 +19 *22710:A1 *4826:141 4.0744e-05 +20 *2313:11 *19890:A 0.000219978 +21 *2313:11 *20172:A1 0 +22 *2313:11 *3052:13 0.000442586 +23 *2313:11 *3076:32 1.7464e-05 +24 *2313:11 *5862:47 0.000179856 +25 *2313:11 *5862:57 0.000190216 +26 *2313:11 *5909:24 0.00145877 +27 *2313:19 *2467:114 6.36127e-05 +28 *2313:19 *2636:17 0.000594025 +29 *2313:19 *2930:21 0.000205915 +30 *2313:19 *3091:52 0.000468134 +31 *2313:19 *3136:24 9.28716e-05 +32 *2313:19 *3177:229 2.05376e-05 +33 *2313:25 *22522:A 0.000114074 +34 *2313:25 *22525:A 0.000126231 +35 *2313:25 *22590:A 2.60879e-06 +36 *2313:25 *22643:A1 0.000127807 +37 *2313:25 *3111:94 0.000363965 +38 *2313:25 *3126:12 0 +39 *2313:25 *3190:10 0.000446909 +40 *2313:25 *3190:15 0.000107496 +41 *2313:25 *3192:25 5.65354e-05 +42 *2313:25 *3466:21 4.04556e-05 +43 *2313:25 *3491:8 0.000209361 +44 *2313:25 *3521:14 0.000426765 +45 *2313:25 *4808:12 0.000111947 +46 *2313:25 *5944:90 8.73108e-05 +47 *2313:33 *22310:B2 2.84196e-05 +48 *2313:33 *22636:B2 8.07519e-05 +49 *2313:33 *3111:94 0.00042375 +50 *2313:33 *3126:12 0.000154522 +51 *2313:33 *3153:65 7.94462e-05 +52 *2313:33 *3177:250 7.60356e-05 +53 *2313:33 *3198:123 0.00016587 +54 *2313:33 *4821:76 8.08437e-05 +55 *2313:33 *4824:153 7.48886e-05 +56 *2313:33 *4826:141 0.000734222 +57 *2313:56 *22304:B2 0.000210218 +58 *2313:56 *22711:B2 3.63593e-05 +59 *2313:56 *2394:47 0.000153427 +60 *2313:56 *2880:20 0.000940288 +61 *2313:56 *3021:13 0.000166243 +62 *2313:56 *3498:179 0.000166728 +63 *2313:56 *3521:165 0.000419595 +64 *2313:56 *3541:13 0.000229316 +65 *21026:B2 *2313:19 4.99006e-05 +66 *21248:A2 *21247:B1 0.000161646 +67 *22386:B1 *22386:A1 6.08467e-05 +68 *22526:A *2313:25 0.000181867 +69 *22710:B1 *22710:A1 6.61884e-05 +70 *22710:B1 *2313:33 0.000147916 +71 *504:11 *2313:33 5.50027e-05 +72 *518:44 *21247:B1 6.03237e-05 +73 *531:30 *2313:19 0.000527725 +74 *1450:176 *21247:B1 0.000527592 +75 *1450:176 *22386:A1 5.60804e-05 +76 *1545:92 *21247:B1 7.57403e-05 +77 *1573:155 *21247:B1 0.000446583 +78 *1649:143 *21247:B1 0.000566874 +79 *1649:143 *22386:A1 6.03122e-05 +80 *1649:162 *21247:B1 2.2703e-05 +81 *1717:68 *2313:56 0.00040527 +82 *1717:102 *2313:56 0.000234579 +83 *2089:74 *2313:25 0.000295821 +84 *2304:58 *21247:B1 0.00106395 +85 *2307:19 *21247:B1 2.1203e-06 +86 *2310:37 *21247:B1 8.52364e-05 +*RES +1 *21246:Y *2313:11 47.4465 +2 *2313:11 *2313:19 37.2501 +3 *2313:19 *2313:25 48.6522 +4 *2313:25 *2313:33 30.9264 +5 *2313:33 *22710:A1 10.5513 +6 *2313:33 *2313:56 17.0874 +7 *2313:56 *22386:A1 18.7233 +8 *2313:56 *21247:B1 35.9292 +*END + +*D_NET *2314 0.000724924 +*CONN +*I *21248:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21247:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21248:C1 0.000171714 +2 *21247:X 0.000171714 +3 *21248:C1 *3120:84 0.000114074 +4 *21248:C1 *3466:172 0.000110477 +5 *22392:A1 *21248:C1 0.000156946 +*RES +1 *21247:X *21248:C1 31.5781 +*END + +*D_NET *2315 0.00355176 +*CONN +*I *21261:B I *D sky130_fd_sc_hd__and4_1 +*I *21248:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21261:B 0 +2 *21248:X 0.000814268 +3 *2315:10 0.000814268 +4 *2315:10 *2462:8 5.17168e-05 +5 *2315:10 *3466:172 7.76586e-05 +6 *19797:A *2315:10 0.000193351 +7 *539:14 *2315:10 0.000156946 +8 *1564:106 *2315:10 0.000757961 +9 *1573:155 *2315:10 0.00042655 +10 *1573:231 *2315:10 0.000107644 +11 *2312:22 *2315:10 0.000151399 +*RES +1 *21248:X *2315:10 42.6764 +2 *2315:10 *21261:B 9.24915 +*END + +*D_NET *2316 0.0163807 +*CONN +*I *21254:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21249:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21254:A1 0.000877991 +2 *21249:Y 4.18856e-05 +3 *2316:8 0.00399748 +4 *2316:7 0.00316137 +5 *21254:A1 *4881:187 2.16355e-05 +6 *2316:7 *21249:A 6.50727e-05 +7 *2316:8 *23946:A1 0.000279959 +8 *2316:8 *24124:A 0 +9 *2316:8 *4827:124 0.00226024 +10 *2316:8 *4827:135 0.000221092 +11 *2316:8 *4832:32 0 +12 *2316:8 *4832:34 0.000790758 +13 *2316:8 *4838:306 0 +14 *2316:8 *4838:308 0 +15 *2316:8 *4838:310 0 +16 *2316:8 *4899:9 0 +17 *2316:8 *5003:9 0.000175674 +18 *2316:8 *5515:9 0 +19 *2316:8 *5857:61 0.00153915 +20 *2316:8 *5867:682 0.000125817 +21 *2316:8 *5867:688 6.95291e-05 +22 *2316:8 *5867:717 0.000102374 +23 *20289:A2 *2316:8 0 +24 *20736:B2 *21254:A1 0.000304451 +25 *489:13 *21254:A1 0.000646512 +26 *1658:168 *21254:A1 0.00100313 +27 *1914:8 *2316:8 0 +28 *1914:37 *2316:8 0 +29 *1914:49 *2316:8 0 +30 *2052:18 *21254:A1 0.000353078 +31 *2052:20 *21254:A1 0.00034354 +*RES +1 *21249:Y *2316:7 14.4725 +2 *2316:7 *2316:8 103.154 +3 *2316:8 *21254:A1 49.7085 +*END + +*D_NET *2317 0.0157745 +*CONN +*I *21254:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22384:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21250:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21254:B1 0.000455974 +2 *22384:B1 9.83873e-05 +3 *21250:Y 9.76025e-05 +4 *2317:20 0.00135173 +5 *2317:12 0.00216349 +6 *2317:8 0.00146372 +7 *21254:B1 *2530:29 0.000138912 +8 *21254:B1 *4881:187 0.00135622 +9 *22384:B1 *22384:A1 6.3657e-05 +10 *22384:B1 *22384:A2 6.08467e-05 +11 *22384:B1 *22384:B2 5.40888e-06 +12 *22384:B1 *2635:21 0.000260388 +13 *22384:B1 *5855:134 2.29777e-05 +14 *2317:8 *20665:B1 8.52968e-05 +15 *2317:8 *2487:14 9.24241e-05 +16 *2317:8 *5921:94 1.03403e-05 +17 *2317:12 *20665:B1 0.000258002 +18 *2317:12 *2487:14 0.0020593 +19 *2317:12 *4845:210 0.000842653 +20 *2317:12 *4845:212 0.000742334 +21 *2317:12 *4845:220 0.000215829 +22 *2317:20 *21430:B1 1.91391e-05 +23 *2317:20 *21430:C1 1.91391e-05 +24 *2317:20 *24215:CLK 7.86825e-06 +25 *2317:20 *2821:11 0.000879758 +26 *2317:20 *3305:8 0.000752796 +27 *20624:B *2317:20 8.50988e-05 +28 *20874:A *2317:20 0.000163285 +29 *21090:A2 *2317:12 2.76648e-05 +30 *21090:A2 *2317:20 2.40731e-05 +31 *21090:B2 *2317:12 2.07178e-05 +32 *21090:B2 *2317:20 7.09666e-06 +33 *21315:B2 *2317:20 0.000487161 +34 *22296:B1 *2317:20 0.000133025 +35 *1551:162 *2317:20 0.000441115 +36 *1551:190 *2317:20 7.61362e-05 +37 *1592:152 *2317:20 0.000404547 +38 *1614:120 *2317:20 2.1558e-06 +39 *1655:220 *2317:20 7.86728e-05 +40 *1655:226 *2317:20 1.50389e-06 +41 *2252:8 *2317:20 0 +42 *2293:38 *2317:20 1.29517e-05 +43 *2306:37 *2317:20 0.000285101 +*RES +1 *21250:Y *2317:8 16.3045 +2 *2317:8 *2317:12 40.3527 +3 *2317:12 *2317:20 49.807 +4 *2317:20 *22384:B1 17.6796 +5 *2317:8 *21254:B1 30.0798 +*END + +*D_NET *2318 0.000918718 +*CONN +*I *21254:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21253:Y O *D sky130_fd_sc_hd__a22oi_1 +*CAP +1 *21254:C1 0.000251833 +2 *21253:Y 0.000251833 +3 *21254:C1 *4845:205 7.36284e-05 +4 *21254:C1 *5893:18 0.000184662 +5 *21254:C1 *5921:98 0.000140028 +6 *480:207 *21254:C1 1.67329e-05 +*RES +1 *21253:Y *21254:C1 35.0423 +*END + +*D_NET *2319 0.0337327 +*CONN +*I *21261:C I *D sky130_fd_sc_hd__and4_1 +*I *21254:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21261:C 0 +2 *21254:X 0.00106631 +3 *2319:55 0.00110441 +4 *2319:42 0.00237581 +5 *2319:33 0.00221791 +6 *2319:26 0.00186197 +7 *2319:23 0.00182155 +8 *2319:17 0.00150721 +9 *2319:9 0.00166744 +10 *2319:9 *20735:A1 9.0283e-05 +11 *2319:9 *21497:A 7.92757e-06 +12 *2319:9 *2554:7 0.000309833 +13 *2319:9 *2764:10 0.000207266 +14 *2319:9 *2797:19 0.000140453 +15 *2319:9 *5026:9 0.000393867 +16 *2319:9 *5893:18 0 +17 *2319:17 *2384:11 4.58529e-05 +18 *2319:17 *4812:7 9.29156e-05 +19 *2319:17 *4826:68 0.000525232 +20 *2319:17 *5865:382 3.94229e-05 +21 *2319:17 *5865:409 0.00010658 +22 *2319:23 *24296:RESET_B 0.000865369 +23 *2319:23 *2473:37 0.000722669 +24 *2319:23 *4820:86 0.000504384 +25 *2319:23 *4835:37 0.00112125 +26 *2319:23 *5416:5 0.000118796 +27 *2319:23 *5855:178 0 +28 *2319:26 *20961:A1 1.77405e-05 +29 *2319:26 *24295:SET_B 0.00045656 +30 *2319:26 *24298:RESET_B 0.000251576 +31 *2319:26 *2635:35 0.000179041 +32 *2319:26 *3011:24 0.00198321 +33 *2319:26 *3011:30 0 +34 *2319:26 *4812:14 0.000368196 +35 *2319:26 *4903:10 9.80656e-06 +36 *2319:26 *4903:119 6.41952e-05 +37 *2319:33 *2433:59 0.000200794 +38 *2319:33 *2433:85 0.000441895 +39 *2319:33 *2460:41 0.00062656 +40 *2319:33 *2468:59 5.08079e-05 +41 *2319:33 *2468:63 0.000170027 +42 *2319:33 *3011:24 5.09609e-05 +43 *2319:33 *3011:30 0.00122534 +44 *2319:33 *4903:54 0.000298611 +45 *2319:33 *4903:61 0.00016753 +46 *2319:33 *5415:11 5.34022e-05 +47 *2319:33 *5903:6 0 +48 *2319:42 *20656:B1 0.000824484 +49 *2319:42 *2473:45 0.000162112 +50 *2319:42 *4820:71 0.000194238 +51 *2319:42 *4820:78 0.000230366 +52 *2319:42 *4906:5 0.000116111 +53 *2319:42 *4906:87 0 +54 *2319:42 *5863:23 1.5714e-05 +55 *2319:42 *5924:40 0.000467837 +56 *2319:55 *21215:A 0.000163067 +57 *2319:55 *2473:57 1.5714e-05 +58 *2319:55 *2906:55 0.000407351 +59 *2319:55 *3020:21 0.000123736 +60 *2319:55 *3041:118 0.000101987 +61 *2319:55 *4816:124 0.000107659 +62 *2319:55 *4818:22 8.11083e-05 +63 *2319:55 *4906:91 0 +64 *2319:55 *4906:95 1.09898e-05 +65 *2319:55 *5857:125 0.000350024 +66 *2319:55 *5924:40 8.59549e-05 +67 *19598:A *2319:23 0.000132031 +68 *19600:A *2319:9 5.04829e-06 +69 *20735:B2 *2319:9 0.00015324 +70 *20938:B2 *2319:55 4.03197e-05 +71 *21998:B2 *2319:42 0.000276821 +72 *24297:D *2319:26 2.0388e-06 +73 *476:172 *2319:26 0 +74 *1418:79 *2319:55 5.94052e-06 +75 *1439:46 *2319:42 0.00019741 +76 *1444:6 *2319:17 0.000237642 +77 *1444:17 *2319:17 0.000845212 +78 *1543:15 *2319:55 0.00108986 +79 *1608:107 *2319:26 0 +80 *1608:107 *2319:33 9.35472e-05 +81 *1631:58 *2319:55 0.000434596 +82 *1631:126 *2319:23 0.000156805 +83 *1924:8 *2319:23 0.000504687 +84 *2050:9 *2319:9 0.000102405 +85 *2051:8 *2319:9 8.03278e-05 +86 *2155:28 *2319:55 8.6449e-05 +87 *2155:37 *2319:55 1.88638e-05 +88 *2156:23 *2319:55 4.97617e-05 +89 *2297:39 *2319:17 0.000107496 +90 *2297:43 *2319:23 0.000122742 +*RES +1 *21254:X *2319:9 47.5565 +2 *2319:9 *2319:17 37.2389 +3 *2319:17 *2319:23 49.0815 +4 *2319:23 *2319:26 37.2383 +5 *2319:26 *2319:33 42.9181 +6 *2319:33 *2319:42 46.3929 +7 *2319:42 *2319:55 48.4217 +8 *2319:55 *21261:C 9.24915 +*END + +*D_NET *2320 0.0526599 +*CONN +*I *22399:A I *D sky130_fd_sc_hd__or2_1 +*I *22723:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21260:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21255:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22399:A 0.000299584 +2 *22723:B1 0.000141372 +3 *21260:A1 0.000218311 +4 *21255:Y 0.000537955 +5 *2320:81 0.000790559 +6 *2320:68 0.0021243 +7 *2320:59 0.0028843 +8 *2320:56 0.00334366 +9 *2320:36 0.00244009 +10 *2320:35 0.00264236 +11 *2320:20 0.00287089 +12 *2320:16 0.00161631 +13 *2320:10 0.00150139 +14 *21260:A1 *21261:D 4.84017e-05 +15 *22399:A *22724:D 2.1203e-06 +16 *22399:A *2949:71 9.1549e-05 +17 *22399:A *3358:11 1.25946e-05 +18 *22399:A *3521:191 6.1061e-06 +19 *22399:A *3620:14 7.36379e-05 +20 *22399:A *3632:19 8.26881e-05 +21 *22399:A *4813:110 0.000258601 +22 *22723:B1 *22723:A2 4.06083e-05 +23 *22723:B1 *22723:B2 2.57986e-05 +24 *22723:B1 *22724:C 0 +25 *22723:B1 *22724:D 0.000255258 +26 *22723:B1 *3042:11 2.35078e-05 +27 *2320:10 *21255:A 0.000175485 +28 *2320:10 *2499:23 0.00135465 +29 *2320:10 *3011:17 0.000410992 +30 *2320:10 *4824:101 0.00135759 +31 *2320:10 *4834:30 0.00016791 +32 *2320:10 *4901:69 5.6067e-05 +33 *2320:10 *4901:79 6.63489e-05 +34 *2320:10 *5921:99 0 +35 *2320:16 *19566:S 7.14746e-05 +36 *2320:16 *4839:153 0.00020294 +37 *2320:16 *4847:11 0.000191526 +38 *2320:16 *4901:12 2.27135e-05 +39 *2320:16 *5714:35 0.000899146 +40 *2320:16 *5903:6 1.14755e-05 +41 *2320:20 *21775:A 0.000462788 +42 *2320:20 *4839:153 0.00137978 +43 *2320:20 *4901:12 1.62321e-05 +44 *2320:20 *5993:20 4.07733e-05 +45 *2320:35 *23876:A 0.000200794 +46 *2320:35 *23877:A 5.73392e-05 +47 *2320:35 *2360:43 0.000631713 +48 *2320:35 *2384:11 0.0013915 +49 *2320:35 *2417:43 0.00137309 +50 *2320:35 *2938:26 2.01503e-05 +51 *2320:35 *4816:49 0.000307023 +52 *2320:35 *5493:33 0 +53 *2320:36 *2384:32 0.00176272 +54 *2320:36 *2417:43 0.000385533 +55 *2320:36 *2791:26 5.53589e-05 +56 *2320:36 *4829:161 0.00110941 +57 *2320:36 *5904:24 0.00298865 +58 *2320:56 *19562:S 4.62112e-05 +59 *2320:56 *21998:A1 3.49777e-05 +60 *2320:56 *23869:A 3.04443e-05 +61 *2320:56 *2417:43 1.4091e-06 +62 *2320:56 *2433:106 4.31485e-06 +63 *2320:56 *2629:10 0.000220682 +64 *2320:56 *2687:68 0.000126172 +65 *2320:56 *4825:95 0.000144573 +66 *2320:56 *4833:138 4.15201e-05 +67 *2320:56 *5592:112 0.000424255 +68 *2320:59 *25212:A 2.16355e-05 +69 *2320:59 *2888:17 0.000777835 +70 *2320:59 *3026:5 0.00265804 +71 *2320:59 *4818:22 0.000363153 +72 *2320:59 *5864:677 3.38674e-05 +73 *2320:68 *4818:22 0.000886117 +74 *2320:68 *4824:122 9.59822e-06 +75 *2320:68 *5863:23 0.00024885 +76 *2320:68 *5863:27 0.000451935 +77 *2320:81 *22396:A2 0.000135114 +78 *2320:81 *22396:B2 1.17054e-05 +79 *2320:81 *3042:11 0.000972962 +80 *2320:81 *3632:19 4.49912e-05 +81 mgmt_gpio_oeb[11] *2320:56 8.12259e-06 +82 mgmt_gpio_oeb[14] *2320:35 0 +83 mgmt_gpio_out[11] *2320:56 0.000309324 +84 *20295:A *2320:68 6.08467e-05 +85 *20321:B2 *2320:16 0.000148129 +86 *21260:B2 *21260:A1 0.000281238 +87 *21260:B2 *2320:68 0.000199467 +88 *22723:A1 *22723:B1 0.000200794 +89 *22723:A1 *2320:81 0.000110608 +90 *24903:D *2320:10 1.01796e-05 +91 *25141:A *2320:35 0 +92 *121:14 *2320:35 1.66626e-05 +93 *500:41 *2320:59 2.99733e-05 +94 *502:14 *2320:68 6.88784e-05 +95 *1564:171 *21260:A1 0.000205505 +96 *1564:171 *2320:68 0.000195968 +97 *1635:74 *21260:A1 9.7112e-06 +98 *1635:83 *21260:A1 5.48491e-05 +99 *1688:75 *2320:56 4.99354e-06 +100 *1741:44 *2320:56 0.000668655 +101 *2243:14 *2320:81 4.15661e-05 +102 *2257:69 *2320:56 0.00170593 +103 *2266:36 *2320:56 7.53968e-05 +104 *2294:28 *2320:16 0.000268946 +105 *2294:30 *2320:20 0 +106 *2297:39 *2320:35 0.000790671 +*RES +1 *21255:Y *2320:10 44.2344 +2 *2320:10 *2320:16 29.0511 +3 *2320:16 *2320:20 29.3785 +4 *2320:20 *2320:35 45.342 +5 *2320:35 *2320:36 48.1326 +6 *2320:36 *2320:56 48.4667 +7 *2320:56 *2320:59 45.7095 +8 *2320:59 *2320:68 41.5932 +9 *2320:68 *21260:A1 20.9794 +10 *2320:68 *2320:81 21.2624 +11 *2320:81 *22723:B1 18.9335 +12 *2320:81 *22399:A 22.7563 +*END + +*D_NET *2321 0.0451444 +*CONN +*I *22384:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21260:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22709:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21256:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22384:A1 0.000957426 +2 *21260:B1 7.53004e-05 +3 *22709:B1 0.000971705 +4 *21256:Y 0.000709674 +5 *2321:43 0.00358318 +6 *2321:36 0.0047534 +7 *2321:26 0.00237235 +8 *2321:14 0.00250452 +9 *2321:13 0.00171159 +10 *2321:10 0.0010293 +11 *21260:B1 *2462:8 9.28861e-05 +12 *21260:B1 *3120:84 3.11514e-05 +13 *22384:A1 *22385:C1 6.08467e-05 +14 *22384:A1 *22686:B1 6.03237e-05 +15 *22384:A1 *2363:31 0.000292217 +16 *22384:A1 *2373:17 0.000486532 +17 *22384:A1 *2635:21 0.000101738 +18 *22384:A1 *4823:45 0.000425846 +19 *22384:A1 *5855:134 0.000264697 +20 *22709:B1 *22353:A1 0.000104481 +21 *22709:B1 *22709:B2 0.000175962 +22 *22709:B1 *2452:36 5.79498e-05 +23 *22709:B1 *2462:8 8.67891e-05 +24 *22709:B1 *3120:84 0.000893742 +25 *22709:B1 *3348:27 0.000491148 +26 *22709:B1 *3466:173 3.31745e-05 +27 *22709:B1 *3466:185 5.51483e-06 +28 *2321:10 *4838:310 0.000343668 +29 *2321:10 *4838:321 0.000712164 +30 *2321:10 *5857:61 0.000213583 +31 *2321:13 *4814:9 0.0011223 +32 *2321:14 *19599:A0 0.000384665 +33 *2321:14 *3011:17 0.00011594 +34 *2321:14 *4824:112 0.000346988 +35 *2321:14 *5857:88 0.00039782 +36 *2321:14 *5857:537 0.000365286 +37 *2321:14 *5924:46 0 +38 *2321:26 *21405:A 0.000107496 +39 *2321:26 *2465:10 6.14756e-06 +40 *2321:26 *4956:10 0.000135774 +41 *2321:26 *5857:88 0.000152939 +42 *2321:26 *5861:330 0.000209961 +43 *2321:26 *5884:45 0.00024029 +44 *2321:36 *20214:A1 0.00022228 +45 *2321:36 *2372:60 0.000446884 +46 *2321:36 *2448:74 4.73501e-05 +47 *2321:36 *2520:27 0.000109519 +48 *2321:36 *4812:18 0.000446884 +49 *2321:36 *4836:21 0.000413572 +50 *2321:36 *4844:182 7.95381e-05 +51 *2321:36 *4902:71 0.000195875 +52 *2321:43 *20214:A1 0.00046376 +53 *2321:43 *21436:B1 0.00217719 +54 *2321:43 *21630:B1 0.000108986 +55 *2321:43 *2389:96 0.000110597 +56 *2321:43 *4836:21 0.0048456 +57 *20727:B *2321:36 2.71298e-05 +58 *20727:B *2321:43 0.000278251 +59 *20737:B2 *2321:10 0.000555295 +60 *21187:A1 *22384:A1 0.000583588 +61 *22384:B1 *22384:A1 6.3657e-05 +62 *22709:A1 *22709:B1 0.000302625 +63 *24417:D *2321:14 0 +64 *480:183 *2321:26 4.51706e-05 +65 *512:38 *2321:43 0.000309776 +66 *1418:120 *2321:26 0.000314037 +67 *1592:151 *22384:A1 6.08871e-05 +68 *1608:113 *2321:36 7.60356e-05 +69 *1658:126 *2321:26 1.29689e-05 +70 *1658:188 *2321:10 0.000506578 +71 *2051:89 *2321:13 0.000162739 +72 *2052:7 *2321:13 1.58551e-05 +73 *2245:17 *2321:14 0.000102588 +74 *2245:17 *2321:26 0.000969409 +75 *2250:31 *22384:A1 5.39635e-06 +76 *2252:43 *22384:A1 0.000343996 +77 *2253:10 *22384:A1 2.01653e-05 +78 *2262:78 *2321:36 0 +79 *2266:13 *22384:A1 1.38333e-05 +80 *2275:71 *22709:B1 0.000235492 +81 *2294:39 *2321:26 0.000302918 +82 *2306:12 *2321:14 0.00297948 +*RES +1 *21256:Y *2321:10 42.8213 +2 *2321:10 *2321:13 16.8701 +3 *2321:13 *2321:14 58.0742 +4 *2321:14 *2321:26 48.4885 +5 *2321:26 *2321:36 25.2106 +6 *2321:36 *2321:43 15.2766 +7 *2321:43 *22709:B1 42.1982 +8 *2321:43 *21260:B1 15.7888 +9 *2321:36 *22384:A1 25.4977 +*END + +*D_NET *2322 0.00759704 +*CONN +*I *21259:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22719:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22387:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21257:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21259:A1 0.000279522 +2 *22719:A1 0.000387394 +3 *22387:A1 0.000492108 +4 *21257:Y 0 +5 *2322:7 0.00197336 +6 *2322:4 0.00137338 +7 *21259:A1 *2415:64 6.08467e-05 +8 *21259:A1 *2452:36 3.23649e-05 +9 *21259:A1 *4815:91 7.23857e-05 +10 *22387:A1 *3620:14 0.000511704 +11 *22719:A1 *22399:B 7.75093e-05 +12 *22719:A1 *22724:B 1.03403e-05 +13 *22719:A1 *3358:11 1.9544e-05 +14 *22719:A1 *3620:14 0.000268089 +15 *2322:7 *4815:91 3.43458e-05 +16 *21241:A2 *22387:A1 6.5829e-05 +17 *21259:A2 *21259:A1 1.03403e-05 +18 *22387:B1 *22387:A1 0.00012371 +19 *22397:A1 *22387:A1 1.91246e-05 +20 *1554:113 *21259:A1 9.96332e-05 +21 *1564:114 *22719:A1 0.00021527 +22 *1564:117 *22719:A1 0.000125308 +23 *1635:74 *2322:7 0.00111296 +24 *2287:94 *21259:A1 1.41307e-05 +25 *2287:112 *21259:A1 2.77625e-06 +26 *2306:75 *22387:A1 0.000139761 +27 *2306:75 *22719:A1 3.80436e-07 +28 *2312:26 *2322:7 7.49212e-05 +*RES +1 *21257:Y *2322:4 9.24915 +2 *2322:4 *2322:7 21.8615 +3 *2322:7 *22387:A1 25.8553 +4 *2322:7 *22719:A1 24.5027 +5 *2322:4 *21259:A1 23.607 +*END + +*D_NET *2323 0.0192287 +*CONN +*I *21259:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22395:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22712:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21258:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21259:B1 0 +2 *22395:A1 0.00023054 +3 *22712:B1 0.00162369 +4 *21258:Y 0.000903556 +5 *2323:16 0.00188217 +6 *2323:14 0.00184512 +7 *2323:10 0.00272074 +8 *22395:A1 *3153:117 0.000627744 +9 *22712:B1 *21257:A 0.000238578 +10 *22712:B1 *22715:B1 5.04829e-06 +11 *22712:B1 *2333:60 0.000156087 +12 *22712:B1 *2479:52 0.000444419 +13 *22712:B1 *2503:48 0.000159964 +14 *22712:B1 *3198:154 7.85058e-05 +15 *22712:B1 *3493:19 7.92757e-06 +16 *22712:B1 *3632:25 9.29875e-05 +17 *22712:B1 *5919:72 0.000167175 +18 *22712:B1 *5924:37 0.00139919 +19 *2323:10 *24444:CLK 4.03336e-05 +20 *2323:10 *2520:68 0.000491468 +21 *2323:10 *2888:17 0.000174799 +22 *2323:10 *4820:71 0.000171187 +23 *2323:10 *5861:397 1.59634e-05 +24 *2323:14 *21215:A 1.27749e-05 +25 *2323:14 *2415:64 0.00150981 +26 *2323:14 *2906:55 1.65872e-05 +27 *19800:A2_N *22712:B1 0.00034263 +28 *20654:A2 *2323:14 5.69234e-05 +29 *20654:B2 *2323:14 0.000588211 +30 *20657:B2 *2323:14 1.80122e-05 +31 *21259:B2 *22395:A1 2.02035e-05 +32 *22028:B2 *22395:A1 7.88409e-05 +33 *22028:B2 *22712:B1 2.91133e-05 +34 *22028:B2 *2323:14 5.15415e-05 +35 *22398:A1 *22712:B1 8.94611e-05 +36 *22712:B2 *22712:B1 2.16355e-05 +37 *24444:D *2323:10 6.36477e-05 +38 *1555:19 *22395:A1 0.000201539 +39 *1555:19 *22712:B1 0.00058833 +40 *1558:24 *22712:B1 2.38165e-05 +41 *1573:166 *22712:B1 0.00112188 +42 *1610:54 *22712:B1 7.18895e-05 +43 *1610:74 *22712:B1 2.35647e-05 +44 *1717:102 *22712:B1 0.000130327 +45 *2282:9 *22395:A1 0.000627744 +46 *2301:63 *22712:B1 6.30498e-05 +*RES +1 *21258:Y *2323:10 33.5576 +2 *2323:10 *2323:14 34.5097 +3 *2323:14 *2323:16 0.732798 +4 *2323:16 *22712:B1 46.2785 +5 *2323:16 *22395:A1 25.036 +6 *2323:14 *21259:B1 13.7491 +*END + +*D_NET *2324 0.000799081 +*CONN +*I *21260:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21259:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21260:C1 0.00029253 +2 *21259:X 0.00029253 +3 *21260:C1 *2415:64 4.04325e-05 +4 *21260:B2 *21260:C1 0.000173588 +*RES +1 *21259:X *21260:C1 24.9847 +*END + +*D_NET *2325 0.00171267 +*CONN +*I *21261:D I *D sky130_fd_sc_hd__and4_1 +*I *21260:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21261:D 0.000401724 +2 *21260:X 0.000401724 +3 *21261:D *2415:64 6.08467e-05 +4 *21261:D *2473:57 0.000254568 +5 *21261:D *3020:21 6.50586e-05 +6 *19797:A *21261:D 2.64684e-05 +7 *21260:A1 *21261:D 4.84017e-05 +8 *21260:B2 *21261:D 0.000105823 +9 *1555:19 *21261:D 4.1813e-05 +10 *1635:74 *21261:D 1.30901e-05 +11 *2312:22 *21261:D 0.000293153 +*RES +1 *21260:X *21261:D 38.6669 +*END + +*D_NET *2326 0.00843282 +*CONN +*I *21262:D I *D sky130_fd_sc_hd__nand4b_4 +*I *21261:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21262:D 0.000321886 +2 *21261:X 0.00166676 +3 *2326:16 0.00198865 +4 *21262:D *2409:33 0.000152162 +5 *21262:D *3124:242 0.000431986 +6 *21262:D *3649:8 0.000156627 +7 *21262:D *4812:38 0.000350393 +8 *2326:16 *22353:A2 0.000207911 +9 *2326:16 *22397:A2 0.000200794 +10 *2326:16 *22398:C1 0.000107496 +11 *2326:16 *2501:22 3.29488e-05 +12 *2326:16 *3126:47 0.000962891 +13 *2326:16 *3198:155 0.00025175 +14 *2326:16 *3208:165 3.63593e-05 +15 *2326:16 *3348:27 0.000156946 +16 *1543:15 *2326:16 0.000253916 +17 *1543:17 *2326:16 0.00113466 +18 *1577:103 *21262:D 1.86819e-05 +*RES +1 *21261:X *2326:16 48.3738 +2 *2326:16 *21262:D 24.4339 +*END + +*D_NET *2327 0.00403961 +*CONN +*I *22067:A I *D sky130_fd_sc_hd__or2_1 +*I *21264:A I *D sky130_fd_sc_hd__or2_1 +*I *22091:B I *D sky130_fd_sc_hd__or2_1 +*I *22079:A I *D sky130_fd_sc_hd__or2_2 +*I *21263:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22067:A 0 +2 *21264:A 0.000150819 +3 *22091:B 0.000152393 +4 *22079:A 0 +5 *21263:Y 4.80429e-05 +6 *2327:13 0.000466911 +7 *2327:7 0.000465336 +8 *2327:5 4.80429e-05 +9 *21264:A *4209:10 0.000260809 +10 *21264:A *4319:8 1.62054e-05 +11 *22091:B *3081:25 0.000409739 +12 *2327:5 *21263:A 3.14978e-05 +13 *2327:5 *22067:B 0.000211478 +14 *2327:13 *23502:B 7.50722e-05 +15 *2327:13 *23706:C 0 +16 *2327:13 *3081:25 0.000111708 +17 *2327:13 *4209:10 0.000685851 +18 *2327:13 *4209:15 1.62321e-05 +19 *2327:13 *4371:30 0.000126006 +20 *2327:13 *4611:10 8.62625e-06 +21 *1829:122 *21264:A 0.000339523 +22 *1829:122 *2327:13 0.000383166 +23 *2194:15 *2327:5 3.21548e-05 +*RES +1 *21263:Y *2327:5 11.6364 +2 *2327:5 *2327:7 4.5 +3 *2327:7 *2327:13 19.4005 +4 *2327:13 *22079:A 9.24915 +5 *2327:13 *22091:B 13.8548 +6 *2327:7 *21264:A 20.1489 +7 *2327:5 *22067:A 9.24915 +*END + +*D_NET *2328 0.0113358 +*CONN +*I *21265:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *21264:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *21265:A 0.000112825 +2 *21264:X 0.0011198 +3 *2328:13 0.00152217 +4 *2328:11 0.00252914 +5 *21265:A *5842:26 0.000191994 +6 *2328:11 *4873:61 0.000102654 +7 *2328:11 *4873:74 1.60506e-05 +8 *2328:11 *5469:8 2.10976e-05 +9 *2328:13 *22064:A2 0.000598002 +10 *2328:13 *23315:A 0.000158002 +11 *2328:13 *23318:A 6.27782e-05 +12 *2328:13 *23321:A 6.27782e-05 +13 *2328:13 *23700:A 4.24629e-05 +14 *2328:13 *23700:C 0.000160047 +15 *2328:13 *4374:22 0.000989599 +16 *2328:13 *4605:10 0.00017203 +17 *2328:13 *4873:74 0.000942651 +18 *2328:13 *5452:79 0.00153278 +19 *2328:13 *5629:41 0.000386027 +20 *24821:D *2328:11 0.00016386 +21 *657:228 *2328:11 0 +22 *1829:122 *2328:11 0.000449063 +*RES +1 *21264:X *2328:11 40.0786 +2 *2328:11 *2328:13 59.5114 +3 *2328:13 *21265:A 21.7421 +*END + +*D_NET *2329 0.0111461 +*CONN +*I *22064:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21747:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21267:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21593:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21266:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21444:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21355:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21903:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22065:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *21265:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22064:A1 2.08593e-05 +2 *21747:A1 0 +3 *21267:A2 0 +4 *21593:A1 0.000436849 +5 *21266:A 6.73161e-05 +6 *21444:A1 0 +7 *21355:A1 2.99641e-05 +8 *21903:A1 0 +9 *22065:A2 0.000266335 +10 *21265:X 0.000290727 +11 *2329:61 0.000117856 +12 *2329:58 0.000656839 +13 *2329:49 0.000468476 +14 *2329:31 0.000290861 +15 *2329:28 0.000458891 +16 *2329:25 0.000877046 +17 *2329:16 0.00111717 +18 *2329:5 0.000717016 +19 *21266:A *2330:5 5.73392e-05 +20 *21355:A1 *5842:24 0.000113968 +21 *21355:A1 *5932:13 2.44829e-05 +22 *21593:A1 *21593:B2 1.20038e-05 +23 *21593:A1 *5456:178 3.31882e-05 +24 *21593:A1 *5627:8 3.21432e-05 +25 *21593:A1 *5839:8 6.83148e-05 +26 *22064:A1 *22064:B2 3.13805e-06 +27 *22065:A2 *21903:B1 0 +28 *22065:A2 *21903:B2 3.01683e-06 +29 *22065:A2 *22065:B2 6.67924e-05 +30 *22065:A2 *5834:13 0.000258142 +31 *22065:A2 *5836:7 0 +32 *2329:5 *5628:11 2.61955e-05 +33 *2329:16 *21747:B2 0.000274451 +34 *2329:16 *22064:B2 3.54295e-05 +35 *2329:16 *4882:74 0.000121036 +36 *2329:16 *5837:10 0.000117941 +37 *2329:16 *5931:16 7.26153e-05 +38 *2329:25 *21267:B1 2.99978e-05 +39 *2329:25 *21267:B2 4.58003e-05 +40 *2329:25 *4882:74 3.31882e-05 +41 *2329:25 *4882:83 0.000553202 +42 *2329:25 *5838:16 0.000109545 +43 *2329:25 *5838:20 0.000213952 +44 *2329:25 *5928:7 5.04829e-06 +45 *2329:28 *5456:178 0.000634916 +46 *2329:28 *5836:20 0.000608871 +47 *2329:28 *5839:10 1.12605e-05 +48 *2329:31 *22065:B2 6.08467e-05 +49 *2329:49 *5456:178 0.000770836 +50 *2329:49 *5839:10 0.000338831 +51 *2329:58 *5456:178 0.000379538 +52 *2329:58 *5839:8 5.22654e-06 +53 *2329:58 *5839:10 0.000162325 +54 *2329:61 *2330:5 4.31703e-05 +55 *24158:D *21593:A1 3.18826e-06 +*RES +1 *21265:X *2329:5 14.964 +2 *2329:5 *2329:16 20.7585 +3 *2329:16 *2329:25 26.8479 +4 *2329:25 *2329:28 15.4675 +5 *2329:28 *2329:31 5.2234 +6 *2329:31 *22065:A2 16.1455 +7 *2329:31 *21903:A1 9.24915 +8 *2329:28 *2329:49 13.4591 +9 *2329:49 *21355:A1 15.0271 +10 *2329:49 *2329:58 6.81502 +11 *2329:58 *2329:61 5.778 +12 *2329:61 *21444:A1 9.24915 +13 *2329:61 *21266:A 11.0817 +14 *2329:58 *21593:A1 22.3435 +15 *2329:25 *21267:A2 9.24915 +16 *2329:16 *21747:A1 9.24915 +17 *2329:5 *22064:A1 9.82786 +*END + +*D_NET *2330 0.00989607 +*CONN +*I *21444:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21593:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *21355:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22065:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21903:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21267:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22064:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21747:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *21266:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21444:B2 3.5247e-05 +2 *21593:B2 0.000365152 +3 *21355:B2 0 +4 *22065:B2 0.000217978 +5 *21903:B2 1.69555e-05 +6 *21267:B2 5.44405e-05 +7 *22064:B2 7.81745e-05 +8 *21747:B2 0.000309922 +9 *21266:Y 0.000299245 +10 *2330:51 0.000267797 +11 *2330:22 0.000658435 +12 *2330:18 0.000589977 +13 *2330:16 0.000603826 +14 *2330:10 0.000448289 +15 *2330:9 0.00068204 +16 *2330:5 0.000508855 +17 *21267:B2 *21267:B1 6.50727e-05 +18 *21593:B2 *21593:A2 2.32594e-05 +19 *21593:B2 *24157:CLK 0.000319726 +20 *21593:B2 *5839:8 3.76961e-05 +21 *21593:B2 *5839:10 1.82832e-05 +22 *21747:B2 *21747:B1 0 +23 *21747:B2 *4882:74 0.000205687 +24 *21747:B2 *5837:10 2.44805e-05 +25 *21747:B2 *5931:16 0.000146434 +26 *21903:B2 *21903:B1 1.09551e-05 +27 *22065:B2 *21903:A2 4.58003e-05 +28 *22065:B2 *5834:13 0.000253916 +29 *22065:B2 *5836:20 1.65872e-05 +30 *2330:10 *24157:CLK 0.000313709 +31 *2330:10 *5839:10 4.83486e-05 +32 *2330:10 *5842:10 0.000143032 +33 *2330:16 *24157:CLK 0.00046279 +34 *2330:16 *4880:66 8.67988e-05 +35 *2330:16 *5839:10 0.000276878 +36 *2330:16 *5842:10 3.31882e-05 +37 *2330:18 *4880:66 0.000215658 +38 *2330:18 *5839:10 0.000261545 +39 *2330:22 *4880:66 0.000290218 +40 *2330:22 *5835:8 9.905e-05 +41 *2330:22 *5839:10 0.000292149 +42 *2330:51 *21903:A2 4.58003e-05 +43 *2330:51 *5836:7 1.00981e-05 +44 *2330:51 *5836:20 2.65667e-05 +45 *21266:A *2330:5 5.73392e-05 +46 *21593:A1 *21593:B2 1.20038e-05 +47 *22064:A1 *22064:B2 3.13805e-06 +48 *22065:A2 *21903:B2 3.01683e-06 +49 *22065:A2 *22065:B2 6.67924e-05 +50 *24154:D *2330:22 9.98172e-05 +51 *24156:D *21747:B2 3.6452e-05 +52 *24158:D *21593:B2 0.000151726 +53 *24160:D *2330:18 9.60216e-05 +54 *2329:16 *21747:B2 0.000274451 +55 *2329:16 *22064:B2 3.54295e-05 +56 *2329:25 *21267:B2 4.58003e-05 +57 *2329:31 *22065:B2 6.08467e-05 +58 *2329:61 *2330:5 4.31703e-05 +*RES +1 *21266:Y *2330:5 13.8548 +2 *2330:5 *2330:9 6.88721 +3 *2330:9 *2330:10 5.98452 +4 *2330:10 *2330:16 13.9476 +5 *2330:16 *2330:18 10.5523 +6 *2330:18 *2330:22 17.1286 +7 *2330:22 *21747:B2 27.7357 +8 *2330:22 *22064:B2 11.1059 +9 *2330:18 *21267:B2 15.6059 +10 *2330:16 *2330:51 5.778 +11 *2330:51 *21903:B2 9.82786 +12 *2330:51 *22065:B2 15.5427 +13 *2330:10 *21355:B2 13.7491 +14 *2330:9 *21593:B2 23.8035 +15 *2330:5 *21444:B2 10.2378 +*END + +*D_NET *2331 0.0270718 +*CONN +*I *21273:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22362:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21268:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21273:A1 0.000286109 +2 *22362:B1 7.30994e-05 +3 *21268:Y 2.60774e-05 +4 *2331:29 0.00330472 +5 *2331:13 0.00325264 +6 *2331:8 0.00250456 +7 *2331:7 0.00236971 +8 *21273:A1 *21272:B1 0.000110505 +9 *21273:A1 *21273:B1 0.000154145 +10 *21273:A1 *2336:15 0.000110297 +11 *21273:A1 *3471:195 0.000156955 +12 *22362:B1 *22025:A 2.16355e-05 +13 *22362:B1 *22361:A2 2.16355e-05 +14 *22362:B1 *2339:21 5.92342e-05 +15 *22362:B1 *2350:38 2.99358e-05 +16 *22362:B1 *2350:40 1.23804e-05 +17 *2331:7 *5316:9 0.000107496 +18 *2331:8 *21178:A 0.000157406 +19 *2331:8 *21290:A1 4.69495e-06 +20 *2331:8 *21428:A 0.000134323 +21 *2331:8 *22369:B1 8.93884e-05 +22 *2331:8 *22369:B2 0.000153324 +23 *2331:8 *2340:24 0.000672943 +24 *2331:8 *2347:36 0.000645568 +25 *2331:8 *2352:8 0.00080139 +26 *2331:8 *2375:12 0.00048358 +27 *2331:8 *2375:28 6.84784e-06 +28 *2331:8 *2416:14 6.19262e-05 +29 *2331:8 *2416:16 0 +30 *2331:8 *4823:24 0.000746382 +31 *2331:8 *5225:9 0.000129134 +32 *2331:8 *5328:8 0.000170592 +33 *2331:8 *5853:137 6.64671e-06 +34 *2331:8 *5867:514 0.000197171 +35 *2331:13 *5865:88 4.2696e-05 +36 *2331:29 *22701:B1 0.000767324 +37 *2331:29 *22701:B2 0.000294906 +38 *2331:29 *2336:21 0.0003226 +39 *2331:29 *2339:21 0.0004538 +40 *2331:29 *2350:40 0.00219474 +41 *2331:29 *2350:48 5.42543e-05 +42 *2331:29 *2350:57 0.000903089 +43 *2331:29 *2364:8 7.12521e-06 +44 *2331:29 *2377:26 0.00110269 +45 *2331:29 *2415:64 4.40531e-05 +46 *2331:29 *3337:14 3.51084e-05 +47 *2331:29 *3519:260 0.000119059 +48 *2331:29 *5457:13 1.91391e-05 +49 *20439:A2 *2331:8 0.000530206 +50 *20441:B2 *2331:8 0.000671409 +51 *21199:A1 *2331:8 0 +52 *21262:B *21273:A1 0.000110505 +53 *21262:B *2331:29 3.33173e-06 +54 *21303:A2 *2331:29 0.000440127 +55 *21315:A2 *2331:8 1.66771e-05 +56 *482:86 *2331:29 0.000353048 +57 *1558:42 *21273:A1 4.17605e-05 +58 *1573:183 *2331:29 0.000214734 +59 *1608:156 *2331:8 7.02358e-06 +60 *1725:205 *2331:8 0.000155833 +61 *1959:68 *2331:8 0.000672193 +62 *2031:7 *2331:7 0.000107496 +63 *2266:13 *2331:8 2.98102e-05 +64 *2298:17 *2331:13 0.000294649 +*RES +1 *21268:Y *2331:7 15.0271 +2 *2331:7 *2331:8 80.5223 +3 *2331:8 *2331:13 7.16991 +4 *2331:13 *22362:B1 16.2068 +5 *2331:13 *2331:29 89.8655 +6 *2331:29 *21273:A1 29.6315 +*END + +*D_NET *2332 0.0104999 +*CONN +*I *22376:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21273:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22691:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21269:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22376:B1 0 +2 *21273:B1 0.000398586 +3 *22691:A1 0 +4 *21269:Y 0.000343155 +5 *2332:22 0.000498852 +6 *2332:17 0.00122413 +7 *2332:13 0.00146702 +8 *21273:B1 *22691:A2 4.31603e-06 +9 *21273:B1 *22691:B2 0.00012735 +10 *21273:B1 *22691:C1 0.000247443 +11 *21273:B1 *3466:185 1.82679e-05 +12 *21273:B1 *3466:201 2.77625e-06 +13 *21273:B1 *3471:195 0.000122387 +14 *21273:B1 *3543:50 0.000680785 +15 *2332:13 *21269:A 1.03403e-05 +16 *2332:13 *3153:112 0.000125877 +17 *2332:13 *4818:48 0.000110505 +18 *2332:13 *5592:87 0.000400201 +19 *2332:17 *22376:A1 0.000111802 +20 *2332:17 *22376:A2 2.16355e-05 +21 *2332:17 *22376:C1 8.67924e-06 +22 *2332:17 *2384:45 0.000180756 +23 *2332:17 *2384:59 0.00149176 +24 *2332:17 *2454:23 6.08467e-05 +25 *2332:17 *3139:254 0.00011744 +26 *2332:17 *3196:163 5.51483e-06 +27 *2332:17 *3196:185 2.57847e-05 +28 *2332:17 *3333:33 0.000159756 +29 *2332:17 *5592:87 3.58208e-05 +30 *2332:22 *3208:165 0.000144192 +31 *2332:22 *3315:18 0.000149231 +32 *21273:A1 *21273:B1 0.000154145 +33 *21273:B2 *21273:B1 1.09551e-05 +34 *22708:A1 *2332:13 4.95549e-05 +35 *22708:A1 *2332:17 0.00139988 +36 *1542:89 *21273:B1 0.000335633 +37 *2275:71 *2332:13 0.000254551 +*RES +1 *21269:Y *2332:13 27.9286 +2 *2332:13 *2332:17 35.1329 +3 *2332:17 *2332:22 12.0778 +4 *2332:22 *22691:A1 9.24915 +5 *2332:22 *21273:B1 24.4887 +6 *2332:17 *22376:B1 9.24915 +*END + +*D_NET *2333 0.0777288 +*CONN +*I *21272:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6170:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21270:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21272:A1 0 +2 *6170:DIODE 0.000101089 +3 *21270:Y 0.000528184 +4 *2333:60 0.00461637 +5 *2333:38 0.0101646 +6 *2333:37 0.00652255 +7 *2333:28 0.00214446 +8 *2333:27 0.0039074 +9 *2333:24 0.00383882 +10 *2333:18 0.00229009 +11 *2333:13 0.00161558 +12 *6170:DIODE *21272:B1 7.14803e-05 +13 *2333:13 *21204:A 0 +14 *2333:13 *21270:A 0.000104731 +15 *2333:13 *2387:7 0.000193186 +16 *2333:13 *4843:555 0.000577815 +17 *2333:13 *4843:665 0.00140714 +18 *2333:13 *5922:11 0.000397744 +19 *2333:18 *23987:A1 0 +20 *2333:18 *5933:13 3.07313e-05 +21 *2333:18 *6027:102 0.00033355 +22 *2333:24 *21003:B2 0.000111343 +23 *2333:24 *24009:A1 0.000148129 +24 *2333:24 *2720:8 0.000263084 +25 *2333:24 *5871:505 2.20702e-05 +26 *2333:27 *24264:RESET_B 0.000825106 +27 *2333:27 *2759:11 0.000470585 +28 *2333:28 *20905:A1 4.79823e-05 +29 *2333:28 *20905:A2 9.22013e-06 +30 *2333:28 *24186:RESET_B 0 +31 *2333:28 *4939:12 0.00285539 +32 *2333:28 *5857:215 0 +33 *2333:28 *6027:8 0.000128949 +34 *2333:37 *4832:100 0.000241489 +35 *2333:37 *4866:55 1.02917e-05 +36 *2333:37 *4866:65 0.000110458 +37 *2333:37 *4939:10 0.00138346 +38 *2333:37 *4939:12 1.05485e-05 +39 *2333:37 *6027:19 0.000266422 +40 *2333:37 *6029:8 5.87274e-05 +41 *2333:37 *6029:13 2.14894e-05 +42 *2333:38 *20255:A1 0.000143032 +43 *2333:38 *20255:B1 1.2693e-05 +44 *2333:38 *20312:A1 1.35879e-05 +45 *2333:38 *21585:A 9.60334e-05 +46 *2333:38 *24044:A0 1.8025e-05 +47 *2333:38 *24047:A0 0 +48 *2333:38 *24048:A0 0.000447513 +49 *2333:38 *24335:SET_B 4.12686e-05 +50 *2333:38 *24742:RESET_B 7.09395e-05 +51 *2333:38 *24810:CLK 6.37112e-05 +52 *2333:38 *2397:72 0.00219358 +53 *2333:38 *2441:66 0.000109396 +54 *2333:38 *2813:47 1.81081e-06 +55 *2333:38 *3017:26 0 +56 *2333:38 *4805:91 3.82884e-05 +57 *2333:38 *4843:445 0 +58 *2333:38 *4844:275 0.000645619 +59 *2333:38 *4844:277 9.22013e-06 +60 *2333:38 *4866:70 0 +61 *2333:38 *4909:16 0 +62 *2333:38 *4910:83 0.000160727 +63 *2333:38 *4910:92 0.000735678 +64 *2333:38 *4910:99 0.000195124 +65 *2333:38 *4910:110 0.000359159 +66 *2333:38 *5503:9 0.000162529 +67 *2333:38 *5526:21 0.000186036 +68 *2333:38 *5526:32 0.00127859 +69 *2333:38 *5857:171 0 +70 *2333:38 *5861:458 0.00169543 +71 *2333:38 *5861:476 4.46084e-05 +72 *2333:38 *5861:482 0 +73 *2333:38 *5871:845 0.000279944 +74 *2333:38 *5871:860 4.61582e-05 +75 *2333:60 *22376:A1 0.000107019 +76 *2333:60 *2416:53 0.000179014 +77 *2333:60 *2423:93 0.000645469 +78 *2333:60 *2479:52 0.000601573 +79 *2333:60 *2503:48 0.000304557 +80 *2333:60 *2791:50 0.000874012 +81 *2333:60 *3139:192 9.18353e-05 +82 *2333:60 *3322:11 0.00020476 +83 *2333:60 *3471:162 5.83513e-05 +84 *2333:60 *4826:117 6.03122e-05 +85 *2333:60 *5857:138 0.000161446 +86 *2333:60 *5919:72 0.00236806 +87 *20260:A2 *2333:38 5.94319e-06 +88 *20260:B2 *2333:38 0.000242134 +89 *20899:A2 *2333:38 0.000149927 +90 *20899:B2 *2333:38 3.03087e-05 +91 *20904:A *2333:28 0 +92 *20905:A3 *2333:28 0.000130777 +93 *20969:A1 *2333:18 0 +94 *20969:A2 *2333:18 7.26606e-05 +95 *20970:B1 *2333:18 0 +96 *21003:A2 *2333:27 0.000164829 +97 *21003:B1 *2333:27 0.000175662 +98 *21170:A *2333:27 0.000114842 +99 *21211:B1 *2333:13 0.000206112 +100 *21272:A2 *6170:DIODE 2.95884e-05 +101 *21272:B2 *6170:DIODE 6.30846e-05 +102 *21272:B2 *2333:60 4.69495e-06 +103 *21395:B2 *2333:60 0.00257957 +104 *22712:B1 *2333:60 0.000156087 +105 *24036:S *2333:38 0.000122098 +106 *24272:D *2333:38 0.00019364 +107 *24292:D *2333:18 0 +108 *24334:D *2333:28 0.000244537 +109 *24395:D *2333:37 0.000631815 +110 *24701:D *2333:38 9.22013e-06 +111 *439:166 *2333:18 0 +112 *476:82 *2333:37 0 +113 *482:40 *2333:38 0 +114 *482:151 *2333:38 0.000493783 +115 *537:29 *2333:60 0.00292519 +116 *1419:239 *2333:60 0.0010814 +117 *1450:145 *2333:38 1.29348e-05 +118 *1450:152 *2333:38 0.00183079 +119 *1539:18 *2333:60 0.00030449 +120 *1556:16 *2333:28 0 +121 *1573:155 *2333:60 0.0001658 +122 *1899:16 *2333:38 0.000257349 +123 *1899:20 *2333:38 9.98573e-05 +124 *1901:30 *2333:38 0.000717839 +125 *1902:21 *2333:38 0.000484685 +126 *1902:36 *2333:38 0.000155835 +127 *1902:45 *2333:38 9.55791e-05 +128 *2103:46 *2333:37 4.92017e-06 +129 *2103:46 *2333:38 0 +130 *2141:14 *2333:38 5.11175e-05 +131 *2141:49 *2333:38 1.11594e-05 +132 *2164:43 *2333:18 0 +133 *2170:10 *2333:38 5.05252e-05 +134 *2170:35 *2333:38 0.000242844 +135 *2267:20 *2333:18 0.000257335 +136 *2267:21 *2333:24 0.00170005 +137 *2277:11 *2333:13 7.83311e-05 +138 *2280:6 *2333:60 7.13655e-06 +139 *2290:17 *2333:13 0.000423908 +140 *2290:18 *2333:18 0 +141 *2293:65 *6170:DIODE 0.000367813 +142 *2295:82 *2333:60 4.51757e-05 +*RES +1 *21270:Y *2333:13 48.0283 +2 *2333:13 *2333:18 36.9929 +3 *2333:18 *2333:24 33.3187 +4 *2333:24 *2333:27 45.7095 +5 *2333:27 *2333:28 50.0013 +6 *2333:28 *2333:37 41.3614 +7 *2333:37 *2333:38 201.776 +8 *2333:38 *2333:60 26.8026 +9 *2333:60 *6170:DIODE 13.8789 +10 *2333:60 *21272:A1 9.24915 +*END + +*D_NET *2334 0.0252855 +*CONN +*I *22374:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22700:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21272:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21271:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22374:B1 0.000403921 +2 *22700:A1 0.000233172 +3 *21272:B1 0.0022275 +4 *21271:Y 0.000764796 +5 *2334:17 0.00308014 +6 *2334:15 0.00323087 +7 *2334:7 0.00297228 +8 *21272:B1 *21396:A1 0.00137077 +9 *21272:B1 *22372:A 8.22619e-05 +10 *21272:B1 *22375:A1 4.66492e-05 +11 *21272:B1 *22695:A1 2.43314e-05 +12 *21272:B1 *22698:A1 0.000145887 +13 *21272:B1 *2336:21 1.37687e-05 +14 *21272:B1 *2350:57 0.000115061 +15 *21272:B1 *2375:60 0.00037681 +16 *21272:B1 *2384:59 0 +17 *21272:B1 *2456:22 7.48886e-05 +18 *21272:B1 *2534:23 3.62802e-05 +19 *21272:B1 *3120:130 1.43499e-05 +20 *21272:B1 *3124:242 0.000278126 +21 *21272:B1 *3126:42 0.00063723 +22 *21272:B1 *3126:75 0.000118347 +23 *22374:B1 *22685:C1 4.31485e-06 +24 *22374:B1 *2373:51 6.08467e-05 +25 *22374:B1 *2464:51 4.34778e-05 +26 *22374:B1 *2906:39 5.08356e-05 +27 *22374:B1 *3177:298 0.000140176 +28 *22700:A1 *22700:A2 9.95922e-06 +29 *22700:A1 *22700:B2 4.62872e-06 +30 *22700:A1 *22706:A 6.33448e-05 +31 *22700:A1 *22706:B 0.000101839 +32 *22700:A1 *22706:C 3.63593e-05 +33 *22700:A1 *3192:116 6.09065e-05 +34 *22700:A1 *3523:202 0.000155336 +35 *2334:7 *20939:B1 0.000775484 +36 *2334:7 *2421:67 0.000227177 +37 *2334:15 *20939:B1 5.62568e-05 +38 *2334:15 *22687:C1 1.91246e-05 +39 *2334:15 *2870:21 0.000599869 +40 *2334:15 *2870:40 0.000387646 +41 *2334:15 *3120:125 0.000203542 +42 *2334:15 *3301:8 1.5714e-05 +43 *2334:17 *2416:34 0.000133323 +44 *2334:17 *2464:51 0.000450002 +45 *2334:17 *3120:125 1.24546e-05 +46 *2334:17 *3120:130 0.000143056 +47 *6170:DIODE *21272:B1 7.14803e-05 +48 *19801:A2 *21272:B1 0.000120627 +49 *20939:B2 *2334:15 1.00558e-05 +50 *21262:B *21272:B1 5.82548e-05 +51 *21272:A2 *21272:B1 0.000159681 +52 *21272:B2 *21272:B1 6.08467e-05 +53 *21273:A1 *21272:B1 0.000110505 +54 *21856:A2 *21272:B1 0.000296837 +55 *1558:24 *21272:B1 1.5714e-05 +56 *1562:39 *21272:B1 5.79492e-05 +57 *1608:65 *21272:B1 0.000108457 +58 *1627:101 *21272:B1 2.62751e-05 +59 *1634:45 *21272:B1 2.45579e-05 +60 *1634:45 *2334:15 0.000750834 +61 *1634:45 *2334:17 0.00113536 +62 *1680:174 *21272:B1 0.00205994 +63 *1744:219 *21272:B1 0 +64 *2280:6 *21272:B1 1.93276e-05 +65 *2293:65 *21272:B1 0.000137586 +66 *2294:63 *22374:B1 5.40815e-05 +67 *2298:30 *22374:B1 4.0605e-06 +*RES +1 *21271:Y *2334:7 27.1894 +2 *2334:7 *2334:15 35.6798 +3 *2334:15 *2334:17 1.80916 +4 *2334:17 *21272:B1 49.5643 +5 *2334:17 *22700:A1 24.2777 +6 *2334:15 *22374:B1 25.9052 +*END + +*D_NET *2335 0.00107331 +*CONN +*I *21273:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21272:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21273:C1 0.000231656 +2 *21272:X 0.000231656 +3 *21273:C1 *3144:158 0.000305057 +4 *21273:C1 *3327:8 0.000304941 +*RES +1 *21272:X *21273:C1 33.8981 +*END + +*D_NET *2336 0.00722967 +*CONN +*I *21291:A I *D sky130_fd_sc_hd__and4_1 +*I *21273:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21291:A 0 +2 *21273:X 0.000445659 +3 *2336:21 0.00138605 +4 *2336:15 0.00183171 +5 *2336:15 *22690:B1 6.64392e-05 +6 *2336:15 *22691:C1 0.00013132 +7 *2336:15 *2354:55 9.18679e-06 +8 *2336:15 *3126:42 4.31485e-06 +9 *2336:15 *3196:185 0.000149599 +10 *2336:15 *3466:201 7.09666e-06 +11 *2336:15 *3471:195 0.00025175 +12 *2336:15 *3476:172 2.55549e-05 +13 *2336:15 *3476:184 0 +14 *2336:21 *21291:B 0.000107496 +15 *2336:21 *21291:C 0.000155586 +16 *2336:21 *21303:B1 4.96202e-06 +17 *2336:21 *21354:C 7.42702e-05 +18 *2336:21 *22690:A1 0 +19 *2336:21 *2364:8 0.000939458 +20 *2336:21 *2415:64 4.40531e-05 +21 *2336:21 *3124:242 6.32703e-05 +22 *21262:B *2336:21 0.000183125 +23 *21272:B1 *2336:21 1.37687e-05 +24 *21273:A1 *2336:15 0.000110297 +25 *21303:A2 *2336:21 0.000440168 +26 *482:86 *2336:21 0.000138911 +27 *1558:42 *2336:15 0.000111722 +28 *1573:183 *2336:21 0.000211309 +29 *1616:81 *2336:21 0 +30 *2331:29 *2336:21 0.0003226 +*RES +1 *21273:X *2336:15 33.2591 +2 *2336:15 *2336:21 43.2092 +3 *2336:21 *21291:A 9.24915 +*END + +*D_NET *2337 0.0168515 +*CONN +*I *22371:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21279:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22700:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21274:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22371:B1 0 +2 *21279:A1 0.000259406 +3 *22700:B1 0.00027528 +4 *21274:Y 0.00201728 +5 *2337:40 0.00147068 +6 *2337:22 0.00295327 +7 *21279:A1 *21279:B1 9.95542e-06 +8 *21279:A1 *22705:C1 0.000156076 +9 *21279:A1 *2342:20 9.80242e-07 +10 *22700:B1 *21279:C1 4.49767e-05 +11 *22700:B1 *22700:A2 6.1478e-06 +12 *22700:B1 *22700:B2 9.95922e-06 +13 *22700:B1 *22701:C1 9.71161e-05 +14 *22700:B1 *2342:20 0.000106718 +15 *22700:B1 *2343:32 1.00303e-05 +16 *22700:B1 *3192:116 0.000114594 +17 *22700:B1 *3523:192 4.87439e-05 +18 *22700:B1 *5890:36 1.4551e-05 +19 *2337:22 *22389:B2 4.79233e-05 +20 *2337:22 *22686:B1 0.000123795 +21 *2337:22 *2451:46 0.000309352 +22 *2337:22 *2451:48 0.000355394 +23 *2337:22 *2452:14 0.000328365 +24 *2337:22 *2493:41 5.65463e-05 +25 *2337:22 *2498:12 5.65463e-05 +26 *2337:22 *2554:21 0.00075525 +27 *2337:22 *3128:209 3.29488e-05 +28 *2337:22 *3148:100 3.11366e-05 +29 *2337:22 *3179:233 0.000844817 +30 *2337:22 *3326:15 2.06111e-05 +31 *2337:22 *4812:18 0.000678202 +32 *2337:22 *4812:38 0.00160578 +33 *2337:40 *21279:C1 1.33276e-05 +34 *2337:40 *21297:B1 8.78645e-05 +35 *2337:40 *22372:B 8.45161e-05 +36 *2337:40 *22704:B2 0.000131421 +37 *2337:40 *2352:8 1.32266e-05 +38 *2337:40 *2358:16 5.28019e-05 +39 *2337:40 *2363:45 0.000148867 +40 *2337:40 *2373:51 0.000428568 +41 *2337:40 *3326:15 7.15855e-05 +42 *2337:40 *4873:17 0.000143431 +43 *2337:40 *5890:36 0.000438576 +44 *20210:A2 *2337:22 2.16355e-05 +45 *21279:A2 *21279:A1 4.1554e-05 +46 *21302:B2 *2337:40 3.58315e-06 +47 *502:7 *2337:40 0.000536621 +48 *510:41 *2337:22 7.40684e-06 +49 *1551:154 *2337:22 0.000944286 +50 *1596:102 *2337:40 9.30864e-05 +51 *1602:161 *2337:22 0.000182877 +52 *1602:161 *2337:40 3.00277e-05 +53 *1615:16 *2337:40 0.000533811 +54 *2252:43 *2337:22 0 +*RES +1 *21274:Y *2337:22 33.0528 +2 *2337:22 *2337:40 42.7282 +3 *2337:40 *22700:B1 21.566 +4 *2337:40 *21279:A1 18.5718 +5 *2337:22 *22371:B1 13.7491 +*END + +*D_NET *2338 0.0728148 +*CONN +*I *21279:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *21776:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21920:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21472:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *21275:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *21279:B1 0.00480244 +2 *21776:C1 0.0012778 +3 *21920:C1 1.69719e-05 +4 *21472:C1 0.000632733 +5 *21275:X 0.00148029 +6 *2338:72 0.00833224 +7 *2338:53 6.77015e-05 +8 *2338:52 0.00210025 +9 *2338:41 0.0072805 +10 *2338:14 0.00509202 +11 *21279:B1 *21909:A1 0.000722185 +12 *21279:B1 *22054:A1 0.000752161 +13 *21279:B1 *22285:A1 0.000299831 +14 *21279:B1 *22701:B2 1.47978e-05 +15 *21279:B1 *22701:C1 0.000110306 +16 *21279:B1 *22705:C1 6.03896e-05 +17 *21279:B1 *2342:20 2.16355e-05 +18 *21279:B1 *2367:79 0.000230736 +19 *21279:B1 *2377:26 0.000128426 +20 *21279:B1 *2527:13 0.000925444 +21 *21279:B1 *2811:26 0.00030449 +22 *21279:B1 *2827:49 0.000539554 +23 *21279:B1 *2893:20 0.000539967 +24 *21279:B1 *3071:67 0.00010546 +25 *21279:B1 *3072:20 2.21269e-06 +26 *21279:B1 *3120:130 8.09106e-06 +27 *21279:B1 *3491:226 0.000446269 +28 *21279:B1 *3500:152 0.000170316 +29 *21279:B1 *3547:17 0.000505767 +30 *21279:B1 *4822:80 3.86256e-05 +31 *21279:B1 *5890:36 0.000121734 +32 *21279:B1 *5919:40 0.000220804 +33 *21472:C1 *21745:D 6.50727e-05 +34 *21472:C1 *22800:A1 0.000158357 +35 *21472:C1 *22800:B1 0.000487161 +36 *21472:C1 *2529:19 6.64392e-05 +37 *21776:C1 *21617:C1 0.000575206 +38 *21776:C1 *21776:B1 1.15376e-05 +39 *21776:C1 *22781:B1 3.30158e-05 +40 *21776:C1 *2791:50 0.00180953 +41 *21776:C1 *2792:27 3.08529e-05 +42 *21776:C1 *3476:98 0.000147091 +43 *21776:C1 *3527:70 0.0017219 +44 *21776:C1 *4849:7 0.00011329 +45 *21776:C1 *4849:23 0.000249762 +46 *21776:C1 *4850:17 0.000156955 +47 *21920:C1 *2933:81 5.88657e-05 +48 *2338:14 *21947:D 0.000697401 +49 *2338:14 *2586:59 0.000205021 +50 *2338:14 *3208:9 4.801e-05 +51 *2338:41 *21744:B 1.29348e-05 +52 *2338:41 *22005:C1 7.00275e-05 +53 *2338:41 *2586:59 2.13165e-05 +54 *2338:41 *2675:68 0.000175979 +55 *2338:41 *2751:8 0.000200317 +56 *2338:41 *2763:20 0.0005145 +57 *2338:41 *2865:32 0.000645587 +58 *2338:41 *3063:29 3.62802e-05 +59 *2338:41 *3071:26 1.70059e-05 +60 *2338:41 *3206:88 0.000216164 +61 *2338:41 *4819:72 1.22858e-05 +62 *2338:52 *21920:A1 2.16355e-05 +63 *2338:52 *21987:A1 0.00146027 +64 *2338:52 *21994:D 0.00015615 +65 *2338:52 *22442:A1 1.5714e-05 +66 *2338:52 *2407:22 0.000433906 +67 *2338:52 *2932:61 1.5714e-05 +68 *2338:52 *2933:81 0.000127188 +69 *2338:52 *3001:22 0.000650246 +70 *2338:52 *3023:41 0.000960891 +71 *2338:52 *3139:75 8.20799e-06 +72 *2338:72 *21909:A1 0.000351449 +73 *2338:72 *22005:A1 4.1236e-05 +74 *2338:72 *22005:C1 1.93367e-05 +75 *2338:72 *22054:A1 0.00402926 +76 *2338:72 *2667:93 0.0015597 +77 *2338:72 *3019:23 2.66623e-05 +78 *2338:72 *3509:175 3.17436e-05 +79 *2338:72 *3517:36 0.000407424 +80 *2338:72 *3517:151 0.00063732 +81 *2338:72 *5454:15 5.83513e-05 +82 *2338:72 *5592:70 0.000240185 +83 *19787:B *2338:14 0.000227445 +84 *19787:B *2338:41 0 +85 *19962:A1 *2338:14 0.000107496 +86 *19962:A2 *2338:14 4.97617e-05 +87 *19962:B1 *2338:14 1.75155e-06 +88 *19971:A2 *2338:52 0.000763746 +89 *19971:C1 *2338:52 2.38934e-06 +90 *19980:B1 *2338:41 5.60804e-05 +91 *19981:B *2338:14 2.65831e-05 +92 *21229:B1 *21279:B1 0.000501338 +93 *21236:D *21279:B1 0.00110064 +94 *21273:B2 *21279:B1 2.05082e-05 +95 *21275:A *2338:14 1.58551e-05 +96 *21279:A1 *21279:B1 9.95542e-06 +97 *21279:A2 *21279:B1 3.41459e-05 +98 *21309:B2 *21279:B1 0.00128067 +99 *21472:A2 *21472:C1 6.1478e-06 +100 *21472:B1 *21472:C1 4.31603e-06 +101 *21759:A2 *21279:B1 4.45229e-05 +102 *21814:A2 *21279:B1 0.00117627 +103 *21920:B2 *21920:C1 6.08467e-05 +104 *21920:B2 *2338:52 7.92757e-06 +105 *22728:A1 *21472:C1 5.99529e-06 +106 *22728:B1 *2338:41 6.23101e-05 +107 *324:27 *21279:B1 1.13939e-05 +108 *522:17 *2338:41 0.00122559 +109 *522:17 *2338:72 0.00045416 +110 *1443:49 *2338:41 0 +111 *1443:60 *2338:72 3.58081e-05 +112 *1454:104 *21776:C1 8.00582e-05 +113 *1461:195 *2338:14 5.9126e-05 +114 *1541:41 *2338:14 3.99086e-06 +115 *1544:151 *21776:C1 0.000339937 +116 *1576:59 *2338:72 0.000842484 +117 *1577:97 *21279:B1 0.000109457 +118 *1584:49 *21279:B1 0.000214339 +119 *1592:115 *21279:B1 0.00191101 +120 *1610:134 *21279:B1 0.000178565 +121 *1625:83 *21776:C1 3.0013e-05 +122 *1634:45 *21279:B1 0.000212407 +123 *1636:82 *2338:41 0.00134767 +124 *1636:82 *2338:72 0.000325396 +125 *1637:42 *2338:41 9.57101e-06 +126 *1645:49 *2338:41 0.000639109 +127 *1650:14 *2338:14 0.00020652 +128 *1650:14 *2338:41 0.000368096 +129 *1658:43 *21776:C1 7.67318e-05 +130 *1671:102 *21472:C1 5.51483e-06 +131 *1671:104 *21472:C1 0.000858714 +132 *1671:126 *21472:C1 0.000111708 +133 *1711:87 *2338:14 4.91225e-06 +134 *1717:5 *2338:14 9.80242e-07 +135 *1717:133 *21279:B1 0.000233634 +136 *1718:5 *2338:14 5.01531e-05 +137 *1794:118 *2338:52 7.98171e-06 +138 *1798:17 *2338:52 0.000130464 +*RES +1 *21275:X *2338:14 43.6809 +2 *2338:14 *21472:C1 32.0993 +3 *2338:14 *2338:41 21.1215 +4 *2338:41 *2338:52 27.7874 +5 *2338:52 *2338:53 57.9449 +6 *2338:53 *21920:C1 19.2217 +7 *2338:41 *2338:72 11.9814 +8 *2338:72 *21776:C1 49.1477 +9 *2338:72 *21279:B1 43.0887 +*END + +*D_NET *2339 0.0245794 +*CONN +*I *21278:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22701:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22361:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21276:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21278:A1 4.93257e-05 +2 *22701:B1 0.000539928 +3 *22361:A1 0 +4 *21276:Y 4.75987e-05 +5 *2339:21 0.00140138 +6 *2339:8 0.00506717 +7 *2339:7 0.00430264 +8 *22701:B1 *2377:13 0 +9 *22701:B1 *2377:26 0.000618424 +10 *2339:7 *21276:A 5.04829e-06 +11 *2339:8 *20428:A1 5.99717e-05 +12 *2339:8 *20542:A1 0.000101133 +13 *2339:8 *21289:B1 2.13699e-05 +14 *2339:8 *22295:A1 0.000221601 +15 *2339:8 *22368:A1 0.000715905 +16 *2339:8 *22369:C1 0 +17 *2339:8 *2350:38 4.91524e-05 +18 *2339:8 *2358:8 0.00110299 +19 *2339:8 *2358:16 1.02788e-05 +20 *2339:8 *2369:24 2.87219e-05 +21 *2339:8 *2374:26 6.51297e-05 +22 *2339:8 *2374:34 0.000458008 +23 *2339:8 *2375:28 0 +24 *2339:8 *2377:13 0.000142913 +25 *2339:8 *2729:38 0.000115011 +26 *2339:8 *2869:18 0.00137475 +27 *2339:8 *3131:206 7.14746e-05 +28 *2339:8 *4824:84 0.000137421 +29 *2339:8 *4825:28 4.3116e-06 +30 *2339:8 *4843:202 0.000628336 +31 *2339:8 *4844:108 9.93675e-05 +32 *2339:8 *4844:116 0.000843985 +33 *2339:8 *4844:130 0.000107038 +34 *2339:8 *5888:8 0 +35 *2339:21 *2377:13 5.58956e-05 +36 *21199:A1 *2339:8 0.000131406 +37 *21278:A2 *21278:A1 0.00011818 +38 *21278:A2 *2339:21 0.000149721 +39 *22362:B1 *2339:21 5.92342e-05 +40 *24461:D *2339:8 0.000120605 +41 *24617:D *2339:8 0.000114679 +42 *24624:D *2339:8 0.00015324 +43 *1591:39 *21278:A1 1.92172e-05 +44 *1631:90 *2339:8 7.01586e-06 +45 *1655:199 *21278:A1 6.08467e-05 +46 *1655:199 *22701:B1 8.71956e-05 +47 *1725:181 *2339:8 4.69495e-06 +48 *1725:192 *2339:8 9.14751e-06 +49 *1771:151 *2339:8 0.000430955 +50 *1771:167 *2339:8 0.000372091 +51 *1789:28 *2339:8 0.00133741 +52 *1790:144 *22701:B1 3.3239e-06 +53 *1790:144 *2339:21 1.50389e-06 +54 *1790:158 *2339:21 0.000518168 +55 *1958:31 *2339:8 0.000489229 +56 *2030:39 *2339:8 0.000712149 +57 *2287:9 *2339:7 1.19856e-05 +58 *2331:29 *22701:B1 0.000767324 +59 *2331:29 *2339:21 0.0004538 +*RES +1 *21276:Y *2339:7 14.4725 +2 *2339:7 *2339:8 152.153 +3 *2339:8 *22361:A1 13.7491 +4 *2339:8 *2339:21 21.7397 +5 *2339:21 *22701:B1 30.115 +6 *2339:21 *21278:A1 15.5817 +*END + +*D_NET *2340 0.0155764 +*CONN +*I *22363:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22702:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21278:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21277:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22363:A1 0.000189833 +2 *22702:B1 0 +3 *21278:B1 0.000127202 +4 *21277:Y 0.00103584 +5 *2340:32 0.00119087 +6 *2340:24 0.00241379 +7 *2340:15 0.00219613 +8 *21278:B1 *22702:B2 4.30801e-05 +9 *21278:B1 *2343:32 8.34464e-05 +10 *21278:B1 *2358:16 4.42921e-05 +11 *21278:B1 *3531:211 9.01968e-05 +12 *22363:A1 *21607:A 0.000132899 +13 *22363:A1 *22363:A2 2.02035e-05 +14 *22363:A1 *2488:14 0.000118854 +15 *22363:A1 *3162:168 0.000107775 +16 *22363:A1 *5853:178 0.000347214 +17 *2340:15 *20438:A1 0.000258128 +18 *2340:15 *2343:25 0.000127241 +19 *2340:15 *2348:8 0.00111913 +20 *2340:15 *2764:29 1.2894e-05 +21 *2340:15 *3106:235 1.66771e-05 +22 *2340:15 *3134:214 4.58003e-05 +23 *2340:15 *4823:12 0.000321918 +24 *2340:15 *5086:24 3.82228e-05 +25 *2340:15 *5225:9 1.65872e-05 +26 *2340:24 *2343:25 5.48853e-05 +27 *2340:24 *2348:15 0 +28 *2340:24 *2350:20 5.05252e-05 +29 *2340:24 *2352:8 1.69005e-05 +30 *2340:24 *2374:26 7.62928e-05 +31 *2340:24 *2374:34 1.72799e-05 +32 *2340:24 *2374:48 4.76961e-05 +33 *2340:24 *2375:28 0.000154952 +34 *2340:24 *2375:36 2.02035e-05 +35 *2340:24 *2416:16 4.60375e-07 +36 *2340:24 *2635:20 1.61653e-05 +37 *2340:24 *3166:155 0.00017756 +38 *2340:24 *3166:159 0.00011795 +39 *2340:24 *4823:24 0.000235173 +40 *2340:32 *22702:B2 6.14756e-06 +41 *2340:32 *2348:15 0.000250136 +42 *2340:32 *2352:8 7.5909e-06 +43 *2340:32 *2358:16 6.24342e-05 +44 *2340:32 *2373:32 0.0002216 +45 *2340:32 *2374:48 8.63325e-05 +46 *2340:32 *3162:167 0.000686703 +47 *20438:B2 *2340:15 8.01355e-05 +48 *21085:A *2340:24 0.000247007 +49 *21308:B2 *2340:15 1.66626e-05 +50 *1418:181 *2340:15 0 +51 *1620:113 *2340:24 3.43627e-05 +52 *1658:99 *2340:24 0.000968895 +53 *1725:181 *2340:24 0.000359562 +54 *1800:128 *2340:32 7.90974e-05 +55 *1800:151 *2340:24 0.000170237 +56 *1800:171 *2340:24 0.000193303 +57 *2293:38 *2340:24 0.000348979 +58 *2331:8 *2340:24 0.000672943 +*RES +1 *21277:Y *2340:15 47.7705 +2 *2340:15 *2340:24 39.0907 +3 *2340:24 *2340:32 30.9785 +4 *2340:32 *21278:B1 17.6574 +5 *2340:32 *22702:B1 13.7491 +6 *2340:24 *22363:A1 29.4627 +*END + +*D_NET *2341 0.00274945 +*CONN +*I *21279:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *21278:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21279:C1 0.000662669 +2 *21278:X 0.000662669 +3 *21279:C1 *21297:B1 0.000239944 +4 *21279:C1 *22705:C1 0.00024853 +5 *21279:C1 *2343:32 9.91904e-05 +6 *21279:C1 *2358:16 0.00041482 +7 *21278:B2 *21279:C1 0.000160617 +8 *22700:B1 *21279:C1 4.49767e-05 +9 *1615:16 *21279:C1 7.10185e-05 +10 *1617:33 *21279:C1 0.000131689 +11 *2337:40 *21279:C1 1.33276e-05 +*RES +1 *21278:X *21279:C1 44.8662 +*END + +*D_NET *2342 0.00396296 +*CONN +*I *21291:B I *D sky130_fd_sc_hd__and4_1 +*I *21279:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *21291:B 0.000227331 +2 *21279:X 0.000941276 +3 *2342:20 0.00116861 +4 *21291:B *21291:C 1.65872e-05 +5 *2342:20 *22380:A1 8.62625e-06 +6 *2342:20 *2343:32 0.000242607 +7 *2342:20 *2350:48 7.12788e-06 +8 *2342:20 *3153:177 0.000111802 +9 *2342:20 *3337:14 0.000179732 +10 *2342:20 *5890:36 0.000392156 +11 *21279:A1 *2342:20 9.80242e-07 +12 *21279:A2 *2342:20 6.60463e-05 +13 *21279:B1 *2342:20 2.16355e-05 +14 *21283:B2 *2342:20 2.02035e-05 +15 *21284:A2 *21291:B 2.77625e-06 +16 *21284:A2 *2342:20 4.49767e-05 +17 *22700:B1 *2342:20 0.000106718 +18 *1679:68 *2342:20 0.000188774 +19 *1802:154 *21291:B 0.000107496 +20 *2336:21 *21291:B 0.000107496 +*RES +1 *21279:X *2342:20 49.8794 +2 *2342:20 *21291:B 12.7456 +*END + +*D_NET *2343 0.0133186 +*CONN +*I *21284:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22380:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21280:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21284:A1 0.000101965 +2 *22380:B1 0.000181808 +3 *21280:Y 0.00187013 +4 *2343:32 0.00148503 +5 *2343:25 0.0030714 +6 *21284:A1 *22380:A1 7.5301e-06 +7 *21284:A1 *2344:21 6.98337e-06 +8 *21284:A1 *3122:153 3.03403e-05 +9 *22380:B1 *21284:C1 6.80097e-05 +10 *22380:B1 *22358:A2 2.06111e-05 +11 *22380:B1 *2344:21 9.54357e-06 +12 *22380:B1 *2888:28 1.41138e-05 +13 *22380:B1 *3122:153 0.000107496 +14 *22380:B1 *3238:16 0.000110473 +15 *2343:25 *2347:44 0 +16 *2343:25 *2348:8 2.86133e-05 +17 *2343:25 *2374:26 9.14772e-05 +18 *2343:25 *2375:28 1.30724e-05 +19 *2343:25 *2404:25 0.00105774 +20 *2343:25 *3106:235 8.11463e-06 +21 *2343:25 *3134:214 8.27688e-05 +22 *2343:25 *4822:80 0.00132238 +23 *2343:25 *4873:17 2.37537e-05 +24 *2343:32 *21284:C1 8.23984e-05 +25 *2343:32 *22699:C1 0.000492131 +26 *2343:32 *22702:B2 0.000239753 +27 *2343:32 *22705:C1 0.000251941 +28 *2343:32 *2358:16 4.83562e-06 +29 *2343:32 *3511:192 4.69495e-06 +30 *2343:32 *3519:250 4.15661e-05 +31 *2343:32 *3533:143 0.000134727 +32 *21085:A *2343:25 0.000106259 +33 *21278:B1 *2343:32 8.34464e-05 +34 *21279:C1 *2343:32 9.91904e-05 +35 *21284:A2 *21284:A1 0.000107496 +36 *22700:B1 *2343:32 1.00303e-05 +37 *500:13 *2343:25 5.60804e-05 +38 *1418:181 *2343:25 8.62625e-06 +39 *1615:16 *2343:32 7.4601e-05 +40 *1617:33 *2343:32 0.0001351 +41 *1658:99 *2343:25 1.95484e-05 +42 *1679:68 *22380:B1 1.99833e-05 +43 *1679:68 *2343:32 0.000491775 +44 *1708:104 *2343:25 0.000418574 +45 *1742:93 *2343:25 6.21462e-05 +46 *1800:126 *22380:B1 2.77564e-05 +47 *1800:126 *2343:32 1.94289e-05 +48 *1800:128 *2343:32 0.000124658 +49 *1802:154 *21284:A1 6.37186e-05 +50 *2340:15 *2343:25 0.000127241 +51 *2340:24 *2343:25 5.48853e-05 +52 *2342:20 *2343:32 0.000242607 +*RES +1 *21280:Y *2343:25 37.3302 +2 *2343:25 *2343:32 39.4178 +3 *2343:32 *22380:B1 23.4354 +4 *2343:32 *21284:A1 12.7938 +*END + +*D_NET *2344 0.0170049 +*CONN +*I *22698:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21284:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22380:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21281:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22698:B1 0.000134714 +2 *21284:B1 1.55939e-05 +3 *22380:A1 0.000185693 +4 *21281:Y 0.000926356 +5 *2344:21 0.000316156 +6 *2344:18 0.000421425 +7 *2344:15 0.00308061 +8 *2344:11 0.00383512 +9 *22380:A1 *3337:14 2.1297e-05 +10 *22698:B1 *2508:11 3.69743e-05 +11 *22698:B1 *2881:51 2.27118e-06 +12 *22698:B1 *2888:28 6.1578e-06 +13 *22698:B1 *2888:41 5.26705e-05 +14 *22698:B1 *3238:44 0.000194099 +15 *2344:11 *21482:B1 0.000125569 +16 *2344:11 *21759:C1 0.000254551 +17 *2344:11 *22465:A 5.09367e-05 +18 *2344:11 *22730:A2 6.28634e-05 +19 *2344:11 *22766:A1 6.3657e-05 +20 *2344:11 *22777:A1 0.000154145 +21 *2344:11 *22777:B1 0.000492093 +22 *2344:11 *2538:13 3.48014e-05 +23 *2344:11 *2883:47 0.000831009 +24 *2344:11 *3493:137 0.000345048 +25 *2344:11 *3493:146 0.00101751 +26 *2344:11 *3671:20 6.08467e-05 +27 *2344:15 *21512:B1 4.2372e-05 +28 *2344:15 *2539:10 6.51527e-05 +29 *2344:15 *3120:143 0.000343543 +30 *2344:15 *3120:163 0.000361086 +31 *2344:15 *3493:48 0.000250254 +32 *2344:18 *2888:28 5.93547e-06 +33 *2344:18 *3581:29 0.000216823 +34 *2344:21 *21284:C1 6.3657e-05 +35 *2344:21 *3122:153 4.78069e-06 +36 *2344:21 *3238:21 5.88657e-05 +37 *2344:21 *3238:44 6.17618e-05 +38 *2344:21 *3581:25 6.08467e-05 +39 *6079:DIODE *2344:15 8.29595e-05 +40 *21284:A1 *22380:A1 7.5301e-06 +41 *21284:A1 *2344:21 6.98337e-06 +42 *22380:B1 *2344:21 9.54357e-06 +43 *22730:A1 *2344:11 0.000724387 +44 *22730:B2 *2344:11 0.000265919 +45 *1575:81 *2344:15 0.000129369 +46 *1616:195 *2344:15 0.000157876 +47 *1617:50 *2344:15 0.000200794 +48 *1679:68 *22380:A1 0.000103044 +49 *1800:119 *22698:B1 4.07765e-05 +50 *1800:119 *2344:18 0.000238641 +51 *1800:119 *2344:21 3.84269e-05 +52 *1802:154 *21284:B1 3.41459e-05 +53 *1802:154 *22380:A1 7.85573e-05 +54 *2093:151 *2344:15 7.98171e-06 +55 *2093:153 *2344:15 2.35078e-05 +56 *2093:201 *2344:11 0.000523458 +57 *2117:143 *2344:15 6.51527e-05 +58 *2342:20 *22380:A1 8.62625e-06 +*RES +1 *21281:Y *2344:11 49.6055 +2 *2344:11 *2344:15 48.2354 +3 *2344:15 *2344:18 9.65401 +4 *2344:18 *2344:21 7.99641 +5 *2344:21 *22380:A1 22.3531 +6 *2344:21 *21284:B1 9.82786 +7 *2344:18 *22698:B1 18.0727 +*END + +*D_NET *2345 0.000889313 +*CONN +*I *21284:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21283:Y O *D sky130_fd_sc_hd__a22oi_1 +*CAP +1 *21284:C1 0.000237487 +2 *21283:Y 0.000237487 +3 *21284:C1 *3511:205 0.000156955 +4 *22380:B1 *21284:C1 6.80097e-05 +5 *1679:68 *21284:C1 1.37385e-05 +6 *1800:126 *21284:C1 2.95809e-05 +7 *2343:32 *21284:C1 8.23984e-05 +8 *2344:21 *21284:C1 6.3657e-05 +*RES +1 *21283:Y *21284:C1 32.7168 +*END + +*D_NET *2346 0.000392386 +*CONN +*I *21291:C I *D sky130_fd_sc_hd__and4_1 +*I *21284:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21291:C 7.20003e-05 +2 *21284:X 7.20003e-05 +3 *21284:A2 *21291:C 7.62114e-05 +4 *21291:B *21291:C 1.65872e-05 +5 *2336:21 *21291:C 0.000155586 +*RES +1 *21284:X *21291:C 21.416 +*END + +*D_NET *2347 0.0300358 +*CONN +*I *21290:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22703:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22366:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21285:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21290:A1 0.000294362 +2 *22703:B1 0.000246837 +3 *22366:B1 0.000360857 +4 *21285:Y 0.000707779 +5 *2347:44 0.00217002 +6 *2347:36 0.005451 +7 *2347:29 0.00520605 +8 *2347:26 0.00239746 +9 *2347:14 0.00149349 +10 *21290:A1 *21289:B1 3.31745e-05 +11 *21290:A1 *21290:C1 7.24304e-05 +12 *21290:A1 *2738:13 6.05809e-05 +13 *21290:A1 *5859:132 5.76913e-05 +14 *22366:B1 *22367:C1 3.82228e-05 +15 *22366:B1 *2374:48 5.49916e-05 +16 *22366:B1 *2508:11 1.09101e-05 +17 *22366:B1 *3114:251 0.000845071 +18 *22366:B1 *3177:329 1.65872e-05 +19 *22366:B1 *3179:243 8.51781e-05 +20 *22703:B1 *22703:A2 6.08467e-05 +21 *22703:B1 *22703:B2 6.08467e-05 +22 *22703:B1 *2350:40 0.000179045 +23 *22703:B1 *2375:36 6.03216e-05 +24 *22703:B1 *2375:60 0.000118724 +25 *22703:B1 *3114:251 5.60804e-05 +26 *22703:B1 *3529:212 5.97576e-05 +27 *2347:14 *21196:A 2.19276e-05 +28 *2347:14 *4888:69 2.16355e-05 +29 *2347:14 *5866:287 6.08467e-05 +30 *2347:26 *19896:A 0.000111708 +31 *2347:26 *24542:SET_B 0.000194893 +32 *2347:26 *2566:25 0.000436772 +33 *2347:26 *2737:15 0.000181964 +34 *2347:26 *2984:8 0.000440354 +35 *2347:26 *4126:54 4.83806e-05 +36 *2347:26 *4822:50 5.47736e-05 +37 *2347:26 *5250:27 0.000207266 +38 *2347:26 *5250:32 0.000138827 +39 *2347:29 *2996:38 0.000200794 +40 *2347:29 *4840:127 0.00129802 +41 *2347:36 *2358:8 0.000102533 +42 *2347:36 *2375:12 0.000900198 +43 *2347:36 *2375:28 0.000122085 +44 *2347:36 *5867:514 0.000110411 +45 *2347:44 *2375:28 4.45229e-05 +46 *2347:44 *2404:25 1.68738e-05 +47 *20441:B2 *2347:36 0.000108602 +48 *20539:A *2347:14 2.21765e-05 +49 *21289:B2 *21290:A1 0.000110306 +50 *1610:155 *2347:44 0.0010301 +51 *1620:153 *2347:36 2.75427e-05 +52 *1654:10 *2347:26 0.000111708 +53 *1656:12 *2347:26 0.000143032 +54 *1658:99 *2347:44 1.95484e-05 +55 *1663:27 *22366:B1 0.000853904 +56 *1663:27 *22703:B1 2.70402e-05 +57 *1708:104 *2347:36 0 +58 *1725:205 *2347:36 0.000106023 +59 *1770:8 *2347:14 0.000105245 +60 *1799:10 *2347:14 0.000981548 +61 *1966:6 *2347:14 9.60216e-05 +62 *1992:6 *2347:14 6.81008e-05 +63 *1992:14 *2347:14 9.14201e-05 +64 *2019:53 *2347:29 0.000446314 +65 *2263:8 *2347:14 0.000104731 +66 *2266:13 *21290:A1 1.9101e-05 +67 *2331:8 *21290:A1 4.69495e-06 +68 *2331:8 *2347:36 0.000645568 +69 *2343:25 *2347:44 0 +*RES +1 *21285:Y *2347:14 43.3014 +2 *2347:14 *2347:26 45.2837 +3 *2347:26 *2347:29 44.6003 +4 *2347:29 *2347:36 27.5443 +5 *2347:36 *2347:44 4.79751 +6 *2347:44 *22366:B1 21.4192 +7 *2347:44 *22703:B1 23.7333 +8 *2347:36 *21290:A1 22.4464 +*END + +*D_NET *2348 0.0381436 +*CONN +*I *21290:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22366:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22695:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21286:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21290:B1 0 +2 *22366:A1 0 +3 *22695:B1 0.00342945 +4 *21286:Y 7.47734e-05 +5 *2348:15 0.00463093 +6 *2348:8 0.00367201 +7 *2348:7 0.0025453 +8 *22695:B1 *22617:A 5.8518e-05 +9 *22695:B1 *22622:B1 0.000523313 +10 *22695:B1 *2374:48 1.91391e-05 +11 *22695:B1 *2534:44 0.00269849 +12 *22695:B1 *2777:80 2.05578e-05 +13 *22695:B1 *2794:34 0.000121187 +14 *22695:B1 *2881:51 0.000516695 +15 *22695:B1 *3044:23 0.000798663 +16 *22695:B1 *3050:41 0.000390192 +17 *22695:B1 *3128:209 0.00012883 +18 *22695:B1 *3139:192 0.000815356 +19 *22695:B1 *3179:233 0.000192906 +20 *22695:B1 *3278:17 0.00125202 +21 *22695:B1 *3502:169 0.000137746 +22 *2348:7 *4845:112 0.000113968 +23 *2348:8 *21290:C1 1.12969e-05 +24 *2348:8 *2358:14 0.000846893 +25 *2348:8 *2358:16 0.000456814 +26 *2348:8 *2869:18 0.000392289 +27 *2348:8 *2881:17 0.00156397 +28 *2348:8 *3134:214 7.00663e-05 +29 *2348:8 *4823:12 0.000273174 +30 *2348:8 *4844:126 0.00148418 +31 *2348:8 *4844:128 7.45404e-05 +32 *2348:8 *4844:130 0.0018193 +33 *2348:8 *4844:137 0.000162483 +34 *2348:8 *5250:32 0.000253826 +35 *2348:15 *21290:C1 3.5534e-06 +36 *2348:15 *22367:C1 4.94979e-05 +37 *2348:15 *2358:16 0.00142525 +38 *2348:15 *2375:28 3.08255e-05 +39 *2348:15 *2375:36 8.6837e-05 +40 *2348:15 *3148:106 2.02957e-05 +41 *2348:15 *3168:193 0.000219441 +42 *2348:15 *3168:198 0.000157355 +43 *2348:15 *3177:327 0.000258838 +44 *20438:B2 *2348:8 2.19131e-05 +45 *20666:B2 *2348:8 5.92342e-05 +46 *21085:A *2348:8 1.69777e-05 +47 *24392:D *2348:8 0.00012568 +48 *1614:104 *22695:B1 4.95391e-05 +49 *1635:47 *22695:B1 5.49916e-05 +50 *1663:27 *22695:B1 0.000593114 +51 *1708:104 *22695:B1 0.00216097 +52 *1744:219 *22695:B1 2.9077e-05 +53 *1771:140 *2348:15 3.30161e-05 +54 *1789:40 *2348:8 0.000197882 +55 *1790:76 *22695:B1 0.000220926 +56 *1800:95 *22695:B1 1.80692e-05 +57 *1800:128 *22695:B1 1.91391e-05 +58 *1800:128 *2348:15 0 +59 *1958:31 *2348:8 9.57557e-06 +60 *2031:8 *2348:8 0.000572631 +61 *2031:18 *2348:8 0.000542082 +62 *2295:82 *22695:B1 0.000220099 +63 *2340:15 *2348:8 0.00111913 +64 *2340:24 *2348:15 0 +65 *2340:32 *2348:15 0.000250136 +66 *2343:25 *2348:8 2.86133e-05 +*RES +1 *21286:Y *2348:7 15.0271 +2 *2348:7 *2348:8 108.552 +3 *2348:8 *2348:15 38.0843 +4 *2348:15 *22695:B1 45.9363 +5 *2348:15 *22366:A1 9.24915 +6 *2348:8 *21290:B1 13.7491 +*END + +*D_NET *2349 0.0175952 +*CONN +*I *22369:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21289:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21287:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22369:A1 0 +2 *21289:A1 0.000272734 +3 *21287:Y 0.00026924 +4 *2349:15 0.000369946 +5 *2349:12 0.00191887 +6 *2349:10 0.00281613 +7 *2349:7 0.00126372 +8 *21289:A1 *4823:24 0.000300818 +9 *2349:7 *24954:A 0.000411006 +10 *2349:10 *20780:B1 0 +11 *2349:10 *2362:8 0.000284329 +12 *2349:10 *4826:28 0.000435638 +13 *2349:10 *4843:181 0.000374538 +14 *2349:10 *4843:183 0.000215623 +15 *2349:10 *4843:185 0.000218679 +16 *2349:12 *21361:A 0.000184978 +17 *2349:12 *21430:C1 3.63593e-05 +18 *2349:12 *2362:8 0.00356691 +19 *2349:12 *2362:20 0.00066212 +20 *2349:12 *2380:16 0.00189706 +21 *2349:12 *2380:20 8.36973e-06 +22 *2349:12 *2488:14 4.71241e-06 +23 *2349:12 *4843:185 8.87683e-07 +24 *2349:12 *4843:189 0.000471141 +25 *2349:12 *4843:202 0.000106981 +26 *2349:12 *4872:17 0 +27 *2349:12 *5224:6 0.000122098 +28 *2349:15 *22369:A2 0.000300565 +29 *2349:15 *5086:24 4.33655e-05 +30 *21199:B1 *2349:15 8.64186e-05 +31 *21199:C1 *2349:12 2.68899e-05 +32 *21199:C1 *2349:15 0.000404561 +33 *24393:D *2349:10 0.000148144 +34 *1608:148 *21289:A1 2.65831e-05 +35 *1620:124 *21289:A1 0.000297393 +36 *1620:141 *2349:12 1.66088e-05 +37 *1620:143 *2349:12 1.5254e-05 +38 *1627:202 *2349:12 1.65078e-05 +39 *2264:16 *2349:12 0 +*RES +1 *21287:Y *2349:7 18.3548 +2 *2349:7 *2349:10 35.1132 +3 *2349:10 *2349:12 77.6644 +4 *2349:12 *2349:15 10.2148 +5 *2349:15 *21289:A1 25.7876 +6 *2349:15 *22369:A1 9.24915 +*END + +*D_NET *2350 0.0319121 +*CONN +*I *21289:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22375:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22695:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21288:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21289:B1 0.000114112 +2 *22375:A1 0.000388815 +3 *22695:A1 0.000194069 +4 *21288:Y 0.000413243 +5 *2350:57 0.00159436 +6 *2350:48 0.00189212 +7 *2350:40 0.00238524 +8 *2350:38 0.00215791 +9 *2350:30 0.00144319 +10 *2350:20 0.00167664 +11 *2350:13 0.00141412 +12 *21289:B1 *21290:C1 2.16355e-05 +13 *21289:B1 *2352:7 1.58551e-05 +14 *22375:A1 *22707:D 0.000109252 +15 *22375:A1 *3179:212 0.00011393 +16 *22375:A1 *3637:18 0.00011393 +17 *22695:A1 *2631:38 0.000103246 +18 *22695:A1 *3050:41 0.000261032 +19 *22695:A1 *3502:157 0.000258222 +20 *2350:13 *24951:A 8.09561e-05 +21 *2350:13 *2738:13 5.04829e-06 +22 *2350:13 *2846:16 0.000270399 +23 *2350:13 *3220:12 0.000678064 +24 *2350:13 *4869:23 4.37376e-05 +25 *2350:20 *19851:A 0.000332909 +26 *2350:20 *24951:A 0.000833999 +27 *2350:20 *2374:26 5.41227e-05 +28 *2350:20 *3134:214 0.00192543 +29 *2350:20 *3220:12 1.15389e-05 +30 *2350:20 *5086:24 0.000198857 +31 *2350:30 *21290:C1 0.000112702 +32 *2350:30 *2358:16 0.000308562 +33 *2350:30 *3134:214 0.000200794 +34 *2350:38 *22362:B2 0.000109583 +35 *2350:38 *2373:32 0.000311444 +36 *2350:38 *2374:26 7.22263e-05 +37 *2350:38 *2374:34 0.000241712 +38 *2350:40 *22362:A1 2.22095e-05 +39 *2350:40 *22699:A1 7.56251e-05 +40 *2350:40 *22703:A2 2.01503e-05 +41 *2350:40 *22704:A1 0.000119122 +42 *2350:40 *22706:A 0.000276452 +43 *2350:40 *2352:8 6.75121e-06 +44 *2350:40 *2364:8 0.000165807 +45 *2350:40 *2373:32 0.000138108 +46 *2350:40 *2375:36 0.000124374 +47 *2350:40 *2375:60 0.00023677 +48 *2350:40 *3523:202 0.000284228 +49 *2350:48 *21283:A1 4.12833e-05 +50 *2350:48 *2377:26 0.00104968 +51 *2350:48 *3190:185 0.000156955 +52 *2350:48 *3337:14 5.17561e-05 +53 *2350:48 *3507:227 0.000154145 +54 *2350:48 *5457:13 1.91391e-05 +55 *2350:48 *5890:36 4.18527e-05 +56 *2350:57 *21328:A 6.22259e-05 +57 *2350:57 *2367:79 4.04447e-05 +58 *20434:B *2350:13 3.82228e-05 +59 *21199:B1 *2350:30 6.13538e-05 +60 *21199:C1 *2350:30 6.72373e-06 +61 *21262:B *2350:57 1.80225e-05 +62 *21272:B1 *22375:A1 4.66492e-05 +63 *21272:B1 *22695:A1 2.43314e-05 +64 *21272:B1 *2350:57 0.000115061 +65 *21289:B2 *21289:B1 6.08467e-05 +66 *21289:B2 *2350:38 6.48007e-05 +67 *21290:A1 *21289:B1 3.31745e-05 +68 *21290:A2 *2350:30 1.21461e-06 +69 *21396:A2 *2350:57 1.22858e-05 +70 *22362:B1 *2350:38 2.99358e-05 +71 *22362:B1 *2350:40 1.23804e-05 +72 *22703:B1 *2350:40 0.000179045 +73 *719:16 *2350:20 0.00020502 +74 *1562:21 *22375:A1 0.000160396 +75 *1562:39 *22375:A1 0.000107496 +76 *1562:39 *22695:A1 5.1493e-06 +77 *1562:39 *2350:57 0.000160617 +78 *1627:79 *2350:57 0.000238637 +79 *1725:192 *21289:B1 6.3609e-05 +80 *1725:192 *2350:38 0.000105599 +81 *1729:19 *2350:13 0.000273824 +82 *1771:151 *2350:30 0.000310075 +83 *1802:143 *2350:57 0.00024812 +84 *1802:154 *2350:48 0.000882711 +85 *1802:154 *2350:57 0.000798842 +86 *2266:13 *2350:38 1.67435e-05 +87 *2280:6 *22695:A1 0.000179584 +88 *2280:6 *2350:57 7.72394e-06 +89 *2293:13 *2350:20 0.000544575 +90 *2293:22 *2350:38 5.46889e-05 +91 *2293:65 *22375:A1 9.82896e-06 +92 *2293:65 *22695:A1 4.42987e-06 +93 *2331:29 *2350:40 0.00219474 +94 *2331:29 *2350:48 5.42543e-05 +95 *2331:29 *2350:57 0.000903089 +96 *2339:8 *21289:B1 2.13699e-05 +97 *2339:8 *2350:38 4.91524e-05 +98 *2340:24 *2350:20 5.05252e-05 +99 *2342:20 *2350:48 7.12788e-06 +*RES +1 *21288:Y *2350:13 32.6385 +2 *2350:13 *2350:20 48.9925 +3 *2350:20 *2350:30 26.9314 +4 *2350:30 *2350:38 17.7948 +5 *2350:38 *2350:40 46.4716 +6 *2350:40 *2350:48 37.0668 +7 *2350:48 *2350:57 34.9606 +8 *2350:57 *22695:A1 25.0992 +9 *2350:57 *22375:A1 28.4832 +10 *2350:30 *21289:B1 16.9985 +*END + +*D_NET *2351 0.00127963 +*CONN +*I *21290:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21289:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21290:C1 0.000358177 +2 *21289:X 0.000358177 +3 *21290:C1 *2352:7 6.08467e-05 +4 *21085:A *21290:C1 4.15661e-05 +5 *21289:B1 *21290:C1 2.16355e-05 +6 *21290:A1 *21290:C1 7.24304e-05 +7 *21290:A2 *21290:C1 0.000239246 +8 *2348:8 *21290:C1 1.12969e-05 +9 *2348:15 *21290:C1 3.5534e-06 +10 *2350:30 *21290:C1 0.000112702 +*RES +1 *21289:X *21290:C1 35.6373 +*END + +*D_NET *2352 0.0109856 +*CONN +*I *21291:D I *D sky130_fd_sc_hd__and4_1 +*I *21290:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21291:D 3.11478e-05 +2 *21290:X 0.000202669 +3 *2352:8 0.00245064 +4 *2352:7 0.00262217 +5 *2352:8 *21302:B1 7.48886e-05 +6 *2352:8 *21315:B1 0.000215759 +7 *2352:8 *22362:A1 0.00018188 +8 *2352:8 *22362:A2 9.19679e-05 +9 *2352:8 *22372:B 4.35416e-05 +10 *2352:8 *22706:B 0.00013158 +11 *2352:8 *22706:C 0.000165848 +12 *2352:8 *2360:78 1.80202e-05 +13 *2352:8 *2364:8 0.00154636 +14 *2352:8 *2373:32 0.000654204 +15 *2352:8 *2373:51 3.88213e-05 +16 *2352:8 *2375:36 0.000399137 +17 *2352:8 *2375:60 0.000223267 +18 *2352:8 *3153:177 2.00098e-05 +19 *2352:8 *3155:193 0.000190575 +20 *2352:8 *3537:215 0 +21 *21284:A2 *21291:D 2.16355e-05 +22 *21284:A2 *2352:8 2.18369e-05 +23 *21289:B1 *2352:7 1.58551e-05 +24 *21289:B2 *2352:7 2.77625e-06 +25 *21290:C1 *2352:7 6.08467e-05 +26 *21297:B2 *2352:8 0.000182969 +27 *21302:B2 *2352:8 5.49916e-05 +28 *1602:161 *2352:8 0.000279502 +29 *1608:156 *2352:7 6.08467e-05 +30 *1608:156 *2352:8 6.51527e-05 +31 *1610:155 *2352:8 1.5714e-05 +32 *2266:13 *2352:8 5.50979e-05 +33 *2331:8 *2352:8 0.00080139 +34 *2337:40 *2352:8 1.32266e-05 +35 *2340:24 *2352:8 1.69005e-05 +36 *2340:32 *2352:8 7.5909e-06 +37 *2350:40 *2352:8 6.75121e-06 +*RES +1 *21290:X *2352:7 16.691 +2 *2352:7 *2352:8 69.1029 +3 *2352:8 *21291:D 14.4725 +*END + +*D_NET *2353 0.00286108 +*CONN +*I *21354:A I *D sky130_fd_sc_hd__nand4_4 +*I *21291:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21354:A 0.000866025 +2 *21291:X 0.000866025 +3 *21354:A *21354:B 2.16355e-05 +4 *21354:A *2364:8 0.000124089 +5 *21354:A *2777:17 0.00033583 +6 *21354:A *3513:212 5.20546e-06 +7 *21235:B1 *21354:A 0.000119401 +8 *21284:A2 *21354:A 0.000165124 +9 *1564:117 *21354:A 5.48795e-06 +10 *1616:81 *21354:A 2.55661e-06 +11 *1616:90 *21354:A 1.25869e-05 +12 *1645:118 *21354:A 3.09486e-05 +13 *1647:68 *21354:A 0.000306167 +*RES +1 *21291:X *21354:A 47.2428 +*END + +*D_NET *2354 0.0262959 +*CONN +*I *22701:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22365:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21294:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21292:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22701:A1 0.000622872 +2 *22365:A1 0 +3 *21294:A1 1.2055e-05 +4 *21292:Y 0.00138354 +5 *2354:55 0.0014619 +6 *2354:50 0.00188786 +7 *2354:47 0.00130235 +8 *2354:24 0.00197985 +9 *2354:11 0.00309782 +10 *21294:A1 *21294:B1 6.50727e-05 +11 *22701:A1 *22374:C1 6.98337e-06 +12 *22701:A1 *22701:A2 3.99086e-06 +13 *22701:A1 *22705:B2 0.000411161 +14 *22701:A1 *22705:C1 0.000109056 +15 *22701:A1 *2357:87 5.1493e-06 +16 *22701:A1 *2906:39 4.78069e-06 +17 *22701:A1 *3338:10 1.32841e-05 +18 *2354:11 *22360:B 0.000106019 +19 *2354:11 *22684:B1 0.000547292 +20 *2354:11 *22687:C1 3.73224e-05 +21 *2354:11 *2359:27 0.000313986 +22 *2354:11 *2465:37 0.000355098 +23 *2354:11 *2906:43 0.000508018 +24 *2354:11 *3120:125 0.00114336 +25 *2354:11 *3120:130 0.000198937 +26 *2354:11 *3301:8 3.39118e-05 +27 *2354:11 *3517:281 0.000240678 +28 *2354:24 *22716:A2 0.000210104 +29 *2354:24 *2357:95 0.000209802 +30 *2354:24 *2359:27 0.000157671 +31 *2354:24 *2409:22 0.000641288 +32 *2354:24 *2416:53 0.000719472 +33 *2354:24 *2896:72 6.23101e-05 +34 *2354:24 *3120:130 0.000158469 +35 *2354:24 *4831:25 0.00149091 +36 *2354:47 *21294:B1 0.000337403 +37 *2354:47 *21304:C 2.4361e-05 +38 *2354:47 *22401:B2 6.36477e-05 +39 *2354:47 *22402:C1 6.08467e-05 +40 *2354:47 *2355:42 2.95757e-05 +41 *2354:47 *2355:53 0.000356696 +42 *2354:47 *2409:33 0.000155921 +43 *2354:47 *3649:8 0.000160779 +44 *2354:47 *5904:45 0.000258208 +45 *2354:50 *22690:A1 0.000439007 +46 *2354:50 *22690:B1 2.81147e-06 +47 *2354:50 *22691:C1 6.67835e-06 +48 *2354:50 *2361:74 1.69777e-05 +49 *2354:50 *3126:42 4.69204e-06 +50 *2354:50 *3474:175 2.1583e-05 +51 *2354:55 *21396:B1 5.1493e-06 +52 *2354:55 *22365:A2 6.36477e-05 +53 *2354:55 *22365:B1 6.08467e-05 +54 *2354:55 *22690:B1 4.60375e-07 +55 *2354:55 *22691:C1 0.000171573 +56 *2354:55 *22696:B2 0.000110505 +57 *2354:55 *2361:74 9.19403e-05 +58 *2354:55 *2384:59 0.000110307 +59 *2354:55 *3196:185 2.27118e-06 +60 *2354:55 *3466:201 5.88009e-05 +61 *2354:55 *3474:175 8.33048e-05 +62 *2354:55 *3498:232 0.000299939 +63 *2354:55 *3500:105 0.000636553 +64 *2354:55 *3502:143 0.000424366 +65 *2354:55 *3502:156 0.000200581 +66 *2354:55 *3543:50 0.000239304 +67 *2354:55 *4817:145 0.000487194 +68 *20652:A *2354:11 0.000250254 +69 *21180:B2 *2354:47 5.35941e-05 +70 *21262:C *2354:50 2.6096e-05 +71 *21294:B2 *21294:A1 6.08467e-05 +72 *21294:B2 *2354:47 0.000387391 +73 *21658:B2 *2354:50 6.96688e-05 +74 *22401:B1 *2354:47 3.73375e-05 +75 *22717:B1 *2354:47 5.45571e-05 +76 *1419:249 *2354:24 1.43499e-05 +77 *1545:118 *2354:55 0.000163208 +78 *2250:55 *2354:11 0.000157659 +79 *2274:115 *2354:47 3.88358e-05 +80 *2287:112 *2354:47 8.19857e-05 +81 *2288:38 *2354:47 0.000261018 +82 *2294:64 *2354:47 8.31266e-05 +83 *2298:36 *2354:47 5.84419e-05 +84 *2336:15 *2354:55 9.18679e-06 +*RES +1 *21292:Y *2354:11 41.7488 +2 *2354:11 *2354:24 17.4915 +3 *2354:24 *21294:A1 9.97254 +4 *2354:24 *2354:47 48.5859 +5 *2354:47 *2354:50 10.6622 +6 *2354:50 *2354:55 45.4662 +7 *2354:55 *22365:A1 9.24915 +8 *2354:11 *22701:A1 27.5571 +*END + +*D_NET *2355 0.0231172 +*CONN +*I *22373:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21294:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22694:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21293:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22373:A1 0.000743137 +2 *21294:B1 0.00019421 +3 *22694:B1 6.07696e-05 +4 *21293:Y 0.000812287 +5 *2355:54 0.000111499 +6 *2355:53 0.00115258 +7 *2355:42 0.00232636 +8 *2355:21 0.00210124 +9 *2355:17 0.00311292 +10 *2355:7 0.0035974 +11 *21294:B1 *21304:A 0.000110297 +12 *21294:B1 *2409:33 0.000302705 +13 *22373:A1 *22373:B1 0.000158101 +14 *22373:A1 *22681:A2 0.000145498 +15 *22373:A1 *22681:B1 4.15661e-05 +16 *22373:A1 *22717:A2 0.000145115 +17 *22373:A1 *22717:B2 4.88818e-05 +18 *22373:A1 *2391:100 0.000537323 +19 *22373:A1 *2794:27 5.74984e-05 +20 *22373:A1 *3153:162 5.9036e-05 +21 *22373:A1 *3177:298 1.27831e-05 +22 *22373:A1 *3543:97 3.08958e-05 +23 *22694:B1 *3151:216 0.000192211 +24 *22694:B1 *3476:184 7.13204e-05 +25 *2355:7 *21394:A 2.16355e-05 +26 *2355:7 *24439:CLK 2.16355e-05 +27 *2355:17 *21415:A 7.13972e-05 +28 *2355:17 *22050:A 0.000178703 +29 *2355:17 *22355:A 0.000159756 +30 *2355:17 *22355:B 6.89789e-05 +31 *2355:17 *22360:C 8.13091e-05 +32 *2355:17 *24308:CLK 1.58551e-05 +33 *2355:17 *24464:SET_B 1.13815e-05 +34 *2355:17 *2475:18 0.000255258 +35 *2355:17 *3064:13 0.000470435 +36 *2355:17 *3122:145 4.97617e-05 +37 *2355:17 *3519:279 0.000107496 +38 *2355:17 *3521:191 0.000260263 +39 *2355:17 *5428:5 0.000133859 +40 *2355:21 *22683:B1 7.23857e-05 +41 *2355:21 *22683:C1 0.000107496 +42 *2355:21 *3517:310 0.000349354 +43 *2355:21 *3519:260 5.2561e-05 +44 *2355:21 *3519:279 4.12794e-05 +45 *2355:42 *22018:A 1.07881e-05 +46 *2355:42 *22378:A1 0.000112628 +47 *2355:42 *22381:A 0.000114594 +48 *2355:42 *22381:B 0.000208871 +49 *2355:42 *22381:C 4.57529e-05 +50 *2355:42 *2409:22 3.17436e-05 +51 *2355:42 *2409:33 0.000364704 +52 *2355:42 *2446:145 3.17436e-05 +53 *2355:42 *2888:28 4.49767e-05 +54 *2355:42 *2900:111 2.66408e-05 +55 *2355:42 *3122:145 0.00022198 +56 *2355:42 *3519:260 0.000249467 +57 *2355:53 *22401:B2 5.75012e-05 +58 *2355:53 *2359:27 3.74542e-05 +59 *2355:53 *2409:33 2.69795e-05 +60 *2355:53 *3151:216 0.000201623 +61 *2355:53 *3208:165 0.000308402 +62 *2355:53 *3315:18 0.0006934 +63 *2355:53 *3513:212 1.87469e-05 +64 *21294:A1 *21294:B1 6.50727e-05 +65 *21294:A2 *21294:B1 1.17054e-05 +66 *21294:B2 *21294:B1 6.60981e-05 +67 *22402:B1 *2355:53 2.61574e-05 +68 *1439:54 *2355:17 0.000107496 +69 *1627:101 *2355:42 0.000385836 +70 *1627:118 *2355:42 2.91667e-06 +71 *2254:23 *22373:A1 8.43701e-05 +72 *2255:13 *2355:53 5.88009e-05 +73 *2294:64 *2355:42 7.62821e-05 +74 *2298:36 *2355:42 4.43086e-05 +75 *2354:47 *21294:B1 0.000337403 +76 *2354:47 *2355:42 2.95757e-05 +77 *2354:47 *2355:53 0.000356696 +*RES +1 *21293:Y *2355:7 23.8617 +2 *2355:7 *2355:17 49.7696 +3 *2355:17 *2355:21 8.92188 +4 *2355:21 *2355:42 37.8565 +5 *2355:42 *2355:53 39.3661 +6 *2355:53 *2355:54 57.9449 +7 *2355:54 *22694:B1 20.783 +8 *2355:42 *21294:B1 21.1519 +9 *2355:21 *22373:A1 35.8159 +*END + +*D_NET *2356 0.0017502 +*CONN +*I *21304:A I *D sky130_fd_sc_hd__and3_1 +*I *21294:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21304:A 0.000434173 +2 *21294:X 0.000434173 +3 *21304:A *21303:A1 0.000114257 +4 *21304:A *21304:C 6.22259e-05 +5 *21304:A *21354:B 2.99645e-05 +6 *21304:A *3513:212 0.000150247 +7 *21304:A *3649:8 0.000404867 +8 *21294:A2 *21304:A 9.99867e-06 +9 *21294:B1 *21304:A 0.000110297 +*RES +1 *21294:X *21304:A 38.3615 +*END + +*D_NET *2357 0.066125 +*CONN +*I *22362:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22691:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21297:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21295:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22362:A1 0.000561391 +2 *22691:B1 0.000716979 +3 *21297:A1 0.000118124 +4 *21295:Y 0.00112155 +5 *2357:95 0.00137484 +6 *2357:87 0.00173156 +7 *2357:61 0.00231471 +8 *2357:53 0.00168428 +9 *2357:51 0.00171608 +10 *2357:50 0.00761078 +11 *2357:34 0.00732077 +12 *2357:32 0.00496235 +13 *2357:17 0.00465783 +14 *21297:A1 *2360:66 0.000205101 +15 *21297:A1 *2373:51 5.19758e-05 +16 *21297:A1 *3543:111 0.000121159 +17 *22362:A1 *22025:A 2.16355e-05 +18 *22362:A1 *22339:B2 7.49142e-05 +19 *22362:A1 *22340:B2 6.77719e-05 +20 *22362:A1 *22361:A2 1.88014e-05 +21 *22362:A1 *22362:A2 1.55025e-05 +22 *22362:A1 *2373:32 0.000121559 +23 *22362:A1 *2978:29 0.00092406 +24 *22691:B1 *22402:C1 9.78609e-05 +25 *22691:B1 *2409:33 0.00076655 +26 *22691:B1 *3179:212 0.00081601 +27 *22691:B1 *3637:18 0.000121386 +28 *22691:B1 *3649:8 1.10633e-05 +29 *2357:17 *20803:A1 0.000112552 +30 *2357:17 *4841:252 0.000105305 +31 *2357:17 *4841:256 0.000661113 +32 *2357:17 *5867:682 0.000138582 +33 *2357:17 *5893:8 7.94884e-05 +34 *2357:32 *2367:19 7.92757e-06 +35 *2357:32 *2545:17 0 +36 *2357:32 *4820:91 0.000572294 +37 *2357:32 *4833:173 1.97947e-05 +38 *2357:32 *4833:178 0.00154212 +39 *2357:32 *5865:548 0.000568711 +40 *2357:32 *5867:682 0.000221241 +41 *2357:32 *5923:23 0.000113007 +42 *2357:34 *2367:20 0.000107251 +43 *2357:34 *2417:43 0.000151249 +44 *2357:34 *2460:20 0.00021876 +45 *2357:34 *4833:173 3.80436e-07 +46 *2357:34 *5884:14 0.00331138 +47 *2357:50 *24299:CLK 3.29488e-05 +48 *2357:50 *2451:36 0.001846 +49 *2357:50 *2451:46 0.00189835 +50 *2357:50 *2460:40 1.79334e-05 +51 *2357:50 *2520:33 7.02269e-06 +52 *2357:50 *2610:36 0.000295061 +53 *2357:50 *2635:47 0.000202649 +54 *2357:50 *2692:12 0.000223251 +55 *2357:50 *2978:29 0.000666047 +56 *2357:50 *3212:19 0 +57 *2357:50 *4817:41 0 +58 *2357:50 *4817:47 0.000118725 +59 *2357:50 *4818:110 0.000485108 +60 *2357:50 *4829:170 4.01848e-05 +61 *2357:50 *4845:241 0.000442781 +62 *2357:50 *5864:759 0.000167384 +63 *2357:50 *5898:220 0.000152728 +64 *2357:50 *5898:224 0.00027994 +65 *2357:51 *22404:C 0.00110655 +66 *2357:51 *2363:39 0.000595368 +67 *2357:51 *2486:70 0.000338746 +68 *2357:51 *3257:28 0.00143369 +69 *2357:51 *4815:67 0.000107496 +70 *2357:61 *22339:B2 0.000209946 +71 *2357:61 *22340:A2 1.49077e-05 +72 *2357:61 *22340:B2 0.000369742 +73 *2357:61 *22340:C1 0.00013001 +74 *2357:61 *22686:B1 9.36332e-05 +75 *2357:61 *2373:51 0.000106193 +76 *2357:61 *2409:6 0.000111681 +77 *2357:61 *2464:51 0.000210345 +78 *2357:61 *3338:10 0.00062842 +79 *2357:61 *3543:111 0.000107078 +80 *2357:87 *21303:A1 4.40531e-05 +81 *2357:87 *22680:B1 0.000156955 +82 *2357:87 *22680:B2 7.92757e-06 +83 *2357:87 *22681:C1 9.12416e-06 +84 *2357:87 *22705:B2 5.71272e-05 +85 *2357:87 *2360:78 0.000230715 +86 *2357:87 *2375:60 7.54513e-05 +87 *2357:87 *2416:34 0.000305272 +88 *2357:87 *2416:36 0.000422009 +89 *2357:87 *2488:14 0.000472915 +90 *2357:87 *3111:155 0.000203595 +91 *2357:87 *3179:224 4.7975e-05 +92 *2357:87 *3513:199 2.41274e-06 +93 *2357:87 *3513:212 0.000318204 +94 *2357:95 *22378:C1 8.62625e-06 +95 *2357:95 *22402:B2 0.000110297 +96 *2357:95 *22716:A2 8.47113e-05 +97 *2357:95 *2409:33 0.000249513 +98 *2357:95 *3649:8 0.000749586 +99 mgmt_gpio_out[14] *2357:50 0 +100 *6032:DIODE *2357:51 1.55995e-05 +101 *20803:A2 *2357:17 9.81293e-06 +102 *22701:A1 *2357:87 5.1493e-06 +103 *22716:B1 *22691:B1 7.09666e-06 +104 *25142:A *2357:50 3.91164e-05 +105 *1439:15 *2357:50 0.000140679 +106 *1439:28 *2357:50 0.000299685 +107 *1595:8 *2357:17 4.91493e-05 +108 *1614:104 *22362:A1 0.000937119 +109 *1627:118 *2357:61 9.42754e-05 +110 *1741:40 *2357:50 0.000242776 +111 *1909:12 *2357:17 5.11321e-05 +112 *1920:42 *2357:50 0.00013974 +113 *2117:102 *2357:87 7.13972e-05 +114 *2258:62 *2357:61 1.37669e-05 +115 *2262:27 *2357:32 0.000340481 +116 *2350:40 *22362:A1 2.22095e-05 +117 *2352:8 *22362:A1 0.00018188 +118 *2354:24 *2357:95 0.000209802 +*RES +1 *21295:Y *2357:17 45.6596 +2 *2357:17 *2357:32 49.923 +3 *2357:32 *2357:34 60.7978 +4 *2357:34 *2357:50 32.1765 +5 *2357:50 *2357:51 47.3101 +6 *2357:51 *2357:53 4.5 +7 *2357:53 *2357:61 35.9232 +8 *2357:61 *21297:A1 13.3002 +9 *2357:61 *2357:87 47.7628 +10 *2357:87 *2357:95 31.1438 +11 *2357:95 *22691:B1 34.6827 +12 *2357:53 *22362:A1 28.8793 +*END + +*D_NET *2358 0.0226492 +*CONN +*I *22368:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22704:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21297:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21296:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22368:B1 4.51026e-05 +2 *22704:B1 0 +3 *21297:B1 0.000447735 +4 *21296:Y 5.96452e-05 +5 *2358:16 0.00233522 +6 *2358:14 0.00245945 +7 *2358:8 0.00194015 +8 *2358:7 0.00147293 +9 *21297:B1 *22699:A1 0.000158051 +10 *21297:B1 *22699:A2 1.21461e-06 +11 *21297:B1 *22704:B2 0.000131421 +12 *21297:B1 *22706:A 0.000200794 +13 *21297:B1 *2359:27 0.000107496 +14 *21297:B1 *3543:111 1.38609e-05 +15 *21297:B1 *3543:121 1.4091e-06 +16 *22368:B1 *22368:B2 2.24576e-05 +17 *22368:B1 *3131:184 1.57729e-05 +18 *2358:7 *20781:A1 7.92757e-06 +19 *2358:8 *20542:A1 9.75356e-05 +20 *2358:8 *2369:24 0.00361136 +21 *2358:8 *4843:202 0.000624738 +22 *2358:8 *4844:116 5.77352e-05 +23 *2358:14 *2797:36 0.000260388 +24 *2358:16 *22704:B2 9.12416e-06 +25 *2358:16 *2377:13 0.00172802 +26 *2358:16 *2377:26 0 +27 *2358:16 *3531:211 9.30719e-05 +28 *2358:16 *5890:36 1.00333e-05 +29 *20781:A2 *2358:7 0.000160617 +30 *21199:B2 *2358:16 5.35941e-05 +31 *21278:B1 *2358:16 4.42921e-05 +32 *21279:C1 *21297:B1 0.000239944 +33 *21279:C1 *2358:16 0.00041482 +34 *21297:B2 *21297:B1 1.50075e-05 +35 *21429:B2 *22368:B1 0.000116762 +36 *24392:D *2358:7 0.000158371 +37 *24461:D *2358:8 0.000120605 +38 *24617:D *2358:8 0.000114679 +39 *1725:181 *2358:16 1.91246e-05 +40 *1771:151 *2358:16 3.98897e-05 +41 *1771:167 *2358:14 0.000667241 +42 *1771:167 *2358:16 3.93669e-05 +43 *1958:31 *2358:14 7.10057e-05 +44 *2337:40 *21297:B1 8.78645e-05 +45 *2337:40 *2358:16 5.28019e-05 +46 *2339:8 *2358:8 0.00110299 +47 *2339:8 *2358:16 1.02788e-05 +48 *2340:32 *2358:16 6.24342e-05 +49 *2343:32 *2358:16 4.83562e-06 +50 *2347:36 *2358:8 0.000102533 +51 *2348:8 *2358:14 0.000846893 +52 *2348:8 *2358:16 0.000456814 +53 *2348:15 *2358:16 0.00142525 +54 *2350:30 *2358:16 0.000308562 +*RES +1 *21296:Y *2358:7 16.1364 +2 *2358:7 *2358:8 64.9503 +3 *2358:8 *2358:14 27.8924 +4 *2358:14 *2358:16 56.23 +5 *2358:16 *21297:B1 26.0836 +6 *2358:16 *22704:B1 13.7491 +7 *2358:14 *22368:B1 15.5576 +*END + +*D_NET *2359 0.0115887 +*CONN +*I *21304:B I *D sky130_fd_sc_hd__and3_1 +*I *21297:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21304:B 0 +2 *21297:X 0.00220946 +3 *2359:27 0.00220946 +4 *2359:27 *21328:C 6.47951e-05 +5 *2359:27 *21354:B 0.000107496 +6 *2359:27 *22401:B2 3.29488e-05 +7 *2359:27 *22701:A2 4.15661e-05 +8 *2359:27 *22706:C 5.4678e-05 +9 *2359:27 *2360:66 2.57465e-06 +10 *2359:27 *2389:96 5.60804e-05 +11 *2359:27 *2404:60 0.000299427 +12 *2359:27 *2906:39 0.000382008 +13 *2359:27 *3120:130 2.37375e-05 +14 *2359:27 *3148:72 0.00169692 +15 *2359:27 *3333:33 0.000419954 +16 *2359:27 *3517:254 9.03052e-05 +17 *2359:27 *3517:281 0.000844546 +18 *21297:B1 *2359:27 0.000107496 +19 *21297:B2 *2359:27 7.92757e-06 +20 *1645:94 *2359:27 0.000299419 +21 *2258:62 *2359:27 0.00191217 +22 *2287:112 *2359:27 0.000216639 +23 *2354:11 *2359:27 0.000313986 +24 *2354:24 *2359:27 0.000157671 +25 *2355:53 *2359:27 3.74542e-05 +*RES +1 *21297:X *2359:27 41.1243 +2 *2359:27 *21304:B 9.24915 +*END + +*D_NET *2360 0.0513784 +*CONN +*I *22705:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22377:A I *D sky130_fd_sc_hd__or2_1 +*I *21303:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21298:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22705:B1 0 +2 *22377:A 0 +3 *21303:A1 0.000686509 +4 *21298:Y 0.000479075 +5 *2360:78 0.00136402 +6 *2360:66 0.00125528 +7 *2360:57 0.00300213 +8 *2360:45 0.00359959 +9 *2360:43 0.00478116 +10 *2360:21 0.00497909 +11 *2360:17 0.00209114 +12 *2360:13 0.00119706 +13 *21303:A1 *21354:B 0.000118762 +14 *21303:A1 *22018:A 1.90709e-05 +15 *21303:A1 *22372:A 0.000991696 +16 *21303:A1 *22402:A2 0.000111722 +17 *21303:A1 *22402:B2 0.000107496 +18 *21303:A1 *2375:60 4.87595e-05 +19 *21303:A1 *2415:64 5.60804e-05 +20 *21303:A1 *2900:111 4.09907e-05 +21 *21303:A1 *4831:25 0.000994055 +22 *2360:13 *20731:B1 7.6719e-06 +23 *2360:13 *3011:17 7.04549e-06 +24 *2360:13 *4834:30 4.5539e-05 +25 *2360:13 *5030:9 0.000282723 +26 *2360:13 *5714:35 0.000913393 +27 *2360:17 *24691:CLK 0.000699647 +28 *2360:17 *3011:17 7.65895e-05 +29 *2360:17 *4847:7 0.000211478 +30 *2360:17 *4901:40 0.000366617 +31 *2360:21 *6216:DIODE 0.000190028 +32 *2360:21 *2570:36 0.000282055 +33 *2360:21 *2570:40 0.000719041 +34 *2360:21 *2584:17 2.20702e-05 +35 *2360:21 *2620:20 1.55462e-05 +36 *2360:43 *24442:RESET_B 3.16295e-05 +37 *2360:43 *2417:43 0.000367024 +38 *2360:43 *2460:40 6.59763e-05 +39 *2360:43 *2692:31 0.000381361 +40 *2360:43 *2938:26 4.51619e-05 +41 *2360:43 *2938:30 0.000100822 +42 *2360:43 *4814:64 2.93245e-06 +43 *2360:43 *4818:110 0.00047744 +44 *2360:43 *4828:61 0.000211799 +45 *2360:43 *4833:155 0.000810704 +46 *2360:43 *4903:44 2.16355e-05 +47 *2360:43 *5042:8 5.79057e-05 +48 *2360:43 *5529:11 5.46889e-05 +49 *2360:43 *5592:112 0.0028197 +50 *2360:43 *5898:220 5.46889e-05 +51 *2360:43 *5902:18 0.000200728 +52 *2360:45 *2433:31 0.001484 +53 *2360:45 *2486:76 0.000413376 +54 *2360:45 *2530:55 0.00293506 +55 *2360:45 *4903:33 0.000122257 +56 *2360:45 *4903:44 7.6719e-06 +57 *2360:45 *5861:336 3.82228e-05 +58 *2360:57 *22341:A1 0.000197511 +59 *2360:57 *22349:B2 8.03951e-06 +60 *2360:57 *22382:B 0.000354236 +61 *2360:57 *22393:C1 0.000306219 +62 *2360:57 *22404:C 3.4654e-05 +63 *2360:57 *22720:A2 3.17103e-05 +64 *2360:57 *2461:11 0.000200532 +65 *2360:57 *3348:39 6.36477e-05 +66 *2360:66 *22371:C1 0.000609926 +67 *2360:66 *22701:A2 8.48264e-05 +68 *2360:66 *22706:C 2.55661e-06 +69 *2360:66 *2373:51 0.000238351 +70 *2360:66 *2488:14 0.000614007 +71 *2360:66 *3179:224 3.26668e-05 +72 *2360:66 *3543:111 7.92757e-06 +73 *2360:78 *22681:C1 0.000144984 +74 *2360:78 *22701:A2 4.99151e-05 +75 *2360:78 *22705:A2 0.000380871 +76 *2360:78 *22706:C 0.000148288 +77 *2360:78 *2375:60 0.000162433 +78 *2360:78 *3153:177 2.12421e-05 +79 *2360:78 *3179:224 1.72594e-05 +80 *2360:78 *3507:227 0.000110297 +81 *2360:78 *3519:260 0.000107496 +82 *2360:78 *3537:215 0.000137745 +83 mgmt_gpio_oeb[14] *2360:43 3.11482e-05 +84 mgmt_gpio_out[14] *2360:43 0 +85 *19603:A *2360:13 0.000266846 +86 *20206:B *2360:57 1.88878e-05 +87 *20267:A2 *2360:21 0.000213725 +88 *20321:B2 *2360:17 3.31745e-05 +89 *21297:A1 *2360:66 0.000205101 +90 *21304:A *21303:A1 0.000114257 +91 *22720:A1 *2360:57 0.000109179 +92 *24442:D *2360:43 5.481e-05 +93 *121:14 *2360:43 4.04556e-05 +94 *490:83 *2360:43 0.000695068 +95 *490:83 *2360:45 0.000512902 +96 *510:16 *2360:57 0.000158451 +97 *510:41 *2360:57 0.000471474 +98 *1591:9 *2360:57 1.19585e-05 +99 *1635:106 *2360:57 2.8732e-05 +100 *1645:118 *21303:A1 6.23101e-05 +101 *1655:213 *2360:57 1.80257e-05 +102 *1663:27 *2360:57 0.000173666 +103 *1884:64 *2360:57 0.000479991 +104 *1919:72 *2360:21 0.000746406 +105 *1919:83 *2360:21 0.000585955 +106 *1919:96 *2360:21 0.000196638 +107 *1924:8 *2360:13 0.000113374 +108 *2246:14 *2360:45 0.00025175 +109 *2257:29 *2360:57 5.481e-05 +110 *2305:20 *2360:57 0.000266942 +111 *2320:35 *2360:43 0.000631713 +112 *2352:8 *2360:78 1.80202e-05 +113 *2357:87 *21303:A1 4.40531e-05 +114 *2357:87 *2360:78 0.000230715 +115 *2359:27 *2360:66 2.57465e-06 +*RES +1 *21298:Y *2360:13 34.7232 +2 *2360:13 *2360:17 23.5253 +3 *2360:17 *2360:21 47.636 +4 *2360:21 *2360:43 43.0388 +5 *2360:43 *2360:45 46.7555 +6 *2360:45 *2360:57 47.7434 +7 *2360:57 *2360:66 32.1481 +8 *2360:66 *2360:78 28.9643 +9 *2360:78 *21303:A1 28.4325 +10 *2360:78 *22377:A 13.7491 +11 *2360:66 *22705:B1 13.7491 +*END + +*D_NET *2361 0.0251001 +*CONN +*I *21303:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22370:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22693:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21299:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21303:B1 0.000122316 +2 *22370:A1 3.54682e-05 +3 *22693:A1 0.000402883 +4 *21299:Y 0.000505607 +5 *2361:74 0.00177735 +6 *2361:54 0.00218407 +7 *2361:29 0.0021262 +8 *2361:13 0.00202238 +9 *2361:8 0.000877644 +10 *2361:7 0.000590622 +11 *21303:B1 *21354:C 0.000195921 +12 *22370:A1 *3142:196 0.000154145 +13 *22370:A1 *3185:163 0.000156955 +14 *22693:A1 *21658:C1 1.01851e-05 +15 *22693:A1 *22693:B1 2.47175e-05 +16 *22693:A1 *2570:103 0.000311058 +17 *22693:A1 *2990:62 6.22259e-05 +18 *22693:A1 *3481:145 4.56767e-05 +19 *22693:A1 *3481:215 5.04829e-06 +20 *22693:A1 *3483:135 0.000169446 +21 *22693:A1 *3636:11 5.40676e-05 +22 *22693:A1 *4827:79 0.000210208 +23 *2361:7 *2478:15 0.000746529 +24 *2361:13 *21027:B1 0.000309083 +25 *2361:13 *22689:B 0.000447615 +26 *2361:13 *24341:CLK 8.07688e-05 +27 *2361:13 *2478:15 0.000217683 +28 *2361:13 *2887:10 0.000646418 +29 *2361:13 *4843:371 0.00143854 +30 *2361:29 *21653:A1 2.61955e-05 +31 *2361:29 *22309:B1 0.000245379 +32 *2361:29 *22679:A1 7.09666e-06 +33 *2361:29 *2570:99 0.00106845 +34 *2361:29 *2572:33 0.00107123 +35 *2361:29 *3124:174 1.78704e-05 +36 *2361:29 *3136:248 1.63924e-05 +37 *2361:29 *3208:150 6.3657e-05 +38 *2361:29 *3491:146 0.000126462 +39 *2361:54 *21443:C 2.20457e-05 +40 *2361:54 *21658:C1 2.93857e-05 +41 *2361:54 *2501:32 0.000129197 +42 *2361:54 *2686:40 0.000107496 +43 *2361:54 *2990:62 0.000118459 +44 *2361:54 *3126:34 3.0482e-05 +45 *2361:54 *3142:196 0.000107496 +46 *2361:54 *3179:202 7.09666e-06 +47 *2361:54 *3185:163 9.47944e-05 +48 *2361:54 *3208:150 0.000340902 +49 *2361:54 *3322:11 0.000309903 +50 *2361:54 *3636:11 1.78063e-05 +51 *2361:74 *21328:C 1.5714e-05 +52 *2361:74 *21354:B 0.000110297 +53 *2361:74 *21354:C 0.000109527 +54 *2361:74 *22375:B2 3.44695e-05 +55 *2361:74 *22690:A1 0 +56 *2361:74 *22707:D 3.99537e-05 +57 *2361:74 *3126:36 0.000145973 +58 *2361:74 *3322:11 0.00054482 +59 *2361:74 *3471:183 1.9101e-05 +60 *2361:74 *3474:175 0.000223364 +61 *2361:74 *3502:143 0.000295264 +62 *2361:74 *3502:156 0.000206295 +63 *2361:74 *3543:50 0.000234809 +64 *2361:74 *3649:8 0.000737572 +65 *21653:B2 *2361:29 3.79135e-05 +66 *21658:B2 *2361:54 0.000281938 +67 *21658:B2 *2361:74 0.000190864 +68 *22711:B1 *2361:13 0.000969359 +69 *1584:153 *2361:13 0.000527338 +70 *1616:81 *21303:B1 0.000203639 +71 *2258:64 *2361:29 0.000113916 +72 *2287:112 *2361:74 5.55136e-05 +73 *2336:21 *21303:B1 4.96202e-06 +74 *2354:50 *2361:74 1.69777e-05 +75 *2354:55 *2361:74 9.19403e-05 +*RES +1 *21299:Y *2361:7 26.9861 +2 *2361:7 *2361:8 104.301 +3 *2361:8 *2361:13 47.7488 +4 *2361:13 *2361:29 48.4087 +5 *2361:29 *22693:A1 25.106 +6 *2361:29 *2361:54 30.5165 +7 *2361:54 *22370:A1 11.0817 +8 *2361:54 *2361:74 49.752 +9 *2361:74 *21303:B1 22.5727 +*END + +*D_NET *2362 0.0278449 +*CONN +*I *22367:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21302:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22699:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21300:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22367:B1 0.000294492 +2 *21302:A1 9.37183e-05 +3 *22699:A1 0.000776641 +4 *21300:Y 0.000256691 +5 *2362:22 0.00108469 +6 *2362:20 0.00244587 +7 *2362:8 0.00359385 +8 *2362:7 0.00191349 +9 *21302:A1 *22371:C1 3.29488e-05 +10 *21302:A1 *22372:B 3.58208e-05 +11 *21302:A1 *2363:45 5.60804e-05 +12 *21302:A1 *3326:15 0.000101111 +13 *21302:A1 *4873:17 6.03122e-05 +14 *22367:B1 *2377:13 2.01653e-05 +15 *22367:B1 *3148:100 5.51483e-06 +16 *22367:B1 *3148:106 5.91958e-05 +17 *22367:B1 *3175:195 5.04829e-06 +18 *22367:B1 *3179:233 0.000873082 +19 *22699:A1 *22372:A 0.000345904 +20 *22699:A1 *22699:A2 0 +21 *22699:A1 *22699:B1 0 +22 *22699:A1 *22703:A2 1.34257e-06 +23 *22699:A1 *2364:8 7.10185e-05 +24 *22699:A1 *2375:60 6.26377e-05 +25 *22699:A1 *2404:25 0.000109235 +26 *22699:A1 *2404:60 0.000448731 +27 *22699:A1 *2468:37 0.000525917 +28 *22699:A1 *3509:241 2.71226e-06 +29 *22699:A1 *3543:111 0.000181863 +30 *22699:A1 *4831:25 0.000696186 +31 *2362:7 *4826:25 1.47695e-05 +32 *2362:8 *20780:A1 2.33193e-05 +33 *2362:8 *20780:B1 0.000114433 +34 *2362:20 *22302:A1 8.23984e-05 +35 *2362:20 *2380:20 5.302e-05 +36 *2362:20 *2488:14 0 +37 *2362:20 *4812:38 0 +38 *2362:20 *4823:45 2.69709e-05 +39 *2362:20 *4831:25 0.000856008 +40 *2362:20 *4834:46 0.000144816 +41 *2362:22 *4831:25 0.000353613 +42 *20782:A2 *2362:8 0.000252312 +43 *21297:B1 *22699:A1 0.000158051 +44 *21297:B2 *22699:A1 0 +45 *21611:B2 *2362:20 0.000402101 +46 *24393:D *2362:8 0.000151726 +47 *489:50 *2362:20 0.000111708 +48 *1610:155 *22699:A1 2.67684e-05 +49 *1610:155 *2362:20 0.000269206 +50 *1610:155 *2362:22 6.80087e-05 +51 *1620:143 *2362:8 0.00255549 +52 *1627:198 *2362:20 1.82679e-05 +53 *1655:213 *21302:A1 0.000160617 +54 *1663:27 *22367:B1 0.000891388 +55 *1790:158 *22367:B1 1.66771e-05 +56 *2076:70 *2362:8 5.92342e-05 +57 *2257:17 *2362:20 0.00139345 +58 *2266:13 *2362:20 0.000402817 +59 *2287:12 *2362:8 4.98813e-05 +60 *2287:16 *2362:8 0.000444545 +61 *2349:10 *2362:8 0.000284329 +62 *2349:12 *2362:8 0.00356691 +63 *2349:12 *2362:20 0.00066212 +64 *2350:40 *22699:A1 7.56251e-05 +*RES +1 *21300:Y *2362:7 17.2456 +2 *2362:7 *2362:8 79.0689 +3 *2362:8 *2362:20 48.3572 +4 *2362:20 *2362:22 0.850556 +5 *2362:22 *22699:A1 26.7305 +6 *2362:22 *21302:A1 20.2092 +7 *2362:20 *22367:B1 20.9654 +*END + +*D_NET *2363 0.0199429 +*CONN +*I *22371:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21302:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22702:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21301:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22371:A1 2.22385e-05 +2 *21302:B1 8.03281e-05 +3 *22702:A1 0.000229352 +4 *21301:Y 0.000564508 +5 *2363:45 0.000679582 +6 *2363:40 0.000661444 +7 *2363:39 0.00138665 +8 *2363:31 0.00253908 +9 *2363:20 0.00198624 +10 *21302:B1 *2375:60 7.83498e-05 +11 *22371:A1 *22372:D 0.000110297 +12 *22371:A1 *3128:227 0.000110297 +13 *22702:A1 *22703:B2 1.58808e-05 +14 *22702:A1 *22703:C1 4.71525e-05 +15 *22702:A1 *4873:17 0.000128116 +16 *2363:20 *2485:15 0.000347695 +17 *2363:20 *2498:12 0.000559631 +18 *2363:20 *4834:39 0.000111708 +19 *2363:20 *5880:17 0.000107496 +20 *2363:31 *22686:B1 5.83513e-05 +21 *2363:31 *2380:41 0.000258128 +22 *2363:31 *2486:40 7.54956e-05 +23 *2363:31 *2486:44 0.00102021 +24 *2363:31 *2498:12 0.000497685 +25 *2363:39 *24764:CLK 9.39505e-05 +26 *2363:39 *2495:10 1.92176e-05 +27 *2363:40 *22339:A2 6.32596e-05 +28 *2363:40 *2416:34 0.00032743 +29 *2363:40 *2488:14 0.000479265 +30 *2363:45 *2416:34 0.000109358 +31 *2363:45 *2488:14 0.000109358 +32 *2363:45 *4873:17 0.000110394 +33 *6032:DIODE *2363:39 6.78596e-05 +34 *20796:B *2363:31 5.05252e-05 +35 *21187:A1 *2363:31 0.000143431 +36 *21278:A2 *22702:A1 5.36085e-05 +37 *21302:A1 *2363:45 5.60804e-05 +38 *22384:A1 *2363:31 0.000292217 +39 *480:207 *2363:20 7.0549e-05 +40 *500:13 *22702:A1 0.000360722 +41 *500:13 *2363:45 0.000659013 +42 *1592:151 *2363:31 5.83513e-05 +43 *1596:134 *2363:31 0.00104361 +44 *1614:105 *2363:39 0.000516034 +45 *1652:87 *21302:B1 3.07848e-05 +46 *1652:87 *2363:45 3.955e-05 +47 *1655:199 *21302:B1 6.36477e-05 +48 *1790:144 *22702:A1 5.81031e-05 +49 *2245:11 *2363:20 0.0002646 +50 *2249:27 *2363:39 0.000366347 +51 *2257:29 *2363:39 7.77744e-05 +52 *2263:43 *2363:20 4.91345e-05 +53 *2263:43 *2363:31 1.86389e-05 +54 *2263:77 *2363:39 7.89747e-05 +55 *2266:13 *2363:31 0.00112666 +56 *2294:52 *2363:39 0.000160479 +57 *2305:8 *2363:31 0.000428973 +58 *2337:40 *2363:45 0.000148867 +59 *2352:8 *21302:B1 7.48886e-05 +60 *2357:51 *2363:39 0.000595368 +*RES +1 *21301:Y *2363:20 40.7822 +2 *2363:20 *2363:31 48.7914 +3 *2363:31 *2363:39 44.9242 +4 *2363:39 *2363:40 9.72179 +5 *2363:40 *2363:45 6.79143 +6 *2363:45 *22702:A1 21.1266 +7 *2363:45 *21302:B1 19.4657 +8 *2363:40 *22371:A1 15.0271 +*END + +*D_NET *2364 0.00797241 +*CONN +*I *21303:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21302:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21303:C1 0 +2 *21302:X 4.51842e-05 +3 *2364:8 0.00174038 +4 *2364:7 0.00178556 +5 *2364:8 *21354:C 0.000206933 +6 *2364:8 *22704:A1 0.000119122 +7 *2364:8 *22706:A 0.000267935 +8 *2364:8 *2375:60 5.11321e-05 +9 *2364:8 *2777:17 0.000339255 +10 *2364:8 *3337:14 0.000148798 +11 *2364:8 *3523:202 0.000284228 +12 *21235:B1 *2364:8 4.59164e-06 +13 *21284:A2 *2364:8 8.57938e-06 +14 *21354:A *2364:8 0.000124089 +15 *22699:A1 *2364:8 7.10185e-05 +16 *482:86 *2364:8 5.60076e-05 +17 *1645:118 *2364:8 0 +18 *1655:199 *2364:7 6.08467e-05 +19 *2331:29 *2364:8 7.12521e-06 +20 *2336:21 *2364:8 0.000939458 +21 *2350:40 *2364:8 0.000165807 +22 *2352:8 *2364:8 0.00154636 +*RES +1 *21302:X *2364:7 14.4725 +2 *2364:7 *2364:8 52.4928 +3 *2364:8 *21303:C1 13.7491 +*END + +*D_NET *2365 0.000540584 +*CONN +*I *21304:C I *D sky130_fd_sc_hd__and3_1 +*I *21303:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21304:C 0.000177864 +2 *21303:X 0.000177864 +3 *21304:C *21328:C 5.04829e-06 +4 *21304:C *21354:B 6.56365e-05 +5 *21304:A *21304:C 6.22259e-05 +6 *2287:112 *21304:C 2.7585e-05 +7 *2354:47 *21304:C 2.4361e-05 +*RES +1 *21303:X *21304:C 30.8441 +*END + +*D_NET *2366 0.00189657 +*CONN +*I *21354:B I *D sky130_fd_sc_hd__nand4_4 +*I *21304:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *21354:B 0.000340537 +2 *21304:X 0.000340537 +3 *21354:B *21328:C 4.7918e-05 +4 *21354:B *22690:A1 1.82618e-05 +5 *21354:B *2375:60 0.000329739 +6 *21354:B *3513:212 5.25994e-05 +7 *21354:B *3649:8 2.27901e-06 +8 *21303:A1 *21354:B 0.000118762 +9 *21304:A *21354:B 2.99645e-05 +10 *21304:C *21354:B 6.56365e-05 +11 *21354:A *21354:B 2.16355e-05 +12 *1564:117 *21354:B 1.03403e-05 +13 *2287:112 *21354:B 0.000300565 +14 *2359:27 *21354:B 0.000107496 +15 *2361:74 *21354:B 0.000110297 +*RES +1 *21304:X *21354:B 39.3636 +*END + +*D_NET *2367 0.0879457 +*CONN +*I *22704:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21309:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22379:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21305:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22704:A1 0.000175443 +2 *21309:A1 0 +3 *22379:A1 0.000189794 +4 *21305:Y 0.00119851 +5 *2367:79 0.00193641 +6 *2367:65 0.00316159 +7 *2367:51 0.00462026 +8 *2367:49 0.00470602 +9 *2367:28 0.00211091 +10 *2367:27 0.0010737 +11 *2367:20 0.00110214 +12 *2367:19 0.00239976 +13 *2367:16 0.0017722 +14 *2367:11 0.00952426 +15 *2367:10 0.0105363 +16 *22379:A1 *21309:C1 2.30742e-05 +17 *22379:A1 *22379:B1 0.000200794 +18 *22379:A1 *22402:A2 0.000164612 +19 *22379:A1 *22402:B2 7.92757e-06 +20 *22704:A1 *3541:63 0.000200794 +21 *2367:10 *2950:20 8.68133e-05 +22 *2367:10 *2963:20 4.46284e-05 +23 *2367:10 *5210:8 3.00073e-05 +24 *2367:10 *5458:108 0.000217425 +25 *2367:11 *24726:CLK 1.37973e-05 +26 *2367:11 *2486:11 0.00020395 +27 *2367:11 *2570:25 0.000417433 +28 *2367:11 *2570:32 0.000271138 +29 *2367:11 *5853:611 0.000519953 +30 *2367:11 *5857:46 0.00328684 +31 *2367:11 *5857:48 0.000129861 +32 *2367:16 *20276:A1 3.20069e-06 +33 *2367:16 *24033:A0 5.99802e-05 +34 *2367:16 *4827:118 2.652e-05 +35 *2367:16 *5903:6 0 +36 *2367:19 *2620:19 2.63143e-05 +37 *2367:19 *4833:178 0.0014194 +38 *2367:19 *5884:11 6.08331e-05 +39 *2367:20 *2372:49 0.0018043 +40 *2367:20 *2417:43 0.000401958 +41 *2367:20 *2460:20 0.00021876 +42 *2367:20 *2791:24 4.31485e-06 +43 *2367:20 *4829:170 2.04806e-05 +44 *2367:20 *4833:173 0.00545831 +45 *2367:20 *5493:33 0.000108586 +46 *2367:20 *5884:14 0.00331497 +47 *2367:27 *2372:49 6.24269e-05 +48 *2367:27 *2791:24 6.96237e-05 +49 *2367:27 *5904:23 4.20506e-05 +50 *2367:28 *2503:12 0.00340582 +51 *2367:28 *2679:18 0.00322959 +52 *2367:28 *5592:112 0.000117093 +53 *2367:49 *20696:A1 4.43198e-05 +54 *2367:49 *21572:A 3.20069e-06 +55 *2367:49 *2467:49 0.000108113 +56 *2367:49 *2467:55 0.00106631 +57 *2367:49 *2467:62 0.00013082 +58 *2367:49 *2486:83 0.000344954 +59 *2367:49 *2679:37 0.000134046 +60 *2367:49 *2687:42 0.000260048 +61 *2367:49 *3212:19 3.88987e-05 +62 *2367:49 *5040:13 0 +63 *2367:49 *5493:51 7.12079e-05 +64 *2367:49 *5529:11 0.000469944 +65 *2367:49 *5898:220 0.000466346 +66 *2367:51 *2467:62 0.0012117 +67 *2367:51 *2490:18 6.36477e-05 +68 *2367:51 *2530:64 0.000966529 +69 *2367:65 *2480:8 4.19401e-06 +70 *2367:65 *2490:18 1.5714e-05 +71 *2367:65 *3010:50 0.0009111 +72 *2367:65 *3531:211 0.00149361 +73 *2367:79 *21630:B1 4.00225e-05 +74 *2367:79 *22402:A2 7.53046e-05 +75 *2367:79 *22402:B2 3.82228e-05 +76 *2367:79 *22698:A1 7.14287e-05 +77 *2367:79 *2375:60 1.75401e-05 +78 *2367:79 *2949:71 9.00714e-07 +79 *2367:79 *3531:211 0.000163327 +80 *2367:79 *5457:13 1.23955e-05 +81 *20549:A *2367:10 0.000357884 +82 *20799:B2 *2367:11 1.19856e-05 +83 *20804:A2 *2367:11 2.90919e-05 +84 *20804:B2 *2367:11 0.000132414 +85 *21236:D *2367:79 5.61614e-05 +86 *21279:B1 *2367:79 0.000230736 +87 *21303:B2 *22379:A1 9.54335e-06 +88 *21309:A2 *22379:A1 2.40736e-05 +89 *21759:A2 *2367:79 0.000113478 +90 *21856:A2 *2367:79 0.000624269 +91 *24375:D *2367:11 0.00036437 +92 *24641:D *2367:10 0.000127179 +93 *24726:D *2367:11 5.07314e-05 +94 *25138:A *2367:49 5.45129e-06 +95 *478:12 *2367:16 0 +96 *502:7 *2367:51 3.13128e-05 +97 *502:11 *2367:51 1.68716e-05 +98 *1592:140 *2367:65 0.00208506 +99 *1592:140 *2367:79 0.000163327 +100 *1596:102 *2367:65 0.00126499 +101 *1610:134 *2367:79 0.00137419 +102 *1618:137 *22704:A1 7.63448e-05 +103 *1618:149 *2367:65 0.000214566 +104 *1680:174 *2367:79 0.00154928 +105 *1802:154 *2367:79 4.51619e-05 +106 *1924:16 *2367:16 0.000101133 +107 *1946:20 *2367:10 0 +108 *1994:8 *2367:10 2.85274e-05 +109 *2136:29 *2367:11 5.90436e-05 +110 *2350:40 *22704:A1 0.000119122 +111 *2350:57 *2367:79 4.04447e-05 +112 *2357:32 *2367:19 7.92757e-06 +113 *2357:34 *2367:20 0.000107251 +114 *2364:8 *22704:A1 0.000119122 +*RES +1 *21305:Y *2367:10 48.9444 +2 *2367:10 *2367:11 144.92 +3 *2367:11 *2367:16 14.154 +4 *2367:16 *2367:19 45.1549 +5 *2367:19 *2367:20 90.696 +6 *2367:20 *2367:27 15.7035 +7 *2367:27 *2367:28 56.4377 +8 *2367:28 *2367:49 49.1973 +9 *2367:49 *2367:51 52.0242 +10 *2367:51 *2367:65 13.3889 +11 *2367:65 *2367:79 17.4368 +12 *2367:79 *22379:A1 15.0122 +13 *2367:79 *21309:A1 9.24915 +14 *2367:65 *22704:A1 22.3753 +*END + +*D_NET *2368 0.0337403 +*CONN +*I *22692:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21309:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22379:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21306:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22692:B1 8.85624e-05 +2 *21309:B1 3.42483e-05 +3 *22379:B1 0.000347288 +4 *21306:Y 0.00207714 +5 *2368:70 0.000572197 +6 *2368:64 0.00171849 +7 *2368:49 0.00276125 +8 *2368:34 0.00306105 +9 *2368:23 0.00306325 +10 *2368:19 0.00340132 +11 *21309:B1 *22402:A2 7.09666e-06 +12 *21309:B1 *3327:8 5.60364e-06 +13 *22379:B1 *21309:C1 2.77625e-06 +14 *22379:B1 *22379:A2 2.65927e-05 +15 *22379:B1 *22379:B2 2.57986e-05 +16 *22692:B1 *22313:B2 4.15661e-05 +17 *22692:B1 *22693:C1 1.82679e-05 +18 *22692:B1 *3537:35 0.000107496 +19 *2368:19 *19613:A1 0.000115934 +20 *2368:19 *21064:B1 0.000358895 +21 *2368:19 *2420:42 5.01835e-05 +22 *2368:19 *2428:96 5.60804e-05 +23 *2368:19 *2431:23 0.00056166 +24 *2368:19 *2800:25 0.000153427 +25 *2368:19 *3076:58 6.23101e-05 +26 *2368:19 *5674:45 2.65831e-05 +27 *2368:19 *5859:258 0.0015164 +28 *2368:23 *22165:D 7.13037e-05 +29 *2368:23 *22176:C 4.00504e-05 +30 *2368:23 *22194:C 0.000165602 +31 *2368:23 *22195:A 0.000141046 +32 *2368:23 *2404:101 4.00504e-05 +33 *2368:23 *2411:26 0 +34 *2368:23 *2635:95 0.000167692 +35 *2368:23 *2694:36 0.000253057 +36 *2368:23 *3136:42 0.00011969 +37 *2368:23 *3139:122 2.55661e-06 +38 *2368:23 *3175:27 2.31496e-05 +39 *2368:23 *3196:23 0.00016491 +40 *2368:23 *3481:77 3.40174e-05 +41 *2368:23 *3485:133 0.000566586 +42 *2368:34 *22313:B2 7.94462e-05 +43 *2368:34 *22314:A1 1.02986e-05 +44 *2368:34 *22645:A2 8.28675e-06 +45 *2368:34 *22647:A2 0.000427384 +46 *2368:34 *22647:B1 5.21758e-06 +47 *2368:34 *22647:B2 0.000102099 +48 *2368:34 *2503:67 5.88009e-05 +49 *2368:34 *3204:299 4.19715e-05 +50 *2368:34 *3206:146 0.000184997 +51 *2368:34 *3274:8 0.00018029 +52 *2368:34 *3502:130 0.00110414 +53 *2368:34 *3511:28 3.44264e-05 +54 *2368:34 *3513:26 2.98884e-05 +55 *2368:34 *3519:30 3.7873e-05 +56 *2368:34 *3523:16 5.75543e-05 +57 *2368:34 *4808:121 8.08437e-05 +58 *2368:34 *4814:88 0.00013115 +59 *2368:49 *21359:A1 9.32704e-05 +60 *2368:49 *22365:C1 0.000118854 +61 *2368:49 *3185:155 0.000209407 +62 *2368:49 *3533:38 0.000624507 +63 *2368:49 *3537:35 0.000154145 +64 *2368:49 *4811:42 0.000211103 +65 *2368:64 *22696:A1 0.000161003 +66 *2368:64 *22696:B1 0.000219836 +67 *2368:64 *2416:53 0.000100407 +68 *2368:64 *3139:192 7.09666e-06 +69 *2368:64 *3144:152 1.21169e-05 +70 *2368:64 *3144:158 0.00116393 +71 *2368:64 *3496:163 1.5714e-05 +72 *2368:64 *3500:152 6.51527e-05 +73 *2368:64 *3502:157 0.000207901 +74 *2368:70 *21328:B 6.08467e-05 +75 *2368:70 *22402:A2 8.63253e-05 +76 *2368:70 *3204:165 4.15236e-05 +77 *21193:B1 *2368:19 0 +78 *21194:B1 *2368:34 3.91685e-05 +79 *21212:A1 *2368:34 4.29715e-05 +80 *21212:A2 *2368:34 2.05342e-06 +81 *21212:B2 *2368:34 8.8078e-05 +82 *21213:A *2368:34 7.11783e-06 +83 *21213:D *2368:34 0.000124969 +84 *21225:A1 *2368:70 0.000254551 +85 *21225:B2 *21309:B1 3.78945e-05 +86 *21225:B2 *2368:70 5.88009e-05 +87 *21273:A2 *2368:64 4.09129e-06 +88 *21273:B2 *2368:64 8.51172e-05 +89 *21309:B2 *21309:B1 1.91391e-05 +90 *22379:A1 *22379:B1 0.000200794 +91 *490:114 *2368:49 0.000526983 +92 *533:8 *2368:19 5.01835e-05 +93 *533:8 *2368:23 0.00197446 +94 *549:23 *2368:19 0 +95 *1443:49 *2368:19 0.000157671 +96 *1802:143 *2368:64 0.000309676 +97 *1802:154 *2368:64 0.000740165 +98 *2266:90 *2368:34 3.55968e-05 +99 *2278:46 *2368:23 3.77954e-05 +100 *2280:6 *2368:34 2.97156e-05 +101 *2280:6 *2368:49 0.000606309 +102 *2280:6 *2368:64 0.000101636 +103 *2288:24 *2368:70 5.1493e-06 +104 *2288:38 *2368:70 2.11989e-05 +*RES +1 *21306:Y *2368:19 30.0506 +2 *2368:19 *2368:23 47.8212 +3 *2368:23 *2368:34 48.419 +4 *2368:34 *2368:49 49.8707 +5 *2368:49 *2368:64 49.798 +6 *2368:64 *2368:70 14.6043 +7 *2368:70 *22379:B1 19.3434 +8 *2368:70 *21309:B1 14.7506 +9 *2368:34 *22692:B1 16.0286 +*END + +*D_NET *2369 0.0308827 +*CONN +*I *22368:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21308:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21307:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22368:A1 0.000477724 +2 *21308:B1 1.47608e-05 +3 *21307:Y 8.60434e-05 +4 *2369:27 0.000505855 +5 *2369:24 0.00145687 +6 *2369:23 0.00326869 +7 *2369:17 0.00303203 +8 *2369:8 0.00280066 +9 *2369:7 0.00167987 +10 *21308:B1 *2770:13 6.08467e-05 +11 *21308:B1 *5880:31 6.08467e-05 +12 *22368:A1 *22368:A2 2.02035e-05 +13 *22368:A1 *2375:12 0 +14 *22368:A1 *2375:28 0 +15 *22368:A1 *5865:151 2.15276e-05 +16 *2369:7 *5906:38 2.32702e-05 +17 *2369:8 *20403:A1 7.40074e-05 +18 *2369:8 *2523:8 0.00111164 +19 *2369:8 *2963:20 0.000100881 +20 *2369:8 *4826:18 0.000283662 +21 *2369:17 *24481:CLK 6.50586e-05 +22 *2369:17 *4822:12 0.000227892 +23 *2369:23 *2542:8 5.47736e-05 +24 *2369:23 *3045:12 6.31809e-05 +25 *2369:23 *5867:446 2.11869e-05 +26 *2369:24 *21178:A 0.000263125 +27 *2369:24 *24389:CLK 3.08002e-05 +28 *2369:24 *24436:RESET_B 5.34225e-05 +29 *2369:24 *24614:RESET_B 0.000308017 +30 *2369:24 *2375:12 9.53987e-05 +31 *2369:24 *4844:116 3.25394e-05 +32 *2369:24 *5865:151 0.000572742 +33 *2369:24 *5867:514 0.000282003 +34 *2369:24 *5888:8 0.0017854 +35 *2369:27 *2770:13 0.000103983 +36 *2369:27 *5880:31 0.000113968 +37 *20395:A *2369:17 6.08467e-05 +38 *20395:B *2369:17 7.40769e-05 +39 *20399:B2 *2369:8 4.5539e-05 +40 *20439:B2 *22368:A1 0 +41 *21429:B2 *22368:A1 0.000122378 +42 *1619:16 *2369:17 0.000389195 +43 *1771:207 *2369:17 0.000605649 +44 *1784:131 *2369:23 0.00157235 +45 *1789:23 *2369:17 0.00110503 +46 *1884:137 *2369:17 0.000366603 +47 *1945:34 *2369:8 0.000186733 +48 *1945:41 *2369:8 0.000223238 +49 *1945:48 *2369:8 0.000213249 +50 *1945:57 *2369:8 8.77987e-05 +51 *1946:10 *2369:8 0.00032235 +52 *1946:12 *2369:8 0.000785205 +53 *1946:14 *2369:8 0.000764002 +54 *1946:20 *2369:8 0.000449601 +55 *2339:8 *22368:A1 0.000715905 +56 *2339:8 *2369:24 2.87219e-05 +57 *2358:8 *2369:24 0.00361136 +*RES +1 *21307:Y *2369:7 15.5817 +2 *2369:7 *2369:8 66.6113 +3 *2369:8 *2369:17 49.8478 +4 *2369:17 *2369:23 39.0069 +5 *2369:23 *2369:24 69.1029 +6 *2369:24 *2369:27 5.778 +7 *2369:27 *21308:B1 9.97254 +8 *2369:27 *22368:A1 32.9862 +*END + +*D_NET *2370 0.0190646 +*CONN +*I *21309:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21308:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *21309:C1 0.00118145 +2 *21308:X 0.00030342 +3 *2370:8 0.00360537 +4 *2370:7 0.00272734 +5 *21309:C1 *22293:A 0.00029861 +6 *21309:C1 *22379:A2 0.000269695 +7 *21309:C1 *22380:C1 2.57631e-05 +8 *21309:C1 *2508:23 0.000951827 +9 *21309:C1 *2806:14 3.40597e-05 +10 *21309:C1 *2881:51 5.38809e-05 +11 *21309:C1 *3204:195 0.000123931 +12 *21309:C1 *3493:57 0.00045521 +13 *21309:C1 *3513:188 7.77309e-06 +14 *21309:C1 *3581:25 0.000307769 +15 *2370:7 *2770:13 0.000457749 +16 *2370:8 *22281:A1 4.00504e-05 +17 *2370:8 *22284:B 1.28732e-05 +18 *2370:8 *22284:D 0.000131165 +19 *2370:8 *22286:A1 0.000421806 +20 *2370:8 *22293:A 7.32576e-06 +21 *2370:8 *22294:B 0.00013115 +22 *2370:8 *2508:11 2.054e-05 +23 *2370:8 *2776:20 0.00274973 +24 *2370:8 *2806:8 5.92505e-05 +25 *2370:8 *2806:14 1.3313e-05 +26 *2370:8 *2881:22 0.00307367 +27 *2370:8 *2881:51 0.000125661 +28 *2370:8 *2906:39 1.85244e-05 +29 *2370:8 *3010:68 6.68896e-05 +30 *2370:8 *3153:177 2.05262e-05 +31 *2370:8 *3153:193 1.72968e-05 +32 *2370:8 *3192:135 8.94621e-05 +33 *2370:8 *3238:44 5.62106e-05 +34 *2370:8 *3521:206 0.000201271 +35 *21235:C1 *21309:C1 0.000141481 +36 *21303:B2 *21309:C1 0.000489302 +37 *21309:A2 *21309:C1 0 +38 *22379:A1 *21309:C1 2.30742e-05 +39 *22379:B1 *21309:C1 2.77625e-06 +40 *1602:128 *2370:8 6.22114e-05 +41 *1612:24 *2370:8 0.000122481 +42 *1614:104 *2370:8 5.01835e-05 +43 *1645:176 *2370:8 4.37682e-05 +44 *1800:183 *2370:8 6.87762e-05 +*RES +1 *21308:X *2370:7 18.9094 +2 *2370:7 *2370:8 83.2214 +3 *2370:8 *21309:C1 49.5935 +*END + +*D_NET *2371 0.000578965 +*CONN +*I *21328:A I *D sky130_fd_sc_hd__and4_1 +*I *21309:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21328:A 0.000199859 +2 *21309:X 0.000199859 +3 *21328:A *21328:B 5.481e-05 +4 *1802:154 *21328:A 6.22114e-05 +5 *2350:57 *21328:A 6.22259e-05 +*RES +1 *21309:X *21328:A 31.3264 +*END + +*D_NET *2372 0.0559188 +*CONN +*I *21315:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21310:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21315:A1 6.00799e-05 +2 *21310:Y 9.66154e-05 +3 *2372:60 0.00511634 +4 *2372:49 0.00550403 +5 *2372:41 0.000990832 +6 *2372:32 0.0018169 +7 *2372:30 0.00211456 +8 *2372:22 0.0035033 +9 *2372:16 0.00336817 +10 *2372:13 0.00152224 +11 *2372:8 0.000867381 +12 *2372:7 0.000147345 +13 *2372:7 *21310:A 0.000171273 +14 *2372:7 *5506:22 0.000734107 +15 *2372:7 *5716:15 0.000242981 +16 *2372:13 *5506:22 0.00134786 +17 *2372:16 *23914:A 7.15574e-05 +18 *2372:16 *25236:A 4.08958e-05 +19 *2372:16 *4834:12 0 +20 *2372:16 *4834:16 0 +21 *2372:16 *4835:18 0.00132976 +22 *2372:16 *4836:55 0.000172455 +23 *2372:16 *5506:21 0 +24 *2372:16 *5728:10 0 +25 *2372:22 *25220:A 9.67077e-05 +26 *2372:22 *2467:26 0 +27 *2372:22 *2467:28 9.92046e-06 +28 *2372:22 *5907:17 0.00010906 +29 *2372:30 *23883:A 9.71323e-06 +30 *2372:30 *25219:A 0.000530632 +31 *2372:30 *2467:28 0.00151369 +32 *2372:30 *5884:11 0 +33 *2372:30 *5907:17 0.00023618 +34 *2372:32 *22020:A 0.000117492 +35 *2372:32 *23883:A 2.42661e-05 +36 *2372:32 *2417:28 0.000272585 +37 *2372:32 *2467:28 1.92888e-05 +38 *2372:32 *2938:26 0.000349938 +39 *2372:32 *3034:6 0.00345509 +40 *2372:32 *4818:116 0.00172377 +41 *2372:32 *4821:151 0 +42 *2372:32 *5884:11 0 +43 *2372:41 *2687:29 6.58286e-06 +44 *2372:41 *2687:30 9.47963e-05 +45 *2372:41 *2938:26 0.000136682 +46 *2372:41 *3034:6 1.26298e-05 +47 *2372:41 *4829:170 5.89592e-05 +48 *2372:49 *2460:40 0.000290304 +49 *2372:49 *4829:170 0.0018043 +50 *2372:49 *5904:23 3.82228e-05 +51 *2372:60 *20214:B1 0.000648592 +52 *2372:60 *2416:16 8.43942e-05 +53 *2372:60 *2417:43 0.000110809 +54 *2372:60 *2451:36 1.22852e-05 +55 *2372:60 *2738:13 0.000349533 +56 *2372:60 *2938:30 0.00146212 +57 *2372:60 *3263:23 0 +58 *2372:60 *3326:15 7.98381e-05 +59 *2372:60 *4812:18 0.000872283 +60 *2372:60 *4812:80 0.000145644 +61 *2372:60 *4825:72 0.00145852 +62 *2372:60 *4833:155 8.85718e-05 +63 *2372:60 *5857:503 0.000438265 +64 mgmt_gpio_oeb[13] *2372:60 0 +65 mgmt_gpio_oeb[15] *2372:32 4.97938e-05 +66 mgmt_gpio_oeb[16] *2372:32 0.000112672 +67 mgmt_gpio_out[16] *2372:32 3.59462e-05 +68 mgmt_gpio_out[17] *2372:30 0.00015226 +69 *20337:B2 *2372:16 0.000628306 +70 *21315:B2 *21315:A1 0.000158371 +71 *21611:A2 *21315:A1 1.82679e-05 +72 *25140:A *2372:60 4.13683e-05 +73 *25144:A *2372:32 0.000112251 +74 *25145:A *2372:30 4.97938e-05 +75 *1418:108 *2372:60 0.000160069 +76 *1551:172 *2372:60 0.000605629 +77 *1608:113 *2372:60 0.00220629 +78 *1631:72 *2372:60 6.03122e-05 +79 *1658:119 *2372:60 0.00331588 +80 *1742:93 *2372:60 1.7592e-05 +81 *2262:43 *2372:60 0 +82 *2321:36 *2372:60 0.000446884 +83 *2367:20 *2372:49 0.0018043 +84 *2367:27 *2372:49 6.24269e-05 +*RES +1 *21310:Y *2372:7 26.4315 +2 *2372:7 *2372:8 57.9449 +3 *2372:8 *2372:13 28.3376 +4 *2372:13 *2372:16 33.3234 +5 *2372:16 *2372:22 42.9358 +6 *2372:22 *2372:30 38.3498 +7 *2372:30 *2372:32 67.4418 +8 *2372:32 *2372:41 21.2301 +9 *2372:41 *2372:49 43.2489 +10 *2372:49 *2372:60 47.0965 +11 *2372:60 *21315:A1 15.5817 +*END + +*D_NET *2373 0.0252987 +*CONN +*I *21315:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22703:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22374:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21311:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21315:B1 0.000132932 +2 *22703:A1 5.29481e-05 +3 *22374:A1 0 +4 *21311:Y 0.00100068 +5 *2373:51 0.00144704 +6 *2373:32 0.00249715 +7 *2373:17 0.00274314 +8 *2373:14 0.00261373 +9 *22703:A1 *3529:212 3.82228e-05 +10 *22703:A1 *3646:23 6.98337e-06 +11 *2373:14 *24301:CLK 0.000194958 +12 *2373:14 *2499:28 4.51421e-05 +13 *2373:14 *3010:8 9.781e-05 +14 *2373:14 *4805:35 0.00079078 +15 *2373:14 *4823:47 0.000144065 +16 *2373:14 *4843:298 9.42691e-05 +17 *2373:17 *22295:A1 0.000210799 +18 *2373:17 *2452:14 0.00402018 +19 *2373:17 *2729:47 0.00030039 +20 *2373:17 *2820:26 0.000106923 +21 *2373:17 *4812:18 3.57523e-05 +22 *2373:17 *4823:45 0.0019591 +23 *2373:17 *4902:71 6.32957e-05 +24 *2373:32 *21607:A 0.000158357 +25 *2373:32 *22295:A1 0.000143328 +26 *2373:32 *22362:A2 9.65746e-05 +27 *2373:32 *22362:B2 0.000109583 +28 *2373:32 *2374:48 1.29348e-05 +29 *2373:32 *2416:16 0 +30 *2373:32 *2452:14 0.000138978 +31 *2373:32 *3155:193 6.72969e-05 +32 *2373:32 *3177:327 1.69247e-05 +33 *2373:32 *3324:11 7.94462e-05 +34 *2373:32 *3326:15 0 +35 *2373:32 *5853:178 5.481e-05 +36 *2373:51 *22372:A 0.000235161 +37 *2373:51 *22372:B 2.77115e-05 +38 *2373:51 *22686:B1 1.41181e-05 +39 *2373:51 *22706:C 0.000133186 +40 *2373:51 *2906:39 2.57847e-05 +41 *2373:51 *3179:224 8.43855e-05 +42 *2373:51 *3326:15 1.17512e-05 +43 *2373:51 *3338:10 1.83369e-05 +44 *2373:51 *3543:111 6.78364e-06 +45 *20727:B *2373:17 5.71409e-06 +46 *20956:A *2373:14 7.13972e-05 +47 *21297:A1 *2373:51 5.19758e-05 +48 *21297:A2 *2373:51 4.39636e-05 +49 *22362:A1 *2373:32 0.000121559 +50 *22374:B1 *2373:51 6.08467e-05 +51 *22384:A1 *2373:17 0.000486532 +52 *1592:189 *2373:14 5.481e-05 +53 *1596:102 *2373:51 4.0605e-06 +54 *1608:107 *2373:17 0.000740478 +55 *1618:137 *2373:51 0.000245663 +56 *1627:118 *2373:51 9.80784e-05 +57 *2161:17 *2373:14 8.02776e-05 +58 *2162:7 *2373:14 7.76105e-06 +59 *2245:17 *2373:14 7.13972e-05 +60 *2252:43 *2373:17 0.000345678 +61 *2266:13 *21315:B1 0.000215759 +62 *2266:13 *2373:17 3.32873e-06 +63 *2266:13 *2373:32 0.00020466 +64 *2337:40 *2373:51 0.000428568 +65 *2340:32 *2373:32 0.0002216 +66 *2350:38 *2373:32 0.000311444 +67 *2350:40 *2373:32 0.000138108 +68 *2352:8 *21315:B1 0.000215759 +69 *2352:8 *2373:32 0.000654204 +70 *2352:8 *2373:51 3.88213e-05 +71 *2357:61 *2373:51 0.000106193 +72 *2360:66 *2373:51 0.000238351 +*RES +1 *21311:Y *2373:14 49.6943 +2 *2373:14 *2373:17 10.4558 +3 *2373:17 *2373:32 40.7728 +4 *2373:32 *2373:51 45.3619 +5 *2373:51 *22374:A1 9.24915 +6 *2373:32 *22703:A1 15.0271 +7 *2373:17 *21315:B1 21.6491 +*END + +*D_NET *2374 0.0238156 +*CONN +*I *21314:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22363:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22699:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21312:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21314:A1 2.06838e-05 +2 *22363:B1 2.50285e-05 +3 *22699:B1 0.000502389 +4 *21312:Y 0.00121803 +5 *2374:48 0.00225956 +6 *2374:34 0.00235514 +7 *2374:26 0.00165234 +8 *2374:18 0.00231849 +9 *2374:14 0.00247781 +10 *21314:A1 *21315:C1 0.000111708 +11 *22363:B1 *22025:A 2.16355e-05 +12 *22363:B1 *3166:166 2.16355e-05 +13 *22699:B1 *22699:A2 0.000212708 +14 *22699:B1 *2508:11 3.40316e-05 +15 *22699:B1 *3010:68 0.000162268 +16 *22699:B1 *3051:20 0.000338954 +17 *22699:B1 *3509:241 4.78601e-05 +18 *22699:B1 *3527:207 4.76807e-05 +19 *2374:14 *21312:A 6.50727e-05 +20 *2374:14 *24548:RESET_B 0.000100636 +21 *2374:14 *2530:11 0.000408413 +22 *2374:14 *2530:16 0.000162548 +23 *2374:14 *4823:8 0 +24 *2374:14 *5867:416 0.000109048 +25 *2374:18 *2530:16 0.000394005 +26 *2374:18 *4823:12 0.000772173 +27 *2374:18 *4839:119 3.55968e-05 +28 *2374:18 *5108:10 6.31665e-05 +29 *2374:26 *2729:38 0.00010096 +30 *2374:26 *4823:12 0.000138388 +31 *2374:26 *5329:8 0.000119182 +32 *2374:34 *22295:A2 0.00044471 +33 *2374:34 *22296:C1 0.000493634 +34 *2374:34 *2416:16 0.000189702 +35 *2374:34 *2545:55 1.65872e-05 +36 *2374:34 *3166:155 2.69795e-05 +37 *2374:34 *3166:159 0.00011795 +38 *2374:48 *2416:16 0.0004339 +39 *2374:48 *2508:11 0.000118057 +40 *2374:48 *2820:34 0 +41 *2374:48 *3051:20 6.34651e-06 +42 *2374:48 *3175:180 9.11636e-05 +43 *2374:48 *3177:327 1.21461e-06 +44 *2374:48 *3179:233 4.68441e-05 +45 *2374:48 *3179:243 7.58067e-06 +46 *20705:A2 *2374:18 0.000145861 +47 *21278:B2 *2374:48 0.000125186 +48 *21856:A2 *22699:B1 2.95757e-05 +49 *22366:B1 *2374:48 5.49916e-05 +50 *22695:B1 *2374:48 1.91391e-05 +51 *22699:A1 *22699:B1 0 +52 *24434:D *2374:18 0.000122083 +53 *24546:D *2374:14 9.60366e-05 +54 *500:13 *2374:48 2.62604e-05 +55 *1418:181 *2374:26 5.09147e-05 +56 *1551:172 *2374:26 0.000626629 +57 *1635:116 *2374:48 3.56486e-06 +58 *1635:120 *2374:48 6.04981e-05 +59 *1655:199 *2374:48 0.000143612 +60 *1658:99 *2374:26 5.60804e-05 +61 *1725:181 *2374:26 0.000457811 +62 *1725:192 *21314:A1 0.000111708 +63 *1771:206 *2374:14 0.000129499 +64 *1784:96 *2374:26 5.61749e-05 +65 *1784:96 *2374:48 5.92342e-05 +66 *1784:111 *2374:26 3.83274e-05 +67 *1784:124 *2374:18 0.000401021 +68 *1784:124 *2374:26 8.9567e-05 +69 *1784:130 *2374:14 0 +70 *1784:130 *2374:18 0 +71 *1800:128 *22699:B1 6.45397e-05 +72 *1800:128 *2374:48 0.000128162 +73 *1800:151 *2374:26 0.000104569 +74 *1800:171 *2374:26 0.0010995 +75 *2339:8 *2374:26 6.51297e-05 +76 *2339:8 *2374:34 0.000458008 +77 *2340:24 *2374:26 7.62928e-05 +78 *2340:24 *2374:34 1.72799e-05 +79 *2340:24 *2374:48 4.76961e-05 +80 *2340:32 *2374:48 8.63325e-05 +81 *2343:25 *2374:26 9.14772e-05 +82 *2350:20 *2374:26 5.41227e-05 +83 *2350:38 *2374:26 7.22263e-05 +84 *2350:38 *2374:34 0.000241712 +85 *2373:32 *2374:48 1.29348e-05 +*RES +1 *21312:Y *2374:14 49.4392 +2 *2374:14 *2374:18 41.5741 +3 *2374:18 *2374:26 36.8553 +4 *2374:26 *2374:34 28.3449 +5 *2374:34 *2374:48 45.4253 +6 *2374:48 *22699:B1 27.7925 +7 *2374:34 *22363:B1 14.4725 +8 *2374:26 *21314:A1 15.0271 +*END + +*D_NET *2375 0.0427003 +*CONN +*I *21314:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22367:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22690:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21313:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21314:B1 3.20585e-05 +2 *22367:A1 8.59271e-05 +3 *22690:A1 0.000742946 +4 *21313:Y 0.000270724 +5 *2375:60 0.00346773 +6 *2375:36 0.0042291 +7 *2375:28 0.00237914 +8 *2375:12 0.00407566 +9 *2375:10 0.00422469 +10 *2375:7 0.00134845 +11 *21314:B1 *21315:C1 1.65872e-05 +12 *22367:A1 *3175:195 0.000253916 +13 *22367:A1 *3324:11 5.481e-05 +14 *22690:A1 *21328:C 7.83806e-06 +15 *22690:A1 *3126:42 4.41652e-05 +16 *22690:A1 *3126:75 4.71538e-05 +17 *22690:A1 *3151:216 7.30831e-05 +18 *22690:A1 *3474:175 0.00012061 +19 *22690:A1 *3476:172 0.000141642 +20 *22690:A1 *3476:184 6.20292e-05 +21 *2375:7 *20504:A1 5.75554e-05 +22 *2375:10 *20502:A1 0.000367283 +23 *2375:10 *24513:RESET_B 7.5845e-05 +24 *2375:10 *24514:CLK 0 +25 *2375:10 *24573:RESET_B 3.14199e-05 +26 *2375:10 *5290:10 0 +27 *2375:10 *5866:630 0.000362682 +28 *2375:10 *5888:8 0.00227837 +29 *2375:12 *20878:A1 0 +30 *2375:12 *21178:A 7.02358e-06 +31 *2375:12 *21428:A 0.000137921 +32 *2375:12 *24389:CLK 0.00146863 +33 *2375:12 *24436:RESET_B 0.000362951 +34 *2375:12 *24614:RESET_B 2.97007e-05 +35 *2375:12 *5147:9 0.000116986 +36 *2375:12 *5328:8 0.000161966 +37 *2375:12 *5865:151 0.00012413 +38 *2375:12 *5866:601 0.000387988 +39 *2375:12 *5866:610 0.000384703 +40 *2375:12 *5866:619 8.24e-05 +41 *2375:12 *5866:630 0.000123119 +42 *2375:12 *5888:8 0.000965271 +43 *2375:28 *22368:A2 4.75721e-06 +44 *2375:28 *22369:B1 0.000181942 +45 *2375:28 *22369:C1 5.01835e-05 +46 *2375:28 *2738:13 0.000548186 +47 *2375:28 *2950:76 0.000175733 +48 *2375:28 *5861:298 0.000170297 +49 *2375:36 *2404:25 4.3663e-05 +50 *2375:36 *3164:180 0.000114678 +51 *2375:36 *3168:193 7.85959e-05 +52 *2375:36 *3168:198 4.49279e-05 +53 *2375:60 *22358:A2 0.000119313 +54 *2375:60 *22372:A 0.00140329 +55 *2375:60 *22698:A1 0.000133183 +56 *2375:60 *22703:A2 6.49244e-05 +57 *2375:60 *2389:96 4.69495e-06 +58 *2375:60 *2530:90 0.000127566 +59 *2375:60 *3513:212 0.000152718 +60 *2375:60 *3527:207 3.77354e-05 +61 *2375:60 *3527:227 1.97788e-05 +62 *2375:60 *4873:17 3.38266e-05 +63 *20439:B2 *2375:12 0.000608747 +64 *20589:B2 *2375:10 0 +65 *20591:B2 *2375:10 3.80794e-05 +66 *21262:C *22690:A1 3.12828e-05 +67 *21272:B1 *2375:60 0.00037681 +68 *21283:B2 *2375:60 5.76799e-05 +69 *21284:A2 *2375:60 1.5298e-05 +70 *21302:B1 *2375:60 7.83498e-05 +71 *21303:A1 *2375:60 4.87595e-05 +72 *21354:B *22690:A1 1.82618e-05 +73 *21354:B *2375:60 0.000329739 +74 *22368:A1 *2375:12 0 +75 *22368:A1 *2375:28 0 +76 *22699:A1 *2375:60 6.26377e-05 +77 *22703:B1 *2375:36 6.03216e-05 +78 *22703:B1 *2375:60 0.000118724 +79 *24354:D *2375:12 0 +80 *1551:172 *2375:28 0.00055005 +81 *1602:161 *2375:60 0.000169878 +82 *1608:156 *21314:B1 2.78496e-05 +83 *1610:115 *2375:60 6.32957e-05 +84 *1610:134 *2375:60 0.000192159 +85 *1610:155 *2375:60 3.03217e-05 +86 *1616:81 *22690:A1 5.80172e-05 +87 *1616:81 *2375:60 0.000405122 +88 *1616:90 *2375:60 0.000371829 +89 *1616:157 *2375:60 5.88009e-05 +90 *1620:153 *2375:12 7.20173e-06 +91 *1708:104 *2375:28 0 +92 *1771:140 *21314:B1 6.3657e-05 +93 *1771:140 *2375:36 0.00011393 +94 *1790:158 *2375:36 0.00035859 +95 *1800:128 *2375:36 0.000308421 +96 *1800:151 *2375:36 0.000431601 +97 *1884:117 *2375:12 0.000335911 +98 *1959:68 *2375:12 0.000675669 +99 *2007:52 *2375:10 2.36494e-05 +100 *2077:29 *2375:12 9.75356e-05 +101 *2255:13 *22690:A1 0.000110297 +102 *2331:8 *2375:12 0.00048358 +103 *2331:8 *2375:28 6.84784e-06 +104 *2336:21 *22690:A1 0 +105 *2339:8 *2375:28 0 +106 *2340:24 *2375:28 0.000154952 +107 *2340:24 *2375:36 2.02035e-05 +108 *2343:25 *2375:28 1.30724e-05 +109 *2347:36 *2375:12 0.000900198 +110 *2347:36 *2375:28 0.000122085 +111 *2347:44 *2375:28 4.45229e-05 +112 *2348:15 *2375:28 3.08255e-05 +113 *2348:15 *2375:36 8.6837e-05 +114 *2350:40 *2375:36 0.000124374 +115 *2350:40 *2375:60 0.00023677 +116 *2352:8 *2375:36 0.000399137 +117 *2352:8 *2375:60 0.000223267 +118 *2354:50 *22690:A1 0.000439007 +119 *2357:87 *2375:60 7.54513e-05 +120 *2360:78 *2375:60 0.000162433 +121 *2361:74 *22690:A1 0 +122 *2364:8 *2375:60 5.11321e-05 +123 *2367:79 *2375:60 1.75401e-05 +124 *2369:24 *2375:12 9.53987e-05 +*RES +1 *21313:Y *2375:7 17.8002 +2 *2375:7 *2375:10 41.8061 +3 *2375:10 *2375:12 112.289 +4 *2375:12 *2375:28 18.0436 +5 *2375:28 *2375:36 43.2968 +6 *2375:36 *2375:60 49.3346 +7 *2375:60 *22690:A1 41.6252 +8 *2375:36 *22367:A1 16.691 +9 *2375:28 *21314:B1 15.0271 +*END + +*D_NET *2376 0.00120857 +*CONN +*I *21315:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21314:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21315:C1 0.00028389 +2 *21314:X 0.00028389 +3 *21315:C1 *2377:7 0.000300565 +4 *21314:A1 *21315:C1 0.000111708 +5 *21314:B1 *21315:C1 1.65872e-05 +6 *21315:B2 *21315:C1 8.67924e-06 +7 *1608:156 *21315:C1 6.11359e-06 +8 *1725:192 *21315:C1 6.22703e-05 +9 *1771:140 *21315:C1 0.000121953 +10 *1771:151 *21315:C1 1.29172e-05 +*RES +1 *21314:X *21315:C1 26.094 +*END + +*D_NET *2377 0.0162705 +*CONN +*I *21328:B I *D sky130_fd_sc_hd__and4_1 +*I *21315:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21328:B 0.000474614 +2 *21315:X 0.0005159 +3 *2377:26 0.00202942 +4 *2377:13 0.00276339 +5 *2377:7 0.00172449 +6 *21328:B *3144:158 0.000206099 +7 *21328:B *3144:171 0.000193177 +8 *2377:13 *22295:A1 0.000226319 +9 *2377:13 *3051:20 0.000162739 +10 *2377:13 *3175:180 0.000132548 +11 *2377:13 *3533:148 0.000165521 +12 *2377:26 *22700:A2 0.000169466 +13 *2377:26 *22701:B2 0.000291348 +14 *2377:26 *3519:260 0.000122656 +15 *2377:26 *5890:36 0.000536973 +16 *21225:A1 *21328:B 9.77007e-05 +17 *21235:B1 *21328:B 0.000156955 +18 *21279:B1 *2377:26 0.000128426 +19 *21315:B2 *2377:7 0.000247443 +20 *21315:C1 *2377:7 0.000300565 +21 *21328:A *21328:B 5.481e-05 +22 *22367:B1 *2377:13 2.01653e-05 +23 *22701:B1 *2377:13 0 +24 *22701:B1 *2377:26 0.000618424 +25 *1608:156 *2377:7 2.8182e-06 +26 *1655:199 *2377:13 1.27831e-06 +27 *1790:144 *2377:13 0.000254385 +28 *1790:158 *2377:13 0.000146854 +29 *1802:154 *21328:B 0.000372682 +30 *2287:112 *21328:B 1.32878e-05 +31 *2331:29 *2377:26 0.00110269 +32 *2339:8 *2377:13 0.000142913 +33 *2339:21 *2377:13 5.58956e-05 +34 *2350:48 *2377:26 0.00104968 +35 *2358:16 *2377:13 0.00172802 +36 *2358:16 *2377:26 0 +37 *2368:70 *21328:B 6.08467e-05 +*RES +1 *21315:X *2377:7 21.6824 +2 *2377:7 *2377:13 46.3 +3 *2377:13 *2377:26 48.5601 +4 *2377:26 *21328:B 36.2783 +*END + +*D_NET *2378 0.0486028 +*CONN +*I *21321:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22696:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22370:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21316:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21321:A1 0.000103739 +2 *22696:B1 0.000272079 +3 *22370:B1 9.61031e-05 +4 *21316:Y 0.00162619 +5 *2378:46 0.00297848 +6 *2378:41 0.004209 +7 *2378:33 0.00283968 +8 *2378:27 0.0024071 +9 *2378:12 0.00482038 +10 *2378:11 0.00538418 +11 *21321:A1 *2610:83 3.03217e-05 +12 *21321:A1 *3117:37 5.83513e-05 +13 *21321:A1 *3139:122 3.29488e-05 +14 *21321:A1 *3485:115 0.000107496 +15 *22370:B1 *21359:A1 1.91391e-05 +16 *22370:B1 *3531:43 0.000213062 +17 *22370:B1 *3533:38 0.000211741 +18 *22696:B1 *22696:A2 7.92757e-06 +19 *22696:B1 *22696:B2 4.83435e-05 +20 *2378:11 *21316:A 5.04829e-06 +21 *2378:11 *24756:CLK 7.26543e-05 +22 *2378:11 *4953:10 1.98996e-05 +23 *2378:12 *20974:B2 9.06283e-05 +24 *2378:12 *22515:C 0.000662349 +25 *2378:12 *22520:A 6.10997e-05 +26 *2378:12 *23978:A1 0 +27 *2378:12 *24372:CLK 5.1493e-06 +28 *2378:12 *24938:A 0.00159724 +29 *2378:12 *2398:93 0.000432001 +30 *2378:12 *2667:20 0 +31 *2378:12 *3472:17 4.51562e-05 +32 *2378:12 *3479:10 6.51376e-05 +33 *2378:12 *4829:45 5.47736e-05 +34 *2378:12 *4875:6 0 +35 *2378:12 *4876:8 5.25994e-05 +36 *2378:12 *4876:14 0.000523284 +37 *2378:12 *4953:10 4.10598e-05 +38 *2378:12 *5870:733 0.000102887 +39 *2378:12 *5944:44 3.01508e-05 +40 *2378:12 *5948:17 0.000186865 +41 *2378:12 *5948:30 0.000907243 +42 *2378:12 *5972:8 1.7576e-05 +43 *2378:12 *6027:207 0 +44 *2378:27 *22244:B 2.57986e-05 +45 *2378:27 *22537:B 0.000453412 +46 *2378:27 *2394:17 0.000329162 +47 *2378:27 *2924:89 0.000461401 +48 *2378:27 *2978:97 2.5798e-05 +49 *2378:27 *2978:99 1.55025e-05 +50 *2378:27 *3469:12 3.90046e-05 +51 *2378:27 *5944:69 4.95627e-05 +52 *2378:27 *5948:39 2.24715e-05 +53 *2378:33 *22244:B 6.87762e-05 +54 *2378:33 *2693:19 0.000352136 +55 *2378:33 *3124:15 4.49233e-05 +56 *2378:33 *3132:8 0.000342212 +57 *2378:33 *3204:19 0.000206729 +58 *2378:33 *3483:8 0.000640009 +59 *2378:33 *4827:29 6.71978e-06 +60 *2378:33 *4856:30 8.97218e-06 +61 *2378:33 *4865:24 0.000170684 +62 *2378:41 *2381:38 0.000282852 +63 *2378:41 *2403:17 0.000100369 +64 *2378:41 *2408:10 0.000175414 +65 *2378:41 *2415:19 5.35941e-05 +66 *2378:41 *2610:83 0.000118545 +67 *2378:41 *2800:25 9.42362e-06 +68 *2378:41 *3117:37 0.000110067 +69 *2378:41 *3124:18 0.001387 +70 *2378:41 *3124:24 9.49945e-05 +71 *2378:41 *3139:122 0.000127288 +72 *2378:41 *3151:17 0.000487786 +73 *2378:41 *3162:11 0.000109354 +74 *2378:41 *3481:16 7.78711e-05 +75 *2378:41 *3496:29 7.68008e-05 +76 *2378:46 *2431:23 0.000341473 +77 *2378:46 *3144:103 0.000184359 +78 *2378:46 *3485:133 4.45853e-05 +79 *2378:46 *3509:24 0.00107165 +80 *2378:46 *3529:39 6.14756e-06 +81 *6142:DIODE *2378:11 0.000122378 +82 *20974:A1 *2378:12 0.000318291 +83 *21321:B2 *21321:A1 8.67924e-06 +84 *22244:C *2378:27 0.000114584 +85 *22244:C *2378:33 2.5144e-05 +86 *23975:A0 *2378:12 0.000319678 +87 *23978:S *2378:12 0 +88 *24756:D *2378:11 4.43961e-05 +89 *439:194 *2378:12 0 +90 *501:22 *2378:12 0 +91 *506:27 *2378:33 5.66606e-05 +92 *524:6 *2378:12 0 +93 *530:26 *2378:12 0.000595194 +94 *531:68 *2378:12 2.41423e-05 +95 *533:8 *21321:A1 3.29488e-05 +96 *534:8 *2378:12 0 +97 *541:46 *2378:12 0.000889371 +98 *545:37 *2378:12 0 +99 *1439:191 *2378:11 0.000472832 +100 *1502:204 *2378:41 0 +101 *1573:62 *2378:12 0 +102 *1592:93 *2378:46 0.000463765 +103 *1649:80 *2378:41 7.8756e-07 +104 *1669:181 *21321:A1 5.04829e-06 +105 *1680:137 *2378:46 0.00249298 +106 *1802:136 *2378:46 0.000187261 +107 *2088:103 *2378:27 2.41274e-06 +108 *2089:12 *2378:12 0 +109 *2089:22 *2378:12 0 +110 *2089:22 *2378:27 9.68902e-05 +111 *2093:51 *2378:46 0.00241527 +112 *2123:47 *2378:33 0.000676915 +113 *2266:90 *2378:46 0.000222285 +114 *2280:6 *22370:B1 7.13655e-06 +115 *2280:6 *22696:B1 0.000210104 +116 *2282:88 *21321:A1 1.58551e-05 +117 *2368:64 *22696:B1 0.000219836 +*RES +1 *21316:Y *2378:11 41.1417 +2 *2378:11 *2378:12 111.043 +3 *2378:12 *2378:27 46.2783 +4 *2378:27 *2378:33 40.0909 +5 *2378:33 *2378:41 46.6341 +6 *2378:41 *2378:46 11.8912 +7 *2378:46 *22370:B1 17.8141 +8 *2378:46 *22696:B1 23.7764 +9 *2378:41 *21321:A1 19.8566 +*END + +*D_NET *2379 0.0601855 +*CONN +*I *22694:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22378:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21321:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21317:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22694:A1 8.60581e-05 +2 *22378:A1 0.00107456 +3 *21321:B1 0.00155951 +4 *21317:Y 0.00259649 +5 *2379:63 0.00396389 +6 *2379:58 0.00663552 +7 *2379:28 0.00539175 +8 *2379:26 0.00139845 +9 *2379:17 0.00399494 +10 *21321:B1 *22638:B2 0.000118374 +11 *21321:B1 *2380:84 2.85889e-05 +12 *21321:B1 *2383:25 0.00120242 +13 *21321:B1 *2403:17 4.09154e-05 +14 *21321:B1 *2610:83 0.000178928 +15 *21321:B1 *3117:37 0.00128944 +16 *21321:B1 *3139:122 3.63593e-05 +17 *21321:B1 *3179:134 8.41266e-06 +18 *21321:B1 *3507:19 0.000106353 +19 *21321:B1 *4804:46 0.000526399 +20 *22378:A1 *21396:A1 0.000206333 +21 *22378:A1 *2534:23 0.000206333 +22 *22378:A1 *3148:72 0.00181461 +23 *22378:A1 *3541:29 5.63858e-05 +24 *22378:A1 *4812:38 0.0015131 +25 *22694:A1 *3151:216 0.00025175 +26 *22694:A1 *3177:288 1.5714e-05 +27 *22694:A1 *3476:184 9.5562e-05 +28 *2379:17 *2440:54 0.000575688 +29 *2379:17 *2729:121 8.20167e-06 +30 *2379:17 *3076:58 3.64246e-05 +31 *2379:17 *3547:26 0.00218873 +32 *2379:17 *4830:71 0.000417274 +33 *2379:17 *4844:303 1.08722e-05 +34 *2379:17 *5794:45 1.58551e-05 +35 *2379:17 *5859:251 0.000108986 +36 *2379:26 *22146:A 1.17425e-05 +37 *2379:26 *22157:D 0.000161228 +38 *2379:26 *22161:B 0.000357087 +39 *2379:26 *22163:B 0.000264223 +40 *2379:26 *22175:A 0.000112821 +41 *2379:26 *22227:A 0.000115573 +42 *2379:26 *2440:55 0.00118137 +43 *2379:26 *3104:40 5.04145e-05 +44 *2379:26 *3118:39 9.62058e-05 +45 *2379:26 *3122:11 0.000127313 +46 *2379:26 *3126:10 2.2637e-05 +47 *2379:26 *3136:24 0.000694899 +48 *2379:58 *24249:RESET_B 0.000476065 +49 *2379:58 *2380:84 0 +50 *2379:58 *2383:25 1.72028e-05 +51 *2379:58 *2397:10 0.000218013 +52 *2379:58 *2404:101 0.000358233 +53 *2379:58 *2467:114 0 +54 *2379:58 *2610:83 0.000988992 +55 *2379:58 *3153:50 0.00083456 +56 *2379:58 *3196:12 4.44353e-05 +57 *2379:58 *4833:130 3.5572e-05 +58 *2379:58 *5068:15 6.77655e-05 +59 *2379:63 *21269:A 0.000117271 +60 *2379:63 *22354:B2 5.60804e-05 +61 *2379:63 *22398:B2 0.000153427 +62 *2379:63 *2882:74 0.000175455 +63 *2379:63 *3020:14 7.65454e-05 +64 *2379:63 *3050:28 0.000173588 +65 *2379:63 *4814:64 2.68993e-05 +66 *2379:63 *4816:124 0.000197597 +67 *2379:63 *5068:15 6.215e-05 +68 *2379:63 *5924:37 0.000684029 +69 *20162:B *2379:26 4.69495e-06 +70 *21242:A2 *22378:A1 3.17436e-05 +71 *21242:A2 *2379:63 0.00207632 +72 *21242:C1 *2379:63 0.000417539 +73 *512:56 *2379:17 0 +74 *512:56 *2379:58 1.83721e-05 +75 *535:19 *2379:58 0.000917927 +76 *1418:50 *2379:58 0.000627832 +77 *1418:63 *2379:58 0.000187853 +78 *1418:63 *2379:63 0.000237769 +79 *1418:79 *2379:63 0.00116234 +80 *1419:239 *2379:58 0.0036354 +81 *1542:201 *21321:B1 0.000396932 +82 *1558:24 *22378:A1 0.000114078 +83 *1558:24 *2379:63 0.000194694 +84 *1564:106 *2379:63 0.000640214 +85 *1636:68 *2379:17 1.97426e-05 +86 *1688:91 *2379:58 0.000305291 +87 *1717:106 *22378:A1 8.09106e-06 +88 *2085:50 *2379:17 4.62112e-05 +89 *2088:84 *2379:17 1.27071e-05 +90 *2093:5 *2379:17 0.000186597 +91 *2093:9 *2379:17 0.00186872 +92 *2100:68 *2379:26 7.63124e-05 +93 *2111:20 *2379:26 5.9648e-06 +94 *2117:20 *2379:26 0.000786651 +95 *2244:73 *22378:A1 0.000127512 +96 *2258:64 *22694:A1 1.5714e-05 +97 *2294:64 *22378:A1 0.000108133 +98 *2301:57 *2379:63 0.000122994 +99 *2355:42 *22378:A1 0.000112628 +*RES +1 *21317:Y *2379:17 48.379 +2 *2379:17 *2379:26 49.6193 +3 *2379:26 *2379:28 3.36879 +4 *2379:28 *21321:B1 22.571 +5 *2379:28 *2379:58 35.8489 +6 *2379:58 *2379:63 37.1265 +7 *2379:63 *22378:A1 25.088 +8 *2379:63 *22694:A1 20.4384 +*END + +*D_NET *2380 0.0833654 +*CONN +*I *21320:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22369:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21318:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21320:A1 0.000357002 +2 *22369:B1 0.000364096 +3 *21318:Y 3.1731e-05 +4 *2380:84 0.00670466 +5 *2380:62 0.00935913 +6 *2380:60 0.00606369 +7 *2380:41 0.00478341 +8 *2380:20 0.00236987 +9 *2380:16 0.00154613 +10 *2380:8 0.00354961 +11 *2380:7 0.0023098 +12 *21320:A1 *22638:B2 0.000111722 +13 *21320:A1 *22639:C1 7.31445e-05 +14 *21320:A1 *3151:40 0.000248932 +15 *21320:A1 *3527:16 0.000111708 +16 *22369:B1 *22369:C1 1.53046e-05 +17 *22369:B1 *2520:21 0.000855307 +18 *22369:B1 *3106:247 0.000981654 +19 *2380:7 *4843:150 5.04829e-06 +20 *2380:8 *20784:B1 0.000165495 +21 *2380:8 *20878:A1 0 +22 *2380:8 *21300:A 0 +23 *2380:8 *2570:14 0 +24 *2380:8 *4826:28 0 +25 *2380:8 *5855:561 0.000824423 +26 *2380:8 *5866:664 2.35336e-05 +27 *2380:8 *5867:499 4.98048e-05 +28 *2380:16 *2448:49 7.50872e-05 +29 *2380:16 *2797:30 1.9101e-05 +30 *2380:20 *21429:A1 1.8284e-05 +31 *2380:20 *2409:6 4.91347e-05 +32 *2380:41 *20924:A1 4.31539e-05 +33 *2380:41 *21430:C1 0.000142733 +34 *2380:41 *22347:A1 6.41208e-05 +35 *2380:41 *22347:B1 1.5714e-05 +36 *2380:41 *2421:26 0.00020502 +37 *2380:41 *2520:21 0.000912556 +38 *2380:41 *3106:247 0.000487866 +39 *2380:41 *4956:24 0.000293504 +40 *2380:41 *5861:319 7.68538e-06 +41 *2380:41 *5861:323 0.000109025 +42 *2380:60 *20272:A1 0.000379456 +43 *2380:60 *2441:63 0 +44 *2380:60 *2451:36 1.9101e-05 +45 *2380:60 *2635:35 4.61962e-05 +46 *2380:60 *2809:21 0.000287742 +47 *2380:60 *2950:76 4.13482e-05 +48 *2380:60 *4819:111 0.000177005 +49 *2380:60 *4819:117 0.00122682 +50 *2380:60 *4830:49 1.71813e-05 +51 *2380:60 *5494:10 1.28326e-05 +52 *2380:60 *5528:8 6.54004e-05 +53 *2380:62 *24438:SET_B 0.000127194 +54 *2380:62 *2503:31 0.000223207 +55 *2380:62 *2978:47 0.00125864 +56 *2380:62 *3020:14 0.000478755 +57 *2380:62 *3257:67 0.00126549 +58 *2380:62 *4812:90 0.00241075 +59 *2380:62 *4813:82 0.00301365 +60 *2380:62 *4817:97 0.000245468 +61 *2380:62 *4818:13 7.84457e-06 +62 *2380:62 *4819:111 1.23804e-05 +63 *2380:62 *5528:8 0.00250521 +64 *2380:62 *5528:12 0.000120212 +65 *2380:62 *5864:524 2.50449e-05 +66 *2380:62 *5864:539 2.87112e-05 +67 *2380:62 *5908:87 0.000132807 +68 *2380:84 *19564:S 0.000365838 +69 *2380:84 *2383:25 0.000211297 +70 *2380:84 *2404:101 0 +71 *2380:84 *2520:87 8.33071e-05 +72 *2380:84 *3041:31 6.23101e-05 +73 *2380:84 *3179:134 2.22007e-06 +74 *2380:84 *3196:12 9.44379e-06 +75 *2380:84 *3523:16 0.00127738 +76 *2380:84 *4804:46 5.41006e-05 +77 *2380:84 *4808:135 0.000831544 +78 *2380:84 *4827:32 2.1203e-06 +79 *2380:84 *4827:51 2.57465e-06 +80 *2380:84 *4828:100 0.00140383 +81 *2380:84 *5560:10 0.000677082 +82 *2380:84 *5864:524 8.19226e-05 +83 *2380:84 *5874:36 3.17436e-05 +84 *20325:B1 *2380:60 0.000516993 +85 *20589:B2 *2380:8 0.000121918 +86 *20780:B2 *2380:8 5.92192e-05 +87 *20782:B2 *2380:8 0.000175689 +88 *20784:B2 *2380:8 0 +89 *21320:B2 *21320:A1 0.00012624 +90 *21321:B1 *2380:84 2.85889e-05 +91 *21429:A2 *2380:20 5.11321e-05 +92 *21430:B2 *2380:41 0.00102618 +93 *24389:D *2380:8 0.000148129 +94 *24438:D *2380:62 2.02035e-05 +95 *24439:D *2380:62 0.000122083 +96 *24514:D *2380:8 3.77804e-05 +97 *24688:D *2380:60 4.83927e-05 +98 *24690:D *2380:60 0.000120842 +99 *24810:D *2380:84 9.30719e-05 +100 *508:28 *2380:84 0.00232539 +101 *529:41 *2380:84 6.59046e-05 +102 *535:25 *2380:84 2.57505e-05 +103 *537:29 *2380:84 3.87699e-06 +104 *1418:129 *2380:60 2.05293e-05 +105 *1443:49 *2380:84 0.000118192 +106 *1545:54 *2380:84 0.00104518 +107 *1614:131 *2380:60 5.38612e-06 +108 *1620:153 *2380:8 0 +109 *1627:202 *2380:16 0.000723745 +110 *1679:18 *2380:62 0.000167558 +111 *1679:20 *2380:62 0.000381061 +112 *1688:91 *2380:84 1.86819e-05 +113 *1709:27 *2380:60 0.000380204 +114 *1709:54 *2380:84 0.000834485 +115 *2005:10 *2380:8 0.00211288 +116 *2006:6 *2380:8 6.31665e-05 +117 *2006:15 *2380:8 0.00021536 +118 *2006:30 *2380:7 1.19721e-05 +119 *2076:39 *2380:8 5.41377e-05 +120 *2076:52 *2380:8 0.000751689 +121 *2093:10 *2380:84 1.9101e-05 +122 *2148:10 *2380:41 0.000304777 +123 *2148:18 *2380:41 0.000222812 +124 *2148:18 *2380:60 2.01503e-05 +125 *2250:31 *2380:41 1.5714e-05 +126 *2257:11 *2380:16 7.83998e-06 +127 *2257:11 *2380:20 0.000182116 +128 *2257:17 *2380:20 0.000309122 +129 *2262:43 *2380:60 0.000175162 +130 *2264:16 *2380:8 0.0007463 +131 *2274:95 *2380:84 6.46249e-06 +132 *2287:12 *2380:8 0.00290784 +133 *2287:16 *2380:8 0.000258518 +134 *2287:62 *2380:62 0.000809271 +135 *2301:30 *2380:62 0.000268679 +136 *2301:30 *2380:84 2.86453e-05 +137 *2331:8 *22369:B1 8.93884e-05 +138 *2349:12 *2380:16 0.00189706 +139 *2349:12 *2380:20 8.36973e-06 +140 *2362:20 *2380:20 5.302e-05 +141 *2363:31 *2380:41 0.000258128 +142 *2375:28 *22369:B1 0.000181942 +143 *2379:58 *2380:84 0 +*RES +1 *21318:Y *2380:7 14.4725 +2 *2380:7 *2380:8 100.247 +3 *2380:8 *2380:16 49.0508 +4 *2380:16 *2380:20 12.6753 +5 *2380:20 *22369:B1 22.9993 +6 *2380:20 *2380:41 46.4867 +7 *2380:41 *2380:60 47.5973 +8 *2380:60 *2380:62 136.374 +9 *2380:62 *2380:84 49.416 +10 *2380:84 *21320:A1 24.4313 +*END + +*D_NET *2381 0.0689357 +*CONN +*I *21320:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *6171:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21319:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21320:B1 0 +2 *6171:DIODE 3.49967e-05 +3 *21319:Y 0.000388883 +4 *2381:38 0.00291146 +5 *2381:26 0.00587025 +6 *2381:24 0.00309569 +7 *2381:22 0.00153798 +8 *2381:17 0.01024 +9 *2381:11 0.00919277 +10 *6171:DIODE *21321:C1 0.000111708 +11 *6171:DIODE *2403:17 0.000111708 +12 *6171:DIODE *3124:35 1.92336e-05 +13 *6171:DIODE *3151:40 1.92336e-05 +14 *2381:11 *21319:A 2.41274e-06 +15 *2381:17 *2585:19 3.68402e-05 +16 *2381:17 *2962:27 0.0037218 +17 *2381:17 *4845:463 0.00107426 +18 *2381:17 *4863:61 0.00107884 +19 *2381:17 *5785:39 0 +20 *2381:22 *23999:A1 0.000296114 +21 *2381:22 *2392:8 0 +22 *2381:22 *2392:12 0 +23 *2381:22 *2667:30 8.36973e-06 +24 *2381:22 *2667:32 0.0003802 +25 *2381:26 *19944:A 0.000118136 +26 *2381:26 *20168:A1 2.39535e-05 +27 *2381:26 *21399:A 0.000343349 +28 *2381:26 *21580:A 0.000243671 +29 *2381:26 *24320:RESET_B 7.7745e-05 +30 *2381:26 *2392:12 0.00308946 +31 *2381:26 *2394:16 0.000109827 +32 *2381:26 *2667:32 0 +33 *2381:26 *2896:37 0.000767783 +34 *2381:26 *4829:70 4.03188e-05 +35 *2381:26 *4833:55 0.00335106 +36 *2381:26 *5238:10 0.000143901 +37 *2381:26 *5794:37 8.91574e-05 +38 *2381:26 *5853:365 0 +39 *2381:26 *5853:366 0.00104506 +40 *2381:26 *5853:379 0.000149628 +41 *2381:26 *5862:8 0 +42 *2381:38 *2403:17 1.94313e-05 +43 *2381:38 *2415:19 0.00273986 +44 *2381:38 *2641:30 0.00180122 +45 *2381:38 *2989:91 0.000254026 +46 *2381:38 *3120:41 2.06302e-05 +47 *2381:38 *3122:11 1.80771e-05 +48 *2381:38 *3124:24 0.000629276 +49 *2381:38 *3126:142 5.74352e-06 +50 *2381:38 *3136:42 0.000534915 +51 *2381:38 *5853:334 0.00234189 +52 *19694:B *2381:11 5.31074e-05 +53 *20980:A2 *2381:22 0.000309642 +54 *21007:A2 *2381:22 6.85778e-05 +55 *21010:B1 *2381:22 0.000153225 +56 *21013:A2 *2381:22 8.06516e-05 +57 *21013:A3 *2381:22 0.000320578 +58 *21321:B2 *2381:38 0.000100509 +59 *21664:B2 *2381:11 0.000111722 +60 *439:47 *2381:22 0.000513876 +61 *439:49 *2381:22 0.000375095 +62 *460:82 *2381:26 0 +63 *460:84 *2381:26 0.000287666 +64 *1419:86 *2381:11 0.000975869 +65 *1419:96 *2381:11 0.00045724 +66 *1439:170 *2381:26 8.43875e-05 +67 *1439:180 *2381:22 0.000910772 +68 *1542:174 *2381:38 2.02035e-05 +69 *1551:75 *2381:26 4.88764e-06 +70 *1691:105 *2381:38 9.74391e-05 +71 *1786:13 *2381:11 0.00215867 +72 *1864:12 *2381:26 0.000318321 +73 *1864:33 *2381:26 0.000564898 +74 *2085:11 *2381:26 4.70005e-05 +75 *2115:161 *2381:38 1.41908e-05 +76 *2164:135 *2381:17 0 +77 *2164:140 *2381:17 0 +78 *2278:39 *2381:38 0.00253347 +79 *2378:41 *2381:38 0.000282852 +*RES +1 *21319:Y *2381:11 39.4779 +2 *2381:11 *2381:17 47.1823 +3 *2381:17 *2381:22 49.244 +4 *2381:22 *2381:24 1.85642 +5 *2381:24 *2381:26 123.086 +6 *2381:26 *2381:38 34.1567 +7 *2381:38 *6171:DIODE 11.0817 +8 *2381:38 *21320:B1 9.24915 +*END + +*D_NET *2382 0.00103687 +*CONN +*I *21321:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21320:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21321:C1 0.000153267 +2 *21320:X 0.000153267 +3 *21321:C1 *2403:17 0.000182304 +4 *21321:C1 *3151:40 0.000205006 +5 *6171:DIODE *21321:C1 0.000111708 +6 *21320:B2 *21321:C1 6.08467e-05 +7 *21321:B2 *21321:C1 7.83498e-05 +8 *1691:105 *21321:C1 9.21233e-05 +*RES +1 *21320:X *21321:C1 33.3785 +*END + +*D_NET *2383 0.033023 +*CONN +*I *21328:C I *D sky130_fd_sc_hd__and4_1 +*I *21321:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21328:C 0.00228567 +2 *21321:X 0.00458364 +3 *2383:25 0.00686931 +4 *21328:C *21328:D 0.000110306 +5 *21328:C *2389:96 0.000440077 +6 *21328:C *3111:125 0.000518901 +7 *21328:C *3196:152 0.000501918 +8 *21328:C *3196:243 0.000381371 +9 *21328:C *3198:154 0.00078859 +10 *21328:C *3343:19 0.000502558 +11 *21328:C *3471:162 0.000264199 +12 *21328:C *3649:8 2.07321e-05 +13 *21328:C *4836:21 0.000227899 +14 *2383:25 *2457:16 0.000905491 +15 *2383:25 *2503:48 0.000525581 +16 *2383:25 *2908:29 0.000334735 +17 *2383:25 *3111:125 0.000165508 +18 *2383:25 *3136:149 1.9101e-05 +19 *2383:25 *3196:12 9.55224e-05 +20 *2383:25 *3196:152 7.34352e-05 +21 *2383:25 *3198:154 0.000979218 +22 *2383:25 *3348:21 0.000269896 +23 *2383:25 *3469:24 7.09666e-06 +24 *2383:25 *3469:33 8.61737e-06 +25 *2383:25 *3471:139 0.000100683 +26 *2383:25 *4817:120 6.36463e-05 +27 *21304:C *21328:C 5.04829e-06 +28 *21321:B1 *2383:25 0.00120242 +29 *21354:B *21328:C 4.7918e-05 +30 *21395:A2 *2383:25 8.20799e-06 +31 *22401:B1 *21328:C 0.000356317 +32 *22690:A1 *21328:C 7.83806e-06 +33 *1564:106 *21328:C 0.000146048 +34 *1688:91 *2383:25 0.000241796 +35 *1717:68 *2383:25 0.00379831 +36 *2117:20 *2383:25 0.000414911 +37 *2117:45 *2383:25 0.000116014 +38 *2244:19 *2383:25 0.00097008 +39 *2244:73 *21328:C 0.000109235 +40 *2282:88 *2383:25 0.00126861 +41 *2287:112 *21328:C 0.000349354 +42 *2291:61 *21328:C 0.00262824 +43 *2359:27 *21328:C 6.47951e-05 +44 *2361:74 *21328:C 1.5714e-05 +45 *2379:58 *2383:25 1.72028e-05 +46 *2380:84 *2383:25 0.000211297 +*RES +1 *21321:X *2383:25 48.8217 +2 *2383:25 *21328:C 31.1606 +*END + +*D_NET *2384 0.115193 +*CONN +*I *6172:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21327:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *22375:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21322:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *6172:DIODE 9.24848e-05 +2 *21327:A1 1.39222e-05 +3 *22375:B1 0 +4 *21322:Y 0.000917597 +5 *2384:103 0.000968527 +6 *2384:99 0.00357212 +7 *2384:96 0.00366189 +8 *2384:88 0.00261501 +9 *2384:74 0.00357887 +10 *2384:73 0.00384117 +11 *2384:59 0.00113775 +12 *2384:45 0.0021258 +13 *2384:38 0.00208968 +14 *2384:32 0.00764682 +15 *2384:19 0.00538495 +16 *2384:16 0.00156942 +17 *2384:11 0.00390349 +18 *2384:10 0.00401682 +19 *6172:DIODE *21327:B1 2.41698e-05 +20 *6172:DIODE *21327:C1 3.14978e-05 +21 *6172:DIODE *21384:A1 0.00038177 +22 *2384:10 *21754:A 0.000271044 +23 *2384:10 *2448:74 0.000293957 +24 *2384:10 *2770:8 0.000423319 +25 *2384:10 *4845:662 0.000143875 +26 *2384:11 *5853:545 0.000500078 +27 *2384:16 *20271:B1 0.000127194 +28 *2384:16 *2570:40 0.000535434 +29 *2384:16 *4816:53 0.000814259 +30 *2384:16 *4817:48 0.00158981 +31 *2384:16 *4903:208 0.000143032 +32 *2384:19 *20328:A1 0.000304777 +33 *2384:19 *2570:45 0.00125726 +34 *2384:19 *4821:150 3.73717e-06 +35 *2384:19 *4823:47 9.75115e-05 +36 *2384:19 *4829:161 6.06525e-06 +37 *2384:32 *2417:43 1.43499e-05 +38 *2384:32 *2417:53 2.54658e-05 +39 *2384:32 *2460:40 0.000232981 +40 *2384:32 *2679:37 0.00359411 +41 *2384:32 *2687:42 4.91867e-06 +42 *2384:32 *2692:31 7.21725e-05 +43 *2384:32 *2791:26 0.00192734 +44 *2384:32 *2978:29 0.000468647 +45 *2384:32 *2989:47 0.000461639 +46 *2384:32 *3212:19 1.43499e-05 +47 *2384:32 *4813:103 6.69933e-05 +48 *2384:32 *4818:13 1.73011e-05 +49 *2384:32 *4823:94 0.000379262 +50 *2384:32 *4825:95 0 +51 *2384:32 *4828:61 0 +52 *2384:32 *4828:80 0.0006023 +53 *2384:32 *4833:130 0.00113368 +54 *2384:32 *4833:155 0 +55 *2384:32 *5864:747 1.10565e-05 +56 *2384:32 *5904:24 7.76167e-05 +57 *2384:32 *5908:87 3.08603e-06 +58 *2384:38 *24230:RESET_B 0.000246254 +59 *2384:38 *4819:28 0.00124197 +60 *2384:38 *5853:260 0.000285241 +61 *2384:45 *2454:23 6.36477e-05 +62 *2384:45 *4824:127 0.000493589 +63 *2384:45 *4845:270 5.8334e-05 +64 *2384:45 *5592:87 0.00190089 +65 *2384:59 *22376:C1 3.82228e-05 +66 *2384:59 *22677:A1 0.000101888 +67 *2384:59 *3126:42 3.33173e-06 +68 *2384:59 *3139:254 0.00115964 +69 *2384:59 *3196:185 0.000163856 +70 *2384:59 *3466:201 5.04829e-06 +71 *2384:59 *3543:50 0.000722447 +72 *2384:59 *3543:75 0.00036096 +73 *2384:59 *3547:17 2.92163e-05 +74 *2384:73 *2729:77 0.00111068 +75 *2384:73 *2791:46 4.22519e-05 +76 *2384:73 *2882:74 0.000311622 +77 *2384:73 *3072:20 4.31745e-06 +78 *2384:73 *5874:36 8.42665e-05 +79 *2384:73 *5898:191 1.66578e-05 +80 *2384:73 *5910:26 0.00189125 +81 *2384:74 *2423:69 0.000100364 +82 *2384:74 *2433:118 9.15115e-06 +83 *2384:74 *2446:101 0.00154832 +84 *2384:74 *2448:147 0.00687196 +85 *2384:74 *2635:48 0.000200902 +86 *2384:74 *2978:48 1.78765e-05 +87 *2384:74 *2978:57 0.00130196 +88 *2384:88 *20256:A1 0.000495694 +89 *2384:88 *24034:A1 6.02928e-05 +90 *2384:88 *24739:CLK 6.03936e-05 +91 *2384:88 *2389:49 5.97411e-05 +92 *2384:88 *2433:128 5.97576e-05 +93 *2384:88 *4843:474 0 +94 *2384:88 *4866:82 0.000348381 +95 *2384:88 *4938:7 1.09823e-05 +96 *2384:96 *24034:A1 9.71323e-06 +97 *2384:96 *2398:74 0.000747784 +98 *2384:96 *2441:66 0.000112459 +99 *2384:96 *5857:199 0.000108312 +100 *2384:99 *2422:27 0.00277582 +101 *2384:99 *4804:118 0.000162994 +102 *2384:103 *20515:A1 0.000134996 +103 *2384:103 *20515:B1 0.0001661 +104 *2384:103 *20823:A3 0.000619237 +105 *2384:103 *21384:A1 0.00167621 +106 *2384:103 *22523:A 0.000203663 +107 *2384:103 *2389:16 0.000107496 +108 *2384:103 *2439:31 2.71384e-05 +109 *2384:103 *3472:17 9.82331e-05 +110 *2384:103 *4833:54 0.00121567 +111 *2384:103 *5263:9 8.39059e-05 +112 *2384:103 *5947:20 0.000504398 +113 mgmt_gpio_oeb[8] *2384:73 5.60804e-05 +114 mgmt_gpio_oeb[9] *2384:73 3.25619e-05 +115 *20328:A2 *2384:19 0.000140275 +116 *21272:B1 *2384:59 0 +117 *21327:A2 *6172:DIODE 7.39625e-05 +118 *21327:A2 *21327:A1 3.58602e-05 +119 *21395:A2 *2384:45 0.000250478 +120 *21395:A2 *2384:59 0.00011526 +121 *21395:B2 *2384:59 0.00117919 +122 *22523:B *2384:103 0.00020736 +123 *22713:B1 *2384:59 0.000105313 +124 *24738:D *2384:96 0.000122083 +125 *24739:D *2384:96 0.000130777 +126 *24740:D *2384:88 8.62625e-06 +127 *25175:A *2384:73 0 +128 *476:82 *2384:96 0.000892382 +129 *490:105 *2384:38 0 +130 *500:60 *2384:88 5.85392e-05 +131 *508:20 *2384:32 0.000383561 +132 *508:28 *2384:32 0.000193668 +133 *518:58 *2384:103 0.000305954 +134 *520:28 *2384:74 0.0037929 +135 *529:49 *2384:88 0 +136 *1555:58 *2384:32 0.000383337 +137 *1562:9 *2384:45 0.00209817 +138 *1573:76 *2384:96 0.000510384 +139 *1688:91 *2384:73 3.33494e-05 +140 *1709:40 *2384:32 6.03122e-05 +141 *1725:205 *2384:10 0.000652984 +142 *1741:40 *2384:32 0.000177202 +143 *1901:40 *2384:88 6.42864e-05 +144 *1901:40 *2384:96 0.000218355 +145 *1901:42 *2384:96 0.000773845 +146 *1901:47 *2384:96 0.000371912 +147 *1904:26 *2384:16 1.5254e-05 +148 *1904:33 *2384:16 0.000654397 +149 *1917:8 *2384:38 0.000289836 +150 *1982:38 *2384:103 0.000113968 +151 *1982:43 *2384:103 0.000160617 +152 *2050:9 *2384:10 0.000297554 +153 *2240:8 *2384:103 0.000300857 +154 *2245:11 *2384:11 0.000168434 +155 *2257:69 *2384:32 0.000461618 +156 *2274:95 *2384:38 0.000202328 +157 *2274:95 *2384:73 0.000273904 +158 *2282:53 *2384:38 0.000142228 +159 *2297:39 *2384:11 0.000272722 +160 *2319:17 *2384:11 4.58529e-05 +161 *2320:35 *2384:11 0.0013915 +162 *2320:36 *2384:32 0.00176272 +163 *2332:17 *2384:45 0.000180756 +164 *2332:17 *2384:59 0.00149176 +165 *2354:55 *2384:59 0.000110307 +*RES +1 *21322:Y *2384:10 47.8352 +2 *2384:10 *2384:11 47.8647 +3 *2384:11 *2384:16 49.4505 +4 *2384:16 *2384:19 26.2983 +5 *2384:19 *2384:32 49.8409 +6 *2384:32 *2384:38 16.411 +7 *2384:38 *2384:45 39.6964 +8 *2384:45 *2384:59 41.7169 +9 *2384:59 *22375:B1 9.24915 +10 *2384:32 *2384:73 10.5672 +11 *2384:73 *2384:74 120.802 +12 *2384:74 *2384:88 42.2479 +13 *2384:88 *2384:96 48.1381 +14 *2384:96 *2384:99 48.4825 +15 *2384:99 *2384:103 46.7025 +16 *2384:103 *21327:A1 9.82786 +17 *2384:103 *6172:DIODE 13.8789 +*END + +*D_NET *2385 0.0179669 +*CONN +*I *21327:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21323:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21327:B1 8.2753e-05 +2 *21323:Y 0.000810006 +3 *2385:14 0.00296369 +4 *2385:13 0.00312084 +5 *2385:10 0.0010499 +6 *21327:B1 *21327:C1 0.000224395 +7 *21327:B1 *21384:A1 6.99717e-05 +8 *2385:10 *21886:A 5.26446e-05 +9 *2385:10 *4843:545 0.000244031 +10 *2385:10 *4845:396 0.000361 +11 *2385:10 *4875:30 0 +12 *2385:10 *4875:35 0 +13 *2385:10 *5564:12 6.89449e-05 +14 *2385:10 *5933:13 6.08467e-05 +15 *2385:13 *2559:9 0.000833122 +16 *2385:13 *4844:474 0.000253916 +17 *2385:14 *21316:A 0.000139435 +18 *2385:14 *2388:8 0.000886917 +19 *2385:14 *2981:10 0.00218984 +20 *2385:14 *4830:84 0.000857216 +21 *2385:14 *4945:31 0.000278937 +22 *6172:DIODE *21327:B1 2.41698e-05 +23 *20360:A2 *2385:10 2.52626e-05 +24 *21326:A2 *2385:10 9.75356e-05 +25 *21327:A2 *21327:B1 0.000445733 +26 *24290:D *2385:10 2.55493e-05 +27 *523:11 *2385:13 7.23548e-05 +28 *546:15 *2385:14 7.14746e-05 +29 *1749:47 *2385:14 0.000631163 +30 *2270:97 *2385:14 0.000740821 +31 *2273:6 *2385:14 0.00128439 +*RES +1 *21323:Y *2385:10 40.7366 +2 *2385:10 *2385:13 14.0971 +3 *2385:13 *2385:14 98.5858 +4 *2385:14 *21327:B1 19.4821 +*END + +*D_NET *2386 0.0129506 +*CONN +*I *21326:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21324:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21326:A1 0.00024414 +2 *21324:Y 0.00185248 +3 *2386:17 0.00288796 +4 *2386:13 0.00449629 +5 *21326:A1 *21326:B1 0.000458117 +6 *21326:A1 *21709:A 0 +7 *21326:A1 *2560:16 0.000240677 +8 *21326:A1 *2736:10 4.70005e-05 +9 *21326:A1 *4875:35 1.32509e-05 +10 *21326:A1 *5564:12 0 +11 *2386:13 *21210:A 0.000213079 +12 *2386:13 *21379:A 0 +13 *2386:13 *21843:A 0.00012316 +14 *2386:13 *25310:A 8.05954e-05 +15 *2386:13 *2859:7 1.22938e-05 +16 *2386:13 *4843:665 6.11359e-06 +17 *2386:17 *21379:A 0.000165521 +18 *2386:17 *21502:A 0.000243901 +19 *2386:17 *21687:A 2.65667e-05 +20 *2386:17 *21883:A 2.65667e-05 +21 *2386:17 *4875:35 0.000104701 +22 *2386:17 *4875:46 0.000486217 +23 *2386:17 *5933:13 0.000785018 +24 *21326:A2 *21326:A1 1.41976e-05 +25 *324:10 *2386:17 0.000140091 +26 *399:11 *2386:17 7.76105e-06 +27 *2277:8 *2386:13 7.50872e-05 +28 *2290:13 *2386:13 0.000199803 +*RES +1 *21324:Y *2386:13 49.0049 +2 *2386:13 *2386:17 48.7598 +3 *2386:17 *21326:A1 23.257 +*END + +*D_NET *2387 0.00911567 +*CONN +*I *21326:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21325:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21326:B1 0.000504122 +2 *21325:Y 0 +3 *2387:7 0.000903114 +4 *2387:4 0.000398992 +5 *21326:B1 *21709:A 0 +6 *21326:B1 *24291:CLK 0 +7 *21326:B1 *2560:16 9.04281e-05 +8 *2387:7 *20023:A 0.000489918 +9 *2387:7 *21325:A 6.3657e-05 +10 *21326:A1 *21326:B1 0.000458117 +11 *21326:A2 *21326:B1 0.000215194 +12 *21326:B2 *21326:B1 6.50586e-05 +13 *24290:D *21326:B1 0.000132202 +14 *324:10 *2387:7 0.000129117 +15 *408:11 *21326:B1 3.31733e-05 +16 *2277:11 *2387:7 0.00386736 +17 *2290:13 *2387:7 0.00104833 +18 *2290:17 *2387:7 0.000523693 +19 *2290:18 *21326:B1 0 +20 *2333:13 *2387:7 0.000193186 +*RES +1 *21325:Y *2387:4 9.24915 +2 *2387:4 *2387:7 45.7095 +3 *2387:7 *21326:B1 29.4084 +*END + +*D_NET *2388 0.0167869 +*CONN +*I *21327:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21326:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21327:C1 3.24666e-05 +2 *21326:X 0.000293103 +3 *2388:8 0.00320053 +4 *2388:7 0.00346116 +5 *21327:C1 *21384:A1 3.83564e-05 +6 *2388:8 *21377:B1 2.3939e-05 +7 *2388:8 *21742:A1 3.27695e-05 +8 *2388:8 *2423:16 5.732e-05 +9 *2388:8 *2980:6 0 +10 *2388:8 *2981:10 0.00219494 +11 *2388:8 *4830:84 3.3239e-06 +12 *2388:8 *4844:356 0 +13 *2388:8 *5170:10 0.000185971 +14 *6172:DIODE *21327:C1 3.14978e-05 +15 *20227:A *2388:8 1.69093e-05 +16 *21205:A1 *2388:7 0.00010307 +17 *21327:B1 *21327:C1 0.000224395 +18 *23968:A0 *2388:8 9.60366e-05 +19 *1428:85 *2388:8 0 +20 *1696:68 *2388:8 0.00015958 +21 *1696:72 *2388:8 0.00304356 +22 *1884:282 *2388:8 0.00097945 +23 *2270:97 *2388:8 0.00172163 +24 *2385:14 *2388:8 0.000886917 +*RES +1 *21326:X *2388:7 18.3548 +2 *2388:7 *2388:8 117.272 +3 *2388:8 *21327:C1 16.1364 +*END + +*D_NET *2389 0.0711367 +*CONN +*I *21328:D I *D sky130_fd_sc_hd__and4_1 +*I *21327:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21328:D 5.23328e-05 +2 *21327:X 0.00102645 +3 *2389:96 0.00492268 +4 *2389:81 0.00617486 +5 *2389:72 0.00271886 +6 *2389:56 0.00212025 +7 *2389:55 0.000705909 +8 *2389:53 0.00119711 +9 *2389:49 0.0017503 +10 *2389:39 0.0011333 +11 *2389:33 0.00155629 +12 *2389:19 0.0032136 +13 *2389:16 0.00326386 +14 *2389:16 *20515:B1 6.50727e-05 +15 *2389:16 *2441:72 0.00221019 +16 *2389:16 *4821:55 0 +17 *2389:16 *4843:509 3.74542e-05 +18 *2389:16 *5908:9 0 +19 *2389:16 *5947:37 3.91944e-05 +20 *2389:19 *24170:CLK 1.80122e-05 +21 *2389:19 *4833:54 0.00261009 +22 *2389:33 *20259:A1 3.14978e-05 +23 *2389:33 *20828:A 0.00033456 +24 *2389:33 *24036:A0 0.000104099 +25 *2389:33 *24036:A1 0.000476384 +26 *2389:33 *24737:RESET_B 1.03403e-05 +27 *2389:33 *4804:118 5.82569e-05 +28 *2389:33 *5785:59 0.000364356 +29 *2389:33 *5871:860 0.000111041 +30 *2389:33 *6026:22 2.33246e-05 +31 *2389:39 *2471:35 2.41274e-06 +32 *2389:39 *2882:19 1.99543e-05 +33 *2389:39 *5725:13 0.000251669 +34 *2389:49 *22821:A 0.000169093 +35 *2389:49 *4828:107 0.00102921 +36 *2389:49 *4938:7 2.61955e-05 +37 *2389:49 *5874:54 0.00118914 +38 *2389:49 *5908:23 0.00050256 +39 *2389:53 *2471:36 0.0019688 +40 *2389:53 *5908:29 0.000142472 +41 *2389:56 *2391:34 0.000194751 +42 *2389:56 *2729:87 2.57465e-06 +43 *2389:56 *2938:34 3.37714e-06 +44 *2389:56 *2989:91 0.000197062 +45 *2389:56 *3212:52 0.00335339 +46 *2389:72 *5475:112 0.0005997 +47 *2389:81 *24230:RESET_B 1.91114e-05 +48 *2389:81 *2404:73 5.04829e-06 +49 *2389:81 *2570:80 0.000173505 +50 *2389:81 *4904:57 7.63284e-05 +51 *2389:81 *5369:7 9.24676e-05 +52 *2389:81 *5864:579 3.51645e-05 +53 *2389:81 *5910:33 0.000702717 +54 *2389:96 *21630:B1 0.000295192 +55 *2389:96 *24230:RESET_B 3.29488e-05 +56 *2389:96 *2404:60 6.12075e-06 +57 *2389:96 *2473:57 0.00116721 +58 *2389:96 *2503:31 0.00019213 +59 *2389:96 *2950:76 0.000463271 +60 *2389:96 *3111:125 0.000532763 +61 *2389:96 *4843:345 0.00032004 +62 *2389:96 *5861:397 0 +63 *2389:96 *5924:37 2.39421e-05 +64 mgmt_gpio_oeb[4] *2389:49 4.47123e-05 +65 *20260:A2 *2389:33 1.92172e-05 +66 *20260:B2 *2389:33 0.000353672 +67 *20309:C1 *2389:96 0.000122083 +68 *21235:C1 *2389:96 0.00110656 +69 *21328:C *21328:D 0.000110306 +70 *21328:C *2389:96 0.000440077 +71 *22028:B2 *2389:96 0.000181996 +72 *22401:B1 *2389:96 0.00036266 +73 *24036:S *2389:33 0.000823681 +74 *24170:D *2389:19 0.000147853 +75 *24170:D *2389:33 6.08467e-05 +76 *24736:D *2389:33 7.98171e-06 +77 *74:12 *2389:39 0.000172062 +78 *476:90 *2389:39 0.000922031 +79 *482:46 *2389:96 0.000620683 +80 *482:66 *2389:96 0.000191435 +81 *506:36 *2389:16 3.29488e-05 +82 *527:44 *2389:72 0.000231956 +83 *527:46 *2389:72 9.37736e-06 +84 *535:42 *2389:39 0.00151408 +85 *539:34 *2389:81 0.000438252 +86 *1439:81 *2389:81 9.22013e-06 +87 *1564:114 *2389:96 0.000846355 +88 *1616:81 *2389:96 1.9101e-05 +89 *1709:48 *2389:56 1.3813e-05 +90 *1709:48 *2389:72 0.000167179 +91 *1741:56 *2389:72 0.000320081 +92 *1741:63 *2389:72 3.52155e-05 +93 *1741:64 *2389:56 0.00507344 +94 *1741:64 *2389:72 6.34651e-06 +95 *1742:80 *2389:96 0.00100894 +96 *1884:262 *2389:16 1.17185e-05 +97 *1917:29 *2389:81 9.69877e-05 +98 *2093:136 *2389:96 0.000467008 +99 *2244:73 *2389:96 0.000109235 +100 *2257:73 *2389:72 0.0002696 +101 *2282:59 *2389:81 3.4123e-05 +102 *2282:68 *2389:72 0.000328144 +103 *2282:78 *2389:56 0.00162616 +104 *2291:61 *2389:96 0.000363944 +105 *2291:66 *2389:96 2.22007e-06 +106 *2301:18 *2389:53 0.00195477 +107 *2321:43 *2389:96 0.000110597 +108 *2359:27 *2389:96 5.60804e-05 +109 *2375:60 *2389:96 4.69495e-06 +110 *2384:88 *2389:49 5.97411e-05 +111 *2384:103 *2389:16 0.000107496 +*RES +1 *21327:X *2389:16 30.8854 +2 *2389:16 *2389:19 37.3513 +3 *2389:19 *2389:33 37.6557 +4 *2389:33 *2389:39 38.2737 +5 *2389:39 *2389:49 38.8988 +6 *2389:49 *2389:53 49.9825 +7 *2389:53 *2389:55 4.5 +8 *2389:55 *2389:56 81.3528 +9 *2389:56 *2389:72 49.3663 +10 *2389:72 *2389:81 47.6944 +11 *2389:81 *2389:96 48.4221 +12 *2389:96 *21328:D 15.0271 +*END + +*D_NET *2390 0.00142538 +*CONN +*I *21354:C I *D sky130_fd_sc_hd__nand4_4 +*I *21328:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21354:C 0.00027043 +2 *21328:X 0.00027043 +3 *21235:A1 *21354:C 0.00012229 +4 *21303:B1 *21354:C 0.000195921 +5 *21303:B2 *21354:C 6.34651e-06 +6 *1616:81 *21354:C 0 +7 *1645:118 *21354:C 1.22734e-05 +8 *2287:112 *21354:C 0.000156955 +9 *2336:21 *21354:C 7.42702e-05 +10 *2361:74 *21354:C 0.000109527 +11 *2364:8 *21354:C 0.000206933 +*RES +1 *21328:X *21354:C 36.9764 +*END + +*D_NET *2391 0.0756142 +*CONN +*I *22705:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22373:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *6173:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21334:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21329:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22705:A1 0.00043757 +2 *22373:B1 0.000117186 +3 *6173:DIODE 0 +4 *21334:A1 0.000138725 +5 *21329:Y 0.00127507 +6 *2391:100 0.00123721 +7 *2391:95 0.00266169 +8 *2391:89 0.00383021 +9 *2391:77 0.00355797 +10 *2391:49 0.00795372 +11 *2391:34 0.0109949 +12 *2391:27 0.00547089 +13 *2391:19 0.00185906 +14 *21334:A1 *21334:B1 0.000309824 +15 *22373:B1 *22373:B2 3.15947e-05 +16 *22373:B1 *22706:D 9.19945e-06 +17 *22373:B1 *3153:162 2.35325e-05 +18 *22373:B1 *3192:104 0.000189558 +19 *22373:B1 *3192:116 0.000154145 +20 *22705:A1 *22374:B2 9.80784e-05 +21 *22705:A1 *22381:A 9.80784e-05 +22 *22705:A1 *22705:A2 1.47978e-05 +23 *22705:A1 *22705:B2 1.62503e-05 +24 *22705:A1 *22706:D 6.08467e-05 +25 *22705:A1 *2906:39 0.000425465 +26 *2391:19 *20993:B1 4.89898e-06 +27 *2391:19 *21329:A 0.000138151 +28 *2391:19 *24274:RESET_B 0.00051571 +29 *2391:19 *2486:96 0.000134494 +30 *2391:19 *2924:49 0.000137919 +31 *2391:19 *3257:61 0.000247443 +32 *2391:19 *5402:11 4.97617e-05 +33 *2391:19 *5407:10 6.08467e-05 +34 *2391:27 *2687:68 5.60804e-05 +35 *2391:27 *5475:112 6.09945e-05 +36 *2391:27 *5874:36 1.13844e-05 +37 *2391:27 *5898:201 6.56935e-05 +38 *2391:27 *5902:32 3.33849e-05 +39 *2391:34 *21177:A 0.000106781 +40 *2391:34 *25245:A 0.000120681 +41 *2391:34 *2446:89 0.000274115 +42 *2391:34 *2446:101 0.000608861 +43 *2391:34 *2629:37 6.30669e-05 +44 *2391:34 *2635:70 0.000670366 +45 *2391:34 *2791:46 0.000720812 +46 *2391:34 *2989:91 0.000689164 +47 *2391:34 *3212:49 0.000175055 +48 *2391:34 *4821:143 0.00202463 +49 *2391:34 *5380:13 0.000259082 +50 *2391:34 *5527:23 3.54949e-06 +51 *2391:34 *5874:46 2.29013e-05 +52 *2391:34 *5886:36 0.000178025 +53 *2391:34 *5898:191 5.22997e-05 +54 *2391:34 *5910:26 0.000751838 +55 *2391:34 *5913:17 0.000632865 +56 *2391:34 *5913:18 0.000284387 +57 *2391:49 *20854:B 0.000406527 +58 *2391:49 *21334:B1 2.77625e-06 +59 *2391:49 *23941:S 0 +60 *2391:49 *2423:69 0.000469849 +61 *2391:49 *2446:68 0.000224592 +62 *2391:49 *2729:111 0.000454416 +63 *2391:49 *3206:15 0.000280791 +64 *2391:49 *3472:30 0 +65 *2391:49 *4829:63 0 +66 *2391:49 *5874:46 0.000115582 +67 *2391:49 *5874:50 0.000107499 +68 *2391:49 *5908:29 0.000596537 +69 *2391:49 *5910:26 5.60804e-05 +70 *2391:77 *21998:A1 0.00110899 +71 *2391:77 *2421:91 0.000366689 +72 *2391:77 *2687:42 0.000647966 +73 *2391:77 *2791:46 0.00259522 +74 *2391:77 *2989:63 0.000108609 +75 *2391:77 *4821:143 0.000953431 +76 *2391:77 *4828:62 0.00065252 +77 *2391:77 *5913:18 0.000118815 +78 *2391:89 *23871:A 0.000343543 +79 *2391:89 *24469:RESET_B 0.000109427 +80 *2391:89 *2421:78 0.000220674 +81 *2391:89 *2421:91 0.00056231 +82 *2391:89 *2433:58 6.00033e-05 +83 *2391:89 *4844:207 0.000107496 +84 *2391:89 *4845:252 4.69495e-06 +85 *2391:89 *4873:26 8.00909e-05 +86 *2391:89 *5417:26 7.67332e-05 +87 *2391:89 *5529:11 0.000209407 +88 *2391:95 *21402:B1 2.16355e-05 +89 *2391:95 *22352:A1 0.000123684 +90 *2391:95 *2433:59 2.77625e-06 +91 *2391:95 *2460:41 0.000775475 +92 *2391:95 *2460:51 4.15663e-05 +93 *2391:95 *2497:22 8.61187e-05 +94 *2391:95 *3120:125 1.15862e-05 +95 *2391:95 *3192:104 1.91246e-05 +96 *2391:100 *22685:A1 0.00150941 +97 *2391:100 *22685:A2 7.92757e-06 +98 *2391:100 *22685:B1 6.64392e-05 +99 *2391:100 *22685:B2 1.88014e-05 +100 *2391:100 *22685:C1 0.000257342 +101 *2391:100 *22688:C 0.000445581 +102 *2391:100 *2530:90 0.000539142 +103 *2391:100 *2870:40 2.32823e-05 +104 *2391:100 *3192:104 0.000208337 +105 mgmt_gpio_oeb[4] *2391:49 2.44031e-06 +106 mgmt_gpio_oeb[9] *2391:27 3.01418e-05 +107 mgmt_gpio_out[10] *2391:77 0 +108 mgmt_gpio_out[9] *2391:27 0 +109 *20993:A2 *2391:19 0.000107496 +110 *20998:B2 *2391:19 0.000188093 +111 *21402:B2 *2391:95 7.92757e-06 +112 *22373:A1 *22373:B1 0.000158101 +113 *22373:A1 *2391:100 0.000537323 +114 *25137:A *2391:77 5.77448e-05 +115 *25171:A *2391:49 3.77978e-06 +116 *25173:A *2391:34 4.39256e-06 +117 *480:14 *2391:89 6.64671e-06 +118 *510:46 *2391:89 3.50469e-05 +119 *527:46 *2391:34 0.000181012 +120 *535:38 *2391:49 0.000132127 +121 *537:29 *2391:34 0.000161285 +122 *1439:145 *21334:A1 8.3124e-05 +123 *1439:145 *2391:49 0.000100747 +124 *1439:170 *2391:49 4.29542e-05 +125 *1577:183 *2391:49 0.00271407 +126 *1608:98 *2391:89 0.000132053 +127 *1679:37 *2391:49 0 +128 *1709:48 *2391:34 0.000403215 +129 *1709:48 *2391:77 0.000118815 +130 *1717:68 *2391:49 0 +131 *1741:56 *2391:34 0.00027484 +132 *1741:56 *2391:77 0.000118737 +133 *1741:64 *2391:34 0.000188051 +134 *2093:10 *2391:49 1.5714e-05 +135 *2123:47 *2391:49 4.56841e-05 +136 *2170:58 *2391:19 0.000493528 +137 *2171:49 *2391:19 0.000110306 +138 *2244:19 *2391:34 1.2128e-05 +139 *2262:102 *2391:89 0.000168752 +140 *2282:78 *2391:34 0.000217212 +141 *2291:32 *2391:34 0.00187657 +142 *2291:32 *2391:49 0.000134557 +143 *2295:39 *2391:49 0.00107887 +144 *2295:42 *2391:34 0.000155671 +145 *2389:56 *2391:34 0.000194751 +*RES +1 *21329:Y *2391:19 49.2205 +2 *2391:19 *2391:27 10.6135 +3 *2391:27 *2391:34 48.214 +4 *2391:34 *2391:49 33.9652 +5 *2391:49 *21334:A1 14.1441 +6 *2391:49 *6173:DIODE 9.24915 +7 *2391:27 *2391:77 27.2545 +8 *2391:77 *2391:89 42.7632 +9 *2391:89 *2391:95 39.8402 +10 *2391:95 *2391:100 27.3926 +11 *2391:100 *22373:B1 13.8789 +12 *2391:100 *22705:A1 27.9474 +*END + +*D_NET *2392 0.0309365 +*CONN +*I *21334:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21330:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21334:B1 0.000269087 +2 *21330:Y 3.91978e-05 +3 *2392:24 0.00263299 +4 *2392:12 0.00424355 +5 *2392:10 0.00191751 +6 *2392:8 0.00169602 +7 *2392:7 0.00169736 +8 *2392:8 *21009:B2 7.42334e-05 +9 *2392:8 *23999:A1 0 +10 *2392:8 *5794:35 0.000342799 +11 *2392:8 *5794:37 0.000102963 +12 *2392:8 *5871:668 0 +13 *2392:8 *5983:11 0.000122083 +14 *2392:12 *5794:37 0.00145907 +15 *2392:12 *6028:60 9.96342e-05 +16 *2392:12 *6028:70 4.07907e-05 +17 *2392:24 *21317:A 0.000277679 +18 *2392:24 *23948:A1 0.000160859 +19 *2392:24 *2689:46 0.000333219 +20 *2392:24 *2880:20 0.000105558 +21 *2392:24 *3017:26 0.00518805 +22 *2392:24 *4827:29 0.000565232 +23 *2392:24 *4844:303 0.00259944 +24 *2392:24 *4865:6 1.8882e-05 +25 *2392:24 *5956:52 6.62741e-05 +26 *21009:A2 *2392:8 7.50722e-05 +27 *21011:A2 *2392:8 0.000301969 +28 *21011:A2 *2392:12 0.000179895 +29 *21334:A1 *21334:B1 0.000309824 +30 *21334:B2 *21334:B1 6.36477e-05 +31 *23999:A0 *2392:8 0.00103725 +32 *24256:D *2392:8 0.000122083 +33 *494:44 *2392:24 0.00016235 +34 *520:45 *2392:7 6.92705e-05 +35 *1439:145 *21334:B1 4.70943e-05 +36 *1439:180 *2392:8 0.000241543 +37 *1439:180 *2392:12 0.000160038 +38 *2093:10 *2392:24 0.000119038 +39 *2123:47 *21334:B1 5.04829e-06 +40 *2163:41 *2392:8 0.00014665 +41 *2163:52 *2392:8 0.00075098 +42 *2381:22 *2392:8 0 +43 *2381:22 *2392:12 0 +44 *2381:26 *2392:12 0.00308946 +45 *2391:49 *21334:B1 2.77625e-06 +*RES +1 *21330:Y *2392:7 14.4725 +2 *2392:7 *2392:8 56.0224 +3 *2392:8 *2392:10 0.732798 +4 *2392:10 *2392:12 70.7639 +5 *2392:12 *2392:24 45.9886 +6 *2392:24 *21334:B1 20.7661 +*END + +*D_NET *2393 0.0333031 +*CONN +*I *21333:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21331:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21333:A1 0 +2 *21331:Y 0.000240524 +3 *2393:22 0.00156514 +4 *2393:21 0.00331438 +5 *2393:12 0.00441117 +6 *2393:11 0.00266192 +7 *2393:9 0.00159863 +8 *2393:8 0.00183915 +9 *2393:8 *21816:A 3.20069e-06 +10 *2393:8 *21913:A 0 +11 *2393:8 *5762:20 0 +12 *2393:9 *2643:17 0.00165339 +13 *2393:9 *4845:425 0.000180472 +14 *2393:9 *5773:11 0.000212707 +15 *2393:12 *21530:A1 1.24122e-05 +16 *2393:12 *2576:6 0.000596334 +17 *2393:12 *2756:8 0 +18 *2393:12 *2903:8 0 +19 *2393:12 *2904:8 0.00196725 +20 *2393:12 *4915:73 0 +21 *2393:12 *4954:27 0.000173066 +22 *2393:12 *4954:39 0.000704416 +23 *2393:12 *5854:466 0.000197146 +24 *2393:21 *24240:RESET_B 2.209e-05 +25 *2393:21 *2437:32 0.000890428 +26 *2393:22 *6179:DIODE 4.59797e-05 +27 *2393:22 *21397:B 8.42652e-05 +28 *2393:22 *24900:RESET_B 0.000108327 +29 *2393:22 *2456:30 1.96026e-05 +30 *2393:22 *2457:16 5.46889e-05 +31 *2393:22 *2576:57 0.000367728 +32 *2393:22 *2949:10 0.00106644 +33 *2393:22 *4830:84 0.000519594 +34 *2393:22 *4844:356 0 +35 *2393:22 *4868:14 4.89833e-05 +36 *2393:22 *4868:18 8.4243e-05 +37 *2393:22 *5859:258 0.000235753 +38 *2393:22 *5859:262 0.000104852 +39 *2393:22 *5859:277 1.41761e-05 +40 *19684:A2 *2393:9 6.99486e-05 +41 *19684:B2 *2393:9 0.000362741 +42 *20366:A2 *2393:12 0.000229311 +43 *20367:A2 *2393:12 0.000949233 +44 *24664:D *2393:12 2.5688e-05 +45 *494:44 *2393:22 0.000171806 +46 *1495:76 *2393:9 4.58003e-05 +47 *1669:97 *2393:12 0.00224522 +48 *1669:129 *2393:21 1.32417e-05 +49 *1672:16 *2393:9 0.000530123 +50 *1731:13 *2393:21 0.000300565 +51 *1744:13 *2393:21 0.000350396 +52 *1744:53 *2393:9 0.000922747 +53 *1744:61 *2393:9 0.000466242 +54 *1749:65 *2393:12 0.000227772 +55 *1933:16 *2393:12 0.000674727 +56 *1934:49 *2393:12 0.000302254 +57 *1934:67 *2393:12 0.000416817 +*RES +1 *21331:Y *2393:8 23.4032 +2 *2393:8 *2393:9 52.3015 +3 *2393:9 *2393:11 4.5 +4 *2393:11 *2393:12 101.908 +5 *2393:12 *2393:21 38.2011 +6 *2393:21 *2393:22 47.925 +7 *2393:22 *21333:A1 13.7491 +*END + +*D_NET *2394 0.0480443 +*CONN +*I *22693:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22365:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21333:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21332:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22693:B1 0.000146419 +2 *22365:B1 0.000491059 +3 *21333:B1 0.000333262 +4 *21332:Y 0.00118227 +5 *2394:47 0.00377325 +6 *2394:41 0.00444841 +7 *2394:36 0.00217418 +8 *2394:17 0.00396001 +9 *2394:16 0.00443843 +10 *2394:7 0.00285549 +11 *21333:B1 *22245:A 0.000171701 +12 *21333:B1 *24929:A 7.36936e-05 +13 *21333:B1 *2425:7 0.000845914 +14 *21333:B1 *3485:19 2.29454e-05 +15 *21333:B1 *4827:29 0.000124313 +16 *21333:B1 *4865:24 4.59164e-06 +17 *22365:B1 *21658:C1 6.41094e-05 +18 *22365:B1 *22365:A2 4.45517e-05 +19 *22365:B1 *22365:B2 6.9979e-05 +20 *22365:B1 *22651:B1 0.000130713 +21 *22365:B1 *2572:37 0.000128854 +22 *22365:B1 *2887:23 1.91391e-05 +23 *22365:B1 *3539:27 5.51483e-06 +24 *22365:B1 *4817:145 0.000237071 +25 *22693:B1 *22693:A2 3.14691e-05 +26 *22693:B1 *3481:145 7.11131e-05 +27 *22693:B1 *3481:153 7.92757e-06 +28 *22693:B1 *3483:135 0.000221362 +29 *2394:7 *20165:B1 0.000297054 +30 *2394:7 *24791:RESET_B 1.18293e-05 +31 *2394:7 *24791:CLK 3.31745e-05 +32 *2394:7 *24806:SET_B 1.62134e-05 +33 *2394:7 *5239:7 2.17924e-05 +34 *2394:16 *24742:CLK 5.481e-05 +35 *2394:16 *2896:19 3.56736e-05 +36 *2394:16 *2900:60 0.000952853 +37 *2394:16 *2908:65 0.000859359 +38 *2394:16 *4911:100 0.000375717 +39 *2394:16 *4911:109 0.000186528 +40 *2394:16 *4911:118 4.84944e-05 +41 *2394:16 *5853:379 7.92757e-06 +42 *2394:16 *5862:8 3.46206e-05 +43 *2394:17 *22537:B 0.000453412 +44 *2394:17 *4804:21 3.65193e-05 +45 *2394:36 *2630:9 0.000107496 +46 *2394:36 *2900:60 0.00206333 +47 *2394:36 *2908:65 0.00206178 +48 *2394:36 *4844:259 1.82679e-05 +49 *2394:41 *21072:A1 0.000137344 +50 *2394:41 *2425:24 0.000106819 +51 *2394:41 *2610:63 6.53142e-05 +52 *2394:41 *2630:8 0.000250346 +53 *2394:41 *2814:15 0.00091691 +54 *2394:41 *2908:63 1.3813e-05 +55 *2394:41 *2908:65 0.000169363 +56 *2394:41 *4844:244 0.000531125 +57 *2394:41 *5366:8 0.000115573 +58 *2394:47 *21658:C1 9.84424e-06 +59 *2394:47 *22651:B1 4.0919e-05 +60 *2394:47 *3021:13 0.00153135 +61 *2394:47 *3111:125 0.000109247 +62 *2394:47 *3541:13 0.000182008 +63 *2394:47 *4815:116 0.000328017 +64 *20164:A *2394:17 4.58003e-05 +65 *20854:A *2394:17 0.00014642 +66 *20856:A2 *2394:17 2.99287e-05 +67 *20856:A3 *2394:17 3.48903e-05 +68 *20856:B1 *2394:17 8.41713e-05 +69 *20856:B2 *2394:17 5.37805e-05 +70 *22244:C *2394:17 5.04829e-06 +71 *22693:A1 *22693:B1 2.47175e-05 +72 *24791:D *2394:7 1.19726e-05 +73 *494:44 *21333:B1 0.000103123 +74 *541:35 *2394:17 1.25149e-05 +75 *1688:91 *2394:47 0.00575291 +76 *1864:33 *2394:16 0.000435258 +77 *1865:5 *2394:17 1.54577e-05 +78 *1865:17 *2394:17 2.99859e-05 +79 *2088:103 *2394:17 9.30495e-06 +80 *2122:5 *2394:17 6.77611e-06 +81 *2122:15 *2394:17 4.79321e-06 +82 *2123:17 *2394:17 0.000174403 +83 *2202:14 *2394:41 0.000610335 +84 *2202:25 *2394:41 0.000169705 +85 *2202:27 *2394:41 0.000526199 +86 *2202:31 *2394:41 0.000730859 +87 *2300:60 *2394:41 0.000110114 +88 *2313:56 *2394:47 0.000153427 +89 *2354:55 *22365:B1 6.08467e-05 +90 *2378:27 *2394:17 0.000329162 +91 *2381:26 *2394:16 0.000109827 +*RES +1 *21332:Y *2394:7 30.0014 +2 *2394:7 *2394:16 46.1273 +3 *2394:16 *2394:17 52.8561 +4 *2394:17 *21333:B1 31.0874 +5 *2394:7 *2394:36 48.6522 +6 *2394:36 *2394:41 49.0364 +7 *2394:41 *2394:47 16.2247 +8 *2394:47 *22365:B1 25.3305 +9 *2394:47 *22693:B1 18.3642 +*END + +*D_NET *2395 0.000557787 +*CONN +*I *21334:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21333:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21334:C1 0.000142002 +2 *21333:X 0.000142002 +3 *494:44 *21334:C1 0.000113107 +4 *533:8 *21334:C1 0.000114237 +5 *2273:14 *21334:C1 4.64395e-05 +*RES +1 *21333:X *21334:C1 31.0235 +*END + +*D_NET *2396 0.00391122 +*CONN +*I *21353:A I *D sky130_fd_sc_hd__and4_2 +*I *21334:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21353:A 0 +2 *21334:X 0.00087318 +3 *2396:23 0.00087318 +4 *2396:23 *21352:C1 6.3657e-05 +5 *2396:23 *21690:A1 1.00391e-05 +6 *2396:23 *21893:B1 0.000528228 +7 *2396:23 *2408:10 3.29488e-05 +8 *2396:23 *2410:8 1.93421e-05 +9 *2396:23 *2411:26 4.06659e-05 +10 *2396:23 *2421:138 8.05301e-05 +11 *2396:23 *2690:11 0 +12 *2396:23 *3500:18 0.000237292 +13 *2396:23 *4856:30 0 +14 *19929:B1 *2396:23 2.0536e-05 +15 *21334:A2 *2396:23 0.000241331 +16 *21351:B2 *2396:23 3.51785e-06 +17 *21352:A2 *2396:23 0.000259955 +18 *21352:B2 *2396:23 0.000116 +19 *533:8 *2396:23 8.08437e-05 +20 *1439:145 *2396:23 0.000160617 +21 *1577:165 *2396:23 0.000269357 +22 *1649:80 *2396:23 0 +*RES +1 *21334:X *2396:23 49.4241 +2 *2396:23 *21353:A 9.24915 +*END + +*D_NET *2397 0.0554108 +*CONN +*I *21340:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22376:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22697:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *21335:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21340:A1 0.000107662 +2 *22376:A1 0.000589005 +3 *22697:A1 0.000461706 +4 *21335:Y 0.000225619 +5 *2397:85 0.00154904 +6 *2397:81 0.00149013 +7 *2397:76 0.00169055 +8 *2397:72 0.00246905 +9 *2397:69 0.00143318 +10 *2397:63 0.00147032 +11 *2397:50 0.00144413 +12 *2397:13 0.00326771 +13 *2397:10 0.00373792 +14 *2397:5 0.00232629 +15 *21340:A1 *21353:B 5.24081e-05 +16 *21340:A1 *3144:16 0.000133269 +17 *22376:A1 *2501:32 1.80225e-05 +18 *22376:A1 *3139:254 0.000111802 +19 *22376:A1 *3148:72 0.000811527 +20 *22376:A1 *3206:190 0.000215028 +21 *22376:A1 *3543:33 0.000159201 +22 *22376:A1 *5919:72 0.000104754 +23 *22697:A1 *22697:A2 0.000389133 +24 *22697:A1 *22697:C1 2.01333e-05 +25 *22697:A1 *3206:190 0.000185804 +26 *22697:A1 *3491:188 0.000169533 +27 *22697:A1 *3531:43 0.000104754 +28 *22697:A1 *3543:33 0.000190298 +29 *22697:A1 *3636:11 0.000396003 +30 *2397:5 *4820:14 0.00030118 +31 *2397:5 *4820:64 0.000427811 +32 *2397:5 *4844:244 0.000300565 +33 *2397:5 *4844:255 0.000154145 +34 *2397:10 *21030:A1 7.25274e-05 +35 *2397:10 *21871:A 0.000125206 +36 *2397:10 *24249:RESET_B 0.000470984 +37 *2397:10 *2642:27 0.000211692 +38 *2397:10 *3041:61 5.84021e-05 +39 *2397:10 *3153:102 0.00035329 +40 *2397:10 *4820:14 0.000604038 +41 *2397:10 *5068:15 9.59081e-05 +42 *2397:10 *5857:151 0.000137356 +43 *2397:13 *22673:B2 0.000250775 +44 *2397:13 *2679:41 5.7392e-05 +45 *2397:50 *2459:29 0.000331395 +46 *2397:50 *2474:14 0.000801736 +47 *2397:50 *2906:63 0 +48 *2397:50 *4819:28 0.000133726 +49 *2397:63 *19565:A1 5.51483e-06 +50 *2397:63 *19565:S 6.08467e-05 +51 *2397:63 *21654:A 0.000247443 +52 *2397:63 *24338:CLK 5.97576e-05 +53 *2397:63 *2546:5 0.00193342 +54 *2397:63 *4806:5 6.19637e-05 +55 *2397:63 *4806:11 0.000225285 +56 *2397:63 *5526:32 0.000253916 +57 *2397:69 *2636:14 4.97617e-05 +58 *2397:69 *2949:60 0.00120994 +59 *2397:69 *4832:82 8.45896e-06 +60 *2397:69 *4832:84 0.000220421 +61 *2397:69 *5526:32 6.08467e-05 +62 *2397:69 *5857:168 0.000966718 +63 *2397:69 *5857:178 0.000156946 +64 *2397:72 *24810:CLK 7.77309e-06 +65 *2397:72 *5526:21 9.49139e-05 +66 *2397:72 *5526:32 0.000337249 +67 *2397:76 *24363:SET_B 0.00107917 +68 *2397:76 *5950:58 0.000169472 +69 *2397:85 *21351:A1 0.000302705 +70 *2397:85 *21353:B 0.000167625 +71 *2397:85 *22173:A 0.000104854 +72 *2397:85 *22180:A 0.00025175 +73 *2397:85 *2411:17 0.000361634 +74 *2397:85 *2690:11 5.69178e-05 +75 *2397:85 *3132:7 0.0027176 +76 *2397:85 *3144:5 7.01226e-05 +77 *2397:85 *3144:16 0.000119898 +78 *2397:85 *5949:74 0.00011985 +79 *2397:85 *5952:48 5.51483e-06 +80 *20840:B *2397:85 7.54633e-05 +81 *21340:A2 *21340:A1 9.95922e-06 +82 *21351:B2 *2397:85 0.000107496 +83 *22550:A *2397:85 0.000121159 +84 *22550:C *2397:85 6.08467e-05 +85 *24363:D *2397:76 4.84618e-05 +86 *24809:D *2397:72 0.000277438 +87 *482:9 *2397:76 0.000953668 +88 *482:15 *2397:72 0.00134885 +89 *504:11 *2397:10 0.000110297 +90 *518:44 *22697:A1 0.000104754 +91 *518:44 *2397:13 0.00302297 +92 *518:46 *2397:76 4.43144e-05 +93 *537:29 *2397:13 0.000116529 +94 *1418:50 *2397:10 0.000229659 +95 *1545:66 *2397:10 4.04556e-05 +96 *1545:78 *2397:10 0.000225519 +97 *1573:95 *2397:76 0.000679576 +98 *1649:143 *2397:13 0.000226199 +99 *1649:162 *2397:13 0.000174865 +100 *1679:37 *2397:76 2.27305e-05 +101 *1715:151 *21340:A1 5.37924e-06 +102 *2111:11 *2397:85 3.58208e-05 +103 *2111:17 *2397:76 0.000119952 +104 *2112:11 *2397:81 0 +105 *2115:48 *2397:85 7.69591e-05 +106 *2123:17 *2397:85 0.000158451 +107 *2123:25 *2397:85 6.08467e-05 +108 *2244:73 *22376:A1 0.000802224 +109 *2267:80 *2397:13 0.000897654 +110 *2301:57 *2397:10 0.000102032 +111 *2304:14 *2397:50 1.18859e-05 +112 *2304:19 *2397:50 0.000807578 +113 *2332:17 *22376:A1 0.000111802 +114 *2333:38 *2397:72 0.00219358 +115 *2333:60 *22376:A1 0.000107019 +116 *2379:58 *2397:10 0.000218013 +*RES +1 *21335:Y *2397:5 17.737 +2 *2397:5 *2397:10 47.4143 +3 *2397:10 *2397:13 8.81123 +4 *2397:13 *22697:A1 30.4891 +5 *2397:13 *22376:A1 26.1395 +6 *2397:5 *2397:50 31.5946 +7 *2397:50 *2397:63 34.4727 +8 *2397:63 *2397:69 35.091 +9 *2397:69 *2397:72 44.9505 +10 *2397:72 *2397:76 49.7543 +11 *2397:76 *2397:81 5.52481 +12 *2397:81 *2397:85 48.7207 +13 *2397:85 *21340:A1 12.7214 +*END + +*D_NET *2398 0.105369 +*CONN +*I *21340:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21336:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21340:B1 0.000123333 +2 *21336:Y 0.001258 +3 *2398:100 0.00222902 +4 *2398:93 0.00280158 +5 *2398:81 0.00383917 +6 *2398:80 0.00419912 +7 *2398:74 0.00187617 +8 *2398:71 0.00238648 +9 *2398:63 0.00437809 +10 *2398:62 0.00281194 +11 *2398:60 5.07295e-05 +12 *2398:59 0.000741678 +13 *2398:55 0.00121564 +14 *2398:47 0.00341698 +15 *2398:39 0.006831 +16 *2398:38 0.00437999 +17 *2398:32 0.000968263 +18 *2398:24 0.0026709 +19 *2398:22 0.00411458 +20 *2398:16 0.00261956 +21 *2398:13 0.00261761 +22 *2398:10 0.0032267 +23 *21340:B1 *21340:C1 1.11738e-05 +24 *21340:B1 *3144:16 1.13206e-05 +25 *2398:10 *21336:A 4.31539e-05 +26 *2398:10 *2948:14 0.000101133 +27 *2398:13 *2612:32 0.000273643 +28 *2398:16 *2864:64 0 +29 *2398:16 *4867:8 0.000317901 +30 *2398:22 *24661:CLK 5.97576e-05 +31 *2398:22 *2789:8 1.23518e-05 +32 *2398:22 *2939:13 6.64392e-05 +33 *2398:22 *2939:21 4.31882e-05 +34 *2398:22 *5854:148 1.51735e-05 +35 *2398:22 *6001:72 0.000129238 +36 *2398:24 *24064:A1 0.000175995 +37 *2398:24 *24653:CLK 0.000123564 +38 *2398:24 *2563:8 0 +39 *2398:24 *2789:8 0.00258139 +40 *2398:24 *4876:69 0.000606246 +41 *2398:24 *4894:24 0.000156315 +42 *2398:24 *5854:148 1.88315e-05 +43 *2398:24 *5854:158 4.31118e-05 +44 *2398:24 *5860:247 0.000942515 +45 *2398:24 *5869:55 0 +46 *2398:24 *6001:109 9.91788e-05 +47 *2398:32 *21343:A 6.50727e-05 +48 *2398:32 *2405:5 0.000301818 +49 *2398:32 *2878:14 0.000119653 +50 *2398:32 *2942:7 0.00140864 +51 *2398:32 *5812:32 2.43314e-05 +52 *2398:32 *5917:336 3.0591e-05 +53 *2398:32 *5917:350 0.000160384 +54 *2398:32 *5917:353 1.03403e-05 +55 *2398:38 *2426:43 0.00190952 +56 *2398:38 *2704:10 0.000141924 +57 *2398:38 *4845:477 0.0002994 +58 *2398:38 *5812:32 6.08467e-05 +59 *2398:39 *24673:SET_B 1.92336e-05 +60 *2398:39 *2446:15 0.000140939 +61 *2398:39 *2446:27 2.20688e-05 +62 *2398:47 *20236:B1 0.0013427 +63 *2398:47 *24673:SET_B 0.000778674 +64 *2398:47 *2446:27 0.000171159 +65 *2398:47 *2641:13 0.000464127 +66 *2398:55 *21959:B1 1.07248e-05 +67 *2398:59 *20235:A1 3.82228e-05 +68 *2398:63 *24281:RESET_B 3.67714e-05 +69 *2398:63 *24281:CLK 0.00014661 +70 *2398:63 *5871:787 1.53125e-05 +71 *2398:63 *5964:7 1.69121e-05 +72 *2398:71 *23991:S 0.000156075 +73 *2398:71 *5871:799 0.000131114 +74 *2398:71 *5977:9 0.000142789 +75 *2398:74 *4832:84 0.000181333 +76 *2398:74 *4832:100 0.000503668 +77 *2398:74 *5857:199 0.000213407 +78 *2398:74 *6029:8 0.000319683 +79 *2398:74 *6029:13 0.000270243 +80 *2398:80 *2423:42 0.000617646 +81 *2398:80 *2433:141 6.06525e-06 +82 *2398:80 *5503:9 0.000189558 +83 *2398:80 *5503:16 7.34948e-06 +84 *2398:93 *24372:CLK 0.000188919 +85 *2398:93 *4845:349 0.000254107 +86 *2398:93 *4876:26 6.08467e-05 +87 *2398:93 *5944:44 3.20069e-06 +88 *2398:100 *22243:A 2.6567e-05 +89 *2398:100 *2411:13 0.000292153 +90 *2398:100 *2576:42 0.000769092 +91 *2398:100 *2596:14 0.00034672 +92 *2398:100 *2907:6 2.73341e-05 +93 *2398:100 *3206:15 0.00243303 +94 *2398:100 *3496:29 6.23101e-05 +95 *2398:100 *4827:29 0 +96 *19929:B1 *2398:100 0.000436499 +97 *20218:A *2398:38 3.67528e-06 +98 *20232:A2 *2398:59 9.31601e-05 +99 *20235:B2 *2398:55 1.00981e-05 +100 *20235:B2 *2398:59 7.34948e-06 +101 *20357:A *2398:55 0 +102 *20513:A2 *2398:93 0.000274625 +103 *20513:B2 *2398:93 9.95234e-05 +104 *20604:B2 *2398:39 7.92757e-06 +105 *22242:A *2398:100 7.12632e-06 +106 *22537:C *2398:100 3.89795e-05 +107 *24095:A0 *2398:55 1.51778e-05 +108 *24095:A1 *2398:55 6.08467e-05 +109 *24095:S *2398:55 0.000107496 +110 *24203:D *2398:16 0.000169093 +111 *24281:D *2398:63 4.89898e-06 +112 *24372:D *2398:93 8.3897e-06 +113 *24566:D *2398:93 0.000173533 +114 *24661:D *2398:24 9.96342e-05 +115 *24673:D *2398:39 5.44807e-05 +116 *24737:D *2398:74 0.000169078 +117 *74:18 *2398:32 1.27046e-05 +118 *74:21 *2398:32 0.000112468 +119 *324:11 *2398:80 0.000615101 +120 *448:15 *2398:16 0.000257987 +121 *460:30 *2398:16 0 +122 *476:82 *2398:74 0.000396544 +123 *494:36 *2398:100 0.000154094 +124 *496:8 *2398:55 0.000414497 +125 *496:19 *2398:55 2.09495e-05 +126 *499:21 *2398:59 0.000874083 +127 *499:21 *2398:63 0.00287171 +128 *500:73 *2398:71 0.00181566 +129 *507:11 *2398:59 0.00131112 +130 *516:26 *2398:55 0 +131 *526:16 *2398:55 4.29445e-05 +132 *545:29 *2398:93 0.000309838 +133 *547:36 *2398:100 0.00122941 +134 *1439:260 *2398:39 0.00139797 +135 *1471:78 *2398:39 0.00272496 +136 *1471:84 *2398:39 2.77625e-06 +137 *1502:49 *2398:38 0 +138 *1520:42 *2398:71 3.83819e-05 +139 *1636:20 *2398:55 0.000660213 +140 *1636:20 *2398:59 0.00129132 +141 *1668:56 *2398:24 0.00090882 +142 *1669:24 *2398:47 1.19856e-05 +143 *1699:20 *2398:16 0 +144 *1706:156 *2398:100 0.00019127 +145 *1715:151 *21340:B1 4.31603e-06 +146 *1717:68 *2398:100 0.000126565 +147 *1735:100 *2398:100 8.56151e-05 +148 *1763:26 *2398:10 9.75356e-05 +149 *1764:128 *2398:38 0.0011114 +150 *2089:22 *2398:93 4.71543e-05 +151 *2091:19 *2398:100 3.55705e-05 +152 *2103:45 *2398:80 9.26783e-06 +153 *2278:24 *2398:71 0.000187646 +154 *2295:8 *2398:38 0.000256894 +155 *2300:19 *2398:81 0.0029263 +156 *2300:29 *2398:81 0.000264968 +157 *2378:12 *2398:93 0.000432001 +158 *2384:96 *2398:74 0.000747784 +*RES +1 *21336:Y *2398:10 42.4341 +2 *2398:10 *2398:13 32.399 +3 *2398:13 *2398:16 24.6031 +4 *2398:16 *2398:22 32.2618 +5 *2398:22 *2398:24 77.2003 +6 *2398:24 *2398:32 35.5975 +7 *2398:32 *2398:38 34.9825 +8 *2398:38 *2398:39 64.5028 +9 *2398:39 *2398:47 48.6363 +10 *2398:47 *2398:55 25.4334 +11 *2398:55 *2398:59 38.2573 +12 *2398:59 *2398:60 57.9449 +13 *2398:60 *2398:62 9.24915 +14 *2398:62 *2398:63 45.6463 +15 *2398:63 *2398:71 45.5719 +16 *2398:71 *2398:74 39.9674 +17 *2398:74 *2398:80 31.6437 +18 *2398:80 *2398:81 50.0831 +19 *2398:81 *2398:93 36.3422 +20 *2398:93 *2398:100 47.4524 +21 *2398:100 *21340:B1 16.1846 +*END + +*D_NET *2399 0.0123736 +*CONN +*I *21339:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21337:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21339:A1 5.03998e-05 +2 *21337:Y 0 +3 *2399:6 0.0026091 +4 *2399:5 0.0025587 +5 *2399:6 *19953:A 0.000218227 +6 *2399:6 *20354:A1 9.2346e-06 +7 *2399:6 *21717:A2_N 0 +8 *2399:6 *2466:16 0 +9 *2399:6 *2739:14 0 +10 *2399:6 *2740:23 3.85987e-05 +11 *2399:6 *2742:9 0.00037514 +12 *2399:6 *4878:6 0 +13 *2399:6 *5201:8 9.49135e-05 +14 *21203:A *2399:6 1.51692e-05 +15 *21339:A2 *21339:A1 0.000154145 +16 *1450:10 *2399:6 4.51619e-05 +17 *1461:94 *2399:6 8.65205e-05 +18 *1492:40 *2399:6 0.00206279 +19 *1492:46 *2399:6 0.000640817 +20 *1510:30 *2399:6 0.000115451 +21 *1510:45 *2399:6 0.000364704 +22 *1587:10 *21339:A1 5.481e-05 +23 *1710:8 *2399:6 0.00287968 +*RES +1 *21337:Y *2399:5 13.7491 +2 *2399:5 *2399:6 91.9417 +3 *2399:6 *21339:A1 15.5817 +*END + +*D_NET *2400 0.030031 +*CONN +*I *21339:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21338:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21339:B1 0 +2 *21338:Y 0.00774908 +3 *2400:12 0.00774908 +4 *2400:12 *23942:A0 0.000634725 +5 *2400:12 *2466:29 5.61179e-05 +6 *2400:12 *2703:21 0.00101666 +7 *2400:12 *2703:36 0.00105004 +8 *2400:12 *2715:12 2.02035e-05 +9 *2400:12 *2858:23 0.000158049 +10 *2400:12 *5801:49 5.07882e-05 +11 *2400:12 *5908:9 0.00202277 +12 *2400:12 *5938:8 2.37478e-05 +13 *19990:A1 *2400:12 0.00316293 +14 *20011:B2 *2400:12 0.00073734 +15 *25202:A *2400:12 0 +16 *1461:88 *2400:12 0.000280291 +17 *1466:84 *2400:12 0.000170217 +18 *1763:37 *2400:12 0 +19 *1766:21 *2400:12 0.00514899 +*RES +1 *21338:Y *2400:12 48.3715 +2 *2400:12 *21339:B1 13.7491 +*END + +*D_NET *2401 0.0175117 +*CONN +*I *21340:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21339:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21340:C1 0.000333513 +2 *21339:X 0.00236399 +3 *2401:22 0.00172129 +4 *2401:11 0.00375176 +5 *21340:C1 *21893:A1 0.00012609 +6 *21340:C1 *21893:B1 0.000106478 +7 *21340:C1 *3144:16 0.000393863 +8 *21340:C1 *3144:23 2.35405e-05 +9 *2401:11 *24927:A 0.000157825 +10 *2401:11 *2645:50 0.00134655 +11 *2401:11 *2757:8 0.000802438 +12 *2401:11 *2761:6 0.000802877 +13 *2401:22 *19617:B1 0.000477066 +14 *2401:22 *2894:8 0.00050768 +15 *2401:22 *4920:19 5.94319e-06 +16 *2401:22 *5859:374 3.63738e-05 +17 *2401:22 *5870:554 0.000508199 +18 *2401:22 *5956:58 0.000616595 +19 *20906:A *2401:11 0.000219007 +20 *21339:A2 *2401:11 0.000168086 +21 *21339:B2 *2401:11 0.000298304 +22 *21340:B1 *21340:C1 1.11738e-05 +23 *21689:B2 *2401:22 3.65058e-05 +24 *21893:B2 *21340:C1 0.000111802 +25 *494:58 *2401:22 0.000181699 +26 *516:19 *2401:11 0.000487758 +27 *1492:35 *2401:11 7.89747e-05 +28 *1587:10 *2401:11 7.22095e-06 +29 *1669:130 *2401:22 0.000959904 +30 *1671:17 *2401:11 0.000362774 +31 *1671:126 *21340:C1 0.000491468 +32 *1715:151 *21340:C1 1.49212e-05 +*RES +1 *21339:X *2401:11 49.3977 +2 *2401:11 *2401:22 45.5657 +3 *2401:22 *21340:C1 25.9987 +*END + +*D_NET *2402 0.000837262 +*CONN +*I *21353:B I *D sky130_fd_sc_hd__and4_2 +*I *21340:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21353:B 0.000256067 +2 *21340:X 0.000256067 +3 *21353:B *21352:C1 7.50872e-05 +4 *21340:A1 *21353:B 5.24081e-05 +5 *2273:14 *21353:B 3.00073e-05 +6 *2397:85 *21353:B 0.000167625 +*RES +1 *21340:X *21353:B 32.4114 +*END + +*D_NET *2403 0.0219628 +*CONN +*I *21346:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22364:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22696:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21341:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21346:A1 0 +2 *22364:B1 4.7014e-05 +3 *22696:A1 0.00107258 +4 *21341:Y 0.00108583 +5 *2403:38 9.77435e-05 +6 *2403:37 0.000158317 +7 *2403:21 0.00139428 +8 *2403:18 0.00200879 +9 *2403:17 0.00216338 +10 *2403:9 0.000555045 +11 *2403:7 0.00127216 +12 *22364:B1 *3117:162 5.901e-05 +13 *22364:B1 *3136:180 0.00015647 +14 *22696:A1 *21443:D 0.0002153 +15 *22696:A1 *21635:A1 4.15661e-05 +16 *22696:A1 *22696:A2 1.52067e-05 +17 *22696:A1 *22696:B2 1.39501e-05 +18 *22696:A1 *2416:53 0.000574686 +19 *22696:A1 *3041:71 5.61111e-05 +20 *22696:A1 *3208:150 0.000340063 +21 *22696:A1 *4804:96 0.000228255 +22 *2403:7 *21341:A 1.41181e-05 +23 *2403:7 *22203:A 0.000162739 +24 *2403:7 *22562:A 0.000115934 +25 *2403:7 *3166:15 1.15389e-05 +26 *2403:7 *3509:11 0.000787064 +27 *2403:7 *5853:334 4.79321e-06 +28 *2403:9 *2404:101 0.000304777 +29 *2403:9 *3166:15 0.000660606 +30 *2403:17 *3124:35 0.000110306 +31 *2403:17 *3139:122 7.47199e-05 +32 *2403:18 *22645:B1 4.09154e-05 +33 *2403:18 *22646:A2 0.000125603 +34 *2403:18 *22647:B1 3.80436e-07 +35 *2403:18 *2675:99 4.78118e-05 +36 *2403:18 *3124:24 2.95757e-05 +37 *2403:18 *3124:127 6.75337e-05 +38 *2403:18 *3144:116 0.000295786 +39 *2403:18 *3144:129 0.000112347 +40 *2403:18 *3144:152 0.000277966 +41 *2403:18 *3509:24 6.24823e-05 +42 *2403:18 *3509:42 3.68556e-05 +43 *2403:18 *3521:26 8.90266e-05 +44 *2403:18 *4807:82 0.000259166 +45 *2403:18 *4808:58 0.000652924 +46 *2403:21 *3136:180 0.000298399 +47 *2403:37 *3136:180 0.000152158 +48 *6171:DIODE *2403:17 0.000111708 +49 *21212:B1 *2403:18 8.90266e-05 +50 *21320:B2 *2403:17 0.000111802 +51 *21321:B1 *2403:17 4.09154e-05 +52 *21321:B2 *2403:17 6.1578e-06 +53 *21321:C1 *2403:17 0.000182304 +54 *21372:A2 *22696:A1 9.28861e-05 +55 *22561:A *2403:7 9.95234e-05 +56 *22561:C *2403:7 6.08467e-05 +57 *529:14 *22696:A1 0.000200794 +58 *1542:174 *2403:17 5.39635e-06 +59 *1542:201 *2403:7 0.000114622 +60 *1542:201 *2403:9 0.000916352 +61 *1645:69 *2403:18 0.00257153 +62 *1691:105 *2403:17 1.47967e-05 +63 *1691:105 *2403:18 1.30964e-05 +64 *1802:143 *22696:A1 8.27916e-05 +65 *2278:39 *2403:7 0.000384772 +66 *2278:46 *2403:7 0.000209348 +67 *2280:6 *22696:A1 2.20194e-05 +68 *2368:64 *22696:A1 0.000161003 +69 *2378:41 *2403:17 0.000100369 +70 *2381:38 *2403:17 1.94313e-05 +*RES +1 *21341:Y *2403:7 39.3906 +2 *2403:7 *2403:9 11.2609 +3 *2403:9 *2403:17 23.6721 +4 *2403:17 *2403:18 54.9843 +5 *2403:18 *2403:21 7.99641 +6 *2403:21 *22696:A1 46.0146 +7 *2403:21 *2403:37 11.0817 +8 *2403:37 *2403:38 57.9449 +9 *2403:38 *22364:B1 20.3309 +10 *2403:9 *21346:A1 9.24915 +*END + +*D_NET *2404 0.0905313 +*CONN +*I *22361:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21346:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6092:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22698:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21342:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22361:B1 2.51739e-05 +2 *21346:B1 2.02636e-05 +3 *6092:DIODE 5.07191e-05 +4 *22698:A1 0.00109378 +5 *21342:Y 0.000139979 +6 *2404:101 0.00573215 +7 *2404:86 0.00622492 +8 *2404:85 0.0011123 +9 *2404:73 0.00126278 +10 *2404:67 0.00190987 +11 *2404:60 0.00617433 +12 *2404:25 0.00773933 +13 *2404:11 0.00183803 +14 *2404:8 0.0022331 +15 *2404:7 0.00222706 +16 *6092:DIODE *2407:41 6.08467e-05 +17 *6092:DIODE *3166:15 0.000154145 +18 *22361:B1 *22025:A 1.15389e-05 +19 *22361:B1 *22361:B2 6.08467e-05 +20 *22361:B1 *22362:C1 0.000110297 +21 *22698:A1 *22699:C1 4.23858e-05 +22 *22698:A1 *2870:40 0.000791225 +23 *22698:A1 *3238:44 0.000422339 +24 *22698:A1 *3513:199 0.000113107 +25 *2404:7 *20541:A1 0.000164843 +26 *2404:7 *4844:653 1.00937e-05 +27 *2404:8 *24212:CLK 0.000183717 +28 *2404:8 *2820:34 0.000494228 +29 *2404:8 *2881:18 0.000825559 +30 *2404:8 *2881:22 0.00217609 +31 *2404:8 *4892:126 0.000424503 +32 *2404:8 *4892:128 0.000703019 +33 *2404:8 *4892:130 0.00037459 +34 *2404:8 *5859:96 0.000917925 +35 *2404:8 *5859:115 6.09999e-05 +36 *2404:11 *22025:A 0.000155413 +37 *2404:11 *22362:C1 1.55025e-05 +38 *2404:11 *2989:5 7.70454e-05 +39 *2404:25 *22361:B2 1.03434e-05 +40 *2404:25 *22703:A2 0 +41 *2404:25 *2468:37 0.00012543 +42 *2404:25 *3168:198 3.91944e-05 +43 *2404:25 *3531:211 0.000425408 +44 *2404:25 *4822:80 0.000187264 +45 *2404:25 *5865:88 1.73221e-05 +46 *2404:60 *21999:A1 2.85222e-05 +47 *2404:60 *22686:B1 0.000118513 +48 *2404:60 *2446:145 5.40372e-05 +49 *2404:60 *2460:51 2.92615e-05 +50 *2404:60 *2468:37 0.000183928 +51 *2404:60 *2503:31 0.000247542 +52 *2404:60 *2530:90 0.000966886 +53 *2404:60 *2610:48 0.000391642 +54 *2404:60 *2870:21 3.55113e-05 +55 *2404:60 *2870:40 0.000304378 +56 *2404:60 *2949:71 0.000341823 +57 *2404:60 *3076:23 0.000330613 +58 *2404:60 *3148:72 0.000487995 +59 *2404:60 *3190:189 5.95945e-05 +60 *2404:60 *3192:104 0.00205633 +61 *2404:60 *3343:19 0.000623814 +62 *2404:60 *3348:33 5.34407e-05 +63 *2404:60 *4805:36 0.000388231 +64 *2404:60 *4818:23 4.23427e-05 +65 *2404:60 *4843:345 0.000201756 +66 *2404:60 *5924:37 0.000417631 +67 *2404:67 *21515:A 0.000351426 +68 *2404:67 *2572:9 7.046e-05 +69 *2404:67 *2813:42 0.000211559 +70 *2404:67 *3257:51 0.000101637 +71 *2404:67 *4829:142 0.000203595 +72 *2404:67 *5853:251 1.00846e-05 +73 *2404:67 *5863:11 0.000694008 +74 *2404:67 *5904:45 0.00121913 +75 *2404:73 *19562:S 3.39095e-05 +76 *2404:73 *2446:113 5.04829e-06 +77 *2404:73 *4833:130 0.000289972 +78 *2404:73 *5475:112 0.00112554 +79 *2404:73 *5874:36 0.00108217 +80 *2404:73 *5910:26 1.9101e-05 +81 *2404:85 *2421:115 1.87125e-05 +82 *2404:85 *2433:114 0.00157771 +83 *2404:85 *2446:101 0.000111938 +84 *2404:85 *2989:67 0.000352722 +85 *2404:85 *5910:26 0.000212143 +86 *2404:85 *5913:17 0.000196498 +87 *2404:86 *2729:95 0.0014415 +88 *2404:86 *2882:46 0.00202686 +89 *2404:86 *2938:34 0.00176473 +90 *2404:86 *2938:40 1.3813e-05 +91 *2404:101 *2446:89 0.000163315 +92 *2404:101 *2610:83 0.00371586 +93 *2404:101 *2989:91 1.83127e-05 +94 *2404:101 *3111:28 0.000219937 +95 *2404:101 *3166:15 0.000494413 +96 *2404:101 *4833:77 0.00169439 +97 *2404:101 *5475:83 0.00259763 +98 *2404:101 *5898:191 0.000502988 +99 *2404:101 *5910:26 0.00025593 +100 mgmt_gpio_oeb[6] *2404:101 1.20395e-05 +101 mgmt_gpio_oeb[8] *2404:85 5.60804e-05 +102 mgmt_gpio_oeb[9] *2404:73 0.000117754 +103 *21272:B1 *22698:A1 0.000145887 +104 *21346:B2 *6092:DIODE 1.58551e-05 +105 *21346:B2 *21346:B1 9.95922e-06 +106 *21346:B2 *2404:101 1.03403e-05 +107 *21856:A2 *22698:A1 0.000318404 +108 *22699:A1 *2404:25 0.000109235 +109 *22699:A1 *2404:60 0.000448731 +110 *529:41 *2404:101 8.12259e-06 +111 *535:25 *2404:101 0.00125886 +112 *537:30 *2404:86 0.00118086 +113 *539:34 *2404:73 6.92263e-05 +114 *539:34 *2404:85 0.0011815 +115 *539:36 *2404:86 1.05982e-05 +116 *1419:239 *2404:60 0.000666878 +117 *1439:128 *2404:101 0.000108997 +118 *1542:201 *2404:101 5.60479e-05 +119 *1551:136 *2404:60 0 +120 *1592:115 *2404:25 0.000267946 +121 *1610:134 *2404:25 0.000130943 +122 *1610:155 *2404:25 0 +123 *1634:45 *22698:A1 0.000794379 +124 *1634:45 *2404:60 0.00041035 +125 *1652:65 *2404:60 0.000524608 +126 *1663:21 *2404:60 0.000177549 +127 *1688:75 *2404:25 0.00017253 +128 *1688:75 *2404:60 0.00106297 +129 *1688:91 *2404:85 6.8612e-05 +130 *1784:96 *2404:8 0.000100364 +131 *1784:124 *2404:8 0.000250428 +132 *1800:126 *22698:A1 0.000446351 +133 *2257:73 *2404:73 0.00011158 +134 *2258:62 *2404:60 5.9447e-05 +135 *2267:59 *2404:101 1.86819e-05 +136 *2278:46 *2404:101 3.55859e-05 +137 *2282:53 *2404:60 0.000519523 +138 *2298:17 *2404:25 0.000157659 +139 *2343:25 *2404:25 0.00105774 +140 *2347:44 *2404:25 1.68738e-05 +141 *2359:27 *2404:60 0.000299427 +142 *2367:79 *22698:A1 7.14287e-05 +143 *2368:23 *2404:101 4.00504e-05 +144 *2375:36 *2404:25 4.3663e-05 +145 *2375:60 *22698:A1 0.000133183 +146 *2379:58 *2404:101 0.000358233 +147 *2380:84 *2404:101 0 +148 *2389:81 *2404:73 5.04829e-06 +149 *2389:96 *2404:60 6.12075e-06 +150 *2403:9 *2404:101 0.000304777 +*RES +1 *21342:Y *2404:7 16.1364 +2 *2404:7 *2404:8 79.0689 +3 *2404:8 *2404:11 9.10562 +4 *2404:11 *2404:25 15.3302 +5 *2404:25 *22698:A1 30.3761 +6 *2404:25 *2404:60 32.3356 +7 *2404:60 *2404:67 41.4655 +8 *2404:67 *2404:73 39.9375 +9 *2404:73 *2404:85 34.7907 +10 *2404:85 *2404:86 53.3233 +11 *2404:86 *2404:101 34.6327 +12 *2404:101 *6092:DIODE 11.0817 +13 *2404:101 *21346:B1 9.82786 +14 *2404:11 *22361:B1 10.5271 +*END + +*D_NET *2405 0.0468595 +*CONN +*I *21345:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21343:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21345:A1 0.000170712 +2 *21343:Y 0 +3 *2405:35 0.00245668 +4 *2405:34 0.00378537 +5 *2405:26 0.00335921 +6 *2405:24 0.00228596 +7 *2405:20 0.00162772 +8 *2405:17 0.00274657 +9 *2405:5 0.00245425 +10 *2405:4 0.000909255 +11 *21345:A1 *2993:33 0.000294564 +12 *21345:A1 *5856:194 0.00027733 +13 *2405:5 *21343:A 6.50727e-05 +14 *2405:5 *21928:A 0.000207266 +15 *2405:5 *2942:7 7.60395e-05 +16 *2405:5 *5475:29 0.000595626 +17 *2405:17 *2412:7 0.000131249 +18 *2405:17 *2505:47 0.000221039 +19 *2405:17 *5474:70 0.00011935 +20 *2405:17 *5898:66 0 +21 *2405:20 *21453:A 6.80864e-05 +22 *2405:20 *2469:10 9.8511e-05 +23 *2405:20 *5451:12 0 +24 *2405:20 *5735:12 0.00141346 +25 *2405:20 *5800:32 0.000161557 +26 *2405:20 *5801:49 0.000103659 +27 *2405:20 *5852:74 0.00107881 +28 *2405:24 *2426:8 0.000908945 +29 *2405:24 *2505:54 7.86851e-05 +30 *2405:24 *5801:49 9.34612e-05 +31 *2405:26 *2426:8 0.00100361 +32 *2405:26 *2505:54 0.000297651 +33 *2405:26 *2711:13 0.000335614 +34 *2405:26 *3791:161 9.46352e-05 +35 *2405:26 *4326:100 0.000400783 +36 *2405:26 *5456:79 0.00126798 +37 *2405:26 *5457:34 0.000594172 +38 *2405:34 *23353:A 7.63448e-05 +39 *2405:34 *23362:A 0.000189712 +40 *2405:34 *23479:B 0.000116728 +41 *2405:34 *23713:A2 0.000746151 +42 *2405:34 *23713:B1 0.000118409 +43 *2405:34 *3791:174 3.10304e-06 +44 *2405:34 *3862:37 5.82495e-05 +45 *2405:34 *3874:194 4.74714e-05 +46 *2405:34 *3874:204 1.03403e-05 +47 *2405:34 *4236:61 7.13972e-05 +48 *2405:34 *4329:15 6.11359e-06 +49 *2405:35 *22975:A 1.40953e-05 +50 *2405:35 *23361:A 8.39059e-05 +51 *2405:35 *23694:B 8.99674e-05 +52 *2405:35 *2406:14 0.0017395 +53 *2405:35 *3893:48 6.73351e-05 +54 *2405:35 *3951:13 1.77472e-05 +55 *2405:35 *5856:203 0.000298399 +56 *2405:35 *5858:571 0.000534307 +57 *19767:A *2405:26 0.000346797 +58 *19769:B1 *2405:26 0.000257987 +59 *20054:A3 *2405:24 4.51062e-05 +60 *20054:A3 *2405:26 0.000258801 +61 *20104:A *2405:20 1.65471e-05 +62 *20569:A *2405:35 0.000154145 +63 *21132:A *2405:5 0.000200092 +64 *21133:A *2405:5 0.000125475 +65 *22847:A2 *2405:20 3.84001e-05 +66 *24814:D *2405:20 0.000140472 +67 *24829:RESET_B *2405:26 0.000177772 +68 *25202:A *2405:20 0.000103557 +69 *74:21 *2405:5 0.00427877 +70 *657:39 *2405:20 1.21985e-05 +71 *657:51 *2405:20 0.00023377 +72 *657:51 *2405:24 1.17614e-05 +73 *657:62 *2405:24 0.000394306 +74 *657:62 *2405:26 0.000307746 +75 *657:129 *2405:26 0.00130598 +76 *1471:160 *2405:20 6.99044e-06 +77 *1471:160 *2405:24 2.26985e-05 +78 *1526:63 *2405:26 0.000278952 +79 *1587:47 *2405:17 0.00146166 +80 *1731:59 *2405:5 1.21461e-06 +81 *1734:18 *2405:20 0 +82 *1744:125 *2405:35 0.000983785 +83 *1755:27 *2405:20 1.27831e-06 +84 *1755:27 *2405:24 0 +85 *1818:7 *2405:5 6.50586e-05 +86 *1841:16 *2405:20 0 +87 *1845:106 *2405:5 5.23872e-05 +88 *1861:11 *2405:17 5.31074e-05 +89 *1861:18 *2405:17 3.29619e-05 +90 *1862:83 *2405:17 0.000712508 +91 *2001:5 *2405:35 0.000139947 +92 *2001:10 *2405:35 0.000258114 +93 *2021:41 *21345:A1 5.1493e-06 +94 *2398:32 *2405:5 0.000301818 +*RES +1 *21343:Y *2405:4 9.24915 +2 *2405:4 *2405:5 47.3101 +3 *2405:5 *2405:17 48.276 +4 *2405:17 *2405:20 44.7129 +5 *2405:20 *2405:24 19.1749 +6 *2405:24 *2405:26 79.6918 +7 *2405:26 *2405:34 38.652 +8 *2405:34 *2405:35 60.066 +9 *2405:35 *21345:A1 24.2337 +*END + +*D_NET *2406 0.0166227 +*CONN +*I *21345:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21344:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21345:B1 0.000190636 +2 *21344:Y 0.000315514 +3 *2406:19 0.00279566 +4 *2406:14 0.00558317 +5 *2406:10 0.00329366 +6 *21345:B1 *2407:22 5.04829e-06 +7 *21345:B1 *2810:40 0.000245046 +8 *21345:B1 *5851:141 8.25362e-05 +9 *21345:B1 *5854:133 2.20702e-05 +10 *2406:10 *23353:A 0.000127164 +11 *2406:10 *3791:161 0.000300565 +12 *2406:10 *3868:213 9.75356e-05 +13 *2406:10 *4525:14 0.000149628 +14 *2406:10 *5927:48 4.87343e-05 +15 *2406:14 *23361:A 3.14681e-05 +16 *2406:14 *23432:B1 0.000304871 +17 *2406:14 *2618:18 0.000156946 +18 *2406:14 *3862:7 2.16355e-05 +19 *2406:14 *3889:24 7.14746e-05 +20 *2406:14 *4676:6 7.50872e-05 +21 *2406:19 *23695:B 2.7264e-05 +22 *2406:19 *2612:41 1.41976e-05 +23 *2406:19 *4286:5 0.00010394 +24 *20574:B2 *2406:19 4.58003e-05 +25 *657:143 *2406:10 1.3807e-05 +26 *1485:123 *2406:19 0.000592248 +27 *1485:126 *2406:19 5.40039e-05 +28 *1784:32 *21345:B1 5.61644e-05 +29 *2000:17 *2406:19 5.73392e-05 +30 *2405:35 *2406:14 0.0017395 +*RES +1 *21344:Y *2406:10 26.4843 +2 *2406:10 *2406:14 49.8992 +3 *2406:14 *2406:19 45.7726 +4 *2406:19 *21345:B1 19.7659 +*END + +*D_NET *2407 0.0354791 +*CONN +*I *21346:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21345:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21346:C1 0 +2 *21345:X 0.00582522 +3 *2407:41 0.00163015 +4 *2407:22 0.00745537 +5 *2407:22 *21994:D 0.000117132 +6 *2407:22 *22005:C1 0.000307128 +7 *2407:22 *2510:73 0.00106581 +8 *2407:22 *2618:18 4.38125e-05 +9 *2407:22 *2667:93 5.11321e-05 +10 *2407:22 *2810:40 1.66771e-05 +11 *2407:22 *2833:57 4.98185e-05 +12 *2407:22 *2935:8 5.45571e-05 +13 *2407:22 *2959:35 7.35601e-06 +14 *2407:22 *2994:55 0.000462736 +15 *2407:22 *3001:22 0.000655288 +16 *2407:22 *3028:50 0.000120653 +17 *2407:22 *3089:12 2.37478e-05 +18 *2407:22 *3139:75 0.000257971 +19 *2407:22 *3155:53 0.000295854 +20 *2407:22 *3168:29 0.000163315 +21 *2407:22 *5475:83 0.00943434 +22 *2407:22 *5854:133 0.000110297 +23 *2407:41 *21475:A1_N 0.000171523 +24 *2407:41 *21475:A2_N 6.36477e-05 +25 *2407:41 *21582:A1 6.41851e-05 +26 *2407:41 *21582:B1 0.000294093 +27 *2407:41 *22746:B2 1.34851e-05 +28 *2407:41 *2610:83 0.000800525 +29 *2407:41 *2641:51 4.97617e-05 +30 *2407:41 *3142:32 0.000161252 +31 *2407:41 *3151:53 0.000448761 +32 *2407:41 *3166:15 3.16692e-05 +33 *2407:41 *3500:89 6.3657e-05 +34 *2407:41 *5475:83 0.00135409 +35 *6092:DIODE *2407:41 6.08467e-05 +36 *19971:A2 *2407:22 0.00076158 +37 *21345:B1 *2407:22 5.04829e-06 +38 *21346:B2 *2407:41 4.31539e-05 +39 *21920:B2 *2407:22 7.2492e-05 +40 *21921:B2 *2407:22 5.83451e-05 +41 *22735:A1 *2407:22 0.000331713 +42 *1502:204 *2407:41 1.91391e-05 +43 *1542:174 *2407:41 0.000376951 +44 *1552:52 *2407:41 0.00017124 +45 *1597:45 *2407:22 0.000243369 +46 *1636:82 *2407:22 0.000306912 +47 *1788:21 *2407:22 0.00045211 +48 *1788:21 *2407:41 0.000150125 +49 *1788:32 *2407:41 0.00011628 +50 *1794:118 *2407:22 0.00017857 +51 *1795:29 *2407:22 1.65672e-05 +52 *2273:18 *2407:41 1.5714e-05 +53 *2338:52 *2407:22 0.000433906 +*RES +1 *21345:X *2407:22 45.5047 +2 *2407:22 *2407:41 41.8212 +3 *2407:41 *21346:C1 9.24915 +*END + +*D_NET *2408 0.00632045 +*CONN +*I *21353:C I *D sky130_fd_sc_hd__and4_2 +*I *21346:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21353:C 0 +2 *21346:X 7.47734e-05 +3 *2408:10 0.00141983 +4 *2408:7 0.0014946 +5 *2408:7 *3166:15 0.000113968 +6 *2408:10 *22165:A 1.2693e-05 +7 *2408:10 *22165:B 3.77659e-05 +8 *2408:10 *22176:A 2.02035e-05 +9 *2408:10 *2415:19 1.41833e-05 +10 *2408:10 *2421:138 0.000457344 +11 *2408:10 *3124:18 0.00142566 +12 *2408:10 *3131:16 0.000134246 +13 *2408:10 *3139:96 2.73786e-05 +14 *2408:10 *3139:105 5.51001e-05 +15 *2408:10 *3139:122 1.99996e-05 +16 *2408:10 *3185:18 5.01835e-05 +17 *2408:10 *5384:13 0.000140451 +18 *482:34 *2408:10 5.04925e-05 +19 *1649:80 *2408:10 0.000424604 +20 *2273:14 *2408:10 0.000138607 +21 *2378:41 *2408:10 0.000175414 +22 *2396:23 *2408:10 3.29488e-05 +*RES +1 *21346:X *2408:7 15.0271 +2 *2408:7 *2408:10 47.0267 +3 *2408:10 *21353:C 9.24915 +*END + +*D_NET *2409 0.048339 +*CONN +*I *22378:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21352:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22690:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21347:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22378:B1 3.38811e-05 +2 *21352:A1 0.00488595 +3 *22690:B1 0.000279348 +4 *21347:Y 0 +5 *2409:33 0.00666806 +6 *2409:22 0.00291033 +7 *2409:6 0.00357379 +8 *2409:5 0.00220009 +9 *21352:A1 *21690:A1 0.00445388 +10 *21352:A1 *22372:A 0.000703895 +11 *21352:A1 *22653:C 1.48618e-05 +12 *21352:A1 *2411:26 0.000166831 +13 *21352:A1 *2456:22 7.75162e-05 +14 *21352:A1 *2729:121 0.000104754 +15 *21352:A1 *3111:28 0 +16 *21352:A1 *3142:21 0.00181402 +17 *21352:A1 *3144:92 0.000162326 +18 *21352:A1 *3144:103 4.12192e-05 +19 *21352:A1 *3179:134 1.76565e-05 +20 *21352:A1 *3185:18 0.00011195 +21 *21352:A1 *3481:136 3.29141e-05 +22 *21352:A1 *3498:163 0.000492696 +23 *21352:A1 *3529:39 0.00233305 +24 *21352:A1 *4804:46 0.000254036 +25 *21352:A1 *4827:51 0.000193427 +26 *21352:A1 *4831:25 0.00389707 +27 *22378:B1 *3111:149 1.41976e-05 +28 *22378:B1 *3111:155 6.08467e-05 +29 *22690:B1 *22691:C1 8.86032e-05 +30 *22690:B1 *3126:42 4.6012e-05 +31 *22690:B1 *3151:216 6.03529e-05 +32 *22690:B1 *3474:175 5.04829e-06 +33 *22690:B1 *3476:172 4.97617e-05 +34 *22690:B1 *3476:184 3.41459e-05 +35 *2409:6 *20439:B1 7.14746e-05 +36 *2409:6 *21429:A1 0.000174379 +37 *2409:6 *22340:A2 0.000730491 +38 *2409:6 *22340:C1 1.01851e-05 +39 *2409:6 *22384:B2 6.34651e-06 +40 *2409:6 *22388:B2 6.61215e-06 +41 *2409:6 *2464:12 0.00279651 +42 *2409:6 *2464:51 0.000236955 +43 *2409:6 *3155:221 5.65463e-05 +44 *2409:6 *3157:239 1.15099e-05 +45 *2409:6 *3179:227 0.000142584 +46 *2409:22 *22018:A 3.49643e-05 +47 *2409:22 *22381:B 2.84437e-05 +48 *2409:22 *2416:53 0.000323905 +49 *2409:22 *2464:51 0.000705706 +50 *2409:22 *2900:111 8.09106e-06 +51 *2409:22 *3531:211 0.000313998 +52 *2409:22 *4831:25 0.000212059 +53 *2409:33 *22381:B 0.000500611 +54 *2409:33 *22716:A2 0.000139206 +55 *2409:33 *3151:216 7.22263e-05 +56 *2409:33 *3315:18 9.82974e-06 +57 *2409:33 *3649:8 0.00013072 +58 *6091:DIODE *2409:33 1.5714e-05 +59 *21262:D *2409:33 0.000152162 +60 *21294:B1 *2409:33 0.000302705 +61 *21658:B2 *22690:B1 3.17436e-05 +62 *21658:B2 *2409:33 0.0002092 +63 *22378:A2 *22378:B1 3.75603e-05 +64 *22691:B1 *2409:33 0.00076655 +65 *22716:B1 *2409:33 3.70945e-05 +66 *510:16 *2409:6 9.68902e-05 +67 *1545:118 *21352:A1 8.21742e-05 +68 *1558:24 *22690:B1 0.000255006 +69 *1558:24 *2409:33 0.000179865 +70 *1592:93 *21352:A1 1.05122e-05 +71 *1596:102 *2409:22 0.000313998 +72 *1627:101 *2409:22 3.91685e-05 +73 *1627:101 *2409:33 0.000129098 +74 *2117:102 *22378:B1 2.16355e-05 +75 *2257:11 *2409:6 0.000154702 +76 *2257:17 *2409:6 0 +77 *2258:62 *2409:22 0.000673921 +78 *2262:58 *2409:6 5.88009e-05 +79 *2263:77 *2409:6 0.000563988 +80 *2336:15 *22690:B1 6.64392e-05 +81 *2354:24 *2409:22 0.000641288 +82 *2354:47 *2409:33 0.000155921 +83 *2354:50 *22690:B1 2.81147e-06 +84 *2354:55 *22690:B1 4.60375e-07 +85 *2355:42 *2409:22 3.17436e-05 +86 *2355:42 *2409:33 0.000364704 +87 *2355:53 *2409:33 2.69795e-05 +88 *2357:61 *2409:6 0.000111681 +89 *2357:95 *2409:33 0.000249513 +90 *2380:20 *2409:6 4.91347e-05 +*RES +1 *21347:Y *2409:5 13.7491 +2 *2409:5 *2409:6 67.6495 +3 *2409:6 *2409:22 13.3408 +4 *2409:22 *2409:33 49.9526 +5 *2409:33 *22690:B1 21.2718 +6 *2409:33 *21352:A1 41.6034 +7 *2409:22 *22378:B1 15.0513 +*END + +*D_NET *2410 0.0163763 +*CONN +*I *21352:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21348:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21352:B1 1.47608e-05 +2 *21348:Y 0.000309513 +3 *2410:8 0.00304134 +4 *2410:7 0.0033361 +5 *21352:B1 *21353:D 6.08467e-05 +6 *2410:7 *2446:33 0.000451196 +7 *2410:8 *21384:B1 0.00016797 +8 *2410:8 *24751:RESET_B 0.000331252 +9 *2410:8 *24929:A 0.000234833 +10 *2410:8 *2576:57 0 +11 *2410:8 *2924:89 2.01653e-05 +12 *2410:8 *4868:14 5.98694e-05 +13 *2410:8 *4868:18 2.99665e-05 +14 *21333:B2 *2410:8 4.42268e-05 +15 *21334:A2 *2410:8 0.000284744 +16 *21352:A2 *2410:8 0.000306887 +17 *21352:B2 *21352:B1 6.08467e-05 +18 *502:42 *2410:8 0.000194894 +19 *533:8 *2410:8 0.00107507 +20 *1696:62 *2410:8 5.01835e-05 +21 *1780:145 *2410:8 0.00206221 +22 *2273:6 *2410:8 0.00422004 +23 *2396:23 *2410:8 1.93421e-05 +*RES +1 *21348:Y *2410:7 18.9094 +2 *2410:7 *2410:8 108.552 +3 *2410:8 *21352:B1 14.4725 +*END + +*D_NET *2411 0.0295756 +*CONN +*I *22364:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22692:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21351:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21349:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22364:A1 0.000245093 +2 *22692:A1 3.59364e-05 +3 *21351:A1 5.76266e-05 +4 *21349:Y 0 +5 *2411:34 0.000884196 +6 *2411:26 0.00411943 +7 *2411:25 0.00351627 +8 *2411:17 0.000111422 +9 *2411:14 0.000124816 +10 *2411:13 0.000849976 +11 *2411:5 0.00403512 +12 *2411:4 0.00325617 +13 *21351:A1 *3144:16 0.000305497 +14 *22364:A1 *22651:B1 0.000249484 +15 *22364:A1 *3487:214 6.1578e-06 +16 *22364:A1 *3500:105 0.000305188 +17 *22364:A1 *4817:145 4.89765e-05 +18 *22692:A1 *22692:B2 0.000128295 +19 *22692:A1 *3537:35 0.000158357 +20 *2411:5 *20166:A1 0.000304791 +21 *2411:5 *20166:B1 2.16355e-05 +22 *2411:5 *22177:C 5.70291e-05 +23 *2411:5 *2425:7 2.69653e-05 +24 *2411:5 *2425:14 0.000119313 +25 *2411:5 *3485:19 2.73184e-05 +26 *2411:5 *3487:13 0.000398169 +27 *2411:5 *5944:68 0.000449786 +28 *2411:5 *5948:76 9.5562e-05 +29 *2411:13 *2690:11 0.000645889 +30 *2411:13 *3204:107 0.000100741 +31 *2411:17 *3144:5 0.00026403 +32 *2411:17 *3144:16 9.90819e-05 +33 *2411:26 *22157:B 5.88009e-05 +34 *2411:26 *22205:A 9.3003e-05 +35 *2411:26 *22643:B1 0.000303002 +36 *2411:26 *22648:B1 2.8654e-05 +37 *2411:26 *22652:B 9.66954e-05 +38 *2411:26 *2694:36 0.000253259 +39 *2411:26 *2694:38 0 +40 *2411:26 *3126:142 0.000170967 +41 *2411:26 *3142:21 7.19237e-05 +42 *2411:26 *3144:92 0.000403188 +43 *2411:26 *3157:21 0.000147759 +44 *2411:26 *3179:27 3.29488e-05 +45 *2411:26 *3474:38 0.000119838 +46 *2411:26 *3481:77 0.000314406 +47 *2411:26 *3481:80 6.1578e-06 +48 *2411:26 *3483:8 0.000425016 +49 *2411:26 *3498:132 1.37385e-05 +50 *2411:26 *3500:99 0.00142549 +51 *2411:26 *3502:18 0 +52 *2411:26 *3513:10 0.000865965 +53 *2411:26 *3529:39 7.08723e-06 +54 *2411:26 *3533:12 1.51653e-05 +55 *2411:26 *3533:30 5.46774e-06 +56 *2411:26 *3597:18 7.03163e-06 +57 *2411:26 *4810:66 4.18944e-06 +58 *2411:26 *5476:60 0.000148505 +59 *2411:34 *21695:A1 4.12977e-05 +60 *2411:34 *22641:A2 1.48746e-05 +61 *2411:34 *3487:214 3.69452e-05 +62 *2411:34 *3500:99 1.55103e-05 +63 *2411:34 *3500:105 0.000432018 +64 *2411:34 *3597:18 0.000238603 +65 *20169:B2 *2411:5 5.5525e-06 +66 *20836:A *2411:5 0.000258142 +67 *20855:B *2411:5 0.000140888 +68 *21352:A1 *2411:26 0.000166831 +69 *21352:A2 *2411:26 3.42759e-05 +70 *22242:A *2411:13 3.15806e-05 +71 *533:8 *2411:26 0 +72 *1648:25 *2411:26 2.01503e-05 +73 *1750:45 *2411:26 3.63738e-05 +74 *1750:45 *2411:34 0 +75 *1865:17 *2411:5 2.16355e-05 +76 *2088:84 *2411:5 7.89747e-05 +77 *2091:19 *2411:13 0.000448403 +78 *2091:28 *2411:13 0.000118329 +79 *2093:29 *2411:26 6.08856e-05 +80 *2108:7 *2411:5 1.4091e-06 +81 *2122:34 *2411:13 1.27347e-05 +82 *2123:10 *2411:5 0.000154145 +83 *2256:72 *2411:26 3.33173e-06 +84 *2278:46 *2411:26 0.000138967 +85 *2368:23 *2411:26 0 +86 *2396:23 *2411:26 4.06659e-05 +87 *2397:85 *21351:A1 0.000302705 +88 *2397:85 *2411:17 0.000361634 +89 *2398:100 *2411:13 0.000292153 +*RES +1 *21349:Y *2411:4 9.24915 +2 *2411:4 *2411:5 48.9739 +3 *2411:5 *2411:13 37.0106 +4 *2411:13 *2411:14 81.1229 +5 *2411:14 *2411:17 13.3002 +6 *2411:17 *21351:A1 12.7456 +7 *2411:17 *2411:25 4.5 +8 *2411:25 *2411:26 90.6105 +9 *2411:26 *2411:34 14.4972 +10 *2411:34 *22692:A1 15.5817 +11 *2411:34 *22364:A1 20.5642 +*END + +*D_NET *2412 0.0571897 +*CONN +*I *21351:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21350:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21351:B1 0.0088906 +2 *21350:Y 0.000643768 +3 *2412:12 0.0105992 +4 *2412:10 0.00266418 +5 *2412:7 0.00159935 +6 *21351:B1 *21352:C1 7.12632e-06 +7 *21351:B1 *21850:A1 0 +8 *21351:B1 *21893:B1 0.000213433 +9 *21351:B1 *22467:A1 0.000144005 +10 *21351:B1 *22764:A2 1.9755e-05 +11 *21351:B1 *22768:A1 0.000557971 +12 *21351:B1 *23037:A1 0 +13 *21351:B1 *2603:59 5.60804e-05 +14 *21351:B1 *2704:53 0 +15 *21351:B1 *2715:38 0.00735091 +16 *21351:B1 *2727:14 0.000760731 +17 *21351:B1 *2729:121 0 +18 *21351:B1 *2746:17 0.000349616 +19 *21351:B1 *2876:34 2.49093e-05 +20 *21351:B1 *3185:25 2.16938e-05 +21 *21351:B1 *3204:36 0 +22 *21351:B1 *3432:17 2.78546e-05 +23 *21351:B1 *5475:59 0 +24 *2412:7 *2505:47 0.00128721 +25 *2412:10 *5485:62 0 +26 *2412:10 *5485:67 0 +27 *2412:10 *5929:42 0.000350824 +28 *2412:12 *20063:A1 0 +29 *2412:12 *2612:32 0 +30 *2412:12 *4867:159 0 +31 *2412:12 *4870:30 2.53624e-06 +32 *2412:12 *4870:32 4.51052e-05 +33 *2412:12 *5082:57 0.000153225 +34 *2412:12 *5082:60 0.00230935 +35 *2412:12 *5563:44 0 +36 *2412:12 *5929:38 1.3813e-05 +37 *2412:12 *5929:42 0.00162267 +38 *19758:A *2412:12 6.3152e-05 +39 *19759:A *2412:12 0.000120685 +40 *19929:A1 *21351:B1 0 +41 *21107:A *2412:12 7.41058e-05 +42 *21340:A2 *21351:B1 0.000993503 +43 *21351:B2 *21351:B1 0.00090385 +44 *21881:A2 *21351:B1 0.000131959 +45 *24827:D *2412:12 4.61732e-05 +46 *24827:RESET_B *2412:12 0 +47 *460:21 *2412:12 0 +48 *514:16 *21351:B1 0.00180144 +49 *514:28 *21351:B1 0.00289709 +50 *1449:59 *21351:B1 0.000291649 +51 *1483:60 *21351:B1 0 +52 *1577:13 *21351:B1 0.000309673 +53 *1587:47 *2412:7 0.000668833 +54 *1668:69 *21351:B1 0.000450542 +55 *1678:69 *21351:B1 6.44644e-05 +56 *1717:38 *21351:B1 0.00122395 +57 *1735:91 *21351:B1 0.00315581 +58 *1755:110 *21351:B1 8.9096e-05 +59 *1766:82 *21351:B1 0 +60 *1816:23 *2412:10 0.000221455 +61 *1816:37 *2412:10 0.000605626 +62 *1829:31 *2412:10 1.29394e-05 +63 *1829:31 *2412:12 0.000367662 +64 *1845:178 *2412:12 0.000352031 +65 *1845:187 *2412:12 0.000267015 +66 *1861:11 *2412:7 6.50586e-05 +67 *1861:18 *2412:7 0.000158371 +68 *2273:14 *21351:B1 1.91246e-05 +69 *2284:11 *21351:B1 0.00198729 +70 *2405:17 *2412:7 0.000131249 +*RES +1 *21350:Y *2412:7 38.3205 +2 *2412:7 *2412:10 27.5654 +3 *2412:10 *2412:12 70.886 +4 *2412:12 *21351:B1 49.8884 +*END + +*D_NET *2413 0.00101033 +*CONN +*I *21352:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21351:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21352:C1 0.000241542 +2 *21351:X 0.000241542 +3 *21352:C1 *21353:D 0.000128623 +4 *21351:B1 *21352:C1 7.12632e-06 +5 *21352:B2 *21352:C1 0.000212424 +6 *21353:B *21352:C1 7.50872e-05 +7 *1649:80 *21352:C1 3.25827e-05 +8 *2273:14 *21352:C1 7.74697e-06 +9 *2396:23 *21352:C1 6.3657e-05 +*RES +1 *21351:X *21352:C1 34.9593 +*END + +*D_NET *2414 0.00100418 +*CONN +*I *21353:D I *D sky130_fd_sc_hd__and4_2 +*I *21352:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21353:D 0.000390105 +2 *21352:X 0.000390105 +3 *21352:B1 *21353:D 6.08467e-05 +4 *21352:B2 *21353:D 3.45034e-05 +5 *21352:C1 *21353:D 0.000128623 +*RES +1 *21352:X *21353:D 26.0698 +*END + +*D_NET *2415 0.054515 +*CONN +*I *21354:D I *D sky130_fd_sc_hd__nand4_4 +*I *21353:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *21354:D 0 +2 *21353:X 0.00504815 +3 *2415:64 0.00295584 +4 *2415:40 0.00349672 +5 *2415:32 0.00179706 +6 *2415:26 0.00235363 +7 *2415:22 0.0020282 +8 *2415:19 0.00597889 +9 *2415:19 *20167:B1 0 +10 *2415:19 *2729:121 0.000447933 +11 *2415:19 *2989:91 0 +12 *2415:19 *3122:11 0.00166925 +13 *2415:19 *3124:18 2.52364e-05 +14 *2415:19 *3185:18 1.81797e-05 +15 *2415:19 *3185:25 0.000111802 +16 *2415:19 *3547:26 0.000102765 +17 *2415:19 *4824:180 0.00155871 +18 *2415:19 *4829:70 0.000108645 +19 *2415:19 *4830:71 0 +20 *2415:19 *5476:69 0 +21 *2415:19 *5859:251 0.000294644 +22 *2415:22 *4806:80 7.01586e-06 +23 *2415:22 *5855:273 0.000405689 +24 *2415:22 *5855:277 0.000801109 +25 *2415:22 *5855:418 0.000138335 +26 *2415:22 *5862:147 2.57635e-05 +27 *2415:22 *5862:153 4.6292e-05 +28 *2415:22 *5862:662 0.000627331 +29 *2415:26 *21299:A 0.000176313 +30 *2415:26 *2425:40 0.000406131 +31 *2415:26 *4805:47 0.000280724 +32 *2415:26 *4810:22 0.000838952 +33 *2415:26 *5855:418 0.000124588 +34 *2415:26 *5862:153 9.13411e-05 +35 *2415:26 *5862:168 0.000543225 +36 *2415:26 *5862:177 0.000262231 +37 *2415:26 *5862:190 0.000134242 +38 *2415:26 *5862:227 5.05262e-05 +39 *2415:26 *5862:238 3.39843e-05 +40 *2415:26 *5862:247 1.22851e-05 +41 *2415:32 *24224:CLK 0.000221917 +42 *2415:32 *2468:79 1.66626e-05 +43 *2415:32 *2642:14 0.000332882 +44 *2415:32 *2906:56 0.000159654 +45 *2415:32 *2908:12 0.000473472 +46 *2415:32 *4824:122 0.000209223 +47 *2415:32 *4908:184 0.000127708 +48 *2415:40 *2631:34 2.61955e-05 +49 *2415:40 *2906:56 0.00101332 +50 *2415:40 *4816:124 7.5863e-05 +51 *2415:40 *4843:345 0.000829484 +52 *2415:64 *21630:B1 6.99493e-06 +53 *2415:64 *22718:A2 0.000432507 +54 *2415:64 *22718:B2 0.000443947 +55 *2415:64 *2462:8 4.69495e-06 +56 *2415:64 *2906:55 0.00103105 +57 *2415:64 *2949:71 0.000244966 +58 *2415:64 *3120:84 1.91246e-05 +59 *2415:64 *3521:191 5.60804e-05 +60 *20140:B2 *2415:22 0.000541166 +61 *21235:A1 *2415:64 0.000428712 +62 *21259:A1 *2415:64 6.08467e-05 +63 *21259:A2 *2415:64 1.19751e-05 +64 *21259:B2 *2415:64 0.00132175 +65 *21260:B2 *2415:64 9.06665e-05 +66 *21260:C1 *2415:64 4.04325e-05 +67 *21261:D *2415:64 6.08467e-05 +68 *21294:B2 *2415:64 1.60286e-05 +69 *21303:A1 *2415:64 5.60804e-05 +70 *21352:B2 *2415:19 0.000302597 +71 *502:18 *2415:32 0.00104688 +72 *547:21 *2415:19 0.00203823 +73 *1450:136 *2415:22 0.00132466 +74 *1450:164 *2415:32 0.00072688 +75 *1556:35 *2415:40 0.000158357 +76 *1557:96 *2415:22 1.25165e-05 +77 *1557:96 *2415:26 0.000138748 +78 *1557:107 *2415:32 0.000555413 +79 *1564:171 *2415:64 8.70553e-05 +80 *1645:118 *2415:64 0.000640705 +81 *1649:80 *2415:19 9.32224e-05 +82 *1717:68 *2415:19 0.000592994 +83 *1742:76 *2415:22 0.000142997 +84 *1742:76 *2415:26 7.27589e-05 +85 *2203:50 *2415:32 7.04035e-05 +86 *2203:59 *2415:32 4.31667e-05 +87 *2246:30 *2415:64 5.60804e-05 +88 *2258:62 *2415:64 9.96035e-05 +89 *2274:95 *2415:64 0.000362621 +90 *2278:39 *2415:19 0.000187599 +91 *2323:14 *2415:64 0.00150981 +92 *2331:29 *2415:64 4.40531e-05 +93 *2336:21 *2415:64 4.40531e-05 +94 *2378:41 *2415:19 5.35941e-05 +95 *2381:38 *2415:19 0.00273986 +96 *2408:10 *2415:19 1.41833e-05 +*RES +1 *21353:X *2415:19 44.275 +2 *2415:19 *2415:22 41.5985 +3 *2415:22 *2415:26 42.6666 +4 *2415:26 *2415:32 48.6215 +5 *2415:32 *2415:40 35.0204 +6 *2415:40 *2415:64 47.6248 +7 *2415:64 *21354:D 9.24915 +*END + +*D_NET *2416 0.0367343 +*CONN +*I *22339:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22680:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21372:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21356:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22339:B1 6.42306e-05 +2 *22680:A1 0 +3 *21372:A1 0 +4 *21356:Y 0.000801383 +5 *2416:53 0.00288819 +6 *2416:36 0.00320255 +7 *2416:34 0.0015291 +8 *2416:19 0.00141113 +9 *2416:16 0.00188789 +10 *2416:14 0.00280047 +11 *2416:10 0.00184612 +12 *22339:B1 *22339:A2 0.000154145 +13 *22339:B1 *3114:268 7.13972e-05 +14 *22339:B1 *3177:327 1.41853e-05 +15 *2416:10 *5316:9 0.000148144 +16 *2416:10 *5855:561 0.000123532 +17 *2416:10 *5867:499 0.00047203 +18 *2416:10 *5867:514 2.93278e-05 +19 *2416:14 *21178:A 6.29183e-05 +20 *2416:14 *2488:11 0 +21 *2416:14 *5225:9 3.83923e-05 +22 *2416:14 *5853:137 1.5714e-05 +23 *2416:14 *5855:561 0.00011209 +24 *2416:14 *5865:165 1.59781e-05 +25 *2416:14 *5867:514 6.14989e-05 +26 *2416:16 *22363:B2 5.74852e-05 +27 *2416:16 *22384:C1 0.000107576 +28 *2416:16 *2488:11 5.10206e-05 +29 *2416:16 *2635:20 0.000169871 +30 *2416:16 *3164:183 0.000248152 +31 *2416:16 *3166:155 1.15883e-05 +32 *2416:16 *3326:15 0.000396308 +33 *2416:16 *4823:24 5.7551e-05 +34 *2416:19 *3114:268 7.77744e-05 +35 *2416:19 *3177:327 0.000140028 +36 *2416:34 *22339:A2 3.04407e-05 +37 *2416:34 *22705:B2 0.000107484 +38 *2416:34 *2488:14 0.000467936 +39 *2416:34 *3120:130 0.000129091 +40 *2416:34 *3541:63 4.1837e-05 +41 *2416:34 *3646:23 0.000587975 +42 *2416:36 *22680:B1 9.12416e-06 +43 *2416:36 *22705:B2 1.17614e-05 +44 *2416:36 *2488:14 9.17656e-06 +45 *2416:36 *3327:33 0.000378027 +46 *2416:53 *21372:C1 0.000158451 +47 *2416:53 *21443:A 0.000161252 +48 *2416:53 *22680:B1 4.23622e-05 +49 *2416:53 *22716:A2 2.37478e-05 +50 *2416:53 *2488:14 9.82479e-06 +51 *2416:53 *3139:192 0.00019409 +52 *2416:53 *3322:11 0.000214433 +53 *2416:53 *3333:33 0.00122256 +54 *2416:53 *3496:163 1.85244e-05 +55 *2416:53 *3500:152 6.51527e-05 +56 *2416:53 *4812:38 0.00101982 +57 *2416:53 *4815:98 1.15125e-05 +58 *2416:53 *4831:25 0.000460447 +59 *20439:A2 *2416:14 0.000266107 +60 *20439:A2 *2416:16 0.000100923 +61 *20441:A2 *2416:14 0.000616957 +62 *20784:B2 *2416:10 0.00031669 +63 *21085:A *2416:16 0.000505265 +64 *21283:B2 *2416:53 0.000104754 +65 *21658:B2 *2416:53 0.000787397 +66 *22696:A1 *2416:53 0.000574686 +67 *24616:D *2416:16 0.000122083 +68 *1610:115 *2416:53 0.000104754 +69 *1620:113 *2416:16 0.000157258 +70 *1620:124 *2416:16 0.000550601 +71 *1620:136 *2416:16 0.00014892 +72 *1620:153 *2416:10 0.000148998 +73 *1627:198 *2416:16 0.000131203 +74 *1652:87 *2416:34 1.5714e-05 +75 *1655:213 *2416:34 7.70944e-06 +76 *1725:205 *2416:14 0.00100939 +77 *1802:143 *2416:53 0.000987421 +78 *1958:55 *2416:14 0.000621388 +79 *2076:52 *2416:10 8.33259e-05 +80 *2258:62 *2416:34 0.000305562 +81 *2258:62 *2416:53 0.000228112 +82 *2295:82 *2416:53 0.00100569 +83 *2331:8 *2416:14 6.19262e-05 +84 *2331:8 *2416:16 0 +85 *2333:60 *2416:53 0.000179014 +86 *2334:17 *2416:34 0.000133323 +87 *2340:24 *2416:16 4.60375e-07 +88 *2354:24 *2416:53 0.000719472 +89 *2357:87 *2416:34 0.000305272 +90 *2357:87 *2416:36 0.000422009 +91 *2363:40 *2416:34 0.00032743 +92 *2363:45 *2416:34 0.000109358 +93 *2368:64 *2416:53 0.000100407 +94 *2372:60 *2416:16 8.43942e-05 +95 *2373:32 *2416:16 0 +96 *2374:34 *2416:16 0.000189702 +97 *2374:48 *2416:16 0.0004339 +98 *2409:22 *2416:53 0.000323905 +*RES +1 *21356:Y *2416:10 36.0763 +2 *2416:10 *2416:14 37.4216 +3 *2416:14 *2416:16 51.8943 +4 *2416:16 *2416:19 8.55102 +5 *2416:19 *2416:34 43.7324 +6 *2416:34 *2416:36 9.72179 +7 *2416:36 *2416:53 44.5254 +8 *2416:53 *21372:A1 9.24915 +9 *2416:36 *22680:A1 13.7491 +10 *2416:19 *22339:B1 11.6364 +*END + +*D_NET *2417 0.0792729 +*CONN +*I *22677:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21359:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22344:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21357:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22677:B1 1.47608e-05 +2 *21359:A1 0.000610066 +3 *22344:A1 0.000623161 +4 *21357:Y 0.00082991 +5 *2417:82 0.00343021 +6 *2417:53 0.0072672 +7 *2417:43 0.00759587 +8 *2417:28 0.00475822 +9 *2417:25 0.00434025 +10 *2417:24 0.00333923 +11 *2417:22 9.17733e-05 +12 *2417:21 0.00164657 +13 *2417:14 0.00238471 +14 *21359:A1 *21443:D 0.000161174 +15 *21359:A1 *2631:38 7.09666e-06 +16 *21359:A1 *3142:190 0.000107496 +17 *21359:A1 *3142:196 0.00102032 +18 *21359:A1 *3177:288 0.000293169 +19 *21359:A1 *3500:105 2.57465e-06 +20 *21359:A1 *3533:38 0.000171018 +21 *22344:A1 *22344:B2 4.46277e-05 +22 *22344:A1 *22684:A2 6.22114e-05 +23 *22344:A1 *2448:97 0.000110297 +24 *22344:A1 *2468:54 5.04829e-06 +25 *22344:A1 *3010:50 0.00058046 +26 *22344:A1 *3663:10 1.9101e-05 +27 *22344:A1 *5908:87 0.000108986 +28 *22677:B1 *22677:B2 6.08467e-05 +29 *22677:B1 *2857:18 6.08467e-05 +30 *2417:14 *20741:A1 0.000147964 +31 *2417:14 *24954:A 0.000678803 +32 *2417:14 *4824:87 0.000146699 +33 *2417:14 *4872:167 0.000504318 +34 *2417:14 *5343:9 0.000228593 +35 *2417:21 *6047:DIODE 6.13004e-05 +36 *2417:21 *20805:A1 7.13998e-05 +37 *2417:21 *24378:CLK 0.000120068 +38 *2417:21 *4999:11 6.92705e-05 +39 *2417:25 *6047:DIODE 1.06893e-05 +40 *2417:25 *6048:DIODE 7.89585e-06 +41 *2417:25 *2545:17 0.000114622 +42 *2417:25 *4839:377 0.00186732 +43 *2417:28 *4818:116 0.000501152 +44 *2417:28 *4821:151 0.000584141 +45 *2417:28 *4821:155 0.000774591 +46 *2417:28 *5884:11 0.000258002 +47 *2417:43 *2460:40 0.00596887 +48 *2417:43 *2545:18 0.000174135 +49 *2417:43 *2629:10 9.75436e-05 +50 *2417:43 *3212:19 0.000573608 +51 *2417:43 *4818:110 0 +52 *2417:43 *4825:72 0.000119431 +53 *2417:43 *4825:95 2.2557e-05 +54 *2417:43 *4829:147 2.01653e-05 +55 *2417:43 *4829:171 0.000184011 +56 *2417:43 *4833:155 0.00273932 +57 *2417:43 *4833:173 0.000454621 +58 *2417:43 *5884:14 0.000121621 +59 *2417:43 *5904:24 0.000443264 +60 *2417:53 *20699:B1 0 +61 *2417:53 *21437:A 5.13937e-05 +62 *2417:53 *2448:146 0.00180223 +63 *2417:53 *3010:50 0.000241709 +64 *2417:53 *3051:14 0.000354312 +65 *2417:53 *4817:54 7.48506e-05 +66 *2417:53 *4818:13 0 +67 *2417:53 *4825:95 0.000679621 +68 *2417:53 *5528:8 0.000226083 +69 *2417:53 *5908:87 0.00132292 +70 *2417:82 *21658:B1 4.35056e-05 +71 *2417:82 *22718:B2 0.00144062 +72 *2417:82 *2472:27 0.000308909 +73 *2417:82 *3111:125 0.00154799 +74 *2417:82 *3177:288 0.000141454 +75 *2417:82 *3521:165 8.89328e-05 +76 *2417:82 *3543:75 6.96412e-06 +77 mgmt_gpio_oeb[15] *2417:43 0 +78 mgmt_gpio_oeb[16] *2417:28 4.76318e-05 +79 mgmt_gpio_out[15] *2417:28 0.00021725 +80 mgmt_gpio_out[15] *2417:43 0 +81 mgmt_gpio_out[16] *2417:28 7.00732e-05 +82 *20739:A *2417:14 6.08467e-05 +83 *20741:A2 *2417:14 0.000164829 +84 *20741:B2 *2417:14 6.50586e-05 +85 *20805:A2 *2417:21 0.000317693 +86 *20805:B2 *2417:14 0.000111708 +87 *20805:B2 *2417:21 0.000639657 +88 *21359:B2 *21359:A1 2.53145e-06 +89 *21395:A2 *2417:82 0.000761332 +90 *21402:B2 *2417:53 2.70402e-05 +91 *22370:B1 *21359:A1 1.91391e-05 +92 *24374:D *2417:21 1.00981e-05 +93 *24378:D *2417:21 1.03403e-05 +94 *25143:A *2417:28 0.000139123 +95 *500:13 *2417:53 0 +96 *529:14 *21359:A1 0.00110652 +97 *1450:177 *21359:A1 0.000156905 +98 *1551:136 *2417:53 0.000155412 +99 *1592:140 *22344:A1 0.000104754 +100 *1592:140 *2417:53 0.00111185 +101 *1595:8 *2417:14 5.41377e-05 +102 *1618:149 *2417:82 0.00241472 +103 *2093:136 *2417:82 0.00116284 +104 *2246:30 *2417:82 2.40234e-05 +105 *2251:10 *2417:14 2.65667e-05 +106 *2252:43 *2417:82 0.000370649 +107 *2257:47 *2417:43 0.000340742 +108 *2258:64 *21359:A1 0.000289557 +109 *2258:64 *2417:82 0.000138994 +110 *2280:6 *21359:A1 7.60999e-06 +111 *2293:38 *2417:82 1.24827e-05 +112 *2294:63 *22344:A1 1.05934e-05 +113 *2295:82 *2417:82 0.00076306 +114 *2306:48 *22344:A1 1.5714e-05 +115 *2320:35 *2417:43 0.00137309 +116 *2320:36 *2417:43 0.000385533 +117 *2320:56 *2417:43 1.4091e-06 +118 *2357:34 *2417:43 0.000151249 +119 *2360:43 *2417:43 0.000367024 +120 *2367:20 *2417:43 0.000401958 +121 *2368:49 *21359:A1 9.32704e-05 +122 *2372:32 *2417:28 0.000272585 +123 *2372:60 *2417:43 0.000110809 +124 *2384:32 *2417:43 1.43499e-05 +125 *2384:32 *2417:53 2.54658e-05 +*RES +1 *21357:Y *2417:14 43.8702 +2 *2417:14 *2417:21 37.6063 +3 *2417:21 *2417:22 127.479 +4 *2417:22 *2417:24 9.24915 +5 *2417:24 *2417:25 50.6377 +6 *2417:25 *2417:28 48.8953 +7 *2417:28 *2417:43 46.3444 +8 *2417:43 *2417:53 22.3424 +9 *2417:53 *22344:A1 37.1686 +10 *2417:53 *2417:82 19.0185 +11 *2417:82 *21359:A1 47.9297 +12 *2417:82 *22677:B1 14.4725 +*END + +*D_NET *2418 0.0308813 +*CONN +*I *22357:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21359:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22674:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21358:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22357:B1 2.45238e-05 +2 *21359:B1 0.000746812 +3 *22674:B1 0 +4 *21358:Y 0.00176113 +5 *2418:38 0.00201749 +6 *2418:28 0.00301955 +7 *2418:24 0.00278807 +8 *2418:16 0.00249178 +9 *2418:10 0.00323824 +10 *21359:B1 *21443:D 0.000680205 +11 *21359:B1 *3315:14 6.3657e-05 +12 *2418:10 *21358:A 5.82695e-05 +13 *2418:10 *22547:A 0.000110477 +14 *2418:10 *3467:8 0.000569038 +15 *2418:10 *3467:21 1.41717e-05 +16 *2418:10 *3469:12 0.000135423 +17 *2418:10 *3472:20 9.80784e-05 +18 *2418:16 *22534:A 0.000280517 +19 *2418:16 *3467:21 0.000687291 +20 *2418:16 *3467:28 0.00105805 +21 *2418:16 *3469:12 0.000908329 +22 *2418:16 *3472:69 0.00100426 +23 *2418:24 *2637:21 0.000294093 +24 *2418:24 *3479:53 0.000357124 +25 *2418:24 *3479:62 0.000437488 +26 *2418:24 *3479:66 4.62339e-05 +27 *2418:24 *3509:11 0.000207513 +28 *2418:24 *3517:15 0.000180335 +29 *2418:28 *22304:B1 0.000198432 +30 *2418:28 *22584:A 0.00041157 +31 *2418:28 *22591:C 0.000219028 +32 *2418:28 *22594:A 0.00026141 +33 *2418:28 *22642:B1 0.000271328 +34 *2418:28 *22710:B2 0.00013115 +35 *2418:28 *3139:156 3.05764e-05 +36 *2418:28 *3139:176 8.34588e-05 +37 *2418:28 *3148:72 7.89542e-06 +38 *2418:28 *3204:140 5.3821e-05 +39 *2418:28 *3479:66 0.000249021 +40 *2418:28 *3517:15 7.25108e-06 +41 *2418:28 *3531:43 0.000372669 +42 *2418:28 *3533:12 4.96403e-05 +43 *2418:28 *3543:15 0.00049045 +44 *2418:38 *21424:B 4.64052e-05 +45 *2418:38 *22674:A1 3.54432e-05 +46 *2418:38 *22678:A2 5.85325e-05 +47 *2418:38 *3117:245 4.05019e-05 +48 *2418:38 *3126:34 0.00033281 +49 *2418:38 *3136:248 1.01851e-05 +50 *2418:38 *3139:176 0.000822088 +51 *2418:38 *3300:8 0.000294698 +52 *2418:38 *3531:43 1.48805e-05 +53 *2418:38 *3541:14 5.4678e-05 +54 *2418:38 *3541:29 7.00536e-05 +55 *21359:A2 *21359:B1 0 +56 *21359:B2 *21359:B1 9.95922e-06 +57 *22579:A *2418:24 4.83562e-06 +58 *1450:177 *21359:B1 9.49264e-05 +59 *1450:177 *2418:38 0.000168398 +60 *1450:188 *21359:B1 1.03403e-05 +61 *1545:92 *2418:38 7.5032e-05 +62 *1557:42 *2418:10 0.00109652 +63 *1564:84 *2418:38 0.000301209 +64 *1658:242 *2418:38 9.4244e-05 +65 *2088:113 *2418:24 1.3706e-05 +66 *2088:122 *2418:24 3.618e-05 +67 *2093:9 *2418:10 7.16471e-05 +68 *2098:36 *2418:24 0.000229268 +69 *2098:49 *2418:24 0.000778892 +*RES +1 *21358:Y *2418:10 47.6336 +2 *2418:10 *2418:16 47.0578 +3 *2418:16 *2418:24 38.6695 +4 *2418:24 *2418:28 43.4671 +5 *2418:28 *22674:B1 13.7491 +6 *2418:28 *2418:38 38.3527 +7 *2418:38 *21359:B1 22.8008 +8 *2418:38 *22357:B1 9.82786 +*END + +*D_NET *2419 0.000999382 +*CONN +*I *21372:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21359:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21372:B1 0.000243088 +2 *21359:X 0.000243088 +3 *21372:B1 *21372:C1 6.08467e-05 +4 *21372:B1 *21372:D1 5.40888e-06 +5 *21372:B1 *21443:A 5.34249e-06 +6 *1645:94 *21372:B1 0.000217529 +7 *1802:143 *21372:B1 0.00022408 +*RES +1 *21359:X *21372:B1 33.5151 +*END + +*D_NET *2420 0.037768 +*CONN +*I *21365:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21360:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21365:A1 0 +2 *21360:Y 0.000819804 +3 *2420:42 0.00112898 +4 *2420:39 0.00162044 +5 *2420:34 0.00320285 +6 *2420:32 0.00358439 +7 *2420:29 0.00191297 +8 *2420:15 0.00182394 +9 *2420:10 0.00160377 +10 *2420:10 *19909:A 6.74667e-05 +11 *2420:10 *25288:A 0 +12 *2420:10 *4843:576 0.000988771 +13 *2420:10 *5765:8 4.20662e-05 +14 *2420:10 *5860:302 0 +15 *2420:10 *5860:313 0 +16 *2420:15 *19689:B1 0.00186146 +17 *2420:15 *20243:B1 0.00025091 +18 *2420:15 *2435:19 0.00108035 +19 *2420:15 *2435:23 0.00187088 +20 *2420:15 *4843:555 0.000585477 +21 *2420:29 *20243:B1 0.000689459 +22 *2420:29 *21735:A 0 +23 *2420:29 *21930:A 7.14746e-05 +24 *2420:29 *4843:555 0.00017315 +25 *2420:29 *4944:8 7.50872e-05 +26 *2420:29 *5871:230 0.000319954 +27 *2420:29 *5878:13 7.14746e-05 +28 *2420:32 *21374:A 1.67271e-05 +29 *2420:32 *5871:237 0.000111932 +30 *2420:34 *6176:DIODE 2.37478e-05 +31 *2420:34 *19992:A 0.000141016 +32 *2420:34 *20235:A1 0 +33 *2420:34 *24751:CLK 0.00119169 +34 *2420:34 *2528:10 2.39281e-05 +35 *2420:34 *2675:42 7.91736e-05 +36 *2420:34 *2675:46 0.00261531 +37 *2420:34 *4919:63 0.000724375 +38 *2420:34 *4943:8 0.00041998 +39 *2420:34 *4944:21 0 +40 *2420:34 *4955:23 1.97947e-05 +41 *2420:39 *19611:A1 3.61667e-05 +42 *2420:42 *19611:A1 8.50356e-05 +43 *2420:42 *19612:A1 0.000162814 +44 *2420:42 *21233:A 0.000411942 +45 *2420:42 *21306:A 0.000414213 +46 *2420:42 *2528:41 0.000366775 +47 *2420:42 *2667:52 0.000248013 +48 *2420:42 *4866:6 0.000121528 +49 *2420:42 *4866:8 4.58666e-05 +50 *19611:A2 *2420:39 3.024e-05 +51 *19611:B2 *2420:39 5.04829e-06 +52 *19679:A *2420:15 0.000219249 +53 *20235:B2 *2420:34 6.46458e-05 +54 *21689:A2 *2420:42 0.000392012 +55 *23988:S *2420:34 2.32311e-05 +56 *24010:S *2420:32 4.37794e-05 +57 *24010:S *2420:34 0 +58 *24746:D *2420:29 1.2693e-05 +59 *24751:D *2420:34 2.36161e-05 +60 *24868:D *2420:10 0.000122068 +61 *24900:D *2420:42 1.88656e-05 +62 *497:73 *2420:34 0 +63 *524:44 *2420:34 0.000130506 +64 *524:48 *2420:34 3.65842e-05 +65 *526:16 *2420:34 1.9101e-05 +66 *533:8 *2420:42 0.000439477 +67 *545:42 *2420:34 0.00202505 +68 *1428:54 *2420:42 8.72111e-06 +69 *1439:203 *2420:32 0.000139435 +70 *1551:28 *2420:34 0.000245536 +71 *1741:93 *2420:39 2.18041e-06 +72 *1744:38 *2420:34 0 +73 *1779:10 *2420:29 0 +74 *1779:10 *2420:32 0.00062513 +75 *1780:61 *2420:32 0.00112119 +76 *1894:47 *2420:34 4.11e-05 +77 *1894:51 *2420:34 0.000229835 +78 *2273:14 *2420:42 0.000593363 +79 *2368:19 *2420:42 5.01835e-05 +*RES +1 *21360:Y *2420:10 34.9399 +2 *2420:10 *2420:15 46.9484 +3 *2420:15 *2420:29 42.7216 +4 *2420:29 *2420:32 31.9866 +5 *2420:32 *2420:34 99.2086 +6 *2420:34 *2420:39 15.824 +7 *2420:39 *2420:42 45.781 +8 *2420:42 *21365:A1 9.24915 +*END + +*D_NET *2421 0.0940367 +*CONN +*I *22341:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *6175:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21365:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22684:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21361:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22341:A1 0.000330752 +2 *6175:DIODE 0.000109415 +3 *21365:B1 0.000105536 +4 *22684:B1 0.00111327 +5 *21361:Y 0.00106317 +6 *2421:138 0.00133536 +7 *2421:125 0.00882405 +8 *2421:115 0.00856573 +9 *2421:103 0.00139482 +10 *2421:91 0.00249981 +11 *2421:78 0.00288244 +12 *2421:67 0.00290768 +13 *2421:42 0.0039313 +14 *2421:32 0.00155656 +15 *2421:26 0.00148396 +16 *2421:18 0.00214704 +17 *22341:A1 *22341:B1 0.000121159 +18 *22341:A1 *22389:A2 1.5714e-05 +19 *22341:A1 *3175:195 0.00035144 +20 *22684:B1 *22350:B 3.54435e-05 +21 *22684:B1 *22350:C 0.000112231 +22 *22684:B1 *22350:D 0.000112411 +23 *22684:B1 *22360:B 0.000208062 +24 *22684:B1 *22682:B1 1.47379e-05 +25 *22684:B1 *22684:A1 6.8893e-05 +26 *22684:B1 *22684:B2 0.000279627 +27 *22684:B1 *2465:25 7.60929e-05 +28 *22684:B1 *2465:37 0.00011329 +29 *22684:B1 *2906:39 1.21461e-06 +30 *22684:B1 *2906:43 9.80242e-07 +31 *2421:18 *21429:A1 3.82228e-05 +32 *2421:18 *22346:B1 0.000473772 +33 *2421:18 *2473:30 0.000693147 +34 *2421:18 *2480:8 3.85711e-05 +35 *2421:18 *2493:36 0.000446558 +36 *2421:18 *4843:251 0.000127896 +37 *2421:26 *24769:CLK 1.07529e-05 +38 *2421:32 *22394:D 0.000449393 +39 *2421:42 *21431:A 4.15661e-05 +40 *2421:42 *2490:18 0.000302201 +41 *2421:67 *19857:A 5.87522e-05 +42 *2421:67 *20939:B1 0.000628309 +43 *2421:67 *20945:B1 0.00021217 +44 *2421:67 *3299:21 2.57986e-05 +45 *2421:67 *4872:78 0.000306986 +46 *2421:67 *5924:40 0.00030374 +47 *2421:78 *2433:58 1.43499e-05 +48 *2421:78 *2870:21 0.000303105 +49 *2421:78 *3257:44 9.54224e-05 +50 *2421:78 *4845:252 1.48262e-05 +51 *2421:78 *4873:26 2.02035e-05 +52 *2421:78 *5417:26 1.66771e-05 +53 *2421:91 *21998:A1 0.000652439 +54 *2421:91 *23871:A 0.000438346 +55 *2421:91 *24469:RESET_B 0.000109421 +56 *2421:91 *2791:46 0.000161544 +57 *2421:91 *4821:143 9.14386e-06 +58 *2421:91 *4823:85 0.000167294 +59 *2421:91 *4829:145 0.00040976 +60 *2421:91 *5913:18 0.000483597 +61 *2421:103 *2446:113 0.000296903 +62 *2421:103 *2629:21 1.03329e-05 +63 *2421:103 *3212:34 2.52799e-05 +64 *2421:103 *3212:38 2.07849e-05 +65 *2421:103 *4821:143 0.000240255 +66 *2421:103 *4823:85 0.000123224 +67 *2421:103 *4829:142 0.000237959 +68 *2421:103 *4829:145 7.11521e-05 +69 *2421:103 *5886:48 0.00160428 +70 *2421:115 *2635:67 7.50066e-05 +71 *2421:115 *2882:46 8.23577e-05 +72 *2421:115 *2938:34 0.00171316 +73 *2421:115 *2989:67 0.000327799 +74 *2421:125 *21657:A1_N 0.00871965 +75 *2421:125 *21881:B1 0.000114715 +76 *2421:125 *21894:B1 0.00321231 +77 *2421:125 *2441:66 0 +78 *2421:125 *2584:62 0.00011195 +79 *2421:125 *2896:19 1.50922e-05 +80 *2421:125 *2896:58 4.98185e-05 +81 *2421:125 *2989:91 9.80745e-06 +82 *2421:125 *3179:12 1.40486e-05 +83 *2421:125 *4828:100 9.96725e-06 +84 *2421:125 *5855:261 0.000322462 +85 *2421:138 *24234:RESET_B 0.000213502 +86 *2421:138 *4876:7 0.000214369 +87 *20206:B *2421:42 0.000274161 +88 *20738:B *2421:18 0.000119486 +89 *20935:A *2421:67 1.0758e-05 +90 *20935:B *2421:67 0.000166499 +91 *20936:A *2421:67 0.000156946 +92 *20945:A2 *2421:67 8.71712e-05 +93 *21334:B2 *21365:B1 0.000184831 +94 *21351:B2 *2421:138 3.65454e-05 +95 *21365:B2 *21365:B1 1.67404e-05 +96 *21402:B2 *2421:42 0.00036306 +97 *21652:A2 *2421:138 5.01835e-05 +98 *480:14 *2421:78 1.88183e-05 +99 *482:34 *2421:138 3.73224e-05 +100 *494:44 *21365:B1 1.47046e-05 +101 *508:20 *2421:42 6.30741e-05 +102 *508:28 *2421:125 0.00465575 +103 *510:41 *22341:A1 0.000376634 +104 *529:41 *2421:125 0.00234466 +105 *533:8 *2421:138 0.00011819 +106 *539:34 *2421:115 0.0014962 +107 *539:36 *2421:115 1.04511e-05 +108 *1439:104 *2421:125 8.12259e-06 +109 *1439:145 *6175:DIODE 0.000160617 +110 *1443:49 *2421:125 5.41034e-05 +111 *1591:9 *2421:42 0.000546755 +112 *1615:8 *22684:B1 3.58951e-06 +113 *1615:8 *2421:67 2.28643e-05 +114 *1649:80 *2421:138 2.91133e-05 +115 *1652:87 *2421:42 0.000434077 +116 *1663:27 *22341:A1 0.000620552 +117 *1688:75 *2421:91 0.00205638 +118 *1688:76 *2421:103 0.00190714 +119 *1715:151 *21365:B1 0.000229219 +120 *1715:151 *2421:138 0.000310211 +121 *1741:55 *2421:91 0.000102098 +122 *1884:71 *2421:18 0.000231627 +123 *2053:10 *2421:18 1.65872e-05 +124 *2155:5 *2421:67 1.03403e-05 +125 *2243:8 *2421:26 0.00144876 +126 *2243:8 *2421:32 1.04192e-05 +127 *2243:12 *2421:32 2.28965e-05 +128 *2243:12 *2421:42 1.05456e-05 +129 *2243:14 *2421:42 2.25323e-05 +130 *2249:12 *2421:26 3.29488e-05 +131 *2249:27 *2421:26 0.000387939 +132 *2249:27 *2421:32 0.000491794 +133 *2249:38 *22684:B1 0.000117408 +134 *2249:38 *2421:42 5.38503e-05 +135 *2252:43 *2421:26 0.000965537 +136 *2254:11 *22341:A1 1.9101e-05 +137 *2273:14 *21365:B1 8.75504e-06 +138 *2273:14 *2421:138 0.000448509 +139 *2287:22 *2421:18 0.000400321 +140 *2291:61 *2421:125 0.00107168 +141 *2295:42 *2421:125 0.00106886 +142 *2305:16 *2421:32 1.40931e-05 +143 *2305:20 *2421:32 4.31485e-06 +144 *2305:20 *2421:42 4.31485e-06 +145 *2305:40 *2421:42 0.000550953 +146 *2334:7 *2421:67 0.000227177 +147 *2354:11 *22684:B1 0.000547292 +148 *2360:57 *22341:A1 0.000197511 +149 *2380:41 *2421:26 0.00020502 +150 *2391:77 *2421:91 0.000366689 +151 *2391:89 *2421:78 0.000220674 +152 *2391:89 *2421:91 0.00056231 +153 *2396:23 *2421:138 8.05301e-05 +154 *2404:85 *2421:115 1.87125e-05 +155 *2408:10 *2421:138 0.000457344 +*RES +1 *21361:Y *2421:18 49.6768 +2 *2421:18 *2421:26 42.1891 +3 *2421:26 *2421:32 12.4942 +4 *2421:32 *2421:42 40.6664 +5 *2421:42 *22684:B1 42.9458 +6 *2421:42 *2421:67 46.3799 +7 *2421:67 *2421:78 19.0252 +8 *2421:78 *2421:91 49.577 +9 *2421:91 *2421:103 49.9525 +10 *2421:103 *2421:115 45.9736 +11 *2421:115 *2421:125 47.624 +12 *2421:125 *2421:138 41.1245 +13 *2421:138 *21365:B1 18.2475 +14 *2421:138 *6175:DIODE 15.5817 +15 *2421:32 *22341:A1 25.8076 +*END + +*D_NET *2422 0.0299492 +*CONN +*I *21364:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21362:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21364:A1 0.00146259 +2 *21362:Y 0.0014451 +3 *2422:27 0.00267954 +4 *2422:14 0.00300487 +5 *2422:8 0.00422889 +6 *2422:7 0.00388606 +7 *21364:A1 *20818:A1 0.000203172 +8 *21364:A1 *21733:A 6.50586e-05 +9 *21364:A1 *4833:51 0.000763411 +10 *2422:7 *21223:A 3.47897e-05 +11 *2422:7 *24161:CLK 1.43848e-05 +12 *2422:7 *5787:5 0.000160617 +13 *2422:7 *5787:17 0.000167076 +14 *2422:8 *5683:34 0.00380973 +15 *2422:14 *25233:A 6.3657e-05 +16 *2422:14 *4804:118 8.16827e-05 +17 *2422:14 *5855:343 7.41058e-05 +18 *2422:14 *5874:64 9.94284e-06 +19 *2422:27 *20822:C1 2.92975e-06 +20 *2422:27 *4804:118 1.03403e-05 +21 *2422:27 *4866:52 0.000159348 +22 *2422:27 *5946:11 0.000181332 +23 *2422:27 *5946:22 4.80635e-06 +24 serial_data_1 *2422:8 0 +25 *20510:A *21364:A1 2.93074e-05 +26 *20510:B *21364:A1 3.14978e-05 +27 *20511:A *21364:A1 0.000158371 +28 *20819:B1 *2422:27 5.96571e-06 +29 *20822:A1 *21364:A1 1.65872e-05 +30 *20822:A1 *2422:27 0.000143271 +31 *20822:A2 *2422:27 3.59774e-05 +32 *20823:B1 *2422:27 6.50586e-05 +33 *21364:A2 *21364:A1 1.09551e-05 +34 *21364:B2 *21364:A1 2.53145e-06 +35 *24161:D *2422:7 0.000145559 +36 *324:11 *2422:14 0.000243633 +37 *520:28 *2422:8 0 +38 *539:48 *2422:8 0.00339299 +39 *2063:23 *21364:A1 7.92757e-06 +40 *2063:31 *21364:A1 7.77744e-05 +41 *2085:11 *2422:27 0.000233427 +42 *2085:13 *2422:27 3.14174e-05 +43 *2270:133 *21364:A1 7.34948e-06 +44 *2290:10 *2422:7 2.03183e-05 +45 *2384:99 *2422:27 0.00277582 +*RES +1 *21362:Y *2422:7 37.2113 +2 *2422:7 *2422:8 108.552 +3 *2422:8 *2422:14 47.3231 +4 *2422:14 *2422:27 45.0102 +5 *2422:27 *21364:A1 41.6573 +*END + +*D_NET *2423 0.0732121 +*CONN +*I *22356:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22676:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21364:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21363:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22356:A1 9.72203e-05 +2 *22676:A1 0.000135764 +3 *21364:B1 0 +4 *21363:Y 0 +5 *2423:93 0.00409791 +6 *2423:78 0.0048932 +7 *2423:69 0.00458038 +8 *2423:57 0.00496794 +9 *2423:42 0.00266591 +10 *2423:40 0.00221931 +11 *2423:33 0.00298259 +12 *2423:16 0.00116148 +13 *2423:4 0.00317482 +14 *22356:A1 *22359:B 0.000187688 +15 *22356:A1 *3120:109 0.000180208 +16 *22676:A1 *21412:C1 0.000231479 +17 *22676:A1 *2454:18 3.73224e-05 +18 *22676:A1 *2480:18 1.09024e-05 +19 *22676:A1 *2534:23 0.000234239 +20 *2423:16 *21384:A1 0.000937157 +21 *2423:16 *21384:B1 0.000100738 +22 *2423:16 *24560:CLK 0.000260388 +23 *2423:16 *24564:CLK 0.000258142 +24 *2423:16 *2576:42 0.000192258 +25 *2423:16 *4843:516 0.000258114 +26 *2423:16 *4844:356 9.60315e-05 +27 *2423:33 *2441:72 0.000708936 +28 *2423:33 *2645:34 6.04131e-05 +29 *2423:33 *5946:36 4.69495e-06 +30 *2423:40 *24736:CLK 4.2635e-05 +31 *2423:42 *2809:24 0.000257808 +32 *2423:42 *5725:10 0.000464318 +33 *2423:57 *24787:CLK 0.000154145 +34 *2423:57 *25243:A 2.61955e-05 +35 *2423:57 *5235:11 0.000253916 +36 *2423:69 *2446:89 0.000134785 +37 *2423:69 *2446:101 0.000100364 +38 *2423:69 *2520:87 3.52699e-05 +39 *2423:69 *2882:36 0.000236298 +40 *2423:69 *2978:57 0.000100364 +41 *2423:69 *5475:83 0.000310684 +42 *2423:69 *5475:110 1.48618e-05 +43 *2423:69 *5898:168 0.000745352 +44 *2423:69 *5898:191 0.000105056 +45 *2423:69 *5910:26 0.00368563 +46 *2423:69 *5913:17 0.00045051 +47 *2423:78 *19564:A0 7.63193e-05 +48 *2423:78 *20994:A1 0.00021864 +49 *2423:78 *24102:A 0.000110473 +50 *2423:78 *4809:52 7.28994e-06 +51 *2423:78 *4813:81 0.000207883 +52 *2423:78 *4821:125 0.000157344 +53 *2423:78 *4827:79 0.000476921 +54 *2423:78 *5855:261 0.000234844 +55 *2423:78 *5864:539 4.97938e-05 +56 *2423:78 *5864:550 7.86852e-05 +57 *2423:78 *5864:558 0.000571705 +58 *2423:93 *21412:C1 0.00107183 +59 *2423:93 *2446:145 0.000769702 +60 *2423:93 *2467:104 0.000212005 +61 *2423:93 *2486:106 0.000690995 +62 *2423:93 *2503:48 0.00117846 +63 *2423:93 *2534:23 0.000865468 +64 *2423:93 *3076:23 0.000129928 +65 *2423:93 *4816:124 7.47344e-06 +66 *2423:93 *4843:345 0.000207677 +67 *2423:93 *5417:30 0.000378743 +68 *2423:93 *5862:296 1.09444e-05 +69 *2423:93 *5919:72 1.07881e-05 +70 mgmt_gpio_oeb[4] *2423:57 0.000154145 +71 mgmt_gpio_oeb[5] *2423:69 2.30724e-05 +72 *20312:A2 *2423:57 0.000848071 +73 *20312:B1 *2423:57 0.000253477 +74 *20821:A *2423:33 7.72341e-05 +75 *20829:A2 *2423:33 7.12632e-06 +76 *20994:A2 *2423:78 9.8511e-05 +77 *22676:B2 *22676:A1 7.09666e-06 +78 *24048:S *2423:57 0.00110283 +79 *24701:D *2423:57 0.000215846 +80 *24736:D *2423:42 9.96342e-05 +81 *25170:A *2423:69 0 +82 *25174:A *2423:69 3.39196e-05 +83 *324:11 *2423:42 0.000790246 +84 *476:123 *2423:78 0.000239297 +85 *476:143 *2423:78 0.000641099 +86 *490:105 *2423:93 0.000647094 +87 *494:26 *2423:16 0.000195856 +88 *500:48 *2423:78 4.5043e-05 +89 *510:47 *2423:93 0.000386287 +90 *510:55 *2423:42 0.00185859 +91 *510:59 *2423:40 2.01503e-05 +92 *510:59 *2423:42 0.000405694 +93 *512:38 *2423:93 3.61584e-05 +94 *520:27 *2423:69 0.00273279 +95 *520:28 *2423:69 0.000100364 +96 *535:38 *2423:69 0.00215514 +97 *539:21 *2423:93 0.00123215 +98 *539:47 *2423:57 4.65615e-06 +99 *545:32 *2423:33 0.000157329 +100 *1419:18 *2423:33 5.23778e-05 +101 *1439:104 *2423:78 0.000245738 +102 *1551:109 *2423:93 0.00109527 +103 *1551:136 *2423:93 0.00153472 +104 *1631:57 *2423:93 4.2473e-05 +105 *1688:91 *2423:69 6.73621e-05 +106 *1741:70 *2423:69 0.00158182 +107 *1917:29 *2423:69 7.19666e-05 +108 *2088:34 *2423:33 0.000248681 +109 *2095:14 *2423:33 0.000157042 +110 *2096:7 *2423:33 0.000311235 +111 *2266:55 *2423:69 0.000225462 +112 *2274:95 *2423:93 0 +113 *2282:53 *2423:93 0.0014263 +114 *2295:39 *2423:57 8.17436e-05 +115 *2295:39 *2423:69 2.02035e-05 +116 *2297:86 *2423:93 1.2797e-05 +117 *2333:60 *2423:93 0.000645469 +118 *2384:74 *2423:69 0.000100364 +119 *2388:8 *2423:16 5.732e-05 +120 *2391:49 *2423:69 0.000469849 +121 *2398:80 *2423:42 0.000617646 +*RES +1 *21363:Y *2423:4 9.24915 +2 *2423:4 *2423:16 45.4259 +3 *2423:16 *21364:B1 9.24915 +4 *2423:4 *2423:33 41.013 +5 *2423:33 *2423:40 24.3594 +6 *2423:40 *2423:42 53.1156 +7 *2423:42 *2423:57 47.9428 +8 *2423:57 *2423:69 47.0389 +9 *2423:69 *2423:78 47.1264 +10 *2423:78 *2423:93 47.1845 +11 *2423:93 *22676:A1 18.2676 +12 *2423:93 *22356:A1 20.8186 +*END + +*D_NET *2424 0.00928955 +*CONN +*I *21365:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21364:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21365:C1 0 +2 *21364:X 0.000204451 +3 *2424:8 0.00162815 +4 *2424:7 0.0018326 +5 *2424:8 *21370:A1_N 0 +6 *2424:8 *21689:B1 3.73224e-05 +7 *2424:8 *2609:10 0 +8 *2424:8 *2609:17 0.0014889 +9 *2424:8 *3076:58 1.9101e-05 +10 *2424:8 *3496:29 0.000143047 +11 *2424:8 *5853:421 0.000960414 +12 *2424:8 *5860:544 0.000158092 +13 *19613:A2 *2424:8 0.000223001 +14 *21334:B2 *2424:8 8.27096e-05 +15 *21378:A2 *2424:8 6.08788e-05 +16 *24900:D *2424:8 3.28905e-05 +17 *1428:54 *2424:8 0.000145247 +18 *1452:42 *2424:8 0.000197354 +19 *1452:51 *2424:8 0.00083767 +20 *1452:60 *2424:8 0.000309547 +21 *1551:28 *2424:8 0 +22 *1691:145 *2424:7 0.000560798 +23 *1715:151 *2424:8 5.41377e-05 +24 *2270:133 *2424:7 0.000313246 +*RES +1 *21364:X *2424:7 22.7916 +2 *2424:7 *2424:8 56.6453 +3 *2424:8 *21365:C1 13.7491 +*END + +*D_NET *2425 0.0477378 +*CONN +*I *21372:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21365:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21372:C1 0.00155201 +2 *21365:X 0.000893623 +3 *2425:48 0.00320949 +4 *2425:40 0.00303701 +5 *2425:24 0.00333116 +6 *2425:23 0.00195163 +7 *2425:21 0.00107705 +8 *2425:17 0.003057 +9 *2425:14 0.00239481 +10 *2425:7 0.00130849 +11 *21372:C1 *21443:A 0.000115845 +12 *21372:C1 *21658:C1 0.000200794 +13 *21372:C1 *21659:D1 0.00017747 +14 *21372:C1 *22342:A1 6.36477e-05 +15 *21372:C1 *22342:B1 6.11872e-05 +16 *21372:C1 *22348:B1 3.73375e-05 +17 *21372:C1 *2463:11 0.00102675 +18 *21372:C1 *2696:11 6.4396e-05 +19 *21372:C1 *3122:88 9.95542e-06 +20 *21372:C1 *3300:8 6.08467e-05 +21 *21372:C1 *3306:15 7.84027e-05 +22 *21372:C1 *3498:211 8.19236e-05 +23 *21372:C1 *3620:30 0.000211573 +24 *2425:7 *22245:A 1.92172e-05 +25 *2425:7 *3485:19 0.000957521 +26 *2425:7 *5944:68 2.20702e-05 +27 *2425:7 *5948:76 5.73392e-05 +28 *2425:14 *22177:C 0.000837415 +29 *2425:14 *5948:76 0.000111722 +30 *2425:14 *5951:8 0.000168711 +31 *2425:14 *5951:19 0.000100741 +32 *2425:17 *2978:97 4.19698e-05 +33 *2425:17 *5862:8 6.53354e-05 +34 *2425:17 *5864:226 2.65831e-05 +35 *2425:21 *2738:14 0.000125365 +36 *2425:21 *3052:13 0.000210067 +37 *2425:21 *4806:81 0.000474324 +38 *2425:21 *4844:267 5.05252e-05 +39 *2425:21 *4844:274 0.000427544 +40 *2425:21 *4911:16 1.56279e-05 +41 *2425:21 *4911:95 0.000168423 +42 *2425:21 *5864:231 9.49135e-05 +43 *2425:24 *2610:63 0.00146564 +44 *2425:24 *2635:80 6.25914e-05 +45 *2425:24 *2738:14 0.000100364 +46 *2425:24 *2814:15 0.000104854 +47 *2425:24 *2896:45 7.06752e-05 +48 *2425:24 *4808:6 0.000204402 +49 *2425:24 *4808:135 7.99851e-05 +50 *2425:40 *19781:A 8.8011e-05 +51 *2425:40 *21032:A1 0.000122083 +52 *2425:40 *24341:RESET_B 0 +53 *2425:40 *24341:CLK 3.4123e-05 +54 *2425:40 *2906:56 0.000101754 +55 *2425:40 *4805:47 3.11741e-05 +56 *2425:40 *4845:575 0.00102392 +57 *2425:48 *24494:RESET_B 0.000100397 +58 *2425:48 *24494:CLK 1.88014e-05 +59 *2425:48 *2570:94 0.000409536 +60 *2425:48 *3192:69 8.61022e-05 +61 *2425:48 *5862:634 0.000537407 +62 *20815:C *2425:7 6.08467e-05 +63 *21248:A2 *2425:48 4.54435e-05 +64 *21333:A2 *2425:7 0.000307037 +65 *21333:B1 *2425:7 0.000845914 +66 *21372:B1 *21372:C1 6.08467e-05 +67 *21403:B2 *21372:C1 4.23858e-05 +68 *21658:A2 *21372:C1 5.89592e-05 +69 *21658:B2 *21372:C1 6.2497e-05 +70 *21659:A2 *21372:C1 5.20546e-06 +71 *494:44 *2425:7 2.95884e-05 +72 *531:41 *2425:21 6.50586e-05 +73 *1545:78 *2425:48 3.25317e-05 +74 *1556:20 *2425:21 7.22843e-05 +75 *1556:20 *2425:24 0.00445015 +76 *1557:83 *2425:17 0.00214427 +77 *1557:90 *2425:24 0.00124823 +78 *1557:96 *2425:40 0.000494981 +79 *1584:156 *2425:48 8.61022e-05 +80 *2088:84 *2425:14 0.000200794 +81 *2091:7 *2425:7 0.00116612 +82 *2109:8 *2425:14 0.000262355 +83 *2256:14 *2425:21 0.00235358 +84 *2300:60 *2425:24 0.000194547 +85 *2301:45 *2425:40 1.07248e-05 +86 *2394:41 *2425:24 0.000106819 +87 *2411:5 *2425:7 2.69653e-05 +88 *2411:5 *2425:14 0.000119313 +89 *2415:26 *2425:40 0.000406131 +90 *2416:53 *21372:C1 0.000158451 +*RES +1 *21365:X *2425:7 39.9453 +2 *2425:7 *2425:14 24.7209 +3 *2425:14 *2425:17 36.2812 +4 *2425:17 *2425:21 49.8292 +5 *2425:21 *2425:23 4.5 +6 *2425:23 *2425:24 83.2214 +7 *2425:24 *2425:40 48.39 +8 *2425:40 *2425:48 39.3487 +9 *2425:48 *21372:C1 49.1806 +*END + +*D_NET *2426 0.0994834 +*CONN +*I *21371:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21366:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21371:A1 4.78673e-05 +2 *21366:Y 0.000209324 +3 *2426:89 0.00549219 +4 *2426:82 0.00643925 +5 *2426:78 0.00215664 +6 *2426:73 0.0030106 +7 *2426:71 0.00276013 +8 *2426:68 0.0033286 +9 *2426:61 0.00466061 +10 *2426:53 0.00392402 +11 *2426:43 0.0023227 +12 *2426:38 0.00294783 +13 *2426:28 0.00349277 +14 *2426:16 0.00216507 +15 *2426:13 0.00131593 +16 *2426:8 0.00223641 +17 *2426:7 0.00210801 +18 *2426:7 *2618:18 3.30464e-05 +19 *2426:8 *21925:A 0.000100606 +20 *2426:8 *2619:38 0.000391427 +21 *2426:8 *2632:36 3.10178e-05 +22 *2426:8 *3868:213 2.04806e-05 +23 *2426:8 *5456:79 0.000962446 +24 *2426:8 *5457:34 0.000597755 +25 *2426:8 *5800:32 0.00050027 +26 *2426:13 *2505:53 0.000258128 +27 *2426:16 *2864:44 0.00015708 +28 *2426:16 *3766:10 0.000178804 +29 *2426:28 *2963:102 0 +30 *2426:28 *3097:6 0.000689853 +31 *2426:28 *5916:35 0.00093922 +32 *2426:28 *5929:42 0.000144412 +33 *2426:38 *19648:B1 0.00186104 +34 *2426:38 *19923:A 0.00032864 +35 *2426:38 *19991:A 1.91391e-05 +36 *2426:38 *2561:26 2.01653e-05 +37 *2426:38 *2838:29 0.00056387 +38 *2426:38 *2963:102 0 +39 *2426:38 *3014:48 0.00029276 +40 *2426:38 *5477:9 0.000158357 +41 *2426:38 *5477:14 0.00036437 +42 *2426:38 *5482:63 0.000260388 +43 *2426:38 *5814:38 1.66771e-05 +44 *2426:38 *5874:79 0.000384891 +45 *2426:43 *5812:16 0.000221847 +46 *2426:43 *5812:32 0.000254654 +47 *2426:53 *20457:A1 0.000453443 +48 *2426:53 *24762:SET_B 0.000164843 +49 *2426:53 *2445:14 0.000905024 +50 *2426:53 *3177:63 1.75637e-06 +51 *2426:53 *5089:10 0.000148338 +52 *2426:53 *5870:659 0.000900098 +53 *2426:61 *22046:A 5.85252e-05 +54 *2426:61 *24219:RESET_B 9.32983e-05 +55 *2426:61 *24219:CLK 7.92757e-06 +56 *2426:61 *24303:CLK 0.000346869 +57 *2426:61 *3177:63 0.000275746 +58 *2426:61 *4925:20 1.82679e-05 +59 *2426:68 *20365:A1 8.62625e-06 +60 *2426:68 *24303:RESET_B 0.000110084 +61 *2426:68 *24303:CLK 7.01609e-05 +62 *2426:68 *24665:RESET_B 7.86825e-06 +63 *2426:68 *24665:CLK 0.000164266 +64 *2426:68 *2756:14 1.72594e-05 +65 *2426:68 *3177:63 5.47711e-05 +66 *2426:71 *19992:A 0.000298304 +67 *2426:71 *20365:A1 4.30148e-05 +68 *2426:71 *24665:RESET_B 3.56986e-05 +69 *2426:71 *3177:57 9.09664e-05 +70 *2426:71 *3177:63 0.000861457 +71 *2426:71 *5195:5 8.67969e-05 +72 *2426:73 *24001:A1 0.000277595 +73 *2426:73 *2528:20 0.00230282 +74 *2426:73 *3177:57 0.000330461 +75 *2426:73 *6027:61 0.000805862 +76 *2426:78 *2448:171 8.56151e-05 +77 *2426:78 *2738:14 0.000103693 +78 *2426:78 *4832:104 0.0012518 +79 *2426:78 *5853:392 0.000905327 +80 *2426:78 *5898:16 4.51619e-05 +81 *2426:82 *20828:A 0.000116186 +82 *2426:82 *24696:CLK 0.000139017 +83 *2426:82 *2738:14 0.00013653 +84 *2426:82 *4911:18 0.000135668 +85 *2426:82 *5853:390 0.000121807 +86 *2426:82 *5853:392 0.00206312 +87 *2426:89 *21371:B1 3.85961e-06 +88 *2426:89 *21411:B1 8.66386e-05 +89 *2426:89 *21926:A1 0 +90 *2426:89 *2428:96 0.000610928 +91 *2426:89 *2428:116 5.11466e-05 +92 *2426:89 *2440:54 0 +93 *2426:89 *2577:28 0.000172434 +94 *2426:89 *2596:14 0.00106295 +95 *2426:89 *2645:50 0.00337488 +96 *2426:89 *2809:31 0 +97 *2426:89 *2907:6 0.000315718 +98 *2426:89 *4829:57 0.00153525 +99 *2426:89 *5168:13 5.88009e-05 +100 *2426:89 *5857:263 0 +101 *19638:A *2426:38 0.000100477 +102 *19644:B2 *2426:38 0.00078203 +103 *19768:A *2426:8 3.0757e-05 +104 *19769:B1 *2426:8 0.000254181 +105 *20121:A *2426:16 0.000343637 +106 *20229:A *2426:73 2.16355e-05 +107 *20366:A2 *2426:68 8.65358e-05 +108 *20454:A *2426:53 6.50727e-05 +109 *20457:B2 *2426:53 1.65872e-05 +110 *21007:A1 *2426:73 0.000177066 +111 *21007:A2 *2426:73 0.000214293 +112 *21010:A2 *2426:78 0.000472016 +113 *21224:B2 *2426:71 1.41976e-05 +114 *21224:B2 *2426:73 5.47093e-05 +115 *22109:A2_N *2426:82 7.77309e-06 +116 *22115:A1 *2426:82 0.000179102 +117 *24001:A0 *2426:73 0.000739467 +118 *24030:A0 *2426:16 0.000148144 +119 *24170:D *2426:82 0.000218824 +120 *24260:D *2426:73 0.00042169 +121 *24303:D *2426:68 0.000144629 +122 *24506:D *2426:43 3.82228e-05 +123 *24604:D *2426:53 1.19618e-05 +124 *24665:D *2426:68 3.04443e-05 +125 *24887:D *2426:38 0.000213725 +126 *439:61 *2426:73 3.49679e-05 +127 *484:26 *2426:28 4.69495e-06 +128 *496:8 *2426:71 6.89953e-05 +129 *657:51 *2426:8 0.000280722 +130 *657:143 *2426:8 0.000702891 +131 *1464:17 *2426:43 0.00183351 +132 *1471:169 *2426:8 0.000910696 +133 *1534:22 *2426:28 1.9101e-05 +134 *1556:20 *2426:78 0.000226389 +135 *1556:20 *2426:82 9.35896e-05 +136 *1697:10 *2426:53 4.67722e-05 +137 *1697:14 *2426:53 0.000626392 +138 *1706:146 *21371:A1 0.000111708 +139 *1706:146 *2426:89 8.9765e-06 +140 *1731:59 *2426:28 0.000342558 +141 *1731:68 *2426:16 0.00156996 +142 *1734:18 *2426:16 0.000747449 +143 *1742:67 *2426:89 0 +144 *1764:128 *2426:43 0.000188588 +145 *1841:16 *2426:16 0.000381154 +146 *1894:5 *2426:73 0.00014642 +147 *1894:12 *2426:73 0.000311221 +148 *1964:7 *2426:53 2.23882e-05 +149 *1964:7 *2426:61 1.1202e-06 +150 *2084:16 *2426:82 0.000167762 +151 *2163:61 *2426:73 8.65522e-05 +152 *2259:15 *2426:28 0.000468381 +153 *2283:13 *2426:8 0.00177646 +154 *2299:8 *2426:68 8.74897e-06 +155 *2398:38 *2426:43 0.00190952 +156 *2405:24 *2426:8 0.000908945 +157 *2405:26 *2426:8 0.00100361 +*RES +1 *21366:Y *2426:7 16.691 +2 *2426:7 *2426:8 89.8655 +3 *2426:8 *2426:13 14.1602 +4 *2426:13 *2426:16 47.8572 +5 *2426:16 *2426:28 40.3204 +6 *2426:28 *2426:38 49.1612 +7 *2426:38 *2426:43 42.445 +8 *2426:43 *2426:53 44.0486 +9 *2426:53 *2426:61 44.0006 +10 *2426:61 *2426:68 45.2483 +11 *2426:68 *2426:71 27.9231 +12 *2426:71 *2426:73 65.612 +13 *2426:73 *2426:78 47.5519 +14 *2426:78 *2426:82 43.404 +15 *2426:82 *2426:89 49.6795 +16 *2426:89 *21371:A1 10.5271 +*END + +*D_NET *2427 0.0160116 +*CONN +*I *21371:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21367:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21371:B1 2.71123e-05 +2 *21367:Y 0.00145207 +3 *2427:16 0.00164624 +4 *2427:14 0.00220707 +5 *2427:11 0.00204002 +6 *21371:B1 *21650:A 0 +7 *2427:11 *4845:382 3.55824e-05 +8 *2427:11 *5726:31 0.00203031 +9 *2427:14 *2907:6 0.000172857 +10 *2427:14 *5857:338 0.000416667 +11 *2427:16 *2667:52 0.000958117 +12 *2427:16 *2825:8 0.000277321 +13 *2427:16 *2907:6 0.00307929 +14 *2427:16 *3487:30 0.000190666 +15 *2427:16 *3487:81 1.66626e-05 +16 *2427:16 *5857:328 0.000547371 +17 *2427:16 *5857:338 0.000250231 +18 *19614:A2 *2427:14 0.00029105 +19 *21371:B2 *21371:B1 4.46006e-05 +20 *24899:D *2427:14 5.85387e-05 +21 *476:72 *2427:11 3.55824e-05 +22 *1452:18 *2427:14 0.000213708 +23 *1690:17 *2427:14 1.66626e-05 +24 *2426:89 *21371:B1 3.85961e-06 +*RES +1 *21367:Y *2427:11 47.9039 +2 *2427:11 *2427:14 18.8451 +3 *2427:14 *2427:16 59.4666 +4 *2427:16 *21371:B1 14.6353 +*END + +*D_NET *2428 0.0592843 +*CONN +*I *21475:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21370:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *23931:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *21967:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *21717:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21368:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21475:A2_N 4.27457e-05 +2 *21370:A2_N 4.51842e-05 +3 *23931:A2 0 +4 *21967:A2_N 1.96889e-05 +5 *21717:A2_N 0.00163511 +6 *21368:Y 4.2997e-05 +7 *2428:117 0.00179493 +8 *2428:116 0.00259125 +9 *2428:96 0.00198899 +10 *2428:90 0.00233833 +11 *2428:89 0.00123358 +12 *2428:87 0.00183729 +13 *2428:63 0.0024128 +14 *2428:53 0.00187278 +15 *2428:52 0.00129726 +16 *2428:30 0.00404365 +17 *2428:16 0.00247499 +18 *2428:13 0.00219954 +19 *2428:5 0.00219577 +20 *21717:A2_N *2739:14 6.11074e-05 +21 *21967:A2_N *4829:10 1.9101e-05 +22 *2428:5 *5960:19 1.00937e-05 +23 *2428:13 *4875:24 0.000127194 +24 *2428:13 *5960:19 3.41712e-05 +25 *2428:13 *5960:27 0.00229688 +26 *2428:16 *20362:A1 4.69495e-06 +27 *2428:16 *4829:10 5.4678e-05 +28 *2428:16 *4843:545 6.84784e-06 +29 *2428:30 *21967:B1 6.73351e-05 +30 *2428:30 *24667:RESET_B 1.5714e-05 +31 *2428:30 *2981:7 6.1708e-05 +32 *2428:30 *4829:10 4.3116e-06 +33 *2428:30 *4843:545 5.60064e-05 +34 *2428:30 *4954:39 0.000128781 +35 *2428:30 *5851:337 4.69495e-06 +36 *2428:63 *2439:24 0.000118017 +37 *2428:63 *3093:12 8.05338e-06 +38 *2428:63 *3177:50 2.1032e-05 +39 *2428:87 *20517:A1 0.000562459 +40 *2428:87 *24370:RESET_B 1.77566e-05 +41 *2428:87 *24370:CLK 5.97576e-05 +42 *2428:87 *24908:CLK 9.60421e-05 +43 *2428:87 *2439:24 0.000125613 +44 *2428:87 *4866:32 6.08467e-05 +45 *2428:87 *4866:49 0.000297037 +46 *2428:87 *4914:12 0.00015966 +47 *2428:87 *5946:11 5.04829e-06 +48 *2428:90 *2576:42 0.000206864 +49 *2428:90 *3160:22 0.00238718 +50 *2428:90 *4845:381 0.000739521 +51 *2428:90 *5259:17 0.000144531 +52 *2428:96 *21370:A1_N 0.00227553 +53 *2428:96 *2880:20 0.000145782 +54 *2428:96 *2907:6 0.000615634 +55 *2428:96 *3076:58 0.000707436 +56 *2428:116 *21995:D 0.000174976 +57 *2428:116 *22764:A2 0.000169453 +58 *2428:116 *2688:11 0.000314216 +59 *2428:116 *2907:6 4.40499e-05 +60 *2428:116 *3485:19 0.000314755 +61 *2428:116 *3485:21 0.000881542 +62 *2428:116 *3485:25 0.000137886 +63 *2428:116 *3487:13 0.000193071 +64 *2428:117 *21582:B1 0.000266141 +65 *2428:117 *21881:A1 0.000177259 +66 *2428:117 *2638:35 4.53421e-05 +67 *2428:117 *2638:37 0.0018051 +68 *2428:117 *2876:34 0.000516668 +69 *2428:117 *2950:125 8.56518e-05 +70 *2428:117 *3053:14 0.000142678 +71 *2428:117 *3146:26 0.000211594 +72 *6144:DIODE *2428:30 0.000167076 +73 *19929:B1 *2428:116 0 +74 *20819:A2 *2428:87 8.36769e-05 +75 *20819:B1 *2428:87 2.64875e-05 +76 *20951:A2 *21717:A2_N 8.10959e-05 +77 *21371:B2 *2428:116 5.74804e-05 +78 *23931:B2 *2428:63 0.000252337 +79 *23969:A0 *2428:53 9.32927e-05 +80 *23980:A0 *2428:30 0.000324166 +81 *23980:S *2428:30 0.000162959 +82 *23984:A0 *2428:30 0.000111722 +83 *24005:A0 *2428:13 0.000812278 +84 *24261:D *2428:53 0.000120605 +85 *24371:D *2428:87 0.0002646 +86 *494:36 *2428:90 0.000940387 +87 *494:44 *21370:A2_N 6.08467e-05 +88 *518:62 *2428:53 0.00264606 +89 *518:62 *2428:63 1.3813e-05 +90 *518:75 *21967:A2_N 1.73176e-05 +91 *518:75 *2428:30 0.000164815 +92 *542:11 *2428:30 0.000141959 +93 *1419:8 *2428:63 0.000118017 +94 *1419:8 *2428:87 0.000135972 +95 *1419:18 *2428:87 0.000214335 +96 *1428:94 *2428:53 0.000830486 +97 *1439:152 *2428:117 0.000117693 +98 *1564:31 *2428:117 9.72199e-05 +99 *1564:37 *2428:117 0.000255272 +100 *1577:26 *2428:117 9.28861e-05 +101 *1577:44 *2428:117 9.07848e-05 +102 *1695:12 *2428:53 6.55607e-05 +103 *1695:26 *2428:53 8.35883e-05 +104 *1695:26 *2428:63 0.000663089 +105 *1709:87 *2428:96 3.08738e-05 +106 *1744:24 *2428:87 0.000141144 +107 *1790:19 *2428:116 0.000282621 +108 *1800:249 *2428:117 0.000147332 +109 *1884:271 *2428:87 0.000583155 +110 *1982:38 *2428:87 4.91242e-05 +111 *2240:8 *2428:63 0.00070596 +112 *2290:18 *2428:13 0 +113 *2368:19 *2428:96 5.60804e-05 +114 *2399:6 *21717:A2_N 0 +115 *2407:41 *21475:A2_N 6.36477e-05 +116 *2426:89 *2428:96 0.000610928 +117 *2426:89 *2428:116 5.11466e-05 +*RES +1 *21368:Y *2428:5 9.97254 +2 *2428:5 *2428:13 47.6341 +3 *2428:13 *2428:16 5.70912 +4 *2428:16 *2428:30 49.5418 +5 *2428:30 *21717:A2_N 25.4172 +6 *2428:16 *21967:A2_N 14.3086 +7 *2428:5 *2428:52 4.5 +8 *2428:52 *2428:53 49.3784 +9 *2428:53 *2428:63 29.0038 +10 *2428:63 *23931:A2 13.7491 +11 *2428:63 *2428:87 49.0747 +12 *2428:87 *2428:89 3.36879 +13 *2428:89 *2428:90 46.6792 +14 *2428:90 *2428:96 22.0494 +15 *2428:96 *21370:A2_N 14.4725 +16 *2428:96 *2428:116 11.3348 +17 *2428:116 *2428:117 51.0394 +18 *2428:117 *21475:A2_N 14.4725 +*END + +*D_NET *2429 0.0402438 +*CONN +*I *6093:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21370:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21369:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6093:DIODE 0 +2 *21370:B1 0.000138225 +3 *21369:Y 0.000553691 +4 *2429:25 0.00711672 +5 *2429:13 0.0088183 +6 *2429:11 0.0023935 +7 *21370:B1 *21370:A1_N 0.000248965 +8 *2429:11 *24068:A1 4.80635e-06 +9 *2429:11 *4870:55 0.000538037 +10 *2429:11 *4870:60 0.000628428 +11 *2429:25 *22445:B2 1.85963e-05 +12 *2429:25 *22446:C1 0.000474491 +13 *2429:25 *22489:A1 0.000571664 +14 *2429:25 *22490:A1 6.16643e-05 +15 *2429:25 *2732:34 2.37537e-05 +16 *2429:25 *2732:47 3.3378e-06 +17 *2429:25 *2749:38 0.00106899 +18 *2429:25 *2763:20 4.71283e-05 +19 *2429:25 *2825:8 1.5714e-05 +20 *2429:25 *3014:72 0.000321953 +21 *2429:25 *3144:35 0.000183767 +22 *2429:25 *3177:168 0.000208435 +23 *2429:25 *3208:31 9.37055e-05 +24 *2429:25 *3485:19 2.70402e-05 +25 *2429:25 *3485:21 0.000859742 +26 *2429:25 *3485:25 0.00122318 +27 *2429:25 *4802:18 0.000101251 +28 *2429:25 *4819:72 0.00345989 +29 *2429:25 *4878:59 0 +30 *2429:25 *5854:510 1.5714e-05 +31 *2429:25 *5860:75 0.00173114 +32 *19757:B1 *2429:13 0.000263107 +33 *19758:A *2429:13 0.000597697 +34 *19956:A1 *2429:25 0.00130036 +35 *19956:B2 *2429:25 0.0003862 +36 *24068:S *2429:13 0.000466181 +37 *24833:D *2429:11 0.000101148 +38 *494:44 *21370:B1 6.07598e-05 +39 *494:44 *2429:25 0.000107496 +40 *494:58 *2429:25 6.05836e-05 +41 *1443:49 *2429:25 2.69702e-06 +42 *1482:81 *2429:25 0.000195019 +43 *1525:51 *2429:11 0.000101148 +44 *1526:63 *2429:11 0.000245462 +45 *1544:51 *2429:25 5.18861e-05 +46 *1677:108 *2429:25 7.03046e-05 +47 *1683:29 *2429:25 0.00176294 +48 *1707:43 *2429:25 0 +49 *1715:127 *21370:B1 0.000403498 +50 *1715:127 *2429:25 7.65976e-05 +51 *1715:151 *21370:B1 6.08467e-05 +52 *1725:33 *2429:25 0.000211665 +53 *1729:80 *2429:25 0.000186725 +54 *1755:33 *2429:13 0.00082722 +55 *1790:19 *2429:25 0.000459595 +56 *1807:20 *2429:25 0.000433425 +57 *1808:11 *2429:25 0.000859437 +*RES +1 *21369:Y *2429:11 34.1391 +2 *2429:11 *2429:13 46.7555 +3 *2429:13 *2429:25 45.7091 +4 *2429:25 *21370:B1 14.9881 +5 *2429:25 *6093:DIODE 9.24915 +*END + +*D_NET *2430 0.00146388 +*CONN +*I *21371:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21370:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *21371:C1 0.000373162 +2 *21370:X 0.000373162 +3 *21371:C1 *2581:39 0.0001478 +4 *21371:C1 *2581:42 2.95757e-05 +5 *21371:C1 *2609:24 1.12605e-05 +6 *21371:C1 *5854:510 2.98225e-05 +7 *21371:B2 *21371:C1 0.000200794 +8 *494:44 *21371:C1 0.000298304 +*RES +1 *21370:X *21371:C1 35.6292 +*END + +*D_NET *2431 0.0203677 +*CONN +*I *21372:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21371:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21372:D1 0.000503501 +2 *21371:X 0.00527123 +3 *2431:23 0.00577473 +4 *21372:D1 *21443:A 1.52117e-05 +5 *21372:D1 *3144:152 0.000111302 +6 *2431:23 *21064:B1 0.000105538 +7 *2431:23 *21690:A1 0 +8 *2431:23 *21696:B1 0.000108997 +9 *2431:23 *2694:36 0 +10 *2431:23 *2719:22 1.5714e-05 +11 *2431:23 *3144:103 0 +12 *2431:23 *3204:165 0.000351449 +13 *2431:23 *3206:15 0.000181263 +14 *2431:23 *3485:133 0.000862797 +15 *2431:23 *3487:13 0.000187994 +16 *2431:23 *3496:29 0.000573131 +17 *2431:23 *3509:24 0.00108881 +18 *21193:B1 *2431:23 0.000139271 +19 *21372:A2 *2431:23 0.000354027 +20 *21372:B1 *21372:D1 5.40888e-06 +21 *1439:145 *2431:23 6.50727e-05 +22 *1645:94 *21372:D1 0.000322745 +23 *1680:59 *2431:23 1.9101e-05 +24 *1680:86 *2431:23 0.00105218 +25 *1680:137 *2431:23 0.000319931 +26 *1688:91 *2431:23 0.000108997 +27 *1788:57 *2431:23 0.00132683 +28 *1802:143 *21372:D1 0.000599351 +29 *2368:19 *2431:23 0.00056166 +30 *2378:46 *2431:23 0.000341473 +*RES +1 *21371:X *2431:23 39.4773 +2 *2431:23 *21372:D1 27.3768 +*END + +*D_NET *2432 0.00221023 +*CONN +*I *21443:A I *D sky130_fd_sc_hd__nand4_4 +*I *21372:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *21443:A 0.000443102 +2 *21372:X 0.000443102 +3 *21443:A *21443:B 2.02035e-05 +4 *21443:A *21443:C 3.82228e-05 +5 *21443:A *2696:11 0.000632193 +6 *21443:A *3500:105 0.000169608 +7 *21443:A *3533:38 5.8656e-05 +8 *21443:A *4817:145 0.000107496 +9 *21372:B1 *21443:A 5.34249e-06 +10 *21372:C1 *21443:A 0.000115845 +11 *21372:D1 *21443:A 1.52117e-05 +12 *2416:53 *21443:A 0.000161252 +*RES +1 *21372:X *21443:A 41.7699 +*END + +*D_NET *2433 0.114033 +*CONN +*I *6176:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21378:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22681:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22341:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21373:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *6176:DIODE 0.000272597 +2 *21378:A1 4.78583e-05 +3 *22681:B1 0.000138619 +4 *22341:B1 0.000636637 +5 *21373:Y 0.000925322 +6 *2433:153 0.00113323 +7 *2433:151 0.00330268 +8 *2433:147 0.00362339 +9 *2433:141 0.00284574 +10 *2433:128 0.00252959 +11 *2433:118 0.00205882 +12 *2433:116 0.00126655 +13 *2433:114 0.000740435 +14 *2433:113 0.00126518 +15 *2433:106 0.00135092 +16 *2433:85 0.00212006 +17 *2433:59 0.00164377 +18 *2433:58 0.00417694 +19 *2433:31 0.00178386 +20 *2433:22 0.00250006 +21 *2433:20 0.00251295 +22 *2433:19 0.00326834 +23 *2433:11 0.00183828 +24 *2433:10 0.00200821 +25 *6176:DIODE *2528:41 5.39635e-06 +26 *6176:DIODE *4821:55 0.000104441 +27 *6176:DIODE *4866:8 1.66771e-05 +28 *22341:B1 *22340:A1 0.000161262 +29 *22341:B1 *22393:A2 0.000250254 +30 *22341:B1 *3175:195 6.29467e-05 +31 *22341:B1 *3299:11 6.53353e-05 +32 *22341:B1 *3299:21 0.000105515 +33 *22681:B1 *22681:A2 6.3657e-05 +34 *22681:B1 *22717:B2 1.84068e-05 +35 *22681:B1 *3177:298 1.02986e-05 +36 *22681:B1 *3509:259 5.89344e-05 +37 *22681:B1 *3539:201 0.000166106 +38 *2433:10 *20784:A1 4.01682e-05 +39 *2433:10 *20784:B1 3.58044e-05 +40 *2433:10 *4843:189 9.60216e-05 +41 *2433:11 *2499:23 0.000944257 +42 *2433:11 *3011:17 0.00115384 +43 *2433:11 *4824:101 3.18775e-05 +44 *2433:11 *5714:26 0.00127881 +45 *2433:11 *5855:575 0.000428134 +46 *2433:11 *5855:589 0.000763383 +47 *2433:19 *2486:32 4.88112e-06 +48 *2433:19 *4816:22 0 +49 *2433:19 *4830:42 0.000461754 +50 *2433:19 *5881:16 0 +51 *2433:20 *24903:RESET_B 9.60366e-05 +52 *2433:20 *2473:37 3.81801e-05 +53 *2433:20 *2473:39 1.61417e-05 +54 *2433:20 *2610:42 0.000189577 +55 *2433:20 *4805:36 0.0015189 +56 *2433:20 *4834:30 0.0024767 +57 *2433:20 *5419:9 0.000101148 +58 *2433:20 *5855:166 1.5714e-05 +59 *2433:20 *5855:187 0.000889935 +60 *2433:31 *21179:A 6.08467e-05 +61 *2433:31 *24768:RESET_B 0.000271895 +62 *2433:31 *2486:76 0.000253711 +63 *2433:31 *3212:19 0.000820514 +64 *2433:31 *3257:36 8.26073e-05 +65 *2433:31 *3299:21 0.000114662 +66 *2433:31 *5094:12 0.000136973 +67 *2433:31 *5924:42 8.07113e-05 +68 *2433:58 *24468:CLK 0.000140188 +69 *2433:58 *2545:67 0.0014568 +70 *2433:58 *2631:11 5.01493e-05 +71 *2433:58 *2809:24 0.000319652 +72 *2433:58 *3212:19 0.00054672 +73 *2433:59 *22352:B1 0.000387391 +74 *2433:59 *22359:A 0.00025175 +75 *2433:59 *22681:A1 7.68701e-05 +76 *2433:59 *22681:A2 0.000107496 +77 *2433:59 *22687:A1 2.58757e-05 +78 *2433:59 *22687:A2 6.36477e-05 +79 *2433:59 *22687:B1 2.27223e-05 +80 *2433:59 *22687:B2 0.000115821 +81 *2433:59 *22687:C1 8.20416e-05 +82 *2433:59 *22688:A 0.000586888 +83 *2433:59 *22688:D 0.000258222 +84 *2433:59 *2460:41 0.00087687 +85 *2433:59 *2460:51 1.65872e-05 +86 *2433:59 *2462:7 6.3657e-05 +87 *2433:59 *2520:43 0.00015607 +88 *2433:59 *2534:13 0.00263009 +89 *2433:59 *3539:201 4.12682e-05 +90 *2433:85 *2460:41 0.000391585 +91 *2433:85 *2534:13 0.00105225 +92 *2433:85 *4817:64 0.000273292 +93 *2433:85 *5039:7 0.000210067 +94 *2433:85 *5529:16 0.000380382 +95 *2433:85 *5904:38 0 +96 *2433:106 *19562:S 9.71323e-06 +97 *2433:106 *23869:A 0.000339531 +98 *2433:106 *25212:A 1.40911e-05 +99 *2433:106 *2448:146 1.91246e-05 +100 *2433:106 *2570:57 0.000392283 +101 *2433:106 *2635:47 6.61215e-06 +102 *2433:106 *2692:53 2.02035e-05 +103 *2433:106 *2938:34 9.39114e-06 +104 *2433:106 *4821:143 5.31569e-06 +105 *2433:106 *4821:145 5.71166e-05 +106 *2433:106 *4833:130 1.41181e-05 +107 *2433:106 *4833:138 0.000861263 +108 *2433:106 *5529:29 4.23405e-05 +109 *2433:113 *2729:62 8.63353e-06 +110 *2433:113 *2729:77 3.17436e-05 +111 *2433:113 *2938:34 0.00192832 +112 *2433:113 *2989:67 0.00182371 +113 *2433:113 *4821:145 2.54757e-05 +114 *2433:114 *2978:48 0.0034727 +115 *2433:114 *2978:57 1.02764e-05 +116 *2433:114 *2989:67 3.27606e-06 +117 *2433:114 *5910:26 0.000100364 +118 *2433:116 *2978:57 6.67835e-06 +119 *2433:118 *2978:57 0.00126357 +120 *2433:118 *5898:191 0.00136354 +121 *2433:128 *25242:A 0.000227498 +122 *2433:128 *2446:62 0.00130308 +123 *2433:128 *2448:147 0.000223454 +124 *2433:128 *5874:64 3.90689e-06 +125 *2433:141 *4828:115 0.000266747 +126 *2433:141 *5874:64 0 +127 *2433:141 *5898:158 0.000629705 +128 *2433:147 *24044:A1 4.66492e-05 +129 *2433:147 *4866:70 0.000169093 +130 *2433:147 *4911:43 0.000165481 +131 *2433:147 *5859:289 0.00184862 +132 *2433:151 *24362:CLK 0.000229104 +133 *2433:151 *3177:37 1.45137e-05 +134 *2433:151 *3472:17 0.000464113 +135 *2433:151 *5859:289 9.91379e-05 +136 *2433:151 *5946:36 0.000154868 +137 *2433:151 *5949:12 1.54824e-05 +138 *2433:153 *6179:DIODE 5.07314e-05 +139 *2433:153 *2437:32 1.65872e-05 +140 mgmt_gpio_oeb[10] *2433:106 0.000121409 +141 mgmt_gpio_oeb[3] *2433:128 6.56617e-05 +142 mgmt_gpio_oeb[9] *2433:113 0 +143 mgmt_gpio_out[10] *2433:106 7.47905e-05 +144 mgmt_gpio_out[3] *2433:128 0.000164749 +145 *20206:B *22341:B1 2.20583e-05 +146 *20259:A2 *2433:147 0.000207266 +147 *20693:B2 *2433:85 0.000576949 +148 *20813:C *2433:151 0.000174777 +149 *21402:A2 *2433:59 0.000161243 +150 *21402:B2 *2433:59 0.000561041 +151 *22341:A1 *22341:B1 0.000121159 +152 *22373:A1 *22681:B1 4.15661e-05 +153 *24295:D *2433:58 2.37478e-05 +154 *24417:D *2433:19 3.29403e-05 +155 *25175:A *2433:113 0 +156 *477:44 *2433:19 2.86353e-06 +157 *478:54 *2433:20 0.00050218 +158 *490:105 *2433:106 0.000156955 +159 *500:13 *2433:58 5.83513e-05 +160 *510:41 *2433:31 0.000317658 +161 *510:41 *2433:58 0.000216615 +162 *520:28 *2433:118 0.00376231 +163 *535:38 *2433:118 0.000100364 +164 *539:34 *2433:114 1.65762e-05 +165 *539:36 *2433:114 0.00191257 +166 *539:36 *2433:118 0.000152705 +167 *539:40 *2433:118 0.00361477 +168 *549:23 *6176:DIODE 0.000211665 +169 *1444:6 *2433:20 1.5471e-05 +170 *1551:28 *6176:DIODE 2.02035e-05 +171 *1608:98 *2433:85 8.21609e-05 +172 *1741:44 *2433:106 0.000266309 +173 *1741:81 *2433:141 0.000118166 +174 *1742:86 *2433:58 4.34761e-05 +175 *1744:21 *21378:A1 0.000111722 +176 *1744:21 *2433:153 0.0020671 +177 *1902:7 *2433:147 3.99086e-06 +178 *1924:8 *2433:20 0.000827642 +179 *2019:49 *2433:11 0.0017037 +180 *2028:67 *2433:58 0.000143931 +181 *2076:36 *2433:10 0.000750494 +182 *2088:17 *2433:151 4.03362e-05 +183 *2088:24 *2433:151 6.3657e-05 +184 *2161:88 *2433:20 0.000234392 +185 *2161:99 *2433:20 0.000194773 +186 *2162:76 *2433:20 4.69667e-05 +187 *2246:14 *2433:31 0.000118828 +188 *2249:27 *22341:B1 0.000642113 +189 *2262:85 *2433:31 7.68538e-06 +190 *2291:32 *2433:128 0.00173515 +191 *2297:43 *2433:20 0.00160288 +192 *2305:49 *2433:59 0.000107496 +193 *2319:33 *2433:59 0.000200794 +194 *2319:33 *2433:85 0.000441895 +195 *2320:56 *2433:106 4.31485e-06 +196 *2360:45 *2433:31 0.001484 +197 *2384:74 *2433:118 9.15115e-06 +198 *2384:88 *2433:128 5.97576e-05 +199 *2391:89 *2433:58 6.00033e-05 +200 *2391:95 *2433:59 2.77625e-06 +201 *2398:80 *2433:141 6.06525e-06 +202 *2404:85 *2433:114 0.00157771 +203 *2420:34 *6176:DIODE 2.37478e-05 +204 *2421:78 *2433:58 1.43499e-05 +*RES +1 *21373:Y *2433:10 33.5604 +2 *2433:10 *2433:11 46.7555 +3 *2433:11 *2433:19 13.3489 +4 *2433:19 *2433:20 101.493 +5 *2433:20 *2433:22 3.36879 +6 *2433:22 *2433:31 37.1026 +7 *2433:31 *22341:B1 27.5993 +8 *2433:22 *2433:58 25.2485 +9 *2433:58 *2433:59 57.8476 +10 *2433:59 *22681:B1 22.3465 +11 *2433:58 *2433:85 47.765 +12 *2433:85 *2433:106 44.2662 +13 *2433:106 *2433:113 38.9547 +14 *2433:113 *2433:114 59.1368 +15 *2433:114 *2433:116 0.732798 +16 *2433:116 *2433:118 87.9968 +17 *2433:118 *2433:128 49.1435 +18 *2433:128 *2433:141 49.8496 +19 *2433:141 *2433:147 34.6689 +20 *2433:147 *2433:151 39.0031 +21 *2433:151 *2433:153 24.5714 +22 *2433:153 *21378:A1 10.5271 +23 *2433:153 *6176:DIODE 26.5587 +*END + +*D_NET *2434 0.0171118 +*CONN +*I *21378:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21374:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21378:B1 0.000432443 +2 *21374:Y 0.000101677 +3 *2434:8 0.00367085 +4 *2434:7 0.00334009 +5 *21378:B1 *24979:A 3.99086e-06 +6 *21378:B1 *2437:32 5.13937e-05 +7 *21378:B1 *2609:17 0.000414572 +8 *21378:B1 *4919:63 5.29898e-05 +9 *21378:B1 *5860:544 5.481e-05 +10 *2434:7 *2902:12 0.000171288 +11 *2434:8 *21859:A 0.00036531 +12 *2434:8 *23966:A1 0.000122421 +13 *2434:8 *2437:8 0.00367812 +14 *2434:8 *2609:10 0 +15 *2434:8 *2900:37 5.30254e-05 +16 *2434:8 *4866:10 2.41506e-05 +17 *2434:8 *4866:12 0.00107081 +18 *2434:8 *4947:18 7.4528e-05 +19 *2434:8 *4947:29 9.1287e-05 +20 *2434:8 *5860:544 0.000576543 +21 *2434:8 *5871:237 1.43055e-05 +22 *20235:A2 *2434:8 0.000331037 +23 *21205:B2 *2434:8 0.00011303 +24 *21377:A2 *2434:8 7.77309e-06 +25 *23992:S *2434:8 4.04447e-05 +26 *24750:D *2434:8 7.50872e-05 +27 *24751:D *2434:8 1.5714e-05 +28 *24753:D *2434:8 0.000305013 +29 *1428:54 *2434:8 0.000176445 +30 *1551:28 *21378:B1 6.93579e-05 +31 *1744:13 *21378:B1 6.08467e-05 +32 *1744:42 *2434:8 0.00132702 +33 *1893:81 *2434:8 1.22495e-05 +34 *2272:20 *2434:8 0.000213169 +*RES +1 *21374:Y *2434:7 15.5817 +2 *2434:7 *2434:8 114.365 +3 *2434:8 *21378:B1 33.9205 +*END + +*D_NET *2435 0.0148388 +*CONN +*I *21377:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21375:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21377:A1 0.00105125 +2 *21375:Y 0.000172494 +3 *2435:23 0.002445 +4 *2435:19 0.00183951 +5 *2435:15 0.00154329 +6 *2435:11 0.00127002 +7 *21377:A1 *20243:B1 0.00033143 +8 *21377:A1 *21377:B1 6.08467e-05 +9 *21377:A1 *4954:12 3.10804e-05 +10 *2435:11 *25299:A 3.34802e-05 +11 *2435:11 *25300:A 0 +12 *2435:15 *24861:RESET_B 5.19713e-05 +13 *2435:15 *24861:CLK 0.000108995 +14 *2435:15 *24865:CLK 0.000150972 +15 *2435:15 *5760:7 1.43983e-05 +16 *2435:15 *5860:302 0.00145586 +17 *2435:19 *24865:CLK 0.000351648 +18 *2435:19 *5765:8 0.000148932 +19 *2435:19 *5860:302 1.80122e-05 +20 *2435:23 *4843:555 0.00027206 +21 *19679:A *2435:23 0.000216467 +22 *21205:B2 *21377:A1 1.66626e-05 +23 *21377:B2 *21377:A1 4.18856e-06 +24 *24873:D *2435:23 0.000117376 +25 *324:10 *21377:A1 0.000148612 +26 *1896:22 *21377:A1 7.08723e-06 +27 *2270:91 *21377:A1 4.31603e-06 +28 *2272:20 *21377:A1 2.16355e-05 +29 *2420:15 *2435:19 0.00108035 +30 *2420:15 *2435:23 0.00187088 +*RES +1 *21375:Y *2435:11 22.0652 +2 *2435:11 *2435:15 40.9563 +3 *2435:15 *2435:19 18.7721 +4 *2435:19 *2435:23 45.9868 +5 *2435:23 *21377:A1 30.737 +*END + +*D_NET *2436 0.00222151 +*CONN +*I *21377:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21376:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21377:B1 0.000732548 +2 *21376:Y 0.000732548 +3 *21377:B1 *2902:12 0.000171288 +4 *21377:B1 *2980:6 0 +5 *21205:B1 *21377:B1 3.22726e-05 +6 *21205:B2 *21377:B1 0 +7 *21377:A1 *21377:B1 6.08467e-05 +8 *21377:B2 *21377:B1 3.04697e-05 +9 *2270:75 *21377:B1 6.63616e-05 +10 *2270:91 *21377:B1 0.000286721 +11 *2270:97 *21377:B1 7.65861e-05 +12 *2272:20 *21377:B1 7.92757e-06 +13 *2388:8 *21377:B1 2.3939e-05 +*RES +1 *21376:Y *21377:B1 42.7451 +*END + +*D_NET *2437 0.0266884 +*CONN +*I *21378:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21377:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21378:C1 0 +2 *21377:X 3.44866e-05 +3 *2437:32 0.000856929 +4 *2437:24 0.00221444 +5 *2437:23 0.00286233 +6 *2437:8 0.00306885 +7 *2437:7 0.00159853 +8 *2437:8 *24976:A 0.000477705 +9 *2437:23 *20231:A1 5.22654e-06 +10 *2437:23 *4828:129 0 +11 *2437:23 *4832:109 4.80975e-05 +12 *2437:23 *4845:382 8.03699e-06 +13 *2437:23 *4950:39 2.0388e-06 +14 *2437:23 *4952:23 6.59549e-05 +15 *2437:24 *20232:A1 8.96724e-05 +16 *2437:24 *24752:RESET_B 0 +17 *2437:24 *2720:12 0.00263951 +18 *2437:24 *5871:423 0 +19 *6131:DIODE *2437:23 0.00027103 +20 *20237:A2 *2437:8 0.000363009 +21 *21224:A2 *2437:23 9.80466e-05 +22 *21377:A2 *2437:7 6.50727e-05 +23 *21377:A2 *2437:8 0.000614113 +24 *21378:B1 *2437:32 5.13937e-05 +25 *23986:S *2437:8 0.000212506 +26 *24755:D *2437:23 0.000101472 +27 *497:35 *2437:24 0.000231853 +28 *523:10 *2437:8 0.000321643 +29 *524:35 *2437:23 0.000406829 +30 *537:33 *2437:23 0.000392582 +31 *1502:90 *2437:8 0.000278094 +32 *1551:28 *2437:32 5.49913e-05 +33 *1744:13 *2437:32 0.000154145 +34 *1744:21 *2437:32 0.0023175 +35 *1744:24 *2437:24 0.00163738 +36 *1744:31 *2437:24 0 +37 *1744:38 *2437:8 0.000161426 +38 *1893:62 *2437:23 0.000130555 +39 *1893:81 *2437:8 0.000160322 +40 *2270:75 *2437:7 0.000107496 +41 *2393:21 *2437:32 0.000890428 +42 *2433:153 *2437:32 1.65872e-05 +43 *2434:8 *2437:8 0.00367812 +*RES +1 *21377:X *2437:7 15.0271 +2 *2437:7 *2437:8 67.6495 +3 *2437:8 *2437:23 33.2722 +4 *2437:23 *2437:24 50.4165 +5 *2437:24 *2437:32 42.9551 +6 *2437:32 *21378:C1 9.24915 +*END + +*D_NET *2438 0.000351961 +*CONN +*I *21397:A I *D sky130_fd_sc_hd__and4_2 +*I *21378:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21397:A 6.98358e-05 +2 *21378:X 6.98358e-05 +3 *21397:A *19611:B1 1.43983e-05 +4 *21397:A *21397:C 6.50727e-05 +5 *21397:A *2528:41 3.59283e-05 +6 *21397:A *4866:8 9.68902e-05 +*RES +1 *21378:X *21397:A 30.0537 +*END + +*D_NET *2439 0.0235343 +*CONN +*I *21384:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21379:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21384:A1 0.00104723 +2 *21379:Y 0.00108027 +3 *2439:32 0.00113225 +4 *2439:31 0.000940669 +5 *2439:24 0.00205403 +6 *2439:21 0.00237071 +7 *2439:14 0.00205642 +8 *2439:10 0.00196435 +9 *21384:A1 *21397:B 1.43983e-05 +10 *2439:10 *24013:A1 0 +11 *2439:10 *24174:CLK 1.32509e-05 +12 *2439:10 *24265:RESET_B 0 +13 *2439:10 *2559:8 0 +14 *2439:10 *4875:46 0 +15 *2439:10 *5979:8 0 +16 *2439:14 *4877:120 0.000116986 +17 *2439:14 *4877:134 0.00068705 +18 *2439:21 *2446:35 9.04243e-05 +19 *2439:21 *3177:55 0 +20 *2439:21 *5985:10 0 +21 *2439:21 *6027:58 5.28676e-05 +22 *2439:24 *23967:S 0.000136721 +23 *2439:24 *3093:12 0.000922034 +24 *2439:24 *5946:11 0.000190013 +25 *2439:31 *20823:A3 0.000769869 +26 *2439:31 *22523:A 0.000143876 +27 *2439:31 *5947:20 0.000492433 +28 *2439:31 *6028:78 0.000142194 +29 *6172:DIODE *21384:A1 0.00038177 +30 *20967:B2 *2439:10 0 +31 *21000:A2 *2439:10 0 +32 *21001:A1 *2439:10 0.0002172 +33 *21001:A2 *2439:10 7.26606e-05 +34 *21006:A1 *2439:14 0.000144546 +35 *21008:A1 *2439:14 0.00022117 +36 *21327:A2 *21384:A1 4.97617e-05 +37 *21327:B1 *21384:A1 6.99717e-05 +38 *21327:C1 *21384:A1 3.83564e-05 +39 *22523:B *2439:31 2.88923e-05 +40 *24013:A0 *2439:10 4.26859e-05 +41 *24267:D *2439:10 0 +42 *24281:D *2439:21 2.65667e-05 +43 *24293:D *2439:10 0 +44 *399:11 *2439:10 0.000175485 +45 *439:66 *2439:21 0.000116892 +46 *439:106 *2439:10 8.50881e-05 +47 *439:106 *2439:14 0 +48 *439:108 *2439:10 0.000314595 +49 *439:112 *2439:10 6.07715e-05 +50 *505:22 *2439:24 0.000841709 +51 *507:25 *2439:14 0 +52 *507:25 *2439:21 0 +53 *528:30 *2439:24 0.000355331 +54 *1419:6 *2439:24 0.000115026 +55 *1419:8 *2439:24 0.000414683 +56 *1695:26 *2439:24 0.000115847 +57 *1780:134 *21384:A1 0 +58 *2164:14 *2439:14 0.000142132 +59 *2164:97 *2439:10 0 +60 *2164:97 *2439:14 0 +61 *2164:99 *2439:10 0 +62 *2164:135 *2439:14 0.000185099 +63 *2164:135 *2439:21 1.58659e-05 +64 *2384:103 *21384:A1 0.00167621 +65 *2384:103 *2439:31 2.71384e-05 +66 *2423:16 *21384:A1 0.000937157 +67 *2428:63 *2439:24 0.000118017 +68 *2428:87 *2439:24 0.000125613 +*RES +1 *21379:Y *2439:10 43.0006 +2 *2439:10 *2439:14 28.1639 +3 *2439:14 *2439:21 36.2785 +4 *2439:21 *2439:24 47.442 +5 *2439:24 *2439:31 30.1312 +6 *2439:31 *2439:32 104.301 +7 *2439:32 *21384:A1 48.7121 +*END + +*D_NET *2440 0.0466489 +*CONN +*I *22348:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22678:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21384:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21380:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22348:B1 0.000159088 +2 *22678:B1 0.000312253 +3 *21384:B1 0.00059664 +4 *21380:Y 0.00114372 +5 *2440:67 0.00114055 +6 *2440:55 0.00420717 +7 *2440:54 0.00540438 +8 *2440:42 0.00274837 +9 *2440:16 0.00289951 +10 *2440:10 0.00256465 +11 *21384:B1 *24560:CLK 0.000264586 +12 *21384:B1 *24564:CLK 0.000386138 +13 *21384:B1 *2528:35 0.000691088 +14 *21384:B1 *4843:516 3.79253e-05 +15 *22348:B1 *22342:A1 0.000148032 +16 *22348:B1 *22342:B1 0 +17 *22348:B1 *2481:28 7.2364e-05 +18 *22348:B1 *3306:15 4.23858e-05 +19 *22348:B1 *3498:211 9.95922e-06 +20 *22678:B1 *22678:B2 2.76431e-05 +21 *22678:B1 *3126:25 0.00025175 +22 *22678:B1 *3136:248 1.4091e-06 +23 *2440:10 *2528:16 2.55314e-05 +24 *2440:10 *4843:525 0.000161902 +25 *2440:10 *5871:334 0.000396225 +26 *2440:16 *21367:A 0.000346882 +27 *2440:16 *3160:22 0.000132675 +28 *2440:16 *4843:517 0.000487228 +29 *2440:16 *4843:525 0.000506499 +30 *2440:16 *4914:12 8.21102e-05 +31 *2440:16 *4914:16 0.000229955 +32 *2440:16 *4914:29 0.000631767 +33 *2440:42 *22530:B 2.20702e-05 +34 *2440:42 *3468:7 0.000315461 +35 *2440:42 *3479:7 6.50586e-05 +36 *2440:42 *4843:517 8.16827e-05 +37 *2440:42 *4845:359 5.04829e-06 +38 *2440:42 *4868:33 0.000865975 +39 *2440:42 *5859:277 0.000234144 +40 *2440:42 *5864:68 0.000157954 +41 *2440:54 *20852:A1 5.60804e-05 +42 *2440:54 *3547:26 0.000228598 +43 *2440:54 *4830:71 0.000101295 +44 *2440:55 *22157:D 2.57465e-06 +45 *2440:55 *22173:C 8.2683e-05 +46 *2440:55 *22174:B 0.000511933 +47 *2440:55 *22216:A 0.000127179 +48 *2440:55 *22228:A 0.000339395 +49 *2440:55 *22229:A 0.000779782 +50 *2440:55 *22312:A1 9.50308e-05 +51 *2440:55 *22315:A 1.5254e-05 +52 *2440:55 *22315:C 0.000131305 +53 *2440:55 *2481:13 0.00010322 +54 *2440:55 *2636:28 0.000178825 +55 *2440:55 *3120:41 7.39022e-06 +56 *2440:55 *3137:6 0.00025947 +57 *2440:55 *3192:36 8.50666e-05 +58 *2440:55 *3192:57 0.00110811 +59 *2440:55 *4805:52 4.95198e-05 +60 *2440:55 *4805:56 0.000136794 +61 *2440:55 *4818:56 0.00028577 +62 *2440:55 *4818:73 5.84074e-05 +63 *2440:55 *5949:74 0 +64 *2440:55 *5949:87 5.74064e-05 +65 *2440:55 *5950:81 0.000154593 +66 *2440:55 *5950:100 0.000354446 +67 *2440:67 *22342:A1 0.000174776 +68 *2440:67 *22650:B1 3.63593e-05 +69 *2440:67 *22689:B 7.92757e-06 +70 *2440:67 *2478:15 0.000878227 +71 *2440:67 *2478:18 0.000343147 +72 *2440:67 *2481:28 5.88009e-05 +73 *2440:67 *2570:99 0.000390192 +74 *2440:67 *2990:62 0.000387391 +75 *2440:67 *3117:245 1.86084e-05 +76 *2440:67 *3142:233 6.42991e-06 +77 *2440:67 *3491:146 0.000362999 +78 *20232:A2 *2440:16 0.000131716 +79 *20613:B *2440:55 0.000908751 +80 *20817:A3 *2440:54 0.00100868 +81 *20853:A3 *2440:55 1.91391e-05 +82 *21327:A2 *21384:B1 0.000158357 +83 *21372:C1 *22348:B1 3.73375e-05 +84 *21384:B2 *21384:B1 1.43848e-05 +85 *22173:B *2440:55 6.85778e-05 +86 *22711:B1 *2440:67 0.000782127 +87 *24564:D *2440:42 9.60216e-05 +88 *24754:D *2440:16 9.96342e-05 +89 *497:12 *2440:16 0 +90 *497:14 *2440:16 0 +91 *497:35 *2440:16 0 +92 *497:50 *2440:10 0.000522044 +93 *501:14 *2440:16 1.59078e-05 +94 *512:56 *2440:54 0 +95 *524:35 *2440:10 0 +96 *533:8 *21384:B1 0.000171552 +97 *547:38 *2440:10 6.383e-05 +98 *547:38 *2440:16 0 +99 *547:49 *2440:10 0 +100 *1439:145 *2440:54 1.11073e-05 +101 *1577:183 *2440:55 0 +102 *1652:28 *2440:55 0 +103 *1742:67 *2440:54 0.000268209 +104 *1780:134 *21384:B1 2.77625e-06 +105 *1780:145 *21384:B1 2.16355e-05 +106 *1884:24 *2440:55 0.00177252 +107 *1884:45 *2440:55 3.68878e-05 +108 *1884:260 *2440:55 0 +109 *1884:262 *2440:54 0.000322198 +110 *1884:262 *2440:55 0 +111 *1982:43 *2440:42 0.000329031 +112 *1983:24 *2440:16 0.00023236 +113 *1983:24 *2440:42 3.01325e-05 +114 *2085:50 *2440:54 0.000263366 +115 *2088:84 *2440:54 3.67638e-05 +116 *2088:88 *2440:55 8.70609e-05 +117 *2108:29 *2440:54 0.000157659 +118 *2110:17 *2440:55 0.000549876 +119 *2110:28 *2440:55 9.40059e-05 +120 *2111:20 *2440:55 0 +121 *2278:39 *2440:55 0.000411704 +122 *2290:30 *2440:10 6.50586e-05 +123 *2379:17 *2440:54 0.000575688 +124 *2379:26 *2440:55 0.00118137 +125 *2410:8 *21384:B1 0.00016797 +126 *2423:16 *21384:B1 0.000100738 +127 *2426:89 *2440:54 0 +*RES +1 *21380:Y *2440:10 43.5768 +2 *2440:10 *2440:16 43.6381 +3 *2440:16 *21384:B1 45.5997 +4 *2440:16 *2440:42 38.2053 +5 *2440:42 *2440:54 32.4762 +6 *2440:54 *2440:55 120.802 +7 *2440:55 *2440:67 44.3111 +8 *2440:67 *22678:B1 19.1987 +9 *2440:67 *22348:B1 18.5712 +*END + +*D_NET *2441 0.115664 +*CONN +*I *21383:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6177:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22346:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21381:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *21383:A1 0 +2 *6177:DIODE 0.000106063 +3 *22346:A1 0.000302086 +4 *21381:Y 0 +5 *2441:72 0.00857677 +6 *2441:66 0.0260712 +7 *2441:63 0.0210103 +8 *2441:47 0.00414718 +9 *2441:43 0.00190049 +10 *2441:21 0.00242722 +11 *2441:8 0.00269215 +12 *2441:7 0.00173014 +13 *2441:5 0.0030501 +14 *2441:4 0.0030501 +15 *6177:DIODE *21383:B1 0.000158357 +16 *6177:DIODE *2443:10 0.000304777 +17 *22346:A1 *22347:B1 6.1478e-06 +18 *22346:A1 *22347:B2 1.19856e-05 +19 *22346:A1 *22347:C1 3.65423e-05 +20 *22346:A1 *4831:8 0.000344935 +21 *2441:5 *24405:RESET_B 7.11343e-06 +22 *2441:5 *24405:CLK 1.43832e-05 +23 *2441:5 *2996:24 0.00118323 +24 *2441:8 *20431:B1 7.84134e-05 +25 *2441:8 *24405:RESET_B 5.18062e-06 +26 *2441:8 *2566:18 0 +27 *2441:8 *2886:8 0.00354104 +28 *2441:8 *5294:11 3.42931e-05 +29 *2441:8 *5857:22 0.000200402 +30 *2441:8 *5866:340 1.82824e-05 +31 *2441:8 *5890:14 0.000422098 +32 *2441:21 *2635:8 0.000293466 +33 *2441:21 *2869:23 0.0009712 +34 *2441:21 *2886:8 0.00070502 +35 *2441:21 *4892:11 0.000615598 +36 *2441:21 *4892:40 0.000248315 +37 *2441:21 *4892:55 4.19198e-05 +38 *2441:21 *5853:119 0.00117549 +39 *2441:43 *20667:B1 0.000371743 +40 *2441:43 *24215:CLK 0.000222806 +41 *2441:43 *24618:RESET_B 0.000193695 +42 *2441:43 *24618:CLK 0.000138362 +43 *2441:43 *2464:10 3.99086e-06 +44 *2441:43 *2869:23 0.000112514 +45 *2441:43 *4844:137 0.000107496 +46 *2441:43 *4872:8 0.000122518 +47 *2441:43 *4891:10 0.00020619 +48 *2441:43 *5853:137 0.000108689 +49 *2441:47 *20667:B1 0.000370072 +50 *2441:47 *24215:CLK 5.49767e-05 +51 *2441:47 *2464:12 0 +52 *2441:47 *2809:21 3.43825e-05 +53 *2441:63 *24215:CLK 0.000184337 +54 *2441:63 *2486:39 0.00144176 +55 *2441:63 *2541:11 0.000111266 +56 *2441:63 *2809:21 0.000389514 +57 *2441:66 *2467:87 0.00150044 +58 *2441:66 *2809:24 0 +59 *2441:66 *2949:60 0.00022163 +60 *2441:66 *4832:60 0.00974766 +61 *2441:66 *4832:77 0.000619542 +62 *2441:66 *4832:84 0.000134726 +63 *2441:66 *4866:70 0.000311203 +64 *2441:66 *4873:26 0.000427544 +65 *2441:66 *4938:8 0.000120858 +66 *2441:66 *5526:21 0.000109657 +67 *2441:66 *5853:277 0 +68 *2441:66 *5855:244 0.00200992 +69 *2441:72 *2443:17 3.46595e-05 +70 *2441:72 *2466:29 1.91391e-05 +71 *2441:72 *2576:40 0 +72 *2441:72 *2858:23 1.05746e-05 +73 *2441:72 *4821:17 0 +74 *2441:72 *4821:55 0 +75 *2441:72 *5870:436 5.51934e-05 +76 *2441:72 *5908:9 0 +77 *19618:B2 *2441:72 2.54507e-05 +78 *19693:B *6177:DIODE 6.62054e-05 +79 *19993:A *2441:72 3.39515e-05 +80 *20254:B2 *2441:66 0.000115876 +81 *20431:A2 *2441:8 4.90621e-05 +82 *20630:B2 *2441:21 0.000279011 +83 *20634:A2 *2441:8 0.00016747 +84 *20821:A *2441:72 5.35572e-05 +85 *21194:B1 *2441:66 0.00175346 +86 *21383:B2 *6177:DIODE 1.96041e-05 +87 *24405:D *2441:8 9.29919e-05 +88 *24481:D *2441:8 2.02035e-05 +89 *24483:D *2441:8 0.000153225 +90 *477:15 *2441:63 0.00142725 +91 *477:22 *2441:63 0.000110846 +92 *491:8 *22346:A1 3.26668e-05 +93 *491:8 *2441:47 0.000181197 +94 *508:28 *2441:66 0 +95 *529:41 *2441:66 0 +96 *537:29 *2441:66 0.000286349 +97 *549:23 *2441:72 0.000605073 +98 *1419:18 *2441:72 0 +99 *1419:37 *2441:72 0 +100 *1419:41 *2441:72 0 +101 *1424:156 *2441:72 1.56431e-05 +102 *1443:88 *2441:63 0.000157668 +103 *1450:48 *2441:72 0 +104 *1461:94 *6177:DIODE 6.1478e-06 +105 *1658:126 *2441:63 1.98294e-05 +106 *1658:132 *2441:63 0 +107 *1780:15 *2441:72 0.00013238 +108 *1780:134 *2441:72 0.000163968 +109 *1789:47 *2441:21 7.67734e-06 +110 *1789:47 *2441:43 4.24488e-05 +111 *2018:63 *2441:8 0.00014514 +112 *2018:63 *2441:21 0.000405873 +113 *2135:70 *2441:8 4.69495e-06 +114 *2142:28 *2441:66 0.000108729 +115 *2208:103 *2441:43 0.000111708 +116 *2258:22 *22346:A1 0.00023753 +117 *2287:39 *2441:63 0.000299346 +118 *2300:33 *2441:66 0.000211852 +119 *2333:38 *2441:66 0.000109396 +120 *2380:60 *2441:63 0 +121 *2384:96 *2441:66 0.000112459 +122 *2389:16 *2441:72 0.00221019 +123 *2421:125 *2441:66 0 +124 *2423:33 *2441:72 0.000708936 +*RES +1 *21381:Y *2441:4 9.24915 +2 *2441:4 *2441:5 46.7555 +3 *2441:5 *2441:7 4.5 +4 *2441:7 *2441:8 63.9122 +5 *2441:8 *2441:21 48.2529 +6 *2441:21 *2441:43 47.0331 +7 *2441:43 *2441:47 12.8235 +8 *2441:47 *22346:A1 25.9973 +9 *2441:47 *2441:63 10.026 +10 *2441:63 *2441:66 47.1774 +11 *2441:66 *2441:72 28.5289 +12 *2441:72 *6177:DIODE 13.8789 +13 *2441:72 *21383:A1 9.24915 +*END + +*D_NET *2442 0.021503 +*CONN +*I *21383:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21382:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21383:B1 0.000343352 +2 *21382:Y 9.6754e-05 +3 *2442:22 0.00239489 +4 *2442:17 0.00328421 +5 *2442:8 0.00312285 +6 *2442:7 0.00198694 +7 *2442:7 *5856:334 5.63546e-05 +8 *2442:8 *19627:B1 0 +9 *2442:8 *20221:A1 0.000224538 +10 *2442:8 *24890:CLK 4.99932e-05 +11 *2442:8 *3014:48 0.000178729 +12 *2442:8 *5858:319 0.000131155 +13 *2442:17 *21980:B1 0.000146294 +14 *2442:17 *24987:A 0.000107496 +15 *2442:17 *2993:15 0.00150762 +16 *2442:17 *4926:7 0.000206696 +17 *6177:DIODE *21383:B1 0.000158357 +18 *19636:A *2442:8 0.000132386 +19 *19653:A *2442:22 0.000751915 +20 *19728:B *21383:B1 5.17665e-05 +21 *19728:D *21383:B1 0.000154145 +22 *19805:C *21383:B1 5.08751e-05 +23 *19943:A *2442:22 0.000111352 +24 *19943:B *2442:17 2.16355e-05 +25 *19943:B *2442:22 7.67734e-06 +26 *20221:B2 *2442:8 3.18338e-05 +27 *21383:B2 *21383:B1 0.000440512 +28 *24891:D *2442:8 3.49097e-05 +29 *468:98 *21383:B1 0.000209283 +30 *1427:13 *2442:22 0.000760912 +31 *1439:290 *2442:8 0.000575109 +32 *1439:297 *2442:8 0.000148887 +33 *1439:318 *2442:8 0.000564538 +34 *1471:75 *2442:22 0.000154145 +35 *1485:18 *2442:22 0.000590656 +36 *1496:9 *2442:22 0.00015887 +37 *1497:17 *21383:B1 6.14524e-05 +38 *1544:43 *21383:B1 0.000604943 +39 *1700:10 *2442:17 1.04731e-05 +40 *1755:15 *2442:17 1.1957e-05 +41 *1764:116 *2442:8 0.000262959 +42 *1802:175 *2442:22 9.92046e-06 +43 *1802:177 *2442:22 0.00057773 +44 *1889:27 *2442:8 0.000460239 +45 *1889:29 *2442:8 0.000326465 +46 *1890:7 *2442:7 0.000160617 +47 *1890:24 *2442:8 6.85834e-05 +*RES +1 *21382:Y *2442:7 16.691 +2 *2442:7 *2442:8 55.8148 +3 *2442:8 *2442:17 37.0528 +4 *2442:17 *2442:22 46.6885 +5 *2442:22 *21383:B1 27.2284 +*END + +*D_NET *2443 0.0105642 +*CONN +*I *21384:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21383:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21384:C1 0.000496017 +2 *21383:X 0.000363641 +3 *2443:17 0.00225031 +4 *2443:10 0.00211793 +5 *21384:C1 *19618:A1 0.000160948 +6 *21384:C1 *24895:CLK 0.000164843 +7 *21384:C1 *4919:63 0.000628088 +8 *2443:10 *2466:16 1.2693e-05 +9 *2443:10 *2466:29 0.000148114 +10 *2443:10 *2587:18 7.23866e-05 +11 *2443:17 *20909:B1 0.00109105 +12 *2443:17 *4918:7 0.000356482 +13 *2443:17 *5854:466 0.00050243 +14 *2443:17 *5860:491 3.8122e-05 +15 *2443:17 *5860:530 0.00055473 +16 *6177:DIODE *2443:10 0.000304777 +17 *19618:B2 *2443:17 0.000143431 +18 *19693:B *2443:10 1.00846e-05 +19 *20909:B2 *2443:17 2.15348e-05 +20 *21327:A2 *21384:C1 0.000160462 +21 *21383:A2 *2443:10 3.99086e-06 +22 *21384:B2 *21384:C1 0.000172001 +23 *24333:D *2443:17 0.000113968 +24 *549:24 *2443:17 1.5714e-05 +25 *1419:37 *21384:C1 0.000201214 +26 *1453:15 *21384:C1 8.73244e-06 +27 *1510:30 *2443:17 3.07137e-05 +28 *1669:113 *21384:C1 7.00437e-05 +29 *1689:13 *2443:17 6.36477e-05 +30 *1780:134 *2443:17 0.000148407 +31 *2146:23 *2443:17 8.39059e-05 +32 *2299:8 *2443:17 1.9101e-05 +33 *2441:72 *2443:17 3.46595e-05 +*RES +1 *21383:X *2443:10 25.9325 +2 *2443:10 *2443:17 49.4111 +3 *2443:17 *21384:C1 34.8524 +*END + +*D_NET *2444 0.00126773 +*CONN +*I *21397:B I *D sky130_fd_sc_hd__and4_2 +*I *21384:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21397:B 0.000336448 +2 *21384:X 0.000336448 +3 *21397:B *19611:B1 1.58551e-05 +4 *21397:B *21397:C 6.08467e-05 +5 *21397:B *21397:D 0.000154145 +6 *21397:B *4830:84 0.000265329 +7 *21397:B *4844:356 0 +8 *21384:A1 *21397:B 1.43983e-05 +9 *2393:22 *21397:B 8.42652e-05 +*RES +1 *21384:X *21397:B 36.3175 +*END + +*D_NET *2445 0.0220062 +*CONN +*I *21390:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21385:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21390:A1 0.000503134 +2 *21385:Y 0.00132585 +3 *2445:23 0.00349559 +4 *2445:14 0.00398853 +5 *2445:10 0.00212619 +6 *2445:7 0.00245596 +7 *21390:A1 *6178:DIODE 2.41607e-05 +8 *21390:A1 *21389:B1 1.77537e-06 +9 *21390:A1 *2447:26 1.56051e-05 +10 *21390:A1 *2448:184 8.12023e-05 +11 *21390:A1 *2963:102 0.000323989 +12 *2445:7 *19713:A1 0.000683707 +13 *2445:7 *19713:B1 7.92757e-06 +14 *2445:7 *4844:416 1.65872e-05 +15 *2445:7 *5851:236 0.00112453 +16 *2445:10 *19711:A1 9.60366e-05 +17 *2445:10 *21566:A 0.000264613 +18 *2445:10 *24758:RESET_B 0.00017419 +19 *2445:10 *25298:A 2.95757e-05 +20 *2445:10 *2623:8 0.000500004 +21 *2445:10 *5097:8 3.98412e-05 +22 *2445:10 *5671:10 0 +23 *2445:10 *5779:8 0.000160384 +24 *2445:14 *2623:8 0.000127054 +25 *2445:14 *4832:116 0.000200861 +26 *2445:23 *20580:A1 7.97098e-06 +27 *2445:23 *21386:A 0.000107496 +28 *2445:23 *21389:B1 6.50586e-05 +29 *2445:23 *21390:B1 0.000108145 +30 *2445:23 *21933:B1 0.000264586 +31 *2445:23 *2753:67 4.34402e-05 +32 *2445:23 *2943:7 5.18123e-05 +33 *19711:B2 *2445:7 1.94204e-05 +34 *19713:A2 *2445:7 2.05783e-05 +35 *20576:A *2445:23 0.000256993 +36 *21389:B2 *21390:A1 3.99086e-06 +37 *21390:B2 *21390:A1 8.62352e-05 +38 *24506:D *2445:14 0.000504544 +39 *24520:D *2445:23 6.92705e-05 +40 *24855:D *2445:10 0 +41 *1520:21 *2445:23 0.00134918 +42 *1697:10 *2445:10 0 +43 *1697:10 *2445:14 5.43911e-05 +44 *1697:14 *2445:14 0.00017546 +45 *1735:36 *21390:A1 6.11074e-05 +46 *1748:19 *2445:23 2.66997e-05 +47 *1785:12 *21390:A1 5.74949e-05 +48 *2426:53 *2445:14 0.000905024 +*RES +1 *21385:Y *2445:7 36.6567 +2 *2445:7 *2445:10 32.9393 +3 *2445:10 *2445:14 35.7538 +4 *2445:14 *2445:23 49.3597 +5 *2445:23 *21390:A1 32.6787 +*END + +*D_NET *2446 0.10772 +*CONN +*I *21390:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22680:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22358:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21386:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21390:B1 0.0007125 +2 *22680:B1 0.000424947 +3 *22358:A1 4.65435e-05 +4 *21386:Y 0 +5 *2446:145 0.00524841 +6 *2446:126 0.00577258 +7 *2446:113 0.00143234 +8 *2446:101 0.00156787 +9 *2446:89 0.00253222 +10 *2446:68 0.00220706 +11 *2446:62 0.00158805 +12 *2446:54 0.0014104 +13 *2446:53 0.00281123 +14 *2446:35 0.00652026 +15 *2446:33 0.00533204 +16 *2446:27 0.00210232 +17 *2446:15 0.0025816 +18 *2446:4 0.00218641 +19 *21390:B1 *21386:A 0 +20 *21390:B1 *21389:B1 1.63343e-05 +21 *21390:B1 *2753:67 0.000459365 +22 *21390:B1 *5481:23 0.000326398 +23 *21390:B1 *5830:41 1.24044e-05 +24 *22358:A1 *3316:14 5.04829e-06 +25 *22680:B1 *22358:A2 6.36477e-05 +26 *22680:B1 *22358:C1 7.92757e-06 +27 *22680:B1 *22680:B2 3.15947e-05 +28 *22680:B1 *22716:A2 1.91971e-05 +29 *22680:B1 *3122:145 6.40063e-05 +30 *22680:B1 *3327:33 0.000145821 +31 *22680:B1 *3511:205 7.98171e-06 +32 *2446:15 *19882:A 6.73186e-05 +33 *2446:15 *20578:B1 0.000426372 +34 *2446:15 *24522:CLK 0.000315158 +35 *2446:15 *2951:28 0 +36 *2446:15 *4845:466 0.000207919 +37 *2446:27 *20236:B1 6.51109e-05 +38 *2446:27 *24241:RESET_B 0.000211492 +39 *2446:27 *24673:SET_B 0.000253489 +40 *2446:27 *2641:13 0.000184627 +41 *2446:27 *5191:7 3.75221e-05 +42 *2446:33 *21348:A 3.31745e-05 +43 *2446:33 *2756:18 5.77826e-05 +44 *2446:33 *2859:15 3.55126e-05 +45 *2446:33 *2981:13 0.00217025 +46 *2446:33 *2981:16 2.77564e-05 +47 *2446:35 *2528:25 0.000136298 +48 *2446:35 *2859:15 2.51104e-05 +49 *2446:35 *2899:31 0.000379709 +50 *2446:53 *20869:A 1.92172e-05 +51 *2446:53 *20869:B 6.50586e-05 +52 *2446:53 *24035:A0 2.18041e-06 +53 *2446:53 *24035:S 0.000164843 +54 *2446:54 *5725:14 0.0043353 +55 *2446:62 *2448:147 0.00165452 +56 *2446:62 *2978:82 0.000326074 +57 *2446:68 *23863:A 0.000148779 +58 *2446:68 *2729:111 0.000910588 +59 *2446:68 *5874:46 5.74709e-05 +60 *2446:68 *5874:50 0.00122231 +61 *2446:89 *2448:147 0.00105641 +62 *2446:89 *5475:83 0.000423405 +63 *2446:89 *5475:90 0.00105143 +64 *2446:89 *5874:46 0.000472957 +65 *2446:89 *5908:55 8.11934e-06 +66 *2446:101 *2629:37 0.000194031 +67 *2446:101 *2978:48 0.0015436 +68 *2446:101 *4821:143 3.51785e-06 +69 *2446:101 *5886:47 8.81948e-07 +70 *2446:101 *5913:17 0.000314783 +71 *2446:113 *4821:143 0.000170771 +72 *2446:113 *5886:48 0.00126928 +73 *2446:126 *2584:62 6.09945e-05 +74 *2446:126 *2729:62 0.00108194 +75 *2446:126 *2900:90 6.45209e-05 +76 *2446:126 *2978:48 4.31485e-06 +77 *2446:126 *2989:67 0.00130573 +78 *2446:126 *5403:10 0.000203595 +79 *2446:126 *5529:29 5.04829e-06 +80 *2446:145 *21412:C1 0.0001686 +81 *2446:145 *22018:A 7.54017e-06 +82 *2446:145 *24467:CLK 0.000350578 +83 *2446:145 *2610:48 0 +84 *2446:145 *2679:41 6.78442e-05 +85 *2446:145 *2692:53 0.00315619 +86 *2446:145 *2882:74 0.000214044 +87 *2446:145 *2900:111 0.00328714 +88 *2446:145 *2949:71 0.00176744 +89 *2446:145 *3076:23 2.7162e-05 +90 *2446:145 *3316:14 1.65872e-05 +91 *2446:145 *4813:110 2.08003e-05 +92 *2446:145 *4843:345 0.000768927 +93 *2446:145 *4845:262 1.58126e-05 +94 *2446:145 *4873:32 0.00139647 +95 *2446:145 *5430:8 4.23622e-05 +96 *2446:145 *5862:329 2.37478e-05 +97 *2446:145 *5862:335 0.000361531 +98 *2446:145 *5862:347 0.000317437 +99 mgmt_gpio_out[6] *2446:89 3.17436e-05 +100 *19947:A *21390:B1 1.91391e-05 +101 *20228:A *2446:33 0.000360145 +102 *20228:A *2446:35 3.8122e-05 +103 *20575:B *21390:B1 0.000360145 +104 *20576:A *21390:B1 0.000464113 +105 *20764:B *2446:53 0.000307037 +106 *20870:C *2446:53 1.71589e-05 +107 *20980:A2 *2446:35 0.000451209 +108 *20980:B1 *2446:35 0.00016622 +109 *21389:B2 *21390:B1 8.9471e-06 +110 *22356:A2 *2446:145 4.16709e-05 +111 *22676:B2 *2446:145 0.00108392 +112 *24095:S *2446:33 0.000854491 +113 *24281:D *2446:35 6.50586e-05 +114 *24673:D *2446:15 0.000103139 +115 *25171:A *2446:89 0.000100851 +116 *439:7 *2446:35 7.81161e-05 +117 *439:7 *2446:53 0 +118 *497:35 *2446:35 0.000257072 +119 *520:27 *2446:89 0.000359432 +120 *524:103 *2446:33 0.000102003 +121 *527:52 *2446:54 1.72799e-05 +122 *529:41 *2446:89 0 +123 *535:41 *2446:62 0.000211673 +124 *537:33 *2446:53 0 +125 *539:34 *2446:113 6.1827e-05 +126 *1418:79 *2446:145 2.14262e-05 +127 *1471:78 *2446:15 8.03262e-05 +128 *1520:35 *2446:27 0.0018387 +129 *1551:136 *2446:145 8.33903e-05 +130 *1587:23 *2446:15 0 +131 *1627:101 *2446:145 2.01503e-05 +132 *1640:7 *2446:15 0.000317707 +133 *1652:65 *2446:145 0.000178044 +134 *1669:24 *2446:27 0.00105847 +135 *1671:38 *2446:33 0.000172415 +136 *1688:76 *2446:113 0.00126152 +137 *1688:91 *2446:101 3.89061e-05 +138 *1735:36 *21390:B1 7.74361e-05 +139 *1741:70 *2446:54 0.000237959 +140 *1741:81 *2446:62 0 +141 *1785:12 *21390:B1 0.000145396 +142 *1884:307 *2446:27 0.000404547 +143 *2066:8 *2446:53 0.00020502 +144 *2068:13 *2446:53 0.000979235 +145 *2093:149 *2446:145 0.000667159 +146 *2291:32 *2446:62 1.3813e-05 +147 *2291:32 *2446:68 0.0024031 +148 *2291:32 *2446:89 3.49445e-05 +149 *2294:64 *2446:145 5.38612e-06 +150 *2295:14 *2446:54 0.00461164 +151 *2295:39 *2446:89 6.04405e-05 +152 *2355:42 *2446:145 3.17436e-05 +153 *2357:87 *22680:B1 0.000156955 +154 *2384:74 *2446:101 0.00154832 +155 *2391:34 *2446:89 0.000274115 +156 *2391:34 *2446:101 0.000608861 +157 *2391:49 *2446:68 0.000224592 +158 *2398:39 *2446:15 0.000140939 +159 *2398:39 *2446:27 2.20688e-05 +160 *2398:47 *2446:27 0.000171159 +161 *2404:60 *2446:145 5.40372e-05 +162 *2404:73 *2446:113 5.04829e-06 +163 *2404:85 *2446:101 0.000111938 +164 *2404:101 *2446:89 0.000163315 +165 *2410:7 *2446:33 0.000451196 +166 *2416:36 *22680:B1 9.12416e-06 +167 *2416:53 *22680:B1 4.23622e-05 +168 *2421:103 *2446:113 0.000296903 +169 *2423:69 *2446:89 0.000134785 +170 *2423:69 *2446:101 0.000100364 +171 *2423:93 *2446:145 0.000769702 +172 *2433:128 *2446:62 0.00130308 +173 *2439:21 *2446:35 9.04243e-05 +174 *2445:23 *21390:B1 0.000108145 +*RES +1 *21386:Y *2446:4 9.24915 +2 *2446:4 *2446:15 44.1985 +3 *2446:15 *2446:27 47.7591 +4 *2446:27 *2446:33 40.3529 +5 *2446:33 *2446:35 65.0574 +6 *2446:35 *2446:53 45.7336 +7 *2446:53 *2446:54 74.0859 +8 *2446:54 *2446:62 49.5627 +9 *2446:62 *2446:68 48.9692 +10 *2446:68 *2446:89 48.4272 +11 *2446:89 *2446:101 36.6945 +12 *2446:101 *2446:113 36.4723 +13 *2446:113 *2446:126 47.4471 +14 *2446:126 *2446:145 44.3015 +15 *2446:145 *22358:A1 10.5271 +16 *2446:145 *22680:B1 29.3566 +17 *2446:4 *21390:B1 49.2686 +*END + +*D_NET *2447 0.0288718 +*CONN +*I *21389:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21387:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21389:A1 5.86893e-05 +2 *21387:Y 0.00105943 +3 *2447:26 0.00162662 +4 *2447:9 0.00851847 +5 *2447:7 0.00800997 +6 *21389:A1 *21389:B1 2.17589e-05 +7 *2447:7 *22845:A1 4.56334e-05 +8 *2447:7 *22845:B2 6.50586e-05 +9 *2447:7 *5450:18 9.40969e-05 +10 *2447:7 *5450:26 0.000103139 +11 *2447:7 *5852:53 0.000364356 +12 *2447:7 *5852:61 0.00236814 +13 *2447:9 *20600:A1 2.99978e-05 +14 *2447:9 *21521:A 1.58551e-05 +15 *2447:9 *24885:RESET_B 0.000137425 +16 *2447:9 *24885:CLK 0.000525335 +17 *2447:9 *24891:CLK 0.00100316 +18 *2447:9 *2964:14 3.7797e-05 +19 *2447:9 *4883:62 7.36794e-05 +20 *2447:9 *4926:28 0.000360735 +21 *2447:9 *5085:7 0.000200794 +22 *2447:9 *5811:7 2.73711e-06 +23 *2447:9 *5811:12 3.30518e-05 +24 *2447:9 *5852:53 0.000100688 +25 *2447:9 *5917:350 3.82228e-05 +26 *2447:26 *21389:B1 2.69685e-05 +27 *2447:26 *24522:CLK 0.00011989 +28 *2447:26 *2966:23 0.00135976 +29 *2447:26 *3003:10 4.82269e-05 +30 *19924:A *2447:9 2.61955e-05 +31 *20583:B2 *2447:26 4.49912e-05 +32 *20603:A2 *2447:9 4.58003e-05 +33 *21389:B2 *21389:A1 2.61804e-05 +34 *21390:A1 *2447:26 1.56051e-05 +35 *24029:A0 *2447:7 2.15034e-05 +36 *24503:D *2447:9 1.75155e-06 +37 *24825:RESET_B *2447:7 0.000111722 +38 *1587:23 *2447:26 3.26457e-05 +39 *1735:16 *2447:9 0.000752727 +40 *1735:36 *2447:9 0.000131494 +41 *1735:36 *2447:26 0.00114648 +42 *1791:14 *2447:26 6.50727e-05 +*RES +1 *21387:Y *2447:7 44.9367 +2 *2447:7 *2447:9 104.434 +3 *2447:9 *2447:26 48.2359 +4 *2447:26 *21389:A1 15.3286 +*END + +*D_NET *2448 0.145646 +*CONN +*I *21389:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *6178:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22344:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22685:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21388:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *21389:B1 0.000189914 +2 *6178:DIODE 0.000189674 +3 *22344:B1 1.6785e-05 +4 *22685:B1 0.000723204 +5 *21388:Y 0.000862338 +6 *2448:184 0.00272157 +7 *2448:178 0.0060991 +8 *2448:176 0.00472003 +9 *2448:171 0.00268028 +10 *2448:161 0.00370832 +11 *2448:147 0.00568136 +12 *2448:146 0.00759581 +13 *2448:97 0.00104439 +14 *2448:92 0.00101863 +15 *2448:82 0.00574356 +16 *2448:81 0.00130579 +17 *2448:74 0.00170975 +18 *2448:69 0.0028679 +19 *2448:49 0.00360232 +20 *2448:41 0.00335672 +21 *2448:29 0.00391933 +22 *2448:24 0.00350762 +23 *2448:20 0.00150221 +24 *2448:10 0.00168186 +25 *6178:DIODE *21390:C1 0.000378569 +26 *6178:DIODE *2963:102 7.46498e-05 +27 *22344:B1 *22344:B2 9.95922e-06 +28 *22685:B1 *22344:B2 1.27749e-05 +29 *22685:B1 *22345:C1 0.000110306 +30 *22685:B1 *22684:A2 0.000122784 +31 *22685:B1 *22685:B2 0.000307108 +32 *22685:B1 *22686:B1 7.92757e-06 +33 *22685:B1 *22687:C1 0.000302686 +34 *22685:B1 *2870:40 6.85329e-05 +35 *22685:B1 *3177:298 3.34479e-05 +36 *22685:B1 *3179:224 1.21461e-06 +37 *22685:B1 *3543:97 8.54931e-06 +38 *2448:10 *20551:A1 3.31733e-05 +39 *2448:10 *20554:A1 2.05628e-05 +40 *2448:10 *21220:A 0.000154145 +41 *2448:10 *24540:RESET_B 0.000154145 +42 *2448:10 *24593:SET_B 4.66492e-05 +43 *2448:10 *2853:8 0.000642504 +44 *2448:10 *4843:38 6.13324e-05 +45 *2448:10 *4886:89 0 +46 *2448:20 *2523:14 0.000247443 +47 *2448:20 *2853:8 0.000209594 +48 *2448:20 *3033:24 1.62321e-05 +49 *2448:20 *4840:63 0.000221185 +50 *2448:20 *4840:90 8.62625e-06 +51 *2448:20 *4843:38 0.000118546 +52 *2448:20 *4843:86 6.09999e-05 +53 *2448:20 *4869:113 0.000251655 +54 *2448:20 *5251:25 3.00073e-05 +55 *2448:20 *5868:593 0.000263099 +56 *2448:24 *3033:24 0.0018479 +57 *2448:24 *3057:8 0.000865895 +58 *2448:24 *4843:86 0.000318878 +59 *2448:29 *2697:7 0.000200794 +60 *2448:29 *4888:120 0.000211158 +61 *2448:29 *5307:10 2.99291e-05 +62 *2448:29 *5853:97 1.65872e-05 +63 *2448:29 *5918:23 4.42071e-05 +64 *2448:41 *20630:A1 8.65375e-05 +65 *2448:41 *20668:A1 0.000191007 +66 *2448:41 *21578:A 4.97617e-05 +67 *2448:41 *24459:RESET_B 4.59816e-06 +68 *2448:41 *2610:11 1.55025e-05 +69 *2448:41 *3045:12 0.000204713 +70 *2448:41 *4892:20 1.9708e-06 +71 *2448:41 *5853:107 3.18318e-05 +72 *2448:49 *20628:B1 6.08467e-05 +73 *2448:49 *2610:11 6.90342e-06 +74 *2448:49 *4891:51 5.44672e-05 +75 *2448:69 *20628:B1 0.000328019 +76 *2448:69 *20922:B1 0.000311249 +77 *2448:69 *4826:61 0.000138643 +78 *2448:74 *20214:B1 0.000156827 +79 *2448:74 *2797:19 0.000105316 +80 *2448:74 *4902:57 0.000123394 +81 *2448:74 *4902:59 2.45477e-05 +82 *2448:74 *4902:71 2.77061e-05 +83 *2448:74 *4956:10 2.72058e-05 +84 *2448:74 *5853:146 0.000195234 +85 *2448:74 *5853:154 0.000357389 +86 *2448:74 *5853:156 9.51719e-05 +87 *2448:81 *20214:B1 6.3657e-05 +88 *2448:81 *2729:47 0.000101738 +89 *2448:81 *2821:10 0.000441128 +90 *2448:81 *3263:23 1.58551e-05 +91 *2448:81 *4805:35 7.76105e-06 +92 *2448:82 *2467:62 3.28493e-05 +93 *2448:82 *2676:20 6.52909e-05 +94 *2448:82 *3010:8 0.00176791 +95 *2448:82 *3010:17 1.04192e-05 +96 *2448:82 *3263:23 0.000109465 +97 *2448:82 *4843:302 0.000628582 +98 *2448:82 *4843:307 0.000367101 +99 *2448:82 *4843:312 0.000207919 +100 *2448:92 *22345:A1 0.00213483 +101 *2448:92 *22349:B2 4.42033e-05 +102 *2448:92 *22349:C1 0.000747426 +103 *2448:92 *2465:20 1.92172e-05 +104 *2448:92 *2467:62 0.000139551 +105 *2448:92 *2676:20 7.01586e-06 +106 *2448:92 *3305:8 1.77439e-05 +107 *2448:92 *4843:312 0.000159716 +108 *2448:97 *22344:B2 2.99287e-05 +109 *2448:97 *22345:A1 0.0003012 +110 *2448:97 *22345:A2 3.54024e-05 +111 *2448:97 *22345:B2 7.92757e-06 +112 *2448:97 *22345:C1 7.12417e-05 +113 *2448:97 *2468:54 0.000650619 +114 *2448:97 *3177:303 0.000111802 +115 *2448:97 *3177:315 0.000206309 +116 *2448:146 *2635:47 0.00014013 +117 *2448:146 *2978:47 0.000110598 +118 *2448:146 *4814:64 0.00293334 +119 *2448:146 *4823:85 2.72284e-06 +120 *2448:146 *4825:95 0.00135285 +121 *2448:146 *4828:62 0.000111693 +122 *2448:146 *4828:80 0.000408804 +123 *2448:146 *4833:130 1.14175e-05 +124 *2448:146 *4833:138 2.34172e-05 +125 *2448:146 *5592:102 8.41266e-06 +126 *2448:146 *5908:87 0.000761979 +127 *2448:147 *2635:48 2.17135e-05 +128 *2448:147 *2729:77 0.00160231 +129 *2448:147 *2729:121 0.00052787 +130 *2448:147 *2978:68 0.0018658 +131 *2448:147 *2978:82 0.000962405 +132 *2448:147 *5475:90 0.000249839 +133 *2448:147 *5475:110 5.58797e-05 +134 *2448:147 *5683:33 0.0012879 +135 *2448:147 *5863:8 2.08587e-05 +136 *2448:161 *25233:A 0.000111987 +137 *2448:161 *25250:A 9.11135e-05 +138 *2448:161 *5874:64 1.27132e-05 +139 *2448:161 *5874:75 0 +140 *2448:161 *6024:8 0.000325384 +141 *2448:171 *20774:A1 0.000400335 +142 *2448:171 *23967:A1 9.71998e-05 +143 *2448:171 *4875:136 9.4385e-05 +144 *2448:171 *5785:59 0.000154145 +145 *2448:171 *5853:392 8.20742e-05 +146 *2448:171 *6029:13 6.50586e-05 +147 *2448:171 *6029:20 3.07726e-05 +148 *2448:176 *23967:S 0.000154145 +149 *2448:176 *23969:A1 0.000181244 +150 *2448:176 *23971:A1 6.50727e-05 +151 *2448:176 *23971:S 0.000111708 +152 *2448:176 *23998:A1 0.000107496 +153 *2448:176 *2900:47 0.000329167 +154 *2448:176 *2900:59 0.000378538 +155 *2448:176 *4951:31 6.50586e-05 +156 *2448:176 *5968:9 0.000213725 +157 *2448:176 *6027:256 0.000313495 +158 *2448:178 *21367:A 0.000119727 +159 *2448:178 *24754:CLK 6.73186e-05 +160 *2448:178 *2899:43 0.00224922 +161 *2448:178 *2900:37 1.16794e-05 +162 *2448:178 *2900:47 8.9725e-06 +163 *2448:178 *4876:56 0.000160617 +164 *2448:178 *4951:5 6.23875e-05 +165 *2448:178 *4951:31 0.000312009 +166 *2448:184 *21390:C1 0.000144426 +167 *2448:184 *2505:16 0 +168 *2448:184 *2641:19 3.07197e-05 +169 *2448:184 *2963:102 0.000262986 +170 *2448:184 *5851:400 7.50228e-05 +171 *2448:184 *5860:466 0.000207637 +172 mgmt_gpio_oeb[3] *2448:147 2.36582e-05 +173 mgmt_gpio_oeb[3] *2448:161 6.22684e-05 +174 mgmt_gpio_oeb[8] *2448:147 1.85244e-05 +175 mgmt_gpio_out[3] *2448:147 0.000160061 +176 mgmt_gpio_out[4] *2448:147 2.02035e-05 +177 mgmt_gpio_out[5] *2448:147 0.000306296 +178 mgmt_gpio_out[6] *2448:147 0.000165158 +179 mgmt_gpio_out[7] *2448:147 0.000407798 +180 mgmt_gpio_out[8] *2448:147 4.40531e-05 +181 *6163:DIODE *2448:178 0.000553213 +182 *19671:B *2448:184 0.000405372 +183 *20029:B1 *2448:184 4.23296e-05 +184 *20549:A *2448:10 0.000140202 +185 *20549:A *2448:20 0.000149667 +186 *20630:A2 *2448:41 6.50727e-05 +187 *20668:B2 *2448:29 0.000248423 +188 *20774:A3 *2448:171 0.00029284 +189 *20774:B1 *2448:171 0.00013618 +190 *20774:C1 *2448:171 0.000113968 +191 *20783:B2 *2448:24 6.04912e-06 +192 *20922:B2 *2448:69 6.50727e-05 +193 *20924:B2 *2448:74 0.000199345 +194 *21389:A1 *21389:B1 2.17589e-05 +195 *21389:B2 *21389:B1 5.65478e-05 +196 *21390:A1 *6178:DIODE 2.41607e-05 +197 *21390:A1 *21389:B1 1.77537e-06 +198 *21390:A1 *2448:184 8.12023e-05 +199 *21390:B1 *21389:B1 1.63343e-05 +200 *21888:A2 *2448:178 0.000247896 +201 *22344:A1 *2448:97 0.000110297 +202 *23998:S *2448:176 6.50586e-05 +203 *24282:D *2448:176 0.000404533 +204 *24386:D *2448:24 0.000127179 +205 *24387:D *2448:24 0.000145532 +206 *24459:D *2448:29 0.000107496 +207 *24485:D *2448:41 9.80242e-07 +208 *24538:D *2448:10 9.78334e-05 +209 *24754:D *2448:178 2.41916e-05 +210 *25136:A *2448:146 9.12416e-06 +211 *25136:A *2448:147 1.74106e-05 +212 *74:12 *2448:161 0.00143374 +213 *74:18 *2448:171 0 +214 *74:18 *2448:184 0 +215 *489:35 *2448:74 0.000194762 +216 *506:52 *2448:178 6.08467e-05 +217 *508:28 *2448:161 0 +218 *508:28 *2448:171 0.00133281 +219 *520:27 *2448:147 0.000100364 +220 *520:28 *2448:147 2.43605e-05 +221 *527:69 *2448:161 0.00142853 +222 *1418:129 *2448:74 4.25507e-05 +223 *1595:19 *2448:69 0.00151423 +224 *1608:113 *2448:74 0.000126593 +225 *1612:24 *2448:146 3.3414e-05 +226 *1627:118 *22685:B1 0.000183817 +227 *1627:202 *2448:49 7.14746e-05 +228 *1634:22 *2448:82 6.80106e-05 +229 *1634:30 *2448:82 0.000112518 +230 *1709:40 *2448:146 5.76799e-05 +231 *1709:47 *2448:146 4.7315e-05 +232 *1711:16 *6178:DIODE 2.37478e-05 +233 *1711:16 *21389:B1 0 +234 *1735:36 *21389:B1 1.27831e-06 +235 *1790:191 *2448:41 0.000208295 +236 *1802:206 *2448:178 8.62126e-05 +237 *1994:8 *2448:10 0.000515747 +238 *1994:15 *2448:10 8.88645e-05 +239 *2018:25 *2448:49 0.00216797 +240 *2031:36 *2448:29 0.00100294 +241 *2050:9 *2448:74 0.000925897 +242 *2063:17 *2448:171 0.000111708 +243 *2063:154 *2448:171 0.000785192 +244 *2066:33 *2448:171 0.000152901 +245 *2077:78 *2448:20 3.42731e-05 +246 *2129:9 *2448:171 9.59392e-05 +247 *2129:14 *2448:171 7.56085e-05 +248 *2180:36 *2448:184 7.24909e-05 +249 *2180:38 *2448:184 1.5714e-05 +250 *2250:16 *2448:69 0.000329533 +251 *2257:69 *2448:146 0.00287393 +252 *2257:73 *2448:147 0.00229823 +253 *2257:85 *2448:147 2.17073e-05 +254 *2259:15 *6178:DIODE 0 +255 *2259:15 *2448:184 0 +256 *2262:58 *2448:74 1.5714e-05 +257 *2266:38 *2448:146 1.52726e-05 +258 *2266:38 *2448:147 0.00305399 +259 *2297:71 *2448:92 6.23875e-05 +260 *2298:8 *2448:20 0.00149777 +261 *2298:30 *22685:B1 0.000184508 +262 *2321:36 *2448:74 4.73501e-05 +263 *2380:16 *2448:49 7.50872e-05 +264 *2384:10 *2448:74 0.000293957 +265 *2384:74 *2448:147 0.00687196 +266 *2391:100 *22685:B1 6.64392e-05 +267 *2417:53 *2448:146 0.00180223 +268 *2426:78 *2448:171 8.56151e-05 +269 *2433:106 *2448:146 1.91246e-05 +270 *2433:128 *2448:147 0.000223454 +271 *2445:23 *21389:B1 6.50586e-05 +272 *2446:62 *2448:147 0.00165452 +273 *2446:89 *2448:147 0.00105641 +274 *2447:26 *21389:B1 2.69685e-05 +*RES +1 *21388:Y *2448:10 45.9002 +2 *2448:10 *2448:20 44.5757 +3 *2448:20 *2448:24 37.6835 +4 *2448:24 *2448:29 46.9484 +5 *2448:29 *2448:41 30.0527 +6 *2448:41 *2448:49 45.6944 +7 *2448:49 *2448:69 48.3775 +8 *2448:69 *2448:74 47.1678 +9 *2448:74 *2448:81 15.2935 +10 *2448:81 *2448:82 39.4123 +11 *2448:82 *2448:92 42.9128 +12 *2448:92 *2448:97 12.4183 +13 *2448:97 *22685:B1 45.0605 +14 *2448:97 *22344:B1 9.82786 +15 *2448:82 *2448:146 35.5774 +16 *2448:146 *2448:147 212.572 +17 *2448:147 *2448:161 39.1742 +18 *2448:161 *2448:171 48.0138 +19 *2448:171 *2448:176 32.4231 +20 *2448:176 *2448:178 61.1752 +21 *2448:178 *2448:184 15.1268 +22 *2448:184 *6178:DIODE 18.0819 +23 *2448:184 *21389:B1 21.6252 +*END + +*D_NET *2449 0.00129563 +*CONN +*I *21390:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21389:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21390:C1 0.000242753 +2 *21389:X 0.000242753 +3 *21390:C1 *5830:41 0.000227817 +4 *6178:DIODE *21390:C1 0.000378569 +5 *1711:16 *21390:C1 1.80266e-05 +6 *1735:36 *21390:C1 4.12833e-05 +7 *2259:15 *21390:C1 0 +8 *2448:184 *21390:C1 0.000144426 +*RES +1 *21389:X *21390:C1 36.4854 +*END + +*D_NET *2450 0.0168486 +*CONN +*I *21397:C I *D sky130_fd_sc_hd__and4_2 +*I *21390:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21397:C 0.00215618 +2 *21390:X 0.000865587 +3 *2450:29 0.00324354 +4 *2450:14 0.00195295 +5 *21397:C *19611:B1 1.96478e-05 +6 *21397:C *19616:B1 0.000164843 +7 *2450:14 *21737:C1 2.82171e-06 +8 *2450:14 *21743:B1 1.5714e-05 +9 *2450:14 *2586:31 3.37475e-05 +10 *2450:14 *2740:18 0.000942321 +11 *2450:14 *2858:20 0.000205006 +12 *2450:14 *3063:16 6.24914e-06 +13 *2450:14 *4876:56 0.000159392 +14 *2450:14 *4922:10 2.29509e-05 +15 *2450:14 *4922:70 0.000691017 +16 *2450:14 *4922:74 8.95621e-05 +17 *2450:29 *21737:B1 8.98279e-05 +18 *2450:29 *21737:C1 2.60879e-06 +19 *2450:29 *3063:16 0.00107528 +20 *19616:A2 *21397:C 9.31436e-05 +21 *19672:S *2450:14 0.000207266 +22 *21033:A *2450:29 6.08467e-05 +23 *21033:B *2450:29 0.000548795 +24 *21034:A *2450:29 8.81506e-05 +25 *21389:B2 *2450:14 9.80912e-05 +26 *21390:B2 *2450:14 5.04829e-06 +27 *21397:A *21397:C 6.50727e-05 +28 *21397:B *21397:C 6.08467e-05 +29 *24329:D *2450:29 0.000122083 +30 *1452:42 *21397:C 0.0002165 +31 *1453:31 *21397:C 6.73351e-05 +32 *1453:40 *21397:C 2.41483e-05 +33 *1492:35 *2450:29 6.3269e-05 +34 *1587:10 *2450:29 0.000340742 +35 *1725:270 *21397:C 0.000276946 +36 *1725:270 *2450:29 0.00122607 +37 *1740:36 *2450:29 0.000653682 +38 *1802:206 *2450:14 0.000891314 +*RES +1 *21390:X *2450:14 47.6513 +2 *2450:14 *2450:29 46.6037 +3 *2450:29 *21397:C 41.5849 +*END + +*D_NET *2451 0.0835464 +*CONN +*I *22339:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22683:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21396:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21391:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22339:A1 0.00028083 +2 *22683:B1 0.00027507 +3 *21396:A1 0.00214504 +4 *21391:Y 0.00241217 +5 *2451:48 0.00389907 +6 *2451:46 0.00388238 +7 *2451:42 0.0040356 +8 *2451:36 0.00744639 +9 *2451:16 0.00698027 +10 *2451:15 0.00144691 +11 *2451:13 0.00379652 +12 *2451:12 0.0039504 +13 *2451:7 0.00256605 +14 *21396:A1 *21396:B1 0.000154145 +15 *21396:A1 *22018:A 5.97396e-06 +16 *21396:A1 *22372:A 2.97235e-05 +17 *21396:A1 *22722:A2 0.000136287 +18 *21396:A1 *2455:7 1.58551e-05 +19 *21396:A1 *2456:22 2.16355e-05 +20 *21396:A1 *2527:13 0.000104754 +21 *21396:A1 *2530:90 0.000586039 +22 *21396:A1 *2534:23 5.80921e-05 +23 *21396:A1 *3541:56 0.000593726 +24 *22339:A1 *22388:B2 0.000111722 +25 *22339:A1 *3114:268 6.11872e-05 +26 *22339:A1 *3177:327 7.92757e-06 +27 *22339:A1 *3212:19 0.000363051 +28 *22339:A1 *3320:10 2.01653e-05 +29 *22683:B1 *21283:A1 0.000300365 +30 *22683:B1 *22683:B2 4.31603e-06 +31 *22683:B1 *22683:C1 0.000173249 +32 *22683:B1 *3517:310 3.57291e-06 +33 *22683:B1 *3519:260 0.000126884 +34 *22683:B1 *5888:14 3.29488e-05 +35 *2451:7 *20504:A1 1.09551e-05 +36 *2451:7 *24118:A 0.00121554 +37 *2451:7 *24717:CLK 3.82228e-05 +38 *2451:7 *4826:31 0.000131072 +39 *2451:7 *4827:135 0.000114099 +40 *2451:7 *5508:9 0.000772115 +41 *2451:12 *5507:12 0.000162053 +42 *2451:12 *5897:12 0.000383136 +43 *2451:13 *20337:A1 1.82895e-05 +44 *2451:13 *24032:A0 2.41483e-05 +45 *2451:13 *24032:A1 0.000271044 +46 *2451:13 *24684:RESET_B 0.000466373 +47 *2451:13 *24709:RESET_B 0.000150275 +48 *2451:13 *4829:179 0.000632154 +49 *2451:13 *4899:17 0.000942108 +50 *2451:13 *4899:24 0.000164815 +51 *2451:13 *5521:8 8.4224e-05 +52 *2451:16 *24110:TE_B 0.000544508 +53 *2451:16 *2692:12 0.00150651 +54 *2451:16 *4828:44 0.00102836 +55 *2451:16 *5898:228 0.00212044 +56 *2451:16 *5906:14 0.000519961 +57 *2451:36 *24106:A 0.000102098 +58 *2451:36 *2545:18 9.3612e-05 +59 *2451:36 *2610:29 0.000179841 +60 *2451:36 *2635:35 0 +61 *2451:36 *4814:19 0.000204949 +62 *2451:36 *4816:49 0.000136198 +63 *2451:36 *4818:110 0 +64 *2451:36 *4820:87 0.000242249 +65 *2451:36 *4820:91 0.000102431 +66 *2451:36 *5898:228 0.00012639 +67 *2451:42 *24690:CLK 0.000107101 +68 *2451:42 *4903:214 0.000190208 +69 *2451:42 *5903:6 0.000889755 +70 *2451:46 *2978:29 0.00566598 +71 *2451:46 *4845:229 8.88633e-05 +72 *2451:46 *4845:241 0.000485454 +73 *2451:48 *2530:90 0.000526458 +74 *2451:48 *3541:56 0.000931124 +75 *2451:48 *4812:38 0.000351564 +76 mgmt_gpio_out[15] *2451:36 3.67893e-05 +77 *20324:B1 *2451:36 0.000102431 +78 *20337:B1 *2451:13 3.69449e-05 +79 *20341:A2 *2451:13 1.34027e-05 +80 *21229:B1 *21396:A1 0.00011195 +81 *21262:B *21396:A1 9.18646e-06 +82 *21272:B1 *21396:A1 0.00137077 +83 *21283:B2 *22683:B1 6.44342e-05 +84 *22378:A1 *21396:A1 0.000206333 +85 *22722:B1 *21396:A1 0.000157659 +86 *24032:S *2451:13 2.20702e-05 +87 *25143:A *2451:36 0 +88 *476:172 *2451:42 0.000891254 +89 *477:106 *2451:13 0.000126866 +90 *480:134 *2451:36 0.000219204 +91 *598:8 *2451:36 0.000174135 +92 *1419:249 *21396:A1 0.00126675 +93 *1439:28 *22339:A1 4.72726e-05 +94 *1439:28 *2451:46 0.000216243 +95 *1555:70 *2451:36 9.3612e-05 +96 *1592:93 *21396:A1 0.000211778 +97 *1602:161 *2451:46 0.000324305 +98 *1602:161 *2451:48 0.000806333 +99 *1614:104 *2451:46 0.000931516 +100 *1627:101 *21396:A1 0.00281268 +101 *1629:12 *2451:36 0.000365163 +102 *1647:58 *21396:A1 1.43499e-05 +103 *1655:220 *22339:A1 1.66771e-05 +104 *1680:174 *21396:A1 0.00022523 +105 *1709:27 *2451:36 1.5714e-05 +106 *2246:30 *2451:48 0.000343466 +107 *2280:6 *21396:A1 4.60627e-05 +108 *2337:22 *2451:46 0.000309352 +109 *2337:22 *2451:48 0.000355394 +110 *2355:21 *22683:B1 7.23857e-05 +111 *2357:50 *2451:36 0.001846 +112 *2357:50 *2451:46 0.00189835 +113 *2372:60 *2451:36 1.22852e-05 +114 *2380:60 *2451:36 1.9101e-05 +*RES +1 *21391:Y *2451:7 48.8189 +2 *2451:7 *2451:12 15.815 +3 *2451:12 *2451:13 60.066 +4 *2451:13 *2451:15 4.5 +5 *2451:15 *2451:16 74.9164 +6 *2451:16 *2451:36 47.4385 +7 *2451:36 *2451:42 46.1012 +8 *2451:42 *2451:46 9.30898 +9 *2451:46 *2451:48 5.53702 +10 *2451:48 *21396:A1 30.0334 +11 *2451:48 *22683:B1 21.3391 +12 *2451:46 *22339:A1 20.2529 +*END + +*D_NET *2452 0.0564178 +*CONN +*I *21396:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22677:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22353:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21392:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21396:B1 0.000746572 +2 *22677:A1 0.000493161 +3 *22353:A1 0.000174517 +4 *21392:Y 0.0049845 +5 *2452:51 0.00319495 +6 *2452:36 0.00275419 +7 *2452:29 0.00172305 +8 *2452:18 0.00258153 +9 *2452:17 0.00169457 +10 *2452:14 0.00519613 +11 *21396:B1 *2455:7 2.54357e-05 +12 *21396:B1 *2527:13 0.0011817 +13 *21396:B1 *3126:42 1.00981e-05 +14 *21396:B1 *3196:185 6.40373e-05 +15 *21396:B1 *3466:201 0.000517477 +16 *22353:A1 *2462:8 0.000104481 +17 *22353:A1 *3020:21 0.00043404 +18 *22677:A1 *22713:A2 6.22259e-05 +19 *22677:A1 *22714:C1 2.1203e-06 +20 *22677:A1 *2857:11 6.64392e-05 +21 *22677:A1 *3300:8 2.00757e-05 +22 *22677:A1 *3493:26 0.000337052 +23 *22677:A1 *3634:14 0.000308273 +24 *2452:14 *20214:A1 5.60804e-05 +25 *2452:14 *22295:A1 0.000100087 +26 *2452:14 *22318:B1 4.3854e-05 +27 *2452:14 *2531:20 0.000369287 +28 *2452:14 *2551:18 2.27135e-05 +29 *2452:14 *2659:17 0.00567656 +30 *2452:14 *2697:19 7.13655e-06 +31 *2452:14 *2729:20 6.22732e-06 +32 *2452:14 *2820:26 0.000109358 +33 *2452:14 *2837:24 3.13455e-05 +34 *2452:14 *2918:16 0.000148605 +35 *2452:14 *3022:27 1.22685e-05 +36 *2452:14 *3146:181 0 +37 *2452:14 *3166:147 4.54865e-05 +38 *2452:14 *4812:18 4.09553e-05 +39 *2452:14 *4812:38 6.34222e-05 +40 *2452:14 *4845:229 1.10336e-05 +41 *2452:14 *4902:71 2.5022e-05 +42 *2452:14 *5855:108 0.000238188 +43 *2452:14 *5855:149 8.62625e-06 +44 *2452:17 *24968:A 0.00118398 +45 *2452:18 *2465:10 0.000219949 +46 *2452:18 *2520:33 0.0011561 +47 *2452:18 *2520:53 0.00014682 +48 *2452:18 *4872:78 0 +49 *2452:18 *5091:9 0.000152669 +50 *2452:29 *3011:33 0.000107496 +51 *2452:29 *3153:117 6.08467e-05 +52 *2452:29 *4818:22 0.00030401 +53 *2452:29 *4906:10 9.90599e-06 +54 *2452:29 *5910:41 0.000110306 +55 *2452:36 *21257:A 7.66244e-05 +56 *2452:36 *21417:A1 1.65872e-05 +57 *2452:36 *22028:B1 0.00011182 +58 *2452:36 *2462:8 6.1449e-05 +59 *2452:36 *2906:52 3.81416e-06 +60 *2452:36 *3153:112 0.000538681 +61 *2452:36 *5904:45 0.000775655 +62 *2452:51 *21417:A1 0.00139504 +63 *2452:51 *3493:26 2.03906e-05 +64 *2452:51 *3493:45 8.39607e-05 +65 *20434:B *2452:14 0.000168737 +66 *20727:B *2452:14 1.89527e-06 +67 *20945:B2 *2452:18 0.000403252 +68 *21181:A2 *2452:51 3.88213e-05 +69 *21229:B1 *21396:B1 0.000874124 +70 *21259:A1 *2452:36 3.23649e-05 +71 *21259:A2 *2452:36 3.67945e-05 +72 *21396:A1 *21396:B1 0.000154145 +73 *21396:A2 *21396:B1 9.95922e-06 +74 *21396:B2 *21396:B1 7.45472e-05 +75 *21611:B2 *2452:14 0.000141458 +76 *22709:B1 *22353:A1 0.000104481 +77 *22709:B1 *2452:36 5.79498e-05 +78 *22713:B1 *21396:B1 0.000339786 +79 *22713:B1 *22677:A1 2.04734e-05 +80 *477:177 *2452:18 0.000704068 +81 *527:11 *22677:A1 2.57986e-05 +82 *1418:86 *2452:29 0.000384367 +83 *1543:17 *22353:A1 0.00043685 +84 *1551:154 *2452:14 0.00115517 +85 *1554:85 *2452:36 0.000154145 +86 *1554:85 *2452:51 0.000528925 +87 *1554:113 *2452:36 0.000161882 +88 *1557:126 *2452:51 1.9101e-05 +89 *1573:166 *2452:51 0.000244071 +90 *1631:58 *2452:18 0.00194194 +91 *1631:58 *2452:29 0.0011171 +92 *1649:189 *2452:36 8.1206e-06 +93 *1658:119 *2452:14 1.9628e-06 +94 *2155:22 *2452:29 0.00013408 +95 *2155:26 *2452:29 0.000110224 +96 *2249:12 *2452:17 0.00118121 +97 *2258:64 *22677:A1 3.55731e-06 +98 *2258:64 *2452:51 0.000661053 +99 *2282:9 *2452:29 9.46775e-05 +100 *2293:38 *2452:14 5.85612e-05 +101 *2293:44 *22677:A1 8.6297e-06 +102 *2337:22 *2452:14 0.000328365 +103 *2354:55 *21396:B1 5.1493e-06 +104 *2373:17 *2452:14 0.00402018 +105 *2373:32 *2452:14 0.000138978 +106 *2384:59 *22677:A1 0.000101888 +*RES +1 *21392:Y *2452:14 43.9986 +2 *2452:14 *2452:17 17.9793 +3 *2452:17 *2452:18 52.908 +4 *2452:18 *2452:29 48.142 +5 *2452:29 *2452:36 30.4267 +6 *2452:36 *22353:A1 21.1566 +7 *2452:36 *2452:51 46.6124 +8 *2452:51 *22677:A1 27.1499 +9 *2452:51 *21396:B1 30.1127 +*END + +*D_NET *2453 0.00681675 +*CONN +*I *22354:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21395:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22673:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21393:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22354:B1 0.000603263 +2 *21395:A1 0 +3 *22673:A1 8.97177e-05 +4 *21393:Y 0.000181856 +5 *2453:20 0.00122219 +6 *2453:7 0.000890495 +7 *22354:B1 *22354:A2 4.13694e-05 +8 *22354:B1 *22398:B2 5.04829e-06 +9 *22354:B1 *22403:B 6.08467e-05 +10 *22354:B1 *3120:84 0.000349628 +11 *22354:B1 *3122:115 0.000118854 +12 *22354:B1 *3192:69 0.000333965 +13 *22673:A1 *22672:A1 0.000110949 +14 *22673:A1 *22673:A2 0.000247443 +15 *22673:A1 *22673:B1 1.37531e-05 +16 *2453:7 *21393:A 2.16355e-05 +17 *2453:7 *22672:A1 5.95927e-05 +18 *2453:7 *22673:B1 0.000492967 +19 *2453:20 *3120:82 1.49403e-05 +20 *2453:20 *3120:84 0.000817996 +21 *2453:20 *3192:69 0.000983996 +22 *2453:20 *3466:172 5.78351e-05 +23 *22398:B1 *22354:B1 6.3314e-05 +24 *1450:176 *2453:7 3.51032e-05 +*RES +1 *21393:Y *2453:7 15.5427 +2 *2453:7 *22673:A1 12.7456 +3 *2453:7 *2453:20 25.5069 +4 *2453:20 *21395:A1 13.7491 +5 *2453:20 *22354:B1 38.3567 +*END + +*D_NET *2454 0.0174999 +*CONN +*I *22352:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22682:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21395:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21394:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22352:B1 0.00050282 +2 *22682:A1 2.5125e-05 +3 *21395:B1 0 +4 *21394:Y 3.11121e-05 +5 *2454:29 0.000545717 +6 *2454:23 0.00126987 +7 *2454:18 0.00181647 +8 *2454:11 0.00340224 +9 *2454:8 0.00286898 +10 *22352:B1 *22351:B1 3.81052e-05 +11 *22352:B1 *22355:A 3.6549e-05 +12 *22352:B1 *22682:B1 3.1409e-05 +13 *22352:B1 *22687:A1 2.70691e-05 +14 *22352:B1 *22687:B1 0.000121159 +15 *22352:B1 *2480:8 2.79227e-05 +16 *22352:B1 *3064:13 9.51779e-05 +17 *22352:B1 *3153:162 7.92757e-06 +18 *22352:B1 *3521:191 4.00504e-05 +19 *22682:A1 *2794:27 6.08467e-05 +20 *2454:8 *5853:243 7.50722e-05 +21 *2454:11 *22351:A1 2.16355e-05 +22 *2454:11 *22351:B2 0.000157205 +23 *2454:11 *2794:9 5.80765e-06 +24 *2454:11 *2794:27 1.34424e-05 +25 *2454:11 *3192:92 4.1003e-05 +26 *2454:11 *5910:41 0.000929584 +27 *2454:18 *22355:A 9.80784e-05 +28 *2454:18 *2480:8 0.000160977 +29 *2454:18 *2480:18 2.17532e-05 +30 *2454:18 *3064:13 3.04002e-05 +31 *2454:18 *3120:109 9.73255e-05 +32 *2454:18 *3313:11 6.22259e-05 +33 *2454:23 *22359:B 8.41655e-05 +34 *2454:23 *22396:B2 1.5714e-05 +35 *2454:23 *2480:25 0.00142424 +36 *2454:23 *3120:109 4.87445e-05 +37 *2454:23 *3153:137 1.66771e-05 +38 *2454:29 *22355:A 5.30254e-05 +39 *2454:29 *2480:8 4.73625e-05 +40 *21259:B2 *2454:23 9.24828e-05 +41 *21402:B2 *22352:B1 8.67924e-06 +42 *22356:A2 *2454:18 9.55484e-05 +43 *22396:A1 *2454:23 0.000291211 +44 *22400:A1 *2454:23 0.00108803 +45 *22676:A1 *2454:18 3.73224e-05 +46 *22676:B2 *2454:18 2.66117e-05 +47 *477:177 *2454:11 0.000722467 +48 *1679:61 *2454:23 6.35079e-05 +49 *2027:32 *2454:8 7.50722e-05 +50 *2249:38 *22352:B1 0.000134022 +51 *2332:17 *2454:23 6.08467e-05 +52 *2384:45 *2454:23 6.36477e-05 +53 *2433:59 *22352:B1 0.000387391 +*RES +1 *21394:Y *2454:8 19.6659 +2 *2454:8 *2454:11 47.9279 +3 *2454:11 *2454:18 13.3736 +4 *2454:18 *2454:23 42.9747 +5 *2454:23 *21395:B1 9.24915 +6 *2454:11 *2454:29 1.00149 +7 *2454:29 *22682:A1 14.4725 +8 *2454:29 *22352:B1 29.8439 +*END + +*D_NET *2455 0.00741142 +*CONN +*I *21396:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21395:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21396:C1 0 +2 *21395:X 0.00246588 +3 *2455:7 0.00246588 +4 *2455:7 *22375:A2 0.000156955 +5 *2455:7 *2456:22 6.08467e-05 +6 *2455:7 *3126:42 0.00035787 +7 *2455:7 *3139:254 0.00021696 +8 *2455:7 *3196:163 0.000960019 +9 *2455:7 *3196:185 7.50537e-05 +10 *21395:A2 *2455:7 0.000484995 +11 *21396:A1 *2455:7 1.58551e-05 +12 *21396:B1 *2455:7 2.54357e-05 +13 *21396:B2 *2455:7 0.000125655 +*RES +1 *21395:X *2455:7 48.5295 +2 *2455:7 *21396:C1 9.24915 +*END + +*D_NET *2456 0.0385314 +*CONN +*I *21397:D I *D sky130_fd_sc_hd__and4_2 +*I *6179:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21396:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21397:D 0.000115137 +2 *6179:DIODE 0.000151625 +3 *21396:X 0.00167003 +4 *2456:30 0.00810541 +5 *2456:22 0.00950868 +6 *6179:DIODE *4844:356 1.43055e-05 +7 *6179:DIODE *5859:277 5.26446e-05 +8 *21397:D *19611:B1 5.23916e-05 +9 *21397:D *2457:16 0.000217951 +10 *2456:22 *21658:B1 0.000920925 +11 *2456:22 *22372:A 0.000165508 +12 *2456:22 *2474:37 6.77521e-05 +13 *2456:22 *2908:29 0.00145255 +14 *2456:22 *3547:17 7.34383e-05 +15 *2456:30 *23942:A0 3.03067e-05 +16 *2456:30 *2457:16 0.00150706 +17 *2456:30 *2474:14 0.00140076 +18 *2456:30 *2474:37 0.000448876 +19 *2456:30 *2641:30 0.000716388 +20 *2456:30 *2880:20 0.00514999 +21 *2456:30 *3128:26 0 +22 *2456:30 *3139:156 0.000159661 +23 *2456:30 *3507:19 0.00218338 +24 *2456:30 *4821:55 3.25624e-05 +25 *2456:30 *4824:180 0 +26 *2456:30 *5859:277 0.000106696 +27 *2456:30 *5864:49 0.000174552 +28 *21272:B1 *2456:22 7.48886e-05 +29 *21352:A1 *2456:22 7.75162e-05 +30 *21395:A2 *2456:22 0.0014396 +31 *21395:B2 *2456:22 0.000219937 +32 *21396:A1 *2456:22 2.16355e-05 +33 *21397:B *21397:D 0.000154145 +34 *22401:B1 *2456:22 0.00117273 +35 *22401:B1 *2456:30 0.000272423 +36 *547:33 *2456:30 2.14184e-05 +37 *549:23 *2456:30 0 +38 *1592:93 *2456:22 0.000154143 +39 *1636:68 *2456:30 0.000160022 +40 *1648:25 *2456:30 5.86491e-06 +41 *2244:73 *2456:30 2.19779e-05 +42 *2280:6 *2456:22 7.93422e-05 +43 *2393:22 *6179:DIODE 4.59797e-05 +44 *2393:22 *2456:30 1.96026e-05 +45 *2433:153 *6179:DIODE 5.07314e-05 +46 *2455:7 *2456:22 6.08467e-05 +*RES +1 *21396:X *2456:22 27.0685 +2 *2456:22 *2456:30 31.912 +3 *2456:30 *6179:DIODE 17.2744 +4 *2456:30 *21397:D 17.8002 +*END + +*D_NET *2457 0.0370094 +*CONN +*I *21443:B I *D sky130_fd_sc_hd__nand4_4 +*I *21397:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *21443:B 0.00116971 +2 *21397:X 0.00920009 +3 *2457:16 0.0103698 +4 *21443:B *21658:B1 0.000898866 +5 *21443:B *2791:50 0.00226925 +6 *21443:B *3533:38 2.37478e-05 +7 *21443:B *5592:70 0.000307331 +8 *2457:16 *19611:B1 0.000207266 +9 *2457:16 *2503:48 0.00119536 +10 *2457:16 *2641:30 0 +11 *2457:16 *3122:32 0.000356117 +12 *2457:16 *3198:18 0.000409372 +13 *2457:16 *3198:123 0.00157063 +14 *2457:16 *3521:165 0.000118735 +15 *2457:16 *3547:26 0 +16 *2457:16 *4821:55 0.000153832 +17 *2457:16 *5864:49 6.25468e-06 +18 *2457:16 *5908:9 0.00230064 +19 *21397:D *2457:16 0.000217951 +20 *21443:A *21443:B 2.02035e-05 +21 *1443:60 *21443:B 1.25445e-05 +22 *1717:68 *2457:16 0.00171045 +23 *1717:76 *21443:B 0.000104754 +24 *2300:70 *2457:16 0.00176579 +25 *2304:58 *21443:B 0.000153427 +26 *2383:25 *2457:16 0.000905491 +27 *2393:22 *2457:16 5.46889e-05 +28 *2456:30 *2457:16 0.00150706 +*RES +1 *21397:X *2457:16 49.1267 +2 *2457:16 *21443:B 20.9502 +*END + +*D_NET *2458 0.00348446 +*CONN +*I *21403:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21398:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21403:A1 0 +2 *21398:Y 0.00106759 +3 *2458:21 0.00106759 +4 *2458:21 *21240:A 6.36477e-05 +5 *2458:21 *21403:B1 0 +6 *2458:21 *2459:56 1.41976e-05 +7 *2458:21 *3120:74 7.98171e-06 +8 *2458:21 *4908:193 0.000519576 +9 *21025:A2 *2458:21 0.000190598 +10 *21025:B2 *2458:21 4.44676e-05 +11 *512:38 *2458:21 0.000195423 +12 *2016:71 *2458:21 0.000195423 +13 *2307:19 *2458:21 0.000117973 +*RES +1 *21398:Y *2458:21 41.0012 +2 *2458:21 *21403:A1 9.24915 +*END + +*D_NET *2459 0.0246426 +*CONN +*I *22342:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22674:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21403:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21399:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22342:A1 0.000375114 +2 *22674:A1 0.000510993 +3 *21403:B1 0.000188405 +4 *21399:Y 0.0010418 +5 *2459:56 0.00233517 +6 *2459:29 0.0040659 +7 *2459:10 0.00347022 +8 *21403:B1 *24249:CLK 0.000191728 +9 *21403:B1 *24494:CLK 4.33979e-05 +10 *21403:B1 *3466:145 0.000239556 +11 *22342:A1 *21422:B1 9.7112e-06 +12 *22342:A1 *22304:B2 0.000408444 +13 *22342:A1 *22650:B1 3.93714e-05 +14 *22342:A1 *2481:28 6.14756e-06 +15 *22674:A1 *22304:B2 0.000108686 +16 *22674:A1 *22650:B1 2.97017e-05 +17 *22674:A1 *22675:B1 3.29488e-05 +18 *22674:A1 *22675:C1 7.09367e-05 +19 *22674:A1 *22710:A2 6.08467e-05 +20 *22674:A1 *22710:B2 1.41976e-05 +21 *22674:A1 *3485:210 3.06957e-05 +22 *22674:A1 *3487:233 2.44952e-05 +23 *22674:A1 *3531:43 0.000239558 +24 *22674:A1 *3541:14 5.01835e-05 +25 *22674:A1 *3541:29 7.71503e-05 +26 *22674:A1 *4809:17 0.00025175 +27 *2459:10 *21029:B1 1.2693e-05 +28 *2459:10 *24227:CLK 0.000194855 +29 *2459:10 *24246:RESET_B 9.32891e-05 +30 *2459:10 *2474:14 0.00075817 +31 *2459:10 *2637:7 1.75125e-05 +32 *2459:10 *4833:77 0.000445091 +33 *2459:10 *5862:57 0.000160009 +34 *2459:10 *5862:66 0.000115878 +35 *2459:10 *5862:75 0.000234691 +36 *2459:29 *2474:14 1.89644e-05 +37 *2459:29 *2545:67 0.000375811 +38 *2459:29 *2813:47 5.83451e-05 +39 *2459:29 *2950:92 3.73224e-05 +40 *2459:29 *3120:41 0.000158409 +41 *2459:29 *3153:50 0.000214555 +42 *2459:29 *4814:64 0.00052491 +43 *2459:29 *4816:125 6.03122e-05 +44 *2459:29 *4823:99 0.000309756 +45 *2459:29 *4826:117 0.000207345 +46 *2459:29 *4833:130 6.95863e-06 +47 *2459:29 *4908:14 0.000306974 +48 *2459:56 *21398:A 3.82228e-05 +49 *2459:56 *22309:B1 4.70758e-05 +50 *2459:56 *22689:C 5.29029e-06 +51 *2459:56 *3120:74 9.80242e-07 +52 *2459:56 *3126:25 5.88009e-05 +53 *2459:56 *3493:15 6.06525e-06 +54 *2459:56 *4809:13 6.22259e-05 +55 *2459:56 *4811:21 0.00063206 +56 *21372:C1 *22342:A1 6.36477e-05 +57 *21403:B2 *21403:B1 5.62224e-05 +58 *22348:B1 *22342:A1 0.000148032 +59 *535:19 *2459:56 1.83795e-06 +60 *1439:128 *2459:10 0.000243074 +61 *1551:72 *2459:10 0.000161252 +62 *1551:75 *2459:10 5.39889e-05 +63 *1573:141 *2459:29 0.00187184 +64 *1884:45 *2459:29 0.000107247 +65 *2117:55 *2459:56 5.01306e-05 +66 *2244:19 *2459:29 0.00146527 +67 *2304:14 *2459:10 0.000352982 +68 *2304:14 *2459:29 0.000362508 +69 *2307:19 *21403:B1 6.90667e-05 +70 *2397:50 *2459:29 0.000331395 +71 *2418:38 *22674:A1 3.54432e-05 +72 *2440:67 *22342:A1 0.000174776 +73 *2458:21 *21403:B1 0 +74 *2458:21 *2459:56 1.41976e-05 +*RES +1 *21399:Y *2459:10 49.1539 +2 *2459:10 *2459:29 35.5613 +3 *2459:29 *21403:B1 20.0097 +4 *2459:29 *2459:56 40.2691 +5 *2459:56 *22674:A1 35.5276 +6 *2459:56 *22342:A1 23.7791 +*END + +*D_NET *2460 0.0435259 +*CONN +*I *21402:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22687:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22355:A I *D sky130_fd_sc_hd__or2_1 +*I *21400:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21402:A1 0 +2 *22687:B1 0.000250097 +3 *22355:A 0.000209484 +4 *21400:Y 0.00185496 +5 *2460:51 0.00128848 +6 *2460:41 0.00284239 +7 *2460:40 0.00546721 +8 *2460:20 0.00481434 +9 *2460:15 0.00321558 +10 *22355:A *22352:C1 6.20642e-05 +11 *22355:A *22359:A 7.84457e-06 +12 *22355:A *22360:C 5.89592e-05 +13 *22355:A *2480:8 1.05982e-05 +14 *22355:A *3064:13 8.94611e-05 +15 *22355:A *3153:162 0.000147446 +16 *22687:B1 *22352:C1 7.07438e-05 +17 *22687:B1 *22687:A1 6.08467e-05 +18 *22687:B1 *22687:A2 2.57847e-05 +19 *22687:B1 *22687:B2 5.99445e-05 +20 *22687:B1 *2480:8 6.14756e-06 +21 *22687:B1 *3153:162 0.000737995 +22 *2460:15 *24962:A 0.000341044 +23 *2460:15 *4881:187 1.5935e-05 +24 *2460:20 *6216:DIODE 0.000188843 +25 *2460:20 *19567:S 0.000282718 +26 *2460:20 *4881:187 0.00110516 +27 *2460:20 *4881:193 0.000297051 +28 *2460:20 *4901:5 1.71806e-05 +29 *2460:20 *4901:12 9.19632e-06 +30 *2460:20 *5556:10 0.000211656 +31 *2460:20 *5881:16 0.00108997 +32 *2460:20 *5884:23 0.000117298 +33 *2460:40 *2635:47 1.49912e-05 +34 *2460:40 *2791:26 0.000499276 +35 *2460:40 *3212:19 0.00125847 +36 *2460:40 *4814:64 0.00181307 +37 *2460:40 *4818:110 0.000101509 +38 *2460:40 *4828:61 4.91867e-06 +39 *2460:40 *4829:161 0.000263119 +40 *2460:40 *4829:170 0.000288577 +41 *2460:40 *5904:38 6.50847e-05 +42 *2460:41 *2520:43 0.000154145 +43 *2460:51 *21283:A1 3.93873e-06 +44 *2460:51 *22351:B1 0.000237292 +45 *2460:51 *2900:111 0 +46 *2460:51 *3064:13 3.21156e-06 +47 *2460:51 *3153:137 6.21488e-06 +48 *2460:51 *3192:104 1.11654e-05 +49 *2460:51 *3348:33 4.75621e-05 +50 *2460:51 *3359:14 8.53511e-05 +51 *20693:B2 *2460:41 0.000576949 +52 *20733:A2 *2460:15 0.00017995 +53 *20733:B2 *2460:15 0.000109747 +54 *21402:A2 *2460:41 9.61086e-05 +55 *21402:A2 *2460:51 6.08467e-05 +56 *22352:B1 *22355:A 3.6549e-05 +57 *22352:B1 *22687:B1 0.000121159 +58 *24415:D *2460:15 0.000274391 +59 *490:83 *2460:40 6.03237e-05 +60 *1564:171 *2460:51 2.57465e-06 +61 *1608:98 *2460:40 3.10472e-05 +62 *1709:40 *2460:40 0.00129133 +63 *1741:40 *2460:40 0.000490038 +64 *2051:23 *2460:15 0.000205006 +65 *2305:40 *2460:51 7.0525e-05 +66 *2319:33 *2460:41 0.00062656 +67 *2355:17 *22355:A 0.000159756 +68 *2357:34 *2460:20 0.00021876 +69 *2357:50 *2460:40 1.79334e-05 +70 *2360:43 *2460:40 6.59763e-05 +71 *2367:20 *2460:20 0.00021876 +72 *2372:49 *2460:40 0.000290304 +73 *2384:32 *2460:40 0.000232981 +74 *2391:95 *2460:41 0.000775475 +75 *2391:95 *2460:51 4.15663e-05 +76 *2404:60 *2460:51 2.92615e-05 +77 *2417:43 *2460:40 0.00596887 +78 *2433:59 *22687:B1 2.27223e-05 +79 *2433:59 *2460:41 0.00087687 +80 *2433:59 *2460:51 1.65872e-05 +81 *2433:85 *2460:41 0.000391585 +82 *2454:18 *22355:A 9.80784e-05 +83 *2454:29 *22355:A 5.30254e-05 +*RES +1 *21400:Y *2460:15 40.4516 +2 *2460:15 *2460:20 48.8555 +3 *2460:20 *2460:40 32.0042 +4 *2460:40 *2460:41 57.293 +5 *2460:41 *2460:51 15.4137 +6 *2460:51 *22355:A 20.9434 +7 *2460:51 *22687:B1 23.7461 +8 *2460:41 *21402:A1 9.24915 +*END + +*D_NET *2461 0.0118196 +*CONN +*I *22685:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21402:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22352:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21401:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22685:A1 0.000426671 +2 *21402:B1 1.71477e-05 +3 *22352:A1 0.000109206 +4 *21401:Y 0.000942592 +5 *2461:16 0.000214805 +6 *2461:12 0.00147379 +7 *2461:11 0.00190126 +8 *22685:A1 *2870:21 0.00114439 +9 *22685:A1 *2870:40 0.000195794 +10 *22685:A1 *3517:281 0.000159903 +11 *2461:11 *2520:33 4.7677e-05 +12 *2461:11 *2554:21 3.83847e-05 +13 *2461:11 *3212:19 0.000101381 +14 *2461:11 *4872:78 6.25332e-05 +15 *2461:11 *5862:491 2.91525e-05 +16 *2461:12 *20212:A1 8.65834e-05 +17 *2461:12 *21556:A 0.000288951 +18 *2461:12 *22404:C 0.000215202 +19 *2461:12 *2613:29 0.000127541 +20 *2461:12 *3359:14 0 +21 *2461:12 *5893:18 0.000315828 +22 *2461:16 *5893:18 6.30278e-05 +23 *20651:A *2461:12 3.95165e-05 +24 *20651:B *2461:12 0.000125912 +25 *21402:B2 *21402:B1 4.81452e-05 +26 *21402:B2 *22352:A1 0.000401596 +27 *21436:B2 *2461:12 0.00017415 +28 *510:41 *2461:11 0.000256499 +29 *1564:171 *2461:12 0.00012279 +30 *1564:171 *2461:16 0.000158797 +31 *1663:27 *2461:11 0.000529508 +32 *1887:18 *2461:12 0.000145629 +33 *2360:57 *2461:11 0.000200532 +34 *2391:95 *21402:B1 2.16355e-05 +35 *2391:95 *22352:A1 0.000123684 +36 *2391:100 *22685:A1 0.00150941 +*RES +1 *21401:Y *2461:11 26.9472 +2 *2461:11 *2461:12 26.5395 +3 *2461:12 *2461:16 7.57775 +4 *2461:16 *22352:A1 13.8548 +5 *2461:16 *21402:B1 9.97254 +6 *2461:12 *22685:A1 34.4383 +*END + +*D_NET *2462 0.0119629 +*CONN +*I *21403:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21402:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21403:C1 0.000511892 +2 *21402:X 4.27376e-05 +3 *2462:8 0.00252734 +4 *2462:7 0.00205819 +5 *21403:C1 *2570:94 0.000120455 +6 *21403:C1 *3466:145 0.000173369 +7 *21403:C1 *3466:172 0.000417076 +8 *2462:8 *21998:B1 0.000624264 +9 *2462:8 *21999:C1 3.33173e-06 +10 *2462:8 *2473:57 5.93375e-06 +11 *2462:8 *3120:84 2.66008e-05 +12 *2462:8 *3192:91 0.000660526 +13 *2462:8 *3348:27 0.00102094 +14 *2462:8 *3466:172 0.000840961 +15 *2462:8 *4815:91 0.000222603 +16 *19797:A *2462:8 6.83757e-05 +17 *21248:A2 *21403:C1 2.19466e-05 +18 *21260:B1 *2462:8 9.28861e-05 +19 *21261:A *2462:8 9.03922e-05 +20 *21403:B2 *21403:C1 6.50586e-05 +21 *22353:A1 *2462:8 0.000104481 +22 *22709:B1 *2462:8 8.67891e-05 +23 *477:177 *2462:8 0.000211518 +24 *1450:176 *21403:C1 0.000121724 +25 *1564:89 *21403:C1 0.000163391 +26 *1564:89 *2462:8 0.000149475 +27 *1564:106 *2462:8 2.81982e-05 +28 *1573:155 *2462:8 2.57465e-06 +29 *1573:231 *2462:8 3.42431e-05 +30 *1635:74 *2462:8 0.000135973 +31 *1635:83 *2462:8 0.000990965 +32 *2305:49 *2462:8 7.85171e-05 +33 *2307:19 *21403:C1 7.8653e-05 +34 *2315:10 *2462:8 5.17168e-05 +35 *2415:64 *2462:8 4.69495e-06 +36 *2433:59 *2462:7 6.3657e-05 +37 *2452:36 *2462:8 6.1449e-05 +*RES +1 *21402:X *2462:7 14.4725 +2 *2462:7 *2462:8 65.3656 +3 *2462:8 *21403:C1 37.0897 +*END + +*D_NET *2463 0.00527449 +*CONN +*I *21424:A I *D sky130_fd_sc_hd__and4_1 +*I *21403:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21424:A 0 +2 *21403:X 0.00157021 +3 *2463:11 0.00157021 +4 *2463:11 *21422:B1 7.77744e-05 +5 *2463:11 *21424:C 0.000154145 +6 *2463:11 *21424:D 7.92757e-06 +7 *2463:11 *21443:C 2.77625e-06 +8 *2463:11 *3126:34 6.08467e-05 +9 *2463:11 *3142:211 3.2698e-05 +10 *2463:11 *3185:184 0.000148525 +11 *21372:C1 *2463:11 0.00102675 +12 *21403:B2 *2463:11 3.33407e-05 +13 *1542:101 *2463:11 2.77419e-05 +14 *1717:76 *2463:11 1.91391e-05 +15 *2307:19 *2463:11 0.000542404 +*RES +1 *21403:X *2463:11 46.6216 +2 *2463:11 *21424:A 9.24915 +*END + +*D_NET *2464 0.0200429 +*CONN +*I *22340:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21412:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21404:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22340:B1 0 +2 *21412:A1 0 +3 *21404:Y 0.000444533 +4 *2464:51 0.00200424 +5 *2464:17 0.00203697 +6 *2464:12 0.00181358 +7 *2464:10 0.00222538 +8 *2464:10 *21190:A 0.000169882 +9 *2464:10 *21347:A 1.9101e-05 +10 *2464:10 *21404:A 1.65872e-05 +11 *2464:10 *24215:CLK 3.13793e-05 +12 *2464:10 *24618:CLK 6.08467e-05 +13 *2464:10 *4891:8 2.03171e-05 +14 *2464:10 *4891:10 0.000365493 +15 *2464:10 *5226:7 0.000157107 +16 *2464:10 *5853:137 0.000160942 +17 *2464:10 *5865:178 0.000159016 +18 *2464:12 *20667:B1 6.4674e-06 +19 *2464:12 *21347:A 3.84518e-05 +20 *2464:12 *22346:B1 8.72285e-06 +21 *2464:12 *22384:B2 1.91391e-05 +22 *2464:12 *22388:B2 0.000178777 +23 *2464:12 *22391:C1 0.000270009 +24 *2464:12 *24215:CLK 0.000492731 +25 *2464:12 *3320:10 5.00977e-05 +26 *2464:17 *22340:A1 2.53145e-06 +27 *2464:17 *22340:B2 6.08467e-05 +28 *2464:17 *3175:195 3.07095e-05 +29 *2464:51 *21412:B1 2.30758e-05 +30 *2464:51 *22340:B2 6.08467e-05 +31 *2464:51 *22340:C1 9.12416e-06 +32 *2464:51 *22685:C1 0.000112467 +33 *2464:51 *2476:8 1.41976e-05 +34 *2464:51 *3064:20 1.88579e-05 +35 *2464:51 *3120:125 0.000292041 +36 *2464:51 *3120:130 0.000153427 +37 *2464:51 *3175:195 2.16355e-05 +38 *2464:51 *3177:298 0.000435041 +39 *2464:51 *3192:104 0.000126806 +40 *2464:51 *3519:260 0.000259905 +41 *2464:51 *4831:25 9.55685e-05 +42 *20738:B *2464:12 0.000194375 +43 *21412:A2 *2464:51 8.19236e-05 +44 *22374:B1 *2464:51 4.34778e-05 +45 *500:13 *2464:51 0.000162712 +46 *1610:181 *2464:12 0.000214274 +47 *1610:195 *2464:12 0.00044033 +48 *1627:202 *2464:10 8.20492e-06 +49 *1652:87 *2464:51 0.000162712 +50 *2257:11 *2464:12 1.16709e-05 +51 *2258:62 *2464:51 0.00109476 +52 *2263:77 *2464:12 0.000568694 +53 *2293:38 *2464:12 7.40528e-05 +54 *2298:30 *2464:51 0.000115355 +55 *2334:17 *2464:51 0.000450002 +56 *2357:61 *2464:51 0.000210345 +57 *2409:6 *2464:12 0.00279651 +58 *2409:6 *2464:51 0.000236955 +59 *2409:22 *2464:51 0.000705706 +60 *2441:43 *2464:10 3.99086e-06 +61 *2441:47 *2464:12 0 +*RES +1 *21404:Y *2464:10 28.3258 +2 *2464:10 *2464:12 59.3444 +3 *2464:12 *2464:17 5.80211 +4 *2464:17 *2464:51 47.7338 +5 *2464:51 *21412:A1 9.24915 +6 *2464:17 *22340:B1 9.24915 +*END + +*D_NET *2465 0.019007 +*CONN +*I *22349:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21412:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22684:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21405:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22349:A1 0 +2 *21412:B1 0.000886582 +3 *22684:A1 9.02441e-05 +4 *21405:Y 6.3821e-05 +5 *2465:37 0.00131954 +6 *2465:25 0.000570747 +7 *2465:20 0.000997074 +8 *2465:10 0.00214639 +9 *2465:7 0.00144118 +10 *21412:B1 *22681:A1 0.000155288 +11 *21412:B1 *2476:8 2.68498e-05 +12 *21412:B1 *3316:14 0.000284781 +13 *21412:B1 *3517:310 0.000196148 +14 *21412:B1 *3543:97 0.000702573 +15 *22684:A1 *22684:B2 4.07542e-05 +16 *22684:A1 *2906:43 7.28441e-05 +17 *2465:7 *5884:45 0.000258829 +18 *2465:10 *2520:33 1.1033e-05 +19 *2465:10 *2820:26 0.000304392 +20 *2465:10 *4906:52 6.06269e-06 +21 *2465:10 *5091:9 0.000152669 +22 *2465:10 *5859:151 0.000374273 +23 *2465:10 *5884:45 0.000428399 +24 *2465:20 *22345:A1 0.00140151 +25 *2465:20 *24307:CLK 6.50727e-05 +26 *2465:20 *2468:54 0.00164123 +27 *2465:20 *2480:8 9.02963e-06 +28 *2465:20 *2501:22 4.67716e-05 +29 *2465:20 *4906:52 0.000498562 +30 *2465:25 *22349:B1 3.03148e-05 +31 *2465:25 *2491:13 8.34559e-05 +32 *2465:37 *21436:B1 0.000191971 +33 *2465:37 *22682:B1 8.29444e-05 +34 *2465:37 *2906:43 0.000685595 +35 *20689:A *2465:20 4.94768e-05 +36 *21402:B2 *2465:20 0.000186827 +37 *21412:A2 *21412:B1 3.82228e-05 +38 *21805:B2 *2465:10 6.87762e-05 +39 *22684:B1 *22684:A1 6.8893e-05 +40 *22684:B1 *2465:25 7.60929e-05 +41 *22684:B1 *2465:37 0.00011329 +42 *477:145 *2465:10 2.41133e-05 +43 *477:152 *2465:10 0.000417042 +44 *1418:97 *2465:20 0.000417294 +45 *1592:189 *2465:10 0.000157641 +46 *1615:8 *2465:25 0.000158044 +47 *1631:58 *2465:10 0.000607189 +48 *1631:58 *2465:20 4.96941e-06 +49 *1658:126 *2465:10 0.00036547 +50 *2254:17 *21412:B1 5.12836e-05 +51 *2294:39 *2465:7 0.00031195 +52 *2321:26 *2465:10 6.14756e-06 +53 *2354:11 *2465:37 0.000355098 +54 *2448:92 *2465:20 1.92172e-05 +55 *2452:18 *2465:10 0.000219949 +56 *2464:51 *21412:B1 2.30758e-05 +*RES +1 *21405:Y *2465:7 17.2456 +2 *2465:7 *2465:10 43.6137 +3 *2465:10 *2465:20 46.363 +4 *2465:20 *2465:25 7.01694 +5 *2465:25 *2465:37 22.324 +6 *2465:37 *22684:A1 12.2151 +7 *2465:37 *21412:B1 42.215 +8 *2465:25 *22349:A1 9.24915 +*END + +*D_NET *2466 0.020843 +*CONN +*I *21411:A1 I *D sky130_fd_sc_hd__o221a_4 +*I *21406:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21411:A1 0 +2 *21406:Y 0.000633136 +3 *2466:29 0.00172074 +4 *2466:16 0.00490563 +5 *2466:14 0.00393497 +6 *2466:11 0.0013832 +7 *2466:11 *25293:A 7.26606e-05 +8 *2466:11 *2839:14 7.26606e-05 +9 *2466:14 *25290:A 2.53533e-05 +10 *2466:14 *5770:8 2.40924e-05 +11 *2466:16 *20354:A1 0 +12 *2466:16 *21337:A 0 +13 *2466:16 *21529:A 8.46168e-05 +14 *2466:16 *21842:A 0 +15 *2466:16 *21844:B1 0.000255449 +16 *2466:16 *24983:A 0.000515404 +17 *2466:16 *2587:16 3.68249e-05 +18 *2466:16 *2587:18 0 +19 *2466:16 *2744:19 4.00504e-05 +20 *2466:16 *2858:8 8.39222e-06 +21 *2466:16 *2858:20 1.01851e-05 +22 *2466:16 *2858:23 0.00036148 +23 *2466:16 *2928:14 0 +24 *2466:16 *4821:17 0.000496331 +25 *2466:16 *5193:8 4.59797e-05 +26 *2466:16 *5854:351 0.000109405 +27 *2466:16 *5858:443 3.98327e-05 +28 *2466:29 *21411:C1 0.000193776 +29 *2466:29 *2468:135 0.000172006 +30 *2466:29 *2587:18 8.03385e-06 +31 *2466:29 *2744:23 6.09999e-05 +32 *2466:29 *2858:23 0.00014517 +33 *2466:29 *4821:17 1.41396e-05 +34 *2466:29 *4821:28 0.000712901 +35 *19671:A *2466:16 0.000505998 +36 *19685:B2 *2466:14 6.58631e-05 +37 *19687:A2 *2466:14 5.20179e-05 +38 *19893:A *2466:29 3.31745e-05 +39 *19893:B *2466:29 0.000107496 +40 *20030:A2 *2466:16 3.31784e-05 +41 *20356:A2 *2466:16 0.000192283 +42 *21383:A2 *2466:29 0.000217572 +43 *21410:B2 *2466:29 3.65454e-05 +44 *21411:A2 *2466:29 0.000367884 +45 *21411:B2 *2466:29 8.15039e-05 +46 *21588:A2 *2466:16 0 +47 *21820:A2 *2466:16 0 +48 *21820:B2 *2466:16 0 +49 *21953:B2 *2466:16 0.00015008 +50 *24671:D *2466:16 0.000148144 +51 *24674:D *2466:16 7.86847e-05 +52 *1461:88 *2466:29 5.35701e-05 +53 *1467:43 *2466:16 0 +54 *1492:13 *2466:29 8.13474e-05 +55 *1492:46 *2466:16 0 +56 *1494:14 *2466:14 0.000267288 +57 *1494:14 *2466:16 8.62262e-06 +58 *1495:76 *2466:14 0 +59 *1497:93 *2466:16 0 +60 *1497:103 *2466:14 0 +61 *1497:103 *2466:16 0 +62 *1497:113 *2466:11 0.000599476 +63 *1637:9 *2466:29 4.62164e-05 +64 *1689:13 *2466:16 1.17299e-05 +65 *1708:34 *2466:29 2.2599e-05 +66 *1744:77 *2466:11 0.000158143 +67 *1766:11 *2466:29 5.56623e-05 +68 *1769:6 *2466:29 4.83562e-06 +69 *1784:194 *2466:16 0.000395746 +70 *1784:200 *2466:16 0.000508068 +71 *1784:202 *2466:16 0.000129309 +72 *1786:11 *2466:16 0.000110473 +73 *2399:6 *2466:16 0 +74 *2400:12 *2466:29 5.61179e-05 +75 *2441:72 *2466:29 1.91391e-05 +76 *2443:10 *2466:16 1.2693e-05 +77 *2443:10 *2466:29 0.000148114 +*RES +1 *21406:Y *2466:11 34.3175 +2 *2466:11 *2466:14 18.1368 +3 *2466:14 *2466:16 90.0731 +4 *2466:16 *2466:29 49.2769 +5 *2466:29 *21411:A1 9.24915 +*END + +*D_NET *2467 0.13138 +*CONN +*I *22672:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21411:B1 I *D sky130_fd_sc_hd__o221a_4 +*I *22345:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21407:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *22672:A1 0.00102503 +2 *21411:B1 0.00362397 +3 *22345:A1 0.000554379 +4 *21407:Y 6.94236e-05 +5 *2467:126 0.00481283 +6 *2467:123 0.00277495 +7 *2467:114 0.00485132 +8 *2467:104 0.00770185 +9 *2467:87 0.00548529 +10 *2467:62 0.001806 +11 *2467:55 0.00362623 +12 *2467:49 0.00184244 +13 *2467:34 0.0018938 +14 *2467:28 0.0027581 +15 *2467:26 0.00249383 +16 *2467:21 0.00506964 +17 *2467:20 0.00660824 +18 *2467:9 0.00460741 +19 *2467:8 0.00305022 +20 *21411:B1 *21926:A1 0.000403079 +21 *21411:B1 *24927:A 0.00228575 +22 *21411:B1 *2645:50 0.000647027 +23 *21411:B1 *2675:63 1.91924e-05 +24 *21411:B1 *2757:8 4.09154e-05 +25 *21411:B1 *2761:6 4.12833e-05 +26 *21411:B1 *3071:26 0.000284068 +27 *22345:A1 *22345:A2 0.000110297 +28 *22345:A1 *2468:54 0.00027799 +29 *22672:A1 *22672:A2 3.98629e-05 +30 *22672:A1 *22673:A2 1.54577e-05 +31 *22672:A1 *22673:B1 3.97791e-05 +32 *22672:A1 *22673:B2 7.16182e-05 +33 *22672:A1 *22673:C1 6.40982e-05 +34 *2467:8 *24595:RESET_B 5.0459e-05 +35 *2467:9 *4832:21 0.00137921 +36 *2467:9 *4832:23 0.000942692 +37 *2467:9 *5507:15 0.00131386 +38 *2467:20 *20288:B1 0.000215842 +39 *2467:20 *2813:8 0 +40 *2467:20 *5893:8 0.000186445 +41 *2467:20 *5932:17 0.000257839 +42 *2467:21 *20280:A1 4.23874e-05 +43 *2467:21 *24717:RESET_B 0.000195415 +44 *2467:21 *24722:RESET_B 3.58187e-05 +45 *2467:21 *24722:CLK 6.11359e-06 +46 *2467:21 *4827:135 0.000628689 +47 *2467:26 *2692:10 9.20082e-05 +48 *2467:26 *2692:12 1.41761e-05 +49 *2467:26 *5907:10 4.38058e-05 +50 *2467:26 *5907:17 1.07248e-05 +51 *2467:28 *19871:A 0.000328966 +52 *2467:28 *22020:A 0.000331029 +53 *2467:28 *25216:A 0.000341856 +54 *2467:28 *25217:A 0.00012568 +55 *2467:28 *25218:A 0.000130777 +56 *2467:28 *25220:A 0.00012568 +57 *2467:28 *2692:12 0.00800494 +58 *2467:28 *3034:6 0.00141507 +59 *2467:28 *3034:25 0.000584431 +60 *2467:28 *4828:50 0.00024912 +61 *2467:28 *5907:17 9.71323e-06 +62 *2467:34 *2692:12 0.000363889 +63 *2467:34 *4828:50 0.000877624 +64 *2467:34 *5592:112 5.74949e-05 +65 *2467:49 *20696:A1 2.09155e-05 +66 *2467:49 *21434:A 0.000307168 +67 *2467:49 *23873:A 3.88655e-06 +68 *2467:49 *25215:A 0.000130777 +69 *2467:49 *2687:30 4.76476e-05 +70 *2467:49 *2692:12 0.00210638 +71 *2467:49 *2692:31 7.65604e-05 +72 *2467:49 *2989:47 0.00073485 +73 *2467:49 *3212:19 0.000107413 +74 *2467:49 *4814:64 0.000364746 +75 *2467:49 *4818:110 2.01853e-05 +76 *2467:49 *4825:95 0.000360359 +77 *2467:49 *4828:50 0.000527111 +78 *2467:49 *4828:61 7.40684e-06 +79 *2467:49 *5493:51 7.36804e-06 +80 *2467:49 *5898:220 3.32887e-05 +81 *2467:55 *5494:10 1.9101e-05 +82 *2467:62 *2676:20 0.000175876 +83 *2467:62 *3257:36 7.58507e-05 +84 *2467:87 *2809:24 0 +85 *2467:87 *2949:71 4.11026e-05 +86 *2467:87 *4813:110 4.16959e-05 +87 *2467:87 *4816:89 0.000227059 +88 *2467:87 *4827:100 0.000764543 +89 *2467:87 *4873:26 0.000432072 +90 *2467:87 *4903:54 0.000205101 +91 *2467:87 *4941:8 6.7178e-05 +92 *2467:87 *5053:10 0.000143912 +93 *2467:104 *20997:B1 1.55203e-05 +94 *2467:104 *2486:96 0.000987009 +95 *2467:104 *2679:41 0.000231479 +96 *2467:104 *2687:68 0.00398381 +97 *2467:104 *2924:49 0.00114533 +98 *2467:104 *4825:95 5.26866e-05 +99 *2467:104 *4826:117 0.000299498 +100 *2467:104 *4827:100 0.00031656 +101 *2467:104 *5855:244 0.000403346 +102 *2467:104 *5857:138 0.000678793 +103 *2467:114 *21028:A1 8.69672e-05 +104 *2467:114 *21028:B1 8.39222e-06 +105 *2467:114 *21341:A 5.68944e-05 +106 *2467:114 *21454:A 0.000257759 +107 *2467:114 *2511:8 6.87503e-05 +108 *2467:114 *2610:70 0.000167948 +109 *2467:114 *2629:37 0.000333756 +110 *2467:114 *2930:17 2.27135e-05 +111 *2467:114 *3091:52 8.62625e-06 +112 *2467:114 *4826:117 5.40362e-05 +113 *2467:114 *4833:77 0.00012445 +114 *2467:114 *4833:98 0.000680734 +115 *2467:114 *4833:130 0.000172972 +116 *2467:114 *5394:8 3.02737e-05 +117 *2467:114 *5857:138 9.92197e-05 +118 *2467:123 *3091:52 0.000150725 +119 *2467:123 *3136:24 0.000336991 +120 *2467:123 *3153:26 0.000347938 +121 *2467:123 *3153:50 5.46185e-05 +122 *2467:123 *3177:16 2.39581e-05 +123 *2467:123 *5950:58 0.0002646 +124 *2467:123 *5950:60 0.000495755 +125 *2467:126 *22173:C 0 +126 *2467:126 *22542:A 1.7783e-05 +127 *2467:126 *3177:16 0.000681085 +128 *2467:126 *3177:27 0.000345216 +129 *2467:126 *5683:16 4.04447e-05 +130 *2467:126 *5949:79 1.75625e-05 +131 *20205:A *2467:123 5.6771e-05 +132 *20280:A2 *2467:21 1.03403e-05 +133 *20817:B2 *2467:126 0.000624668 +134 *21026:B2 *2467:114 0.000165754 +135 *21248:A2 *22672:A1 5.49916e-05 +136 *22188:A *2467:123 0.000162753 +137 *22215:D *2467:123 6.50727e-05 +138 *22673:A1 *22672:A1 0.000110949 +139 *24246:D *2467:114 8.50356e-05 +140 *24248:D *2467:114 8.92568e-06 +141 *24496:D *2467:114 5.35941e-05 +142 *24722:D *2467:21 0.000103943 +143 *25144:A *2467:28 2.39535e-05 +144 *490:83 *2467:49 0.000279212 +145 *500:41 *2467:87 0.000129091 +146 *502:11 *2467:62 0.000460336 +147 *512:38 *2467:104 8.91705e-05 +148 *512:56 *2467:114 0.00110329 +149 *518:44 *2467:104 0.000231479 +150 *518:44 *2467:114 0.00014525 +151 *518:46 *2467:114 0 +152 *518:46 *2467:123 1.33885e-05 +153 *531:30 *2467:114 3.06917e-06 +154 *535:19 *2467:114 4.3871e-05 +155 *537:29 *22672:A1 0.000353263 +156 *541:38 *2467:126 0.00140948 +157 *1417:8 *2467:123 5.58075e-05 +158 *1450:176 *22672:A1 0.000120384 +159 *1539:18 *22672:A1 0.000441451 +160 *1551:109 *2467:104 0.000638563 +161 *1551:109 *2467:114 0.000517916 +162 *1573:113 *2467:114 9.82849e-05 +163 *1608:98 *2467:87 0.000401837 +164 *1608:101 *2467:55 0.000397967 +165 *1608:101 *2467:62 0.000324886 +166 *1649:162 *22672:A1 7.12157e-05 +167 *1652:65 *2467:87 0.000107413 +168 *1656:8 *2467:8 3.4475e-05 +169 *1742:67 *21411:B1 0.00092193 +170 *1884:19 *2467:123 0.000495802 +171 *1884:260 *2467:126 0.000306097 +172 *2006:71 *2467:20 0 +173 *2040:8 *2467:87 9.99037e-05 +174 *2111:17 *2467:123 1.65872e-05 +175 *2111:20 *2467:126 1.06618e-05 +176 *2115:26 *2467:123 2.06692e-05 +177 *2115:198 *2467:123 3.43836e-05 +178 *2115:210 *2467:123 6.79288e-05 +179 *2120:12 *2467:123 5.35634e-05 +180 *2177:78 *2467:114 0.000357595 +181 *2257:69 *2467:49 0.000236764 +182 *2262:102 *2467:104 2.33815e-05 +183 *2274:95 *2467:114 0.000170471 +184 *2287:74 *2467:49 1.9101e-05 +185 *2287:82 *2467:55 1.5714e-05 +186 *2287:90 *2467:87 0.000401662 +187 *2287:90 *2467:104 0.000442609 +188 *2300:70 *2467:114 0.000163315 +189 *2310:37 *2467:104 0.000301644 +190 *2310:37 *2467:114 0.000759815 +191 *2313:19 *2467:114 6.36127e-05 +192 *2367:49 *2467:49 0.000108113 +193 *2367:49 *2467:55 0.00106631 +194 *2367:49 *2467:62 0.00013082 +195 *2367:51 *2467:62 0.0012117 +196 *2372:22 *2467:26 0 +197 *2372:22 *2467:28 9.92046e-06 +198 *2372:30 *2467:28 0.00151369 +199 *2372:32 *2467:28 1.92888e-05 +200 *2379:58 *2467:114 0 +201 *2423:93 *2467:104 0.000212005 +202 *2426:89 *21411:B1 8.66386e-05 +203 *2441:66 *2467:87 0.00150044 +204 *2448:82 *2467:62 3.28493e-05 +205 *2448:92 *22345:A1 0.00213483 +206 *2448:92 *2467:62 0.000139551 +207 *2448:97 *22345:A1 0.0003012 +208 *2453:7 *22672:A1 5.95927e-05 +209 *2465:20 *22345:A1 0.00140151 +*RES +1 *21407:Y *2467:8 20.4964 +2 *2467:8 *2467:9 52.3015 +3 *2467:9 *2467:20 45.6751 +4 *2467:20 *2467:21 73.3765 +5 *2467:21 *2467:26 7.83423 +6 *2467:26 *2467:28 138.01 +7 *2467:28 *2467:34 16.6101 +8 *2467:34 *2467:49 48.4361 +9 *2467:49 *2467:55 20.6175 +10 *2467:55 *2467:62 49.8202 +11 *2467:62 *22345:A1 37.1481 +12 *2467:55 *2467:87 46.2816 +13 *2467:87 *2467:104 42.9876 +14 *2467:104 *2467:114 38.2934 +15 *2467:114 *2467:123 49.086 +16 *2467:123 *2467:126 48.0648 +17 *2467:126 *21411:B1 32.7275 +18 *2467:104 *22672:A1 32.6618 +*END + +*D_NET *2468 0.129335 +*CONN +*I *21410:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6180:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22357:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22686:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21408:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *21410:A1 0 +2 *6180:DIODE 0.00015878 +3 *22357:A1 6.68843e-05 +4 *22686:A1 0.000122096 +5 *21408:Y 5.0318e-05 +6 *2468:135 0.000270458 +7 *2468:130 0.00283744 +8 *2468:129 0.00272576 +9 *2468:127 0.002773 +10 *2468:123 0.00346192 +11 *2468:117 0.00209067 +12 *2468:115 0.00169795 +13 *2468:111 0.0015763 +14 *2468:107 0.00206858 +15 *2468:80 0.00303583 +16 *2468:79 0.00347184 +17 *2468:67 0.00226148 +18 *2468:63 0.00194733 +19 *2468:59 0.00138927 +20 *2468:54 0.00452385 +21 *2468:53 0.00416183 +22 *2468:37 0.00649122 +23 *2468:34 0.00734868 +24 *2468:8 0.00388108 +25 *2468:7 0.00290181 +26 *6180:DIODE *21411:C1 2.137e-05 +27 *6180:DIODE *2469:35 3.31745e-05 +28 *6180:DIODE *2936:22 6.08467e-05 +29 *22357:A1 *3139:177 0.000112673 +30 *22357:A1 *3543:33 0.000101489 +31 *22686:A1 *22684:A2 0.000145813 +32 *22686:A1 *22685:B2 0.000148986 +33 *2468:7 *4843:63 2.16355e-05 +34 *2468:8 *20717:B1 9.10636e-05 +35 *2468:8 *21150:A1 8.8567e-05 +36 *2468:8 *21154:A1 0.000238552 +37 *2468:8 *21557:A 2.01503e-05 +38 *2468:8 *2553:24 5.74949e-05 +39 *2468:8 *2553:60 0.000283835 +40 *2468:8 *2624:6 0.000154376 +41 *2468:8 *2783:8 0.00122207 +42 *2468:8 *3040:10 1.73182e-05 +43 *2468:8 *4739:36 0.000452017 +44 *2468:8 *4838:52 0.000149312 +45 *2468:8 *4838:68 0.000387341 +46 *2468:8 *4839:199 0.000958873 +47 *2468:8 *4843:63 0.00033614 +48 *2468:8 *4869:118 6.81008e-05 +49 *2468:8 *5858:8 0.000111203 +50 *2468:34 *2554:35 0.000131124 +51 *2468:34 *2592:20 1.5714e-05 +52 *2468:34 *2614:17 1.41291e-05 +53 *2468:34 *2779:10 6.50586e-05 +54 *2468:34 *2783:16 0.000316176 +55 *2468:34 *2889:20 0.000208432 +56 *2468:34 *2889:22 4.41499e-05 +57 *2468:34 *3065:19 0.000109806 +58 *2468:34 *3175:112 0.000346118 +59 *2468:34 *3533:100 2.30009e-05 +60 *2468:34 *4932:31 0.000129684 +61 *2468:34 *5815:30 0.000337594 +62 *2468:34 *5867:78 0.000107496 +63 *2468:37 *22345:B2 0.000266098 +64 *2468:37 *22613:B1 0.000440418 +65 *2468:37 *22686:B1 0.000107413 +66 *2468:37 *2697:25 5.60804e-05 +67 *2468:37 *3044:23 0.000461128 +68 *2468:37 *3114:180 0 +69 *2468:37 *3222:41 0.000235677 +70 *2468:37 *3243:41 0.00054452 +71 *2468:37 *3523:172 0.000102001 +72 *2468:37 *3543:149 0.000394594 +73 *2468:37 *3579:23 0.00212803 +74 *2468:37 *5908:87 0.00103771 +75 *2468:53 *22684:A2 6.86706e-05 +76 *2468:53 *22685:B2 7.22263e-05 +77 *2468:54 *24307:CLK 1.92172e-05 +78 *2468:54 *4816:89 0.000185171 +79 *2468:59 *2631:28 0.000430097 +80 *2468:59 *4903:54 0.000302932 +81 *2468:59 *4903:61 0.00016753 +82 *2468:59 *5903:6 0 +83 *2468:63 *2520:68 0.000237135 +84 *2468:63 *2631:28 0.000320217 +85 *2468:63 *3011:30 1.56213e-05 +86 *2468:63 *5853:243 0 +87 *2468:63 *5903:18 2.10284e-05 +88 *2468:67 *24275:CLK 2.92625e-05 +89 *2468:67 *2520:68 0.000715906 +90 *2468:67 *2520:72 0 +91 *2468:67 *5861:397 0.00059012 +92 *2468:67 *5861:408 0.000798829 +93 *2468:67 *5910:33 0.000543986 +94 *2468:79 *2479:8 8.69114e-05 +95 *2468:79 *2570:80 0.000250403 +96 *2468:79 *2906:56 2.68839e-05 +97 *2468:79 *3076:28 1.41194e-05 +98 *2468:79 *4844:231 0.000158357 +99 *2468:79 *4845:281 0.000542343 +100 *2468:80 *2480:38 2.23124e-05 +101 *2468:80 *2570:94 2.07503e-05 +102 *2468:80 *3142:211 1.58551e-05 +103 *2468:80 *3185:163 0.000534455 +104 *2468:80 *3185:184 0.000527556 +105 *2468:107 *20992:B1 6.08467e-05 +106 *2468:107 *2479:8 6.23875e-05 +107 *2468:107 *2809:24 7.45173e-05 +108 *2468:107 *4825:102 0.000969669 +109 *2468:107 *4825:112 9.39114e-06 +110 *2468:107 *4833:130 4.42313e-05 +111 *2468:107 *4845:281 9.55447e-05 +112 *2468:107 *4845:289 0.000461704 +113 *2468:107 *4845:296 9.75356e-05 +114 *2468:107 *4845:303 0.000516249 +115 *2468:107 *5417:30 0.000163982 +116 *2468:107 *5853:277 7.79521e-05 +117 *2468:111 *6222:DIODE 0.000131716 +118 *2468:111 *21243:A 0.00020485 +119 *2468:111 *21654:A 0.000139435 +120 *2468:111 *4825:112 0.000405666 +121 *2468:111 *4845:303 0.000100397 +122 *2468:111 *5365:6 0.000162595 +123 *2468:111 *5593:65 0.00109893 +124 *2468:111 *5853:277 0.00115437 +125 *2468:115 *19818:A 0.000318027 +126 *2468:115 *20140:A1 0.000264137 +127 *2468:117 *20136:B1 0.000294928 +128 *2468:117 *24809:RESET_B 0.000275849 +129 *2468:117 *5864:274 0.000801812 +130 *2468:117 *5864:294 0.000680579 +131 *2468:117 *5864:300 0.00024356 +132 *2468:117 *5864:412 0.000477412 +133 *2468:123 *24741:CLK 0.000172676 +134 *2468:123 *24787:CLK 0.000137404 +135 *2468:123 *2978:90 3.42931e-05 +136 *2468:123 *5861:482 0.000235204 +137 *2468:123 *5864:256 2.33193e-05 +138 *2468:123 *5864:265 5.22071e-05 +139 *2468:123 *5864:274 1.08264e-05 +140 *2468:127 *2471:28 0.00186504 +141 *2468:127 *4845:344 1.27238e-05 +142 *2468:127 *4876:29 4.25391e-05 +143 *2468:130 *21757:A 6.08467e-05 +144 *2468:130 *24772:CLK 0.000356482 +145 *2468:130 *2667:43 0.00342607 +146 *2468:130 *2773:7 0.000741856 +147 *2468:130 *4844:327 0.000739663 +148 *2468:130 *4844:339 0.000321723 +149 *2468:130 *4854:16 0.000653605 +150 *2468:130 *5241:10 0.000204964 +151 *2468:130 *5853:404 0.0024952 +152 *2468:135 *2587:18 7.84457e-06 +153 *19864:A1 *2468:37 0.000252364 +154 *20133:A2 *2468:117 1.66771e-05 +155 *20252:A *2468:117 0.000148144 +156 *20309:A1 *2468:67 3.23147e-05 +157 *20318:B1 *2468:127 1.60414e-05 +158 *20650:B2 *2468:8 0.000783158 +159 *20863:B2 *2468:8 2.57465e-06 +160 *20898:A2 *2468:111 7.14746e-05 +161 *21066:A *2468:80 1.37189e-05 +162 *21066:B *2468:80 0.00132919 +163 *21067:A *2468:80 0.000207901 +164 *21234:B1 *2468:130 0.000210224 +165 *21410:A2 *6180:DIODE 0.000112149 +166 *21410:B2 *6180:DIODE 6.08467e-05 +167 *22344:A1 *2468:54 5.04829e-06 +168 *22345:A1 *2468:54 0.00027799 +169 *22699:A1 *2468:37 0.000525917 +170 *24191:D *2468:8 5.7995e-05 +171 *24307:D *2468:54 7.31578e-05 +172 *24342:D *2468:111 4.31485e-06 +173 *24463:D *2468:63 7.14746e-05 +174 *24468:D *2468:63 9.46352e-05 +175 *24772:D *2468:130 1.07617e-05 +176 *324:19 *2468:67 0.000213097 +177 *476:108 *2468:117 0.00056288 +178 *478:64 *2468:63 8.00143e-05 +179 *482:40 *2468:111 0.00027906 +180 *482:40 *2468:115 1.72221e-05 +181 *482:40 *2468:117 0.000208649 +182 *502:24 *2468:79 9.71215e-05 +183 *510:47 *2468:63 0.00167234 +184 *510:47 *2468:67 0.000246565 +185 *510:51 *2468:67 0.000254617 +186 *510:51 *2468:107 4.0313e-05 +187 *510:51 *2468:111 3.89332e-06 +188 *510:55 *2468:111 0.000117498 +189 *510:55 *2468:115 0.000681548 +190 *510:55 *2468:117 0.00106034 +191 *510:55 *2468:123 0.00171657 +192 *1450:164 *2468:79 4.26375e-05 +193 *1520:52 *2468:127 0.000129116 +194 *1564:84 *2468:80 1.58437e-05 +195 *1564:86 *2468:80 0.000967354 +196 *1593:26 *2468:37 0.000389264 +197 *1594:59 *2468:37 0.000128174 +198 *1594:85 *2468:37 7.66231e-05 +199 *1612:24 *2468:37 0.00262485 +200 *1666:11 *2468:37 0.000591474 +201 *1688:11 *2468:8 0.000173991 +202 *1688:17 *2468:8 0.00153309 +203 *1688:75 *2468:37 5.52578e-05 +204 *1688:91 *2468:107 0.000164855 +205 *1708:34 *2468:135 1.07248e-05 +206 *1729:51 *2468:8 0.00022279 +207 *1744:7 *2468:130 0.000575087 +208 *1766:11 *2468:135 0.000141421 +209 *1771:127 *2468:37 0.000131362 +210 *1783:38 *2468:34 9.02046e-05 +211 *1918:36 *2468:123 0.000269679 +212 *1947:70 *2468:37 0.000993293 +213 *2025:8 *2468:8 0.000707796 +214 *2025:26 *2468:8 0.000203886 +215 *2025:32 *2468:8 0.00017643 +216 *2063:68 *2468:127 0.000115588 +217 *2127:14 *2468:34 5.61932e-05 +218 *2202:7 *2468:79 0.000538117 +219 *2232:22 *2468:8 0.000511622 +220 *2232:36 *2468:8 9.39667e-05 +221 *2232:39 *2468:8 0 +222 *2295:78 *2468:79 0.00100461 +223 *2295:78 *2468:80 2.05025e-05 +224 *2300:19 *2468:130 1.92336e-05 +225 *2319:33 *2468:59 5.08079e-05 +226 *2319:33 *2468:63 0.000170027 +227 *2404:25 *2468:37 0.00012543 +228 *2404:60 *2468:37 0.000183928 +229 *2415:32 *2468:79 1.66626e-05 +230 *2448:97 *2468:54 0.000650619 +231 *2465:20 *2468:54 0.00164123 +232 *2466:29 *2468:135 0.000172006 +*RES +1 *21408:Y *2468:7 14.4725 +2 *2468:7 *2468:8 119.141 +3 *2468:8 *2468:34 45.6321 +4 *2468:34 *2468:37 19.4816 +5 *2468:37 *22686:A1 17.5597 +6 *2468:37 *2468:53 6.12437 +7 *2468:53 *2468:54 61.7298 +8 *2468:54 *2468:59 16.8232 +9 *2468:59 *2468:63 35.785 +10 *2468:63 *2468:67 42.6666 +11 *2468:67 *2468:79 33.5182 +12 *2468:79 *2468:80 47.8647 +13 *2468:80 *22357:A1 20.4964 +14 *2468:67 *2468:107 37.9688 +15 *2468:107 *2468:111 45.9586 +16 *2468:111 *2468:115 13.1537 +17 *2468:115 *2468:117 59.4421 +18 *2468:117 *2468:123 35.8271 +19 *2468:123 *2468:127 47.9567 +20 *2468:127 *2468:129 4.5 +21 *2468:129 *2468:130 98.3336 +22 *2468:130 *2468:135 12.493 +23 *2468:135 *6180:DIODE 13.8789 +24 *2468:135 *21410:A1 9.24915 +*END + +*D_NET *2469 0.0319475 +*CONN +*I *21410:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21409:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21410:B1 0 +2 *21409:Y 0.000203047 +3 *2469:35 0.00257653 +4 *2469:23 0.00413894 +5 *2469:19 0.00397419 +6 *2469:11 0.00573935 +7 *2469:10 0.00353062 +8 *2469:10 *2864:49 5.51483e-06 +9 *2469:10 *5735:12 9.49135e-05 +10 *2469:11 *22832:B 8.41339e-05 +11 *2469:11 *23953:S 0.000224181 +12 *2469:11 *4876:74 6.08467e-05 +13 *2469:11 *5440:67 7.85874e-06 +14 *2469:11 *5474:73 0.000862456 +15 *2469:19 *24051:A0 7.6719e-06 +16 *2469:19 *24051:A1 6.23875e-05 +17 *2469:19 *24053:A0 0.00046622 +18 *2469:19 *24062:A1 1.43983e-05 +19 *2469:19 *3014:56 9.32891e-05 +20 *2469:19 *3758:8 0.000234457 +21 *2469:19 *3758:18 6.18026e-05 +22 *2469:19 *4876:83 2.69795e-05 +23 *2469:19 *4894:22 8.05819e-05 +24 *2469:23 *2719:16 0.000158357 +25 *2469:35 *21411:C1 0.000111708 +26 *2469:35 *21926:A1 0 +27 *2469:35 *2514:16 0 +28 *2469:35 *2936:22 0.000107496 +29 *2469:35 *2964:35 0.000100224 +30 *2469:35 *2976:18 0.000962306 +31 *6180:DIODE *2469:35 3.31745e-05 +32 *20006:A *2469:35 0.000180657 +33 *21461:A2 *2469:35 0.00137938 +34 *24027:A0 *2469:19 2.99929e-05 +35 *24656:D *2469:19 0.000112149 +36 *462:7 *2469:19 1.52886e-05 +37 *468:17 *2469:23 0.000429734 +38 *516:19 *2469:35 0.000243851 +39 *1459:39 *2469:19 0.000154425 +40 *1471:10 *2469:35 0.000105895 +41 *1471:128 *2469:10 1.41976e-05 +42 *1471:160 *2469:10 0.000307037 +43 *1506:75 *2469:23 0.000371551 +44 *1506:76 *2469:23 0.000459915 +45 *1506:87 *2469:23 0.000487161 +46 *1511:23 *2469:35 0.00121033 +47 *1515:34 *2469:23 0.000737564 +48 *1639:20 *2469:35 0.000120024 +49 *1639:25 *2469:35 0.000157691 +50 *1668:59 *2469:19 1.78955e-05 +51 *1671:17 *2469:35 5.54807e-05 +52 *1686:8 *2469:35 0.000109493 +53 *1689:47 *2469:35 0 +54 *1711:65 *2469:35 0.000365964 +55 *1766:13 *2469:35 0.000601456 +56 *1766:21 *2469:35 0.000114489 +57 *1939:8 *2469:19 7.92757e-06 +58 *1939:8 *2469:23 3.58208e-05 +59 *2405:20 *2469:10 9.8511e-05 +*RES +1 *21409:Y *2469:10 24.1322 +2 *2469:10 *2469:11 49.5285 +3 *2469:11 *2469:19 48.0384 +4 *2469:19 *2469:23 46.8187 +5 *2469:23 *2469:35 46.8457 +6 *2469:35 *21410:B1 9.24915 +*END + +*D_NET *2470 0.00206725 +*CONN +*I *21411:C1 I *D sky130_fd_sc_hd__o221a_4 +*I *21410:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21411:C1 0.000551093 +2 *21410:X 0.000551093 +3 *6180:DIODE *21411:C1 2.137e-05 +4 *21410:B2 *21411:C1 2.16355e-05 +5 *21411:B2 *21411:C1 6.50586e-05 +6 *1510:45 *21411:C1 0.000118485 +7 *1637:9 *21411:C1 9.07581e-05 +8 *1651:7 *21411:C1 0.000164815 +9 *1708:27 *21411:C1 5.8973e-05 +10 *1710:8 *21411:C1 0.000118485 +11 *2466:29 *21411:C1 0.000193776 +12 *2469:35 *21411:C1 0.000111708 +*RES +1 *21410:X *21411:C1 40.6206 +*END + +*D_NET *2471 0.0733677 +*CONN +*I *21412:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21411:X O *D sky130_fd_sc_hd__o221a_4 +*CAP +1 *21412:C1 0.00567284 +2 *21411:X 0.000903937 +3 *2471:56 0.00746633 +4 *2471:54 0.00256005 +5 *2471:36 0.00228151 +6 *2471:35 0.00313335 +7 *2471:28 0.00240954 +8 *2471:21 0.00249943 +9 *2471:17 0.00306419 +10 *2471:14 0.00225984 +11 *21412:C1 *20656:B1 0.0011133 +12 *21412:C1 *20940:B1 5.76913e-05 +13 *21412:C1 *20997:B1 0 +14 *21412:C1 *21998:A1 0 +15 *21412:C1 *22018:A 0.000733002 +16 *21412:C1 *2534:23 0.000218932 +17 *21412:C1 *2631:28 0.00112674 +18 *21412:C1 *2692:53 0.000217407 +19 *21412:C1 *2900:111 0.0020833 +20 *21412:C1 *3013:16 0.000474049 +21 *21412:C1 *3122:136 0.000104754 +22 *21412:C1 *3177:298 0.00013228 +23 *21412:C1 *3517:310 0.000133323 +24 *21412:C1 *4814:64 0.00137147 +25 *21412:C1 *4823:85 2.19531e-05 +26 *21412:C1 *4843:313 0.000273339 +27 *21412:C1 *4873:26 0 +28 *21412:C1 *5592:102 0.00026853 +29 *21412:C1 *5857:113 3.14761e-05 +30 *21412:C1 *5903:18 2.09025e-05 +31 *2471:14 *21698:A 3.47384e-05 +32 *2471:14 *2967:14 0 +33 *2471:14 *3071:26 4.24449e-05 +34 *2471:17 *19794:A 6.25697e-05 +35 *2471:17 *20203:A1 3.31745e-05 +36 *2471:17 *22057:A 4.73598e-05 +37 *2471:17 *24771:RESET_B 0.000344421 +38 *2471:17 *24771:CLK 0.000172173 +39 *2471:17 *2609:17 0.000393479 +40 *2471:17 *5240:5 3.0935e-05 +41 *2471:17 *5853:421 0.00108701 +42 *2471:17 *5859:343 0.000893737 +43 *2471:21 *24372:CLK 5.16323e-05 +44 *2471:21 *5853:410 1.65872e-05 +45 *2471:21 *5853:421 8.25486e-05 +46 *2471:21 *5948:7 7.65564e-05 +47 *2471:28 *5857:189 0.00021624 +48 *2471:28 *5948:7 0.000381471 +49 *2471:35 *24097:TE_B 0.000213725 +50 *2471:35 *24367:RESET_B 3.56986e-05 +51 *2471:35 *2882:19 0.000154145 +52 *2471:35 *5725:13 1.96478e-05 +53 *2471:35 *5855:329 2.37827e-05 +54 *2471:35 *5954:11 0.000134626 +55 *2471:36 *5855:319 0.00372017 +56 *2471:36 *5855:329 1.76791e-05 +57 *2471:36 *5908:23 4.69204e-06 +58 *2471:36 *5908:29 0.000150552 +59 *2471:36 *5910:26 6.56617e-05 +60 *2471:54 *4813:17 0.00017216 +61 *2471:54 *5527:36 0.000205849 +62 *2471:56 *20996:A1 0.000136736 +63 *2471:56 *22816:A 0.000200236 +64 *2471:56 *23864:A 0.000678375 +65 *2471:56 *24103:A 7.50872e-05 +66 *2471:56 *2570:66 0.00125111 +67 *2471:56 *2584:62 5.11466e-05 +68 *2471:56 *2989:80 0.000235581 +69 *2471:56 *2989:91 0.000194378 +70 *2471:56 *3257:61 7.19452e-05 +71 *2471:56 *4817:85 0.000255576 +72 *2471:56 *4829:125 0.000605968 +73 *2471:56 *4829:127 6.33818e-05 +74 *2471:56 *5527:36 1.2693e-05 +75 *2471:56 *5855:261 0.000742916 +76 mgmt_gpio_out[9] *2471:56 1.91391e-05 +77 *20203:A2 *2471:17 7.92757e-06 +78 *20203:B2 *2471:17 6.50586e-05 +79 *20318:A2 *2471:35 0.000340742 +80 *20318:B2 *2471:35 2.71504e-05 +81 *20516:A2 *2471:21 4.00824e-05 +82 *20516:B2 *2471:21 9.80242e-07 +83 *22356:A2 *21412:C1 0.000543464 +84 *22676:A1 *21412:C1 0.000231479 +85 *22676:B2 *21412:C1 3.53938e-05 +86 *24367:D *2471:35 5.04829e-06 +87 *24695:D *2471:35 5.07314e-05 +88 *24771:D *2471:14 9.37126e-05 +89 *24772:D *2471:17 0.000107101 +90 *25173:A *2471:54 0.000165952 +91 *25174:A *2471:56 6.34564e-05 +92 *74:10 *2471:36 0.000116092 +93 *476:90 *2471:36 0.000466534 +94 *476:100 *2471:36 0.000238645 +95 *476:122 *2471:36 0.000567258 +96 *476:142 *2471:56 6.39153e-06 +97 *506:35 *2471:21 0.000150271 +98 *535:42 *2471:36 0.000214906 +99 *1418:86 *21412:C1 2.2397e-05 +100 *1439:81 *2471:56 0.00183314 +101 *1450:122 *2471:28 5.38037e-05 +102 *1520:52 *2471:28 0.000172731 +103 *1555:58 *21412:C1 2.07556e-06 +104 *1610:115 *21412:C1 0.000186488 +105 *1709:40 *21412:C1 0.00116486 +106 *1742:67 *2471:35 0.000429373 +107 *1917:29 *2471:56 0.000380601 +108 *1917:34 *2471:54 0.000832127 +109 *1917:34 *2471:56 0.000211382 +110 *1917:36 *2471:36 1.9946e-05 +111 *1917:36 *2471:54 0.00033335 +112 *1918:18 *2471:35 0.00125155 +113 *2085:41 *2471:28 8.14875e-05 +114 *2085:50 *2471:28 0.000481593 +115 *2093:149 *21412:C1 8.48871e-05 +116 *2282:59 *2471:56 0.000796382 +117 *2291:61 *2471:56 0.000110809 +118 *2295:42 *2471:56 1.72954e-05 +119 *2297:86 *21412:C1 6.16209e-06 +120 *2298:30 *21412:C1 0.000131966 +121 *2301:18 *2471:36 0.000923604 +122 *2301:18 *2471:54 1.00478e-05 +123 *2301:27 *2471:54 0.00110141 +124 *2389:39 *2471:35 2.41274e-06 +125 *2389:53 *2471:36 0.0019688 +126 *2423:93 *21412:C1 0.00107183 +127 *2446:145 *21412:C1 0.0001686 +128 *2468:127 *2471:28 0.00186504 +*RES +1 *21411:X *2471:14 32.3823 +2 *2471:14 *2471:17 43.3073 +3 *2471:17 *2471:21 24.5955 +4 *2471:21 *2471:28 41.2225 +5 *2471:28 *2471:35 47.0116 +6 *2471:35 *2471:36 84.6015 +7 *2471:36 *2471:54 35.3575 +8 *2471:54 *2471:56 82.1833 +9 *2471:56 *21412:C1 40.1531 +*END + +*D_NET *2472 0.0113212 +*CONN +*I *21424:B I *D sky130_fd_sc_hd__and4_1 +*I *21412:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21424:B 0.000403965 +2 *21412:X 0.000530258 +3 *2472:27 0.00214417 +4 *2472:15 0.00227046 +5 *21424:B *22386:B2 0.000125698 +6 *21424:B *2477:34 0.000141165 +7 *21424:B *3126:34 6.22114e-05 +8 *21424:B *3300:8 7.85871e-06 +9 *2472:15 *3026:5 0.000107496 +10 *2472:15 *3517:310 2.57629e-05 +11 *2472:15 *3543:75 0.000177949 +12 *2472:15 *3543:97 0.000304229 +13 *2472:27 *21417:B1 0.000154271 +14 *2472:27 *21658:B1 6.76898e-05 +15 *2472:27 *22718:A2 2.26985e-05 +16 *2472:27 *22718:B2 0.00011503 +17 *2472:27 *22724:A 9.48222e-05 +18 *2472:27 *2474:37 0.000937832 +19 *2472:27 *2475:36 1.08955e-05 +20 *2472:27 *2477:34 0.000515646 +21 *2472:27 *2880:45 0.000153427 +22 *2472:27 *3111:125 0.000331332 +23 *2472:27 *3124:242 5.01835e-05 +24 *2472:27 *3519:279 0.00029165 +25 *2472:27 *3547:17 2.31486e-05 +26 *21180:A1 *2472:27 6.84784e-06 +27 *21294:B2 *2472:27 3.27099e-05 +28 *21395:B2 *2472:27 0.000168737 +29 *21417:A2 *2472:27 0.000154944 +30 *324:27 *2472:27 0.000155038 +31 *490:105 *2472:27 5.60804e-05 +32 *490:114 *21424:B 5.41697e-05 +33 *1635:69 *2472:27 0.000345019 +34 *2093:136 *2472:15 0.000289374 +35 *2093:136 *2472:27 9.66809e-05 +36 *2243:28 *2472:27 0.000125842 +37 *2244:73 *2472:27 0.000142244 +38 *2267:80 *21424:B 0.000223278 +39 *2297:86 *2472:27 1.5714e-05 +40 *2306:75 *2472:27 2.93733e-05 +41 *2417:82 *2472:27 0.000308909 +42 *2418:38 *21424:B 4.64052e-05 +*RES +1 *21412:X *2472:15 35.4832 +2 *2472:15 *2472:27 44.053 +3 *2472:27 *21424:B 23.6786 +*END + +*D_NET *2473 0.0496662 +*CONN +*I *21417:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22347:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21413:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21417:A1 0.000733639 +2 *22347:A1 0.000297529 +3 *21413:Y 0.000106127 +4 *2473:57 0.00249655 +5 *2473:45 0.00257292 +6 *2473:39 0.00266095 +7 *2473:37 0.00258319 +8 *2473:30 0.00225835 +9 *2473:8 0.0048317 +10 *2473:7 0.00311419 +11 *21417:A1 *22387:C1 4.31603e-06 +12 *21417:A1 *2475:36 0.000294051 +13 *21417:A1 *3124:242 1.01861e-05 +14 *22347:A1 *4956:24 0.00035144 +15 *2473:7 *4825:39 0.000164829 +16 *2473:8 *20877:A1 4.47713e-05 +17 *2473:8 *2770:8 0.000872182 +18 *2473:8 *4831:63 0.00101851 +19 *2473:8 *4831:67 0.00040506 +20 *2473:8 *4831:71 0.000174175 +21 *2473:8 *4845:210 0.000200338 +22 *2473:8 *4845:212 5.86318e-05 +23 *2473:8 *4872:167 0 +24 *2473:8 *5343:9 5.54078e-05 +25 *2473:8 *5855:589 0.000922594 +26 *2473:8 *5891:22 0 +27 *2473:30 *24963:A 0.000264612 +28 *2473:30 *2480:7 0.000113968 +29 *2473:30 *2493:36 0.00040678 +30 *2473:30 *4872:65 0.000160617 +31 *2473:30 *4902:7 1.16357e-05 +32 *2473:37 *4902:7 9.22159e-05 +33 *2473:39 *20958:B1 3.24096e-05 +34 *2473:39 *21271:A 0.000163772 +35 *2473:39 *4805:36 0.000442757 +36 *2473:39 *4844:183 0.000259185 +37 *2473:39 *4903:126 0.000327285 +38 *2473:39 *5052:11 0.000103002 +39 *2473:39 *5421:9 8.60109e-05 +40 *2473:45 *2888:17 3.89395e-05 +41 *2473:45 *4805:36 1.46723e-05 +42 *2473:45 *4820:71 0 +43 *2473:57 *21630:B1 0.000806764 +44 *2473:57 *24314:RESET_B 0.00097017 +45 *2473:57 *24467:CLK 0.000154664 +46 *2473:57 *4815:91 3.64904e-05 +47 *2473:57 *4818:23 0.000368031 +48 *19797:A *2473:57 2.43314e-05 +49 *20624:B *22347:A1 0.000131828 +50 *20624:B *2473:8 0.00104494 +51 *20628:A2 *2473:8 5.49916e-05 +52 *20654:A2 *2473:45 0.00019331 +53 *20727:A *2473:30 5.73392e-05 +54 *20963:B2 *2473:39 0.000135613 +55 *21261:D *2473:57 0.000254568 +56 *21417:A2 *21417:A1 0.000243132 +57 *22303:A1 *22347:A1 0.000313117 +58 *22303:A1 *2473:8 5.65265e-05 +59 *478:53 *2473:37 0.000200794 +60 *480:59 *2473:37 1.65872e-05 +61 *480:183 *2473:37 0.000133651 +62 *489:35 *2473:30 8.80496e-05 +63 *489:50 *2473:8 0.000113267 +64 *1418:145 *2473:30 0.000212985 +65 *1418:151 *2473:30 0.000489521 +66 *1439:46 *2473:39 0.00207892 +67 *1439:46 *2473:45 8.6624e-05 +68 *1439:54 *2473:45 0.00136227 +69 *1439:421 *2473:30 0.000543581 +70 *1439:421 *2473:37 8.65426e-05 +71 *1551:190 *22347:A1 0.000181289 +72 *1554:85 *21417:A1 0.00153294 +73 *1554:113 *2473:57 6.62712e-05 +74 *1635:74 *2473:57 9.84424e-06 +75 *1742:93 *2473:37 0 +76 *2018:10 *2473:8 0.000232969 +77 *2027:46 *2473:45 0.000491076 +78 *2134:8 *2473:8 0.000647548 +79 *2135:8 *2473:8 0.000143613 +80 *2135:20 *2473:8 0.000397041 +81 *2155:28 *2473:57 1.91246e-05 +82 *2162:8 *2473:39 0.000418379 +83 *2162:10 *2473:39 6.1438e-05 +84 *2162:12 *2473:39 0.000535349 +85 *2162:14 *2473:39 0.000580667 +86 *2162:76 *2473:39 0.000241849 +87 *2251:10 *2473:8 0 +88 *2266:24 *2473:39 0.000109157 +89 *2287:94 *2473:57 3.65763e-05 +90 *2297:43 *2473:37 0.000656125 +91 *2319:23 *2473:37 0.000722669 +92 *2319:42 *2473:45 0.000162112 +93 *2319:55 *2473:57 1.5714e-05 +94 *2380:41 *22347:A1 6.41208e-05 +95 *2389:96 *2473:57 0.00116721 +96 *2421:18 *2473:30 0.000693147 +97 *2433:20 *2473:37 3.81801e-05 +98 *2433:20 *2473:39 1.61417e-05 +99 *2452:36 *21417:A1 1.65872e-05 +100 *2452:51 *21417:A1 0.00139504 +101 *2462:8 *2473:57 5.93375e-06 +*RES +1 *21413:Y *2473:7 15.5817 +2 *2473:7 *2473:8 106.06 +3 *2473:8 *22347:A1 24.1999 +4 *2473:8 *2473:30 44.9981 +5 *2473:30 *2473:37 29.0497 +6 *2473:37 *2473:39 66.6113 +7 *2473:39 *2473:45 33.0181 +8 *2473:45 *2473:57 41.1266 +9 *2473:57 *21417:A1 45.6703 +*END + +*D_NET *2474 0.0304674 +*CONN +*I *21417:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22343:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22675:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21414:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21417:B1 9.32809e-05 +2 *22343:B1 0 +3 *22675:B1 0.000288948 +4 *21414:Y 0.00202009 +5 *2474:37 0.00151054 +6 *2474:14 0.0056676 +7 *2474:7 0.00598148 +8 *22675:B1 *22304:B2 1.54705e-05 +9 *22675:B1 *22650:B1 0.000158567 +10 *22675:B1 *22675:B2 0.000117455 +11 *22675:B1 *22675:C1 1.26168e-05 +12 *22675:B1 *2772:15 1.81951e-05 +13 *22675:B1 *3481:215 7.00286e-05 +14 *22675:B1 *3498:179 1.00238e-05 +15 *22675:B1 *3531:43 5.76799e-05 +16 *22675:B1 *4817:120 0.000207599 +17 *22675:B1 *4827:79 4.52321e-05 +18 *2474:7 *20616:B1 1.97642e-05 +19 *2474:7 *2630:9 9.80242e-07 +20 *2474:7 *2896:49 0.00017413 +21 *2474:7 *2906:63 4.13003e-05 +22 *2474:7 *4843:445 0.000251669 +23 *2474:7 *4844:259 0.000351426 +24 *2474:14 *22330:A2 0.000320446 +25 *2474:14 *22645:B1 0 +26 *2474:14 *2584:77 0 +27 *2474:14 *2629:37 0.00215457 +28 *2474:14 *2880:20 0.00139677 +29 *2474:14 *2906:63 8.42071e-05 +30 *2474:14 *2950:92 2.15853e-05 +31 *2474:14 *3041:31 2.9077e-05 +32 *2474:14 *3120:55 5.63759e-05 +33 *2474:14 *3192:15 6.96381e-06 +34 *2474:14 *3521:26 0.000456561 +35 *2474:14 *5067:14 7.50872e-05 +36 *2474:37 *21658:B1 0.00111635 +37 *2474:37 *2477:34 2.65972e-05 +38 *2474:37 *2880:20 0.00126946 +39 *2474:37 *3111:125 8.3051e-06 +40 *2474:37 *3300:8 3.30498e-05 +41 *2474:37 *3498:231 6.83668e-05 +42 *2474:37 *3634:14 0.00027585 +43 *2474:37 *5919:72 0.000104754 +44 *20614:A *2474:14 1.62372e-05 +45 *22674:A1 *22675:B1 3.29488e-05 +46 *22708:B1 *21417:B1 0.000119447 +47 *22708:B1 *2474:37 0.000183111 +48 *24227:D *2474:14 0.000269766 +49 *512:47 *2474:14 1.97706e-05 +50 *1545:66 *2474:14 0.000111938 +51 *1551:75 *2474:14 0.000370613 +52 *2243:28 *21417:B1 2.77564e-05 +53 *2295:82 *2474:37 0.000108986 +54 *2397:50 *2474:14 0.000801736 +55 *2456:22 *2474:37 6.77521e-05 +56 *2456:30 *2474:14 0.00140076 +57 *2456:30 *2474:37 0.000448876 +58 *2459:10 *2474:14 0.00075817 +59 *2459:29 *2474:14 1.89644e-05 +60 *2472:27 *21417:B1 0.000154271 +61 *2472:27 *2474:37 0.000937832 +*RES +1 *21414:Y *2474:7 42.7573 +2 *2474:7 *2474:14 42.4911 +3 *2474:14 *22675:B1 23.4968 +4 *2474:14 *2474:37 20.7249 +5 *2474:37 *22343:B1 13.7491 +6 *2474:37 *21417:B1 16.8269 +*END + +*D_NET *2475 0.0118953 +*CONN +*I *22683:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21416:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *22343:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21415:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22683:A1 0 +2 *21416:B1 0 +3 *22343:A1 0 +4 *21415:Y 0.000993596 +5 *2475:36 0.00137978 +6 *2475:23 0.00154033 +7 *2475:18 0.00115414 +8 *2475:18 *21283:A1 0.00159136 +9 *2475:18 *21415:A 6.08467e-05 +10 *2475:18 *22683:C1 0.000442636 +11 *2475:18 *22723:B2 1.91246e-05 +12 *2475:18 *2900:111 2.43496e-05 +13 *2475:18 *3064:13 0.000442558 +14 *2475:18 *3192:91 1.5714e-05 +15 *2475:18 *3301:8 1.19737e-05 +16 *2475:23 *22723:B2 0.00018029 +17 *2475:23 *3301:8 0.000183046 +18 *2475:23 *3316:14 0.000110306 +19 *2475:36 *22387:B2 8.43846e-05 +20 *2475:36 *2477:34 2.84249e-05 +21 *2475:36 *3301:8 0.00151365 +22 *2475:36 *3342:12 3.10272e-05 +23 *21416:A2_N *2475:23 1.41976e-05 +24 *21417:A1 *2475:36 0.000294051 +25 *21417:A2 *2475:36 3.57291e-06 +26 *477:180 *2475:18 0.000655906 +27 *1564:171 *2475:18 1.91391e-05 +28 *1616:123 *2475:23 1.58551e-05 +29 *2306:56 *2475:36 0.000748677 +30 *2306:75 *2475:36 7.02059e-05 +31 *2355:17 *2475:18 0.000255258 +32 *2472:27 *2475:36 1.08955e-05 +*RES +1 *21415:Y *2475:18 29.0381 +2 *2475:18 *2475:23 9.68626 +3 *2475:23 *2475:36 47.1055 +4 *2475:36 *22343:A1 9.24915 +5 *2475:23 *21416:B1 9.24915 +6 *2475:18 *22683:A1 13.7491 +*END + +*D_NET *2476 0.00579847 +*CONN +*I *21417:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21416:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *21417:C1 5.73684e-05 +2 *21416:X 0.00140792 +3 *2476:8 0.00146529 +4 *2476:8 *22717:A2 0.000801397 +5 *2476:8 *22719:C1 0.000135035 +6 *2476:8 *3493:45 0.000542057 +7 *2476:8 *3543:97 0.000330487 +8 *21180:A1 *2476:8 1.64564e-05 +9 *21181:A1 *21417:C1 3.98097e-05 +10 *21188:B *2476:8 0.000103252 +11 *21235:A1 *2476:8 0.000116773 +12 *21412:B1 *2476:8 2.68498e-05 +13 *21417:B2 *21417:C1 0.000110027 +14 *2243:28 *2476:8 9.54065e-06 +15 *2245:34 *2476:8 0.000422044 +16 *2254:23 *2476:8 3.31346e-05 +17 *2293:44 *2476:8 0.000166833 +18 *2464:51 *2476:8 1.41976e-05 +*RES +1 *21416:X *2476:8 49.8034 +2 *2476:8 *21417:C1 15.6059 +*END + +*D_NET *2477 0.0104237 +*CONN +*I *21424:C I *D sky130_fd_sc_hd__and4_1 +*I *21417:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21424:C 0.000179977 +2 *21417:X 0.000225505 +3 *2477:34 0.00146779 +4 *2477:26 0.0018304 +5 *2477:8 0.000607308 +6 *2477:7 0.000290228 +7 *21424:C *21443:C 4.54435e-05 +8 *21424:C *2686:40 6.08467e-05 +9 *21424:C *3126:34 8.42651e-05 +10 *21424:C *3139:176 7.55464e-05 +11 *2477:7 *22354:C1 5.88594e-05 +12 *2477:7 *22387:B2 1.34424e-05 +13 *2477:7 *22387:C1 3.82228e-05 +14 *2477:7 *3124:242 0.000200794 +15 *2477:7 *3343:19 6.08467e-05 +16 *2477:26 *22354:C1 1.94512e-05 +17 *2477:26 *22398:C1 2.16234e-05 +18 *2477:26 *2882:74 8.32645e-05 +19 *2477:26 *3620:14 0.000126219 +20 *2477:26 *3632:25 7.60356e-05 +21 *2477:34 *22714:A2 6.50176e-05 +22 *2477:34 *2686:40 2.43314e-05 +23 *2477:34 *2687:68 5.53774e-05 +24 *2477:34 *3142:196 0.000438346 +25 *2477:34 *3185:163 0.000200794 +26 *2477:34 *3185:184 0.00025456 +27 *2477:34 *3196:163 1.9101e-05 +28 *2477:34 *3342:12 8.48495e-06 +29 *2477:34 *3348:21 3.30919e-05 +30 *2477:34 *3498:231 0.000239614 +31 *21241:B2 *2477:34 2.59443e-05 +32 *21242:A1 *2477:7 2.77625e-06 +33 *21242:A1 *2477:26 6.08467e-05 +34 *21242:A2 *2477:26 0.000581284 +35 *21424:B *2477:34 0.000141165 +36 *22387:B1 *2477:7 0.000251669 +37 *22398:B1 *2477:26 6.98337e-06 +38 *22714:B1 *2477:34 5.60291e-06 +39 *490:114 *2477:34 0.000729179 +40 *1542:101 *2477:34 8.3418e-05 +41 *1564:84 *2477:34 9.96222e-05 +42 *1717:102 *2477:26 0.000447733 +43 *2258:64 *2477:34 0.000294464 +44 *2267:80 *2477:34 9.82202e-06 +45 *2304:65 *2477:26 5.35941e-05 +46 *2463:11 *21424:C 0.000154145 +47 *2472:27 *2477:34 0.000515646 +48 *2474:37 *2477:34 2.65972e-05 +49 *2475:36 *2477:34 2.84249e-05 +*RES +1 *21417:X *2477:7 24.7677 +2 *2477:7 *2477:8 81.1229 +3 *2477:8 *2477:26 36.1533 +4 *2477:26 *2477:34 46.269 +5 *2477:34 *21424:C 19.4881 +*END + +*D_NET *2478 0.0152124 +*CONN +*I *22675:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21423:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22348:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21418:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22675:A1 0 +2 *21423:A1 0.000702914 +3 *22348:A1 0 +4 *21418:Y 0.000816146 +5 *2478:18 0.00122128 +6 *2478:15 0.0015603 +7 *2478:11 0.00185808 +8 *21423:A1 *21424:D 0.000838637 +9 *21423:A1 *3142:211 2.94032e-05 +10 *21423:A1 *3498:211 0.000104151 +11 *2478:11 *21418:A 6.50727e-05 +12 *2478:11 *24341:RESET_B 1.01177e-05 +13 *2478:11 *24341:CLK 2.07503e-05 +14 *2478:11 *4978:11 0.000160617 +15 *2478:15 *22711:A2 1.47978e-05 +16 *2478:15 *24341:CLK 0.0011826 +17 *2478:15 *2772:15 0.00309294 +18 *2478:15 *2887:10 0.000220665 +19 *2478:15 *3481:215 1.32109e-05 +20 *2478:18 *22679:A2 1.16906e-05 +21 *2478:18 *22679:C1 5.88009e-05 +22 *2478:18 *3142:211 1.27402e-05 +23 *2478:18 *3142:233 0.000586778 +24 *2478:18 *3491:146 2.89495e-05 +25 *2478:18 *3491:178 2.61574e-05 +26 *2478:18 *3498:211 2.77564e-05 +27 *21423:A2 *21423:A1 0.000154145 +28 *22711:B1 *2478:15 8.29362e-05 +29 *24341:D *2478:11 0.000109503 +30 *2304:65 *21423:A1 1.5714e-05 +31 *2361:7 *2478:15 0.000746529 +32 *2361:13 *2478:15 0.000217683 +33 *2440:67 *2478:15 0.000878227 +34 *2440:67 *2478:18 0.000343147 +*RES +1 *21418:Y *2478:11 22.9454 +2 *2478:11 *2478:15 47.1895 +3 *2478:15 *2478:18 17.9591 +4 *2478:18 *22348:A1 13.7491 +5 *2478:18 *21423:A1 27.8601 +6 *2478:15 *22675:A1 9.24915 +*END + +*D_NET *2479 0.0260847 +*CONN +*I *22351:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22687:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21423:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21419:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22351:B1 0.000436847 +2 *22687:A1 0.000101976 +3 *21423:B1 0.000513047 +4 *21419:Y 0.00120131 +5 *2479:52 0.00325807 +6 *2479:17 0.00432306 +7 *2479:8 0.00229209 +8 *21423:B1 *21424:D 0.000156955 +9 *21423:B1 *3192:69 0.000334726 +10 *21423:B1 *3474:218 6.7717e-05 +11 *21423:B1 *3493:15 4.49912e-05 +12 *22351:B1 *22351:B2 4.52728e-05 +13 *22351:B1 *22352:B2 0.000131931 +14 *22351:B1 *2794:9 2.57986e-05 +15 *22351:B1 *2794:27 2.26277e-05 +16 *22351:B1 *3064:13 6.26584e-07 +17 *22351:B1 *3120:109 0.000116515 +18 *22351:B1 *3190:189 0.000330639 +19 *22351:B1 *3192:104 6.78505e-05 +20 *22687:A1 *22682:B1 4.145e-05 +21 *22687:A1 *3064:13 3.41252e-06 +22 *22687:A1 *3190:189 0.000107585 +23 *2479:8 *20992:B1 4.36958e-05 +24 *2479:8 *24273:CLK 6.08467e-05 +25 *2479:8 *3041:110 9.80784e-05 +26 *2479:8 *4844:231 0.000154145 +27 *2479:8 *5859:197 6.36477e-05 +28 *2479:52 *2501:22 0.000450738 +29 *2479:52 *2503:48 0.000606614 +30 *2479:52 *3076:23 0.000159964 +31 *2479:52 *3122:105 0.000346349 +32 *2479:52 *3122:136 0.000808136 +33 *2479:52 *3192:69 0.000118842 +34 *2479:52 *3198:154 0.000870505 +35 *2479:52 *3343:19 0.000551704 +36 *2479:52 *3474:218 0.000260292 +37 *2479:52 *3521:165 1.40776e-05 +38 *2479:52 *3632:19 0.000727275 +39 *21242:C1 *2479:52 0.000166442 +40 *21247:A2 *2479:17 6.36477e-05 +41 *21247:B2 *2479:17 2.9151e-05 +42 *21248:A2 *21423:B1 6.3657e-05 +43 *21403:B2 *21423:B1 0.000125235 +44 *21423:A2 *21423:B1 0.000261641 +45 *22352:B1 *22351:B1 3.81052e-05 +46 *22352:B1 *22687:A1 2.70691e-05 +47 *22392:A1 *2479:17 4.44686e-05 +48 *22392:A1 *2479:52 2.57986e-05 +49 *22400:A1 *2479:52 2.54493e-05 +50 *22687:B1 *22687:A1 6.08467e-05 +51 *22712:A1 *2479:52 9.78531e-05 +52 *22712:B1 *2479:52 0.000444419 +53 *24275:D *2479:8 6.78549e-05 +54 *529:26 *21423:B1 6.51637e-05 +55 *529:26 *2479:52 5.04829e-06 +56 *529:35 *2479:8 0.00140643 +57 *1418:79 *2479:8 0 +58 *1545:80 *21423:B1 0.00025943 +59 *1545:92 *21423:B1 1.03403e-05 +60 *1658:242 *21423:B1 4.15661e-05 +61 *1717:102 *2479:52 2.31416e-05 +62 *2249:38 *22687:A1 6.14756e-06 +63 *2293:38 *2479:52 3.20312e-05 +64 *2295:78 *2479:8 0.00140642 +65 *2312:11 *2479:17 0.00127719 +66 *2312:22 *2479:17 3.67123e-05 +67 *2333:60 *2479:52 0.000601573 +68 *2433:59 *22687:A1 2.58757e-05 +69 *2460:51 *22351:B1 0.000237292 +70 *2468:79 *2479:8 8.69114e-05 +71 *2468:107 *2479:8 6.23875e-05 +*RES +1 *21419:Y *2479:8 49.4414 +2 *2479:8 *2479:17 27.6246 +3 *2479:17 *21423:B1 41.9163 +4 *2479:17 *2479:52 30.2262 +5 *2479:52 *22687:A1 18.7998 +6 *2479:52 *22351:B1 22.3067 +*END + +*D_NET *2480 0.0249582 +*CONN +*I *22356:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22672:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21422:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21420:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22356:B1 9.00125e-06 +2 *22672:B1 0 +3 *21422:A1 0.000201111 +4 *21420:Y 7.47734e-05 +5 *2480:38 0.000753583 +6 *2480:25 0.00203976 +7 *2480:18 0.00217382 +8 *2480:8 0.00388217 +9 *2480:7 0.0032614 +10 *21422:A1 *21423:C1 8.43934e-05 +11 *21422:A1 *21424:D 0.000117376 +12 *21422:A1 *3476:195 9.12416e-06 +13 *21422:A1 *3491:178 6.67835e-06 +14 *21422:A1 *3620:30 0.000170442 +15 *22356:B1 *22356:B2 6.3657e-05 +16 *22356:B1 *3316:14 6.64392e-05 +17 *2480:8 *21441:C1 0.000453358 +18 *2480:8 *22352:C1 0.000136405 +19 *2480:8 *22360:B 0.000141068 +20 *2480:8 *22360:C 0.000747119 +21 *2480:8 *22404:A 1.77302e-05 +22 *2480:8 *2485:24 0.00222143 +23 *2480:8 *2485:36 0.000284966 +24 *2480:8 *2498:23 1.24976e-05 +25 *2480:8 *2498:38 0.000184965 +26 *2480:8 *2501:22 0.000205199 +27 *2480:8 *2989:32 1.5714e-05 +28 *2480:8 *3153:162 2.55661e-06 +29 *2480:8 *3313:11 6.22259e-05 +30 *2480:8 *4834:46 6.26215e-05 +31 *2480:8 *4843:251 0.000127926 +32 *2480:8 *5865:91 0.000177846 +33 *2480:8 *5865:100 3.53682e-05 +34 *2480:18 *2498:38 0.000355995 +35 *2480:25 *22354:A1 1.66771e-05 +36 *2480:25 *22354:B2 0.000154322 +37 *2480:25 *22725:A 0.000440552 +38 *2480:25 *3122:105 9.75148e-06 +39 *2480:25 *3122:115 0.000410023 +40 *2480:25 *3474:218 0.000191033 +41 *2480:25 *3493:15 6.36477e-05 +42 *2480:25 *3493:19 0.000110306 +43 *2480:38 *22725:C 0.000154145 +44 *2480:38 *2857:11 0.000340742 +45 *2480:38 *3476:195 0.000162925 +46 *2480:38 *3498:211 0.000272579 +47 *2480:38 *3620:30 0.000422035 +48 *2480:38 *3666:13 2.16355e-05 +49 *20207:A *2480:8 0.000117647 +50 *20210:B2 *2480:8 0.000196621 +51 *21247:B1 *21422:A1 0.000128998 +52 *21402:B2 *2480:8 0 +53 *22352:B1 *2480:8 2.79227e-05 +54 *22355:A *2480:8 1.05982e-05 +55 *22396:B1 *2480:25 5.80013e-05 +56 *22398:A1 *2480:38 0.000146289 +57 *22400:A1 *2480:25 3.68594e-05 +58 *22676:A1 *2480:18 1.09024e-05 +59 *22676:B2 *2480:18 8.94611e-05 +60 *22687:B1 *2480:8 6.14756e-06 +61 *22712:A1 *2480:25 4.2372e-05 +62 *22712:B2 *2480:25 1.21461e-06 +63 *22715:A1 *2480:38 2.95757e-05 +64 *22718:A1 *2480:25 2.57465e-06 +65 *480:26 *2480:18 5.88009e-05 +66 *527:11 *2480:38 1.10297e-05 +67 *1562:21 *2480:25 5.88009e-05 +68 *1564:84 *2480:38 0.000107496 +69 *1618:206 *2480:8 0.000106543 +70 *1679:61 *2480:18 0.000298772 +71 *1884:71 *2480:8 0.000103789 +72 *2117:55 *2480:38 0.000163641 +73 *2117:63 *2480:25 0.000192874 +74 *2287:39 *2480:8 0.000117627 +75 *2307:19 *21422:A1 1.27831e-06 +76 *2311:33 *2480:38 6.08467e-05 +77 *2367:65 *2480:8 4.19401e-06 +78 *2421:18 *2480:8 3.85711e-05 +79 *2454:18 *2480:8 0.000160977 +80 *2454:18 *2480:18 2.17532e-05 +81 *2454:23 *2480:25 0.00142424 +82 *2454:29 *2480:8 4.73625e-05 +83 *2465:20 *2480:8 9.02963e-06 +84 *2468:80 *2480:38 2.23124e-05 +85 *2473:30 *2480:7 0.000113968 +*RES +1 *21420:Y *2480:7 15.0271 +2 *2480:7 *2480:8 85.7129 +3 *2480:8 *2480:18 16.3292 +4 *2480:18 *2480:25 49.6931 +5 *2480:25 *2480:38 36.2995 +6 *2480:38 *21422:A1 19.6682 +7 *2480:38 *22672:B1 13.7491 +8 *2480:8 *22356:B1 14.4725 +*END + +*D_NET *2481 0.00844675 +*CONN +*I *21422:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22342:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22678:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21421:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21422:B1 0.000254725 +2 *22342:B1 8.97463e-05 +3 *22678:A1 1.39384e-05 +4 *21421:Y 0.00118543 +5 *2481:28 0.000542417 +6 *2481:17 0.000591909 +7 *2481:13 0.00156545 +8 *21422:B1 *21424:D 0.000490002 +9 *21422:B1 *22386:A2 0.000158389 +10 *21422:B1 *3185:184 8.2117e-06 +11 *21422:B1 *5592:76 3.12676e-05 +12 *22342:B1 *22342:A2 3.82228e-05 +13 *22678:A1 *22678:B2 6.3657e-05 +14 *22678:A1 *3126:25 2.57986e-05 +15 *2481:13 *2887:11 0.000103225 +16 *2481:13 *3192:57 0.000100933 +17 *2481:13 *3263:33 0.000343543 +18 *2481:13 *4805:52 0.000221541 +19 *2481:13 *4809:13 0.000486927 +20 *2481:13 *4817:108 0.000294093 +21 *2481:17 *22678:B2 0.000285679 +22 *2481:17 *22679:C1 5.51483e-06 +23 *2481:17 *22689:C 2.16355e-05 +24 *2481:17 *3126:25 5.39109e-05 +25 *2481:17 *3142:233 0.000344134 +26 *2481:17 *3498:179 0.00024251 +27 *2481:17 *3498:211 2.43314e-05 +28 *2481:17 *3622:7 0.000107496 +29 *2481:17 *4809:40 2.99943e-05 +30 *2481:28 *22386:A2 4.63742e-05 +31 *2481:28 *3117:245 3.43356e-05 +32 *21372:C1 *22342:B1 6.11872e-05 +33 *22342:A1 *21422:B1 9.7112e-06 +34 *22342:A1 *2481:28 6.14756e-06 +35 *22348:B1 *22342:B1 0 +36 *22348:B1 *2481:28 7.2364e-05 +37 *1542:101 *21422:B1 0.000252202 +38 *2440:55 *2481:13 0.00010322 +39 *2440:67 *2481:28 5.88009e-05 +40 *2463:11 *21422:B1 7.77744e-05 +*RES +1 *21421:Y *2481:13 43.909 +2 *2481:13 *2481:17 16.1965 +3 *2481:17 *22678:A1 9.97254 +4 *2481:17 *2481:28 8.7258 +5 *2481:28 *22342:B1 16.1605 +6 *2481:28 *21422:B1 22.957 +*END + +*D_NET *2482 0.000818935 +*CONN +*I *21423:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21422:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21423:C1 0.000129515 +2 *21422:X 0.000129515 +3 *21423:C1 *21424:D 2.83449e-05 +4 *21422:A1 *21423:C1 8.43934e-05 +5 *21422:A2 *21423:C1 0.000253916 +6 *21423:B2 *21423:C1 2.53145e-06 +7 *1542:101 *21423:C1 0.000164933 +8 *1545:92 *21423:C1 2.57847e-05 +*RES +1 *21422:X *21423:C1 23.8755 +*END + +*D_NET *2483 0.00253909 +*CONN +*I *21424:D I *D sky130_fd_sc_hd__and4_1 +*I *21423:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21424:D 0.00032138 +2 *21423:X 0.00032138 +3 *21424:D *21443:C 0.000110306 +4 *6133:DIODE *21424:D 0.000105515 +5 *21422:A1 *21424:D 0.000117376 +6 *21422:B1 *21424:D 0.000490002 +7 *21423:A1 *21424:D 0.000838637 +8 *21423:A2 *21424:D 1.37531e-05 +9 *21423:B1 *21424:D 0.000156955 +10 *21423:C1 *21424:D 2.83449e-05 +11 *1542:101 *21424:D 2.07503e-05 +12 *1545:92 *21424:D 6.7671e-06 +13 *2463:11 *21424:D 7.92757e-06 +*RES +1 *21423:X *21424:D 31.9776 +*END + +*D_NET *2484 0.00413112 +*CONN +*I *21443:C I *D sky130_fd_sc_hd__nand4_4 +*I *21424:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21443:C 0.0014861 +2 *21424:X 0.0014861 +3 *21443:C *22254:A1 0 +4 *21443:C *2686:40 1.55512e-05 +5 *21443:C *3139:176 0.000585401 +6 *21443:C *3179:190 3.13462e-05 +7 *21443:C *3208:150 0.000164829 +8 *21443:C *4817:145 0.000143008 +9 *21424:C *21443:C 4.54435e-05 +10 *21424:D *21443:C 0.000110306 +11 *21443:A *21443:C 3.82228e-05 +12 *2361:54 *21443:C 2.20457e-05 +13 *2463:11 *21443:C 2.77625e-06 +*RES +1 *21424:X *21443:C 42.0087 +*END + +*D_NET *2485 0.0284464 +*CONN +*I *22345:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22681:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21430:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21425:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22345:B1 0 +2 *22681:A1 0.000517528 +3 *21430:A1 8.87355e-05 +4 *21425:Y 0.000564886 +5 *2485:36 0.00110805 +6 *2485:24 0.00212262 +7 *2485:23 0.0015321 +8 *2485:15 0.00130711 +9 *2485:8 0.00232099 +10 *2485:7 0.0016675 +11 *21430:A1 *4956:24 0.000446971 +12 *22681:A1 *22681:A2 0.000107496 +13 *22681:A1 *3539:201 0.000302705 +14 *22681:A1 *3543:97 2.1463e-05 +15 *2485:7 *20877:A1 0.000317693 +16 *2485:7 *4992:11 0.000150646 +17 *2485:8 *21253:B1 6.1479e-05 +18 *2485:8 *24484:RESET_B 0.000374427 +19 *2485:8 *2498:11 0.00119802 +20 *2485:8 *2498:12 5.56367e-05 +21 *2485:8 *2530:34 0.000111608 +22 *2485:8 *3022:6 0.000148562 +23 *2485:8 *4830:36 0.00169945 +24 *2485:8 *5304:11 0.000101133 +25 *2485:8 *5867:645 0.000597199 +26 *2485:15 *2493:36 0.000231667 +27 *2485:15 *2498:12 0.00061744 +28 *2485:15 *3022:6 0.000521954 +29 *2485:15 *4834:46 0.000813262 +30 *2485:15 *4956:24 9.75243e-05 +31 *2485:24 *24768:CLK 0.000907228 +32 *2485:24 *2493:41 0.000204591 +33 *2485:24 *2498:12 0 +34 *2485:24 *2498:23 0.000131565 +35 *2485:24 *4834:46 0.00020034 +36 *2485:24 *5095:13 0.000155896 +37 *2485:36 *21441:C1 0.000140897 +38 *2485:36 *2490:18 0.000353672 +39 *2485:36 *2498:38 9.80784e-05 +40 *2485:36 *3348:39 0.000111722 +41 *21253:B2 *2485:8 0.000226281 +42 *21412:B1 *22681:A1 0.000155288 +43 *21430:B2 *21430:A1 0.000446971 +44 *21430:B2 *2485:15 0.000107496 +45 *24486:D *2485:8 5.01668e-05 +46 *480:207 *2485:8 0 +47 *502:7 *2485:36 0.0010196 +48 *1592:151 *2485:24 2.1558e-06 +49 *1615:16 *2485:36 0.000629099 +50 *2243:14 *2485:36 1.43361e-05 +51 *2250:55 *22681:A1 0.000288838 +52 *2250:55 *2485:36 0.000150156 +53 *2254:17 *22681:A1 0.000651845 +54 *2254:17 *2485:36 0.000155855 +55 *2305:40 *2485:36 0.000107496 +56 *2363:20 *2485:15 0.000347695 +57 *2433:59 *22681:A1 7.68701e-05 +58 *2480:8 *2485:24 0.00222143 +59 *2480:8 *2485:36 0.000284966 +*RES +1 *21425:Y *2485:7 27.2284 +2 *2485:7 *2485:8 50.8318 +3 *2485:8 *2485:15 45.7155 +4 *2485:15 *21430:A1 14.4094 +5 *2485:15 *2485:23 4.5 +6 *2485:23 *2485:24 46.6792 +7 *2485:24 *2485:36 40.9862 +8 *2485:36 *22681:A1 30.7046 +9 *2485:36 *22345:B1 13.7491 +*END + +*D_NET *2486 0.0691187 +*CONN +*I *21430:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22673:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22340:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21426:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21430:B1 0.000500631 +2 *22673:B1 0.000982578 +3 *22340:A1 0.00140369 +4 *21426:Y 0.00131526 +5 *2486:106 0.00243299 +6 *2486:96 0.00252324 +7 *2486:84 0.00229424 +8 *2486:83 0.00170414 +9 *2486:76 0.00201936 +10 *2486:70 0.00219024 +11 *2486:44 0.00306991 +12 *2486:40 0.00177467 +13 *2486:39 0.00160867 +14 *2486:32 0.00241758 +15 *2486:24 0.00202203 +16 *2486:11 0.00226695 +17 *21430:B1 *2520:21 0.000256176 +18 *21430:B1 *5861:298 0.00133487 +19 *22340:A1 *22340:A2 1.47978e-05 +20 *22340:A1 *22341:A2 0.000167829 +21 *22340:A1 *22341:C1 1.03403e-05 +22 *22340:A1 *22389:B2 1.27402e-05 +23 *22340:A1 *22404:C 0.000154145 +24 *22340:A1 *3175:195 1.67658e-05 +25 *22340:A1 *3177:315 5.88009e-05 +26 *22340:A1 *3212:19 0.000641509 +27 *22673:B1 *21393:A 3.13254e-05 +28 *22673:B1 *22672:A2 0.00025175 +29 *22673:B1 *22673:A2 0.000346353 +30 *22673:B1 *22673:B2 1.99146e-05 +31 *22673:B1 *22689:A 0.000154145 +32 *22673:B1 *2908:29 0.0010856 +33 *22673:B1 *5068:15 2.91891e-05 +34 *2486:11 *20801:B1 1.80647e-05 +35 *2486:11 *21426:A 0.000115168 +36 *2486:11 *2570:32 0.000508542 +37 *2486:11 *4815:11 0.000304998 +38 *2486:11 *5003:9 0.000902091 +39 *2486:24 *20734:A1 6.78596e-05 +40 *2486:24 *4898:6 1.07248e-05 +41 *2486:24 *5855:619 3.29715e-05 +42 *2486:24 *5924:50 0.00123918 +43 *2486:32 *20733:A1 3.63738e-05 +44 *2486:32 *20735:A1 7.77309e-06 +45 *2486:32 *21497:A 0.000312507 +46 *2486:32 *24416:CLK 6.18026e-05 +47 *2486:32 *4901:80 7.95872e-05 +48 *2486:32 *4901:82 1.82306e-05 +49 *2486:32 *5857:69 9.71323e-06 +50 *2486:32 *5924:46 0 +51 *2486:39 *20733:B1 9.52075e-05 +52 *2486:39 *21484:A 0.000174679 +53 *2486:39 *2541:11 0.000326504 +54 *2486:39 *2764:10 0.00117016 +55 *2486:39 *4839:123 0.000526214 +56 *2486:39 *4966:9 3.77804e-05 +57 *2486:39 *5857:69 0.000561507 +58 *2486:40 *5893:18 0.000427573 +59 *2486:44 *3343:22 0.000152559 +60 *2486:44 *5090:13 0.000140307 +61 *2486:44 *5893:18 0.00160757 +62 *2486:70 *22404:C 0.000207266 +63 *2486:70 *2676:17 0.000121159 +64 *2486:70 *4815:67 0.000110297 +65 *2486:70 *5862:463 7.09666e-06 +66 *2486:70 *5862:472 0.000199353 +67 *2486:70 *5862:612 0.000179799 +68 *2486:76 *24442:RESET_B 0.000158451 +69 *2486:76 *4816:80 0.000172075 +70 *2486:76 *4827:100 6.4982e-05 +71 *2486:76 *4903:33 0.00025175 +72 *2486:76 *5861:336 0.000111722 +73 *2486:83 *4816:80 4.72325e-05 +74 *2486:83 *4816:89 2.08838e-05 +75 *2486:83 *4827:100 0.000452197 +76 *2486:83 *4843:759 0.000139435 +77 *2486:83 *5034:13 6.51637e-05 +78 *2486:84 *3263:24 0.000232791 +79 *2486:84 *4813:103 0.000325412 +80 *2486:84 *5859:181 0.000354229 +81 *2486:84 *5859:183 0.000503366 +82 *2486:84 *5864:690 0.000288084 +83 *2486:96 *20997:B1 0.000684667 +84 *2486:96 *24269:CLK 5.90958e-05 +85 *2486:96 *2503:31 1.33761e-05 +86 *2486:96 *2924:49 0.000142757 +87 *2486:96 *2924:51 7.96573e-05 +88 *2486:96 *3263:24 9.57557e-06 +89 *2486:96 *4813:103 2.56241e-05 +90 *2486:96 *4823:85 0.000237224 +91 *2486:96 *4827:99 2.28575e-05 +92 *2486:96 *4904:20 1.87269e-05 +93 *2486:96 *5859:197 0.00014458 +94 *2486:106 *21245:A 6.73186e-05 +95 *2486:106 *24275:CLK 4.55728e-05 +96 *2486:106 *2679:41 7.99851e-05 +97 *2486:106 *2813:47 0.000165481 +98 *2486:106 *4811:18 0.000338862 +99 *2486:106 *4824:130 9.52476e-05 +100 *2486:106 *4825:102 0.000169093 +101 *20302:B2 *2486:11 0.000190057 +102 *20618:B2 *22673:B1 0.000343543 +103 *20734:A2 *2486:24 7.56946e-06 +104 *20734:B2 *2486:24 4.87439e-05 +105 *20737:A2 *2486:24 2.97152e-05 +106 *20796:B *2486:40 9.90033e-05 +107 *20801:B2 *2486:11 0.000171288 +108 *22341:B1 *22340:A1 0.000161262 +109 *22672:A1 *22673:B1 3.97791e-05 +110 *22673:A1 *22673:B1 1.37531e-05 +111 *24415:D *2486:32 4.42033e-05 +112 *24494:D *22673:B1 0.000294093 +113 *24706:D *2486:24 0.000110117 +114 *476:161 *2486:84 0.00125679 +115 *476:167 *2486:84 0.00103977 +116 *477:44 *2486:24 0.000263045 +117 *477:44 *2486:32 0 +118 *477:152 *2486:70 0.000372055 +119 *489:22 *2486:32 0.000300148 +120 *489:22 *2486:39 6.04912e-06 +121 *489:29 *2486:39 3.7746e-05 +122 *500:48 *2486:84 4.51176e-05 +123 *512:38 *22340:A1 0.000257013 +124 *1439:28 *22340:A1 0.000561653 +125 *1545:92 *22673:B1 0 +126 *1551:154 *22340:A1 9.79259e-05 +127 *1596:134 *22340:A1 9.4385e-05 +128 *1596:134 *2486:44 0.000100959 +129 *1617:8 *2486:83 1.55462e-05 +130 *1634:16 *2486:32 1.70577e-05 +131 *1634:22 *2486:39 0 +132 *1658:126 *2486:39 5.63642e-05 +133 *1658:188 *2486:24 0.00030444 +134 *1771:182 *2486:32 0.000258518 +135 *1913:14 *2486:24 0.000129898 +136 *1914:10 *2486:11 0.000111358 +137 *2039:14 *2486:84 0.000189652 +138 *2039:27 *2486:84 0.000147388 +139 *2039:29 *2486:84 0.000193992 +140 *2051:48 *2486:32 0.000460228 +141 *2051:79 *2486:32 0.000113456 +142 *2051:89 *2486:24 2.63743e-05 +143 *2051:89 *2486:32 0 +144 *2052:10 *2486:32 0.00045002 +145 *2052:72 *2486:24 1.41786e-05 +146 *2052:72 *2486:32 0.000141632 +147 *2081:6 *2486:40 5.80995e-05 +148 *2148:25 *2486:39 1.01315e-05 +149 *2148:38 *2486:39 2.53624e-06 +150 *2149:32 *2486:39 0.000103557 +151 *2171:54 *2486:96 0.00037749 +152 *2250:55 *22340:A1 4.17069e-06 +153 *2262:85 *2486:76 0.000934857 +154 *2263:43 *2486:40 2.81627e-06 +155 *2267:70 *22673:B1 0.00137262 +156 *2291:61 *2486:96 6.22732e-06 +157 *2294:52 *22340:A1 6.01329e-05 +158 *2306:21 *2486:39 0 +159 *2306:37 *21430:B1 1.91391e-05 +160 *2312:11 *2486:106 0.000656302 +161 *2317:20 *21430:B1 1.91391e-05 +162 *2357:51 *2486:70 0.000338746 +163 *2360:45 *2486:76 0.000413376 +164 *2363:31 *2486:40 7.54956e-05 +165 *2363:31 *2486:44 0.00102021 +166 *2367:11 *2486:11 0.00020395 +167 *2367:49 *2486:83 0.000344954 +168 *2391:19 *2486:96 0.000134494 +169 *2423:93 *2486:106 0.000690995 +170 *2433:19 *2486:32 4.88112e-06 +171 *2433:31 *2486:76 0.000253711 +172 *2441:63 *2486:39 0.00144176 +173 *2453:7 *22673:B1 0.000492967 +174 *2464:17 *22340:A1 2.53145e-06 +175 *2467:104 *2486:96 0.000987009 +*RES +1 *21426:Y *2486:11 47.4493 +2 *2486:11 *2486:24 44.2856 +3 *2486:24 *2486:32 34.5392 +4 *2486:32 *2486:39 43.7519 +5 *2486:39 *2486:40 8.47603 +6 *2486:40 *2486:44 37.6835 +7 *2486:44 *22340:A1 41.2143 +8 *2486:44 *2486:70 29.7096 +9 *2486:70 *2486:76 44.1816 +10 *2486:76 *2486:83 21.7347 +11 *2486:83 *2486:84 50.6241 +12 *2486:84 *2486:96 42.5748 +13 *2486:96 *2486:106 46.4691 +14 *2486:106 *22673:B1 49.292 +15 *2486:40 *21430:B1 22.751 +*END + +*D_NET *2487 0.0225205 +*CONN +*I *21429:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22347:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21427:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21429:A1 0.00032576 +2 *22347:B1 0.000248327 +3 *21427:Y 0.000953328 +4 *2487:20 0.00135203 +5 *2487:14 0.00447569 +6 *2487:13 0.00465108 +7 *21429:A1 *22390:A2 6.08467e-05 +8 *21429:A1 *3131:206 0.000307037 +9 *22347:B1 *22347:B2 1.17972e-05 +10 *22347:B1 *22347:C1 4.31603e-06 +11 *22347:B1 *4831:8 1.47773e-05 +12 *2487:13 *20293:A1 4.81015e-05 +13 *2487:13 *20294:B1 0.000463351 +14 *2487:13 *21427:A 6.59414e-05 +15 *2487:13 *2687:10 6.26541e-05 +16 *2487:13 *4831:71 9.634e-05 +17 *2487:13 *5504:18 0.000107496 +18 *2487:13 *5906:38 1.75155e-06 +19 *2487:14 *19837:A 0 +20 *2487:14 *20294:B1 0.000229317 +21 *2487:14 *20881:A1 2.692e-05 +22 *2487:14 *21413:A 5.85136e-05 +23 *2487:14 *24379:CLK 0.00013092 +24 *2487:14 *2813:16 0.000355507 +25 *2487:14 *4831:63 0 +26 *2487:14 *4831:67 0.00107549 +27 *2487:14 *4831:71 0 +28 *2487:14 *4838:286 0.000123974 +29 *2487:14 *4872:180 0.000243633 +30 *2487:14 *4896:20 1.37925e-05 +31 *2487:14 *4992:11 0.000123582 +32 *2487:14 *5317:10 3.47953e-05 +33 *2487:14 *5341:8 2.15326e-05 +34 *2487:14 *5714:10 0.000144455 +35 *2487:14 *5865:190 1.71045e-05 +36 *2487:14 *5865:194 0.000123274 +37 *2487:14 *5865:200 0.000717809 +38 *2487:20 *2493:8 0.000301807 +39 *2487:20 *2770:13 0.000398089 +40 *2487:20 *4831:8 1.53745e-05 +41 *2487:20 *4845:220 0.000111708 +42 *20293:A2 *2487:13 0.000207064 +43 *20293:B2 *2487:13 5.0715e-05 +44 *20631:B2 *2487:14 0 +45 *21090:A2 *2487:14 0.000234182 +46 *21429:A2 *21429:A1 0.000106529 +47 *22346:A1 *22347:B1 6.1478e-06 +48 *22390:A1 *21429:A1 0.000158371 +49 *22390:A1 *2487:20 5.49916e-05 +50 *22390:B1 *21429:A1 9.31436e-05 +51 *22390:B1 *2487:20 1.66626e-05 +52 *24217:D *2487:14 0.000101987 +53 *24351:D *2487:14 0.000101133 +54 *480:196 *2487:20 6.6577e-05 +55 *1595:8 *2487:14 0 +56 *2053:10 *2487:20 0.000278511 +57 *2250:13 *2487:14 0.000430676 +58 *2250:16 *2487:20 3.11616e-05 +59 *2250:31 *22347:B1 2.66117e-05 +60 *2250:31 *2487:20 1.04726e-05 +61 *2252:8 *2487:14 0.000362478 +62 *2253:10 *21429:A1 2.16355e-05 +63 *2253:10 *22347:B1 5.88009e-05 +64 *2257:17 *21429:A1 4.4196e-06 +65 *2258:12 *2487:14 0 +66 *2258:32 *21429:A1 2.81262e-05 +67 *2287:22 *2487:20 5.74949e-05 +68 *2306:8 *2487:14 9.60366e-05 +69 *2317:8 *2487:14 9.24241e-05 +70 *2317:12 *2487:14 0.0020593 +71 *2380:20 *21429:A1 1.8284e-05 +72 *2380:41 *22347:B1 1.5714e-05 +73 *2409:6 *21429:A1 0.000174379 +74 *2421:18 *21429:A1 3.82228e-05 +*RES +1 *21427:Y *2487:13 43.764 +2 *2487:13 *2487:14 124.747 +3 *2487:14 *2487:20 26.2398 +4 *2487:20 *22347:B1 18.673 +5 *2487:20 *21429:A1 33.6208 +*END + +*D_NET *2488 0.0174736 +*CONN +*I *21429:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22358:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21428:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21429:B1 3.87004e-05 +2 *22358:B1 4.63299e-05 +3 *21428:Y 4.18965e-05 +4 *2488:14 0.00299863 +5 *2488:13 0.0029523 +6 *2488:11 0.00102543 +7 *2488:7 0.00102863 +8 *22358:B1 *22358:A2 6.36477e-05 +9 *22358:B1 *22358:C1 6.36477e-05 +10 *22358:B1 *22716:A2 8.96465e-06 +11 *2488:7 *2610:11 6.50586e-05 +12 *2488:11 *24618:RESET_B 9.07279e-05 +13 *2488:11 *5865:165 0.000275254 +14 *2488:14 *21430:C1 1.19235e-05 +15 *2488:14 *21611:B1 0.000118054 +16 *2488:14 *22302:A1 1.61547e-05 +17 *2488:14 *22339:A2 3.30209e-05 +18 *2488:14 *22363:A2 8.03699e-06 +19 *2488:14 *22369:A2 9.72199e-05 +20 *2488:14 *22371:B2 6.08143e-05 +21 *2488:14 *22371:C1 4.86088e-05 +22 *2488:14 *22372:D 0.000144917 +23 *2488:14 *22383:A2 7.86728e-05 +24 *2488:14 *22383:B2 0.000344358 +25 *2488:14 *22706:D 9.85544e-05 +26 *2488:14 *22716:A2 0.000152036 +27 *2488:14 *2906:39 3.77568e-05 +28 *2488:14 *3114:268 4.93926e-05 +29 *2488:14 *3148:100 6.098e-05 +30 *2488:14 *3162:168 8.21018e-05 +31 *2488:14 *3326:15 5.4686e-05 +32 *2488:14 *3649:8 0.000619385 +33 *2488:14 *4834:46 2.54485e-05 +34 *20437:A2 *2488:11 9.65879e-05 +35 *20441:A2 *2488:11 4.45468e-05 +36 *21199:C1 *2488:14 8.6931e-05 +37 *21429:B2 *21429:B1 0.000207266 +38 *21429:B2 *2488:11 0.000107496 +39 *21611:B2 *2488:14 5.15161e-05 +40 *22363:A1 *2488:14 0.000118854 +41 *22391:B1 *21429:B1 0.000193069 +42 *22391:B1 *2488:11 6.08467e-05 +43 *24618:D *2488:11 8.56552e-05 +44 *1610:181 *2488:14 8.20978e-05 +45 *1627:140 *2488:14 2.68797e-05 +46 *1627:198 *2488:11 0.000611065 +47 *1627:198 *2488:14 6.2901e-05 +48 *1652:87 *2488:14 1.9101e-05 +49 *2258:32 *2488:14 0.000642916 +50 *2258:62 *2488:14 0 +51 *2264:16 *2488:11 0.00188678 +52 *2264:16 *2488:14 2.95757e-05 +53 *2349:12 *2488:14 4.71241e-06 +54 *2357:87 *2488:14 0.000472915 +55 *2360:66 *2488:14 0.000614007 +56 *2362:20 *2488:14 0 +57 *2363:40 *2488:14 0.000479265 +58 *2363:45 *2488:14 0.000109358 +59 *2416:14 *2488:11 0 +60 *2416:16 *2488:11 5.10206e-05 +61 *2416:34 *2488:14 0.000467936 +62 *2416:36 *2488:14 9.17656e-06 +63 *2416:53 *2488:14 9.82479e-06 +*RES +1 *21428:Y *2488:7 14.4725 +2 *2488:7 *2488:11 41.6607 +3 *2488:11 *2488:13 4.5 +4 *2488:13 *2488:14 79.8994 +5 *2488:14 *22358:B1 15.2053 +6 *2488:11 *21429:B1 11.6364 +*END + +*D_NET *2489 0.00369269 +*CONN +*I *21430:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21429:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21430:C1 0.000452058 +2 *21429:X 0.000452058 +3 *21430:C1 *2520:21 0.000107304 +4 *21430:C1 *3106:247 0.000764596 +5 *21430:C1 *5861:298 0.00167825 +6 *21199:C1 *21430:C1 9.12416e-06 +7 *1551:190 *21430:C1 1.91391e-05 +8 *2317:20 *21430:C1 1.91391e-05 +9 *2349:12 *21430:C1 3.63593e-05 +10 *2380:41 *21430:C1 0.000142733 +11 *2488:14 *21430:C1 1.19235e-05 +*RES +1 *21429:X *21430:C1 37.7529 +*END + +*D_NET *2490 0.0105063 +*CONN +*I *21442:A I *D sky130_fd_sc_hd__and3_2 +*I *21430:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21442:A 0 +2 *21430:X 0.00111144 +3 *2490:18 0.00133416 +4 *2490:10 0.00244561 +5 *2490:10 *2498:12 0.000974438 +6 *2490:10 *2498:23 3.3353e-05 +7 *2490:10 *4956:24 0.00059339 +8 *2490:18 *21431:A 3.33993e-05 +9 *2490:18 *21442:B 6.92705e-05 +10 *2490:18 *24764:SET_B 0.00013589 +11 *2490:18 *2491:13 1.05855e-05 +12 *2490:18 *2498:23 0.00131254 +13 *2490:18 *4843:784 7.32082e-05 +14 *2490:18 *5094:12 6.28334e-06 +15 *2490:18 *5859:151 0.000110473 +16 *24768:D *2490:18 9.4334e-05 +17 *502:7 *2490:18 0.000111209 +18 *512:38 *2490:18 4.25398e-05 +19 *1615:8 *2490:18 6.22114e-05 +20 *1618:206 *2490:18 1.55027e-05 +21 *1886:19 *2490:18 6.32697e-05 +22 *1886:34 *2490:18 0.000108515 +23 *1886:49 *2490:18 2.46999e-05 +24 *2305:8 *2490:10 0.00100119 +25 *2305:8 *2490:18 3.54949e-06 +26 *2367:51 *2490:18 6.36477e-05 +27 *2367:65 *2490:18 1.5714e-05 +28 *2421:42 *2490:18 0.000302201 +29 *2485:36 *2490:18 0.000353672 +*RES +1 *21430:X *2490:10 43.009 +2 *2490:10 *2490:18 47.9328 +3 *2490:18 *21442:A 13.7491 +*END + +*D_NET *2491 0.00566653 +*CONN +*I *21436:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22682:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22349:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21431:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21436:A1 2.92125e-05 +2 *22682:B1 0.000689223 +3 *22349:B1 0.000129466 +4 *21431:Y 0.000352459 +5 *2491:13 0.0012663 +6 *2491:8 0.000829284 +7 *22349:B1 *22349:A2 7.87458e-05 +8 *22349:B1 *22349:C1 1.41976e-05 +9 *22682:B1 *21436:B1 1.93603e-05 +10 *22682:B1 *22350:D 7.50872e-05 +11 *22682:B1 *22682:B2 2.75423e-05 +12 *22682:B1 *22687:A2 0.000114239 +13 *22682:B1 *22723:A2 4.69495e-06 +14 *22682:B1 *2530:90 3.63593e-05 +15 *22682:B1 *2794:27 0.000160983 +16 *22682:B1 *3517:281 0 +17 *22682:B1 *3521:191 5.05252e-05 +18 *22682:B1 *3632:19 0.000107496 +19 *2491:8 *3348:39 0.000400321 +20 *2491:13 *21436:B1 0 +21 *2491:13 *21442:B 1.75682e-05 +22 *20206:B *2491:8 7.1014e-05 +23 *20206:B *2491:13 3.44e-05 +24 *22352:B1 *22682:B1 3.1409e-05 +25 *22684:B1 *22682:B1 1.47379e-05 +26 *22687:A1 *22682:B1 4.145e-05 +27 *1615:8 *22349:B1 0.000114584 +28 *1615:8 *2491:13 0.000113968 +29 *1618:206 *21436:A1 2.65667e-05 +30 *2249:38 *22682:B1 6.22111e-05 +31 *2249:38 *2491:8 0.000103002 +32 *2249:38 *2491:13 0.000442816 +33 *2465:25 *22349:B1 3.03148e-05 +34 *2465:25 *2491:13 8.34559e-05 +35 *2465:37 *22682:B1 8.29444e-05 +36 *2490:18 *2491:13 1.05855e-05 +*RES +1 *21431:Y *2491:8 20.602 +2 *2491:8 *2491:13 15.4998 +3 *2491:13 *22349:B1 13.3484 +4 *2491:13 *22682:B1 34.5348 +5 *2491:8 *21436:A1 14.4725 +*END + +*D_NET *2492 0.027721 +*CONN +*I *21436:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22354:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22679:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *21432:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21436:B1 0.00296444 +2 *22354:A1 0.000304665 +3 *22679:A1 0.000484089 +4 *21432:Y 0.000755715 +5 *2492:32 0.0049512 +6 *2492:7 0.0029219 +7 *21436:B1 *21442:B 1.05855e-05 +8 *21436:B1 *22349:B2 0.000486972 +9 *21436:B1 *22349:C1 2.17814e-05 +10 *21436:B1 *3120:125 0.000831424 +11 *21436:B1 *3517:281 3.75697e-05 +12 *21436:B1 *4836:21 0.00052711 +13 *22354:A1 *22354:B2 2.54617e-05 +14 *22354:A1 *22354:C1 0 +15 *22354:A1 *2882:74 0.000621372 +16 *22679:A1 *22309:B1 0.000115313 +17 *22679:A1 *22679:A2 2.62002e-05 +18 *22679:A1 *22679:B1 3.26732e-05 +19 *22679:A1 *22689:C 1.88211e-05 +20 *22679:A1 *2570:99 0.000773503 +21 *22679:A1 *2572:33 0.000779095 +22 *22679:A1 *3491:146 3.43356e-05 +23 *2492:7 *21032:B1 0.000138043 +24 *2492:7 *24249:RESET_B 0.000398187 +25 *2492:7 *2570:99 6.3657e-05 +26 *2492:7 *2572:23 0.000211359 +27 *2492:7 *2572:33 9.7196e-05 +28 *2492:7 *2990:46 6.52402e-05 +29 *2492:7 *4809:7 0.000821408 +30 *2492:32 *21398:A 5.1493e-06 +31 *2492:32 *22689:A 0.000265841 +32 *2492:32 *2570:94 0.000244222 +33 *2492:32 *3192:69 5.44225e-05 +34 *2492:32 *4814:64 0.00108149 +35 *20206:B *21436:B1 0 +36 *20651:A *21436:B1 0.000135674 +37 *21242:A1 *22354:A1 7.92757e-06 +38 *21247:B1 *2492:32 0.000459848 +39 *21436:B2 *21436:B1 9.12416e-06 +40 *22682:B1 *21436:B1 1.93603e-05 +41 *24249:D *2492:7 6.53173e-05 +42 *512:38 *21436:B1 0.000476864 +43 *535:19 *2492:32 1.9101e-05 +44 *1557:126 *22354:A1 0.000625104 +45 *1573:155 *2492:32 0.000153273 +46 *1615:8 *21436:B1 0.000310078 +47 *1617:21 *21436:B1 1.9101e-05 +48 *1631:57 *2492:32 3.22612e-05 +49 *1634:45 *21436:B1 0.00137206 +50 *1884:45 *21436:B1 2.31669e-05 +51 *1884:45 *2492:32 0.000385819 +52 *2117:63 *22354:A1 2.01653e-05 +53 *2274:95 *21436:B1 0.000207097 +54 *2274:95 *2492:32 0.000206356 +55 *2295:78 *2492:32 0.000368626 +56 *2304:58 *2492:32 0.000202101 +57 *2307:19 *2492:32 3.91685e-05 +58 *2321:43 *21436:B1 0.00217719 +59 *2361:29 *22679:A1 7.09666e-06 +60 *2465:37 *21436:B1 0.000191971 +61 *2480:25 *22354:A1 1.66771e-05 +62 *2491:13 *21436:B1 0 +*RES +1 *21432:Y *2492:7 35.5084 +2 *2492:7 *22679:A1 33.6193 +3 *2492:7 *2492:32 21.7969 +4 *2492:32 *22354:A1 20.1048 +5 *2492:32 *21436:B1 42.0592 +*END + +*D_NET *2493 0.0302226 +*CONN +*I *22686:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21435:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22346:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21433:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22686:B1 0.00269888 +2 *21435:A1 4.95229e-05 +3 *22346:B1 0.000331077 +4 *21433:Y 4.99032e-05 +5 *2493:41 0.00356383 +6 *2493:36 0.00173979 +7 *2493:10 0.00125544 +8 *2493:8 0.00148526 +9 *2493:7 0.00153516 +10 *21435:A1 *21435:B1 2.57365e-05 +11 *21435:A1 *5855:149 0.000203604 +12 *22346:B1 *22390:B2 3.68282e-05 +13 *22346:B1 *22391:C1 0.000132113 +14 *22346:B1 *3131:207 6.14756e-06 +15 *22686:B1 *22686:B2 3.41459e-05 +16 *22686:B1 *22687:C1 4.23858e-05 +17 *22686:B1 *2554:21 3.33546e-05 +18 *22686:B1 *3148:72 6.55666e-06 +19 *22686:B1 *3148:91 0.000186953 +20 *22686:B1 *3543:111 0.000303375 +21 *2493:8 *20628:B1 2.25622e-05 +22 *2493:8 *2620:37 0.000287271 +23 *2493:8 *4831:8 0.00200972 +24 *2493:8 *4844:151 0.000173236 +25 *2493:8 *5865:178 0.000564842 +26 *2493:8 *5865:190 3.29232e-05 +27 *2493:8 *5891:26 0.000180271 +28 *2493:36 *2498:12 0.000279613 +29 *2493:36 *4834:46 1.07529e-05 +30 *2493:41 *2498:12 0.000120072 +31 *2493:41 *2729:38 0.000334362 +32 *2493:41 *4834:46 0.000157253 +33 *2493:41 *5095:13 0.000157279 +34 *2493:41 *5855:149 0.000343781 +35 *20669:A2 *2493:8 4.13447e-05 +36 *20738:B *22346:B1 3.05096e-05 +37 *22384:A1 *22686:B1 6.03237e-05 +38 *22685:B1 *22686:B1 7.92757e-06 +39 *24462:D *2493:8 0.000486399 +40 *491:8 *22346:B1 3.33102e-05 +41 *500:13 *22686:B1 0.00169492 +42 *510:41 *22686:B1 0.000394674 +43 *1418:151 *2493:36 0.000299284 +44 *1418:163 *2493:36 0.000455051 +45 *1592:151 *22686:B1 9.63024e-05 +46 *1592:151 *2493:41 0.000152445 +47 *1627:118 *22686:B1 2.59935e-05 +48 *1725:201 *2493:36 0.000107063 +49 *1884:71 *22686:B1 1.5714e-05 +50 *2030:16 *2493:8 0.00049962 +51 *2030:101 *2493:8 0.000104747 +52 *2053:10 *22346:B1 0.000353672 +53 *2053:10 *2493:8 0.000121864 +54 *2053:10 *2493:36 0.000111722 +55 *2053:16 *2493:8 1.62321e-05 +56 *2053:20 *2493:8 0.00149107 +57 *2243:8 *22686:B1 1.5714e-05 +58 *2246:30 *22686:B1 0.00018632 +59 *2249:12 *21435:A1 0.000154145 +60 *2249:12 *2493:41 0.000353686 +61 *2250:16 *2493:8 0 +62 *2251:12 *2493:8 0 +63 *2252:8 *2493:36 0.000110473 +64 *2252:43 *22686:B1 2.84516e-05 +65 *2252:43 *2493:36 0.000111708 +66 *2258:11 *2493:7 9.19886e-06 +67 *2258:32 *22346:B1 0.000132113 +68 *2258:62 *22686:B1 0.000835258 +69 *2293:38 *22686:B1 0.000213044 +70 *2298:30 *22686:B1 0.000266187 +71 *2306:37 *2493:36 0.000165872 +72 *2337:22 *22686:B1 0.000123795 +73 *2337:22 *2493:41 5.65463e-05 +74 *2357:61 *22686:B1 9.36332e-05 +75 *2363:31 *22686:B1 5.83513e-05 +76 *2373:51 *22686:B1 1.41181e-05 +77 *2404:60 *22686:B1 0.000118513 +78 *2421:18 *22346:B1 0.000473772 +79 *2421:18 *2493:36 0.000446558 +80 *2464:12 *22346:B1 8.72285e-06 +81 *2468:37 *22686:B1 0.000107413 +82 *2473:30 *2493:36 0.00040678 +83 *2485:15 *2493:36 0.000231667 +84 *2485:24 *2493:41 0.000204591 +85 *2487:20 *2493:8 0.000301807 +*RES +1 *21433:Y *2493:7 14.4725 +2 *2493:7 *2493:8 65.7808 +3 *2493:8 *2493:10 4.5 +4 *2493:10 *22346:B1 30.019 +5 *2493:10 *2493:36 39.2326 +6 *2493:36 *2493:41 27.616 +7 *2493:41 *21435:A1 11.6364 +8 *2493:41 *22686:B1 40.9815 +*END + +*D_NET *2494 0.0147585 +*CONN +*I *21435:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21434:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21435:B1 0.000613137 +2 *21434:Y 0.00154645 +3 *2494:17 0.00125562 +4 *2494:13 0.00218893 +5 *21435:B1 *2545:48 9.95911e-06 +6 *21435:B1 *2635:21 0.00291384 +7 *21435:B1 *5855:149 5.90701e-05 +8 *2494:13 *24301:RESET_B 4.66915e-05 +9 *2494:13 *24687:RESET_B 5.2825e-05 +10 *2494:13 *24687:CLK 3.74652e-05 +11 *2494:13 *2584:30 7.50872e-05 +12 *2494:13 *4814:28 7.14746e-05 +13 *2494:13 *4823:47 0.00082665 +14 *2494:17 *24301:RESET_B 0.00119312 +15 *2494:17 *2545:48 7.41338e-05 +16 *2494:17 *2635:21 0.000621453 +17 *20327:B2 *2494:13 7.63448e-05 +18 *21435:A1 *21435:B1 2.57365e-05 +19 *21435:A2 *21435:B1 0.00016763 +20 *21435:B2 *21435:B1 6.50586e-05 +21 *24301:D *2494:17 5.481e-05 +22 *2249:12 *21435:B1 0.00278305 +*RES +1 *21434:Y *2494:13 42.899 +2 *2494:13 *2494:17 22.3771 +3 *2494:17 *21435:B1 45.9615 +*END + +*D_NET *2495 0.00413455 +*CONN +*I *21436:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21435:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21436:C1 0 +2 *21435:X 0.00111979 +3 *2495:10 0.00111979 +4 *2495:10 *22297:B1 2.01503e-05 +5 *2495:10 *22349:B2 0.000488707 +6 *2495:10 *22394:B 0.000294878 +7 *2495:10 *2989:32 5.46889e-05 +8 *2495:10 *3114:275 0.000120643 +9 *2495:10 *3305:8 0.000264585 +10 *2495:10 *4815:51 0.000189742 +11 *2495:10 *5855:149 7.65608e-05 +12 *21435:A2 *2495:10 3.99086e-06 +13 *1614:105 *2495:10 0.000165708 +14 *2246:14 *2495:10 0.000110505 +15 *2246:30 *2495:10 8.56016e-05 +16 *2249:27 *2495:10 0 +17 *2363:39 *2495:10 1.92176e-05 +*RES +1 *21435:X *2495:10 48.3505 +2 *2495:10 *21436:C1 9.24915 +*END + +*D_NET *2496 0.0006929 +*CONN +*I *21442:B I *D sky130_fd_sc_hd__and3_2 +*I *21436:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21442:B 0.000227989 +2 *21436:X 0.000227989 +3 *20206:B *21442:B 2.77901e-05 +4 *21436:B1 *21442:B 1.05855e-05 +5 *1618:206 *21442:B 0.000111708 +6 *2490:18 *21442:B 6.92705e-05 +7 *2491:13 *21442:B 1.75682e-05 +*RES +1 *21436:X *21442:B 31.6492 +*END + +*D_NET *2497 0.0167466 +*CONN +*I *22351:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22676:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21441:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21437:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22351:A1 1.98947e-05 +2 *22676:B1 0.000773165 +3 *21441:A1 0.000182508 +4 *21437:Y 0 +5 *2497:22 0.00155738 +6 *2497:5 0.00409176 +7 *2497:4 0.00314493 +8 *22351:A1 *3192:92 6.08467e-05 +9 *22676:B1 *21999:B1 3.63593e-05 +10 *22676:B1 *22359:B 0.000132635 +11 *22676:B1 *3011:34 0.000256242 +12 *22676:B1 *3013:16 1.78704e-05 +13 *22676:B1 *3316:14 6.80097e-05 +14 *22676:B1 *3348:33 0.000318129 +15 *2497:22 *21998:B1 4.18605e-05 +16 *2497:22 *2530:64 3.08031e-05 +17 *2497:22 *2530:90 0 +18 *2497:22 *3011:34 5.41377e-05 +19 *2497:22 *3192:104 1.22507e-05 +20 *2497:22 *3299:21 0.000444677 +21 *2497:22 *3343:22 0.00077638 +22 *2497:22 *3348:33 0.000191979 +23 *2497:22 *3348:39 4.48993e-05 +24 *2497:22 *5893:18 5.11853e-06 +25 *20689:B *21441:A1 5.22983e-05 +26 *21441:A2 *21441:A1 4.29218e-06 +27 *21441:B2 *21441:A1 0.000147075 +28 *22676:B2 *22676:B1 4.7372e-05 +29 *476:167 *2497:5 5.8334e-05 +30 *1610:104 *22676:B1 7.3217e-05 +31 *1616:123 *22676:B1 0.0001025 +32 *1617:12 *2497:5 0.00384297 +33 *2257:47 *21441:A1 1.21461e-06 +34 *2257:47 *2497:5 4.77002e-05 +35 *2391:95 *2497:22 8.61187e-05 +36 *2454:11 *22351:A1 2.16355e-05 +*RES +1 *21437:Y *2497:4 9.24915 +2 *2497:4 *2497:5 51.1923 +3 *2497:5 *21441:A1 14.0959 +4 *2497:5 *2497:22 27.0946 +5 *2497:22 *22676:B1 40.8485 +6 *2497:22 *22351:A1 14.4725 +*END + +*D_NET *2498 0.0252821 +*CONN +*I *21441:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22353:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21438:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21441:B1 0 +2 *22353:B1 0.000547466 +3 *21438:Y 0.000447459 +4 *2498:38 0.00213868 +5 *2498:35 0.00163861 +6 *2498:30 8.86885e-05 +7 *2498:29 0.000138419 +8 *2498:23 0.00121062 +9 *2498:12 0.00299521 +10 *2498:11 0.00232917 +11 *22353:B1 *22403:B 7.87671e-05 +12 *22353:B1 *22403:C 0.000101503 +13 *22353:B1 *3122:115 0.000197627 +14 *2498:11 *3022:6 0.000193745 +15 *2498:11 *5303:9 3.82228e-05 +16 *2498:12 *24768:CLK 1.27831e-06 +17 *2498:12 *2729:38 0.00033917 +18 *2498:12 *4839:119 6.39035e-05 +19 *2498:12 *5356:9 0.000127194 +20 *2498:23 *21441:C1 9.24864e-07 +21 *2498:23 *24768:CLK 0.00089861 +22 *2498:29 *21441:C1 6.28547e-05 +23 *2498:38 *22360:C 0.000761596 +24 *2498:38 *22396:C1 0.000101503 +25 *21441:A2 *2498:23 8.67924e-06 +26 *21441:A2 *2498:29 2.53145e-06 +27 *21441:B2 *2498:23 7.34948e-06 +28 *22723:A1 *22353:B1 1.19737e-05 +29 *22723:A1 *2498:38 7.70172e-06 +30 *24486:D *2498:11 1.07248e-05 +31 *480:26 *2498:38 6.22259e-05 +32 *480:207 *2498:12 0.000259595 +33 *490:21 *2498:11 0.000107496 +34 *1592:151 *2498:12 0.000161062 +35 *1618:206 *2498:23 7.23423e-05 +36 *1618:206 *2498:29 0.000175325 +37 *1618:206 *2498:35 5.88657e-05 +38 *2018:104 *2498:11 0.000740227 +39 *2117:63 *22353:B1 0.000112233 +40 *2117:77 *22353:B1 1.26119e-05 +41 *2243:14 *2498:38 0.0021277 +42 *2305:8 *2498:12 3.45842e-05 +43 *2312:26 *22353:B1 0.00031955 +44 *2337:22 *2498:12 5.65463e-05 +45 *2363:20 *2498:12 0.000559631 +46 *2363:31 *2498:12 0.000497685 +47 *2480:8 *2498:23 1.24976e-05 +48 *2480:8 *2498:38 0.000184965 +49 *2480:18 *2498:38 0.000355995 +50 *2485:8 *2498:11 0.00119802 +51 *2485:8 *2498:12 5.56367e-05 +52 *2485:15 *2498:12 0.00061744 +53 *2485:24 *2498:12 0 +54 *2485:24 *2498:23 0.000131565 +55 *2485:36 *2498:38 9.80784e-05 +56 *2490:10 *2498:12 0.000974438 +57 *2490:10 *2498:23 3.3353e-05 +58 *2490:18 *2498:23 0.00131254 +59 *2493:36 *2498:12 0.000279613 +60 *2493:41 *2498:12 0.000120072 +*RES +1 *21438:Y *2498:11 45.376 +2 *2498:11 *2498:12 61.0054 +3 *2498:12 *2498:23 36.03 +4 *2498:23 *2498:29 12.2151 +5 *2498:29 *2498:30 57.9449 +6 *2498:30 *2498:35 14.4725 +7 *2498:35 *2498:38 45.9586 +8 *2498:38 *22353:B1 27.4158 +9 *2498:23 *21441:B1 9.24915 +*END + +*D_NET *2499 0.034118 +*CONN +*I *21441:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21440:Y O *D sky130_fd_sc_hd__a22oi_4 +*CAP +1 *21441:C1 0.000731152 +2 *21440:Y 0.000317665 +3 *2499:39 0.00236655 +4 *2499:28 0.00313263 +5 *2499:26 0.00200621 +6 *2499:23 0.00134645 +7 *2499:10 0.00251114 +8 *2499:8 0.00199133 +9 *21441:C1 *22404:A 0.000232552 +10 *2499:8 *4839:339 0 +11 *2499:8 *4839:343 0 +12 *2499:8 *5507:12 0 +13 *2499:8 *5897:12 1.2601e-05 +14 *2499:10 *20736:B1 0 +15 *2499:10 *20799:A1 0.000184931 +16 *2499:10 *20802:B1 0.000186007 +17 *2499:10 *21488:A 0.000174696 +18 *2499:10 *24380:CLK 0.000161726 +19 *2499:10 *24959:A 2.97787e-05 +20 *2499:10 *2570:25 0.00124388 +21 *2499:10 *4836:21 7.13655e-06 +22 *2499:10 *4836:43 0.000471946 +23 *2499:10 *4898:27 3.97254e-05 +24 *2499:10 *4898:31 8.00422e-05 +25 *2499:10 *4899:121 3.11022e-05 +26 *2499:10 *5002:8 0.000141016 +27 *2499:10 *5004:9 3.39353e-05 +28 *2499:10 *5300:11 0.000131592 +29 *2499:10 *5507:12 0 +30 *2499:23 *21255:A 0.000220183 +31 *2499:23 *24959:A 0.000653513 +32 *2499:23 *3011:17 7.24839e-05 +33 *2499:23 *4824:101 2.1801e-05 +34 *2499:23 *4845:205 9.2932e-05 +35 *2499:23 *4901:79 0.000160617 +36 *2499:23 *5300:11 4.80103e-06 +37 *2499:23 *5893:16 0.000378039 +38 *2499:26 *4824:112 0.00124813 +39 *2499:26 *4830:42 0.000530479 +40 *2499:26 *4901:79 1.27831e-06 +41 *2499:28 *20962:B1 4.12977e-05 +42 *2499:28 *24296:CLK 0.000115451 +43 *2499:28 *24301:CLK 0.000193815 +44 *2499:28 *4824:112 1.62525e-05 +45 *2499:28 *4824:116 0.00233446 +46 *2499:28 *4824:120 0.000123438 +47 *2499:28 *4824:122 0.000240835 +48 *2499:28 *4830:42 0.000522462 +49 *2499:28 *4830:49 0.000560741 +50 *2499:28 *4835:49 0.000474769 +51 *2499:28 *5924:42 0 +52 *2499:39 *20957:B1 0.000349458 +53 *2499:39 *24299:CLK 0.000309083 +54 *2499:39 *24301:CLK 0.00010174 +55 *2499:39 *4824:122 8.83704e-05 +56 *2499:39 *5091:22 0.000480689 +57 *2499:39 *5853:184 0.000200794 +58 *2499:39 *5853:208 0.00103613 +59 *2499:39 *5859:151 0.000968419 +60 *2499:39 *5924:42 0 +61 *20207:A *21441:C1 0.000117647 +62 *20800:A2 *2499:8 0 +63 *20802:A2 *2499:10 7.94494e-05 +64 *21563:A2 *2499:8 0 +65 *1658:168 *2499:10 0 +66 *1884:64 *21441:C1 1.91391e-05 +67 *1884:71 *21441:C1 0.000305191 +68 *2081:6 *2499:10 3.80436e-07 +69 *2081:6 *2499:23 0 +70 *2082:6 *2499:10 0.000299886 +71 *2082:29 *2499:10 0.000356405 +72 *2082:34 *2499:10 8.24669e-05 +73 *2082:87 *2499:10 0 +74 *2161:17 *2499:28 7.14746e-05 +75 *2243:12 *21441:C1 1.16164e-05 +76 *2243:14 *21441:C1 0.00043947 +77 *2249:8 *2499:39 7.02687e-05 +78 *2266:24 *2499:39 0.000154321 +79 *2320:10 *2499:23 0.00135465 +80 *2373:14 *2499:28 4.51421e-05 +81 *2433:11 *2499:23 0.000944257 +82 *2480:8 *21441:C1 0.000453358 +83 *2485:36 *21441:C1 0.000140897 +84 *2498:23 *21441:C1 9.24864e-07 +85 *2498:29 *21441:C1 6.28547e-05 +*RES +1 *21440:Y *2499:8 21.0161 +2 *2499:8 *2499:10 59.1612 +3 *2499:10 *2499:23 46.8247 +4 *2499:23 *2499:26 22.7045 +5 *2499:26 *2499:28 56.2789 +6 *2499:28 *2499:39 46.6694 +7 *2499:39 *21441:C1 41.2198 +*END + +*D_NET *2500 0.00178911 +*CONN +*I *21442:C I *D sky130_fd_sc_hd__and3_2 +*I *21441:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21442:C 0.000295727 +2 *21441:X 0.000295727 +3 *21442:C *2501:22 0.000253916 +4 *21442:C *2530:64 7.35524e-05 +5 *21442:C *3299:21 8.72285e-06 +6 *502:7 *21442:C 0.000648544 +7 *1551:136 *21442:C 5.81031e-05 +8 *1652:87 *21442:C 0.000154821 +*RES +1 *21441:X *21442:C 37.9547 +*END + +*D_NET *2501 0.0229591 +*CONN +*I *21443:D I *D sky130_fd_sc_hd__nand4_4 +*I *21442:X O *D sky130_fd_sc_hd__and3_2 +*CAP +1 *21443:D 0.000344221 +2 *21442:X 0.00327281 +3 *2501:32 0.0013545 +4 *2501:22 0.00428309 +5 *21443:D *3041:71 0.000110306 +6 *21443:D *4817:145 0.000107496 +7 *2501:22 *22401:B2 2.1203e-06 +8 *2501:22 *3122:136 0.000805983 +9 *2501:22 *3208:165 0.000280301 +10 *2501:22 *3343:19 4.97879e-06 +11 *2501:32 *3126:34 0.000129197 +12 *2501:32 *3139:177 0.000511402 +13 *2501:32 *3139:244 0.000254662 +14 *2501:32 *3142:196 0.000442653 +15 *2501:32 *3185:163 0.000445463 +16 *2501:32 *3206:190 2.25583e-07 +17 *2501:32 *3208:165 1.16164e-05 +18 *2501:32 *3543:33 0.000724371 +19 *21181:B1 *2501:22 0.000289772 +20 *21359:A1 *21443:D 0.000161174 +21 *21359:B1 *21443:D 0.000680205 +22 *21402:B2 *2501:22 1.45435e-05 +23 *21442:C *2501:22 0.000253916 +24 *22376:A1 *2501:32 1.80225e-05 +25 *22694:B2 *2501:32 0.000207742 +26 *22696:A1 *21443:D 0.0002153 +27 *1450:177 *21443:D 0.000202007 +28 *1608:65 *2501:22 0.000997707 +29 *1608:98 *2501:22 0.000506486 +30 *1612:24 *2501:22 0.000206298 +31 *1688:75 *2501:22 0.000571272 +32 *1884:64 *2501:22 0 +33 *2280:6 *21443:D 7.7841e-05 +34 *2288:38 *2501:22 3.29488e-05 +35 *2291:61 *2501:22 0.00146575 +36 *2293:38 *2501:22 0.00275398 +37 *2294:64 *2501:22 2.06745e-05 +38 *2294:74 *2501:22 1.89975e-05 +39 *2294:74 *2501:32 0.000314227 +40 *2326:16 *2501:22 3.29488e-05 +41 *2361:54 *2501:32 0.000129197 +42 *2465:20 *2501:22 4.67716e-05 +43 *2479:52 *2501:22 0.000450738 +44 *2480:8 *2501:22 0.000205199 +*RES +1 *21442:X *2501:22 49.1549 +2 *2501:22 *2501:32 45.3707 +3 *2501:32 *21443:D 31.7839 +*END + +*D_NET *2502 0.081128 +*CONN +*I *22662:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22336:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21450:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21445:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22662:B1 0.000221014 +2 *22336:A1 0 +3 *21450:A1 1.923e-05 +4 *21445:Y 0.005947 +5 *2502:74 0.000705622 +6 *2502:59 0.00161294 +7 *2502:42 0.00847351 +8 *2502:36 0.00841947 +9 *2502:26 0.00229837 +10 *2502:23 0.00181365 +11 *2502:17 0.00147313 +12 *2502:11 0.00681133 +13 *21450:A1 *21450:B1 6.98337e-06 +14 *22662:B1 *22335:A1 0 +15 *22662:B1 *22336:A2 1.54701e-05 +16 *22662:B1 *2991:67 0.000190713 +17 *22662:B1 *3519:332 0.000115006 +18 *2502:11 *2603:13 0.000371691 +19 *2502:11 *2705:16 0.00299062 +20 *2502:11 *2784:23 0 +21 *2502:11 *2947:12 1.91246e-05 +22 *2502:11 *5485:72 0.000503678 +23 *2502:11 *5858:204 0.00129131 +24 *2502:17 *2505:50 0.000903722 +25 *2502:17 *2864:44 0.000793439 +26 *2502:17 *4867:169 0.000586422 +27 *2502:23 *19757:A1 5.04829e-06 +28 *2502:23 *2877:11 3.7516e-05 +29 *2502:23 *2948:14 2.71397e-05 +30 *2502:26 *2911:10 0.000101148 +31 *2502:26 *2922:54 0.000123859 +32 *2502:26 *3891:42 0.00045247 +33 *2502:26 *3939:14 0.000842527 +34 *2502:26 *5456:82 0.000142942 +35 *2502:36 *23432:B1 3.71647e-05 +36 *2502:36 *23478:A 0.000273526 +37 *2502:36 *23478:B 0.000122167 +38 *2502:36 *23621:C 0 +39 *2502:36 *23847:B 1.81863e-06 +40 *2502:36 *23847:C 9.17656e-06 +41 *2502:36 *2963:96 0.000103316 +42 *2502:36 *3874:204 0.000131285 +43 *2502:36 *3883:18 4.28053e-05 +44 *2502:36 *3895:27 2.15656e-05 +45 *2502:36 *4233:79 0.000812217 +46 *2502:36 *4236:61 0.000381204 +47 *2502:36 *4266:10 8.39222e-06 +48 *2502:36 *4329:15 0.000256286 +49 *2502:36 *4618:20 0.000358553 +50 *2502:36 *4663:19 7.11374e-06 +51 *2502:36 *4744:20 0.000182662 +52 *2502:36 *5929:38 0.00026622 +53 *2502:42 *22736:A2 4.27766e-05 +54 *2502:42 *22757:A1 5.76913e-05 +55 *2502:42 *22757:B2 8.24242e-05 +56 *2502:42 *2519:38 5.46373e-05 +57 *2502:42 *2563:26 0.00954623 +58 *2502:42 *2608:62 9.7206e-05 +59 *2502:42 *2612:62 5.72645e-05 +60 *2502:42 *2620:76 7.0726e-05 +61 *2502:42 *2836:20 0.000183088 +62 *2502:42 *3060:43 0 +63 *2502:42 *3373:33 0.00413714 +64 *2502:42 *3421:17 1.79334e-05 +65 *2502:42 *3523:35 0.001492 +66 *2502:42 *3678:21 5.30932e-06 +67 *2502:42 *3688:22 0.000603943 +68 *2502:42 *3699:23 1.43499e-05 +69 *2502:42 *5854:125 4.16959e-05 +70 *2502:59 *21450:B1 0 +71 *2502:59 *21456:A1 1.96574e-05 +72 *2502:59 *21469:A 6.36477e-05 +73 *2502:59 *21537:A1 0.000190306 +74 *2502:59 *21591:B 2.87332e-05 +75 *2502:59 *2549:17 0.000153125 +76 *2502:59 *2773:35 0.000442572 +77 *2502:59 *3519:93 1.5714e-05 +78 *2502:59 *3736:31 0.000401269 +79 *2502:74 *22335:A1 1.11186e-05 +80 *2502:74 *22336:B1 4.66826e-05 +81 *2502:74 *22337:D 4.31485e-06 +82 *2502:74 *2539:35 0.00035572 +83 *2502:74 *2549:17 0.000110306 +84 *2502:74 *2632:112 0.000107496 +85 *2502:74 *2991:67 0.000114337 +86 *19757:B1 *2502:26 1.69327e-05 +87 *19862:A *2502:59 6.50727e-05 +88 *19862:B *2502:59 0.000107496 +89 *19971:A1 *2502:42 0.000387186 +90 *20333:A2 *2502:11 0.000130063 +91 *21450:A2 *21450:A1 6.08467e-05 +92 *21450:A2 *2502:59 0.000167429 +93 *21517:A2 *2502:74 7.74853e-06 +94 *21565:A2 *2502:42 9.07564e-05 +95 *21909:B2 *2502:42 6.75817e-06 +96 *459:72 *2502:26 0.000151379 +97 *459:85 *2502:26 0.000760673 +98 *459:97 *2502:26 0.000209854 +99 *514:44 *2502:23 0.000393577 +100 *514:58 *2502:11 0 +101 *657:88 *2502:23 0.000410711 +102 *1427:47 *2502:23 0.000205101 +103 *1435:105 *2502:42 3.04981e-06 +104 *1467:88 *2502:11 2.48636e-05 +105 *1467:117 *2502:11 0.00158963 +106 *1467:118 *2502:17 0.000333099 +107 *1563:103 *2502:42 6.74182e-05 +108 *1620:10 *2502:59 0.000636347 +109 *1637:53 *2502:59 0.000654817 +110 *1680:111 *2502:74 7.08059e-05 +111 *1697:39 *2502:17 4.78563e-05 +112 *1697:45 *2502:26 0.000497462 +113 *1697:48 *2502:36 8.62625e-06 +114 *1714:11 *2502:17 0.000340357 +115 *1723:47 *2502:42 2.69702e-06 +116 *1725:17 *2502:11 0 +117 *1739:22 *2502:11 1.91246e-05 +118 *1756:31 *2502:17 1.28326e-05 +119 *1763:26 *2502:23 4.93146e-05 +120 *1783:48 *2502:36 0.000126857 +121 *1783:48 *2502:42 0.000861574 +122 *1783:54 *2502:23 0.000309325 +123 *1783:54 *2502:26 0.000643934 +124 *1784:13 *2502:11 0.000362903 +125 *1794:25 *2502:42 0.000231479 +126 *1794:44 *2502:42 0.00143427 +127 *1802:98 *2502:59 0.000726835 +*RES +1 *21445:Y *2502:11 39.2729 +2 *2502:11 *2502:17 45.9671 +3 *2502:17 *2502:23 31.9056 +4 *2502:23 *2502:26 47.4975 +5 *2502:26 *2502:36 43.4233 +6 *2502:36 *2502:42 31.1898 +7 *2502:42 *21450:A1 9.97254 +8 *2502:42 *2502:59 48.8109 +9 *2502:59 *2502:74 29.9527 +10 *2502:74 *22336:A1 13.7491 +11 *2502:74 *22662:B1 19.6848 +*END + +*D_NET *2503 0.106586 +*CONN +*I *22659:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21450:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22322:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21446:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22659:B1 9.82528e-05 +2 *21450:B1 0.00189845 +3 *22322:A1 0 +4 *21446:Y 8.15467e-05 +5 *2503:124 0.00353567 +6 *2503:99 0.00109633 +7 *2503:67 0.00375576 +8 *2503:61 0.00237634 +9 *2503:48 0.00513398 +10 *2503:31 0.00705127 +11 *2503:19 0.00416663 +12 *2503:12 0.00255239 +13 *2503:11 0.00155893 +14 *2503:9 0.00500652 +15 *2503:8 0.00508807 +16 *21450:B1 *21456:A1 2.77625e-06 +17 *21450:B1 *21469:A 7.09666e-06 +18 *21450:B1 *22327:C1 2.6046e-05 +19 *21450:B1 *2519:38 4.35741e-05 +20 *21450:B1 *2520:119 0.000461114 +21 *21450:B1 *2563:26 0.000795347 +22 *21450:B1 *2613:101 0.000868477 +23 *21450:B1 *2634:29 1.97272e-06 +24 *21450:B1 *2919:51 0.00014777 +25 *21450:B1 *3185:79 0.00125332 +26 *21450:B1 *3285:10 9.5775e-05 +27 *22659:B1 *22321:A1 0.000110306 +28 *22659:B1 *2581:61 3.53886e-05 +29 *2503:8 *2809:6 0.000162462 +30 *2503:8 *4888:55 6.74954e-05 +31 *2503:9 *20883:B1 1.63804e-05 +32 *2503:9 *22056:A 0.0001373 +33 *2503:9 *3070:7 1.19856e-05 +34 *2503:9 *4986:11 0.000114594 +35 *2503:12 *2545:28 0.00162779 +36 *2503:12 *2679:12 0.00424353 +37 *2503:12 *2679:18 3.99599e-05 +38 *2503:12 *2687:24 0.00251258 +39 *2503:12 *2791:24 0.00132558 +40 *2503:12 *4821:145 0.00175061 +41 *2503:12 *4821:151 0.000123223 +42 *2503:12 *5902:18 5.2332e-05 +43 *2503:19 *2570:46 2.08703e-05 +44 *2503:19 *2584:45 3.4123e-05 +45 *2503:19 *2679:18 5.30591e-05 +46 *2503:19 *2692:31 0.000487161 +47 *2503:19 *2729:62 0.00171388 +48 *2503:19 *4817:64 5.481e-05 +49 *2503:19 *4821:145 1.26298e-05 +50 *2503:19 *5874:17 9.5562e-05 +51 *2503:31 *20997:B1 3.85975e-06 +52 *2503:31 *24438:SET_B 0.000123582 +53 *2503:31 *2900:111 2.40917e-06 +54 *2503:31 *2949:71 0.000222506 +55 *2503:31 *2950:76 6.07279e-05 +56 *2503:31 *4812:90 0.000813621 +57 *2503:31 *4813:103 4.17596e-05 +58 *2503:31 *4823:85 0 +59 *2503:31 *4827:99 5.60804e-05 +60 *2503:31 *5529:16 0.00140621 +61 *2503:31 *5861:397 3.47217e-05 +62 *2503:31 *5898:205 4.24053e-05 +63 *2503:31 *5924:37 0.000133334 +64 *2503:48 *20942:A1 8.6297e-06 +65 *2503:48 *22306:C 0.000197678 +66 *2503:48 *24310:CLK 2.02035e-05 +67 *2503:48 *3041:61 5.69065e-05 +68 *2503:48 *3041:118 0.000674957 +69 *2503:48 *3142:233 0.000176693 +70 *2503:48 *3198:154 7.09428e-05 +71 *2503:48 *3521:165 0.00172046 +72 *2503:48 *4826:117 6.03122e-05 +73 *2503:48 *4828:169 6.23101e-05 +74 *2503:61 *22310:A2 0.000695209 +75 *2503:61 *22313:B2 0.000107496 +76 *2503:61 *22314:C1 2.57847e-05 +77 *2503:61 *4811:42 0.000171825 +78 *2503:67 *22603:A1 8.70992e-05 +79 *2503:67 *22603:B1 0.000107496 +80 *2503:67 *22621:B2 3.03864e-05 +81 *2503:67 *2631:70 0.000154145 +82 *2503:67 *3204:286 4.69495e-06 +83 *2503:67 *3204:299 2.1203e-06 +84 *2503:67 *3481:153 6.9177e-05 +85 *2503:67 *3483:173 7.92757e-06 +86 *2503:67 *3509:42 0 +87 *2503:67 *3537:35 1.58871e-05 +88 *2503:99 *22282:A1 4.95311e-05 +89 *2503:99 *22282:B1 2.60039e-05 +90 *2503:99 *22282:B2 0.000112752 +91 *2503:99 *22323:B2 0.000117307 +92 *2503:99 *22323:C1 0.000115062 +93 *2503:99 *22540:A2 6.43743e-05 +94 *2503:99 *22664:A2 0.000260109 +95 *2503:99 *24917:A 2.31496e-05 +96 *2503:99 *2541:48 0.000196257 +97 *2503:99 *2680:57 5.88009e-05 +98 *2503:99 *2930:64 1.33276e-05 +99 *2503:99 *3179:167 4.62432e-06 +100 *2503:99 *3481:159 1.8245e-05 +101 *2503:99 *3483:163 0.000722529 +102 *2503:99 *3483:173 2.41274e-06 +103 *2503:99 *3509:42 5.8518e-05 +104 *2503:99 *3519:332 5.35941e-05 +105 *2503:99 *4815:122 0.000718241 +106 *2503:99 *4853:18 3.58475e-05 +107 *2503:124 *21695:A1 1.43499e-05 +108 *2503:124 *22269:B1 0.000352251 +109 *2503:124 *22327:C1 6.74186e-05 +110 *2503:124 *22602:B2 2.78922e-05 +111 *2503:124 *22603:C1 6.28473e-05 +112 *2503:124 *2520:91 0.000412615 +113 *2503:124 *2520:119 0.000474847 +114 *2503:124 *2894:60 1.504e-05 +115 *2503:124 *2919:51 7.00554e-05 +116 *2503:124 *2975:50 0.0017213 +117 *20737:A2 *2503:9 0.000171273 +118 *20883:B2 *2503:9 0.000111722 +119 *20941:A2 *2503:48 0.000179884 +120 *21395:B2 *2503:48 8.20799e-06 +121 *21450:A1 *21450:B1 6.98337e-06 +122 *21450:A2 *21450:B1 1.58551e-05 +123 *21450:B2 *21450:B1 1.03403e-05 +124 *21583:A2 *21450:B1 9.96487e-06 +125 *22712:B1 *2503:48 0.000159964 +126 *24438:D *2503:31 2.02035e-05 +127 *25138:A *2503:19 1.91391e-05 +128 *25140:A *2503:12 9.91418e-05 +129 *478:44 *2503:9 0.000139764 +130 *490:84 *2503:19 0.00152521 +131 *490:105 *2503:48 0.00289712 +132 *1418:79 *2503:48 0 +133 *1563:103 *21450:B1 1.11638e-05 +134 *1583:35 *2503:61 0.00139101 +135 *1583:53 *2503:99 7.05412e-05 +136 *1588:77 *21450:B1 9.13925e-05 +137 *1620:31 *2503:124 0.00203867 +138 *1641:77 *21450:B1 3.62189e-06 +139 *1658:188 *2503:9 0.00111802 +140 *1679:18 *2503:31 2.02035e-05 +141 *1717:102 *2503:48 0.000703544 +142 *1735:132 *2503:99 2.14817e-05 +143 *1788:77 *2503:124 5.60804e-05 +144 *1800:187 *2503:9 0.000464113 +145 *1802:116 *21450:B1 9.14195e-05 +146 *2028:16 *2503:31 0.000448449 +147 *2258:69 *2503:61 0.00125937 +148 *2263:9 *2503:9 0.00444643 +149 *2263:13 *2503:9 0.00108183 +150 *2274:71 *2503:124 0.000860735 +151 *2280:6 *2503:61 0.000175236 +152 *2286:36 *2503:124 0.000126931 +153 *2291:61 *2503:31 0 +154 *2306:11 *2503:9 0.00353373 +155 *2333:60 *2503:48 0.000304557 +156 *2367:28 *2503:12 0.00340582 +157 *2368:34 *2503:67 5.88009e-05 +158 *2380:62 *2503:31 0.000223207 +159 *2383:25 *2503:48 0.000525581 +160 *2389:96 *2503:31 0.00019213 +161 *2404:60 *2503:31 0.000247542 +162 *2423:93 *2503:48 0.00117846 +163 *2457:16 *2503:48 0.00119536 +164 *2479:52 *2503:48 0.000606614 +165 *2486:96 *2503:31 1.33761e-05 +166 *2502:59 *21450:B1 0 +*RES +1 *21446:Y *2503:8 21.3269 +2 *2503:8 *2503:9 155.458 +3 *2503:9 *2503:11 4.5 +4 *2503:11 *2503:12 129.522 +5 *2503:12 *2503:19 46.2158 +6 *2503:19 *2503:31 43.4706 +7 *2503:31 *2503:48 47.6267 +8 *2503:48 *2503:61 46.2235 +9 *2503:61 *2503:67 25.5598 +10 *2503:67 *2503:99 47.6825 +11 *2503:99 *22322:A1 9.24915 +12 *2503:67 *2503:124 23.4694 +13 *2503:124 *21450:B1 31.7834 +14 *2503:124 *22659:B1 16.1364 +*END + +*D_NET *2504 0.0212145 +*CONN +*I *21449:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22803:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22507:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21447:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21449:A1 0.000235817 +2 *22803:A1 0.000210606 +3 *22507:A1 0.00109447 +4 *21447:Y 0.000899839 +5 *2504:41 0.00129678 +6 *2504:21 0.002854 +7 *2504:20 0.00180901 +8 *21449:A1 *22496:B1 2.41568e-05 +9 *21449:A1 *2505:83 1.65872e-05 +10 *21449:A1 *2519:38 6.74182e-05 +11 *21449:A1 *2586:61 6.74182e-05 +12 *21449:A1 *3131:41 1.35515e-05 +13 *21449:A1 *3496:36 0.000344728 +14 *21449:A1 *3500:89 0.000373088 +15 *22507:A1 *21456:B1 7.95907e-05 +16 *22507:A1 *22442:A1 2.21116e-05 +17 *22507:A1 *2608:62 7.13655e-06 +18 *22507:A1 *2632:83 3.88873e-05 +19 *22507:A1 *2932:38 0.000130352 +20 *22507:A1 *2932:61 7.01684e-05 +21 *22507:A1 *2997:42 0.000199049 +22 *22507:A1 *3023:17 0.00023257 +23 *22507:A1 *3126:194 0.000798504 +24 *22507:A1 *3139:55 1.3857e-05 +25 *22507:A1 *3198:38 4.49912e-05 +26 *22507:A1 *3198:48 0.00014569 +27 *22803:A1 *22804:C1 6.08467e-05 +28 *22803:A1 *2506:10 8.30806e-05 +29 *22803:A1 *2652:25 3.80436e-07 +30 *22803:A1 *3134:20 1.9101e-05 +31 *22803:A1 *3406:14 0.000287143 +32 *22803:A1 *3496:36 4.60716e-05 +33 *2504:20 *22416:C 0.00122952 +34 *2504:20 *2833:57 0.000130282 +35 *2504:20 *2979:29 0.000111955 +36 *2504:20 *2994:55 0.000160251 +37 *2504:20 *3014:79 0.000920833 +38 *2504:20 *3407:23 0.000156654 +39 *2504:21 *2994:55 4.81673e-05 +40 *2504:21 *3023:17 0.00201671 +41 *2504:21 *3131:41 4.15947e-05 +42 *2504:21 *3369:19 0.000328028 +43 *2504:41 *3023:17 8.91154e-05 +44 *2504:41 *3131:41 0.000232789 +45 *2504:41 *3369:19 0.00133097 +46 *2504:41 *3500:89 0.000133572 +47 *21449:B2 *21449:A1 6.9526e-05 +48 *21993:A2 *2504:41 4.24581e-05 +49 *1501:53 *2504:20 4.09154e-05 +50 *1560:62 *2504:20 0.000504318 +51 *1645:31 *22507:A1 1.23443e-05 +52 *1793:37 *2504:21 0.0018918 +53 *1794:118 *22507:A1 9.93089e-05 +54 *2013:32 *2504:20 3.63593e-05 +*RES +1 *21447:Y *2504:20 47.2524 +2 *2504:20 *2504:21 3.49194 +3 *2504:21 *22507:A1 45.2846 +4 *2504:21 *2504:41 2.48094 +5 *2504:41 *22803:A1 23.6182 +6 *2504:41 *21449:A1 20.6297 +*END + +*D_NET *2505 0.0912094 +*CONN +*I *22804:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22496:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21449:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21448:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22804:A1 0.000105592 +2 *22496:B1 0.000721888 +3 *21449:B1 1.6785e-05 +4 *21448:Y 0.00112416 +5 *2505:83 0.000947537 +6 *2505:75 0.00435903 +7 *2505:65 0.00582243 +8 *2505:62 0.00179473 +9 *2505:57 0.00153577 +10 *2505:56 0.00134128 +11 *2505:54 0.00152571 +12 *2505:53 0.00177757 +13 *2505:50 0.00133898 +14 *2505:47 0.00173819 +15 *2505:43 0.0024362 +16 *2505:37 0.00448511 +17 *2505:33 0.00359677 +18 *2505:29 0.00253236 +19 *2505:23 0.00345164 +20 *2505:16 0.00294024 +21 *22496:B1 *22496:B2 0.000165521 +22 *22496:B1 *3134:20 0.000651829 +23 *22496:B1 *3142:46 0.000466818 +24 *22804:A1 *22804:A2 6.08467e-05 +25 *22804:A1 *2519:38 0.000174718 +26 *22804:A1 *2586:61 0.000178194 +27 *22804:A1 *3498:112 6.08467e-05 +28 *2505:16 *21719:D1 0.000123931 +29 *2505:16 *21953:A1 0.000553082 +30 *2505:16 *2928:31 0.000112013 +31 *2505:16 *2963:102 0.000148452 +32 *2505:16 *2973:10 3.98296e-05 +33 *2505:23 *21084:A1 4.91225e-06 +34 *2505:23 *2971:47 0.00219596 +35 *2505:23 *4845:466 0 +36 *2505:23 *5856:405 0.000157852 +37 *2505:29 *24520:CLK 3.21413e-05 +38 *2505:29 *2561:22 0.000233751 +39 *2505:29 *4876:66 8.2383e-06 +40 *2505:33 *2561:22 0.00212165 +41 *2505:33 *4876:66 7.97098e-06 +42 *2505:37 *21569:A 2.85139e-05 +43 *2505:37 *2625:22 6.0345e-05 +44 *2505:37 *2838:21 0.00180561 +45 *2505:37 *4876:66 7.11768e-05 +46 *2505:43 *2561:26 0.000903046 +47 *2505:43 *3014:48 0.000602747 +48 *2505:43 *5438:8 7.49459e-05 +49 *2505:43 *5475:19 0 +50 *2505:43 *5811:22 7.65861e-05 +51 *2505:50 *21109:A 0.000271326 +52 *2505:50 *23955:S 0.000152357 +53 *2505:50 *24030:A1 0.000212506 +54 *2505:50 *2864:44 0.000505086 +55 *2505:50 *5450:31 0.000318306 +56 *2505:53 *4867:161 5.04829e-06 +57 *2505:54 *24834:CLK_N 0.000723073 +58 *2505:54 *2711:13 0.000326038 +59 *2505:54 *5455:70 0.000118002 +60 *2505:54 *5462:11 8.72115e-06 +61 *2505:54 *5928:30 0.000481433 +62 *2505:57 *2711:22 0.000635219 +63 *2505:57 *2802:12 0.00266132 +64 *2505:62 *23378:A 0.000550584 +65 *2505:62 *2561:26 0.000554125 +66 *2505:65 *2521:9 0.000183674 +67 *2505:65 *2619:57 0.000158856 +68 *2505:65 *3368:74 0.00104131 +69 *2505:65 *3411:23 0.000510746 +70 *2505:65 *4288:22 8.19374e-05 +71 *2505:75 *22435:C1 0.000312961 +72 *2505:75 *2506:10 2.44829e-05 +73 *2505:75 *2943:63 0.000447969 +74 *2505:75 *2994:55 0.000138318 +75 *2505:75 *3126:189 0.000228703 +76 *2505:75 *3126:194 0.000282306 +77 *2505:75 *3131:41 1.71e-05 +78 *2505:75 *3134:37 0.000588992 +79 *2505:75 *3134:47 8.27233e-05 +80 *2505:75 *3134:62 3.96363e-05 +81 *2505:75 *3146:65 0.000215962 +82 *19766:B2 *2505:54 0.000182458 +83 *19767:A *2505:54 0.000343199 +84 *19772:B1 *2505:54 0.000263069 +85 *19970:B2 *2505:75 1.53092e-05 +86 *20054:A3 *2505:54 0.000101025 +87 *20108:A *2505:50 2.12377e-05 +88 *20108:B_N *2505:50 0.000125695 +89 *20125:A *2505:50 0.000115585 +90 *21449:A1 *22496:B1 2.41568e-05 +91 *21449:A1 *2505:83 1.65872e-05 +92 *21449:A2 *2505:75 1.92172e-05 +93 *21449:A2 *2505:83 5.481e-05 +94 *21449:B2 *21449:B1 9.95922e-06 +95 *21449:B2 *22496:B1 3.31745e-05 +96 *21449:B2 *2505:83 2.37827e-05 +97 *21992:B2 *2505:75 0.000126805 +98 *22411:B1 *2505:75 0.000257971 +99 *24199:D *2505:43 1.78942e-05 +100 *24199:RESET_B *2505:43 0.000106869 +101 *24218:D *2505:23 0.000160617 +102 *24218:D *2505:29 0.000212753 +103 *24828:RESET_B *2505:54 9.96342e-05 +104 *24829:RESET_B *2505:54 0.000177772 +105 *24830:D *2505:54 0.000122083 +106 *74:18 *2505:16 0.00173324 +107 *514:58 *2505:50 0 +108 *514:65 *2505:50 0 +109 *561:28 *2505:50 3.74738e-05 +110 *657:62 *2505:54 0.000759508 +111 *657:129 *2505:54 0.000729343 +112 *1419:62 *2505:16 2.05342e-06 +113 *1427:48 *2505:54 0.000144724 +114 *1492:40 *2505:16 0.000128487 +115 *1510:99 *2505:75 5.87358e-05 +116 *1526:63 *2505:54 0.000275339 +117 *1544:108 *2505:75 0.000108463 +118 *1563:81 *2505:75 0.000140749 +119 *1573:33 *2505:23 0.000536581 +120 *1573:33 *2505:29 0.000920529 +121 *1587:44 *2505:43 6.15006e-05 +122 *1587:44 *2505:47 0.00146175 +123 *1641:10 *2505:23 0.000369916 +124 *1642:39 *2505:75 1.09352e-05 +125 *1677:187 *2505:75 0.000166738 +126 *1684:85 *2505:75 0.000350015 +127 *1696:45 *2505:16 1.41976e-05 +128 *1696:62 *2505:16 4.61168e-06 +129 *1706:87 *2505:75 0.000164847 +130 *1723:24 *2505:75 0.00062766 +131 *1724:61 *2505:75 0.00292582 +132 *1725:75 *2505:75 0.00158888 +133 *1728:10 *2505:75 0.000145056 +134 *1729:72 *2505:75 0.00036633 +135 *1731:32 *2505:16 0.000129579 +136 *1755:27 *2505:54 0.000612498 +137 *1756:31 *2505:50 0.000613856 +138 *1763:15 *2505:57 0.000699834 +139 *1764:32 *2505:75 0.000684007 +140 *1795:29 *2505:75 2.09471e-05 +141 *1829:49 *2505:57 0.00137108 +142 *1947:181 *2505:75 6.79169e-05 +143 *2205:8 *2505:23 0.000683001 +144 *2285:16 *2505:75 0.00022273 +145 *2405:17 *2505:47 0.000221039 +146 *2405:24 *2505:54 7.86851e-05 +147 *2405:26 *2505:54 0.000297651 +148 *2412:7 *2505:47 0.00128721 +149 *2426:13 *2505:53 0.000258128 +150 *2448:184 *2505:16 0 +151 *2502:17 *2505:50 0.000903722 +*RES +1 *21448:Y *2505:16 39.6197 +2 *2505:16 *2505:23 49.9806 +3 *2505:23 *2505:29 27.1153 +4 *2505:29 *2505:33 24.8728 +5 *2505:33 *2505:37 46.2641 +6 *2505:37 *2505:43 42.1149 +7 *2505:43 *2505:47 30.1806 +8 *2505:47 *2505:50 49.9335 +9 *2505:50 *2505:53 10.2148 +10 *2505:53 *2505:54 67.0266 +11 *2505:54 *2505:56 4.5 +12 *2505:56 *2505:57 51.1923 +13 *2505:57 *2505:62 19.137 +14 *2505:62 *2505:65 46.8187 +15 *2505:65 *2505:75 44.5019 +16 *2505:75 *21449:B1 9.82786 +17 *2505:75 *2505:83 2.94181 +18 *2505:83 *22496:B1 32.1808 +19 *2505:83 *22804:A1 22.4655 +*END + +*D_NET *2506 0.00388046 +*CONN +*I *21450:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21449:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21450:C1 0 +2 *21449:X 0.000970161 +3 *2506:10 0.000970161 +4 *2506:10 *2510:73 0.000198028 +5 *2506:10 *2652:25 0.00034331 +6 *2506:10 *2999:65 3.44264e-05 +7 *2506:10 *3134:20 1.5714e-05 +8 *2506:10 *3406:14 2.77478e-05 +9 *2506:10 *3668:18 0.000585662 +10 *21455:B2 *2506:10 0.000114664 +11 *22803:A1 *2506:10 8.30806e-05 +12 *1542:127 *2506:10 0.000513019 +13 *2505:75 *2506:10 2.44829e-05 +*RES +1 *21449:X *2506:10 44.198 +2 *2506:10 *21450:C1 9.24915 +*END + +*D_NET *2507 0.0012633 +*CONN +*I *21469:A I *D sky130_fd_sc_hd__and4_1 +*I *21450:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21469:A 0.000304151 +2 *21450:X 0.000304151 +3 *21469:A *21469:C 0.000200794 +4 *21469:A *21469:D 5.73392e-05 +5 *21469:A *21592:A 0.000124537 +6 *21469:A *2519:38 2.65192e-05 +7 *21469:A *3523:19 0.000107101 +8 *21450:B1 *21469:A 7.09666e-06 +9 *1563:103 *21469:A 5.74984e-05 +10 *1563:105 *21469:A 1.04624e-05 +11 *2502:59 *21469:A 6.36477e-05 +*RES +1 *21450:X *21469:A 36.3713 +*END + +*D_NET *2508 0.0394487 +*CONN +*I *22334:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22654:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21456:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21451:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22334:B1 9.33256e-05 +2 *22654:B1 1.93925e-05 +3 *21456:A1 0.000826451 +4 *21451:Y 0.000581843 +5 *2508:45 0.0016649 +6 *2508:31 0.0011686 +7 *2508:26 0.000687183 +8 *2508:23 0.0038127 +9 *2508:11 0.00497223 +10 *2508:7 0.00202446 +11 *21456:A1 *21909:B1 1.41976e-05 +12 *21456:A1 *3023:42 0.000321347 +13 *22334:B1 *3111:39 1.15389e-05 +14 *22334:B1 *3111:52 2.16355e-05 +15 *22654:B1 *22654:B2 1.09551e-05 +16 *2508:11 *22286:A1 0.000654881 +17 *2508:11 *22290:A1 4.39196e-05 +18 *2508:11 *2820:34 0.000306425 +19 *2508:11 *2881:51 0.000481247 +20 *2508:11 *2888:41 0.000470519 +21 *2508:11 *3122:153 0.00025175 +22 *2508:11 *3153:177 0.000120138 +23 *2508:11 *3153:193 4.23622e-05 +24 *2508:11 *3179:233 5.81031e-05 +25 *2508:11 *3179:243 3.58525e-05 +26 *2508:11 *3192:135 3.64497e-06 +27 *2508:11 *3238:44 0.000263113 +28 *2508:11 *3523:192 0.00026374 +29 *2508:11 *3527:207 0.000303301 +30 *2508:23 *21869:A1 9.08699e-07 +31 *2508:23 *21882:A1 0.000603052 +32 *2508:23 *22380:C1 4.46284e-06 +33 *2508:23 *22619:B1 0.000429054 +34 *2508:23 *22622:B1 0.000238658 +35 *2508:23 *2806:14 2.77419e-05 +36 *2508:23 *2827:49 0.000202101 +37 *2508:23 *2881:51 0 +38 *2508:23 *2893:20 0.00147656 +39 *2508:23 *3117:204 0.000321882 +40 *2508:23 *3142:133 0.000779688 +41 *2508:23 *3142:154 0.00105259 +42 *2508:23 *3151:195 0.00203981 +43 *2508:23 *3185:87 0.00077831 +44 *2508:23 *3206:146 0.000109796 +45 *2508:23 *3243:41 0 +46 *2508:23 *3507:34 0.000114945 +47 *2508:23 *3517:170 7.43088e-05 +48 *2508:23 *3517:197 0.00032277 +49 *2508:23 *3569:13 0.00102186 +50 *2508:23 *5458:13 0.000703792 +51 *2508:26 *3052:77 0.000334298 +52 *2508:26 *3280:16 4.65383e-05 +53 *2508:31 *21518:A1 0.000163208 +54 *2508:31 *22654:A1 0.000107496 +55 *2508:31 *2546:56 0.000121159 +56 *2508:31 *2570:141 6.3657e-05 +57 *2508:31 *3052:77 0.000264489 +58 *2508:31 *3280:16 1.0927e-05 +59 *2508:31 *3476:29 4.97617e-05 +60 *2508:31 *3476:36 1.65872e-05 +61 *2508:45 *21483:A1 1.88152e-05 +62 *2508:45 *21483:B1 6.3657e-05 +63 *2508:45 *21493:A1 6.3657e-05 +64 *2508:45 *21493:B1 0.000249762 +65 *2508:45 *2539:35 6.50586e-05 +66 *2508:45 *2546:56 5.26328e-05 +67 *2508:45 *2600:11 6.51637e-05 +68 *2508:45 *2613:101 0.000397397 +69 *2508:45 *2634:29 1.51556e-05 +70 *2508:45 *3198:29 0.000324331 +71 *2508:45 *3476:36 0.000218331 +72 *6079:DIODE *2508:23 4.0605e-06 +73 *19820:B2 *2508:23 0.000139813 +74 *21235:C1 *2508:23 6.34651e-06 +75 *21278:B2 *2508:11 0.00012363 +76 *21309:C1 *2508:23 0.000951827 +77 *21450:A2 *21456:A1 0.000782127 +78 *21450:B1 *21456:A1 2.77625e-06 +79 *21450:B2 *21456:A1 0.000247443 +80 *21456:B2 *21456:A1 6.00469e-05 +81 *21583:A2 *2508:45 1.84769e-05 +82 *21856:A2 *2508:11 4.70242e-05 +83 *22290:A2 *2508:23 9.66958e-06 +84 *22334:A2 *22334:B1 5.44913e-05 +85 *22366:B1 *2508:11 1.09101e-05 +86 *22698:B1 *2508:11 3.69743e-05 +87 *22699:B1 *2508:11 3.40316e-05 +88 *1553:64 *2508:23 8.12384e-05 +89 *1577:74 *2508:45 0.000161234 +90 *1612:24 *2508:11 1.62909e-05 +91 *1616:17 *2508:45 0.000926105 +92 *1616:42 *2508:45 0.000301209 +93 *1620:10 *21456:A1 0.000171232 +94 *1635:120 *2508:7 0.00082879 +95 *1641:77 *2508:45 0.000243225 +96 *1648:47 *21456:A1 0.000324772 +97 *1662:57 *21456:A1 0.000909144 +98 *1750:49 *2508:26 0.000192314 +99 *1750:49 *2508:31 6.11074e-05 +100 *2370:8 *2508:11 2.054e-05 +101 *2374:48 *2508:11 0.000118057 +102 *2502:59 *21456:A1 1.96574e-05 +*RES +1 *21451:Y *2508:7 23.3462 +2 *2508:7 *2508:11 49.138 +3 *2508:11 *2508:23 49.196 +4 *2508:23 *2508:26 10.8067 +5 *2508:26 *2508:31 14.1203 +6 *2508:31 *2508:45 43.8546 +7 *2508:45 *21456:A1 41.1568 +8 *2508:31 *22654:B1 9.82786 +9 *2508:26 *22334:B1 16.1846 +*END + +*D_NET *2509 0.0130388 +*CONN +*I *21456:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22507:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21452:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21456:B1 0.00118546 +2 *22507:B1 2.00717e-05 +3 *21452:Y 0.00153483 +4 *2509:23 0.00187643 +5 *2509:15 0.00220573 +6 *21456:B1 *21456:C1 2.52796e-05 +7 *21456:B1 *22507:A2 6.08467e-05 +8 *21456:B1 *22737:C1 5.04829e-06 +9 *21456:B1 *2608:62 1.91391e-05 +10 *21456:B1 *2632:83 0.000103002 +11 *21456:B1 *3166:36 0.000745071 +12 *21456:B1 *3198:48 0.000102836 +13 *21456:B1 *3523:35 9.4385e-05 +14 *21456:B1 *3678:21 6.08467e-05 +15 *22507:B1 *3678:21 0 +16 *2509:15 *20609:A1 0.000203113 +17 *2509:15 *21560:A1 2.81262e-05 +18 *2509:15 *21620:A 2.43314e-05 +19 *2509:15 *22739:C1 0.000154145 +20 *2509:15 *2612:77 0.000445435 +21 *2509:15 *5296:8 6.51527e-05 +22 *2509:15 *5296:13 0.000152164 +23 *2509:23 *21992:A1 9.07365e-05 +24 *2509:23 *22508:C1 1.69574e-05 +25 *2509:23 *22742:B 4.30381e-05 +26 *2509:23 *22755:B1 0.000200794 +27 *2509:23 *22755:B2 0.000633475 +28 *2509:23 *3683:8 0.000294093 +29 *2509:23 *3751:14 8.71956e-05 +30 *20608:B2 *2509:15 1.17394e-05 +31 *20609:A2 *2509:15 7.98171e-06 +32 *21456:A2 *21456:B1 1.47978e-05 +33 *21456:B2 *21456:B1 1.31311e-05 +34 *21468:B2 *21456:B1 0.000248017 +35 *22507:A1 *21456:B1 7.95907e-05 +36 *22736:B1 *21456:B1 2.44914e-05 +37 *22737:A1 *21456:B1 7.98171e-06 +38 *24500:D *2509:15 6.08467e-05 +39 *1435:105 *2509:15 0.000951487 +40 *1496:106 *21456:B1 0.000252402 +41 *1560:52 *2509:15 8.71956e-05 +42 *1636:105 *21456:B1 1.57428e-05 +43 *1761:17 *2509:15 1.03643e-05 +44 *2013:54 *2509:15 2.59516e-05 +45 *2281:13 *21456:B1 0.000749303 +*RES +1 *21452:Y *2509:15 46.1234 +2 *2509:15 *2509:23 26.5538 +3 *2509:23 *22507:B1 9.82786 +4 *2509:23 *21456:B1 46.0526 +*END + +*D_NET *2510 0.0496402 +*CONN +*I *21455:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21453:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21455:A1 0 +2 *21453:Y 0.00150174 +3 *2510:73 0.00400485 +4 *2510:54 0.00492751 +5 *2510:36 0.00212463 +6 *2510:28 0.00226705 +7 *2510:25 0.00157091 +8 *2510:21 0.00187763 +9 *2510:11 0.00287354 +10 *2510:11 *4867:24 4.801e-05 +11 *2510:21 *20063:A1 7.48797e-05 +12 *2510:21 *24054:S 2.75423e-05 +13 *2510:21 *5474:70 0 +14 *2510:25 *24054:S 6.08467e-05 +15 *2510:25 *3177:130 0.00065297 +16 *2510:28 *20372:A1 0.000194879 +17 *2510:28 *20374:B1 4.3648e-05 +18 *2510:28 *21726:A 0.000289862 +19 *2510:28 *24659:RESET_B 0.000265131 +20 *2510:28 *2516:31 0.00051357 +21 *2510:28 *2993:20 0.000326093 +22 *2510:28 *5851:171 0.000133992 +23 *2510:28 *5858:180 0.00117848 +24 *2510:28 *5869:41 0.00056058 +25 *2510:36 *20449:A1 0.000141505 +26 *2510:36 *20450:B1 6.85778e-05 +27 *2510:36 *21721:A 8.37812e-05 +28 *2510:36 *4799:22 0.00018542 +29 *2510:36 *5801:78 0.00031334 +30 *2510:36 *5851:171 2.99052e-05 +31 *2510:36 *5856:204 0.000176174 +32 *2510:36 *5869:73 0.000317219 +33 *2510:36 *5869:239 4.74417e-05 +34 *2510:36 *5869:308 0.000123414 +35 *2510:54 *20410:B1 0.000154145 +36 *2510:54 *21777:A1 5.60804e-05 +37 *2510:54 *24633:CLK 0.00015607 +38 *2510:54 *2618:18 3.55325e-05 +39 *2510:54 *2993:33 0.000270316 +40 *2510:54 *4799:22 0.000175164 +41 *2510:54 *4905:109 7.09666e-06 +42 *2510:54 *5860:46 0.000167626 +43 *2510:54 *5869:331 1.09847e-05 +44 *2510:73 *21455:B1 2.16355e-05 +45 *2510:73 *21987:A1 6.08467e-05 +46 *2510:73 *2618:18 0.00652215 +47 *2510:73 *2934:22 0.000401938 +48 *2510:73 *2994:55 0.000130719 +49 *2510:73 *2999:65 8.62625e-06 +50 *2510:73 *3406:14 2.22714e-05 +51 *2510:73 *3417:29 4.01595e-05 +52 *2510:73 *3668:18 0.000119505 +53 *2510:73 *3751:47 4.23858e-05 +54 *2510:73 *5475:83 0.000141089 +55 *19970:B2 *2510:73 0.00050443 +56 *20374:B2 *2510:28 0.000118485 +57 *20450:A2 *2510:36 1.5714e-05 +58 *20452:B2 *2510:36 0.000141986 +59 *20642:A2 *2510:54 0.000162884 +60 *21167:A *2510:11 0.000111722 +61 *21168:A *2510:11 6.49003e-05 +62 *21455:A2 *2510:73 0.000967929 +63 *21987:A2 *2510:73 0.000444493 +64 *24054:A0 *2510:21 0.000539217 +65 *24165:SET_B *2510:11 3.82228e-05 +66 *24606:D *2510:36 8.96342e-05 +67 *468:8 *2510:25 0.00143593 +68 *1501:70 *2510:73 1.39173e-05 +69 *1515:47 *2510:21 0.000805876 +70 *1522:26 *2510:21 9.42281e-05 +71 *1522:32 *2510:21 6.74667e-05 +72 *1604:91 *2510:73 0.000104754 +73 *1677:187 *2510:73 0.00340195 +74 *1706:87 *2510:73 0.000106114 +75 *1721:12 *2510:73 2.75462e-05 +76 *1753:24 *2510:54 2.40051e-05 +77 *1753:26 *2510:54 0.000910859 +78 *1765:14 *2510:21 0.0002108 +79 *1765:15 *2510:21 0.000596471 +80 *1765:15 *2510:25 4.47134e-05 +81 *1784:16 *2510:28 2.01595e-05 +82 *1784:18 *2510:28 1.99604e-05 +83 *1784:18 *2510:36 0.00141514 +84 *1784:32 *2510:54 0.000184123 +85 *1845:144 *2510:21 0 +86 *2013:54 *2510:73 8.08437e-05 +87 *2021:34 *2510:54 5.13937e-05 +88 *2021:41 *2510:54 1.10793e-05 +89 *2407:22 *2510:73 0.00106581 +90 *2506:10 *2510:73 0.000198028 +*RES +1 *21453:Y *2510:11 44.8793 +2 *2510:11 *2510:21 45.9469 +3 *2510:21 *2510:25 22.9707 +4 *2510:25 *2510:28 41.3298 +5 *2510:28 *2510:36 47.1678 +6 *2510:36 *2510:54 45.3114 +7 *2510:54 *2510:73 44.5238 +8 *2510:73 *21455:A1 9.24915 +*END + +*D_NET *2511 0.0232538 +*CONN +*I *21455:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22320:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22660:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21454:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21455:B1 0.00255173 +2 *22320:B1 7.87401e-05 +3 *22660:A1 1.98947e-05 +4 *21454:Y 5.33495e-05 +5 *2511:30 0.00322564 +6 *2511:13 0.000804055 +7 *2511:9 0.00389233 +8 *2511:8 0.0037567 +9 *21455:B1 *21987:A1 0.000314282 +10 *21455:B1 *22805:B1 0.000104572 +11 *21455:B1 *2628:17 0.000689786 +12 *21455:B1 *2645:50 4.3284e-05 +13 *21455:B1 *2751:8 0.000171018 +14 *21455:B1 *3001:22 0.000244251 +15 *21455:B1 *3023:41 0.000743725 +16 *21455:B1 *3124:47 2.1862e-05 +17 *21455:B1 *3498:112 1.55025e-05 +18 *21455:B1 *3751:47 1.64789e-05 +19 *22320:B1 *22320:B2 6.08467e-05 +20 *22320:B1 *22746:A2 0.000162739 +21 *22320:B1 *22746:B2 6.36477e-05 +22 *22320:B1 *2577:58 8.62625e-06 +23 *22660:A1 *2576:85 6.08467e-05 +24 *2511:9 *22657:B2 0.00133642 +25 *2511:9 *2610:83 3.7516e-05 +26 *2511:9 *3511:17 3.31745e-05 +27 *2511:9 *3521:14 7.92757e-06 +28 *2511:9 *3529:7 3.75404e-05 +29 *2511:9 *4807:58 0.000581333 +30 *2511:9 *4807:62 0.000200794 +31 *2511:9 *4808:27 0.00141071 +32 *2511:13 *2576:85 4.66492e-05 +33 *2511:30 *21583:B1 2.67878e-05 +34 *2511:30 *22321:C1 0.000136233 +35 *2511:30 *2576:85 3.77568e-05 +36 *2511:30 *2930:59 0.00029915 +37 *2511:30 *3166:36 0.000181996 +38 *2511:30 *3280:13 5.1493e-06 +39 *2511:30 *3496:104 5.49916e-05 +40 *2511:30 *3496:125 7.09666e-06 +41 *2511:30 *3498:119 0.000222068 +42 *21320:A2 *2511:9 4.28376e-05 +43 *518:46 *2511:8 1.49869e-05 +44 *531:23 *2511:9 0.000162015 +45 *1545:54 *2511:30 0.000693857 +46 *1552:65 *2511:30 3.06917e-06 +47 *1577:44 *22320:B1 0 +48 *1577:44 *2511:30 0 +49 *1620:11 *22660:A1 2.16355e-05 +50 *1620:11 *2511:9 1.99543e-06 +51 *1620:11 *2511:13 0.000119242 +52 *1641:77 *21455:B1 5.60804e-05 +53 *1691:87 *22320:B1 6.14003e-06 +54 *1691:87 *2511:30 5.7269e-06 +55 *1771:47 *21455:B1 6.61525e-05 +56 *2281:13 *21455:B1 0.000142357 +57 *2281:13 *2511:30 6.00641e-05 +58 *2467:114 *2511:8 6.87503e-05 +59 *2510:73 *21455:B1 2.16355e-05 +*RES +1 *21454:Y *2511:8 19.6659 +2 *2511:8 *2511:9 58.6795 +3 *2511:9 *2511:13 4.76236 +4 *2511:13 *22660:A1 9.97254 +5 *2511:13 *2511:30 19.3778 +6 *2511:30 *22320:B1 16.3756 +7 *2511:30 *21455:B1 39.9122 +*END + +*D_NET *2512 0.00244566 +*CONN +*I *21456:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21455:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21456:C1 0.000622434 +2 *21455:X 0.000622434 +3 *21456:C1 *22803:B1 6.18132e-05 +4 *21456:C1 *3751:47 0.000258758 +5 *19995:A *21456:C1 0.000110296 +6 *21456:B1 *21456:C1 2.52796e-05 +7 *21987:B2 *21456:C1 9.28716e-05 +8 *22737:A1 *21456:C1 2.53145e-06 +9 *1510:116 *21456:C1 0.000343557 +10 *1636:82 *21456:C1 0.000273061 +11 *1800:8 *21456:C1 3.26192e-05 +*RES +1 *21455:X *21456:C1 43.2268 +*END + +*D_NET *2513 0.000343623 +*CONN +*I *21469:B I *D sky130_fd_sc_hd__and4_1 +*I *21456:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21469:B 0.000104415 +2 *21456:X 0.000104415 +3 *21469:B *3668:18 6.22259e-05 +4 *21450:B2 *21469:B 1.03403e-05 +5 *1542:127 *21469:B 6.22259e-05 +*RES +1 *21456:X *21469:B 29.6384 +*END + +*D_NET *2514 0.0336907 +*CONN +*I *22811:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22498:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21462:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21457:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22811:B1 0.000493086 +2 *22498:B1 0.000585042 +3 *21462:A1 0.000280288 +4 *21457:Y 0.00158262 +5 *2514:45 0.00282054 +6 *2514:37 0.00321727 +7 *2514:18 0.00228382 +8 *2514:16 0.00170943 +9 *2514:13 0.00276338 +10 *21462:A1 *2732:29 0.000194401 +11 *21462:A1 *2895:7 0.000619113 +12 *22498:B1 *22498:B2 0.000340742 +13 *22498:B1 *22757:C1 1.5714e-05 +14 *22498:B1 *2521:27 0.000490498 +15 *22498:B1 *2632:67 4.7328e-05 +16 *22498:B1 *2998:28 0.000296893 +17 *22498:B1 *3028:50 1.5714e-05 +18 *22811:B1 *22499:A1 0.00015008 +19 *22811:B1 *22811:A1 0 +20 *22811:B1 *2548:7 0.000167069 +21 *22811:B1 *2608:43 0.000167069 +22 *22811:B1 *3148:253 0.000343361 +23 *22811:B1 *3208:83 2.00098e-05 +24 *22811:B1 *3529:106 0 +25 *2514:13 *19626:A1 0.000155865 +26 *2514:13 *20379:B1 6.08467e-05 +27 *2514:13 *5851:502 1.93755e-05 +28 *2514:13 *5858:213 1.15403e-05 +29 *2514:13 *5917:438 0.0002211 +30 *2514:16 *2517:13 3.24287e-05 +31 *2514:16 *2976:18 0 +32 *2514:18 *2517:13 0.00105154 +33 *2514:37 *21683:C1 0 +34 *2514:37 *2515:15 0.000300565 +35 *2514:37 *2517:13 0.000191872 +36 *2514:37 *2703:60 1.9101e-05 +37 *2514:37 *2715:38 1.22858e-05 +38 *2514:37 *2974:34 8.38292e-05 +39 *2514:37 *2994:12 1.87983e-05 +40 *2514:37 *2994:29 0.000131137 +41 *2514:37 *4832:127 0.000796016 +42 *2514:45 *2713:38 1.14055e-05 +43 *2514:45 *3005:14 0.000181333 +44 *2514:45 *3148:253 0.000575396 +45 *2514:45 *5860:636 0.000111715 +46 *19624:A2 *2514:13 8.29613e-05 +47 *20006:A *2514:16 0.000183139 +48 *20344:A *2514:13 0.000383769 +49 *20605:B *2514:45 0.000331474 +50 *20612:A2 *2514:45 0.000160779 +51 *20685:A2 *2514:37 7.82766e-05 +52 *21461:A2 *2514:16 4.3648e-05 +53 *22739:A1 *22498:B1 0.000247443 +54 *24448:D *2514:37 9.80784e-05 +55 *24497:D *2514:45 0.000307873 +56 *1439:333 *2514:13 9.76521e-05 +57 *1454:46 *2514:37 0.000113261 +58 *1454:59 *2514:45 0.000442133 +59 *1497:22 *2514:16 0 +60 *1501:34 *2514:37 0.000605152 +61 *1502:42 *2514:13 5.60804e-05 +62 *1515:12 *2514:16 0 +63 *1537:36 *22498:B1 0.000495159 +64 *1541:128 *2514:45 4.91323e-05 +65 *1573:8 *2514:16 3.65419e-06 +66 *1573:8 *2514:18 0.00100106 +67 *1573:8 *2514:37 0.000186159 +68 *1573:15 *2514:16 0.00147999 +69 *1573:15 *2514:18 2.16006e-05 +70 *1573:18 *2514:16 0.000215487 +71 *1597:45 *2514:45 3.21402e-05 +72 *1631:10 *22811:B1 0.000537904 +73 *1631:10 *2514:45 0.000437334 +74 *1639:25 *2514:16 3.41767e-05 +75 *1639:65 *21462:A1 8.6297e-06 +76 *1689:51 *2514:37 4.35274e-05 +77 *1706:31 *21462:A1 0.000150483 +78 *1706:87 *2514:45 9.04251e-05 +79 *1714:42 *21462:A1 0.00101172 +80 *1733:14 *2514:45 0.000336909 +81 *1773:18 *2514:45 0.000347263 +82 *1784:13 *2514:13 5.97688e-05 +83 *1791:28 *21462:A1 0.000172242 +84 *1793:14 *2514:45 1.48603e-05 +85 *1797:20 *2514:45 1.26344e-05 +86 *1947:228 *2514:16 0.000154881 +87 *2274:22 *2514:13 0.000972183 +88 *2469:35 *2514:16 0 +*RES +1 *21457:Y *2514:13 27.2771 +2 *2514:13 *2514:16 34.124 +3 *2514:16 *2514:18 20.726 +4 *2514:18 *21462:A1 37.9183 +5 *2514:18 *2514:37 49.3806 +6 *2514:37 *2514:45 49.3784 +7 *2514:45 *22498:B1 30.6943 +8 *2514:45 *22811:B1 30.6633 +*END + +*D_NET *2515 0.0193658 +*CONN +*I *21462:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22511:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22800:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21458:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21462:B1 1.3941e-05 +2 *22511:B1 0.000316096 +3 *22800:B1 0.000996827 +4 *21458:Y 0.00162841 +5 *2515:27 0.00177691 +6 *2515:18 0.00117186 +7 *2515:15 0.000442014 +8 *2515:11 0.00198081 +9 *22511:B1 *22424:C1 1.5714e-05 +10 *22511:B1 *22512:C1 0.000120613 +11 *22511:B1 *2833:21 0.000113732 +12 *22511:B1 *2834:17 0.000438905 +13 *22800:B1 *21744:C 2.20702e-05 +14 *22800:B1 *21744:D 6.08467e-05 +15 *22800:B1 *22782:B1 5.04829e-06 +16 *22800:B1 *22800:B2 9.95922e-06 +17 *22800:B1 *2586:59 0.000124658 +18 *22800:B1 *2923:16 9.82479e-06 +19 *22800:B1 *5854:489 0.000112159 +20 *22800:B1 *5854:490 6.08467e-05 +21 *2515:11 *24445:CLK 0.000136088 +22 *2515:11 *2749:10 8.4574e-05 +23 *2515:11 *5851:192 0.00035792 +24 *2515:18 *2518:8 9.12416e-06 +25 *2515:18 *2833:21 1.88878e-05 +26 *2515:18 *2834:17 5.88009e-05 +27 *2515:27 *2999:31 0.00153785 +28 *6157:DIODE *22800:B1 6.63489e-05 +29 *19955:A2 *22800:B1 2.16355e-05 +30 *19955:B1 *22800:B1 6.08467e-05 +31 *19956:C1 *22800:B1 0.000234731 +32 *19956:C1 *2515:27 6.08467e-05 +33 *19981:B *22800:B1 0.000527757 +34 *20052:B *22800:B1 0.000344968 +35 *20369:A *2515:11 0.000350024 +36 *21462:A2 *2515:15 2.53145e-06 +37 *21472:C1 *22800:B1 0.000487161 +38 *1461:228 *2515:11 0.000462702 +39 *1496:68 *22800:B1 0.000113968 +40 *1496:68 *2515:27 1.41689e-05 +41 *1510:85 *2515:27 0.000205006 +42 *1671:102 *22800:B1 5.04829e-06 +43 *1684:5 *2515:27 0.00218513 +44 *1684:15 *21462:B1 2.57365e-05 +45 *1684:15 *2515:11 9.98033e-05 +46 *1684:15 *2515:15 0.00114667 +47 *1684:15 *2515:27 0.000101154 +48 *1684:45 *2515:27 0.000451182 +49 *1709:87 *22800:B1 7.92757e-06 +50 *1710:26 *22800:B1 0.000200794 +51 *1731:136 *22800:B1 0.000130501 +52 *1757:87 *21462:B1 6.50586e-05 +53 *1757:87 *2515:15 6.89953e-05 +54 *2514:37 *2515:15 0.000300565 +*RES +1 *21458:Y *2515:11 42.4771 +2 *2515:11 *2515:15 13.5034 +3 *2515:15 *2515:18 5.91674 +4 *2515:18 *2515:27 37.0528 +5 *2515:27 *22800:B1 48.8588 +6 *2515:18 *22511:B1 23.0557 +7 *2515:15 *21462:B1 9.97254 +*END + +*D_NET *2516 0.025153 +*CONN +*I *21461:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21459:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21461:A1 0.00172147 +2 *21459:Y 3.91254e-05 +3 *2516:31 0.00292125 +4 *2516:16 0.0020914 +5 *2516:8 0.0035234 +6 *2516:7 0.00267091 +7 *21461:A1 *2517:5 2.35405e-05 +8 *21461:A1 *2518:8 3.99086e-06 +9 *21461:A1 *5082:37 0.000438523 +10 *2516:7 *2945:5 6.92705e-05 +11 *2516:8 *19724:B1 7.0954e-05 +12 *2516:8 *21716:A 6.02695e-05 +13 *2516:8 *21908:A1 5.04734e-05 +14 *2516:8 *24847:SET_B 0.00023622 +15 *2516:8 *2803:27 7.37253e-05 +16 *2516:8 *2964:14 0.000768968 +17 *2516:8 *4843:603 0 +18 *2516:8 *4843:616 0.00223745 +19 *2516:8 *5726:10 0 +20 *2516:8 *5742:13 0.000434857 +21 *2516:8 *5742:19 4.06642e-05 +22 *2516:8 *5743:10 0 +23 *2516:8 *5754:28 0 +24 *2516:8 *5917:325 0.000190883 +25 *2516:8 *5917:336 0.000108839 +26 *2516:16 *20000:A 9.25521e-05 +27 *2516:16 *20382:A1 0.000148144 +28 *2516:16 *21962:A 0.000207847 +29 *2516:16 *2758:16 7.14746e-05 +30 *2516:16 *2784:23 2.11533e-05 +31 *2516:16 *2964:25 0.000400853 +32 *2516:16 *2993:18 1.26246e-05 +33 *2516:16 *2993:20 2.86811e-05 +34 *2516:16 *5214:6 1.27071e-05 +35 *2516:16 *5726:10 4.86481e-05 +36 *2516:16 *5869:6 8.58847e-05 +37 *2516:16 *5869:21 6.08347e-05 +38 *2516:31 *24057:S 0.000129915 +39 *2516:31 *24658:RESET_B 0.000299188 +40 *2516:31 *24659:RESET_B 3.82228e-05 +41 *2516:31 *5082:37 6.31931e-05 +42 *2516:31 *5082:43 0.000669444 +43 *2516:31 *5869:21 0.000149828 +44 *2516:31 *5869:27 4.4921e-05 +45 *2516:31 *5869:41 6.75694e-05 +46 *19641:B *2516:8 0.000124427 +47 *21461:A2 *21461:A1 0.000154145 +48 *21908:A2 *2516:8 0.000159888 +49 *24449:D *21461:A1 0.000932893 +50 *24657:D *2516:16 0.000119762 +51 *24846:D *2516:8 0.000106966 +52 *24848:D *2516:8 0.000169078 +53 *460:8 *2516:31 0.000693161 +54 *1668:50 *2516:16 0.00027329 +55 *1697:22 *2516:16 0.000277488 +56 *1753:30 *2516:16 0 +57 *1784:16 *2516:16 1.16164e-05 +58 *1784:16 *2516:31 0.00118684 +59 *2510:28 *2516:31 0.00051357 +*RES +1 *21459:Y *2516:7 14.4725 +2 *2516:7 *2516:8 85.2977 +3 *2516:8 *2516:16 36.7226 +4 *2516:16 *2516:31 48.9559 +5 *2516:31 *21461:A1 37.4375 +*END + +*D_NET *2517 0.00646747 +*CONN +*I *21461:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22512:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21460:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21461:B1 0 +2 *22512:B1 0.000250503 +3 *21460:Y 8.10249e-05 +4 *2517:13 0.00145254 +5 *2517:5 0.00128306 +6 *22512:B1 *20685:A1 0.00011971 +7 *22512:B1 *21597:A 6.11872e-05 +8 *22512:B1 *22511:A1 0.000143015 +9 *22512:B1 *22512:B2 8.67924e-06 +10 *22512:B1 *22512:C1 1.62258e-05 +11 *22512:B1 *2651:13 0.000247443 +12 *2517:5 *2518:8 0.000111722 +13 *2517:5 *5082:37 0.000111708 +14 *2517:13 *19880:A 0.000273256 +15 *2517:13 *24446:RESET_B 0.000210743 +16 *2517:13 *24446:CLK 1.66583e-05 +17 *2517:13 *2976:45 0 +18 *2517:13 *4921:31 3.87285e-05 +19 *21461:A1 *2517:5 2.35405e-05 +20 *21461:A2 *2517:5 7.34948e-06 +21 *21461:A2 *2517:13 3.55859e-05 +22 *22423:A1 *22512:B1 4.8866e-05 +23 *476:45 *2517:13 1.89411e-05 +24 *1435:21 *2517:13 0.0001072 +25 *1466:41 *2517:13 0 +26 *1573:8 *2517:13 1.33276e-05 +27 *1638:11 *22512:B1 0.000122378 +28 *1639:20 *2517:13 0.000323673 +29 *1639:25 *2517:13 7.09666e-06 +30 *1755:110 *2517:13 1.43196e-05 +31 *1947:219 *2517:5 4.31539e-05 +32 *2514:16 *2517:13 3.24287e-05 +33 *2514:18 *2517:13 0.00105154 +34 *2514:37 *2517:13 0.000191872 +*RES +1 *21460:Y *2517:5 12.191 +2 *2517:5 *2517:13 43.7027 +3 *2517:13 *22512:B1 18.3157 +4 *2517:5 *21461:B1 9.24915 +*END + +*D_NET *2518 0.00539032 +*CONN +*I *21462:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21461:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21462:C1 0 +2 *21461:X 0.00113098 +3 *2518:8 0.00113098 +4 *2518:8 *2833:21 0.00135355 +5 *2518:8 *2834:17 0.000209485 +6 *2518:8 *5082:34 0.000251655 +7 *2518:8 *5860:100 0.000237117 +8 *21461:A1 *2518:8 3.99086e-06 +9 *21461:A2 *2518:8 1.77565e-05 +10 *21461:B2 *2518:8 6.50586e-05 +11 *1435:42 *2518:8 5.41227e-05 +12 *1604:15 *2518:8 0.00035144 +13 *1762:10 *2518:8 0.000463332 +14 *2515:18 *2518:8 9.12416e-06 +15 *2517:5 *2518:8 0.000111722 +*RES +1 *21461:X *2518:8 49.954 +2 *2518:8 *21462:C1 13.7491 +*END + +*D_NET *2519 0.0224065 +*CONN +*I *21469:C I *D sky130_fd_sc_hd__and4_1 +*I *21462:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21469:C 8.05896e-05 +2 *21462:X 0.000850107 +3 *2519:38 0.00175136 +4 *2519:33 0.00356155 +5 *2519:12 0.00274088 +6 *21469:C *21469:D 6.08467e-05 +7 *2519:33 *21969:A1 3.29309e-05 +8 *2519:33 *2726:22 0.00132533 +9 *2519:33 *2734:24 0.00225025 +10 *2519:33 *2858:27 6.74182e-05 +11 *2519:33 *2936:67 4.80499e-06 +12 *2519:33 *2960:16 0.00039337 +13 *2519:33 *3411:16 0.000211386 +14 *2519:33 *3502:21 2.00178e-05 +15 *2519:38 *21987:A1 9.61014e-05 +16 *2519:38 *22502:B1 6.76857e-06 +17 *2519:38 *2586:61 0.00011307 +18 *2519:38 *2628:17 5.01835e-05 +19 *2519:38 *2652:28 0.000337298 +20 *2519:38 *3134:20 2.02035e-05 +21 *2519:38 *3369:19 0.000468924 +22 *2519:38 *3688:22 0.000204039 +23 *2519:38 *3690:14 0.000700819 +24 *19858:B *2519:38 1.05272e-06 +25 *19927:A *2519:12 6.08467e-05 +26 *19960:A *2519:33 0.000566798 +27 *19961:A *2519:12 0.000118738 +28 *19962:A1 *2519:33 3.52699e-05 +29 *20046:A *2519:33 2.61223e-05 +30 *21449:A1 *2519:38 6.74182e-05 +31 *21449:B2 *2519:38 0.000242909 +32 *21450:B1 *2519:38 4.35741e-05 +33 *21469:A *21469:C 0.000200794 +34 *21469:A *2519:38 2.65192e-05 +35 *21472:B1 *2519:33 3.19196e-05 +36 *22804:A1 *2519:38 0.000174718 +37 *1496:68 *2519:12 0.000258128 +38 *1541:69 *2519:33 5.57889e-05 +39 *1563:103 *2519:38 7.9498e-05 +40 *1641:57 *2519:38 0.000100869 +41 *1645:49 *2519:33 0.000368452 +42 *1651:52 *2519:38 0.000659192 +43 *1677:93 *2519:12 0.000466359 +44 *1677:108 *2519:12 0.000421834 +45 *1684:5 *2519:12 0.00178928 +46 *1684:45 *2519:12 4.99897e-05 +47 *1686:8 *2519:12 1.37145e-05 +48 *1698:129 *2519:12 5.481e-05 +49 *1704:6 *2519:33 7.19237e-05 +50 *1706:118 *2519:12 0.000202051 +51 *1711:87 *2519:33 0.000256703 +52 *1716:68 *2519:12 2.61574e-05 +53 *1717:24 *2519:33 0.000112774 +54 *1788:21 *2519:38 7.48583e-05 +55 *1791:28 *2519:12 0.000260388 +56 *1792:100 *2519:12 8.41174e-05 +57 *2502:42 *2519:38 5.46373e-05 +*RES +1 *21462:X *2519:12 45.8858 +2 *2519:12 *2519:33 32.0893 +3 *2519:33 *2519:38 46.9601 +4 *2519:38 *21469:C 16.1364 +*END + +*D_NET *2520 0.103926 +*CONN +*I *22331:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22659:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21468:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21463:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22331:A1 0.000234369 +2 *22659:A1 3.85294e-05 +3 *21468:A1 8.58024e-05 +4 *21463:Y 0.00552567 +5 *2520:131 0.000272899 +6 *2520:120 0.000149826 +7 *2520:119 0.00242759 +8 *2520:91 0.00688838 +9 *2520:90 0.00525942 +10 *2520:87 0.002912 +11 *2520:72 0.00285796 +12 *2520:68 0.00169379 +13 *2520:53 0.00276824 +14 *2520:43 0.00285968 +15 *2520:33 0.00262321 +16 *2520:27 0.00194501 +17 *2520:21 0.00595214 +18 *21468:A1 *21469:D 2.29862e-05 +19 *21468:A1 *3523:19 5.90389e-05 +20 *22331:A1 *22331:A2 2.8817e-05 +21 *22331:A1 *22331:B2 2.4167e-05 +22 *22331:A1 *22332:C1 9.49926e-05 +23 *22331:A1 *3052:66 8.79404e-05 +24 *22331:A1 *3052:77 2.5811e-05 +25 *22331:A1 *3198:29 7.19237e-05 +26 *22331:A1 *3280:16 0.000257076 +27 *22659:A1 *22659:A2 6.08467e-05 +28 *22659:A1 *22659:B2 6.3657e-05 +29 *22659:A1 *3052:66 3.6549e-05 +30 *22659:A1 *3280:16 3.6549e-05 +31 *2520:21 *2809:21 0.00596233 +32 *2520:21 *2827:13 0.000481724 +33 *2520:21 *2837:17 0.000260374 +34 *2520:21 *2950:76 0 +35 *2520:21 *2978:20 0.000109235 +36 *2520:21 *3106:235 8.76257e-05 +37 *2520:21 *3106:247 4.7923e-05 +38 *2520:21 *4840:173 0 +39 *2520:21 *4869:23 1.66771e-05 +40 *2520:21 *5861:298 8.1599e-05 +41 *2520:27 *3010:8 0.000610984 +42 *2520:27 *4843:298 0.000612432 +43 *2520:27 *4844:182 0.000133668 +44 *2520:33 *24294:CLK 7.69213e-05 +45 *2520:33 *24299:CLK 0 +46 *2520:33 *2820:26 0.000114281 +47 *2520:33 *4872:74 0 +48 *2520:33 *4872:76 0 +49 *2520:33 *4872:78 0 +50 *2520:33 *5862:491 0 +51 *2520:33 *5884:45 5.71344e-05 +52 *2520:43 *3257:36 0.000628826 +53 *2520:43 *5924:42 0.000598683 +54 *2520:53 *24312:RESET_B 0.000145937 +55 *2520:53 *4872:78 0 +56 *2520:53 *4904:118 7.13972e-05 +57 *2520:53 *4904:122 0.000168288 +58 *2520:53 *4906:87 0.000421912 +59 *2520:53 *5429:15 0.000292238 +60 *2520:68 *2610:48 0.000274508 +61 *2520:68 *4805:36 0.000265885 +62 *2520:68 *5057:10 0.000111708 +63 *2520:68 *5861:365 3.96379e-05 +64 *2520:68 *5861:397 2.95884e-05 +65 *2520:72 *4844:231 0.000136827 +66 *2520:87 *19564:A0 0.000356486 +67 *2520:87 *19564:S 0.000656623 +68 *2520:87 *21075:B1 0.00142079 +69 *2520:87 *24275:RESET_B 6.63489e-05 +70 *2520:87 *2629:37 1.86819e-05 +71 *2520:87 *4813:82 0.000685411 +72 *2520:87 *5864:539 3.17436e-05 +73 *2520:87 *5864:558 4.17229e-05 +74 *2520:87 *5864:573 3.82228e-05 +75 *2520:87 *5874:36 0.00055024 +76 *2520:90 *5855:261 0.000161305 +77 *2520:91 *21414:A 0 +78 *2520:91 *22643:B1 0.000610265 +79 *2520:91 *3198:18 0.000144663 +80 *2520:91 *3509:24 7.98049e-05 +81 *2520:91 *4807:82 0.000133323 +82 *2520:91 *4808:135 0 +83 *2520:119 *21469:D 5.88594e-05 +84 *2520:119 *22321:A1 5.04829e-06 +85 *2520:119 *2532:18 1.37385e-05 +86 *2520:119 *2563:26 0.000139249 +87 *2520:119 *2581:61 0.000304871 +88 *2520:119 *2613:101 0.000773338 +89 *2520:119 *2634:29 7.06021e-06 +90 *2520:119 *3406:14 9.91028e-05 +91 *2520:119 *3519:93 3.88729e-05 +92 *2520:119 *3685:22 9.19182e-05 +93 *20309:A1 *2520:72 0.00107734 +94 *20613:B *2520:91 0.00228236 +95 *20661:A2 *2520:68 4.66492e-05 +96 *20738:B *2520:21 0.000746849 +97 *20944:A2 *2520:53 2.1558e-06 +98 *20954:A *2520:33 1.91753e-05 +99 *21430:B1 *2520:21 0.000256176 +100 *21430:C1 *2520:21 0.000107304 +101 *21450:B1 *2520:119 0.000461114 +102 *21468:B2 *21468:A1 2.53145e-06 +103 *21583:A2 *2520:119 1.5714e-05 +104 *22023:A2 *2520:21 0 +105 *22369:B1 *2520:21 0.000855307 +106 *24308:D *2520:53 0 +107 *324:19 *2520:72 2.63597e-05 +108 *476:123 *2520:90 0.00221355 +109 *478:64 *2520:68 0.000723792 +110 *482:46 *2520:68 0 +111 *482:46 *2520:72 0.00139917 +112 *482:66 *2520:68 0 +113 *489:78 *2520:21 0 +114 *502:11 *2520:43 0.000497858 +115 *508:28 *2520:87 1.14957e-05 +116 *527:44 *2520:87 0.000108986 +117 *1418:86 *2520:43 0.000561835 +118 *1418:97 *2520:43 0.000263492 +119 *1418:120 *2520:33 5.50022e-05 +120 *1418:129 *2520:21 5.11195e-05 +121 *1439:104 *2520:87 0.000229721 +122 *1439:438 *2520:21 0 +123 *1510:116 *21468:A1 3.56511e-05 +124 *1545:54 *2520:91 0 +125 *1547:66 *2520:21 0 +126 *1564:50 *2520:119 9.25476e-05 +127 *1631:58 *2520:43 0.000818512 +128 *1631:58 *2520:53 0.000576513 +129 *1641:77 *2520:119 7.08723e-06 +130 *1652:34 *2520:91 0.00384959 +131 *1688:91 *2520:87 4.1639e-05 +132 *1707:16 *2520:21 4.89469e-06 +133 *1802:116 *2520:91 0.00147734 +134 *1802:116 *2520:119 0.000164403 +135 *1802:136 *2520:91 0.000114642 +136 *1917:29 *2520:87 6.23101e-05 +137 *1917:34 *2520:90 0.00203612 +138 *2027:60 *2520:68 0.000398169 +139 *2155:22 *2520:53 0.000171309 +140 *2274:63 *2520:119 0.0026674 +141 *2274:71 *2520:91 0.000918979 +142 *2274:71 *2520:119 8.4815e-05 +143 *2274:95 *2520:91 0.00950625 +144 *2321:36 *2520:27 0.000109519 +145 *2323:10 *2520:68 0.000491468 +146 *2357:50 *2520:33 7.02269e-06 +147 *2380:41 *2520:21 0.000912556 +148 *2380:84 *2520:87 8.33071e-05 +149 *2423:69 *2520:87 3.52699e-05 +150 *2433:59 *2520:43 0.00015607 +151 *2452:18 *2520:33 0.0011561 +152 *2452:18 *2520:53 0.00014682 +153 *2460:41 *2520:43 0.000154145 +154 *2461:11 *2520:33 4.7677e-05 +155 *2465:10 *2520:33 1.1033e-05 +156 *2468:63 *2520:68 0.000237135 +157 *2468:67 *2520:68 0.000715906 +158 *2468:67 *2520:72 0 +159 *2503:124 *2520:91 0.000412615 +160 *2503:124 *2520:119 0.000474847 +*RES +1 *21463:Y *2520:21 40.4221 +2 *2520:21 *2520:27 25.0567 +3 *2520:27 *2520:33 45.6117 +4 *2520:33 *2520:43 49.2113 +5 *2520:43 *2520:53 46.117 +6 *2520:53 *2520:68 49.2457 +7 *2520:68 *2520:72 31.4548 +8 *2520:72 *2520:87 47.0703 +9 *2520:87 *2520:90 43.404 +10 *2520:90 *2520:91 16.5718 +11 *2520:91 *2520:119 46.7948 +12 *2520:119 *2520:120 81.1229 +13 *2520:120 *21468:A1 20.9096 +14 *2520:91 *2520:131 3.36879 +15 *2520:131 *22659:A1 15.2664 +16 *2520:131 *22331:A1 20.8281 +*END + +*D_NET *2521 0.0269904 +*CONN +*I *22498:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21468:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22803:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21464:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22498:A1 0 +2 *21468:B1 6.33439e-05 +3 *22803:B1 0.000836353 +4 *21464:Y 0.00171781 +5 *2521:41 0.00307703 +6 *2521:27 0.00439203 +7 *2521:9 0.00393251 +8 *21468:B1 *2524:8 9.12416e-06 +9 *21468:B1 *3523:19 1.03403e-05 +10 *22803:B1 *22803:B2 0.000128429 +11 *22803:B1 *22804:C1 0.000113968 +12 *22803:B1 *3007:19 2.61012e-05 +13 *2521:9 *3411:23 0.00197045 +14 *2521:9 *5801:78 7.86847e-05 +15 *2521:9 *5869:308 7.50722e-05 +16 *2521:27 *21447:A 6.48631e-05 +17 *2521:27 *22435:C1 0.000126567 +18 *2521:27 *2632:67 0.000107496 +19 *2521:27 *2833:57 0.000219702 +20 *2521:27 *3177:208 1.91391e-05 +21 *2521:27 *3407:23 0.000627513 +22 *2521:27 *3507:78 1.66626e-05 +23 *2521:27 *5306:10 0.000155049 +24 *2521:27 *5455:12 0.00169315 +25 *2521:27 *5475:83 0.000113478 +26 *2521:41 *22498:B2 8.67924e-06 +27 *2521:41 *22508:A2 7.54269e-06 +28 *2521:41 *2632:67 0.000540009 +29 *2521:41 *2632:83 0.00019519 +30 *2521:41 *3517:121 0.000189533 +31 *2521:41 *3523:28 0.000256037 +32 *19970:B2 *2521:27 0.000280192 +33 *20605:A *2521:27 1.91246e-05 +34 *20608:A2 *2521:27 0.000104754 +35 *21455:A2 *22803:B1 8.90266e-05 +36 *21456:C1 *22803:B1 6.18132e-05 +37 *21468:B2 *21468:B1 6.39331e-05 +38 *21468:B2 *22803:B1 2.1558e-06 +39 *21468:B2 *2521:41 0.000158451 +40 *21987:A2 *22803:B1 4.37432e-05 +41 *21987:B2 *22803:B1 0.000132149 +42 *22498:B1 *2521:27 0.000490498 +43 *22736:A1 *2521:41 2.77625e-06 +44 *1466:66 *2521:27 3.67853e-05 +45 *1496:106 *22803:B1 0.00074383 +46 *1496:111 *21468:B1 4.15661e-05 +47 *1496:111 *22803:B1 1.5714e-05 +48 *1501:53 *2521:27 0.000496491 +49 *1501:70 *2521:27 2.50301e-05 +50 *1510:116 *22803:B1 1.60518e-05 +51 *1537:36 *2521:27 0.000897804 +52 *1636:82 *22803:B1 0.000340491 +53 *1689:69 *2521:27 0.000624568 +54 *1724:61 *2521:27 0.000431689 +55 *1728:10 *22803:B1 0.00026824 +56 *1740:110 *2521:27 0.000145031 +57 *1797:20 *2521:27 0.000502955 +58 *1800:8 *22803:B1 0 +59 *2505:65 *2521:9 0.000183674 +*RES +1 *21464:Y *2521:9 47.5649 +2 *2521:9 *2521:27 40.0721 +3 *2521:27 *2521:41 38.7649 +4 *2521:41 *22803:B1 38.3157 +5 *2521:41 *21468:B1 15.474 +6 *2521:27 *22498:A1 9.24915 +*END + +*D_NET *2522 0.0197414 +*CONN +*I *21467:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22809:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22493:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21465:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21467:A1 0.000776758 +2 *22809:B1 0.000526699 +3 *22493:A1 0 +4 *21465:Y 0.00156999 +5 *2522:39 0.00158319 +6 *2522:32 0.00146863 +7 *2522:16 0.00275889 +8 *21467:A1 *21624:B1 4.73962e-05 +9 *21467:A1 *22485:A1 2.16355e-05 +10 *21467:A1 *22790:A1 0.000399273 +11 *21467:A1 *22791:A2 0.000345048 +12 *21467:A1 *2524:8 0.000302686 +13 *21467:A1 *2531:54 7.09666e-06 +14 *21467:A1 *2666:11 6.13007e-05 +15 *21467:A1 *3126:206 0.00025175 +16 *21467:A1 *3128:87 6.69861e-06 +17 *21467:A1 *3517:53 0.000573987 +18 *21467:A1 *3521:46 0.000133684 +19 *21467:A1 *3523:68 9.80784e-05 +20 *22809:B1 *22495:A1 3.63593e-05 +21 *22809:B1 *22808:A1 9.88745e-05 +22 *22809:B1 *22808:B1 0.000154707 +23 *22809:B1 *22814:B 1.03403e-05 +24 *22809:B1 *2531:54 0.000100741 +25 *22809:B1 *2571:40 8.52224e-05 +26 *22809:B1 *2959:35 2.05342e-06 +27 *22809:B1 *3394:26 8.17753e-05 +28 *22809:B1 *3517:96 6.36477e-05 +29 *22809:B1 *3521:46 0.000312308 +30 *22809:B1 *3521:80 0.000130061 +31 *22809:B1 *3521:101 1.13071e-05 +32 *2522:16 *20487:B1 0.000156955 +33 *2522:16 *2614:38 0.000195833 +34 *2522:16 *2710:36 0.000107496 +35 *2522:16 *2753:21 0.000138777 +36 *2522:16 *3004:16 6.85388e-05 +37 *2522:16 *4852:11 1.9101e-05 +38 *2522:32 *21620:A 2.0875e-05 +39 *2522:32 *22477:C1 0.000111856 +40 *2522:32 *22791:B1 9.27416e-05 +41 *2522:32 *22794:A1 3.74542e-05 +42 *2522:32 *2523:59 3.00519e-05 +43 *2522:32 *2573:8 1.9101e-05 +44 *2522:32 *2593:47 0.00132921 +45 *2522:32 *3166:51 5.49916e-05 +46 *2522:32 *3511:104 5.84166e-05 +47 *2522:32 *5128:8 0.000160617 +48 *2522:39 *22493:A2 6.08467e-05 +49 *2522:39 *22494:A2 5.93186e-05 +50 *2522:39 *2666:8 0.000930687 +51 *2522:39 *3166:61 0.000101817 +52 *2522:39 *3523:68 7.89747e-05 +53 *20417:A *2522:16 0.000260374 +54 *21467:A2 *21467:A1 0.000207883 +55 *21467:B2 *21467:A1 1.42494e-05 +56 *1448:250 *2522:16 0.00120245 +57 *1501:96 *2522:32 0.000110297 +58 *1560:36 *2522:16 0.000363582 +59 *1570:15 *2522:32 7.94598e-05 +60 *1604:91 *2522:32 8.45811e-05 +61 *1604:100 *2522:32 3.74943e-05 +62 *1631:10 *2522:32 6.63453e-05 +63 *1668:85 *2522:32 0.00131644 +64 *1699:48 *2522:32 1.12605e-05 +65 *1772:22 *2522:32 5.2504e-06 +66 *1947:157 *2522:16 0.000127837 +*RES +1 *21465:Y *2522:16 46.5672 +2 *2522:16 *2522:32 33.5964 +3 *2522:32 *22493:A1 9.24915 +4 *2522:32 *2522:39 15.2063 +5 *2522:39 *22809:B1 27.9316 +6 *2522:39 *21467:A1 38.5047 +*END + +*D_NET *2523 0.0812051 +*CONN +*I *22667:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22322:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21467:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21466:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22667:B1 0.000883534 +2 *22322:B1 0.000213286 +3 *21467:B1 6.52771e-05 +4 *21466:Y 0.000610944 +5 *2523:123 0.00116321 +6 *2523:84 0.00221317 +7 *2523:65 0.00389267 +8 *2523:60 0.0021985 +9 *2523:59 0.00178341 +10 *2523:52 0.00240786 +11 *2523:44 0.0019027 +12 *2523:43 0.0014277 +13 *2523:38 0.00221516 +14 *2523:37 0.0019744 +15 *2523:35 0.000766684 +16 *2523:31 0.00178447 +17 *2523:24 0.00224831 +18 *2523:17 0.00306824 +19 *2523:14 0.00276434 +20 *2523:8 0.00153757 +21 *21467:B1 *3719:10 0.000107968 +22 *22322:B1 *21487:A1 2.79507e-05 +23 *22322:B1 *21487:B1 5.73044e-05 +24 *22322:B1 *22323:C1 4.45999e-05 +25 *22322:B1 *22539:B2 3.84049e-05 +26 *22322:B1 *3148:226 0.000251037 +27 *22322:B1 *3179:167 9.49926e-05 +28 *22667:B1 *22664:A2 0.000226668 +29 *22667:B1 *22665:B2 1.12479e-05 +30 *22667:B1 *22665:C1 0.000126324 +31 *22667:B1 *2613:85 0.000262061 +32 *2523:8 *4826:18 0.000100557 +33 *2523:14 *2552:10 0.000111915 +34 *2523:14 *2796:8 0.000259516 +35 *2523:14 *4840:90 0.000763411 +36 *2523:14 *4843:86 0.000294093 +37 *2523:14 *4869:113 0.000441121 +38 *2523:17 *4843:63 0.00134669 +39 *2523:17 *6020:16 4.44163e-05 +40 *2523:24 *19669:A3 0.000141159 +41 *2523:24 *20305:A1 5.31845e-05 +42 *2523:24 *4034:34 0 +43 *2523:24 *6001:206 3.74738e-05 +44 *2523:31 *19669:A3 9.71323e-06 +45 *2523:31 *24427:CLK 0.000197554 +46 *2523:31 *24876:RESET_B 0.000650044 +47 *2523:31 *3082:31 5.20218e-05 +48 *2523:31 *4935:102 0.000646939 +49 *2523:31 *5671:152 0.00098015 +50 *2523:31 *5921:57 0.000397835 +51 *2523:35 *4664:40 0.00132371 +52 *2523:35 *5671:142 0.00169728 +53 *2523:35 *5671:152 2.57594e-05 +54 *2523:38 *20794:B1 5.05252e-05 +55 *2523:38 *24382:CLK 0.000701048 +56 *2523:38 *24385:RESET_B 4.77858e-05 +57 *2523:38 *2616:30 1.5254e-05 +58 *2523:38 *2943:78 0.00012537 +59 *2523:38 *2963:64 0.000338643 +60 *2523:38 *4664:39 0.000307409 +61 *2523:38 *4862:8 0.00342577 +62 *2523:38 *4862:19 0.00122792 +63 *2523:38 *4933:14 0.00036936 +64 *2523:38 *4933:23 0.000175689 +65 *2523:38 *4933:36 0.000361689 +66 *2523:38 *4933:45 7.65861e-05 +67 *2523:38 *4933:56 0.000141909 +68 *2523:38 *5671:142 5.42506e-06 +69 *2523:38 *5921:37 0.000586886 +70 *2523:44 *22428:B1 0.000105407 +71 *2523:44 *2680:13 0.000114507 +72 *2523:44 *2680:18 0.00179745 +73 *2523:44 *4927:160 0.000350335 +74 *2523:44 *4933:81 0.000109859 +75 *2523:44 *4933:85 0.000226567 +76 *2523:44 *4933:87 1.23804e-05 +77 *2523:44 *5345:8 9.85369e-05 +78 *2523:44 *5854:51 0.000598856 +79 *2523:52 *20016:A 7.63448e-05 +80 *2523:52 *2537:35 2.22715e-05 +81 *2523:52 *2603:74 0.000633581 +82 *2523:52 *2624:54 0.000638561 +83 *2523:52 *2655:32 0.00024109 +84 *2523:52 *2844:56 0.000199935 +85 *2523:52 *5851:83 6.51637e-05 +86 *2523:52 *5851:84 7.6719e-06 +87 *2523:52 *5869:625 0.00020952 +88 *2523:59 *20419:A1 2.27055e-05 +89 *2523:59 *22791:B1 0.0011556 +90 *2523:59 *24584:CLK 1.03403e-05 +91 *2523:65 *22481:A1 1.88014e-05 +92 *2523:65 *22481:B1 0.000158451 +93 *2523:65 *22791:A2 0.000166249 +94 *2523:65 *22791:B1 2.137e-05 +95 *2523:65 *22791:B2 9.95542e-06 +96 *2523:65 *22791:C1 2.16355e-05 +97 *2523:65 *2614:55 0.000635843 +98 *2523:65 *2667:122 1.39895e-05 +99 *2523:65 *2959:18 9.80242e-07 +100 *2523:65 *3128:133 5.09367e-05 +101 *2523:65 *3128:140 6.64392e-05 +102 *2523:84 *21695:A1 0.0014375 +103 *2523:84 *3114:96 0.00105516 +104 *2523:84 *3208:107 0.000104754 +105 *2523:84 *3509:60 0.000258326 +106 *2523:84 *3517:151 0.000358205 +107 *2523:84 *3719:10 3.55859e-05 +108 *2523:84 *4848:8 0.000236793 +109 *2523:123 *22540:A2 0.000352272 +110 *2523:123 *2772:97 0.000298399 +111 *2523:123 *3509:151 7.13655e-06 +112 *2523:123 *3607:10 4.49912e-05 +113 *19667:A *2523:31 8.94313e-05 +114 *20305:A2 *2523:24 0.000235225 +115 *20483:A *2523:52 0.000387391 +116 *20793:A2 *2523:38 0.000129265 +117 *21467:A2 *21467:B1 0.000107968 +118 *21467:A2 *2523:84 3.14242e-05 +119 *21487:A2 *22322:B1 6.89958e-05 +120 *21487:B2 *22322:B1 5.01835e-05 +121 *21487:B2 *2523:123 8.4653e-05 +122 *21800:A2 *22667:B1 1.66771e-05 +123 *21801:A2 *2523:123 4.15661e-05 +124 *22406:B1 *2523:59 6.31727e-05 +125 *24383:D *2523:38 0.000151741 +126 *24584:D *2523:59 1.31872e-05 +127 *24704:D *2523:24 0 +128 *24704:D *2523:31 4.70615e-05 +129 *520:17 *2523:84 0.00141978 +130 *566:124 *2523:35 3.85733e-05 +131 *576:11 *2523:35 0.000525503 +132 *657:224 *2523:24 0.000675101 +133 *1438:132 *2523:43 0.000355827 +134 *1442:90 *2523:52 0.000259147 +135 *1476:29 *2523:24 2.98171e-05 +136 *1482:16 *2523:31 5.04829e-06 +137 *1483:123 *2523:31 0 +138 *1541:173 *2523:65 0.00125786 +139 *1553:64 *22667:B1 0.000915863 +140 *1570:50 *2523:84 0.000231999 +141 *1583:53 *2523:123 0.000639752 +142 *1597:16 *2523:44 0.000121584 +143 *1597:20 *2523:44 0.00106259 +144 *1655:29 *22322:B1 2.25742e-05 +145 *1655:29 *2523:123 1.28732e-05 +146 *1655:61 *22667:B1 0.000770972 +147 *1707:14 *2523:8 0.000264143 +148 *1707:16 *2523:8 7.36726e-05 +149 *1708:137 *2523:8 7.50872e-05 +150 *1722:20 *2523:59 0.00082221 +151 *1783:38 *2523:38 0.000307144 +152 *1829:90 *2523:35 0.000867831 +153 *1829:112 *2523:17 0.000810032 +154 *1945:25 *2523:8 0.000331044 +155 *1945:34 *2523:8 1.37925e-05 +156 *2079:47 *2523:38 0.000435914 +157 *2369:8 *2523:8 0.00111164 +158 *2448:20 *2523:14 0.000247443 +159 *2522:32 *2523:59 3.00519e-05 +*RES +1 *21466:Y *2523:8 43.7505 +2 *2523:8 *2523:14 37.7555 +3 *2523:14 *2523:17 31.3713 +4 *2523:17 *2523:24 37.0943 +5 *2523:24 *2523:31 47.0679 +6 *2523:31 *2523:35 46.5072 +7 *2523:35 *2523:37 4.5 +8 *2523:37 *2523:38 90.2807 +9 *2523:38 *2523:43 13.051 +10 *2523:43 *2523:44 48.3402 +11 *2523:44 *2523:52 40.5665 +12 *2523:52 *2523:59 41.7779 +13 *2523:59 *2523:60 104.301 +14 *2523:60 *2523:65 47.1942 +15 *2523:65 *21467:B1 15.9964 +16 *2523:65 *2523:84 23.8062 +17 *2523:84 *22322:B1 22.0529 +18 *2523:84 *2523:123 29.2878 +19 *2523:123 *22667:B1 39.6658 +*END + +*D_NET *2524 0.00513242 +*CONN +*I *21468:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21467:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21468:C1 0 +2 *21467:X 0.00109395 +3 *2524:8 0.00109395 +4 *2524:8 *3517:121 1.05272e-06 +5 *2524:8 *3736:18 0.000277268 +6 *2524:8 *4808:76 0.000202172 +7 *21467:A1 *2524:8 0.000302686 +8 *21468:B1 *2524:8 9.12416e-06 +9 *1496:111 *2524:8 7.05761e-05 +10 *1535:56 *2524:8 0.00137754 +11 *1537:47 *2524:8 0.000489825 +12 *1798:36 *2524:8 7.81584e-05 +13 *1798:47 *2524:8 0.000125758 +14 *1798:62 *2524:8 1.03607e-05 +*RES +1 *21467:X *2524:8 46.4842 +2 *2524:8 *21468:C1 13.7491 +*END + +*D_NET *2525 0.000581821 +*CONN +*I *21469:D I *D sky130_fd_sc_hd__and4_1 +*I *21468:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21469:D 0.000172515 +2 *21468:X 0.000172515 +3 *21469:D *3523:19 2.61436e-06 +4 *21468:A1 *21469:D 2.29862e-05 +5 *21469:A *21469:D 5.73392e-05 +6 *21469:C *21469:D 6.08467e-05 +7 *1510:116 *21469:D 3.41459e-05 +8 *2520:119 *21469:D 5.88594e-05 +*RES +1 *21468:X *21469:D 22.5734 +*END + +*D_NET *2526 0.00419797 +*CONN +*I *21592:A I *D sky130_fd_sc_hd__nand4_2 +*I *21469:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21592:A 0.000631799 +2 *21469:X 0.000631799 +3 *21592:A *21525:A 5.20546e-06 +4 *21592:A *21525:D 0.000167085 +5 *21592:A *21591:B 3.82228e-05 +6 *21592:A *21592:B 2.16355e-05 +7 *21592:A *21592:D 5.8973e-05 +8 *21592:A *2581:61 9.30373e-06 +9 *21592:A *2590:36 0.000200794 +10 *21592:A *3523:19 0.00207388 +11 *19858:A *21592:A 2.57847e-05 +12 *19858:B *21592:A 5.481e-05 +13 *21469:A *21592:A 0.000124537 +14 *1616:17 *21592:A 0.000154145 +*RES +1 *21469:X *21592:A 43.5037 +*END + +*D_NET *2527 0.0353554 +*CONN +*I *22665:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21483:A1 I *D sky130_fd_sc_hd__o2111a_2 +*I *22321:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21470:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22665:A1 8.90311e-05 +2 *21483:A1 0.000118485 +3 *22321:A1 0.00109785 +4 *21470:Y 0.00319117 +5 *2527:34 0.00284771 +6 *2527:24 0.003198 +7 *2527:13 0.00466876 +8 *21483:A1 *22671:D 0.000205101 +9 *21483:A1 *3198:29 0.000535951 +10 *22321:A1 *21583:B1 0.000442651 +11 *22321:A1 *22321:C1 1.88152e-05 +12 *22321:A1 *2581:61 0.000201679 +13 *22321:A1 *2613:101 1.2601e-05 +14 *22321:A1 *2636:40 4.2372e-05 +15 *22321:A1 *2919:51 0.000144975 +16 *22321:A1 *3124:35 0.000107496 +17 *22321:A1 *3151:40 2.29454e-05 +18 *22321:A1 *3151:53 3.04768e-05 +19 *22321:A1 *3498:119 0.000136396 +20 *22665:A1 *22665:A2 1.06706e-05 +21 *22665:A1 *22665:B1 5.19843e-06 +22 *22665:A1 *22670:B 6.64392e-05 +23 *22665:A1 *3615:11 6.13373e-05 +24 *2527:13 *19800:A1_N 5.77567e-05 +25 *2527:13 *2631:34 0.00124857 +26 *2527:13 *3192:69 9.69821e-05 +27 *2527:13 *3466:173 0.000340742 +28 *2527:13 *3471:183 0.00228183 +29 *2527:13 *3547:17 0.000123657 +30 *2527:13 *5924:37 0.000451149 +31 *2527:24 *22255:A1 6.46041e-05 +32 *2527:24 *22255:B2 4.54069e-05 +33 *2527:24 *22556:A2 2.32487e-05 +34 *2527:24 *22601:C1 0.00011393 +35 *2527:24 *22623:B2 0.000245707 +36 *2527:24 *22624:C1 0.000106656 +37 *2527:24 *2554:56 2.1463e-05 +38 *2527:24 *2613:48 0.00108468 +39 *2527:24 *2678:17 6.14756e-06 +40 *2527:24 *2880:51 0.000207107 +41 *2527:24 *3050:52 6.39718e-05 +42 *2527:24 *3052:101 1.13008e-05 +43 *2527:24 *3064:44 0.000106696 +44 *2527:24 *3124:210 0 +45 *2527:24 *3142:154 0 +46 *2527:24 *3185:116 1.25173e-05 +47 *2527:24 *3491:226 5.93547e-06 +48 *2527:24 *3527:54 2.00098e-05 +49 *2527:24 *3529:39 7.59601e-05 +50 *2527:24 *3537:41 0.00013626 +51 *2527:24 *3564:28 8.17829e-06 +52 *2527:24 *3615:11 9.44068e-05 +53 *2527:24 *4853:18 0.000101503 +54 *2527:34 *22322:A2 8.08437e-05 +55 *2527:34 *22323:A1 5.99155e-05 +56 *2527:34 *24917:A 0.00109446 +57 *2527:34 *2554:56 0.000233954 +58 *2527:34 *2613:69 6.74182e-05 +59 *2527:34 *2772:97 5.65354e-05 +60 *2527:34 *2919:51 0.00133981 +61 *2527:34 *3615:11 4.63742e-05 +62 *2527:34 *4853:18 0.000279521 +63 *21229:B1 *2527:13 0.00014276 +64 *21273:A2 *2527:13 0.000189928 +65 *21273:B2 *2527:13 0.000157671 +66 *21279:B1 *2527:13 0.000925444 +67 *21396:A1 *2527:13 0.000104754 +68 *21396:B1 *2527:13 0.0011817 +69 *21583:A2 *22321:A1 0.000130579 +70 *22398:B1 *2527:13 4.76283e-05 +71 *22400:A1 *2527:13 1.97459e-05 +72 *22659:B1 *22321:A1 0.000110306 +73 *506:16 *2527:34 3.15955e-05 +74 *1552:65 *22321:A1 0.000144975 +75 *1552:65 *2527:34 0.00067749 +76 *1557:113 *2527:13 0.000391697 +77 *1557:126 *2527:13 6.08467e-05 +78 *1558:24 *2527:13 0.00110788 +79 *1573:166 *2527:13 0.000137512 +80 *1577:44 *22321:A1 4.11305e-05 +81 *1577:74 *21483:A1 0.000265517 +82 *1627:101 *2527:13 0.000279507 +83 *1658:223 *22665:A1 1.35657e-05 +84 *1717:133 *2527:13 0.000656165 +85 *1744:219 *2527:24 3.29488e-05 +86 *1802:116 *22321:A1 1.94675e-05 +87 *2093:68 *22665:A1 7.45845e-05 +88 *2093:136 *2527:13 0.000155027 +89 *2275:71 *2527:13 6.04664e-05 +90 *2286:53 *2527:13 0.000499311 +91 *2508:45 *21483:A1 1.88152e-05 +92 *2520:119 *22321:A1 5.04829e-06 +*RES +1 *21470:Y *2527:13 45.2727 +2 *2527:13 *2527:24 46.0504 +3 *2527:24 *2527:34 48.4868 +4 *2527:34 *22321:A1 47.9081 +5 *2527:34 *21483:A1 20.0186 +6 *2527:24 *22665:A1 17.2214 +*END + +*D_NET *2528 0.0426266 +*CONN +*I *21472:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *21471:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21472:A1 0.000476211 +2 *21471:Y 0.00162132 +3 *2528:48 0.00202839 +4 *2528:41 0.0032518 +5 *2528:35 0.00427773 +6 *2528:28 0.00372965 +7 *2528:25 0.00125508 +8 *2528:20 0.00198131 +9 *2528:16 0.00321157 +10 *2528:10 0.0029551 +11 *21472:A1 *22063:A 0.000119849 +12 *21472:A1 *22063:B 4.86061e-05 +13 *21472:A1 *2650:22 0 +14 *21472:A1 *2815:14 0 +15 *21472:A1 *2924:95 7.58217e-06 +16 *21472:A1 *3076:67 0.000107496 +17 *2528:10 *19992:A 6.4628e-05 +18 *2528:10 *21471:A 0.000394717 +19 *2528:10 *4943:8 0.000172648 +20 *2528:16 *4843:525 6.51527e-05 +21 *2528:16 *4878:96 0.001937 +22 *2528:20 *20976:B2 1.10848e-05 +23 *2528:20 *23973:A1 0.000119634 +24 *2528:20 *2585:29 0.00010473 +25 *2528:20 *2859:14 4.55514e-05 +26 *2528:20 *2925:8 9.42549e-05 +27 *2528:20 *2925:12 0 +28 *2528:20 *4877:13 9.7454e-05 +29 *2528:25 *2859:15 7.39264e-05 +30 *2528:25 *2899:31 0.000158357 +31 *2528:28 *2925:12 0.00200784 +32 *2528:28 *4829:50 0 +33 *2528:28 *4829:57 0 +34 *2528:35 *24564:RESET_B 1.47051e-05 +35 *2528:35 *4843:516 1.4091e-06 +36 *2528:41 *4866:8 0.000104616 +37 *2528:41 *4866:10 2.71953e-05 +38 *2528:48 *19617:B1 0.000200794 +39 *2528:48 *21995:D 8.70609e-05 +40 *2528:48 *22063:B 0.000236218 +41 *2528:48 *2650:14 2.69435e-05 +42 *2528:48 *2815:14 2.65491e-05 +43 *2528:48 *2924:95 3.4787e-05 +44 *2528:48 *2975:33 0.000729656 +45 *6176:DIODE *2528:41 5.39635e-06 +46 *20819:A1 *2528:28 0.000177224 +47 *20976:A2 *2528:20 0.000145521 +48 *20977:B1 *2528:28 0.000705555 +49 *21234:B2 *2528:41 0.000733338 +50 *21384:B1 *2528:35 0.000691088 +51 *21397:A *2528:41 3.59283e-05 +52 *21472:B1 *21472:A1 0.000116014 +53 *24284:D *2528:20 9.83856e-05 +54 *500:89 *2528:28 6.19215e-05 +55 *522:18 *2528:48 0.000888526 +56 *533:8 *2528:41 0.00104698 +57 *1428:85 *2528:28 7.48886e-05 +58 *1450:113 *2528:41 0.000929446 +59 *1492:121 *2528:48 8.62625e-06 +60 *1497:167 *2528:48 0.000799687 +61 *1669:130 *2528:48 2.79657e-05 +62 *1671:104 *21472:A1 0.000116014 +63 *1680:34 *21472:A1 1.05272e-06 +64 *1744:38 *2528:10 0.00061921 +65 *1934:43 *2528:10 3.199e-05 +66 *2063:23 *2528:28 4.57905e-05 +67 *2164:169 *2528:20 0.000288861 +68 *2164:184 *2528:20 0.000213269 +69 *2420:34 *2528:10 2.39281e-05 +70 *2420:42 *2528:41 0.000366775 +71 *2426:73 *2528:20 0.00230282 +72 *2440:10 *2528:16 2.55314e-05 +73 *2446:35 *2528:25 0.000136298 +*RES +1 *21471:Y *2528:10 49.2119 +2 *2528:10 *2528:16 32.2151 +3 *2528:16 *2528:20 45.5765 +4 *2528:20 *2528:25 13.051 +5 *2528:25 *2528:28 43.2894 +6 *2528:28 *2528:35 42.5747 +7 *2528:35 *2528:41 47.7132 +8 *2528:41 *2528:48 47.1846 +9 *2528:48 *21472:A1 33.8545 +*END + +*D_NET *2529 0.0146153 +*CONN +*I *21483:B1 I *D sky130_fd_sc_hd__o2111a_2 +*I *21472:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *21483:B1 1.39384e-05 +2 *21472:X 0.00173354 +3 *2529:24 0.0017101 +4 *2529:19 0.0034297 +5 *21483:B1 *3198:29 2.57986e-05 +6 *2529:19 *22800:A1 2.33103e-06 +7 *2529:19 *2667:72 0.000439649 +8 *2529:19 *2815:32 3.10612e-05 +9 *2529:19 *2991:25 0.000151207 +10 *2529:19 *3136:84 0.000644399 +11 *2529:19 *3487:30 0.00048545 +12 *2529:19 *3502:21 0.000360357 +13 *2529:24 *21590:D 0.00013257 +14 *2529:24 *21591:D 6.22114e-05 +15 *2529:24 *21994:A 0.000153516 +16 *2529:24 *2532:18 0.000131969 +17 *2529:24 *2989:94 1.91391e-05 +18 *2529:24 *2991:54 0.000259847 +19 *2529:24 *2991:67 0.000248111 +20 *2529:24 *3008:18 0.00127768 +21 *2529:24 *3071:30 1.54705e-05 +22 *2529:24 *3124:62 3.05823e-05 +23 *2529:24 *3124:76 5.09256e-06 +24 *2529:24 *3151:53 0.000109018 +25 *2529:24 *3385:15 0.000480603 +26 *2529:24 *3448:8 0.000319935 +27 *2529:24 *3491:46 0.000243944 +28 *21472:C1 *2529:19 6.64392e-05 +29 *494:58 *2529:19 0.000501462 +30 *1443:49 *2529:19 0.000364982 +31 *1545:32 *2529:19 1.5355e-05 +32 *1564:31 *2529:19 0.000984948 +33 *1577:26 *2529:19 0.000101209 +34 *2508:45 *21483:B1 6.3657e-05 +*RES +1 *21472:X *2529:19 28.326 +2 *2529:19 *2529:24 48.6211 +3 *2529:24 *21483:B1 14.4725 +*END + +*D_NET *2530 0.0916306 +*CONN +*I *22325:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21476:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21473:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22325:A1 0.000311443 +2 *21476:B1 0.00348502 +3 *21473:Y 0.00110536 +4 *2530:90 0.00781197 +5 *2530:64 0.00508316 +6 *2530:55 0.00313109 +7 *2530:52 0.00324837 +8 *2530:44 0.00208148 +9 *2530:35 0.00253565 +10 *2530:34 0.0018669 +11 *2530:29 0.00223095 +12 *2530:16 0.00240969 +13 *2530:11 0.00185092 +14 *2530:7 0.00254975 +15 *21476:B1 *21476:A1 1.47978e-05 +16 *21476:B1 *21476:C1 6.13538e-05 +17 *21476:B1 *21537:A1 3.38048e-05 +18 *21476:B1 *21987:A1 0.000749509 +19 *21476:B1 *22619:B1 2.05082e-05 +20 *21476:B1 *2531:91 0.000154549 +21 *21476:B1 *2532:18 7.09666e-06 +22 *21476:B1 *2815:32 0.00199762 +23 *21476:B1 *2825:57 0.000532819 +24 *21476:B1 *2991:67 2.07178e-05 +25 *21476:B1 *2996:72 0.000363086 +26 *21476:B1 *3054:18 0.00321149 +27 *21476:B1 *3124:210 0.00129877 +28 *21476:B1 *3507:135 0.000159964 +29 *21476:B1 *3507:156 0.000911578 +30 *21476:B1 *3517:36 0.000109796 +31 *21476:B1 *3517:197 0.000231011 +32 *21476:B1 *3519:59 2.07503e-05 +33 *21476:B1 *3531:43 0.000543092 +34 *21476:B1 *4870:134 1.54813e-05 +35 *22325:A1 *21630:B1 0.000555935 +36 *22325:A1 *3046:35 2.02035e-05 +37 *22325:A1 *3146:157 0.000202101 +38 *22325:A1 *3173:26 2.37478e-05 +39 *2530:7 *24954:A 0.00106483 +40 *2530:7 *2868:11 0.00056387 +41 *2530:7 *5340:9 1.5006e-05 +42 *2530:11 *20540:B1 0 +43 *2530:11 *24626:CLK 0.000169078 +44 *2530:11 *2881:17 4.00824e-05 +45 *2530:11 *2893:13 0 +46 *2530:11 *4823:8 0 +47 *2530:11 *5148:10 1.61918e-05 +48 *2530:16 *4823:12 0.00116528 +49 *2530:16 *5867:416 0.000196638 +50 *2530:29 *4881:185 0.00265619 +51 *2530:29 *4881:187 2.77625e-06 +52 *2530:29 *5867:514 0.000247443 +53 *2530:34 *5304:11 3.92275e-05 +54 *2530:35 *21775:A 0.000510748 +55 *2530:35 *5857:540 0.000244269 +56 *2530:44 *19568:A0 0.000603343 +57 *2530:44 *24689:CLK 2.37478e-05 +58 *2530:44 *24692:RESET_B 0.000379627 +59 *2530:44 *4816:22 8.62625e-06 +60 *2530:44 *5865:342 0.000235955 +61 *2530:44 *5993:9 0.000173175 +62 *2530:52 *3011:24 0.000643275 +63 *2530:52 *5417:22 0.000845088 +64 *2530:64 *20212:B1 0.000214377 +65 *2530:64 *21556:A 7.77744e-05 +66 *2530:64 *3299:21 0.000364279 +67 *2530:90 *22619:B1 0.000761651 +68 *2530:90 *2896:72 0.00161048 +69 *2530:90 *2919:20 3.79662e-05 +70 *2530:90 *3076:23 0.00132022 +71 *2530:90 *3120:125 0.000141165 +72 *2530:90 *3513:212 0.000122784 +73 *2530:90 *3541:29 6.60037e-05 +74 *2530:90 *3541:56 1.48618e-05 +75 *20428:A2 *2530:11 9.80093e-05 +76 *21235:B2 *22325:A1 2.35995e-05 +77 *21254:B1 *2530:29 0.000138912 +78 *21396:A1 *2530:90 0.000586039 +79 *21402:B2 *2530:90 0.000280898 +80 *21442:C *2530:64 7.35524e-05 +81 *21476:A2 *21476:B1 0.000110785 +82 *21476:B2 *21476:B1 6.26935e-05 +83 *22210:A1 *22325:A1 4.74323e-05 +84 *22682:B1 *2530:90 3.63593e-05 +85 *24546:D *2530:11 8.85118e-05 +86 *24626:D *2530:11 5.53934e-05 +87 *480:207 *2530:34 0.000494479 +88 *490:21 *2530:35 0.00106699 +89 *490:58 *2530:35 0.000982566 +90 *490:62 *2530:44 4.18239e-05 +91 *490:62 *2530:52 0.000146851 +92 *491:7 *2530:29 0.000171273 +93 *502:7 *2530:64 5.04829e-06 +94 *502:11 *2530:64 0.000147799 +95 *1419:249 *2530:90 0.00264723 +96 *1564:50 *21476:B1 2.51266e-05 +97 *1584:69 *21476:B1 4.47494e-06 +98 *1627:49 *21476:B1 7.84076e-05 +99 *1634:30 *2530:64 0.000477073 +100 *1634:45 *2530:90 0.000986779 +101 *1641:83 *21476:B1 0.000185284 +102 *1652:87 *2530:64 0.000324825 +103 *1655:77 *21476:B1 0.00065741 +104 *1655:111 *21476:B1 0.000426748 +105 *1655:111 *2530:90 0.000627632 +106 *1689:135 *2530:90 0.00168324 +107 *1751:35 *21476:B1 7.13655e-06 +108 *1771:173 *2530:35 0.00016924 +109 *1784:130 *2530:11 0.000717794 +110 *1912:10 *2530:64 0.000709081 +111 *1955:103 *2530:11 9.64219e-05 +112 *2246:30 *2530:90 0.0013345 +113 *2249:38 *2530:90 9.84424e-06 +114 *2262:40 *2530:44 0.00141014 +115 *2262:40 *2530:52 0.000472941 +116 *2287:53 *2530:52 0.00121364 +117 *2294:8 *2530:11 0 +118 *2360:45 *2530:55 0.00293506 +119 *2367:51 *2530:64 0.000966529 +120 *2374:14 *2530:11 0.000408413 +121 *2374:14 *2530:16 0.000162548 +122 *2374:18 *2530:16 0.000394005 +123 *2375:60 *2530:90 0.000127566 +124 *2391:100 *2530:90 0.000539142 +125 *2404:60 *2530:90 0.000966886 +126 *2451:48 *2530:90 0.000526458 +127 *2485:8 *2530:34 0.000111608 +128 *2497:22 *2530:64 3.08031e-05 +129 *2497:22 *2530:90 0 +*RES +1 *21473:Y *2530:7 32.7745 +2 *2530:7 *2530:11 47.2011 +3 *2530:11 *2530:16 29.5183 +4 *2530:16 *2530:29 45.6704 +5 *2530:29 *2530:34 17.8913 +6 *2530:34 *2530:35 46.2009 +7 *2530:35 *2530:44 40.9811 +8 *2530:44 *2530:52 49.7991 +9 *2530:52 *2530:55 38.4996 +10 *2530:55 *2530:64 45.5058 +11 *2530:64 *2530:90 48.2858 +12 *2530:90 *21476:B1 41.9193 +13 *2530:90 *22325:A1 18.7262 +*END + +*D_NET *2531 0.0838478 +*CONN +*I *22317:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22662:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21475:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *6094:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6095:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21474:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22317:B1 0.000241223 +2 *22662:A1 0 +3 *21475:B1 0.000176165 +4 *6094:DIODE 0 +5 *6095:DIODE 0 +6 *21474:Y 0.00102542 +7 *2531:91 0.00150055 +8 *2531:73 0.00151581 +9 *2531:65 0.00278654 +10 *2531:54 0.00308271 +11 *2531:43 0.00363521 +12 *2531:42 0.00366977 +13 *2531:38 0.00163304 +14 *2531:35 0.00116875 +15 *2531:30 0.00125823 +16 *2531:29 0.00136849 +17 *2531:24 0.00161856 +18 *2531:23 0.00145043 +19 *2531:21 0.00396908 +20 *2531:20 0.00564526 +21 *2531:14 0.00355566 +22 *2531:6 0.00266368 +23 *21475:B1 *2532:18 0.000107496 +24 *22317:B1 *22317:B2 5.481e-05 +25 *22317:B1 *2984:20 0.000260437 +26 *22317:B1 *2985:20 0.000263963 +27 *22317:B1 *3155:178 0.000158357 +28 *2531:6 *24432:CLK 6.95993e-05 +29 *2531:6 *2584:16 2.87136e-06 +30 *2531:6 *2985:8 0.000810417 +31 *2531:6 *2985:14 0.000195194 +32 *2531:6 *4892:20 2.22198e-05 +33 *2531:6 *5106:8 0.00016768 +34 *2531:14 *2541:23 0.000623954 +35 *2531:14 *3036:31 0.000108147 +36 *2531:14 *4840:155 6.03122e-05 +37 *2531:20 *21806:A1 0.00314477 +38 *2531:20 *2729:20 0.00154022 +39 *2531:20 *2766:6 0.000184405 +40 *2531:20 *2918:16 0 +41 *2531:20 *3131:167 9.40965e-05 +42 *2531:21 *19788:A 3.99086e-06 +43 *2531:21 *20986:B1 1.15389e-05 +44 *2531:21 *24651:CLK 7.8797e-06 +45 *2531:21 *2684:7 6.85963e-05 +46 *2531:21 *4889:76 0.000105439 +47 *2531:21 *5856:65 0.000205546 +48 *2531:24 *4137:73 0 +49 *2531:24 *6001:8 0.00195681 +50 *2531:24 *6001:26 0.000809749 +51 *2531:24 *6021:14 0.000103455 +52 *2531:29 *3857:49 5.04829e-06 +53 *2531:30 *2933:10 0.000455019 +54 *2531:30 *4547:35 0.00010436 +55 *2531:30 *6021:14 0.000114178 +56 *2531:35 *20889:A1 1.65872e-05 +57 *2531:35 *20889:B1 2.65667e-05 +58 *2531:38 *2624:45 1.22613e-05 +59 *2531:38 *5851:83 2.2766e-06 +60 *2531:38 *5854:67 6.31174e-05 +61 *2531:38 *5869:625 5.34699e-05 +62 *2531:38 *5869:636 0.000399559 +63 *2531:42 *2624:54 9.73008e-05 +64 *2531:43 *23925:A 0.000248346 +65 *2531:43 *24631:RESET_B 0.000301962 +66 *2531:43 *24631:CLK 1.53788e-05 +67 *2531:43 *2573:30 0.000107058 +68 *2531:43 *5682:16 3.74115e-05 +69 *2531:43 *5869:588 0.000342256 +70 *2531:54 *2666:8 0.000396003 +71 *2531:54 *3033:50 7.01586e-06 +72 *2531:54 *3128:64 5.19897e-05 +73 *2531:54 *3128:87 1.49713e-05 +74 *2531:54 *3190:45 0.000623438 +75 *2531:54 *3394:26 5.46889e-05 +76 *2531:54 *3474:79 0.00018427 +77 *2531:54 *3476:56 8.70634e-05 +78 *2531:54 *3476:71 0.000122834 +79 *2531:54 *3523:68 5.04829e-06 +80 *2531:65 *21517:B1 6.63489e-05 +81 *2531:65 *22005:A1 7.92757e-06 +82 *2531:65 *22005:B1 5.07295e-05 +83 *2531:65 *22005:C1 1.03403e-05 +84 *2531:65 *22798:A2 6.28701e-05 +85 *2531:65 *3474:70 0.000234325 +86 *2531:65 *3513:57 0.000190729 +87 *2531:73 *22662:B2 6.51628e-05 +88 *2531:73 *3513:39 1.58551e-05 +89 *2531:73 *3513:57 7.04982e-05 +90 *2531:91 *21537:A1 1.19998e-05 +91 *2531:91 *21576:B1 0.000151161 +92 *2531:91 *21577:C1 0.000110675 +93 *2531:91 *21994:B 3.63593e-05 +94 *2531:91 *22662:A2 4.84017e-05 +95 *2531:91 *2532:18 6.08467e-05 +96 *2531:91 *2639:19 3.29488e-05 +97 *2531:91 *2815:32 0.00187038 +98 *2531:91 *2991:67 7.13069e-05 +99 *2531:91 *3054:18 0.00183586 +100 *2531:91 *3071:42 6.91182e-06 +101 *2531:91 *3111:52 7.33044e-05 +102 *2531:91 *3474:42 0.000163734 +103 *2531:91 *3517:36 1.24546e-05 +104 *2531:91 *5475:83 0.000118427 +105 *19889:B *2531:65 5.8973e-05 +106 *20050:A1 *2531:65 3.87762e-06 +107 *20050:B1 *2531:65 1.2894e-05 +108 *20050:B2 *2531:65 2.77136e-05 +109 *20051:D *2531:65 0.00016986 +110 *20415:B *2531:42 5.41377e-05 +111 *20420:A2 *2531:38 0.000105134 +112 *20420:A2 *2531:42 2.56868e-05 +113 *20434:B *2531:20 5.72818e-05 +114 *20490:B2 *2531:43 2.08394e-05 +115 *20491:A *2531:21 2.99978e-05 +116 *20492:A *2531:21 0.000113968 +117 *20521:B *2531:38 0.000158368 +118 *20706:A2 *2531:6 0 +119 *20889:A2 *2531:35 6.08467e-05 +120 *20892:A2 *2531:30 5.302e-05 +121 *21014:B *2531:29 3.79253e-05 +122 *21014:B *2531:30 7.24248e-05 +123 *21467:A1 *2531:54 7.09666e-06 +124 *21476:B1 *2531:91 0.000154549 +125 *21577:A2 *2531:91 3.3057e-05 +126 *22005:A2 *2531:65 7.98171e-06 +127 *22005:B2 *2531:65 1.4091e-06 +128 *22809:B1 *2531:54 0.000100741 +129 *24346:D *2531:35 5.51483e-06 +130 *24459:D *2531:6 4.6012e-05 +131 *24629:D *2531:38 0.000106697 +132 *24650:D *2531:20 7.14746e-05 +133 *24650:D *2531:21 0.00022826 +134 *657:199 *2531:24 0.00074943 +135 *1435:165 *2531:65 6.75453e-05 +136 *1435:182 *2531:65 6.49322e-05 +137 *1438:168 *2531:24 0.000424552 +138 *1442:90 *2531:38 5.25556e-05 +139 *1442:90 *2531:42 0.000801126 +140 *1521:28 *2531:24 2.30032e-05 +141 *1521:28 *2531:30 0.000152573 +142 *1521:36 *2531:30 0 +143 *1521:46 *2531:35 0.000200794 +144 *1575:19 *2531:38 0.00127913 +145 *1575:24 *2531:30 0.00177368 +146 *1584:140 *2531:91 1.43259e-05 +147 *1603:81 *2531:54 0.000168086 +148 *1641:83 *2531:91 0.000220387 +149 *1641:102 *2531:91 0.000121676 +150 *1647:179 *2531:65 2.08757e-05 +151 *1658:73 *2531:24 2.71422e-05 +152 *1725:181 *2531:20 0.000250882 +153 *1725:218 *2531:6 3.74738e-05 +154 *1729:58 *2531:24 0.00153378 +155 *1729:64 *2531:30 0.00197155 +156 *1751:120 *2531:65 8.38894e-05 +157 *1772:33 *2531:43 0.00173511 +158 *1773:42 *2531:38 0.000802688 +159 *1786:71 *2531:65 9.80242e-07 +160 *1788:21 *21475:B1 0.000395885 +161 *1788:21 *2531:91 6.36477e-05 +162 *1788:32 *21475:B1 6.51027e-05 +163 *1790:180 *2531:6 0.000543116 +164 *1790:180 *2531:14 0.000562084 +165 *1947:22 *2531:21 0.00210368 +166 *1947:115 *2531:38 0.00107525 +167 *1952:10 *2531:42 5.08234e-05 +168 *1952:12 *2531:42 0.000352639 +169 *1952:14 *2531:42 0.000460093 +170 *1959:40 *2531:14 5.76913e-05 +171 *2031:29 *2531:6 0.000139435 +172 *2042:41 *2531:6 0.000521269 +173 *2043:18 *2531:6 1.87469e-05 +174 *2056:7 *2531:21 0.000211464 +175 *2139:12 *2531:30 1.23804e-05 +176 *2174:9 *2531:24 5.66868e-06 +177 *2452:14 *2531:20 0.000369287 +*RES +1 *21474:Y *2531:6 49.0089 +2 *2531:6 *2531:14 8.60416 +3 *2531:14 *2531:20 17.3178 +4 *2531:20 *2531:21 61.1752 +5 *2531:21 *2531:23 4.5 +6 *2531:23 *2531:24 59.9673 +7 *2531:24 *2531:29 11.3872 +8 *2531:29 *2531:30 48.7555 +9 *2531:30 *2531:35 11.3872 +10 *2531:35 *2531:38 43.1007 +11 *2531:38 *2531:42 24.7619 +12 *2531:42 *2531:43 48.9739 +13 *2531:43 *2531:54 34.7224 +14 *2531:54 *2531:65 42.5839 +15 *2531:65 *6095:DIODE 9.24915 +16 *2531:65 *2531:73 4.62973 +17 *2531:73 *2531:91 34.2839 +18 *2531:91 *6094:DIODE 9.24915 +19 *2531:91 *21475:B1 14.9881 +20 *2531:73 *22662:A1 9.24915 +21 *2531:14 *22317:B1 24.7274 +*END + +*D_NET *2532 0.00455941 +*CONN +*I *21476:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21475:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *21476:C1 6.82572e-05 +2 *21475:X 0.00127052 +3 *2532:18 0.00133877 +4 *21476:C1 *3519:59 0.000154145 +5 *2532:18 *21591:D 6.22114e-05 +6 *2532:18 *21994:B 0.000122083 +7 *2532:18 *2639:19 2.50729e-05 +8 *2532:18 *2919:51 1.9101e-05 +9 *2532:18 *2991:67 8.54221e-05 +10 *2532:18 *3151:53 0.000424073 +11 *2532:18 *3491:46 0.000117675 +12 *2532:18 *3519:59 7.08723e-06 +13 *21475:B1 *2532:18 0.000107496 +14 *21476:B1 *21476:C1 6.13538e-05 +15 *21476:B1 *2532:18 7.09666e-06 +16 *21476:B2 *21476:C1 3.29942e-05 +17 *1564:50 *2532:18 0.000279492 +18 *1788:21 *2532:18 4.03336e-05 +19 *2274:71 *2532:18 0.000129666 +20 *2520:119 *2532:18 1.37385e-05 +21 *2529:24 *2532:18 0.000131969 +22 *2531:91 *2532:18 6.08467e-05 +*RES +1 *21475:X *2532:18 48.6465 +2 *2532:18 *21476:C1 11.6605 +*END + +*D_NET *2533 0.000833661 +*CONN +*I *21483:C1 I *D sky130_fd_sc_hd__o2111a_2 +*I *21476:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21483:C1 0.0002255 +2 *21476:X 0.0002255 +3 *21483:C1 *3198:29 0.000258208 +4 *1564:50 *21483:C1 6.22259e-05 +5 *1577:74 *21483:C1 6.22259e-05 +*RES +1 *21476:X *21483:C1 31.8568 +*END + +*D_NET *2534 0.0514923 +*CONN +*I *22329:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22658:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21482:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21477:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22329:A1 0 +2 *22658:B1 0.000216976 +3 *21482:A1 0.00116839 +4 *21477:Y 0.000773379 +5 *2534:44 0.00359207 +6 *2534:23 0.0077148 +7 *2534:13 0.00571483 +8 *2534:10 0.00331689 +9 *21482:A1 *22018:A 0.00157315 +10 *21482:A1 *22615:A1 1.9101e-05 +11 *21482:A1 *22622:B1 0.000212955 +12 *21482:A1 *2819:38 0.000111938 +13 *21482:A1 *2900:111 9.93864e-05 +14 *21482:A1 *2900:140 4.65545e-05 +15 *21482:A1 *3122:161 0.000117852 +16 *21482:A1 *3144:201 0.00116385 +17 *21482:A1 *3217:28 1.5714e-05 +18 *22658:B1 *22655:B1 1.4933e-05 +19 *22658:B1 *2546:28 4.84017e-05 +20 *22658:B1 *2631:83 6.71498e-05 +21 *22658:B1 *3122:39 4.64052e-05 +22 *2534:10 *20695:B1 2.8182e-06 +23 *2534:10 *4819:12 0.000359803 +24 *2534:23 *21998:A1 8.20742e-05 +25 *2534:23 *21999:A1 0.000491448 +26 *2534:23 *22018:A 0.00308471 +27 *2534:23 *22358:A2 0.000816991 +28 *2534:23 *22372:A 0.000113478 +29 *2534:23 *22622:B1 3.17436e-05 +30 *2534:23 *22722:A2 3.52909e-05 +31 *2534:23 *2900:111 5.70566e-05 +32 *2534:23 *2906:52 0.000720199 +33 *2534:23 *3122:136 0.000104754 +34 *2534:23 *3359:14 2.75032e-05 +35 *2534:44 *22669:A1 0.000278945 +36 *2534:44 *2631:83 1.00004e-05 +37 *2534:44 *2642:41 0.000179174 +38 *2534:44 *2777:80 4.77759e-05 +39 *2534:44 *2825:57 0.00213192 +40 *2534:44 *3106:42 0.00364075 +41 *2534:44 *3111:39 0.000163327 +42 *2534:44 *3122:39 7.12632e-06 +43 *2534:44 *3204:195 0.00104769 +44 *2534:44 *3278:17 6.7544e-05 +45 *20695:B2 *2534:10 6.63489e-05 +46 *21260:B2 *2534:23 0.000515805 +47 *21272:B1 *2534:23 3.62802e-05 +48 *21396:A1 *2534:23 5.80921e-05 +49 *21412:C1 *2534:23 0.000218932 +50 *21482:A2 *21482:A1 0.00025175 +51 *21759:A2 *21482:A1 1.06594e-06 +52 *22378:A1 *2534:23 0.000206333 +53 *22658:B2 *22658:B1 9.70097e-06 +54 *22676:A1 *2534:23 0.000234239 +55 *22695:B1 *2534:44 0.00269849 +56 *22722:B1 *2534:23 0.00045051 +57 *500:22 *2534:10 0.000363161 +58 *1592:93 *2534:44 0.00033028 +59 *1616:157 *21482:A1 0.000353344 +60 *1627:101 *2534:23 0.00076429 +61 *1635:97 *2534:23 4.31485e-06 +62 *1790:73 *2534:44 1.48618e-05 +63 *1800:95 *2534:44 6.07312e-05 +64 *2093:98 *2534:44 3.33795e-05 +65 *2287:82 *2534:10 0.0005303 +66 *2297:86 *2534:23 0.000205446 +67 *2423:93 *2534:23 0.000865468 +68 *2433:59 *2534:13 0.00263009 +69 *2433:85 *2534:13 0.00105225 +*RES +1 *21477:Y *2534:10 34.6612 +2 *2534:10 *2534:13 46.8187 +3 *2534:13 *2534:23 25.8548 +4 *2534:23 *21482:A1 33.3587 +5 *2534:23 *2534:44 19.4231 +6 *2534:44 *22658:B1 18.3902 +7 *2534:44 *22329:A1 13.7491 +*END + +*D_NET *2535 0.0270861 +*CONN +*I *21482:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22802:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *22505:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21478:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21482:B1 0.000283043 +2 *22802:B1 0.000154416 +3 *22505:A1 0 +4 *21478:Y 4.85009e-05 +5 *2535:40 0.0017327 +6 *2535:36 0.00234413 +7 *2535:13 0.00174578 +8 *2535:8 0.00242713 +9 *2535:7 0.00177873 +10 *21482:B1 *21759:C1 0.000402462 +11 *21482:B1 *2538:13 0.000899768 +12 *21482:B1 *2539:10 0.000228095 +13 *21482:B1 *3144:201 2.97488e-05 +14 *22802:B1 *22748:A2 4.49912e-05 +15 *22802:B1 *3493:146 7.78431e-05 +16 *2535:7 *21478:A 1.43983e-05 +17 *2535:8 *2654:17 0.000475987 +18 *2535:8 *3035:23 0.00153826 +19 *2535:8 *3529:155 0.00110933 +20 *2535:8 *4138:127 0.00024482 +21 *2535:8 *4838:113 0.000292742 +22 *2535:8 *5412:10 9.75356e-05 +23 *2535:8 *5858:668 0.000197639 +24 *2535:8 *5867:46 0.000400057 +25 *2535:13 *21762:A 0.00081805 +26 *2535:13 *22505:B1 0.00015696 +27 *2535:13 *22505:B2 3.13805e-06 +28 *2535:13 *2615:13 0.000454856 +29 *2535:13 *3153:249 2.59452e-05 +30 *2535:13 *3153:260 7.92757e-06 +31 *2535:36 *22462:A1 0.000296024 +32 *2535:36 *22462:B1 3.69347e-05 +33 *2535:36 *22462:B2 7.73159e-06 +34 *2535:36 *22505:B2 0 +35 *2535:36 *2661:30 8.62625e-06 +36 *2535:36 *2799:8 0.00027842 +37 *2535:36 *3153:249 4.24488e-05 +38 *2535:36 *3190:103 8.75627e-05 +39 *2535:36 *3415:14 0.000200794 +40 *2535:36 *3541:110 0.000243569 +41 *2535:40 *22247:B2 7.66848e-05 +42 *2535:40 *22270:B2 1.91391e-05 +43 *2535:40 *22626:A2 0.000111708 +44 *2535:40 *2771:58 4.47692e-05 +45 *2535:40 *2843:51 1.5714e-05 +46 *2535:40 *2900:143 1.41853e-05 +47 *19841:B1 *2535:40 0.00043685 +48 *19841:B2 *2535:40 0.000820152 +49 *22247:A1 *2535:40 3.77568e-05 +50 *22417:B1 *2535:13 0.000663318 +51 *22417:B1 *2535:36 6.99912e-05 +52 *22730:B1 *2535:36 0.000375957 +53 *24276:D *2535:8 8.01837e-05 +54 *1574:21 *2535:13 0.0019053 +55 *1575:81 *22802:B1 0.000156955 +56 *1582:43 *2535:8 0.000209189 +57 *1586:77 *2535:40 4.78069e-06 +58 *1594:43 *2535:8 0.001167 +59 *1720:20 *22802:B1 1.02986e-05 +60 *1720:20 *2535:40 5.51771e-05 +61 *1721:154 *2535:40 9.63693e-06 +62 *1721:162 *2535:40 5.88009e-05 +63 *1809:142 *2535:8 0.000847494 +64 *2093:157 *22802:B1 0.000159756 +65 *2093:201 *22802:B1 0.000160714 +66 *2166:8 *2535:8 0.000211919 +67 *2344:11 *21482:B1 0.000125569 +*RES +1 *21478:Y *2535:7 14.4725 +2 *2535:7 *2535:8 75.3316 +3 *2535:8 *2535:13 35.1961 +4 *2535:13 *22505:A1 9.24915 +5 *2535:13 *2535:36 35.8403 +6 *2535:36 *2535:40 27.2914 +7 *2535:40 *22802:B1 19.0748 +8 *2535:40 *21482:B1 27.5238 +*END + +*D_NET *2536 0.0331673 +*CONN +*I *21481:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22799:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22494:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21479:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21481:A1 0.00043823 +2 *22799:B1 0.000863287 +3 *22494:A1 0 +4 *21479:Y 0.000614651 +5 *2536:33 0.000904399 +6 *2536:28 0.00463079 +7 *2536:8 0.00564256 +8 *21481:A1 *21481:B1 9.47944e-05 +9 *21481:A1 *22510:A1 2.53145e-06 +10 *21481:A1 *22510:B1 3.42417e-05 +11 *21481:A1 *2537:27 0.000538761 +12 *22799:B1 *22495:C1 1.82679e-05 +13 *22799:B1 *22791:A1 8.62625e-06 +14 *22799:B1 *22791:C1 3.53886e-05 +15 *22799:B1 *22799:A1 1.09551e-05 +16 *22799:B1 *22799:A2 2.16355e-05 +17 *22799:B1 *2571:40 0.000258838 +18 *22799:B1 *3128:87 0.000158451 +19 *22799:B1 *3162:87 0.000187245 +20 *22799:B1 *3164:76 0.00053183 +21 *22799:B1 *3521:41 0.000380291 +22 *22799:B1 *3521:46 0.000111708 +23 *2536:8 *22506:A1 2.16355e-05 +24 *2536:8 *22813:B1 8.50666e-05 +25 *2536:8 *22813:C1 1.3706e-05 +26 *2536:8 *2778:13 1.13071e-05 +27 *2536:8 *3155:127 1.82094e-05 +28 *2536:8 *3166:105 3.55731e-06 +29 *2536:8 *3166:107 0.000472419 +30 *2536:8 *3168:113 9.42485e-05 +31 *2536:8 *3537:126 0.000284512 +32 *2536:8 *5853:48 0.000265048 +33 *2536:28 *22482:B 3.17436e-05 +34 *2536:28 *22788:B2 1.86819e-05 +35 *2536:28 *22789:A1 8.4653e-05 +36 *2536:28 *22791:B1 0.000212189 +37 *2536:28 *22791:C1 0.00010443 +38 *2536:28 *22792:A1 0.000106365 +39 *2536:28 *22798:B1 0.000724938 +40 *2536:28 *2672:40 0.00201006 +41 *2536:28 *2732:47 0.000263893 +42 *2536:28 *2753:21 0.000352115 +43 *2536:28 *2784:42 0.00101266 +44 *2536:28 *2959:18 0.000357532 +45 *2536:28 *3028:30 0.00406185 +46 *2536:28 *3148:226 0.000350684 +47 *2536:28 *3148:239 0.000309362 +48 *2536:28 *3157:113 2.28362e-05 +49 *2536:28 *3164:76 0.000171187 +50 *2536:28 *3166:82 1.43499e-05 +51 *2536:28 *3168:113 1.32841e-05 +52 *2536:28 *3507:75 2.84509e-05 +53 *2536:28 *3529:99 0.000970037 +54 *2536:28 *3529:155 0.000498747 +55 *2536:28 *3537:126 4.12977e-05 +56 *2536:33 *22494:A2 1.06426e-05 +57 *2536:33 *22791:A1 6.70447e-06 +58 *2536:33 *22791:C1 6.08467e-05 +59 *2536:33 *2614:55 0 +60 *19633:B *22799:B1 0.000105515 +61 *1448:250 *2536:28 2.74688e-05 +62 *1461:262 *22799:B1 0.000122469 +63 *1461:302 *22799:B1 3.32043e-05 +64 *1594:27 *2536:28 0.000484414 +65 *1603:81 *2536:28 1.04743e-05 +66 *1762:86 *2536:28 7.1671e-05 +67 *1795:62 *2536:28 0.00187745 +68 *1797:41 *22799:B1 2.38735e-05 +69 *1801:75 *2536:28 0.00181398 +*RES +1 *21479:Y *2536:8 30.8384 +2 *2536:8 *2536:28 45.7329 +3 *2536:28 *2536:33 5.80211 +4 *2536:33 *22494:A1 9.24915 +5 *2536:33 *22799:B1 43.4435 +6 *2536:8 *21481:A1 23.9249 +*END + +*D_NET *2537 0.0391447 +*CONN +*I *21481:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22808:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22506:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21480:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21481:B1 3.24002e-05 +2 *22808:A1 0.00360516 +3 *22506:B1 1.9971e-05 +4 *21480:Y 0.000796557 +5 *2537:35 0.00522676 +6 *2537:33 0.00195636 +7 *2537:30 0.00124922 +8 *2537:27 0.00129242 +9 *2537:21 0.000881016 +10 *2537:8 0.00128718 +11 *21481:B1 *22510:B1 3.82228e-05 +12 *22506:B1 *22506:B2 1.55657e-05 +13 *22808:A1 *21825:A1 0.000120727 +14 *22808:A1 *23925:B 1.04956e-05 +15 *22808:A1 *2571:15 8.89051e-05 +16 *22808:A1 *2571:40 9.79114e-05 +17 *22808:A1 *2583:61 0.000199717 +18 *22808:A1 *2680:27 2.42094e-05 +19 *22808:A1 *2703:60 0.000304378 +20 *22808:A1 *2833:73 0.00156846 +21 *22808:A1 *2860:20 0.00171179 +22 *22808:A1 *2959:35 0.000154254 +23 *22808:A1 *3421:32 0.000315075 +24 *22808:A1 *3452:21 0.00105545 +25 *22808:A1 *3513:57 3.08234e-05 +26 *2537:8 *2779:14 3.29979e-05 +27 *2537:8 *2796:18 0.000172902 +28 *2537:8 *2883:20 0.000126934 +29 *2537:8 *2883:28 8.32047e-05 +30 *2537:8 *2956:62 0.000123897 +31 *2537:8 *3029:8 0.000458792 +32 *2537:8 *3162:115 0 +33 *2537:8 *5059:8 0.000115772 +34 *2537:8 *5861:89 6.05472e-05 +35 *2537:21 *2956:62 0.000857241 +36 *2537:21 *3029:8 0.000862049 +37 *2537:27 *22510:B1 0.00024719 +38 *2537:27 *2889:22 0.000175896 +39 *2537:27 *3541:135 0.000156955 +40 *2537:30 *22044:A 0.000161172 +41 *2537:30 *2592:28 0.000529244 +42 *2537:30 *2614:28 0.00102186 +43 *2537:30 *5082:101 0.000267069 +44 *2537:30 *5856:124 0.000548795 +45 *2537:33 *2684:24 2.04806e-05 +46 *2537:35 *2593:24 3.08858e-05 +47 *2537:35 *2593:30 0.00210147 +48 *2537:35 *2655:32 0.00255758 +49 *2537:35 *3717:14 0.000102893 +50 *2537:35 *5869:625 8.90248e-05 +51 *20674:A *2537:8 0.00026134 +52 *20680:A2 *2537:8 6.88675e-05 +53 *21481:A1 *21481:B1 9.47944e-05 +54 *21481:A1 *2537:27 0.000538761 +55 *21777:A2 *22808:A1 7.38548e-05 +56 *22809:B1 *22808:A1 9.88745e-05 +57 *24450:D *2537:8 0.000163749 +58 *1438:169 *2537:8 6.50727e-05 +59 *1462:22 *22808:A1 0.000187664 +60 *1569:71 *22808:A1 6.00033e-05 +61 *1575:39 *2537:27 0.000172355 +62 *1582:52 *2537:8 0.000166515 +63 *1658:60 *2537:35 1.23455e-05 +64 *1689:101 *2537:33 0.000667085 +65 *1689:101 *2537:35 0.000517793 +66 *1689:102 *2537:30 0.000325262 +67 *1740:110 *22808:A1 9.10102e-05 +68 *1753:16 *22808:A1 0.000197419 +69 *1772:22 *22808:A1 0.000481798 +70 *1797:20 *22808:A1 0.000124601 +71 *1809:80 *2537:35 0.000287995 +72 *1947:70 *2537:8 3.52228e-05 +73 *1947:103 *2537:35 0.000415804 +74 *1947:115 *2537:35 0.000167925 +75 *2033:14 *2537:8 9.0594e-06 +76 *2138:8 *2537:33 0.00010836 +77 *2138:10 *2537:33 0.000404714 +78 *2138:10 *2537:35 3.49833e-05 +79 *2138:12 *2537:35 2.18442e-05 +80 *2138:59 *2537:30 6.08467e-05 +81 *2138:59 *2537:33 0.000218657 +82 *2523:52 *2537:35 2.22715e-05 +*RES +1 *21480:Y *2537:8 39.8151 +2 *2537:8 *22506:B1 14.2156 +3 *2537:8 *2537:21 21.8551 +4 *2537:21 *2537:27 22.0901 +5 *2537:27 *2537:30 37.3904 +6 *2537:30 *2537:33 14.3995 +7 *2537:33 *2537:35 63.2893 +8 *2537:35 *22808:A1 33.3975 +9 *2537:21 *21481:B1 10.5271 +*END + +*D_NET *2538 0.00825182 +*CONN +*I *21482:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21481:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21482:C1 0 +2 *21481:X 4.253e-05 +3 *2538:13 0.00120997 +4 *2538:8 0.0012525 +5 *2538:8 *2956:62 9.70894e-05 +6 *2538:8 *3029:8 9.35069e-05 +7 *2538:13 *21281:A 0.000203595 +8 *2538:13 *22465:A 2.57986e-05 +9 *2538:13 *22466:C1 0.000113107 +10 *2538:13 *22777:B1 6.35148e-05 +11 *2538:13 *2883:64 0.00110455 +12 *2538:13 *3122:215 0.000345048 +13 *2538:13 *3144:201 0.000886839 +14 *21482:B1 *2538:13 0.000899768 +15 *22730:A1 *2538:13 0.00017628 +16 *22730:B1 *2538:13 6.08467e-05 +17 *22730:B2 *2538:13 0.000275328 +18 *22766:B2 *2538:13 6.08467e-05 +19 *1594:85 *2538:13 0.000335998 +20 *2093:201 *2538:13 0.000969904 +21 *2344:11 *2538:13 3.48014e-05 +*RES +1 *21481:X *2538:8 20.0811 +2 *2538:8 *2538:13 44.73 +3 *2538:13 *21482:C1 9.24915 +*END + +*D_NET *2539 0.024053 +*CONN +*I *21483:D1 I *D sky130_fd_sc_hd__o2111a_2 +*I *21482:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21483:D1 0 +2 *21482:X 0.00114241 +3 *2539:35 0.00223655 +4 *2539:12 0.00474292 +5 *2539:10 0.00364878 +6 *2539:10 *21512:B1 0.000155855 +7 *2539:10 *21512:C1 0.000131165 +8 *2539:10 *21759:C1 0.000107496 +9 *2539:10 *2774:12 5.96089e-05 +10 *2539:10 *2873:17 1.5714e-05 +11 *2539:10 *3031:18 6.51637e-05 +12 *2539:10 *3144:201 7.5913e-06 +13 *2539:10 *3202:14 2.7207e-05 +14 *2539:12 *21618:A 0.00025166 +15 *2539:12 *21795:A1 2.37478e-05 +16 *2539:12 *22237:B2 0.000170067 +17 *2539:12 *22269:A1 0.000409969 +18 *2539:12 *22555:B2 0.000135973 +19 *2539:12 *2774:8 0.000208294 +20 *2539:12 *2774:12 0.0014232 +21 *2539:12 *2811:26 0.00016798 +22 *2539:12 *3111:192 0.000162061 +23 *2539:12 *3202:14 5.60291e-06 +24 *2539:35 *21730:A1 6.1578e-06 +25 *2539:35 *21909:A1 0.000760288 +26 *2539:35 *22054:A1 0.000740912 +27 *2539:35 *22335:A2 5.60804e-05 +28 *2539:35 *22335:B1 0.000441652 +29 *2539:35 *22337:D 7.31894e-05 +30 *2539:35 *22502:A2 3.38973e-05 +31 *2539:35 *2557:20 0 +32 *2539:35 *2667:99 0.000333734 +33 *2539:35 *2751:8 5.1493e-06 +34 *2539:35 *2773:41 0.000183105 +35 *2539:35 *2774:8 0.000188781 +36 *2539:35 *2991:67 3.1787e-05 +37 *2539:35 *3114:96 0.000146777 +38 *2539:35 *3198:29 6.08467e-05 +39 *2539:35 *3521:41 0.000546419 +40 *19790:A1 *2539:12 2.03404e-05 +41 *19791:B1 *2539:12 8.56161e-05 +42 *21476:B2 *2539:35 4.63742e-05 +43 *21482:A2 *2539:10 5.481e-05 +44 *21482:B1 *2539:10 0.000228095 +45 *21511:B2 *2539:10 0.000160199 +46 *22239:A2 *2539:12 1.37385e-05 +47 *1539:30 *2539:12 0.000462341 +48 *1570:76 *2539:12 0.000496968 +49 *1598:58 *2539:12 0.00011393 +50 *1598:133 *2539:10 0 +51 *1602:37 *2539:12 1.50678e-05 +52 *1608:30 *2539:35 3.29488e-05 +53 *1647:10 *2539:12 0.000599315 +54 *1647:10 *2539:35 3.51853e-05 +55 *1647:35 *2539:12 0.000115244 +56 *1720:52 *2539:12 0.000716256 +57 *1723:62 *2539:35 3.29488e-05 +58 *1723:77 *2539:35 0.000199215 +59 *1750:49 *2539:35 0.000525753 +60 *1750:73 *2539:12 5.33881e-06 +61 *1788:77 *2539:35 0.000667695 +62 *2117:143 *2539:10 3.1862e-05 +63 *2344:15 *2539:10 6.51527e-05 +64 *2502:74 *2539:35 0.00035572 +65 *2508:45 *2539:35 6.50586e-05 +*RES +1 *21482:X *2539:10 35.4618 +2 *2539:10 *2539:12 69.7257 +3 *2539:12 *2539:35 42.3006 +4 *2539:35 *21483:D1 9.24915 +*END + +*D_NET *2540 0.000635665 +*CONN +*I *21592:B I *D sky130_fd_sc_hd__nand4_2 +*I *21483:X O *D sky130_fd_sc_hd__o2111a_2 +*CAP +1 *21592:B 0.000159223 +2 *21483:X 0.000159223 +3 *21592:B *21525:D 6.50727e-05 +4 *21592:B *22335:B1 0.000129105 +5 *21592:B *2634:29 3.77568e-05 +6 *21592:A *21592:B 2.16355e-05 +7 *1616:17 *21592:B 6.36477e-05 +*RES +1 *21483:X *21592:B 31.6076 +*END + +*D_NET *2541 0.0463693 +*CONN +*I *22666:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22327:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21500:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21484:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22666:A1 0 +2 *22327:A1 0.000294711 +3 *21500:A1 2.09981e-05 +4 *21484:Y 0.00407602 +5 *2541:48 0.00158433 +6 *2541:36 0.00332004 +7 *2541:24 0.00397158 +8 *2541:23 0.00500078 +9 *2541:11 0.00715664 +10 *21500:A1 *22323:A2 2.16355e-05 +11 *21500:A1 *3179:167 2.57986e-05 +12 *22327:A1 *22322:A2 0.000207901 +13 *22327:A1 *22323:A2 0.000117385 +14 *22327:A1 *22327:A2 4.8916e-05 +15 *22327:A1 *22327:B1 0.000119261 +16 *22327:A1 *22327:B2 7.92757e-06 +17 *22327:A1 *22328:D 3.01683e-06 +18 *22327:A1 *2550:9 2.92975e-05 +19 *22327:A1 *2589:71 0.000301209 +20 *22327:A1 *2613:101 4.87439e-05 +21 *22327:A1 *3179:167 3.53886e-05 +22 *22327:A1 *3284:21 0 +23 *2541:11 *24215:CLK 0.000501074 +24 *2541:11 *2797:47 7.54847e-05 +25 *2541:11 *2809:21 0 +26 *2541:11 *2819:18 2.59567e-05 +27 *2541:11 *2827:13 0 +28 *2541:11 *3034:25 8.10643e-06 +29 *2541:11 *4966:9 6.01588e-05 +30 *2541:23 *22024:A1 7.40684e-06 +31 *2541:23 *22259:B2 2.49093e-05 +32 *2541:23 *22612:B1 7.22707e-05 +33 *2541:23 *2950:76 0.000151546 +34 *2541:23 *2956:18 3.33812e-05 +35 *2541:23 *2996:48 0.000144528 +36 *2541:23 *2996:72 0.000142227 +37 *2541:23 *3045:12 0.000825651 +38 *2541:23 *3146:221 5.05032e-05 +39 *2541:23 *5861:273 0.000382409 +40 *2541:24 *22018:C 0.0001389 +41 *2541:24 *22029:A1 0.000466014 +42 *2541:24 *22263:B2 1.91391e-05 +43 *2541:24 *22292:C1 6.04315e-05 +44 *2541:24 *22325:A2 1.05456e-05 +45 *2541:24 *22611:C1 0.000137936 +46 *2541:24 *22616:A 7.94462e-05 +47 *2541:24 *22633:A2 0.000123525 +48 *2541:24 *2984:24 3.19382e-05 +49 *2541:24 *2985:36 0.00138767 +50 *2541:24 *2985:44 0.00123785 +51 *2541:24 *3038:17 0.000152743 +52 *2541:24 *3038:23 0 +53 *2541:24 *3076:23 0.000117233 +54 *2541:24 *3222:8 1.38281e-05 +55 *2541:24 *3511:192 1.86001e-05 +56 *2541:24 *3519:205 1.20766e-05 +57 *2541:36 *21630:B1 6.03237e-05 +58 *2541:36 *22011:B1 2.13529e-05 +59 *2541:36 *22335:A1 7.61596e-06 +60 *2541:36 *2825:57 7.1152e-05 +61 *2541:36 *2892:21 1.8172e-05 +62 *2541:36 *2991:70 0.000322641 +63 *2541:36 *3106:42 0.000236326 +64 *2541:36 *3173:23 3.51771e-05 +65 *2541:36 *3222:8 0.000351575 +66 *2541:36 *3500:168 0.000161741 +67 *2541:36 *3507:156 6.44494e-05 +68 *2541:36 *3511:147 0.00108237 +69 *2541:36 *3531:49 1.9101e-05 +70 *2541:36 *3547:17 0.000250775 +71 *2541:48 *22663:A2 3.29488e-05 +72 *2541:48 *22664:A2 2.20498e-05 +73 *2541:48 *2564:68 0.000297584 +74 *2541:48 *2777:60 8.79144e-05 +75 *2541:48 *2991:70 0.00117492 +76 *2541:48 *3179:167 0.000129398 +77 *2541:48 *3519:332 0.000216268 +78 *2541:48 *3607:10 4.85316e-05 +79 *19863:B2 *2541:24 8.90266e-05 +80 *20443:A2 *2541:23 5.8518e-05 +81 *21308:B2 *2541:11 4.67196e-05 +82 *21801:A2 *2541:48 2.33274e-05 +83 *22210:A1 *2541:36 0.000126803 +84 *22575:A1 *2541:24 0.000434868 +85 *1584:49 *2541:36 1.43259e-05 +86 *1591:39 *2541:23 5.60804e-05 +87 *1618:104 *2541:24 0.000406476 +88 *1634:22 *2541:11 3.97254e-05 +89 *1655:61 *2541:36 0.0001056 +90 *1655:61 *2541:48 0.000393545 +91 *1655:199 *2541:23 2.14262e-05 +92 *1708:111 *2541:11 0.00019953 +93 *1742:93 *2541:23 2.81538e-06 +94 *1771:167 *2541:11 0.00431316 +95 *1790:158 *2541:23 0.000115915 +96 *1790:180 *2541:23 0.000491773 +97 *1958:20 *2541:11 8.4898e-05 +98 *2306:21 *2541:11 0.000469387 +99 *2441:63 *2541:11 0.000111266 +100 *2486:39 *2541:11 0.000326504 +101 *2503:99 *2541:48 0.000196257 +102 *2531:14 *2541:23 0.000623954 +*RES +1 *21484:Y *2541:11 42.7264 +2 *2541:11 *2541:23 29.7666 +3 *2541:23 *2541:24 57.4758 +4 *2541:24 *2541:36 26.8526 +5 *2541:36 *2541:48 39.2835 +6 *2541:48 *21500:A1 9.97254 +7 *2541:48 *22327:A1 19.9795 +8 *2541:36 *22666:A1 13.7491 +*END + +*D_NET *2542 0.0412803 +*CONN +*I *21487:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22323:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22663:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21485:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21487:A1 0.000518819 +2 *22323:B1 0.000115963 +3 *22663:A1 4.29577e-05 +4 *21485:Y 0.000133974 +5 *2542:50 0.00122013 +6 *2542:40 8.8969e-05 +7 *2542:39 6.26021e-05 +8 *2542:35 0.00208001 +9 *2542:28 0.00336186 +10 *2542:26 0.00219879 +11 *2542:8 0.00416733 +12 *2542:7 0.00398629 +13 *21487:A1 *21487:B1 1.44602e-06 +14 *21487:A1 *22539:B2 3.5744e-05 +15 *21487:A1 *22540:A2 0.000586906 +16 *22323:B1 *22323:B2 6.08467e-05 +17 *22323:B1 *22323:C1 3.51855e-06 +18 *22323:B1 *22665:B2 5.11321e-05 +19 *22323:B1 *2613:85 1.80638e-05 +20 *22663:A1 *21643:C 3.31745e-05 +21 *22663:A1 *22670:A 6.3657e-05 +22 *22663:A1 *24914:A 0.000154599 +23 *2542:7 *21312:A 0.000220183 +24 *2542:8 *19851:A 9.83856e-05 +25 *2542:8 *20708:B1 1.45398e-05 +26 *2542:8 *20882:A1 7.11894e-05 +27 *2542:8 *21760:A 0.000242134 +28 *2542:8 *22262:A 0.0005353 +29 *2542:8 *22283:B2 0.000397259 +30 *2542:8 *22318:B1 9.76521e-05 +31 *2542:8 *2589:12 0.000260876 +32 *2542:8 *2797:36 8.72285e-06 +33 *2542:8 *2819:8 0.00261735 +34 *2542:8 *2871:8 0.000406635 +35 *2542:8 *2996:48 0.0023215 +36 *2542:8 *3045:12 0 +37 *2542:8 *3049:14 0 +38 *2542:8 *3114:251 0.000216364 +39 *2542:8 *3162:156 3.7061e-05 +40 *2542:8 *3162:167 0.00040555 +41 *2542:8 *3221:6 2.68245e-05 +42 *2542:8 *5855:108 3.73224e-05 +43 *2542:8 *5859:115 0.00013471 +44 *2542:8 *5861:273 0.000355126 +45 *2542:26 *22262:A 0.000181806 +46 *2542:26 *2871:8 0.000117613 +47 *2542:28 *22262:A 9.39114e-06 +48 *2542:28 *22263:A2 0.000129004 +49 *2542:28 *22615:B1 0.000189434 +50 *2542:28 *22616:C 4.93411e-05 +51 *2542:28 *22629:A1 0.000296863 +52 *2542:28 *2589:54 7.22781e-05 +53 *2542:28 *2777:80 5.4678e-05 +54 *2542:28 *2806:45 8.77234e-05 +55 *2542:28 *3011:55 0.000235226 +56 *2542:28 *3020:56 8.14141e-05 +57 *2542:28 *3146:157 2.01653e-05 +58 *2542:28 *3217:28 0.0023931 +59 *2542:28 *3232:25 0.000106762 +60 *2542:28 *3537:192 0.000172572 +61 *2542:28 *3575:17 9.2013e-05 +62 *2542:28 *4848:30 5.3627e-06 +63 *2542:28 *4857:12 0.000301551 +64 *2542:35 *22265:A1 2.1878e-06 +65 *2542:35 *22556:A2 0.000135958 +66 *2542:35 *22606:A2 0.000108217 +67 *2542:35 *22670:C 0.000528717 +68 *2542:35 *24914:A 9.61086e-05 +69 *2542:35 *2589:54 6.84784e-06 +70 *2542:35 *2682:27 0.000107496 +71 *2542:35 *2806:45 0.00069471 +72 *2542:35 *2919:20 3.77568e-05 +73 *2542:35 *2919:29 0.000753634 +74 *2542:35 *2919:42 0.000702564 +75 *2542:35 *3496:179 0.000162925 +76 *2542:35 *4848:30 8.25172e-05 +77 *2542:39 *24914:A 6.12989e-05 +78 *2542:39 *2682:27 5.88657e-05 +79 *2542:40 *2682:28 0 +80 *2542:50 *22665:A2 3.29488e-05 +81 *2542:50 *22665:B2 0.000477485 +82 *2542:50 *2589:54 1.5714e-05 +83 *20700:B *2542:8 0.000256285 +84 *20708:A2 *2542:8 0.000383347 +85 *21095:B2 *2542:8 6.46333e-05 +86 *21487:A2 *21487:A1 9.61357e-05 +87 *22322:B1 *21487:A1 2.79507e-05 +88 *24481:D *2542:8 0.000195406 +89 *1550:8 *2542:8 2.20056e-05 +90 *1550:10 *2542:8 0.00261977 +91 *1552:70 *22323:B1 0.000108161 +92 *1552:70 *2542:35 0.00034623 +93 *1552:70 *2542:50 0.000660457 +94 *1552:81 *2542:35 7.47721e-06 +95 *1553:64 *2542:50 4.31644e-05 +96 *1614:30 *21487:A1 8.40944e-05 +97 *1655:61 *21487:A1 7.09666e-06 +98 *1655:88 *2542:28 2.27135e-05 +99 *1771:127 *2542:28 9.59309e-05 +100 *1789:23 *2542:8 3.0902e-05 +101 *2369:23 *2542:8 5.47736e-05 +*RES +1 *21485:Y *2542:7 16.1364 +2 *2542:7 *2542:8 140.941 +3 *2542:8 *2542:26 8.37832 +4 *2542:26 *2542:28 55.6072 +5 *2542:28 *2542:35 47.1689 +6 *2542:35 *2542:39 9.97254 +7 *2542:39 *2542:40 57.9449 +8 *2542:40 *22663:A1 20.3309 +9 *2542:35 *2542:50 19.6201 +10 *2542:50 *22323:B1 16.8878 +11 *2542:50 *21487:A1 32.7073 +*END + +*D_NET *2543 0.0404997 +*CONN +*I *21487:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22810:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22503:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21486:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21487:B1 0.000276233 +2 *22810:A1 0.000112784 +3 *22503:A1 0.00132636 +4 *21486:Y 0.00421968 +5 *2543:88 0.00221394 +6 *2543:79 0.00305081 +7 *2543:38 0.00252508 +8 *2543:31 0.00248295 +9 *2543:28 0.00450361 +10 *21487:B1 *22539:B2 0.000315831 +11 *21487:B1 *2670:11 0.000239668 +12 *21487:B1 *3071:67 0.000244476 +13 *21487:B1 *3148:226 5.75152e-05 +14 *22503:A1 *22499:A1 0.000250244 +15 *22503:A1 *22503:A2 4.25388e-05 +16 *22503:A1 *22503:B2 7.13972e-05 +17 *22503:A1 *22504:B 5.16341e-05 +18 *22503:A1 *22504:D 0.000296893 +19 *22503:A1 *22807:C1 9.32704e-05 +20 *22503:A1 *22811:A1 0 +21 *22503:A1 *2583:27 9.32704e-05 +22 *22503:A1 *2599:20 2.01503e-05 +23 *22503:A1 *2998:28 0.000135629 +24 *22503:A1 *3058:49 2.53145e-06 +25 *22503:A1 *3168:30 3.96944e-05 +26 *22503:A1 *3175:57 3.10304e-06 +27 *22503:A1 *3511:104 0.000157258 +28 *22503:A1 *3511:105 1.07248e-05 +29 *22503:A1 *3529:106 3.01683e-06 +30 *22503:A1 *3529:117 1.41976e-05 +31 *22810:A1 *22814:C 3.82228e-05 +32 *22810:A1 *22814:D 0.000107496 +33 *22810:A1 *2571:40 4.97617e-05 +34 *2543:28 *22793:A1 6.75009e-05 +35 *2543:28 *22794:A1 6.03237e-05 +36 *2543:28 *2597:29 3.17436e-05 +37 *2543:28 *2604:28 1.91246e-05 +38 *2543:28 *2608:26 1.91246e-05 +39 *2543:28 *2812:18 0.000326567 +40 *2543:28 *2860:20 0.000177342 +41 *2543:28 *3028:30 3.03405e-05 +42 *2543:28 *3066:26 0.000901535 +43 *2543:28 *3131:45 3.85679e-05 +44 *2543:28 *3146:67 3.51505e-05 +45 *2543:28 *3509:136 6.40758e-05 +46 *2543:28 *3529:155 0 +47 *2543:28 *3531:157 0 +48 *2543:28 *3533:88 0.00182777 +49 *2543:28 *5851:70 9.45961e-05 +50 *2543:38 *2599:20 0.000124313 +51 *2543:38 *2732:47 0.000138496 +52 *2543:38 *2998:18 1.62321e-05 +53 *2543:38 *2998:28 0.000171147 +54 *2543:38 *3058:8 0.000168523 +55 *2543:38 *3168:30 1.81083e-05 +56 *2543:38 *3168:39 7.31543e-05 +57 *2543:38 *3168:78 2.42716e-05 +58 *2543:38 *3452:21 0.00124264 +59 *2543:38 *3513:121 5.11321e-05 +60 *2543:79 *2649:64 0.000725509 +61 *2543:79 *2724:24 9.16818e-05 +62 *2543:79 *3114:160 1.5714e-05 +63 *2543:79 *3128:142 0.000138621 +64 *2543:79 *3155:104 0.000202726 +65 *2543:79 *3394:8 3.13501e-05 +66 *2543:88 *21500:D1 3.77568e-05 +67 *2543:88 *21696:C1 0.000200794 +68 *2543:88 *21707:B1 0.000282141 +69 *2543:88 *22246:A2 0.000247443 +70 *2543:88 *22780:A2 6.08467e-05 +71 *2543:88 *22781:C1 0.000156946 +72 *2543:88 *2675:95 6.77519e-05 +73 *2543:88 *2680:52 0.00086099 +74 *2543:88 *2733:21 0.000981544 +75 *2543:88 *3190:55 0.000305487 +76 *2543:88 *3208:107 4.25398e-05 +77 *2543:88 *3509:60 5.82186e-05 +78 *21487:A1 *21487:B1 1.44602e-06 +79 *21695:A2 *2543:88 0.000585392 +80 *21695:B2 *2543:88 1.58551e-05 +81 *21777:A2 *2543:28 0.000746375 +82 *22322:B1 *21487:B1 5.73044e-05 +83 *22540:B1 *2543:88 6.84755e-05 +84 *74:44 *2543:38 0.000574064 +85 *1471:226 *22503:A1 0.000198858 +86 *1546:42 *2543:31 0.000391697 +87 *1546:42 *2543:38 0.000172056 +88 *1546:42 *2543:79 0.000154145 +89 *1560:35 *2543:28 0.00089069 +90 *1570:50 *2543:88 1.43499e-05 +91 *1575:29 *2543:28 0.000168474 +92 *1576:35 *2543:88 2.68045e-05 +93 *1594:27 *2543:28 0.00148064 +94 *1688:91 *2543:88 0.00112416 +95 *1723:100 *2543:88 4.79321e-06 +96 *1723:114 *2543:28 0.000250254 +97 *1731:107 *2543:28 0.000205555 +98 *1752:14 *22503:A1 2.07365e-05 +99 *1798:84 *2543:88 2.91909e-05 +100 *1798:92 *2543:79 7.09666e-06 +101 *1801:75 *2543:28 3.14646e-05 +*RES +1 *21486:Y *2543:28 49.4033 +2 *2543:28 *2543:31 9.10562 +3 *2543:31 *2543:38 37.9644 +4 *2543:38 *22503:A1 49.9154 +5 *2543:38 *22810:A1 16.691 +6 *2543:31 *2543:79 27.7754 +7 *2543:79 *2543:88 48.3183 +8 *2543:88 *21487:B1 23.1859 +*END + +*D_NET *2544 0.000869929 +*CONN +*I *21500:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21487:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21500:B1 0.000167264 +2 *21487:X 0.000167264 +3 *21500:B1 *21500:D1 0.000159756 +4 *21500:B1 *22323:A2 1.15389e-05 +5 *21500:B1 *22539:A2 0.000110071 +6 *21500:B1 *3175:220 3.95516e-05 +7 *520:17 *21500:B1 1.5714e-05 +8 *1610:7 *21500:B1 7.04868e-05 +9 *1610:33 *21500:B1 1.41976e-05 +10 *1614:30 *21500:B1 0 +11 *1655:29 *21500:B1 0.000114086 +*RES +1 *21487:X *21500:B1 33.2661 +*END + +*D_NET *2545 0.101698 +*CONN +*I *22655:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21493:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22318:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21488:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22655:B1 0.000191995 +2 *21493:A1 0.000880614 +3 *22318:A1 0.00011333 +4 *21488:Y 0.00152272 +5 *2545:67 0.0123245 +6 *2545:55 0.00126673 +7 *2545:51 0.00244881 +8 *2545:48 0.00313582 +9 *2545:35 0.0151282 +10 *2545:28 0.00244479 +11 *2545:18 0.00133098 +12 *2545:17 0.00391766 +13 *2545:14 0.00327117 +14 *2545:11 0.00179841 +15 *21493:A1 *21493:B1 5.35267e-05 +16 *21493:A1 *22329:B1 0.000207025 +17 *21493:A1 *2550:9 5.45571e-05 +18 *21493:A1 *2584:77 0.000769611 +19 *21493:A1 *2613:101 3.33173e-06 +20 *21493:A1 *2634:29 7.31894e-05 +21 *21493:A1 *2642:41 0.00017485 +22 *22318:A1 *22318:A2 0.000493634 +23 *22318:A1 *3157:210 7.5301e-06 +24 *22318:A1 *3215:10 0.000540283 +25 *22655:B1 *22661:B1 0.000103044 +26 *22655:B1 *2546:28 0 +27 *22655:B1 *2825:12 0.000250049 +28 *2545:11 *6049:DIODE 0.000110458 +29 *2545:11 *23945:A1 0.000197348 +30 *2545:11 *24376:CLK 6.13051e-05 +31 *2545:11 *5001:8 6.27782e-05 +32 *2545:11 *5867:820 5.84505e-05 +33 *2545:14 *4834:26 0.000651151 +34 *2545:14 *4835:18 0.000273267 +35 *2545:17 *4839:377 7.75722e-05 +36 *2545:18 *4825:64 0.00337045 +37 *2545:18 *4829:171 0.00410241 +38 *2545:18 *4833:173 0.000572314 +39 *2545:18 *5493:33 0.000489156 +40 *2545:28 *2791:24 0.000247443 +41 *2545:28 *2938:29 0.000200794 +42 *2545:28 *4833:172 1.70475e-05 +43 *2545:28 *5902:18 0.00163194 +44 *2545:35 *20325:A1 1.65872e-05 +45 *2545:35 *21434:A 0.000107496 +46 *2545:35 *2584:30 0.000530518 +47 *2545:35 *3263:23 0.000116731 +48 *2545:35 *4817:48 0.000534115 +49 *2545:35 *4832:60 0.000254526 +50 *2545:35 *5855:166 6.22732e-06 +51 *2545:48 *24968:A 0.00123425 +52 *2545:48 *2729:50 4.62112e-05 +53 *2545:48 *4832:60 2.48636e-05 +54 *2545:48 *4902:71 1.73713e-05 +55 *2545:48 *5855:166 0.000142999 +56 *2545:51 *3257:20 0.000271712 +57 *2545:51 *5855:166 0.000306912 +58 *2545:55 *22295:A2 0.000643582 +59 *2545:55 *22296:A1 7.54269e-06 +60 *2545:55 *22296:C1 0.000390588 +61 *2545:55 *22297:A2 0.000251655 +62 *2545:55 *22297:B1 0.00010069 +63 *2545:55 *22297:B2 0.000111637 +64 *2545:55 *22297:C1 5.23916e-05 +65 *2545:55 *22383:A2 0.000107496 +66 *2545:55 *22384:A2 0.000251669 +67 *2545:55 *3157:210 0.00171369 +68 *2545:55 *3168:190 0.00119944 +69 *2545:55 *3215:10 7.92757e-06 +70 *2545:55 *3257:20 0.000633581 +71 *2545:67 *22305:A2 8.37195e-05 +72 *2545:67 *24335:SET_B 0.000110067 +73 *2545:67 *2642:39 0.00258175 +74 *2545:67 *2642:41 0.000287672 +75 *2545:67 *2809:24 0.00055192 +76 *2545:67 *3153:50 0.000214555 +77 *2545:67 *3471:15 2.70867e-05 +78 *2545:67 *3517:15 0.000491079 +79 *2545:67 *4819:28 0.00230979 +80 *2545:67 *4829:75 0.00373891 +81 *2545:67 *4829:108 0.000981273 +82 *20325:A2 *2545:35 0.000107496 +83 *20325:B2 *2545:35 8.44785e-05 +84 *20336:A2 *2545:17 8.05819e-05 +85 *20336:B1 *2545:17 1.77101e-05 +86 *20336:B2 *2545:17 1.57489e-05 +87 *21435:B1 *2545:48 9.95911e-06 +88 *21493:A2 *21493:A1 1.47978e-05 +89 *22296:B1 *2545:55 7.98171e-06 +90 *22383:A1 *2545:55 0.000924241 +91 *22658:B1 *22655:B1 1.4933e-05 +92 *22658:B2 *22655:B1 4.38723e-05 +93 *24301:D *2545:48 0.000154145 +94 *24376:D *2545:11 2.38962e-05 +95 *24685:D *2545:17 9.93945e-05 +96 *24690:D *2545:35 6.08467e-05 +97 *24765:D *2545:48 2.652e-05 +98 *25140:A *2545:35 0.000114487 +99 *478:54 *2545:48 4.15236e-05 +100 *1443:49 *2545:67 0.000812195 +101 *1443:88 *2545:67 0.003557 +102 *1555:70 *2545:18 0.000104039 +103 *1555:74 *2545:18 0.00207046 +104 *1564:62 *21493:A1 0.00143012 +105 *1564:62 *2545:67 0.00199614 +106 *1584:129 *21493:A1 0 +107 *1608:107 *2545:35 0.000157972 +108 *1608:107 *2545:48 0.000193268 +109 *2244:19 *2545:67 0.000401381 +110 *2249:12 *2545:48 2.95884e-05 +111 *2266:24 *2545:48 1.5714e-05 +112 *2357:32 *2545:17 0 +113 *2374:34 *2545:55 1.65872e-05 +114 *2417:25 *2545:17 0.000114622 +115 *2417:43 *2545:18 0.000174135 +116 *2433:58 *2545:67 0.0014568 +117 *2451:36 *2545:18 9.3612e-05 +118 *2459:29 *2545:67 0.000375811 +119 *2494:17 *2545:48 7.41338e-05 +120 *2503:12 *2545:28 0.00162779 +121 *2508:45 *21493:A1 6.3657e-05 +*RES +1 *21488:Y *2545:11 41.2864 +2 *2545:11 *2545:14 16.298 +3 *2545:14 *2545:17 48.4825 +4 *2545:17 *2545:18 93.1875 +5 *2545:18 *2545:28 44.9974 +6 *2545:28 *2545:35 36.4142 +7 *2545:35 *2545:48 42.84 +8 *2545:48 *2545:51 19.7246 +9 *2545:51 *2545:55 47.7441 +10 *2545:55 *22318:A1 15.5186 +11 *2545:35 *2545:67 35.9506 +12 *2545:67 *21493:A1 23.7552 +13 *2545:67 *22655:B1 22.4796 +*END + +*D_NET *2546 0.027212 +*CONN +*I *21493:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22326:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22657:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21489:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21493:B1 0.000199188 +2 *22326:A1 0.00031149 +3 *22657:A1 0.000163166 +4 *21489:Y 0 +5 *2546:57 0.000263911 +6 *2546:56 0.00116789 +7 *2546:28 0.00118043 +8 *2546:21 0.00235793 +9 *2546:20 0.00114042 +10 *2546:5 0.00226717 +11 *2546:4 0.00167574 +12 *21493:B1 *2600:11 0.000163635 +13 *21493:B1 *3476:36 0.000200794 +14 *22326:A1 *2581:61 0.000110143 +15 *22326:A1 *2635:95 0.000792019 +16 *22326:A1 *3196:23 0.000250244 +17 *22657:A1 *22657:A2 3.63593e-05 +18 *22657:A1 *2636:28 4.69204e-06 +19 *22657:A1 *3491:46 8.71956e-05 +20 *2546:5 *19565:S 6.08467e-05 +21 *2546:5 *21208:A 4.03336e-05 +22 *2546:5 *24338:CLK 6.08467e-05 +23 *2546:5 *3177:237 0.000350024 +24 *2546:5 *4806:11 0.000236353 +25 *2546:5 *5067:14 0.0011185 +26 *2546:5 *5560:10 0.00073981 +27 *2546:20 *22544:A 0.000394507 +28 *2546:20 *22592:A 9.12416e-06 +29 *2546:20 *2642:27 0.000111802 +30 *2546:20 *2642:39 0.000113574 +31 *2546:20 *3190:10 5.25247e-05 +32 *2546:20 *3471:108 5.1493e-06 +33 *2546:20 *3474:12 0.000130147 +34 *2546:20 *3493:202 0.000318182 +35 *2546:20 *5944:102 4.15661e-05 +36 *2546:21 *22658:A2 0.00145454 +37 *2546:21 *3175:267 0.00207733 +38 *2546:21 *3476:29 7.92757e-06 +39 *2546:21 *3493:202 0.000875376 +40 *2546:28 *22661:B1 3.11618e-05 +41 *2546:28 *22671:C 0.000107852 +42 *2546:28 *22671:D 2.27901e-06 +43 *2546:28 *2596:18 5.62823e-05 +44 *2546:28 *3122:39 0.000293774 +45 *2546:28 *3466:47 6.16471e-05 +46 *2546:28 *3471:15 8.56161e-05 +47 *2546:28 *3491:46 0.000154964 +48 *2546:28 *3519:47 1.5714e-05 +49 *2546:28 *4807:82 7.08288e-05 +50 *2546:28 *4807:101 0.000209003 +51 *2546:56 *2570:141 7.08566e-05 +52 *2546:56 *3476:29 1.97974e-05 +53 *21193:B2 *2546:28 2.37478e-05 +54 *21194:C1 *2546:28 0.000100106 +55 *21493:A1 *21493:B1 5.35267e-05 +56 *21493:A2 *21493:B1 9.94284e-06 +57 *22655:B1 *2546:28 0 +58 *22658:B1 *2546:28 4.84017e-05 +59 *22658:B2 *2546:28 2.05342e-06 +60 *22658:B2 *2546:56 4.58003e-05 +61 *1576:16 *2546:5 0.000548881 +62 *1584:129 *21493:B1 6.64392e-05 +63 *1680:111 *2546:56 0.000205101 +64 *1788:46 *22657:A1 5.42408e-05 +65 *1788:46 *2546:28 4.33594e-05 +66 *2256:62 *2546:20 0.000256133 +67 *2256:72 *2546:20 0.00144678 +68 *2256:88 *2546:5 0.000107496 +69 *2275:39 *2546:5 4.73434e-05 +70 *2286:10 *22326:A1 4.49767e-05 +71 *2397:63 *2546:5 0.00193342 +72 *2508:31 *2546:56 0.000121159 +73 *2508:45 *21493:B1 0.000249762 +74 *2508:45 *2546:56 5.26328e-05 +*RES +1 *21489:Y *2546:4 9.24915 +2 *2546:4 *2546:5 52.3015 +3 *2546:5 *2546:20 42.1252 +4 *2546:20 *2546:21 27.3444 +5 *2546:21 *2546:28 23.4306 +6 *2546:28 *22657:A1 17.5597 +7 *2546:28 *22326:A1 32.9619 +8 *2546:21 *2546:56 25.5256 +9 *2546:56 *2546:57 81.1229 +10 *2546:57 *21493:B1 26.2627 +*END + +*D_NET *2547 0.0226668 +*CONN +*I *22801:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21492:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22502:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21490:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22801:A1 3.19612e-05 +2 *21492:A1 0.00019453 +3 *22502:A1 0.000148124 +4 *21490:Y 0.000861639 +5 *2547:26 0.00137597 +6 *2547:18 0.00133855 +7 *2547:12 0.00268982 +8 *2547:10 0.00327818 +9 *21492:A1 *22005:C1 8.4653e-05 +10 *21492:A1 *2590:36 1.28587e-05 +11 *21492:A1 *3471:25 0.000294093 +12 *22502:A1 *22502:A2 0.000200794 +13 *22502:A1 *3198:29 0.000629249 +14 *22801:A1 *22815:B 2.16355e-05 +15 *22801:A1 *3737:15 2.41274e-06 +16 *2547:10 *2773:10 0.00012233 +17 *2547:10 *5854:472 2.92548e-05 +18 *2547:10 *5854:489 0.000226068 +19 *2547:12 *22765:A1 1.02986e-05 +20 *2547:12 *22783:B1 0.000117647 +21 *2547:12 *2773:10 0.00218141 +22 *2547:12 *2773:12 5.60364e-06 +23 *2547:12 *3018:8 0.00024027 +24 *2547:12 *3204:26 0.000160006 +25 *2547:12 *3385:18 3.44127e-05 +26 *2547:12 *3406:10 0.000242815 +27 *2547:12 *3481:37 0.000142376 +28 *2547:12 *3481:46 0.000686387 +29 *2547:12 *3498:35 8.6931e-05 +30 *2547:12 *3669:8 1.51584e-05 +31 *2547:12 *3719:44 7.01264e-05 +32 *2547:12 *5854:489 8.60332e-05 +33 *2547:18 *3134:20 0.000296903 +34 *2547:18 *3483:44 2.92012e-05 +35 *2547:18 *3737:12 7.14746e-05 +36 *2547:26 *21591:C 1.28732e-05 +37 *2547:26 *21921:C1 0.000112394 +38 *2547:26 *2590:36 5.1493e-06 +39 *2547:26 *2923:14 0.000359448 +40 *2547:26 *2931:26 0.00012967 +41 *2547:26 *3483:44 7.09666e-06 +42 *21537:B2 *2547:26 1.21416e-05 +43 *21702:B2 *2547:12 4.76248e-05 +44 *21789:A2 *2547:12 0 +45 *24332:D *2547:10 0.000122083 +46 *547:21 *2547:12 4.6012e-05 +47 *1497:155 *2547:10 0.000205006 +48 *1588:64 *2547:12 0.000570996 +49 *1588:77 *22502:A1 0.000298399 +50 *1588:77 *2547:26 0.00037564 +51 *1608:13 *21492:A1 0.000294093 +52 *1616:17 *22502:A1 4.91396e-05 +53 *1639:99 *2547:12 0.00101722 +54 *1648:45 *2547:18 0.000147005 +55 *1648:45 *2547:26 0.000114255 +56 *1648:47 *21492:A1 0.00012774 +57 *1648:47 *2547:26 0.000841217 +58 *1649:31 *2547:12 8.51784e-06 +59 *1649:57 *2547:12 1.5714e-05 +60 *1652:12 *2547:12 4.12833e-05 +61 *1661:24 *2547:12 0.000337968 +62 *1671:21 *2547:10 8.73469e-05 +63 *1780:15 *2547:10 0.000557353 +64 *2145:21 *2547:10 3.63593e-05 +65 *2145:25 *2547:10 0.000667883 +*RES +1 *21490:Y *2547:10 41.9553 +2 *2547:10 *2547:12 74.9164 +3 *2547:12 *2547:18 15.1589 +4 *2547:18 *2547:26 28.3837 +5 *2547:26 *22502:A1 21.1278 +6 *2547:26 *21492:A1 20.3233 +7 *2547:18 *22801:A1 14.4725 +*END + +*D_NET *2548 0.0158648 +*CONN +*I *22807:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21492:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22499:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21491:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22807:A1 7.03259e-05 +2 *21492:B1 0 +3 *22499:B1 0.000233194 +4 *21491:Y 0.00195664 +5 *2548:41 0.00287907 +6 *2548:10 0.00325775 +7 *2548:7 0.00217245 +8 *22499:B1 *22499:A2 1.27109e-05 +9 *22499:B1 *22499:C1 4.0605e-06 +10 *22499:B1 *2583:27 7.02358e-06 +11 *22499:B1 *2608:62 2.1203e-06 +12 *22499:B1 *2708:32 0.000234913 +13 *22499:B1 *3148:250 5.88634e-05 +14 *22499:B1 *3175:71 7.65608e-05 +15 *22499:B1 *3507:78 7.74958e-05 +16 *22807:A1 *22495:B1 6.08467e-05 +17 *22807:A1 *3382:8 5.43523e-05 +18 *22807:A1 *3421:32 1.02986e-05 +19 *22807:A1 *3507:75 8.78262e-05 +20 *2548:7 *21542:B1 4.31703e-05 +21 *2548:7 *22811:B2 7.55603e-05 +22 *2548:7 *2597:12 0.000304857 +23 *2548:7 *2608:43 0.000113398 +24 *2548:7 *3529:117 1.37531e-05 +25 *2548:10 *3382:8 0.000225483 +26 *2548:10 *3421:32 8.87944e-05 +27 *2548:41 *22503:B2 8.86968e-05 +28 *2548:41 *22745:A1 0.000113968 +29 *2548:41 *22745:B1 0.000347839 +30 *2548:41 *22745:B2 3.00296e-05 +31 *2548:41 *24912:A 0.000156946 +32 *2548:41 *2593:47 6.78278e-05 +33 *2548:41 *2641:80 7.48886e-05 +34 *2548:41 *2708:32 0.000253674 +35 *2548:41 *2851:24 8.00856e-05 +36 *2548:41 *3196:41 3.17436e-05 +37 *2548:41 *3457:20 0.000226662 +38 *2548:41 *3463:27 3.57508e-05 +39 *2548:41 *3466:56 0.000126517 +40 *2548:41 *3471:25 0.00031383 +41 *2548:41 *3685:22 0.000141289 +42 *21492:B2 *2548:41 0.000335139 +43 *22727:A1 *2548:41 4.78983e-05 +44 *22811:B1 *2548:7 0.000167069 +45 *1471:226 *2548:7 0.000671592 +46 *1730:240 *22499:B1 2.85289e-05 +47 *1730:240 *2548:41 0.000104099 +48 *1751:23 *2548:41 0.000207892 +49 *1764:65 *2548:7 8.78407e-06 +50 *2274:63 *2548:41 8.25014e-05 +*RES +1 *21491:Y *2548:7 43.3119 +2 *2548:7 *2548:10 7.89992 +3 *2548:10 *22499:B1 20.4003 +4 *2548:10 *2548:41 44.1054 +5 *2548:41 *21492:B1 9.24915 +6 *2548:7 *22807:A1 15.8893 +*END + +*D_NET *2549 0.00457463 +*CONN +*I *21493:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21492:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21493:C1 0 +2 *21492:X 0.000799931 +3 *2549:17 0.000799931 +4 *2549:17 *21591:B 0.000245733 +5 *2549:17 *22335:B1 1.9101e-05 +6 *2549:17 *2593:54 3.17436e-05 +7 *2549:17 *2632:112 0.000157529 +8 *2549:17 *2751:8 1.9101e-05 +9 *2549:17 *3208:250 0.000203604 +10 *2549:17 *3471:25 5.8973e-05 +11 *2549:17 *4870:134 0.000429561 +12 *21537:A2 *2549:17 6.22732e-05 +13 *1584:129 *2549:17 0.000695233 +14 *1608:13 *2549:17 1.5714e-05 +15 *1637:53 *2549:17 2.41556e-05 +16 *1730:240 *2549:17 0.00074861 +17 *2502:59 *2549:17 0.000153125 +18 *2502:74 *2549:17 0.000110306 +*RES +1 *21492:X *2549:17 41.6136 +2 *2549:17 *21493:C1 9.24915 +*END + +*D_NET *2550 0.00408054 +*CONN +*I *21500:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21493:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21500:C1 0 +2 *21493:X 0.00113752 +3 *2550:9 0.00113752 +4 *2550:9 *22323:A2 1.69394e-06 +5 *2550:9 *2613:101 0.00021236 +6 *2550:9 *2634:29 3.34282e-05 +7 *2550:9 *3179:167 0.000161234 +8 *21493:A1 *2550:9 5.45571e-05 +9 *21500:A2 *2550:9 3.99086e-06 +10 *21576:A2 *2550:9 1.5714e-05 +11 *22327:A1 *2550:9 2.92975e-05 +12 *1552:70 *2550:9 0.000671343 +13 *1610:7 *2550:9 0.000107496 +14 *1641:102 *2550:9 0.000514382 +*RES +1 *21493:X *2550:9 43.6546 +2 *2550:9 *21500:C1 9.24915 +*END + +*D_NET *2551 0.0317991 +*CONN +*I *22324:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22668:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21499:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21494:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22324:B1 0 +2 *22668:B1 0.000636041 +3 *21499:A1 6.28386e-05 +4 *21494:Y 0.000267923 +5 *2551:55 0.00168564 +6 *2551:44 0.00247603 +7 *2551:38 0.00268421 +8 *2551:24 0.0017296 +9 *2551:18 0.00168434 +10 *2551:8 0.0023244 +11 *2551:7 0.00131696 +12 *21499:A1 *21499:C1 6.36477e-05 +13 *22668:B1 *22668:A2 0.000202177 +14 *22668:B1 *22668:B2 3.52929e-06 +15 *22668:B1 *2822:12 0.000799301 +16 *22668:B1 *3131:97 0.0001329 +17 *22668:B1 *3466:228 0.000238225 +18 *2551:8 *20394:A1 0.000114441 +19 *2551:8 *24390:RESET_B 0.00048735 +20 *2551:8 *2950:22 0.00012653 +21 *2551:8 *2950:34 0.000260051 +22 *2551:8 *2963:22 0.00172234 +23 *2551:8 *5158:8 0.000101148 +24 *2551:18 *2564:18 0.000132896 +25 *2551:18 *2697:19 0.000440418 +26 *2551:18 *2954:28 0.000117092 +27 *2551:18 *2963:33 0.00023285 +28 *2551:24 *2697:19 2.33103e-06 +29 *2551:38 *21498:B1 2.61955e-05 +30 *2551:38 *21499:C1 0.000113968 +31 *2551:38 *22249:B 0.000162739 +32 *2551:38 *3531:174 4.61168e-06 +33 *2551:44 *22247:A2 0.000126268 +34 *2551:44 *22248:A 0.00074632 +35 *2551:44 *22248:D 0.000163259 +36 *2551:44 *22249:B 7.09666e-06 +37 *2551:44 *22249:C 0.00163279 +38 *2551:44 *22576:C1 1.4979e-05 +39 *2551:44 *22608:A1 0.000250244 +40 *2551:44 *22608:B2 0 +41 *2551:44 *22629:B1 1.22026e-05 +42 *2551:44 *2770:68 0.000237699 +43 *2551:44 *2873:17 6.97621e-06 +44 *2551:44 *2986:34 8.17829e-06 +45 *2551:44 *3114:200 3.96199e-05 +46 *2551:44 *3211:10 2.26808e-05 +47 *2551:55 *22054:B1 0.000110297 +48 *2551:55 *22060:C1 0.000264338 +49 *2551:55 *22608:A1 1.30575e-05 +50 *2551:55 *22608:B2 0.000156946 +51 *2551:55 *22610:B2 6.08467e-05 +52 *2551:55 *2822:12 0.0010454 +53 *2551:55 *3131:97 9.12416e-06 +54 *2551:55 *3146:128 9.07365e-05 +55 *2551:55 *3186:16 4.03936e-05 +56 *2551:55 *3523:127 0.000220402 +57 *19874:A2 *2551:24 0.000254541 +58 *19875:A2 *2551:24 1.88014e-05 +59 *19895:B2 *2551:44 0.000370956 +60 *19907:B *2551:38 1.00981e-05 +61 *19907:C *2551:38 5.42521e-05 +62 *19907:D *2551:38 0.000156955 +63 *20387:A2 *2551:18 0.000107496 +64 *20387:B2 *2551:18 3.31745e-05 +65 *20391:B2 *2551:8 0.000143032 +66 *22060:B2 *2551:55 0.000182648 +67 *22231:A1 *2551:44 2.78219e-06 +68 *1439:438 *2551:8 1.66771e-05 +69 *1573:196 *22668:B1 2.45547e-05 +70 *1586:103 *21499:A1 2.1203e-06 +71 *1595:60 *21499:A1 1.42932e-05 +72 *1595:60 *2551:24 8.84282e-05 +73 *1601:8 *2551:18 1.23804e-05 +74 *1602:104 *21499:A1 2.57847e-05 +75 *1602:104 *2551:38 4.16165e-05 +76 *1614:55 *22668:B1 0.000632667 +77 *1614:75 *22668:B1 5.4224e-06 +78 *1614:75 *2551:55 1.48674e-05 +79 *1629:55 *2551:8 5.39635e-06 +80 *1655:135 *2551:44 8.1879e-05 +81 *1655:135 *2551:55 8.19081e-05 +82 *1720:19 *2551:38 0.000107496 +83 *1770:58 *2551:44 4.27689e-05 +84 *1783:28 *2551:8 0.000894028 +85 *1783:28 *2551:18 0.00124839 +86 *1783:38 *2551:18 3.91685e-05 +87 *1789:82 *21499:A1 9.62777e-06 +88 *1789:82 *2551:18 9.8728e-05 +89 *1789:82 *2551:24 4.05306e-05 +90 *1942:67 *2551:8 1.62525e-05 +91 *1943:8 *2551:8 0.000430093 +92 *2075:19 *2551:18 0.000125632 +93 *2075:31 *2551:18 0.000514085 +94 *2298:16 *2551:8 0.000194304 +95 *2452:14 *2551:18 2.27135e-05 +*RES +1 *21494:Y *2551:7 17.2456 +2 *2551:7 *2551:8 47.925 +3 *2551:8 *2551:18 48.3202 +4 *2551:18 *2551:24 17.2352 +5 *2551:24 *21499:A1 15.474 +6 *2551:24 *2551:38 27.4707 +7 *2551:38 *2551:44 48.0532 +8 *2551:44 *2551:55 40.6248 +9 *2551:55 *22668:B1 34.2316 +10 *2551:55 *22324:B1 13.7491 +*END + +*D_NET *2552 0.0285369 +*CONN +*I *22813:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22509:A I *D sky130_fd_sc_hd__or2_1 +*I *21499:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21495:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22813:B1 0.00114039 +2 *22509:A 0.000257469 +3 *21499:B1 0.000399466 +4 *21495:Y 0.00087024 +5 *2552:31 0.00231398 +6 *2552:14 0.00346378 +7 *2552:13 0.00281467 +8 *2552:10 0.00153671 +9 *21499:B1 *21499:C1 7.22998e-05 +10 *21499:B1 *21752:B1 0.000203595 +11 *21499:B1 *21753:C1 0.000170801 +12 *22509:A *22509:B 0.000200794 +13 *22509:A *2661:13 0.000213405 +14 *22509:A *2778:19 0.000110306 +15 *22509:A *3057:29 1.1246e-05 +16 *22509:A *3122:198 0.000233246 +17 *22509:A *3415:14 3.18679e-05 +18 *22509:A *3541:119 3.82228e-05 +19 *22509:A *3543:156 5.65354e-05 +20 *22813:B1 *22812:B1 0.000234591 +21 *22813:B1 *22813:C1 9.12416e-06 +22 *22813:B1 *2779:41 6.82195e-05 +23 *22813:B1 *3155:127 3.73096e-05 +24 *22813:B1 *3513:143 0 +25 *22813:B1 *3537:126 2.16355e-05 +26 *22813:B1 *5851:9 7.50578e-05 +27 *2552:10 *2796:7 0.000167076 +28 *2552:10 *2796:8 0.000123056 +29 *2552:10 *5861:10 0.000252016 +30 *2552:13 *4106:55 5.04829e-06 +31 *2552:14 *20680:A1 7.09666e-06 +32 *2552:14 *24648:RESET_B 0.000183386 +33 *2552:14 *2818:24 0.000110567 +34 *2552:14 *2954:21 0.000571275 +35 *2552:14 *2963:57 0.000172975 +36 *2552:14 *3057:14 3.38289e-05 +37 *2552:14 *3131:158 0.000128879 +38 *2552:14 *5853:22 2.24484e-05 +39 *2552:14 *5853:26 0.00117907 +40 *2552:14 *5853:32 0.00164718 +41 *2552:14 *5866:153 4.57311e-05 +42 *2552:14 *5866:159 1.75579e-05 +43 *2552:14 *5867:116 0.000330576 +44 *2552:14 *5867:125 0.00124962 +45 *2552:31 *20680:A1 8.96447e-06 +46 *2552:31 *2661:13 0.00138455 +47 *2552:31 *3057:14 0.000181965 +48 *2552:31 *3131:158 0.000198751 +49 *2552:31 *3241:8 8.95272e-05 +50 *2552:31 *3543:156 0.000564133 +51 *2552:31 *3579:23 0.000139605 +52 *19594:S *2552:14 0.000140147 +53 *19841:B1 *22509:A 4.14109e-05 +54 *20480:A2 *2552:10 5.05252e-05 +55 *20859:B *22813:B1 1.03403e-05 +56 *21499:A2 *21499:B1 3.98274e-06 +57 *21783:B2 *22813:B1 0.000854128 +58 *22418:A1 *22813:B1 6.26584e-07 +59 *1574:38 *22813:B1 6.21462e-05 +60 *1586:111 *21499:B1 7.73661e-05 +61 *1602:93 *21499:B1 0.000147365 +62 *1619:26 *2552:10 0.000317693 +63 *1629:58 *2552:14 0.00237802 +64 *1724:8 *2552:10 0 +65 *1725:220 *2552:13 0.000141881 +66 *1732:12 *2552:14 0.000350753 +67 *1971:28 *2552:10 8.9863e-05 +68 *2298:8 *2552:14 0.000273879 +69 *2523:14 *2552:10 0.000111915 +70 *2536:8 *22813:B1 8.50666e-05 +*RES +1 *21495:Y *2552:10 44.7554 +2 *2552:10 *2552:13 18.5339 +3 *2552:13 *2552:14 95.679 +4 *2552:14 *21499:B1 24.8653 +5 *2552:14 *2552:31 30.1791 +6 *2552:31 *22509:A 23.0253 +7 *2552:31 *22813:B1 25.2556 +*END + +*D_NET *2553 0.0296703 +*CONN +*I *21498:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22812:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22500:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21496:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21498:A1 0 +2 *22812:B1 0.00183755 +3 *22500:B1 0.000614001 +4 *21496:Y 0.00154201 +5 *2553:66 0.000999422 +6 *2553:60 0.00218882 +7 *2553:24 0.00348706 +8 *2553:11 0.00376692 +9 *22500:B1 *22501:C1 2.57847e-05 +10 *22500:B1 *24358:RESET_B 0.000615737 +11 *22500:B1 *2785:75 1.41307e-05 +12 *22500:B1 *3059:18 2.6411e-05 +13 *22500:B1 *3131:112 3.20069e-06 +14 *22500:B1 *3131:125 0.000489714 +15 *22812:B1 *22509:B 1.64789e-05 +16 *22812:B1 *22812:A1 0.000387391 +17 *22812:B1 *2883:32 4.41243e-05 +18 *22812:B1 *3168:113 0.000328709 +19 *22812:B1 *3513:143 1.86016e-05 +20 *22812:B1 *3539:140 3.54082e-05 +21 *22812:B1 *3541:119 1.65872e-05 +22 *22812:B1 *3541:135 7.92757e-06 +23 *22812:B1 *5851:9 4.76381e-05 +24 *2553:11 *20984:A1 1.48623e-05 +25 *2553:11 *4700:41 0.000261909 +26 *2553:11 *4932:66 5.37817e-06 +27 *2553:24 *20863:B1 0.000108997 +28 *2553:24 *21752:B1 3.38123e-05 +29 *2553:24 *2554:35 0.000342059 +30 *2553:24 *2783:8 6.20492e-05 +31 *2553:24 *3040:10 4.60716e-05 +32 *2553:24 *3040:18 0.000237996 +33 *2553:24 *3131:125 0.000873345 +34 *2553:24 *5855:59 0.000175075 +35 *2553:60 *2783:8 0.000275209 +36 *2553:60 *3155:139 7.54028e-05 +37 *2553:60 *4997:8 0.000203066 +38 *2553:60 *5851:640 0.000171636 +39 *2553:66 *21498:B1 2.02035e-05 +40 *2553:66 *3162:115 0.000829446 +41 *2553:66 *3175:125 0.00305282 +42 *19841:B1 *22812:B1 7.98171e-06 +43 *19875:A1 *2553:66 6.51637e-05 +44 *21144:B *2553:24 3.92849e-05 +45 *21498:A2 *2553:66 0.00116471 +46 *22813:B1 *22812:B1 0.000234591 +47 *24454:D *2553:60 7.09666e-06 +48 *657:189 *2553:11 0.000304777 +49 *1419:260 *22812:B1 0.000688779 +50 *1574:38 *22812:B1 5.86296e-05 +51 *1575:29 *22812:B1 0.000160785 +52 *1582:43 *2553:11 4.43961e-05 +53 *1582:43 *2553:60 0.000393863 +54 *1594:43 *2553:24 0.000171229 +55 *1601:16 *2553:66 0.000194332 +56 *1605:57 *22812:B1 0.000108091 +57 *1689:107 *22500:B1 0.000533713 +58 *1689:107 *2553:24 0.00141548 +59 *1783:38 *2553:24 6.44644e-05 +60 *1947:45 *2553:11 0.000368668 +61 *2468:8 *2553:24 5.74949e-05 +62 *2468:8 *2553:60 0.000283835 +*RES +1 *21496:Y *2553:11 41.2864 +2 *2553:11 *2553:24 38.8906 +3 *2553:24 *22500:B1 34.368 +4 *2553:24 *22812:B1 33.6938 +5 *2553:11 *2553:60 37.0114 +6 *2553:60 *2553:66 48.0171 +7 *2553:66 *21498:A1 9.24915 +*END + +*D_NET *2554 0.0978391 +*CONN +*I *21498:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22333:A I *D sky130_fd_sc_hd__or2_1 +*I *22669:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21497:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21498:B1 9.97231e-05 +2 *22333:A 0 +3 *22669:B1 9.63276e-05 +4 *21497:Y 0.000205851 +5 *2554:56 0.00146834 +6 *2554:51 0.0019419 +7 *2554:35 0.0206843 +8 *2554:21 0.0256889 +9 *2554:8 0.00669191 +10 *2554:7 0.00142298 +11 *22669:B1 *2564:68 2.8732e-05 +12 *2554:8 *4832:34 0.00275931 +13 *2554:8 *4843:240 0.00169716 +14 *2554:21 *20212:B1 0.000449293 +15 *2554:21 *22278:A1 6.21488e-06 +16 *2554:21 *22613:B1 0.00102476 +17 *2554:21 *22703:A2 0.000114642 +18 *2554:21 *24770:CLK 0.000148867 +19 *2554:21 *2989:32 4.23622e-05 +20 *2554:21 *3044:23 6.22732e-06 +21 *2554:21 *3128:209 0.00193941 +22 *2554:21 *3177:339 8.5135e-05 +23 *2554:21 *3179:233 2.75462e-05 +24 *2554:21 *3212:19 0.0034253 +25 *2554:21 *3533:119 0.0013763 +26 *2554:21 *4831:25 0 +27 *2554:21 *4832:34 9.39114e-06 +28 *2554:21 *4832:40 0.000638966 +29 *2554:21 *4873:17 1.9628e-06 +30 *2554:35 *21752:B1 0.000284384 +31 *2554:35 *21777:A1 0 +32 *2554:35 *2556:19 8.62976e-06 +33 *2554:35 *2624:33 0.000163726 +34 *2554:35 *2659:17 6.23101e-05 +35 *2554:35 *2767:11 3.68136e-05 +36 *2554:35 *2791:50 0.000113787 +37 *2554:35 *2883:20 0.000180738 +38 *2554:35 *2963:57 0 +39 *2554:35 *3106:206 1.43648e-05 +40 *2554:35 *3533:108 5.39404e-06 +41 *2554:35 *5671:140 0.000152961 +42 *2554:35 *5858:26 6.03237e-05 +43 *2554:35 *5866:45 0.00036633 +44 *2554:35 *5925:17 0 +45 *2554:35 *6021:14 0 +46 *2554:51 *22209:B2 0.000667286 +47 *2554:51 *22670:C 0.000145973 +48 *2554:51 *2564:68 0.000250018 +49 *2554:51 *2613:48 4.54995e-05 +50 *2554:56 *22322:A2 8.43701e-05 +51 *2554:56 *22323:A1 6.46442e-05 +52 *2554:56 *22323:A2 7.94607e-05 +53 *2554:56 *22327:C1 0.000417253 +54 *2554:56 *22334:C1 2.37827e-05 +55 *2554:56 *22670:B 1.54212e-05 +56 *2554:56 *22670:C 1.42333e-05 +57 *2554:56 *22670:D 0.000133978 +58 *2554:56 *2613:48 0.000132349 +59 *2554:56 *2613:69 7.19237e-05 +60 *2554:56 *2772:97 6.09999e-05 +61 *2554:56 *2919:51 0.000371402 +62 *2554:56 *3111:52 1.21461e-06 +63 *2554:56 *3513:39 0.000685181 +64 *2554:56 *3517:151 0.000383574 +65 *2554:56 *3615:11 0.000137356 +66 *2554:56 *3615:12 9.81495e-05 +67 *21498:A2 *21498:B1 4.15236e-05 +68 *21499:A2 *21498:B1 0 +69 *22686:B1 *2554:21 3.33546e-05 +70 *24321:D *2554:8 0.000107968 +71 *490:26 *2554:8 0.000101148 +72 *500:13 *2554:21 0.000454899 +73 *657:189 *2554:35 0.000157687 +74 *1591:39 *2554:21 0.00250127 +75 *1601:16 *21498:B1 8.03951e-06 +76 *1602:104 *21498:B1 0.000158371 +77 *1614:30 *22669:B1 9.29875e-05 +78 *1614:30 *2554:51 3.29488e-05 +79 *1631:34 *2554:35 0.00157848 +80 *1634:30 *2554:21 0.000110136 +81 *1635:106 *2554:21 0.000165556 +82 *1635:116 *2554:21 0.0028843 +83 *1635:131 *2554:21 0.00171473 +84 *1635:131 *2554:35 0.000133583 +85 *1649:123 *2554:35 0.00114943 +86 *1655:199 *2554:21 3.4291e-05 +87 *1658:73 *2554:35 0.000152914 +88 *1663:27 *2554:21 8.61019e-05 +89 *1725:181 *2554:21 6.66553e-05 +90 *1725:181 *2554:35 5.44242e-05 +91 *1750:45 *2554:56 9.21475e-05 +92 *1783:38 *2554:35 0.00409086 +93 *1809:89 *2554:35 0.000153427 +94 *1912:10 *2554:8 0.000299755 +95 *1912:10 *2554:21 0.00143066 +96 *2148:10 *2554:8 7.65861e-05 +97 *2258:62 *2554:21 0.000281299 +98 *2298:30 *2554:21 0.000299419 +99 *2319:9 *2554:7 0.000309833 +100 *2337:22 *2554:21 0.00075525 +101 *2461:11 *2554:21 3.83847e-05 +102 *2468:34 *2554:35 0.000131124 +103 *2527:24 *2554:56 2.1463e-05 +104 *2527:34 *2554:56 0.000233954 +105 *2551:38 *21498:B1 2.61955e-05 +106 *2553:24 *2554:35 0.000342059 +107 *2553:66 *21498:B1 2.02035e-05 +*RES +1 *21497:Y *2554:7 17.2456 +2 *2554:7 *2554:8 51.8699 +3 *2554:8 *2554:21 49.3206 +4 *2554:21 *2554:35 33.9361 +5 *2554:35 *22669:B1 15.7888 +6 *2554:35 *2554:51 21.7453 +7 *2554:51 *2554:56 44.7863 +8 *2554:56 *22333:A 9.24915 +9 *2554:21 *21498:B1 20.3231 +*END + +*D_NET *2555 0.000894833 +*CONN +*I *21499:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21498:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21499:C1 0.000170501 +2 *21498:X 0.000170501 +3 *21499:A1 *21499:C1 6.36477e-05 +4 *21499:B1 *21499:C1 7.22998e-05 +5 *1586:103 *21499:C1 0.000107496 +6 *1586:111 *21499:C1 0.000154145 +7 *1602:93 *21499:C1 8.61693e-06 +8 *1602:104 *21499:C1 3.36574e-05 +9 *2551:38 *21499:C1 0.000113968 +*RES +1 *21498:X *21499:C1 24.2372 +*END + +*D_NET *2556 0.027941 +*CONN +*I *21500:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21499:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21500:D1 0.000779563 +2 *21499:X 0.00312942 +3 *2556:28 0.00191223 +4 *2556:19 0.00426209 +5 *21500:D1 *22539:A2 6.35286e-05 +6 *21500:D1 *3208:107 5.19287e-05 +7 *21500:D1 *4848:8 6.61711e-06 +8 *2556:19 *22238:B 0.000175461 +9 *2556:19 *2815:48 0.000586981 +10 *2556:19 *2845:14 0.000989222 +11 *2556:19 *2845:22 0.000573864 +12 *2556:19 *3120:189 0.00011355 +13 *2556:19 *3523:98 0.000240622 +14 *2556:19 *3533:108 1.04568e-05 +15 *2556:19 *3688:22 1.24827e-05 +16 *2556:19 *3705:17 2.17898e-06 +17 *2556:28 *21643:B 0.000247443 +18 *2556:28 *3739:8 7.41501e-05 +19 *2556:28 *4848:8 0.000325621 +20 *19791:B1 *2556:19 0.00100259 +21 *21500:B1 *21500:D1 0.000159756 +22 *22554:B1 *2556:28 2.02035e-05 +23 *324:27 *2556:19 0.000121263 +24 *1561:50 *2556:19 0.000976058 +25 *1561:88 *2556:19 0.00102813 +26 *1570:50 *21500:D1 0.000351641 +27 *1570:76 *2556:28 0.000160099 +28 *1592:10 *21500:D1 0.00100891 +29 *1595:60 *2556:19 6.09999e-05 +30 *1596:65 *2556:19 0.00163504 +31 *1602:104 *2556:19 6.08467e-05 +32 *1610:7 *21500:D1 0.00125208 +33 *1635:23 *2556:28 0.000213832 +34 *1647:10 *21500:D1 0.000580645 +35 *1647:10 *2556:28 3.84409e-06 +36 *1658:27 *2556:28 3.39118e-05 +37 *1721:88 *2556:28 0.000298507 +38 *1725:181 *2556:19 6.21462e-05 +39 *1730:150 *2556:19 0.00151916 +40 *1733:52 *2556:19 0.00129906 +41 *1750:73 *2556:28 0.000287563 +42 *1787:40 *2556:19 0.00127779 +43 *1788:89 *2556:28 0.000923126 +44 *2543:88 *21500:D1 3.77568e-05 +45 *2554:35 *2556:19 8.62976e-06 +*RES +1 *21499:X *2556:19 37.083 +2 *2556:19 *2556:28 39.9469 +3 *2556:28 *21500:D1 42.0754 +*END + +*D_NET *2557 0.00454922 +*CONN +*I *21592:C I *D sky130_fd_sc_hd__nand4_2 +*I *21500:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *21592:C 6.30454e-05 +2 *21500:X 0.00150055 +3 *2557:20 0.00156359 +4 *21592:C *2634:29 0 +5 *21592:C *3071:57 6.64883e-06 +6 *21592:C *5455:12 1.91246e-05 +7 *2557:20 *22335:A1 0.000394623 +8 *2557:20 *22335:A2 2.55661e-05 +9 *2557:20 *22335:B1 0 +10 *2557:20 *22336:A2 0 +11 *2557:20 *22336:B1 9.42362e-06 +12 *2557:20 *22336:C1 0.000106484 +13 *2557:20 *22502:A2 0 +14 *2557:20 *22539:A2 3.55578e-05 +15 *2557:20 *3019:23 5.62522e-05 +16 *2557:20 *3071:57 0.00018154 +17 *2557:20 *3128:60 9.93974e-05 +18 *2557:20 *3175:243 2.23084e-05 +19 *2557:20 *3208:250 0.000107063 +20 *21537:A2 *2557:20 0.000251112 +21 *520:17 *2557:20 2.09205e-05 +22 *1608:30 *2557:20 0 +23 *1655:29 *2557:20 8.60109e-05 +24 *2539:35 *2557:20 0 +*RES +1 *21500:X *2557:20 49.07 +2 *2557:20 *21592:C 15.1659 +*END + +*D_NET *2558 0.00126451 +*CONN +*I *21506:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21501:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21506:A1 0.000377131 +2 *21501:Y 0.000377131 +3 *21506:A1 *21506:B1 2.65831e-05 +4 *21506:A2 *21506:A1 7.9033e-05 +5 *21588:A2 *21506:A1 9.24241e-05 +6 *24872:D *21506:A1 4.45326e-05 +7 *1439:231 *21506:A1 0.000181531 +8 *1492:79 *21506:A1 2.10772e-05 +9 *1669:34 *21506:A1 0 +10 *1780:80 *21506:A1 6.50727e-05 +*RES +1 *21501:Y *21506:A1 38.3587 +*END + +*D_NET *2559 0.0184475 +*CONN +*I *21506:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21502:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21506:B1 0.000939814 +2 *21502:Y 0.000761335 +3 *2559:14 0.00124468 +4 *2559:9 0.00429639 +5 *2559:8 0.00475286 +6 *21506:B1 *21232:A 0.000503067 +7 *2559:8 *24013:S 0 +8 *2559:8 *2720:8 0 +9 *2559:8 *5978:10 2.09447e-05 +10 *2559:9 *4844:474 0.00146698 +11 *2559:14 *20241:A1 6.46135e-05 +12 *2559:14 *20242:B1 1.78514e-05 +13 *2559:14 *21736:A1 7.50872e-05 +14 *2559:14 *5854:372 0.000103613 +15 *20030:A2 *21506:B1 2.87259e-05 +16 *20239:A *2559:14 2.75292e-05 +17 *21506:A1 *21506:B1 2.65831e-05 +18 *21506:B2 *21506:B1 1.09551e-05 +19 *21588:A2 *21506:B1 0.000213811 +20 *24266:D *2559:8 0.000101148 +21 *439:112 *2559:8 0 +22 *516:29 *21506:B1 2.9373e-05 +23 *523:11 *2559:9 3.41978e-05 +24 *1502:90 *2559:9 0.000132036 +25 *1695:12 *2559:8 0.000152944 +26 *1779:16 *21506:B1 0.00223684 +27 *1780:80 *21506:B1 0.000182171 +28 *2278:23 *2559:8 0.000113348 +29 *2299:7 *21506:B1 7.75123e-05 +30 *2385:13 *2559:9 0.000833122 +31 *2439:10 *2559:8 0 +*RES +1 *21502:Y *2559:8 38.3522 +2 *2559:8 *2559:9 63.9482 +3 *2559:9 *2559:14 17.476 +4 *2559:14 *21506:B1 46.076 +*END + +*D_NET *2560 0.0144288 +*CONN +*I *21505:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21503:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21505:A1 0 +2 *21503:Y 0.00064045 +3 *2560:21 0.00110131 +4 *2560:20 0.00198735 +5 *2560:16 0.0015265 +6 *2560:16 *4843:545 2.35336e-05 +7 *2560:16 *4875:35 2.65667e-05 +8 *2560:20 *2980:6 5.85196e-05 +9 *2560:20 *4843:545 0.000386327 +10 *2560:20 *4844:467 0.000237038 +11 *2560:21 *23982:A1 0.000207266 +12 *2560:21 *2831:39 0.00254034 +13 *2560:21 *5852:5 0.000623187 +14 *2560:21 *5852:9 0.00160455 +15 *6143:DIODE *2560:21 0.000756938 +16 *21205:A1 *2560:16 8.52652e-05 +17 *21211:B1 *2560:16 1.72799e-05 +18 *21211:B1 *2560:20 9.24241e-05 +19 *21326:A1 *2560:16 0.000240677 +20 *21326:A2 *2560:16 6.50727e-05 +21 *21326:B1 *2560:16 9.04281e-05 +22 *21326:B2 *2560:16 4.06491e-05 +23 *21326:B2 *2560:20 2.61857e-05 +24 *21505:A2 *2560:21 6.92705e-05 +25 *23982:S *2560:21 0.000275256 +26 *23986:S *2560:21 0.000142194 +27 *24670:D *2560:20 3.90768e-05 +28 *543:37 *2560:21 6.08467e-05 +29 *548:11 *2560:21 0.000746268 +30 *548:21 *2560:20 0.000516327 +31 *2270:28 *2560:21 0.000201759 +*RES +1 *21503:Y *2560:16 35.248 +2 *2560:16 *2560:20 33.3234 +3 *2560:20 *2560:21 58.4022 +4 *2560:21 *21505:A1 9.24915 +*END + +*D_NET *2561 0.0666995 +*CONN +*I *21505:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22495:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22807:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21504:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21505:B1 0.000225865 +2 *22495:B1 0.000381948 +3 *22807:B1 4.23115e-05 +4 *21504:Y 0 +5 *2561:91 0.000986026 +6 *2561:52 0.000630875 +7 *2561:47 0.00224968 +8 *2561:41 0.00320496 +9 *2561:38 0.00221838 +10 *2561:26 0.00532732 +11 *2561:25 0.00702827 +12 *2561:22 0.00343725 +13 *2561:16 0.00171862 +14 *2561:4 0.00179895 +15 *21505:B1 *2831:39 0.000761389 +16 *21505:B1 *2836:5 1.53573e-05 +17 *21505:B1 *5852:9 0.00126431 +18 *22495:B1 *22495:A1 2.53145e-06 +19 *22495:B1 *22495:B2 8.15832e-05 +20 *22495:B1 *22504:B 0.000110306 +21 *22495:B1 *22814:A 6.36477e-05 +22 *22495:B1 *3058:49 0.000110297 +23 *22495:B1 *3155:62 3.31745e-05 +24 *22495:B1 *3164:55 8.95272e-05 +25 *22495:B1 *3507:75 2.81262e-05 +26 *22495:B1 *3751:14 0.000123673 +27 *22807:B1 *3507:75 6.08467e-05 +28 *22807:B1 *3511:104 0.000110306 +29 *2561:16 *21504:A 5.91958e-05 +30 *2561:16 *2741:14 5.51483e-06 +31 *2561:16 *2926:9 0.000107496 +32 *2561:16 *2946:8 0.000136798 +33 *2561:16 *3014:39 1.5714e-05 +34 *2561:16 *5856:377 0.000837876 +35 *2561:22 *19627:B1 0.00022094 +36 *2561:22 *20221:A1 5.40939e-05 +37 *2561:22 *21668:A 2.24789e-05 +38 *2561:22 *24759:RESET_B 7.80741e-05 +39 *2561:22 *4876:66 6.83407e-05 +40 *2561:22 *5854:194 2.95757e-05 +41 *2561:25 *20221:B1 4.66492e-05 +42 *2561:25 *24881:RESET_B 2.40589e-05 +43 *2561:25 *24881:CLK 4.95311e-05 +44 *2561:25 *2632:19 2.80547e-05 +45 *2561:25 *5671:27 0.000128926 +46 *2561:25 *5854:185 0.000364342 +47 *2561:25 *5917:290 5.94759e-05 +48 *2561:25 *5917:305 0.000426671 +49 *2561:26 *23378:A 9.74255e-05 +50 *2561:26 *23378:B 0.000273145 +51 *2561:26 *24026:S 0.00025474 +52 *2561:26 *24027:S 0.000374288 +53 *2561:26 *24051:A1 0 +54 *2561:26 *24053:A0 0 +55 *2561:26 *2619:56 1.5714e-05 +56 *2561:26 *2922:54 3.89332e-06 +57 *2561:26 *3014:48 1.23804e-05 +58 *2561:26 *3014:56 0.00119041 +59 *2561:26 *3014:72 0 +60 *2561:26 *3758:8 8.04608e-05 +61 *2561:26 *3758:18 0.000284668 +62 *2561:26 *4863:114 1.19934e-05 +63 *2561:26 *5442:8 0.000281505 +64 *2561:26 *5442:13 0.000831533 +65 *2561:26 *5442:18 0 +66 *2561:26 *5485:86 0.000126981 +67 *2561:26 *5814:38 0.000706904 +68 *2561:26 *5852:41 4.61323e-05 +69 *2561:26 *5898:63 3.96415e-05 +70 *2561:38 *23269:A 9.07365e-05 +71 *2561:38 *23484:A 5.3627e-06 +72 *2561:38 *23697:B 5.60581e-05 +73 *2561:38 *4288:29 4.38e-05 +74 *2561:38 *5476:51 4.04305e-05 +75 *2561:41 *24628:RESET_B 0.000102628 +76 *2561:41 *5674:19 0.00126374 +77 *2561:41 *5851:577 0.000114716 +78 *2561:41 *5869:445 0.000111722 +79 *2561:47 *20421:A1 0.000115371 +80 *2561:47 *21965:A 5.8334e-05 +81 *2561:47 *24628:RESET_B 0.000188717 +82 *2561:47 *2702:45 9.70878e-05 +83 *2561:47 *3002:33 0.0015844 +84 *2561:47 *3428:23 0.000258128 +85 *2561:47 *4927:11 3.10304e-06 +86 *2561:47 *4927:13 6.34566e-06 +87 *2561:52 *22807:B2 6.14756e-06 +88 *2561:52 *3382:8 0.000327731 +89 *2561:52 *3507:78 0.000307848 +90 *2561:91 *21082:A1 0.000388791 +91 *2561:91 *21820:C1 0.00110156 +92 *2561:91 *2741:14 0.00131115 +93 *2561:91 *2836:5 0.000514713 +94 *2561:91 *2926:9 0.000310628 +95 *2561:91 *2928:11 4.91225e-06 +96 *2561:91 *5852:9 2.20702e-05 +97 *20568:A *2561:41 6.93799e-05 +98 *21082:A2 *2561:91 0.000165495 +99 *21082:B2 *2561:91 0.000169093 +100 *21820:B2 *21505:B1 0.000110684 +101 *21820:B2 *2561:91 3.75603e-05 +102 *21914:A2 *2561:91 5.23916e-05 +103 *22807:A1 *22495:B1 6.08467e-05 +104 *24052:A0 *2561:26 0 +105 *24054:A0 *2561:26 2.25574e-05 +106 *24761:D *2561:25 1.00937e-05 +107 *24881:D *2561:25 6.02594e-05 +108 *460:8 *2561:26 0.000340769 +109 *460:30 *2561:26 0.000244047 +110 *460:39 *2561:38 0.000160563 +111 *1427:83 *2561:38 0.000432591 +112 *1459:42 *2561:26 0 +113 *1471:226 *22495:B1 2.54131e-05 +114 *1471:226 *22807:B1 3.95516e-05 +115 *1485:105 *2561:26 0.000252906 +116 *1485:112 *2561:26 5.2504e-06 +117 *1485:115 *2561:38 0.000511576 +118 *1497:36 *2561:16 0.000230536 +119 *1497:38 *2561:16 0.00114934 +120 *1515:47 *2561:26 1.40578e-05 +121 *1515:60 *2561:26 4.84497e-05 +122 *1515:78 *2561:26 0.000154739 +123 *1515:91 *2561:47 0.000740063 +124 *1573:33 *2561:22 0.00056613 +125 *1598:11 *2561:47 0.000124716 +126 *1699:24 *2561:38 0.000923404 +127 *1699:32 *2561:38 0.000692689 +128 *1705:20 *2561:26 0.000469343 +129 *1731:39 *2561:22 0.00275197 +130 *1731:50 *2561:26 4.25398e-05 +131 *1732:74 *2561:41 0.000595464 +132 *1756:60 *2561:26 0.000174785 +133 *1783:82 *2561:26 0.000304998 +134 *1801:52 *2561:26 0.00248936 +135 *1845:138 *2561:26 0 +136 *1947:167 *2561:47 0.000340742 +137 *2000:7 *2561:41 0.000345048 +138 *2426:38 *2561:26 2.01653e-05 +139 *2505:29 *2561:22 0.000233751 +140 *2505:33 *2561:22 0.00212165 +141 *2505:43 *2561:26 0.000903046 +142 *2505:62 *2561:26 0.000554125 +*RES +1 *21504:Y *2561:4 9.24915 +2 *2561:4 *2561:16 45.2929 +3 *2561:16 *2561:22 49.5415 +4 *2561:22 *2561:25 46.2641 +5 *2561:25 *2561:26 151.946 +6 *2561:26 *2561:38 44.2492 +7 *2561:38 *2561:41 32.3599 +8 *2561:41 *2561:47 48.3229 +9 *2561:47 *2561:52 15.815 +10 *2561:52 *22807:B1 11.0817 +11 *2561:52 *22495:B1 29.5335 +12 *2561:4 *2561:91 46.7284 +13 *2561:91 *21505:B1 26.249 +*END + +*D_NET *2562 0.00071824 +*CONN +*I *21506:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21505:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21506:C1 0.00016184 +2 *21505:X 0.00016184 +3 *21506:C1 *2831:39 0.000160617 +4 *21506:C1 *2839:18 0.000116971 +5 *1669:34 *21506:C1 0.000116971 +*RES +1 *21505:X *21506:C1 31.5781 +*END + +*D_NET *2563 0.0751642 +*CONN +*I *21525:A I *D sky130_fd_sc_hd__and4_1 +*I *6181:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21506:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21525:A 7.87096e-05 +2 *6181:DIODE 0 +3 *21506:X 0 +4 *2563:26 0.00486304 +5 *2563:20 0.00576299 +6 *2563:17 0.00156666 +7 *2563:8 0.00545693 +8 *2563:7 0.00486894 +9 *2563:5 0.00677151 +10 *2563:4 0.00677151 +11 *2563:5 *19715:A1 5.95063e-05 +12 *2563:5 *21816:A 2.65831e-05 +13 *2563:5 *21820:B1 0.000756967 +14 *2563:5 *24675:CLK 5.37226e-05 +15 *2563:5 *24851:CLK 1.43983e-05 +16 *2563:8 *20383:A1 2.37478e-05 +17 *2563:8 *24064:A1 0 +18 *2563:8 *24886:CLK 0.00067603 +19 *2563:8 *2808:8 1.9101e-05 +20 *2563:8 *2808:15 0.000372001 +21 *2563:8 *3177:120 2.58814e-05 +22 *2563:8 *4863:136 0.000283846 +23 *2563:8 *4876:69 0 +24 *2563:8 *4894:18 3.68552e-05 +25 *2563:8 *4929:8 0 +26 *2563:8 *4929:25 0.000701024 +27 *2563:8 *5481:16 0.000206178 +28 *2563:8 *5488:28 0.000112174 +29 *2563:8 *5812:47 4.88764e-06 +30 *2563:8 *5854:142 1.32509e-05 +31 *2563:8 *5854:148 4.3116e-06 +32 *2563:8 *5854:173 2.11533e-05 +33 *2563:8 *5860:257 8.62321e-06 +34 *2563:8 *5869:55 0.000721977 +35 *2563:8 *5869:65 0.000424604 +36 *2563:8 *5869:201 6.22702e-05 +37 *2563:8 *5874:89 0.000350919 +38 *2563:8 *6001:116 0.000133354 +39 *2563:8 *6001:121 4.75721e-06 +40 *2563:17 *2955:43 5.28414e-06 +41 *2563:17 *5674:45 0.000268569 +42 *2563:17 *5854:139 3.51414e-05 +43 *2563:17 *5854:140 0.000213389 +44 *2563:20 *4798:53 0.00124807 +45 *2563:20 *4798:56 0.000934196 +46 *2563:20 *5674:34 8.46749e-05 +47 *2563:20 *5674:45 0.000719613 +48 *2563:26 *22755:A1 5.60804e-05 +49 *2563:26 *22806:B1 0.000304603 +50 *2563:26 *2620:76 0.00354916 +51 *2563:26 *2751:8 2.27465e-05 +52 *2563:26 *2933:40 6.20115e-06 +53 *2563:26 *3029:33 3.59313e-05 +54 *2563:26 *3185:79 0.000124778 +55 *2563:26 *3519:93 0.000717004 +56 *2563:26 *3519:97 0.00100434 +57 *2563:26 *3682:22 3.49643e-05 +58 *2563:26 *3719:26 0.000136325 +59 *2563:26 *5455:12 2.98463e-05 +60 *19641:A *2563:5 0.000258128 +61 *19642:A *2563:5 1.08476e-05 +62 *19644:B2 *2563:8 1.66626e-05 +63 *19722:B2 *2563:8 0.000139435 +64 *19724:B2 *2563:8 0 +65 *20030:A2 *2563:5 0.000781406 +66 *20372:B2 *2563:8 0.000525717 +67 *20380:B2 *2563:8 4.85418e-05 +68 *20383:A2 *2563:8 0.000186014 +69 *20383:B2 *2563:8 1.34224e-05 +70 *20448:B2 *2563:17 0.000112484 +71 *20449:B2 *2563:17 4.84017e-05 +72 *20608:A2 *2563:26 3.27831e-05 +73 *21450:B1 *2563:26 0.000795347 +74 *21592:A *21525:A 5.20546e-06 +75 *21909:B2 *2563:26 1.03546e-05 +76 *24844:D *2563:8 0 +77 *24851:D *2563:5 7.26408e-05 +78 *1419:86 *2563:5 0.000667009 +79 *1419:96 *2563:5 0.00321577 +80 *1427:36 *2563:8 0.00172381 +81 *1448:222 *2563:8 0.000860476 +82 *1448:222 *2563:17 2.09693e-05 +83 *1471:96 *2563:8 0.000212764 +84 *1482:48 *2563:20 0.00116816 +85 *1497:78 *2563:5 0.000121846 +86 *1497:88 *2563:5 0.000159666 +87 *1509:20 *2563:8 6.36097e-05 +88 *1509:30 *2563:8 0 +89 *1515:34 *2563:8 7.14746e-05 +90 *1537:36 *2563:26 0.000198512 +91 *1560:42 *2563:26 0.00077193 +92 *1587:137 *2563:17 4.43727e-05 +93 *1588:77 *2563:26 7.40684e-06 +94 *1722:40 *2563:26 0.000316272 +95 *1744:100 *2563:8 0 +96 *1755:38 *2563:8 0.000128492 +97 *1755:38 *2563:17 0.000167151 +98 *1763:14 *2563:20 3.59723e-05 +99 *1780:80 *2563:5 0.000224381 +100 *1783:48 *2563:26 2.78945e-05 +101 *1786:11 *2563:5 6.02078e-06 +102 *1802:80 *2563:26 1.5714e-05 +103 *1802:98 *2563:26 6.6257e-05 +104 *1939:33 *2563:8 0.000335233 +105 *1940:21 *2563:8 4.07035e-05 +106 *1940:30 *2563:8 9.51445e-05 +107 *1940:43 *2563:8 0.000163758 +108 *1962:8 *2563:17 0.000376536 +109 *1962:10 *2563:17 0.000319049 +110 *2274:63 *2563:26 5.60903e-06 +111 *2398:24 *2563:8 0 +112 *2502:42 *2563:26 0.00954623 +113 *2520:119 *2563:26 0.000139249 +*RES +1 *21506:X *2563:4 9.24915 +2 *2563:4 *2563:5 110.535 +3 *2563:5 *2563:7 4.5 +4 *2563:7 *2563:8 151.53 +5 *2563:8 *2563:17 26.1153 +6 *2563:17 *2563:20 45.065 +7 *2563:20 *2563:26 26.1633 +8 *2563:26 *6181:DIODE 9.24915 +9 *2563:26 *21525:A 10.5513 +*END + +*D_NET *2564 0.0535442 +*CONN +*I *22319:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21512:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22663:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21507:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22319:B1 0.000704146 +2 *21512:A1 7.4197e-05 +3 *22663:B1 0.000324986 +4 *21507:Y 7.98254e-05 +5 *2564:68 0.0036398 +6 *2564:33 0.00534536 +7 *2564:21 0.00300205 +8 *2564:18 0.0018179 +9 *2564:8 0.00358181 +10 *2564:7 0.00218529 +11 *21512:A1 *22061:A 3.83126e-05 +12 *21512:A1 *22248:B 2.02035e-05 +13 *22319:B1 *22319:A1 0.000124064 +14 *22319:B1 *22319:B2 1.34464e-05 +15 *22319:B1 *2984:24 1.37385e-05 +16 *22319:B1 *3046:29 0.000152473 +17 *22319:B1 *3162:150 0.000343587 +18 *22319:B1 *3164:158 0.000275225 +19 *22663:B1 *21801:A1 0.000192674 +20 *22663:B1 *2991:70 0.000109798 +21 *22663:B1 *3607:10 9.38799e-05 +22 *2564:7 *20754:B1 0.000107496 +23 *2564:8 *20494:A1 5.04734e-05 +24 *2564:8 *21973:A 0.00011048 +25 *2564:8 *24575:RESET_B 0.000278373 +26 *2564:8 *24578:RESET_B 0.00046068 +27 *2564:8 *24590:CLK 0.000123804 +28 *2564:8 *2602:10 6.54305e-05 +29 *2564:8 *2602:12 0 +30 *2564:8 *2818:12 0.000536422 +31 *2564:8 *2837:8 0.00308681 +32 *2564:8 *2853:22 0.000225822 +33 *2564:8 *2883:20 8.70609e-05 +34 *2564:8 *2918:6 0 +35 *2564:8 *2918:16 0.000205773 +36 *2564:8 *2987:10 3.52645e-06 +37 *2564:8 *2987:15 0 +38 *2564:8 *4839:74 0.000314524 +39 *2564:8 *4839:78 0.000251375 +40 *2564:8 *4840:186 9.75356e-05 +41 *2564:8 *4889:8 0.000120548 +42 *2564:8 *4889:20 0.000137606 +43 *2564:8 *5267:6 9.2346e-06 +44 *2564:8 *5866:130 0.000324839 +45 *2564:8 *5866:144 1.66771e-05 +46 *2564:18 *2795:12 0.000171334 +47 *2564:18 *2883:20 0 +48 *2564:18 *2987:15 0.00112926 +49 *2564:18 *3164:131 0.00101323 +50 *2564:18 *5048:10 4.6012e-05 +51 *2564:21 *3162:123 0.000256243 +52 *2564:33 *22249:A 0.000131452 +53 *2564:33 *2659:17 4.06495e-05 +54 *2564:33 *2797:65 0.000530081 +55 *2564:33 *2837:28 0.000340933 +56 *2564:33 *2963:127 3.38553e-05 +57 *2564:33 *3523:127 0.000266199 +58 *2564:68 *21549:B1 0.000472065 +59 *2564:68 *21746:B 0 +60 *2564:68 *21801:B1 0.000105298 +61 *2564:68 *21808:D 0.000324611 +62 *2564:68 *21909:A1 0.000206449 +63 *2564:68 *22209:B2 2.07365e-05 +64 *2564:68 *22269:B1 0.000997633 +65 *2564:68 *22663:B2 2.81037e-05 +66 *2564:68 *24909:A 0.000113478 +67 *2564:68 *24914:A 4.11066e-05 +68 *2564:68 *2659:17 0.00290039 +69 *2564:68 *3117:204 7.54269e-06 +70 *2564:68 *3511:68 0.000333109 +71 *2564:68 *3523:127 0.000653263 +72 *2564:68 *4817:161 1.315e-05 +73 *19595:A *2564:18 5.15415e-05 +74 *19895:B2 *2564:33 0.00119513 +75 *21604:A1 *2564:68 4.31485e-06 +76 *21974:A2 *22319:B1 3.53886e-05 +77 *21974:B2 *22319:B1 0.000678888 +78 *21974:B2 *2564:21 0.00117469 +79 *22029:B2 *21512:A1 6.08467e-05 +80 *22209:A1 *2564:68 4.9482e-06 +81 *22669:B1 *2564:68 2.8732e-05 +82 *1439:28 *2564:33 0.000114668 +83 *1565:12 *2564:8 0.000133985 +84 *1565:12 *2564:18 0.000155608 +85 *1570:76 *2564:68 2.05082e-05 +86 *1585:19 *2564:18 0.000248219 +87 *1596:65 *2564:68 0 +88 *1614:30 *2564:68 0.000115879 +89 *1627:49 *2564:68 0.000212407 +90 *1627:171 *22319:B1 0.00112867 +91 *1627:171 *2564:21 0.00102397 +92 *1635:42 *2564:68 9.91323e-05 +93 *1647:35 *2564:33 0.00043409 +94 *1655:61 *2564:68 0.000297584 +95 *1658:86 *2564:33 1.15048e-05 +96 *1658:215 *22663:B1 6.98337e-06 +97 *1658:223 *22663:B1 0.000133682 +98 *1689:135 *21512:A1 0.000104754 +99 *1689:135 *2564:33 0.000228037 +100 *1720:52 *2564:68 7.43088e-05 +101 *1725:144 *2564:68 4.12192e-05 +102 *1725:181 *2564:33 0.00254728 +103 *1732:12 *2564:18 2.02268e-05 +104 *1733:85 *2564:21 0.000500678 +105 *1751:145 *2564:68 0.0014131 +106 *1770:31 *2564:33 9.22833e-06 +107 *1770:34 *2564:33 1.58588e-05 +108 *1789:82 *2564:18 0.000129435 +109 *1800:75 *2564:68 0.000863202 +110 *2093:68 *22663:B1 0.000302705 +111 *2288:24 *21512:A1 7.6441e-05 +112 *2288:24 *2564:33 0.000242415 +113 *2541:48 *2564:68 0.000297584 +114 *2551:18 *2564:18 0.000132896 +115 *2554:51 *2564:68 0.000250018 +*RES +1 *21507:Y *2564:7 15.0271 +2 *2564:7 *2564:8 84.2596 +3 *2564:8 *2564:18 48.2832 +4 *2564:18 *2564:21 23.5253 +5 *2564:21 *2564:33 19.8357 +6 *2564:33 *2564:68 49.0817 +7 *2564:68 *22663:B1 28.409 +8 *2564:33 *21512:A1 18.3846 +9 *2564:21 *22319:B1 44.9337 +*END + +*D_NET *2565 0.0218724 +*CONN +*I *22510:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21512:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22802:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21508:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22510:A1 0.000160525 +2 *21512:B1 0.000166681 +3 *22802:A1 0 +4 *21508:Y 0.00078491 +5 *2565:49 0.0024455 +6 *2565:36 0.00340743 +7 *2565:22 0.00239981 +8 *2565:10 0.00221663 +9 *21512:B1 *2774:12 0.000155855 +10 *22510:A1 *22466:C1 4.52044e-06 +11 *22510:A1 *22510:B1 5.04829e-06 +12 *22510:A1 *22510:B2 3.99086e-06 +13 *2565:10 *3057:8 0.000131527 +14 *2565:10 *3057:12 3.88655e-06 +15 *2565:10 *3057:14 0.000271865 +16 *2565:10 *5861:72 0.00116511 +17 *2565:22 *22632:B1 0.00067662 +18 *2565:22 *2766:6 0 +19 *2565:22 *2766:14 5.49031e-05 +20 *2565:22 *3057:14 0.00115778 +21 *2565:22 *3131:158 4.70701e-05 +22 *2565:22 *3155:139 0.000275222 +23 *2565:22 *3373:15 1.27831e-06 +24 *2565:22 *3543:156 4.0605e-06 +25 *2565:22 *5861:72 9.05701e-05 +26 *2565:22 *5861:79 7.74397e-05 +27 *2565:36 *21783:B1 9.95234e-05 +28 *2565:36 *22462:A1 7.44696e-05 +29 *2565:36 *2778:13 0.000154145 +30 *2565:36 *2795:20 8.43713e-06 +31 *2565:36 *2796:30 0.000120572 +32 *2565:36 *2883:32 0.000397674 +33 *2565:36 *2987:50 0.000201164 +34 *2565:36 *3057:29 7.72394e-06 +35 *2565:36 *3192:211 0.000404908 +36 *2565:36 *3373:15 2.1203e-06 +37 *2565:36 *3415:14 0.000165773 +38 *2565:36 *3519:174 5.05252e-05 +39 *2565:36 *3537:136 0.000250254 +40 *2565:36 *3541:119 7.36804e-06 +41 *2565:36 *3543:156 8.89612e-06 +42 *2565:36 *3543:164 0.000247443 +43 *2565:49 *22444:A1 5.3756e-05 +44 *2565:49 *22802:A2 0.00025456 +45 *2565:49 *2771:58 9.80242e-07 +46 *2565:49 *2883:32 0.000103982 +47 *2565:49 *3192:211 9.94364e-05 +48 *2565:49 *3415:22 0.000123931 +49 *2565:49 *3419:12 0.000127341 +50 *2565:49 *3671:20 0.000122705 +51 *20389:B2 *2565:10 0.00037186 +52 *21481:A1 *22510:A1 2.53145e-06 +53 *21498:A2 *2565:22 0.000105616 +54 *21752:B2 *2565:22 0.000112159 +55 *22418:A1 *2565:22 2.09053e-05 +56 *22466:A2 *22510:A1 1.78868e-05 +57 *22510:A2 *22510:A1 6.08467e-05 +58 *1575:39 *2565:49 6.81398e-05 +59 *1575:81 *21512:B1 0.000158451 +60 *1575:81 *2565:49 0.000443007 +61 *1602:71 *2565:49 0.000247443 +62 *1602:74 *2565:22 0.00041732 +63 *1602:74 *2565:36 4.55779e-05 +64 *1732:34 *2565:49 0.000177764 +65 *1795:62 *2565:49 0.000628719 +66 *2344:15 *21512:B1 4.2372e-05 +67 *2539:10 *21512:B1 0.000155855 +*RES +1 *21508:Y *2565:10 40.0894 +2 *2565:10 *2565:22 44.4442 +3 *2565:22 *2565:36 43.6403 +4 *2565:36 *2565:49 43.7843 +5 *2565:49 *22802:A1 9.24915 +6 *2565:49 *21512:B1 23.5748 +7 *2565:36 *22510:A1 17.2938 +*END + +*D_NET *2566 0.0468064 +*CONN +*I *21511:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22325:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21509:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21511:A1 0.000380364 +2 *22325:B1 0.000896779 +3 *21509:Y 0.000575866 +4 *2566:28 0.00721806 +5 *2566:25 0.0080193 +6 *2566:18 0.00342647 +7 *2566:15 0.00228572 +8 *2566:8 0.00151349 +9 *21511:A1 *22060:A1 2.77625e-06 +10 *21511:A1 *2774:12 2.08076e-05 +11 *21511:A1 *3120:163 6.77424e-05 +12 *21511:A1 *3200:14 3.6549e-05 +13 *21511:A1 *4813:110 0.000250775 +14 *22325:B1 *21630:B1 0.000863356 +15 *22325:B1 *21909:A1 0.000411369 +16 *22325:B1 *22018:B 3.63593e-05 +17 *22325:B1 *22325:A2 0.000664275 +18 *22325:B1 *22325:B2 1.05106e-05 +19 *22325:B1 *24909:A 0.000415913 +20 *22325:B1 *3064:44 9.84424e-06 +21 *22325:B1 *3134:142 0.000238676 +22 *22325:B1 *3146:128 0.00033154 +23 *2566:8 *2869:14 0.0002517 +24 *2566:8 *5866:438 0.00085318 +25 *2566:8 *5866:444 7.11521e-05 +26 *2566:8 *5866:499 0.000107981 +27 *2566:8 *5918:30 0 +28 *2566:8 *5918:32 0 +29 *2566:15 *20748:B1 0.000446569 +30 *2566:15 *24403:SET_B 0.00066611 +31 *2566:15 *5898:254 0.000205317 +32 *2566:15 *5918:30 0.000101728 +33 *2566:18 *20431:B1 0.000363817 +34 *2566:18 *24405:RESET_B 2.03171e-05 +35 *2566:18 *24405:CLK 0.000221536 +36 *2566:18 *3036:14 0.000773524 +37 *2566:18 *3036:16 0.000146714 +38 *2566:18 *4893:10 0.000136768 +39 *2566:18 *5294:11 3.42931e-05 +40 *2566:18 *5857:22 0.000706491 +41 *2566:18 *5866:340 9.13603e-05 +42 *2566:25 *20884:A1 0.000256037 +43 *2566:25 *24350:RESET_B 0.000196925 +44 *2566:25 *24545:CLK 0.000160314 +45 *2566:25 *2984:8 0.000263884 +46 *2566:25 *3070:8 0.00125151 +47 *2566:25 *5867:310 3.00521e-05 +48 *2566:25 *5867:321 0.000127157 +49 *2566:25 *5867:383 0.000492367 +50 *2566:28 *21909:A1 0.0010228 +51 *2566:28 *24909:A 0.000934787 +52 *2566:28 *2602:32 0.000159561 +53 *2566:28 *2659:17 0.000736345 +54 *2566:28 *2770:68 0.000569688 +55 *2566:28 *2809:18 0.000104469 +56 *2566:28 *2978:20 0 +57 *2566:28 *2986:17 0.000137285 +58 *2566:28 *3134:145 0.00045291 +59 *2566:28 *5867:263 0.000108602 +60 *19863:B1 *2566:28 0.0016296 +61 *20500:A *2566:15 0.000185769 +62 *20592:B2 *2566:8 0.000470014 +63 *20595:B2 *2566:8 0.000229879 +64 *20634:A2 *2566:18 0.00027061 +65 *20748:B2 *2566:15 0.000153715 +66 *21511:A2 *21511:A1 1.03403e-05 +67 *21511:B2 *21511:A1 7.56446e-05 +68 *21701:B2 *2566:28 0.000512026 +69 *24350:D *2566:25 8.35646e-05 +70 *24403:D *2566:15 1.41291e-05 +71 *24571:D *2566:8 3.58321e-05 +72 *24621:D *2566:18 0.000164281 +73 *1654:12 *2566:28 0.000104469 +74 *1654:29 *2566:28 0.000120709 +75 *1655:161 *2566:28 0.000352171 +76 *1656:12 *2566:28 0.000234199 +77 *1656:37 *2566:28 0.0014527 +78 *1741:6 *2566:28 0.000200048 +79 *1770:31 *2566:28 5.49375e-06 +80 *1800:184 *2566:28 0.000104469 +81 *1979:11 *2566:8 7.12079e-05 +82 *1979:11 *2566:15 9.00364e-06 +83 *2347:26 *2566:25 0.000436772 +84 *2441:8 *2566:18 0 +*RES +1 *21509:Y *2566:8 38.6765 +2 *2566:8 *2566:15 33.0942 +3 *2566:15 *2566:18 49.9335 +4 *2566:18 *2566:25 49.6696 +5 *2566:25 *2566:28 20.0873 +6 *2566:28 *22325:B1 25.6049 +7 *2566:28 *21511:A1 21.5038 +*END + +*D_NET *2567 0.0205887 +*CONN +*I *21511:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22501:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21510:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21511:B1 0 +2 *22501:A1 0.000105122 +3 *21510:Y 0.000692216 +4 *2567:41 0.00206019 +5 *2567:35 0.00343769 +6 *2567:12 0.00319269 +7 *2567:7 0.00240228 +8 *22501:A1 *21943:A 6.3657e-05 +9 *22501:A1 *22501:A2 5.04829e-06 +10 *22501:A1 *2807:19 3.3151e-05 +11 *22501:A1 *3106:152 0.000107576 +12 *22501:A1 *3134:142 2.57986e-05 +13 *2567:7 *20890:A1 0.00020502 +14 *2567:7 *20890:B1 2.57847e-05 +15 *2567:7 *2672:21 0.000497721 +16 *2567:7 *5851:36 8.86415e-05 +17 *2567:12 *2672:21 0.000305219 +18 *2567:12 *2807:19 7.39022e-06 +19 *2567:12 *3106:152 8.77983e-05 +20 *2567:12 *3106:169 8.98279e-05 +21 *2567:35 *22048:B1 4.7168e-05 +22 *2567:35 *22500:A1 1.88152e-05 +23 *2567:35 *2771:33 4.69495e-06 +24 *2567:35 *3157:139 0.000164701 +25 *2567:35 *3166:105 0.000169307 +26 *2567:35 *3398:17 1.91391e-05 +27 *2567:35 *3539:129 0.000156955 +28 *2567:41 *2774:12 0.000127896 +29 *2567:41 *3200:14 0.000114916 +30 *20890:A2 *2567:7 1.67988e-05 +31 *20890:B2 *2567:7 4.31539e-05 +32 *21512:B2 *2567:41 6.35286e-05 +33 *21630:A2 *2567:41 0.00133115 +34 *22029:B2 *2567:41 0.000583261 +35 *1538:28 *2567:41 0.000211801 +36 *1550:82 *2567:12 0.000928467 +37 *1566:67 *2567:35 0.000591873 +38 *1566:68 *2567:12 0.000161315 +39 *1733:45 *2567:35 0.00119627 +40 *2288:24 *2567:35 0.0012047 +*RES +1 *21510:Y *2567:7 25.5256 +2 *2567:7 *2567:12 33.119 +3 *2567:12 *22501:A1 16.7198 +4 *2567:12 *2567:35 38.0808 +5 *2567:35 *2567:41 42.8891 +6 *2567:41 *21511:B1 9.24915 +*END + +*D_NET *2568 0.000722022 +*CONN +*I *21512:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21511:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21512:C1 0.000152798 +2 *21511:X 0.000152798 +3 *21512:C1 *22060:A1 0.000111708 +4 *21512:C1 *3026:44 0.000107496 +5 *21512:C1 *3202:14 3.63593e-05 +6 *21512:A2 *21512:C1 2.52699e-05 +7 *1598:85 *21512:C1 4.42742e-06 +8 *2539:10 *21512:C1 0.000131165 +*RES +1 *21511:X *21512:C1 31.854 +*END + +*D_NET *2569 0.0184357 +*CONN +*I *21525:B I *D sky130_fd_sc_hd__and4_1 +*I *21512:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21525:B 0.00108136 +2 *21512:X 0.00104449 +3 *2569:41 0.00214486 +4 *2569:27 0.00237174 +5 *2569:16 0.00235274 +6 *21525:B *21525:C 0.000757174 +7 *21525:B *21623:B1 0.000114192 +8 *21525:B *2590:36 7.20593e-05 +9 *21525:B *3015:28 7.08709e-05 +10 *21525:B *3719:26 4.1843e-05 +11 *21525:B *3736:18 0.00038621 +12 *2569:16 *22061:A 0.000167831 +13 *2569:16 *22598:A 3.67992e-06 +14 *2569:16 *3015:26 0.000324144 +15 *2569:16 *3200:14 3.45974e-05 +16 *2569:16 *3202:14 6.01588e-05 +17 *2569:16 *3494:25 9.99737e-05 +18 *2569:27 *21549:B1 0.000555597 +19 *2569:27 *21618:B 7.09666e-06 +20 *2569:27 *22529:A2 0 +21 *2569:27 *22529:B2 0.000160678 +22 *2569:27 *22556:B1 2.38715e-05 +23 *2569:27 *22598:A 0.000291027 +24 *2569:27 *3015:26 8.55401e-05 +25 *2569:27 *3015:28 0.000726072 +26 *2569:27 *3494:25 6.34651e-06 +27 *2569:27 *3529:62 1.5714e-05 +28 *2569:27 *3541:180 5.11321e-05 +29 *2569:41 *22664:B2 1.38817e-05 +30 *2569:41 *2664:14 6.85778e-05 +31 *2569:41 *2667:116 7.60356e-05 +32 *2569:41 *3015:28 8.66271e-06 +33 *2569:41 *5593:46 1.91246e-05 +34 *21531:B2 *21525:B 0.000354899 +35 *21537:B2 *21525:B 1.72594e-05 +36 *22539:B1 *2569:41 0.000111646 +37 *22545:B1 *2569:16 0.00075756 +38 *1583:74 *2569:41 0.00039441 +39 *1583:78 *2569:27 0.000350545 +40 *1583:78 *2569:41 0.000244565 +41 *1583:103 *2569:27 0.000125536 +42 *1584:20 *21525:B 0.000782101 +43 *1584:20 *2569:41 0.00015587 +44 *1584:49 *2569:41 7.72394e-06 +45 *1588:77 *21525:B 3.5775e-05 +46 *1588:88 *21525:B 5.65663e-05 +47 *1588:107 *2569:41 0.00055305 +48 *1588:131 *2569:16 0.000242575 +49 *1588:131 *2569:27 1.26672e-05 +50 *1598:82 *2569:16 0.000272413 +51 *1610:7 *2569:41 0.000107496 +52 *1635:42 *2569:27 0.000110297 +53 *1637:87 *21525:B 6.85778e-05 +54 *1637:87 *2569:41 4.19016e-05 +55 *1662:80 *2569:41 0.000235513 +56 *1744:204 *2569:27 0.000159515 +*RES +1 *21512:X *2569:16 41.3756 +2 *2569:16 *2569:27 44.1577 +3 *2569:27 *2569:41 37.5869 +4 *2569:41 *21525:B 47.1403 +*END + +*D_NET *2570 0.108111 +*CONN +*I *21518:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22654:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22323:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21513:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *21518:A1 0.00141113 +2 *22654:A1 2.33716e-05 +3 *22323:A1 0.000759001 +4 *21513:Y 0.000965565 +5 *2570:141 0.00161258 +6 *2570:138 0.00159748 +7 *2570:103 0.00345518 +8 *2570:99 0.00190899 +9 *2570:94 0.00155607 +10 *2570:80 0.0038417 +11 *2570:66 0.00409545 +12 *2570:57 0.00168735 +13 *2570:46 0.00156812 +14 *2570:45 0.00201581 +15 *2570:40 0.00268486 +16 *2570:38 0.0017724 +17 *2570:36 0.00164798 +18 *2570:35 0.00301923 +19 *2570:32 0.00214897 +20 *2570:25 0.0016888 +21 *2570:19 0.00198895 +22 *2570:14 0.00321239 +23 *2570:10 0.00314507 +24 *21518:A1 *21531:A1 6.3657e-05 +25 *21518:A1 *21531:B1 0.00113278 +26 *21518:A1 *21531:C1 2.833e-05 +27 *21518:A1 *22005:A1 9.10186e-05 +28 *21518:A1 *2584:77 0.00142912 +29 *21518:A1 *3052:77 7.01586e-06 +30 *21518:A1 *3280:16 0.00018308 +31 *22323:A1 *21695:A1 0.000871006 +32 *22323:A1 *22282:A2 0.000155698 +33 *22323:A1 *22322:A2 5.51483e-06 +34 *22323:A1 *22323:A2 5.80794e-05 +35 *22323:A1 *22328:B 2.57986e-05 +36 *22323:A1 *3041:61 0.000131355 +37 *22323:A1 *3185:87 0.000155698 +38 *22323:A1 *3509:42 5.43543e-05 +39 *22654:A1 *3476:29 0.000111722 +40 *2570:10 *20507:A1 0.0003565 +41 *2570:10 *24597:RESET_B 0.000381471 +42 *2570:10 *2846:7 0.000626245 +43 *2570:10 *3036:10 9.24241e-05 +44 *2570:10 *4822:27 0.000454089 +45 *2570:10 *4844:88 8.62625e-06 +46 *2570:10 *4895:48 0 +47 *2570:10 *4895:53 9.31533e-05 +48 *2570:10 *5117:11 6.50586e-05 +49 *2570:10 *5906:38 6.80656e-05 +50 *2570:14 *20502:A1 0.000888972 +51 *2570:14 *24571:RESET_B 0.000672331 +52 *2570:14 *24573:RESET_B 0 +53 *2570:14 *4845:85 7.40169e-05 +54 *2570:14 *5866:630 0 +55 *2570:19 *21295:A 0.000548856 +56 *2570:19 *5004:9 0.000189558 +57 *2570:19 *5898:245 0.00287746 +58 *2570:25 *24380:RESET_B 7.64506e-05 +59 *2570:25 *4836:43 0.000174175 +60 *2570:25 *5005:8 8.9218e-05 +61 *2570:32 *4834:26 0.000108012 +62 *2570:32 *4835:18 0.000242155 +63 *2570:32 *5005:8 7.48633e-05 +64 *2570:35 *4845:731 0.000623435 +65 *2570:35 *4845:755 0.000554179 +66 *2570:35 *4900:171 0.000292759 +67 *2570:36 *6216:DIODE 0.000190028 +68 *2570:36 *24014:A1 0.000367298 +69 *2570:36 *24731:RESET_B 8.47856e-05 +70 *2570:36 *2620:20 0 +71 *2570:36 *5714:38 0 +72 *2570:36 *5881:16 0.000108091 +73 *2570:40 *20271:B1 0.000130777 +74 *2570:40 *4815:28 0 +75 *2570:40 *4816:53 0.000814259 +76 *2570:40 *4903:208 0.000118485 +77 *2570:40 *5855:202 7.40047e-05 +78 *2570:45 *20328:A1 4.0752e-05 +79 *2570:46 *2679:18 0.00158718 +80 *2570:46 *2687:30 0 +81 *2570:46 *4823:63 0.00053071 +82 *2570:57 *2692:31 0.000158371 +83 *2570:57 *4833:138 0.000246544 +84 *2570:66 *19562:S 3.68147e-05 +85 *2570:66 *24104:A 0.00015324 +86 *2570:66 *4812:105 0.000450035 +87 *2570:66 *4817:85 0.000152469 +88 *2570:66 *4828:62 0.000363496 +89 *2570:66 *4829:127 0.00127642 +90 *2570:66 *5898:201 7.24899e-05 +91 *2570:66 *5904:38 0.000139869 +92 *2570:80 *2679:41 0.00109354 +93 *2570:80 *3041:110 0 +94 *2570:80 *3072:20 0 +95 *2570:80 *5910:33 0.000156955 +96 *2570:94 *21398:A 0.000148418 +97 *2570:94 *24494:CLK 0.000213676 +98 *2570:94 *3120:74 5.17533e-05 +99 *2570:94 *3120:82 0.000341026 +100 *2570:94 *3466:145 4.21967e-05 +101 *2570:99 *22365:A2 0.000207911 +102 *2570:99 *2572:33 0.00069857 +103 *2570:99 *2772:38 0.000203595 +104 *2570:99 *2990:46 0.000228425 +105 *2570:99 *2990:62 0.000408144 +106 *2570:103 *21658:C1 0.000527066 +107 *2570:103 *21695:A1 4.90578e-05 +108 *2570:103 *3041:61 0.00181351 +109 *2570:103 *3204:150 0.000879333 +110 *2570:103 *3204:165 5.75159e-05 +111 *2570:103 *3481:140 0.000180443 +112 *2570:103 *4827:79 1.16182e-05 +113 *2570:138 *21695:A1 2.73878e-05 +114 *2570:138 *22329:A2 6.08467e-05 +115 *2570:138 *22329:B1 2.16355e-05 +116 *2570:138 *22602:A1 8.94611e-05 +117 *2570:138 *22621:B2 0.000114192 +118 *2570:138 *22621:C1 4.87595e-05 +119 *2570:138 *22644:B1 0.000368562 +120 *2570:138 *22644:B2 9.66954e-05 +121 *2570:138 *2631:70 1.28354e-05 +122 *2570:138 *2631:76 0.000418333 +123 *2570:138 *2631:83 9.32704e-05 +124 *2570:138 *2800:53 2.20702e-05 +125 *2570:138 *2814:24 0.000233602 +126 *2570:138 *2825:12 0.000220839 +127 *2570:138 *3052:78 3.80436e-07 +128 *2570:138 *3122:39 4.04934e-05 +129 *2570:138 *3175:257 0.000148353 +130 *2570:138 *3487:151 3.17436e-05 +131 *2570:138 *3523:276 0.000221666 +132 *2570:141 *3476:29 0.000628707 +133 mgmt_gpio_oeb[12] *2570:46 1.27831e-06 +134 mgmt_gpio_out[12] *2570:46 0.000484895 +135 *19997:A1 *21518:A1 0.000168543 +136 *20309:A1 *2570:80 8.39823e-05 +137 *20589:B2 *2570:14 6.0685e-05 +138 *20804:A2 *2570:25 6.50586e-05 +139 *20994:B2 *2570:80 6.22732e-06 +140 *21066:A *2570:94 6.08467e-05 +141 *21066:B *2570:94 0.00106199 +142 *21247:A2 *2570:94 0.00025166 +143 *21248:A2 *2570:94 7.27261e-05 +144 *21403:C1 *2570:94 0.000120455 +145 *22679:A1 *2570:99 0.000773503 +146 *22693:A1 *2570:103 0.000311058 +147 *24041:S *2570:40 0.000971099 +148 *24380:D *2570:25 7.89732e-05 +149 *24731:D *2570:36 3.77804e-05 +150 *25138:A *2570:46 2.27135e-05 +151 *490:84 *2570:46 0.0014782 +152 *490:105 *2570:66 2.57e-05 +153 *520:27 *2570:138 7.90348e-05 +154 *529:35 *2570:80 0.0017643 +155 *535:19 *2570:94 1.5714e-05 +156 *537:29 *2570:80 0.000179429 +157 *1418:63 *2570:80 2.95757e-05 +158 *1418:79 *2570:80 1.9101e-05 +159 *1435:153 *21518:A1 5.38612e-06 +160 *1439:81 *2570:80 0.00049649 +161 *1450:164 *2570:80 1.46662e-05 +162 *1576:35 *22323:A1 0.000130748 +163 *1576:35 *2570:138 0.000149887 +164 *1584:141 *2570:138 4.89898e-06 +165 *1608:30 *2570:138 3.83492e-06 +166 *1658:242 *2570:99 0.00059336 +167 *1680:111 *2570:141 8.19236e-05 +168 *1709:40 *2570:66 6.51679e-05 +169 *1741:44 *2570:57 0.000675353 +170 *1750:49 *21518:A1 0.00264646 +171 *1762:132 *21518:A1 1.03434e-05 +172 *1771:60 *21518:A1 2.37478e-05 +173 *1788:77 *22323:A1 0.000129091 +174 *1788:77 *2570:103 0.000710808 +175 *1788:77 *2570:138 1.68208e-05 +176 *1904:33 *2570:40 0.000113456 +177 *1904:42 *2570:40 0.000104609 +178 *1904:49 *2570:36 0.0005627 +179 *1904:49 *2570:40 8.55135e-05 +180 *1904:58 *2570:36 0.00016726 +181 *1904:72 *2570:36 4.03125e-05 +182 *1917:29 *2570:80 0.000140702 +183 *1919:72 *2570:40 7.74109e-05 +184 *2005:10 *2570:14 0.000157151 +185 *2006:44 *2570:10 3.82228e-05 +186 *2007:83 *2570:10 7.41381e-05 +187 *2082:9 *2570:25 6.08467e-05 +188 *2082:49 *2570:25 0.000128353 +189 *2082:56 *2570:25 4.01971e-05 +190 *2082:87 *2570:25 4.20954e-05 +191 *2083:10 *2570:25 0 +192 *2083:14 *2570:25 0 +193 *2266:36 *2570:46 0.00204873 +194 *2267:80 *2570:94 0.000675898 +195 *2295:59 *2570:80 5.17409e-06 +196 *2295:78 *2570:94 0.000146762 +197 *2297:8 *2570:14 1.79807e-05 +198 *2307:19 *2570:94 9.93325e-05 +199 *2360:21 *2570:36 0.000282055 +200 *2360:21 *2570:40 0.000719041 +201 *2361:29 *2570:99 0.00106845 +202 *2367:11 *2570:25 0.000417433 +203 *2367:11 *2570:32 0.000271138 +204 *2380:8 *2570:14 0 +205 *2384:16 *2570:40 0.000535434 +206 *2384:19 *2570:45 0.00125726 +207 *2389:81 *2570:80 0.000173505 +208 *2425:48 *2570:94 0.000409536 +209 *2433:106 *2570:57 0.000392283 +210 *2440:67 *2570:99 0.000390192 +211 *2468:79 *2570:80 0.000250403 +212 *2468:80 *2570:94 2.07503e-05 +213 *2471:56 *2570:66 0.00125111 +214 *2486:11 *2570:32 0.000508542 +215 *2492:7 *2570:99 6.3657e-05 +216 *2492:32 *2570:94 0.000244222 +217 *2499:10 *2570:25 0.00124388 +218 *2503:19 *2570:46 2.08703e-05 +219 *2508:31 *21518:A1 0.000163208 +220 *2508:31 *22654:A1 0.000107496 +221 *2508:31 *2570:141 6.3657e-05 +222 *2527:34 *22323:A1 5.99155e-05 +223 *2546:56 *2570:141 7.08566e-05 +224 *2554:56 *22323:A1 6.46442e-05 +*RES +1 *21513:Y *2570:10 47.4143 +2 *2570:10 *2570:14 45.9946 +3 *2570:14 *2570:19 45.218 +4 *2570:19 *2570:25 39.3494 +5 *2570:25 *2570:32 31.7942 +6 *2570:32 *2570:35 27.9621 +7 *2570:35 *2570:36 50.2089 +8 *2570:36 *2570:38 0.732798 +9 *2570:38 *2570:40 61.0054 +10 *2570:40 *2570:45 24.6977 +11 *2570:45 *2570:46 56.6453 +12 *2570:46 *2570:57 35.1271 +13 *2570:57 *2570:66 48.4868 +14 *2570:66 *2570:80 38.132 +15 *2570:80 *2570:94 48.4709 +16 *2570:94 *2570:99 40.2266 +17 *2570:99 *2570:103 17.7627 +18 *2570:103 *22323:A1 21.9624 +19 *2570:103 *2570:138 49.5117 +20 *2570:138 *2570:141 11.8786 +21 *2570:141 *22654:A1 10.5271 +22 *2570:141 *21518:A1 35.0402 +*END + +*D_NET *2571 0.0182306 +*CONN +*I *21518:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22495:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22810:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21514:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21518:B1 0 +2 *22495:A1 0.000113566 +3 *22810:B1 1.57921e-05 +4 *21514:Y 0.00165348 +5 *2571:67 0.00121514 +6 *2571:40 0.0027129 +7 *2571:15 0.00305347 +8 *22495:A1 *22809:B2 8.67924e-06 +9 *22495:A1 *22809:C1 0.000110306 +10 *22495:A1 *22814:B 5.8973e-05 +11 *22495:A1 *3521:101 4.09154e-05 +12 *22810:B1 *21542:A1 1.47978e-05 +13 *2571:15 *21542:A1 6.08467e-05 +14 *2571:15 *22811:C1 2.41274e-06 +15 *2571:15 *23925:B 0.000110213 +16 *2571:15 *2703:60 4.35662e-05 +17 *2571:15 *3146:65 0.000376519 +18 *2571:15 *3428:23 0.000373108 +19 *2571:15 *5682:16 1.5714e-05 +20 *2571:40 *21542:A1 0.000110306 +21 *2571:40 *22814:D 0.000682996 +22 *2571:40 *3058:22 0.000108144 +23 *2571:40 *3157:62 0.000156955 +24 *2571:40 *3166:51 1.55685e-05 +25 *2571:40 *3382:8 8.08437e-05 +26 *2571:40 *3507:75 0.000231288 +27 *2571:40 *3521:101 4.60518e-05 +28 *2571:40 *3523:49 1.13e-05 +29 *2571:40 *3523:68 0.000103535 +30 *2571:40 *3531:89 0.000107496 +31 *2571:67 *2599:26 0.00202838 +32 *2571:67 *2632:112 6.3657e-05 +33 *2571:67 *3033:50 1.49935e-05 +34 *2571:67 *3208:89 5.35941e-05 +35 *2571:67 *3208:90 0.000134314 +36 *2571:67 *3476:52 0.000200794 +37 *2571:67 *3739:14 2.57465e-05 +38 *2571:67 *4848:8 8.2669e-05 +39 *19633:B *2571:67 0.000342958 +40 *19997:B1 *2571:67 0.000523521 +41 *20490:B2 *2571:15 1.68057e-05 +42 *22495:B1 *22495:A1 2.53145e-06 +43 *22799:B1 *2571:40 0.000258838 +44 *22808:A1 *2571:15 8.89051e-05 +45 *22808:A1 *2571:40 9.79114e-05 +46 *22809:B1 *22495:A1 3.63593e-05 +47 *22809:B1 *2571:40 8.52224e-05 +48 *22810:A1 *2571:40 4.97617e-05 +49 *1459:87 *2571:67 0.00103301 +50 *1461:262 *2571:40 9.91165e-05 +51 *1537:52 *2571:67 0.000112278 +52 *1541:142 *2571:15 1.7182e-05 +53 *1584:9 *2571:67 0.000347423 +54 *1598:46 *2571:67 0.000173701 +55 *1740:110 *2571:15 3.27356e-05 +56 *1753:16 *2571:67 4.2429e-05 +57 *1786:65 *2571:15 0.000238583 +58 *1797:20 *2571:15 0.00039232 +*RES +1 *21514:Y *2571:15 37.6524 +2 *2571:15 *22810:B1 9.82786 +3 *2571:15 *2571:40 45.1398 +4 *2571:40 *22495:A1 17.533 +5 *2571:40 *2571:67 49.9815 +6 *2571:67 *21518:B1 9.24915 +*END + +*D_NET *2572 0.0404173 +*CONN +*I *22330:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21517:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22664:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21515:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22330:B1 7.97689e-05 +2 *21517:A1 0 +3 *22664:A1 0.000548464 +4 *21515:Y 9.19082e-05 +5 *2572:67 0.00113497 +6 *2572:60 0.00207261 +7 *2572:37 0.00236613 +8 *2572:33 0.00183377 +9 *2572:24 0.000958981 +10 *2572:23 0.00212425 +11 *2572:10 0.00335987 +12 *2572:9 0.00141255 +13 *22330:B1 *22330:B2 9.51479e-06 +14 *22330:B1 *22337:A 1.82679e-05 +15 *22330:B1 *3190:34 3.53886e-05 +16 *22664:A1 *22624:B1 3.39118e-05 +17 *22664:A1 *2613:69 1.65872e-05 +18 *22664:A1 *2680:57 3.76286e-05 +19 *22664:A1 *3117:204 1.55025e-05 +20 *22664:A1 *3517:151 0.000400291 +21 *2572:9 *21515:A 6.50586e-05 +22 *2572:9 *5853:251 6.08467e-05 +23 *2572:10 *24223:CLK 0.000198223 +24 *2572:10 *2610:56 0.000307264 +25 *2572:10 *4805:36 0.000108408 +26 *2572:10 *4820:67 0.00113241 +27 *2572:10 *4845:281 4.58897e-06 +28 *2572:10 *5853:251 8.61262e-05 +29 *2572:10 *5853:260 4.97193e-05 +30 *2572:23 *21032:B1 0.000640014 +31 *2572:23 *24249:RESET_B 0.000253784 +32 *2572:23 *2990:46 0.000152164 +33 *2572:23 *5395:11 6.50586e-05 +34 *2572:23 *5861:451 0.000356497 +35 *2572:33 *22365:A2 3.67688e-05 +36 *2572:33 *3126:25 0.000480689 +37 *2572:33 *3539:27 0.000680205 +38 *2572:33 *4809:7 0.000680167 +39 *2572:37 *21658:C1 0.000128854 +40 *2572:37 *2772:48 1.67867e-05 +41 *2572:37 *2887:23 0.00098206 +42 *2572:37 *4804:96 0.000727488 +43 *2572:37 *5909:38 6.23415e-06 +44 *2572:60 *22269:B1 2.31669e-05 +45 *2572:60 *22338:C 7.00554e-05 +46 *2572:60 *2629:37 0.000104754 +47 *2572:60 *3106:42 0.00142348 +48 *2572:60 *3278:17 0.000176929 +49 *2572:67 *21577:A1 3.82228e-05 +50 *2572:67 *22336:A2 6.36477e-05 +51 *2572:67 *22336:B1 5.18899e-06 +52 *2572:67 *22336:B2 9.9241e-05 +53 *2572:67 *22336:C1 6.08467e-05 +54 *2572:67 *22337:A 2.77625e-06 +55 *2572:67 *2630:31 3.68276e-05 +56 *2572:67 *3111:39 0.000442653 +57 *2572:67 *3111:52 0.000438346 +58 *2572:67 *3190:34 0.00217155 +59 *2572:67 *3719:26 2.57847e-05 +60 *19855:A *2572:67 4.17605e-05 +61 *19855:B *2572:67 0.00011585 +62 *19856:A *2572:67 0.000350015 +63 *21517:A2 *2572:67 0.00106416 +64 *21517:B2 *2572:67 9.51132e-06 +65 *21577:A2 *2572:67 0.000158451 +66 *21636:B2 *22664:A1 0.000706237 +67 *21636:B2 *2572:37 0.000723524 +68 *22365:B1 *2572:37 0.000128854 +69 *22679:A1 *2572:33 0.000779095 +70 *24249:D *2572:23 0.000164044 +71 *1439:59 *2572:10 0.000446376 +72 *1556:30 *2572:10 0.00136516 +73 *1577:97 *22664:A1 0.000699277 +74 *1577:97 *2572:37 0.00103003 +75 *1620:31 *2572:60 0.0011666 +76 *1735:132 *2572:60 0.00011195 +77 *1917:8 *2572:10 0.000231014 +78 *2286:36 *2572:60 2.3329e-05 +79 *2361:29 *2572:33 0.00107123 +80 *2404:67 *2572:9 7.046e-05 +81 *2492:7 *2572:23 0.000211359 +82 *2492:7 *2572:33 9.7196e-05 +83 *2570:99 *2572:33 0.00069857 +*RES +1 *21515:Y *2572:9 16.7151 +2 *2572:9 *2572:10 47.925 +3 *2572:10 *2572:23 47.0254 +4 *2572:23 *2572:24 104.301 +5 *2572:24 *2572:33 47.3871 +6 *2572:33 *2572:37 9.0042 +7 *2572:37 *22664:A1 24.1545 +8 *2572:37 *2572:60 13.8906 +9 *2572:60 *2572:67 43.6449 +10 *2572:67 *21517:A1 9.24915 +11 *2572:60 *22330:B1 11.6846 +*END + +*D_NET *2573 0.0135741 +*CONN +*I *21517:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22798:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22499:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21516:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21517:B1 0.000595035 +2 *22798:A1 2.19601e-05 +3 *22499:A1 0.000971828 +4 *21516:Y 0.000164042 +5 *2573:30 0.00208571 +6 *2573:8 0.00260458 +7 *21517:B1 *22798:A2 0.000139947 +8 *21517:B1 *22798:B2 2.16355e-05 +9 *21517:B1 *3474:70 0.000247443 +10 *21517:B1 *3719:26 0.000164254 +11 *21517:B1 *3722:8 0.000145115 +12 *21517:B1 *3736:18 0.00049362 +13 *22499:A1 *21620:A 9.92464e-05 +14 *22499:A1 *22499:A2 4.80635e-06 +15 *22499:A1 *22806:A1 1.94512e-05 +16 *22499:A1 *22806:B2 3.82228e-05 +17 *22499:A1 *22807:C1 0.000310656 +18 *22499:A1 *23925:B 1.9101e-05 +19 *22499:A1 *2997:14 1.5714e-05 +20 *22499:A1 *3148:250 0.000147877 +21 *22499:A1 *3175:57 9.19632e-06 +22 *22499:A1 *3175:71 3.99086e-06 +23 *22499:A1 *3208:83 0.000459276 +24 *22798:A1 *22798:B2 2.53145e-06 +25 *2573:8 *21620:A 1.52927e-05 +26 *2573:8 *23925:B 3.04443e-05 +27 *2573:8 *2998:13 0.000111708 +28 *2573:30 *22798:A2 6.08467e-05 +29 *2573:30 *22798:B2 6.11872e-05 +30 *2573:30 *22799:C1 3.82228e-05 +31 *2573:30 *5682:16 6.3657e-05 +32 *20050:A1 *21517:B1 0.000540904 +33 *20050:B1 *21517:B1 5.04829e-06 +34 *21517:B2 *21517:B1 0.00021448 +35 *22503:A1 *22499:A1 0.000250244 +36 *22737:B1 *21517:B1 0.000145115 +37 *22811:B1 *22499:A1 0.00015008 +38 *1459:87 *22499:A1 0.00058967 +39 *1471:219 *22499:A1 0.000687265 +40 *1631:10 *22499:A1 0.000256373 +41 *1631:10 *2573:8 0.000122145 +42 *1772:33 *2573:30 0.000771979 +43 *1772:37 *21517:B1 9.05962e-05 +44 *1772:37 *2573:30 6.46916e-05 +45 *1794:44 *22499:A1 3.29488e-05 +46 *1794:60 *22499:A1 0.000273221 +47 *1797:20 *22499:A1 2.02035e-05 +48 *2522:32 *2573:8 1.9101e-05 +49 *2531:43 *2573:30 0.000107058 +50 *2531:65 *21517:B1 6.63489e-05 +*RES +1 *21516:Y *2573:8 17.6896 +2 *2573:8 *22499:A1 47.898 +3 *2573:8 *2573:30 39.6088 +4 *2573:30 *22798:A1 9.82786 +5 *2573:30 *21517:B1 39.9905 +*END + +*D_NET *2574 0.00152949 +*CONN +*I *21518:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21517:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21518:C1 0.000230076 +2 *21517:X 0.000230076 +3 *21518:C1 *21531:A1 0.000141303 +4 *21518:C1 *24912:A 1.58551e-05 +5 *21518:C1 *3406:14 0.000112551 +6 *19997:A1 *21518:C1 0.000487012 +7 *1542:127 *21518:C1 0.000109025 +8 *1762:132 *21518:C1 0.000203595 +*RES +1 *21517:X *21518:C1 36.5696 +*END + +*D_NET *2575 0.00311089 +*CONN +*I *21525:C I *D sky130_fd_sc_hd__and4_1 +*I *21518:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21525:C 0.000804219 +2 *21518:X 0.000804219 +3 *21525:C *21531:A1 4.50771e-06 +4 *21525:C *21531:C1 2.16355e-05 +5 *21525:C *21550:A 8.96998e-05 +6 *21525:C *22005:C1 1.51357e-05 +7 *21525:C *2590:36 8.39222e-06 +8 *21525:C *3208:250 5.15415e-05 +9 *21525:B *21525:C 0.000757174 +10 *21531:A2 *21525:C 6.65505e-05 +11 *21537:B2 *21525:C 0.000472561 +12 *1584:20 *21525:C 1.5254e-05 +*RES +1 *21518:X *21525:C 47.4999 +*END + +*D_NET *2576 0.0443391 +*CONN +*I *22660:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22326:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21524:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21519:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22660:B1 0 +2 *22326:B1 0.000159676 +3 *21524:A1 0.000470389 +4 *21519:Y 0 +5 *2576:85 0.000565498 +6 *2576:76 0.00206019 +7 *2576:64 0.00329799 +8 *2576:57 0.00294216 +9 *2576:42 0.00270746 +10 *2576:40 0.0028148 +11 *2576:8 0.00187625 +12 *2576:6 0.0016756 +13 *2576:5 0.0016756 +14 *21524:A1 *21039:A1 8.62625e-06 +15 *21524:A1 *24899:CLK 3.54295e-05 +16 *21524:A1 *2875:12 9.02963e-06 +17 *21524:A1 *4833:21 6.75137e-05 +18 *21524:A1 *4918:10 3.42731e-05 +19 *21524:A1 *4918:11 7.05891e-05 +20 *21524:A1 *4918:15 0.000200507 +21 *21524:A1 *5397:10 0.000163982 +22 *22326:B1 *22660:B2 6.3657e-05 +23 *22326:B1 *2930:59 0.000177913 +24 *22326:B1 *3142:98 6.09184e-05 +25 *22326:B1 *3280:16 6.56365e-05 +26 *2576:6 *2756:8 0 +27 *2576:6 *2756:14 0.000328705 +28 *2576:6 *2756:18 0.00014279 +29 *2576:6 *2894:8 0.00228263 +30 *2576:6 *2904:8 0.00131904 +31 *2576:40 *4833:21 0.00199672 +32 *2576:40 *4845:381 1.43049e-05 +33 *2576:40 *5854:466 8.43522e-05 +34 *2576:42 *24560:SET_B 3.91685e-05 +35 *2576:42 *24561:RESET_B 7.48886e-05 +36 *2576:42 *4845:381 0.000697638 +37 *2576:42 *5259:17 0.000148114 +38 *2576:57 *24929:A 1.83367e-05 +39 *2576:57 *2689:46 0.000754773 +40 *2576:57 *3204:19 4.22395e-05 +41 *2576:57 *4865:24 2.77419e-05 +42 *2576:57 *4868:14 0.00014605 +43 *2576:57 *5674:51 7.48886e-05 +44 *2576:57 *5956:57 9.31601e-05 +45 *2576:64 *2722:11 0.00150977 +46 *2576:64 *2909:17 4.34728e-05 +47 *2576:64 *3204:19 1.63677e-05 +48 *2576:64 *5956:58 0.000598982 +49 *2576:76 *21065:B1 0.000297593 +50 *2576:76 *21554:B1 1.91391e-05 +51 *2576:76 *21882:A1 6.23115e-05 +52 *2576:76 *24235:CLK 0.000234059 +53 *2576:76 *2894:39 0 +54 *2576:76 *2905:17 6.52144e-05 +55 *2576:76 *2909:17 5.57273e-05 +56 *2576:76 *2930:26 7.86728e-05 +57 *2576:76 *2930:59 0.000195436 +58 *2576:76 *3496:104 0.000491737 +59 *2576:76 *3500:89 6.43372e-05 +60 *2576:76 *3502:105 4.61462e-05 +61 *2576:76 *5853:334 9.99386e-06 +62 *2576:76 *5870:557 0.000766908 +63 *2576:76 *5870:572 0.000173529 +64 *2576:76 *5956:58 7.70172e-06 +65 *2576:85 *22660:A2 6.3657e-05 +66 *2576:85 *22660:B2 1.88014e-05 +67 *2576:85 *2894:39 8.55383e-05 +68 *2576:85 *3142:98 6.36477e-05 +69 *2576:85 *3280:13 0.000113267 +70 *2576:85 *3496:104 0.000131981 +71 *20513:B2 *2576:42 0.000147031 +72 *21039:A2 *21524:A1 0.000207266 +73 *21219:C1 *2576:85 3.82228e-05 +74 *21333:B2 *2576:57 5.25499e-05 +75 *21524:B2 *21524:A1 6.36477e-05 +76 *21554:A2 *2576:76 9.21233e-05 +77 *21652:B2 *2576:57 2.73319e-05 +78 *22660:A1 *2576:85 6.08467e-05 +79 *24235:D *2576:76 2.08365e-05 +80 *24561:D *2576:42 0.000115448 +81 *494:26 *2576:40 4.58332e-05 +82 *494:26 *2576:42 0.000311158 +83 *494:36 *2576:42 0.00109069 +84 *494:44 *2576:57 6.14756e-06 +85 *522:18 *2576:76 0.0003194 +86 *547:36 *2576:42 1.49011e-05 +87 *746:13 *21524:A1 0.000421111 +88 *1419:18 *2576:40 0.000715209 +89 *1439:145 *2576:57 3.40379e-05 +90 *1502:201 *2576:85 0.000110306 +91 *1552:52 *2576:76 5.75945e-05 +92 *1577:165 *2576:57 6.75282e-05 +93 *1620:11 *2576:85 1.30449e-05 +94 *1669:94 *21524:A1 1.34199e-05 +95 *1669:113 *2576:40 8.19494e-05 +96 *1669:146 *2576:64 0.000478649 +97 *1701:39 *21524:A1 0.000544589 +98 *1701:39 *2576:40 0.000752755 +99 *1884:271 *2576:40 0.000641709 +100 *1934:49 *2576:6 9.34145e-05 +101 *1934:67 *2576:6 0.000422415 +102 *1983:52 *2576:42 0.000358086 +103 *2393:12 *2576:6 0.000596334 +104 *2393:22 *2576:57 0.000367728 +105 *2398:100 *2576:42 0.000769092 +106 *2410:8 *2576:57 0 +107 *2423:16 *2576:42 0.000192258 +108 *2428:90 *2576:42 0.000206864 +109 *2441:72 *2576:40 0 +110 *2511:13 *2576:85 4.66492e-05 +111 *2511:30 *2576:85 3.77568e-05 +*RES +1 *21519:Y *2576:5 13.7491 +2 *2576:5 *2576:6 62.8741 +3 *2576:6 *2576:8 4.5 +4 *2576:8 *21524:A1 35.7197 +5 *2576:8 *2576:40 27.2022 +6 *2576:40 *2576:42 49.0853 +7 *2576:42 *2576:57 44.9992 +8 *2576:57 *2576:64 37.9276 +9 *2576:64 *2576:76 49.8724 +10 *2576:76 *2576:85 20.7529 +11 *2576:85 *22326:B1 22.8808 +12 *2576:85 *22660:B1 9.24915 +*END + +*D_NET *2577 0.0340947 +*CONN +*I *22656:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22335:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21524:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21520:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22656:B1 0 +2 *22335:B1 0.000959751 +3 *21524:B1 0.00148414 +4 *21520:Y 0 +5 *2577:58 0.00202386 +6 *2577:48 0.00207813 +7 *2577:36 0.00162848 +8 *2577:28 0.00319696 +9 *2577:26 0.00268674 +10 *2577:4 0.00158839 +11 *21524:B1 *20912:B1 0.000572601 +12 *21524:B1 *21737:C1 0.00144962 +13 *21524:B1 *24238:RESET_B 1.44764e-05 +14 *21524:B1 *24899:RESET_B 1.83827e-06 +15 *21524:B1 *2641:19 0 +16 *21524:B1 *2967:14 6.57936e-05 +17 *21524:B1 *2981:16 0.000134813 +18 *21524:B1 *4918:15 1.47046e-05 +19 *22335:B1 *2634:29 1.07529e-05 +20 *22335:B1 *2991:54 6.99044e-06 +21 *22335:B1 *2991:67 0.000664484 +22 *22335:B1 *3023:42 1.46723e-05 +23 *22335:B1 *3208:250 0.000122844 +24 *22335:B1 *3517:36 0.000718821 +25 *2577:26 *2675:46 2.81361e-06 +26 *2577:26 *5854:409 6.85778e-05 +27 *2577:28 *2596:14 0.00172109 +28 *2577:28 *2675:46 0.000375598 +29 *2577:28 *2719:22 6.29002e-05 +30 *2577:28 *2907:6 0 +31 *2577:28 *4919:29 0 +32 *2577:36 *2596:14 0.000557452 +33 *2577:36 *3162:21 2.45002e-05 +34 *2577:36 *3485:90 5.11166e-05 +35 *2577:36 *3500:18 3.82228e-05 +36 *2577:36 *4824:180 1.58517e-05 +37 *2577:48 *21064:B1 0.000135941 +38 *2577:48 *21981:A1 1.91246e-05 +39 *2577:48 *22657:C1 2.33403e-05 +40 *2577:48 *24235:RESET_B 0.000106762 +41 *2577:48 *2581:42 4.41577e-05 +42 *2577:48 *2825:12 0.000149783 +43 *2577:48 *2930:26 0.000207266 +44 *2577:48 *3106:42 1.80266e-05 +45 *2577:48 *3142:32 0.000452336 +46 *2577:48 *3485:90 0.000297595 +47 *2577:48 *3485:94 0.000167239 +48 *2577:48 *4856:18 6.39754e-06 +49 *2577:48 *5476:60 0.000328339 +50 *2577:58 *21589:B1 5.43172e-06 +51 *2577:58 *22320:B2 2.72638e-05 +52 *2577:58 *22746:A2 0.000688799 +53 *2577:58 *22746:B2 0.000706773 +54 *2577:58 *2613:109 0 +55 *2577:58 *2634:29 5.01835e-05 +56 *2577:58 *2637:39 0.000743992 +57 *2577:58 *2645:50 0 +58 *2577:58 *2667:93 0 +59 *2577:58 *2991:54 0.00057499 +60 *2577:58 *2991:67 8.17829e-06 +61 *2577:58 *3166:15 0.000883939 +62 *19608:A *2577:28 3.9635e-05 +63 *19615:B2 *2577:28 0.000170083 +64 *21062:A2 *2577:36 4.49767e-05 +65 *21234:B1 *2577:28 0 +66 *21352:B2 *2577:36 0.000111708 +67 *21517:A2 *22335:B1 0.000114584 +68 *21524:A2 *21524:B1 1.05272e-06 +69 *21589:B2 *2577:58 7.13655e-06 +70 *21592:B *22335:B1 0.000129105 +71 *21657:B2 *2577:36 0.000112837 +72 *21742:A2 *2577:26 3.64237e-05 +73 *21742:A2 *2577:28 9.73797e-06 +74 *22320:B1 *2577:58 8.62625e-06 +75 *24232:D *2577:48 0.000127179 +76 *24899:D *21524:B1 0.000213488 +77 *1450:80 *2577:28 8.92568e-06 +78 *1450:102 *2577:28 0.000116553 +79 *1452:21 *2577:28 0.000199991 +80 *1452:32 *2577:28 0.000514803 +81 *1542:174 *2577:58 0.000104017 +82 *1551:28 *2577:28 0 +83 *1564:37 *2577:58 4.59975e-05 +84 *1620:10 *2577:58 0 +85 *1627:13 *22335:B1 0.000593475 +86 *1637:53 *22335:B1 0.000122548 +87 *1641:77 *2577:58 3.83492e-06 +88 *1680:59 *2577:28 0.000838796 +89 *1680:71 *2577:36 6.62911e-05 +90 *1680:71 *2577:48 0.000179495 +91 *1690:17 *21524:B1 0.000298964 +92 *1695:42 *21524:B1 0 +93 *1701:39 *2577:26 3.88655e-06 +94 *1701:39 *2577:28 8.62625e-06 +95 *1706:146 *2577:28 0.000368594 +96 *1735:100 *2577:36 0.000292961 +97 *1780:134 *2577:28 0.000276422 +98 *2199:49 *2577:36 0.000228769 +99 *2299:8 *21524:B1 5.91302e-05 +100 *2426:89 *2577:28 0.000172434 +101 *2539:35 *22335:B1 0.000441652 +102 *2549:17 *22335:B1 1.9101e-05 +103 *2557:20 *22335:B1 0 +*RES +1 *21520:Y *2577:4 9.24915 +2 *2577:4 *21524:B1 42.3545 +3 *2577:4 *2577:26 7.27242 +4 *2577:26 *2577:28 76.785 +5 *2577:28 *2577:36 28.2071 +6 *2577:36 *2577:48 43.5381 +7 *2577:48 *2577:58 49.8566 +8 *2577:58 *22335:B1 41.947 +9 *2577:48 *22656:B1 9.24915 +*END + +*D_NET *2578 0.0300262 +*CONN +*I *22806:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22512:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21523:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21521:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22806:B1 0.00308052 +2 *22512:A1 3.40654e-05 +3 *21523:A1 0 +4 *21521:Y 0.000972972 +5 *2578:15 0.0053498 +6 *2578:8 0.00320819 +7 *22512:A1 *22512:B2 0.000211537 +8 *22806:B1 *22424:C1 9.38347e-05 +9 *22806:B1 *22467:A2 2.12153e-05 +10 *22806:B1 *22498:B2 0.000116109 +11 *22806:B1 *22806:B2 0.000144762 +12 *22806:B1 *2701:17 0.00013188 +13 *22806:B1 *2732:47 0.00386631 +14 *22806:B1 *2746:17 0.000202283 +15 *22806:B1 *2964:35 0.000308661 +16 *22806:B1 *2998:28 0.000293428 +17 *22806:B1 *3168:29 0.000452559 +18 *22806:B1 *3206:48 3.24643e-05 +19 *22806:B1 *3208:51 0.000439816 +20 *22806:B1 *3428:14 5.80216e-05 +21 *22806:B1 *3509:91 0.000424962 +22 *22806:B1 *3513:68 7.12475e-05 +23 *22806:B1 *3527:95 3.89332e-06 +24 *22806:B1 *3529:117 1.01851e-05 +25 *2578:8 *2753:49 1.20988e-05 +26 *2578:8 *2947:12 0.000322607 +27 *2578:8 *2966:14 0.000179432 +28 *2578:15 *2580:16 9.18832e-05 +29 *2578:15 *2758:35 0.000126383 +30 *2578:15 *2947:12 0.000102378 +31 *2578:15 *3206:48 0.000184252 +32 *2578:15 *4863:11 2.03363e-06 +33 *20585:B2 *2578:15 2.02035e-05 +34 *20597:B *2578:8 5.15707e-05 +35 *20597:B *2578:15 9.22013e-06 +36 *22423:B1 *22512:A1 0.000211537 +37 *22739:A1 *22806:B1 0.000117372 +38 *24519:D *2578:15 3.00073e-05 +39 *1459:87 *22806:B1 3.20069e-06 +40 *1511:50 *2578:8 0.000463747 +41 *1511:54 *2578:8 0.000201627 +42 *1537:36 *22806:B1 0.00030368 +43 *1587:23 *2578:8 0.000856723 +44 *1639:65 *2578:15 0.000210804 +45 *1688:118 *22806:B1 0.000258154 +46 *1688:118 *2578:15 0.00344183 +47 *1716:68 *22806:B1 2.34361e-05 +48 *1735:16 *2578:8 7.00667e-05 +49 *1735:36 *2578:8 0.00023932 +50 *1791:14 *2578:8 0 +51 *1791:14 *2578:15 5.28713e-05 +52 *1791:18 *2578:15 0 +53 *1791:28 *2578:15 5.32561e-05 +54 *1792:40 *2578:15 3.85711e-05 +55 *1795:29 *22806:B1 0.00075392 +56 *2004:33 *2578:15 0.0012117 +57 *2004:42 *2578:15 0.000549007 +58 *2563:26 *22806:B1 0.000304603 +*RES +1 *21521:Y *2578:8 44.9738 +2 *2578:8 *21523:A1 13.7491 +3 *2578:8 *2578:15 73.2554 +4 *2578:15 *22512:A1 16.1364 +5 *2578:15 *22806:B1 46.8208 +*END + +*D_NET *2579 0.0121174 +*CONN +*I *21523:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21522:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21523:B1 0.000555681 +2 *21522:Y 0.000258769 +3 *2579:16 0.0014375 +4 *2579:8 0.0023663 +5 *2579:7 0.00174325 +6 *21523:B1 *24520:CLK 0.000296639 +7 *21523:B1 *2992:12 9.7133e-05 +8 *2579:7 *21932:A1 0.000114271 +9 *2579:7 *21932:B1 3.00829e-05 +10 *2579:8 *25279:A 0 +11 *2579:8 *2992:10 0 +12 *2579:16 *20035:A 0.000213736 +13 *2579:16 *20604:A1 0.000115772 +14 *2579:16 *24520:CLK 7.78837e-05 +15 *2579:16 *24604:RESET_B 1.42932e-05 +16 *2579:16 *2992:12 6.48689e-05 +17 *2579:16 *4925:20 0.000145506 +18 *2579:16 *4925:23 0.00013626 +19 *2579:16 *4925:78 0.000373612 +20 *19654:A *2579:8 0.000996132 +21 *19654:A *2579:16 9.40877e-05 +22 *19695:A *2579:8 7.59185e-05 +23 *19936:B1 *21523:B1 6.08467e-05 +24 *20453:A *2579:16 3.82143e-05 +25 *20580:B2 *21523:B1 0.000253722 +26 *21523:B2 *21523:B1 6.08467e-05 +27 *21932:B2 *2579:8 2.83365e-05 +28 *514:93 *2579:16 0.000111708 +29 *1511:54 *21523:B1 0 +30 *1511:54 *2579:16 0.000104991 +31 *1511:56 *2579:8 0.000805039 +32 *1511:56 *2579:16 0 +33 *1516:38 *2579:8 2.82255e-05 +34 *1573:33 *2579:16 5.39635e-06 +35 *1668:34 *2579:8 0.000229922 +36 *1744:83 *2579:7 2.26713e-06 +37 *1744:88 *2579:8 0 +38 *1791:14 *21523:B1 0.000957307 +39 *2004:27 *21523:B1 0.000222856 +*RES +1 *21522:Y *2579:7 17.8002 +2 *2579:7 *2579:8 46.6792 +3 *2579:8 *2579:16 33.813 +4 *2579:16 *21523:B1 33.9528 +*END + +*D_NET *2580 0.0121604 +*CONN +*I *21524:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21523:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21524:C1 0.000317987 +2 *21523:X 0.00063798 +3 *2580:17 0.00211215 +4 *2580:16 0.00243214 +5 *21524:C1 *4918:15 0.000297054 +6 *2580:16 *2833:8 4.46284e-06 +7 *2580:16 *2992:12 0.000418514 +8 *2580:16 *5188:24 1.81264e-05 +9 *2580:16 *5898:25 0.000111708 +10 *2580:17 *24519:RESET_B 2.52414e-06 +11 *20028:A *2580:17 0.000586932 +12 *21203:A *2580:17 6.08467e-05 +13 *21203:B *2580:17 0.000187028 +14 *24333:D *21524:C1 0.000151467 +15 *24519:D *2580:17 5.04829e-06 +16 *1428:13 *2580:17 0.000160617 +17 *1428:47 *2580:17 0.00106651 +18 *1428:51 *2580:17 3.89847e-05 +19 *1467:22 *2580:16 0.000104505 +20 *1485:50 *2580:16 5.74471e-05 +21 *1485:54 *2580:16 1.41976e-05 +22 *1563:22 *2580:17 0.000119821 +23 *1669:20 *21524:C1 3.73224e-05 +24 *1671:21 *2580:17 0.000446985 +25 *1691:63 *2580:17 0.000436415 +26 *1699:55 *2580:17 0.000412724 +27 *1731:20 *21524:C1 0.000372613 +28 *1791:14 *2580:16 0.000250361 +29 *1792:37 *2580:16 0.000258144 +30 *2145:42 *21524:C1 7.97985e-05 +31 *2270:7 *2580:17 1.65872e-05 +32 *2270:128 *2580:17 0.00073981 +33 *2274:22 *2580:16 0.000111708 +34 *2578:15 *2580:16 9.18832e-05 +*RES +1 *21523:X *2580:16 44.8231 +2 *2580:16 *2580:17 54.5199 +3 *2580:17 *21524:C1 28.9758 +*END + +*D_NET *2581 0.0308634 +*CONN +*I *21525:D I *D sky130_fd_sc_hd__and4_1 +*I *21524:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21525:D 0.00038494 +2 *21524:X 0.0013577 +3 *2581:61 0.00155182 +4 *2581:42 0.0034267 +5 *2581:41 0.00225981 +6 *2581:39 0.00136106 +7 *2581:30 0.0026077 +8 *2581:18 0.00260434 +9 *21525:D *21591:A 6.08467e-05 +10 *21525:D *21591:B 0.000110306 +11 *21525:D *21591:C 0.000144771 +12 *21525:D *21591:D 0.000789129 +13 *21525:D *21592:D 0.000156955 +14 *21525:D *2590:36 3.43177e-05 +15 *21525:D *3523:19 1.8528e-05 +16 *2581:18 *2650:14 0.00016636 +17 *2581:18 *2815:12 0.000604593 +18 *2581:18 *2928:35 1.9101e-05 +19 *2581:18 *2967:14 9.39114e-06 +20 *2581:18 *2973:23 0.000381865 +21 *2581:18 *4918:15 0.000167443 +22 *2581:18 *4972:8 0.000134811 +23 *2581:30 *21809:A 0.000107052 +24 *2581:30 *24331:CLK 0.000143859 +25 *2581:30 *24897:RESET_B 0.000100397 +26 *2581:30 *4919:47 2.57465e-06 +27 *2581:30 *4919:49 6.14756e-06 +28 *2581:30 *5857:328 0.000132904 +29 *2581:30 *5864:20 0.000366589 +30 *2581:30 *5870:460 0.00020502 +31 *2581:39 *2609:24 0.000234343 +32 *2581:39 *2825:8 0.00174522 +33 *2581:39 *4919:47 0.00081736 +34 *2581:39 *5854:510 3.20614e-05 +35 *2581:39 *5857:328 7.84457e-06 +36 *2581:42 *21652:A1 9.08202e-05 +37 *2581:42 *21981:A1 0.000138456 +38 *2581:42 *22657:C1 0.000182081 +39 *2581:42 *2609:24 5.51537e-05 +40 *2581:42 *2609:28 0.000201538 +41 *2581:42 *2688:6 2.36582e-05 +42 *2581:42 *2800:46 0.00108971 +43 *2581:42 *2800:53 4.17924e-05 +44 *2581:42 *2825:8 3.4641e-05 +45 *2581:42 *2825:12 9.04335e-05 +46 *2581:42 *3144:16 8.34808e-05 +47 *2581:42 *5854:510 0.00107704 +48 *2581:61 *22326:B2 2.16355e-05 +49 *2581:61 *22657:C1 9.12416e-06 +50 *2581:61 *22659:B2 0.000128862 +51 *2581:61 *2630:16 0.000187014 +52 *2581:61 *2635:95 2.296e-05 +53 *2581:61 *2825:12 9.17656e-06 +54 *2581:61 *2930:59 6.22114e-05 +55 *2581:61 *3196:23 0.000298399 +56 *2581:61 *3280:16 5.88009e-05 +57 *2581:61 *3523:19 0.000753392 +58 *19611:B2 *2581:30 2.11195e-05 +59 *19613:B2 *2581:39 6.32133e-05 +60 *21033:A *2581:18 3.29488e-05 +61 *21034:A *2581:18 8.46927e-06 +62 *21037:B2 *2581:18 0.00031463 +63 *21061:A2 *2581:42 0.000169172 +64 *21352:B2 *2581:42 5.39635e-06 +65 *21371:B2 *2581:39 1.65872e-05 +66 *21371:B2 *2581:42 4.69495e-06 +67 *21371:C1 *2581:39 0.0001478 +68 *21371:C1 *2581:42 2.95757e-05 +69 *21592:A *21525:D 0.000167085 +70 *21592:A *2581:61 9.30373e-06 +71 *21592:B *21525:D 6.50727e-05 +72 *21894:B2 *2581:42 0.000204029 +73 *22321:A1 *2581:61 0.000201679 +74 *22326:A1 *2581:61 0.000110143 +75 *22659:B1 *2581:61 3.53886e-05 +76 *543:12 *2581:18 8.45896e-06 +77 *1419:62 *2581:18 0.000151382 +78 *1450:48 *2581:18 4.62612e-05 +79 *1453:60 *2581:39 7.41867e-05 +80 *1620:31 *2581:61 7.58517e-05 +81 *1645:68 *2581:42 0.000347916 +82 *1669:94 *2581:18 0 +83 *1742:60 *2581:39 1.66626e-05 +84 *1780:15 *2581:18 6.19e-05 +85 *2180:13 *2581:18 0.000191526 +86 *2199:10 *2581:42 7.53431e-05 +87 *2199:19 *2581:42 0.000140402 +88 *2199:28 *2581:42 0.00033124 +89 *2200:26 *2581:42 0.000155379 +90 *2286:10 *2581:42 0.00028597 +91 *2286:10 *2581:61 3.13079e-05 +92 *2520:119 *2581:61 0.000304871 +93 *2577:48 *2581:42 4.41577e-05 +*RES +1 *21524:X *2581:18 49.5 +2 *2581:18 *2581:30 35.0041 +3 *2581:30 *2581:39 46.8025 +4 *2581:39 *2581:41 4.5 +5 *2581:41 *2581:42 67.0266 +6 *2581:42 *2581:61 41.5717 +7 *2581:61 *21525:D 24.5007 +*END + +*D_NET *2582 0.000151215 +*CONN +*I *21591:A I *D sky130_fd_sc_hd__and4_1 +*I *21525:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21591:A 1.47608e-05 +2 *21525:X 1.47608e-05 +3 *21591:A *21591:C 6.08467e-05 +4 *21525:D *21591:A 6.08467e-05 +*RES +1 *21525:X *21591:A 19.2217 +*END + +*D_NET *2583 0.0246342 +*CONN +*I *22493:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21531:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22806:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21526:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22493:B1 1.30387e-05 +2 *21531:A1 0.00121711 +3 *22806:A1 3.48599e-05 +4 *21526:Y 0 +5 *2583:61 0.00338311 +6 *2583:30 6.21129e-05 +7 *2583:28 7.04286e-05 +8 *2583:27 0.000843516 +9 *2583:12 0.00315462 +10 *2583:9 0.00128711 +11 *2583:4 0.00108579 +12 *21531:A1 *21531:C1 2.5798e-05 +13 *22493:B1 *22493:B2 6.08467e-05 +14 *22493:B1 *2666:8 4.66492e-05 +15 *22806:A1 *3148:250 3.07848e-05 +16 *2583:9 *21516:A 6.08467e-05 +17 *2583:9 *22493:B2 0.000154145 +18 *2583:9 *22794:A1 0.000594049 +19 *2583:9 *2666:8 0.000453347 +20 *2583:9 *2993:48 0.00222666 +21 *2583:9 *2998:13 0.000422304 +22 *2583:12 *3058:22 0.000284769 +23 *2583:12 *3157:75 0.00028885 +24 *2583:27 *22499:C1 4.4865e-05 +25 *2583:27 *22807:B2 3.63593e-05 +26 *2583:27 *22807:C1 7.5909e-06 +27 *2583:27 *3004:31 0.000274362 +28 *2583:27 *3058:22 6.61114e-05 +29 *2583:27 *3148:239 5.01835e-05 +30 *2583:27 *3148:250 0.000105515 +31 *2583:27 *3157:62 0.000104166 +32 *2583:27 *3157:75 0.000195156 +33 *2583:27 *3168:30 3.81416e-06 +34 *2583:27 *3168:39 1.57904e-05 +35 *2583:27 *3175:71 7.09666e-06 +36 *2583:27 *3507:78 3.82628e-05 +37 *2583:27 *3511:104 5.94319e-06 +38 *2583:61 *22005:A1 1.68253e-05 +39 *2583:61 *2746:43 0.000182688 +40 *2583:61 *2959:35 0.000155027 +41 *2583:61 *3421:32 0.000314796 +42 *2583:61 *3513:57 0.00133447 +43 *2583:61 *3521:41 0.000243228 +44 *2583:61 *3719:26 0.000441147 +45 *2583:61 *3722:8 2.77564e-05 +46 *2583:61 *3722:13 0.000158451 +47 *19997:A1 *21531:A1 4.31086e-05 +48 *20050:A1 *2583:61 0.000225326 +49 *21518:A1 *21531:A1 6.3657e-05 +50 *21518:C1 *21531:A1 0.000141303 +51 *21525:C *21531:A1 4.50771e-06 +52 *21531:A2 *21531:A1 1.58551e-05 +53 *21531:B2 *21531:A1 6.9526e-05 +54 *22499:A1 *22806:A1 1.94512e-05 +55 *22499:B1 *2583:27 7.02358e-06 +56 *22503:A1 *2583:27 9.32704e-05 +57 *22727:B1 *2583:61 3.58315e-06 +58 *22737:B1 *2583:61 3.29488e-05 +59 *22808:A1 *2583:61 0.000199717 +60 *1462:22 *2583:61 0.00142413 +61 *1510:117 *2583:61 6.51527e-05 +62 *1636:108 *2583:61 6.87503e-05 +63 *1699:48 *2583:9 0.000127212 +64 *1722:40 *2583:9 6.4474e-05 +65 *1744:156 *2583:9 0.00194677 +66 *1752:14 *2583:27 3.56102e-05 +67 *1762:132 *21531:A1 0.000345048 +68 *1794:67 *2583:27 7.39022e-06 +*RES +1 *21526:Y *2583:4 9.24915 +2 *2583:4 *2583:9 46.9484 +3 *2583:9 *2583:12 10.6921 +4 *2583:12 *2583:27 32.309 +5 *2583:27 *2583:28 57.2632 +6 *2583:28 *2583:30 42.9474 +7 *2583:30 *22806:A1 31.4924 +8 *2583:12 *2583:61 35.5587 +9 *2583:61 *21531:A1 27.5993 +10 *2583:9 *22493:B1 9.97254 +*END + +*D_NET *2584 0.0943195 +*CONN +*I *22336:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21531:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21527:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22336:B1 0.000240246 +2 *21531:B1 0.000579999 +3 *21527:Y 0.000558492 +4 *2584:77 0.00586001 +5 *2584:66 0.00734471 +6 *2584:62 0.00454045 +7 *2584:45 0.00345428 +8 *2584:32 0.00247079 +9 *2584:30 0.00231586 +10 *2584:26 0.00152848 +11 *2584:17 0.003309 +12 *2584:16 0.00340286 +13 *21531:B1 *22005:A1 0.000768926 +14 *21531:B1 *3517:36 4.3817e-05 +15 *22336:B1 *22335:A1 0.000101489 +16 *22336:B1 *22336:B2 4.67604e-05 +17 *2584:16 *24432:CLK 4.87301e-05 +18 *2584:16 *2589:12 5.6056e-05 +19 *2584:16 *2819:8 5.94811e-05 +20 *2584:16 *3034:25 1.13978e-06 +21 *2584:16 *4826:59 1.45447e-05 +22 *2584:17 *20267:B1 1.03403e-05 +23 *2584:17 *4826:61 0.00767898 +24 *2584:17 *4826:67 8.7742e-05 +25 *2584:17 *4843:235 0.000164815 +26 *2584:17 *4843:821 0.00333097 +27 *2584:17 *4843:825 3.10791e-05 +28 *2584:17 *4891:7 0.000586932 +29 *2584:26 *24689:CLK 0.000198385 +30 *2584:26 *4814:26 0.000972613 +31 *2584:26 *4814:28 9.71323e-06 +32 *2584:30 *20271:A1 9.60216e-05 +33 *2584:30 *4812:80 0.000255157 +34 *2584:30 *4814:28 0.000790776 +35 *2584:30 *4817:48 0.000495936 +36 *2584:32 *24443:RESET_B 5.92342e-05 +37 *2584:32 *3257:44 2.6752e-05 +38 *2584:32 *3263:24 0 +39 *2584:32 *4812:80 0.0001302 +40 *2584:32 *4812:87 3.91695e-05 +41 *2584:32 *4817:48 0.000476129 +42 *2584:32 *4817:54 0.00193512 +43 *2584:45 *24441:RESET_B 1.91114e-05 +44 *2584:45 *24443:RESET_B 1.91114e-05 +45 *2584:45 *4813:103 0 +46 *2584:45 *4817:64 0.00155384 +47 *2584:45 *4823:85 2.62812e-05 +48 *2584:45 *5043:11 2.56748e-05 +49 *2584:45 *5904:38 0.000611992 +50 *2584:62 *2635:67 0.000404158 +51 *2584:62 *2687:68 9.5352e-05 +52 *2584:62 *2692:53 1.07881e-05 +53 *2584:62 *2882:74 0.000127716 +54 *2584:62 *2900:90 0.000117215 +55 *2584:62 *3257:61 1.69447e-05 +56 *2584:62 *4814:64 8.3051e-06 +57 *2584:62 *4828:80 0.000603507 +58 *2584:62 *4829:125 0.000769068 +59 *2584:62 *4833:130 0.000255006 +60 *2584:62 *4873:32 0.000122946 +61 *2584:62 *5855:261 3.17436e-05 +62 *2584:62 *5874:36 3.80957e-05 +63 *2584:62 *5898:205 8.27707e-05 +64 *2584:66 *21892:A 0.000354417 +65 *2584:77 *22305:A1 0.00229196 +66 *2584:77 *22329:B1 7.10918e-06 +67 *2584:77 *22330:A2 0.00017786 +68 *2584:77 *2642:39 0.000141106 +69 *2584:77 *3111:39 0.00180251 +70 *2584:77 *3144:116 0.000254038 +71 *2584:77 *3192:15 1.86033e-05 +72 *2584:77 *3192:25 0.000122284 +73 *2584:77 *3485:133 0.00036612 +74 *2584:77 *3517:36 6.03287e-05 +75 *2584:77 *3521:33 0.00111826 +76 *2584:77 *3533:12 0.00145798 +77 *2584:77 *4829:75 0.00279475 +78 *2584:77 *4829:108 0.000558808 +79 *20267:A2 *2584:17 0.000172938 +80 *20614:A *2584:77 0.000767103 +81 *20696:A2 *2584:32 1.55462e-05 +82 *20696:B2 *2584:32 0.00015041 +83 *20706:A2 *2584:16 2.16355e-05 +84 *21493:A1 *2584:77 0.000769611 +85 *21518:A1 *21531:B1 0.00113278 +86 *21518:A1 *2584:77 0.00142912 +87 *21576:A2 *2584:77 5.83451e-05 +88 *24198:D *2584:17 2.7285e-05 +89 *24323:D *2584:17 0.000271044 +90 *24442:D *2584:32 0 +91 *24728:D *2584:26 0.000122083 +92 *24732:D *2584:17 8.90311e-06 +93 *476:142 *2584:62 0.000116292 +94 *490:7 *2584:17 0.00304644 +95 *490:105 *2584:45 0.000151605 +96 *490:105 *2584:62 0.00123873 +97 *1551:83 *2584:66 0.000400877 +98 *1564:62 *2584:77 4.1953e-05 +99 *1588:88 *21531:B1 3.73224e-05 +100 *1629:55 *2584:16 0 +101 *1709:40 *2584:45 1.79334e-05 +102 *1723:47 *21531:B1 2.01503e-05 +103 *1723:62 *21531:B1 3.04443e-05 +104 *1800:183 *2584:16 0.000868316 +105 *1884:45 *2584:77 6.23101e-05 +106 *1904:26 *2584:26 9.78551e-06 +107 *1904:26 *2584:30 0.000540035 +108 *1904:33 *2584:26 0.000497592 +109 *1904:33 *2584:30 9.90599e-06 +110 *1904:42 *2584:26 2.36813e-05 +111 *1917:34 *2584:62 5.57532e-05 +112 *2018:5 *2584:17 0.000248423 +113 *2018:10 *2584:17 0.000667866 +114 *2039:14 *2584:32 0.000146107 +115 *2039:27 *2584:32 0.000396463 +116 *2039:29 *2584:32 0.00055306 +117 *2039:31 *2584:32 4.51062e-05 +118 *2039:86 *2584:32 3.58199e-05 +119 *2202:27 *2584:66 8.62321e-06 +120 *2266:63 *2584:66 0.00301841 +121 *2274:95 *2584:62 0.00320142 +122 *2282:59 *2584:62 0.000117093 +123 *2291:61 *2584:62 0.0022185 +124 *2295:59 *2584:62 8.6473e-06 +125 *2360:21 *2584:17 2.20702e-05 +126 *2421:125 *2584:62 0.00011195 +127 *2446:126 *2584:62 6.09945e-05 +128 *2471:56 *2584:62 5.11466e-05 +129 *2474:14 *2584:77 0 +130 *2494:13 *2584:30 7.50872e-05 +131 *2502:74 *22336:B1 4.66826e-05 +132 *2503:19 *2584:45 3.4123e-05 +133 *2531:6 *2584:16 2.87136e-06 +134 *2545:35 *2584:30 0.000530518 +135 *2557:20 *22336:B1 9.42362e-06 +136 *2572:67 *22336:B1 5.18899e-06 +*RES +1 *21527:Y *2584:16 30.7135 +2 *2584:16 *2584:17 120.518 +3 *2584:17 *2584:26 26.4473 +4 *2584:26 *2584:30 41.5985 +5 *2584:30 *2584:32 47.3021 +6 *2584:32 *2584:45 46.7627 +7 *2584:45 *2584:62 35.2554 +8 *2584:62 *2584:66 47.0467 +9 *2584:66 *2584:77 18.1813 +10 *2584:77 *21531:B1 19.568 +11 *2584:77 *22336:B1 22.2547 +*END + +*D_NET *2585 0.0272908 +*CONN +*I *21530:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21528:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21530:A1 0.000934798 +2 *21528:Y 0 +3 *2585:31 0.00192898 +4 *2585:29 0.0018328 +5 *2585:19 0.0024103 +6 *2585:8 0.0029492 +7 *2585:5 0.00137753 +8 *21530:A1 *20237:B1 0.000182743 +9 *21530:A1 *2903:8 9.21153e-06 +10 *21530:A1 *4828:129 0.00107973 +11 *21530:A1 *5860:445 0.000124634 +12 *21530:A1 *5860:455 0.000127147 +13 *2585:8 *19601:B1 0.000273501 +14 *2585:8 *23936:A0 0 +15 *2585:8 *24904:RESET_B 2.94426e-05 +16 *2585:8 *2759:8 0 +17 *2585:8 *5793:6 0 +18 *2585:8 *5793:22 0.000160076 +19 *2585:8 *5859:294 0.00201123 +20 *2585:19 *23999:A1 3.65454e-05 +21 *2585:19 *2962:27 0.00123366 +22 *2585:29 *2667:30 0.000812662 +23 *2585:29 *2859:8 0.000392918 +24 *2585:29 *2859:14 0.000168718 +25 *2585:29 *2925:8 0.000125689 +26 *2585:29 *4829:45 0.000279061 +27 *2585:29 *4875:11 5.24081e-05 +28 *2585:29 *4875:82 0.00014047 +29 *2585:29 *4877:13 0.000158357 +30 *2585:29 *5972:8 6.50727e-05 +31 *2585:31 *20233:A1 0.000176932 +32 *2585:31 *20233:B1 0.00109611 +33 *2585:31 *24286:RESET_B 5.19713e-05 +34 *2585:31 *24286:CLK 6.73186e-05 +35 *2585:31 *4829:20 3.21413e-05 +36 *2585:31 *4829:45 0.000148007 +37 *2585:31 *4844:372 0.000657196 +38 *2585:31 *4875:11 0.000113689 +39 *2585:31 *4878:96 0.00377712 +40 *20237:B2 *2585:31 0.000304777 +41 *20953:B2 *21530:A1 0.000132483 +42 *21005:A1 *2585:19 4.15352e-05 +43 *21005:B1 *2585:19 6.24083e-05 +44 *21006:A1 *2585:19 0.000113197 +45 *21009:B1 *2585:19 0.000555267 +46 *24286:D *2585:31 0.000259416 +47 *24664:D *21530:A1 8.62625e-06 +48 *439:73 *2585:19 5.03285e-05 +49 *507:25 *2585:19 3.81597e-05 +50 *1746:8 *21530:A1 0.000148467 +51 *2164:208 *2585:29 0.000404772 +52 *2381:17 *2585:19 3.68402e-05 +53 *2393:12 *21530:A1 1.24122e-05 +54 *2528:20 *2585:29 0.00010473 +*RES +1 *21528:Y *2585:5 13.7491 +2 *2585:5 *2585:8 48.5946 +3 *2585:8 *2585:19 34.8435 +4 *2585:19 *2585:29 37.4745 +5 *2585:29 *2585:31 51.1923 +6 *2585:31 *21530:A1 31.6072 +*END + +*D_NET *2586 0.0336441 +*CONN +*I *22502:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22804:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21530:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21529:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22502:B1 0.000979429 +2 *22804:B1 4.76455e-05 +3 *21530:B1 0 +4 *21529:Y 0.000852467 +5 *2586:63 0.00107247 +6 *2586:61 0.00192471 +7 *2586:59 0.00303096 +8 *2586:43 0.00254731 +9 *2586:31 0.00244149 +10 *2586:23 0.00202215 +11 *2586:8 0.00182879 +12 *22502:B1 *2641:68 0.000323692 +13 *22502:B1 *2652:28 0.00116024 +14 *22502:B1 *3198:29 0.000451209 +15 *22502:B1 *3668:18 0.00041609 +16 *22804:B1 *22804:A2 3.49902e-05 +17 *22804:B1 *22804:C1 3.01683e-06 +18 *22804:B1 *3007:19 7.92757e-06 +19 *22804:B1 *3498:112 1.41976e-05 +20 *2586:8 *2740:8 0.000164843 +21 *2586:8 *5870:306 0.000517234 +22 *2586:23 *2675:28 1.9101e-05 +23 *2586:23 *2740:18 3.23798e-05 +24 *2586:23 *5745:12 4.28856e-07 +25 *2586:31 *2740:18 0.00100478 +26 *2586:31 *3063:16 8.36586e-06 +27 *2586:31 *4878:6 0.000156126 +28 *2586:31 *4922:74 0.000919386 +29 *2586:43 *19829:A 0.000176391 +30 *2586:43 *20913:A1 5.92342e-05 +31 *2586:43 *2761:6 0.000855035 +32 *2586:59 *21744:B 2.17755e-05 +33 *2586:59 *21968:A1 0.000234508 +34 *2586:59 *21969:A1 1.17303e-05 +35 *2586:59 *2740:27 2.59085e-05 +36 *2586:59 *2749:41 0.000203595 +37 *2586:59 *2761:6 0.000707595 +38 *2586:59 *2978:110 0.000253305 +39 *2586:59 *3063:29 7.14746e-05 +40 *2586:59 *3208:21 8.62625e-06 +41 *2586:59 *4854:6 7.50722e-05 +42 *2586:61 *21969:A1 1.37367e-05 +43 *2586:61 *22785:A1 0.000151238 +44 *2586:61 *22797:D 0.000236352 +45 *2586:61 *2652:14 0.000222184 +46 *2586:61 *2740:27 5.35189e-05 +47 *2586:61 *3005:64 0.000502271 +48 *2586:61 *3185:30 3.60948e-05 +49 *2586:61 *3369:19 0.000475021 +50 *2586:61 *3411:16 1.5714e-05 +51 *2586:61 *3432:17 3.91685e-05 +52 *2586:61 *3498:90 0.000281827 +53 *2586:61 *3500:38 5.40265e-05 +54 *2586:61 *3500:50 2.34052e-05 +55 *2586:61 *3502:47 1.5714e-05 +56 *2586:61 *3668:18 6.486e-05 +57 *19671:B *2586:23 0.000179661 +58 *19674:A *2586:31 0.000492732 +59 *19793:A *2586:43 0.000129818 +60 *19793:A *2586:59 2.57465e-06 +61 *19858:B *22502:B1 6.11872e-05 +62 *19980:B2 *2586:59 4.04289e-05 +63 *19990:B2 *2586:43 0.000216109 +64 *19990:C1 *2586:43 1.45198e-05 +65 *20953:B2 *2586:8 3.52296e-05 +66 *21411:A2 *2586:43 4.42033e-05 +67 *21449:A1 *2586:61 6.74182e-05 +68 *21530:A2 *2586:23 0 +69 *21731:A2 *2586:59 0.000126775 +70 *22728:B1 *2586:61 0.000332217 +71 *22800:B1 *2586:59 0.000124658 +72 *22804:A1 *2586:61 0.000178194 +73 *24875:D *2586:23 5.34415e-05 +74 *545:23 *2586:61 2.01653e-05 +75 *1449:84 *2586:61 3.22279e-05 +76 *1467:76 *2586:31 0.000553944 +77 *1488:8 *2586:23 0.000130126 +78 *1488:8 *2586:31 0.000203756 +79 *1511:6 *2586:31 7.86847e-05 +80 *1544:54 *2586:59 1.5714e-05 +81 *1544:76 *2586:61 2.10569e-05 +82 *1545:11 *2586:61 0.00017192 +83 *1588:14 *2586:43 5.05252e-05 +84 *1598:15 *22502:B1 5.78822e-05 +85 *1637:16 *2586:43 0.000270673 +86 *1637:42 *2586:43 1.93614e-05 +87 *1637:42 *2586:59 2.0388e-06 +88 *1641:57 *2586:61 0.000372895 +89 *1650:14 *2586:59 1.37925e-05 +90 *1720:62 *22502:B1 0.000467485 +91 *1740:38 *2586:23 0.000338085 +92 *1740:38 *2586:31 0.000201647 +93 *1742:30 *2586:43 0.000607978 +94 *1746:8 *2586:23 0 +95 *1788:21 *22502:B1 1.35644e-05 +96 *2159:40 *2586:8 0.000136697 +97 *2270:8 *2586:8 0.00028183 +98 *2270:8 *2586:23 0.000232165 +99 *2338:14 *2586:59 0.000205021 +100 *2338:41 *2586:59 2.13165e-05 +101 *2450:14 *2586:31 3.37475e-05 +102 *2519:38 *22502:B1 6.76857e-06 +103 *2519:38 *2586:61 0.00011307 +*RES +1 *21529:Y *2586:8 37.084 +2 *2586:8 *21530:B1 13.7491 +3 *2586:8 *2586:23 31.356 +4 *2586:23 *2586:31 49.0336 +5 *2586:31 *2586:43 47.0434 +6 *2586:43 *2586:59 47.4875 +7 *2586:59 *2586:61 50.8318 +8 *2586:61 *2586:63 0.732798 +9 *2586:63 *22804:B1 15.0513 +10 *2586:63 *22502:B1 45.2412 +*END + +*D_NET *2587 0.0342572 +*CONN +*I *21531:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21530:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21531:C1 0.0028963 +2 *21530:X 0.000799518 +3 *2587:18 0.00537387 +4 *2587:16 0.0037714 +5 *2587:13 0.00209334 +6 *21531:C1 *21987:A1 0.000226438 +7 *21531:C1 *2675:68 1.43813e-05 +8 *21531:C1 *2805:22 0.000998185 +9 *21531:C1 *2865:32 0.000112082 +10 *21531:C1 *2916:20 0.00246287 +11 *21531:C1 *3023:41 0.000228037 +12 *21531:C1 *3466:47 0.000313309 +13 *21531:C1 *5674:45 2.92198e-05 +14 *2587:13 *2739:14 3.69489e-05 +15 *2587:13 *3014:7 0.000304777 +16 *2587:16 *21719:B1 7.12662e-05 +17 *2587:16 *21842:A 0.000149783 +18 *2587:16 *21844:B1 4.13992e-05 +19 *2587:16 *21884:A 0.000114679 +20 *2587:16 *2734:24 1.19737e-05 +21 *2587:16 *2858:8 0.000151253 +22 *2587:16 *2858:20 0.0001636 +23 *2587:18 *21969:A1 0.000957654 +24 *2587:18 *2652:14 0.000994618 +25 *2587:18 *2744:23 6.60932e-05 +26 *2587:18 *3498:64 4.5309e-05 +27 *2587:18 *4821:28 3.60982e-05 +28 *19671:A *2587:16 3.63593e-05 +29 *19674:B *2587:16 0.000233951 +30 *19862:A *21531:C1 2.75175e-05 +31 *19960:A *2587:18 0.000173651 +32 *19980:B2 *2587:18 9.92046e-06 +33 *20029:B1 *2587:16 1.66771e-05 +34 *21518:A1 *21531:C1 2.833e-05 +35 *21525:C *21531:C1 2.16355e-05 +36 *21531:A1 *21531:C1 2.5798e-05 +37 *21531:B2 *21531:C1 2.53145e-06 +38 *21953:B2 *2587:16 7.77309e-06 +39 *22728:B1 *2587:18 1.66961e-05 +40 *522:17 *21531:C1 0.000313185 +41 *1435:153 *21531:C1 9.86072e-05 +42 *1443:49 *21531:C1 9.94025e-05 +43 *1461:88 *2587:18 0.000439247 +44 *1488:16 *2587:13 0.00041108 +45 *1645:49 *21531:C1 0.000357592 +46 *1662:57 *21531:C1 0.00028885 +47 *1669:24 *2587:13 0.000315937 +48 *1689:13 *2587:18 6.51527e-05 +49 *1708:34 *2587:18 0.000777231 +50 *1710:8 *2587:16 0.00063079 +51 *1710:8 *2587:18 0.0021855 +52 *1710:26 *2587:18 0.00146047 +53 *1764:129 *2587:13 0.000546755 +54 *1766:11 *2587:18 0.000667575 +55 *1771:47 *21531:C1 0.000643263 +56 *1771:60 *21531:C1 1.07248e-05 +57 *1784:194 *2587:16 0.000153149 +58 *1784:200 *2587:16 0.000531568 +59 *1784:202 *2587:16 5.33266e-05 +60 *1807:20 *21531:C1 0.00101746 +61 *2443:10 *2587:18 7.23866e-05 +62 *2466:16 *2587:16 3.68249e-05 +63 *2466:16 *2587:18 0 +64 *2466:29 *2587:18 8.03385e-06 +65 *2468:135 *2587:18 7.84457e-06 +*RES +1 *21530:X *2587:13 40.5758 +2 *2587:13 *2587:16 38.7772 +3 *2587:16 *2587:18 85.0046 +4 *2587:18 *21531:C1 47.3283 +*END + +*D_NET *2588 0.000551362 +*CONN +*I *21550:A I *D sky130_fd_sc_hd__and4_1 +*I *21531:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21550:A 0.00012293 +2 *21531:X 0.00012293 +3 *21550:A *2632:112 6.36477e-05 +4 *21493:A2 *21550:A 6.08467e-05 +5 *21517:A2 *21550:A 5.20546e-06 +6 *21525:C *21550:A 8.96998e-05 +7 *1584:20 *21550:A 8.61022e-05 +*RES +1 *21531:X *21550:A 30.7771 +*END + +*D_NET *2589 0.0546512 +*CONN +*I *22317:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22665:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21537:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21532:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22317:A1 0 +2 *22665:B1 0.000162089 +3 *21537:A1 0.00109894 +4 *21532:Y 4.36938e-05 +5 *2589:71 0.00164422 +6 *2589:65 0.000933179 +7 *2589:54 0.00226662 +8 *2589:53 0.00300049 +9 *2589:46 0.00232046 +10 *2589:38 0.00247972 +11 *2589:24 0.00173811 +12 *2589:20 0.00161955 +13 *2589:12 0.00310341 +14 *2589:10 0.00331767 +15 *2589:7 0.00125833 +16 *21537:A1 *21543:C1 0.0010252 +17 *21537:A1 *21550:B 4.2372e-05 +18 *21537:A1 *21577:C1 1.48055e-05 +19 *21537:A1 *22664:A2 0.000646577 +20 *21537:A1 *2613:101 6.60191e-06 +21 *21537:A1 *3144:116 1.66771e-05 +22 *21537:A1 *3179:167 6.84838e-05 +23 *21537:A1 *3485:163 4.15661e-05 +24 *21537:A1 *3519:332 5.59982e-05 +25 *22665:B1 *22665:A2 6.87743e-05 +26 *22665:B1 *3615:12 3.29488e-05 +27 *2589:10 *20747:B1 0.000174175 +28 *2589:10 *20748:A1 5.0132e-05 +29 *2589:10 *2869:14 2.18741e-05 +30 *2589:10 *3045:10 0 +31 *2589:10 *4822:14 0.000232406 +32 *2589:10 *4838:274 0.000306482 +33 *2589:12 *20632:B1 0.000126298 +34 *2589:12 *20707:A1 9.85369e-05 +35 *2589:12 *20882:A1 0.000123512 +36 *2589:12 *21602:A 5.2092e-05 +37 *2589:12 *21760:A 5.71126e-05 +38 *2589:12 *2819:8 0.000641856 +39 *2589:12 *2996:26 0.000225215 +40 *2589:12 *3045:10 0 +41 *2589:12 *3045:12 0 +42 *2589:12 *4822:14 0.000393036 +43 *2589:12 *4840:134 0.000361412 +44 *2589:12 *4989:9 0.000114694 +45 *2589:12 *5859:84 0.00084377 +46 *2589:12 *5867:395 0.000118485 +47 *2589:20 *20443:A1 7.50872e-05 +48 *2589:20 *21942:A 0.000405607 +49 *2589:20 *2956:10 0.000414424 +50 *2589:20 *2984:20 2.00352e-05 +51 *2589:20 *2985:14 0.00056464 +52 *2589:20 *2985:20 4.82779e-06 +53 *2589:20 *3046:14 0.00153226 +54 *2589:20 *4839:105 0.000109069 +55 *2589:24 *22035:B1 0.000131248 +56 *2589:24 *2996:48 1.2657e-05 +57 *2589:24 *3036:31 0.000227774 +58 *2589:24 *3045:12 6.97834e-05 +59 *2589:24 *3046:14 2.27118e-06 +60 *2589:24 *3049:14 0.000118211 +61 *2589:24 *3166:147 5.49916e-05 +62 *2589:24 *3221:6 0.000652022 +63 *2589:38 *22217:A2 1.47563e-05 +64 *2589:38 *22257:B1 0.000213676 +65 *2589:38 *22257:B2 0.000200794 +66 *2589:38 *22257:C1 0.00012698 +67 *2589:38 *22262:B 1.29665e-05 +68 *2589:38 *22318:C1 0.000110473 +69 *2589:38 *22319:C1 0.00013413 +70 *2589:38 *22613:B1 5.78131e-05 +71 *2589:38 *2963:127 3.33173e-06 +72 *2589:38 *3038:17 0 +73 *2589:38 *3049:14 0.00082554 +74 *2589:38 *3173:33 5.51483e-06 +75 *2589:38 *3179:251 0.000586869 +76 *2589:38 *3221:6 0.000456233 +77 *2589:46 *22609:C1 0.000130532 +78 *2589:46 *22631:B1 6.16595e-06 +79 *2589:46 *2822:12 1.86118e-05 +80 *2589:46 *3038:17 0 +81 *2589:46 *3038:23 0.00105124 +82 *2589:46 *3070:36 0.00042625 +83 *2589:46 *3236:8 0.000411324 +84 *2589:46 *3509:175 0.000134971 +85 *2589:46 *3529:178 0 +86 *2589:46 *3537:164 6.1096e-05 +87 *2589:46 *3579:23 0.000370086 +88 *2589:53 *22263:B2 0.000104754 +89 *2589:53 *2601:58 0.000239378 +90 *2589:53 *2822:12 2.27118e-06 +91 *2589:53 *3020:56 8.04172e-05 +92 *2589:53 *3038:23 0.000519266 +93 *2589:53 *3120:163 1.75197e-05 +94 *2589:53 *3190:144 0.000121829 +95 *2589:53 *3509:175 3.84444e-05 +96 *2589:53 *4813:110 0.000928257 +97 *2589:54 *22011:A1 1.71416e-05 +98 *2589:54 *22042:D 1.4979e-05 +99 *2589:54 *22236:A2 3.65454e-05 +100 *2589:54 *22260:A2 4.26925e-05 +101 *2589:54 *22265:B2 1.65175e-05 +102 *2589:54 *22291:A1 3.55824e-05 +103 *2589:54 *22606:C1 0.000156005 +104 *2589:54 *22669:A1 7.19128e-05 +105 *2589:54 *2888:81 2.79966e-05 +106 *2589:54 *2919:20 1.68435e-05 +107 *2589:54 *2919:29 4.3636e-05 +108 *2589:54 *3020:56 4.15661e-05 +109 *2589:54 *3046:35 5.67796e-06 +110 *2589:54 *3050:52 0.000637501 +111 *2589:54 *3054:18 0.000278078 +112 *2589:54 *3117:204 7.70093e-05 +113 *2589:54 *3198:197 8.50356e-05 +114 *2589:54 *3217:28 0.000689552 +115 *2589:54 *3493:73 0.000173692 +116 *2589:65 *2777:60 5.60804e-05 +117 *2589:65 *2813:47 6.03122e-05 +118 *2589:65 *2919:42 0.000299419 +119 *2589:65 *3054:18 0.000319019 +120 *2589:65 *5909:38 0.000153427 +121 *2589:71 *22323:A2 0.000294093 +122 *2589:71 *3615:12 0.000691412 +123 *20431:B2 *2589:12 4.97938e-05 +124 *20633:B2 *2589:12 0.000183808 +125 *20744:A2 *2589:10 0.000122935 +126 *20744:B2 *2589:10 0.000348458 +127 *20747:A2 *2589:10 0.000215737 +128 *21476:B1 *21537:A1 3.38048e-05 +129 *21482:A2 *2589:53 7.97474e-05 +130 *21577:A2 *21537:A1 0.00033841 +131 *21577:B2 *21537:A1 0.000243966 +132 *21800:A2 *2589:65 0.000157961 +133 *22035:B2 *2589:24 0.000103949 +134 *22054:B2 *2589:53 4.84885e-05 +135 *22327:A1 *2589:71 0.000301209 +136 *22665:A1 *22665:B1 5.19843e-06 +137 *24403:D *2589:10 0.000134087 +138 *24481:D *2589:12 5.50458e-05 +139 *1552:70 *22665:B1 2.58521e-05 +140 *1552:70 *2589:54 5.77814e-05 +141 *1552:70 *2589:71 0.000686644 +142 *1552:81 *2589:54 0.00133587 +143 *1553:64 *2589:54 0.000766725 +144 *1564:50 *21537:A1 0.000218368 +145 *1584:140 *21537:A1 0.000257002 +146 *1614:75 *2589:53 3.17436e-05 +147 *1616:160 *2589:53 5.19229e-05 +148 *1620:60 *2589:53 0.000378913 +149 *1620:93 *2589:53 9.18679e-06 +150 *1655:61 *2589:54 7.39223e-06 +151 *1658:223 *22665:B1 0.000257403 +152 *1751:35 *21537:A1 7.93617e-05 +153 *2030:50 *2589:12 0.000306482 +154 *2054:54 *2589:10 2.09356e-05 +155 *2093:68 *22665:B1 2.7188e-05 +156 *2502:59 *21537:A1 0.000190306 +157 *2531:91 *21537:A1 1.19998e-05 +158 *2542:8 *2589:12 0.000260876 +159 *2542:28 *2589:54 7.22781e-05 +160 *2542:35 *2589:54 6.84784e-06 +161 *2542:50 *2589:54 1.5714e-05 +162 *2584:16 *2589:12 5.6056e-05 +*RES +1 *21532:Y *2589:7 14.4725 +2 *2589:7 *2589:10 37.2383 +3 *2589:10 *2589:12 72.2172 +4 *2589:12 *2589:20 48.8687 +5 *2589:20 *2589:24 21.592 +6 *2589:24 *2589:38 42.9498 +7 *2589:38 *2589:46 37.5193 +8 *2589:46 *2589:53 22.4538 +9 *2589:53 *2589:54 59.1368 +10 *2589:54 *2589:65 8.55633 +11 *2589:65 *2589:71 27.4089 +12 *2589:71 *21537:A1 49.405 +13 *2589:65 *22665:B1 19.1969 +14 *2589:24 *22317:A1 13.7491 +*END + +*D_NET *2590 0.0108171 +*CONN +*I *22497:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22801:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21537:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21533:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22497:B1 1.80086e-05 +2 *22801:B1 7.25904e-05 +3 *21537:B1 0 +4 *21533:Y 0.000869058 +5 *2590:36 0.00129755 +6 *2590:25 0.00192999 +7 *2590:17 0.00144691 +8 *22497:B1 *22497:A1 1.47978e-05 +9 *22801:B1 *22747:A2 1.47875e-05 +10 *22801:B1 *22815:B 6.51637e-05 +11 *22801:B1 *2923:14 0.000107968 +12 *2590:17 *21533:A 1.41307e-05 +13 *2590:17 *21994:B 8.57676e-05 +14 *2590:17 *22496:A1 0.000395909 +15 *2590:17 *22497:A1 5.71901e-05 +16 *2590:17 *2931:26 4.10601e-05 +17 *2590:17 *2991:25 1.66943e-05 +18 *2590:17 *2991:54 0.000207883 +19 *2590:17 *2994:55 1.15643e-05 +20 *2590:17 *3134:20 0.000825128 +21 *2590:17 *3136:69 5.84021e-05 +22 *2590:17 *3142:46 3.17103e-05 +23 *2590:17 *3151:57 7.92757e-06 +24 *2590:25 *21921:C1 1.09156e-05 +25 *2590:25 *2641:51 5.04829e-06 +26 *2590:25 *2931:26 1.68951e-06 +27 *2590:25 *2935:8 8.08437e-05 +28 *2590:25 *3151:57 7.13972e-05 +29 *2590:25 *3736:31 9.85247e-05 +30 *2590:36 *21909:A1 4.3648e-05 +31 *2590:36 *21921:C1 2.37827e-05 +32 *2590:36 *22005:C1 0.000269797 +33 *2590:36 *22054:A1 3.82577e-05 +34 *2590:36 *22747:A2 0.000242597 +35 *2590:36 *22761:B 5.1493e-06 +36 *2590:36 *2923:14 0 +37 *2590:36 *3498:112 0.00015587 +38 *2590:36 *3719:26 0.000243465 +39 *2590:36 *3719:44 0.000442919 +40 *21492:A1 *2590:36 1.28587e-05 +41 *21525:B *2590:36 7.20593e-05 +42 *21525:C *2590:36 8.39222e-06 +43 *21525:D *2590:36 3.43177e-05 +44 *21537:B2 *2590:36 0.00049634 +45 *21582:A2 *2590:17 9.50647e-05 +46 *21592:A *2590:36 0.000200794 +47 *21981:A2 *2590:17 7.75093e-05 +48 *543:10 *2590:25 1.64462e-05 +49 *543:12 *2590:25 3.12828e-05 +50 *549:23 *2590:25 2.16355e-05 +51 *549:23 *2590:36 5.04829e-06 +52 *1588:77 *2590:36 0.000147736 +53 *1725:97 *2590:36 0.000268362 +54 *2547:26 *2590:36 5.1493e-06 +*RES +1 *21533:Y *2590:17 39.9216 +2 *2590:17 *2590:25 18.934 +3 *2590:25 *2590:36 49.2057 +4 *2590:36 *21537:B1 13.7491 +5 *2590:25 *22801:B1 20.4964 +6 *2590:17 *22497:B1 9.82786 +*END + +*D_NET *2591 0.00411969 +*CONN +*I *22500:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21536:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21534:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22500:A1 0.000189876 +2 *21536:A1 0.000545532 +3 *21534:Y 0.000531443 +4 *2591:7 0.00126685 +5 *21536:A1 *21536:B1 6.12084e-05 +6 *21536:A1 *22758:B1 4.49767e-05 +7 *21536:A1 *2593:14 0.000147812 +8 *21536:A1 *2807:19 3.55393e-05 +9 *21536:A1 *2957:6 6.85778e-05 +10 *21536:A1 *3164:113 1.88148e-05 +11 *21536:A1 *3452:6 7.21753e-05 +12 *22500:A1 *22500:A2 5.04829e-06 +13 *22500:A1 *22758:B1 5.01835e-05 +14 *22500:A1 *2785:75 3.96379e-05 +15 *22500:A1 *2807:19 6.85861e-07 +16 *22500:A1 *3027:27 9.10158e-05 +17 *22500:A1 *3164:113 1.73713e-05 +18 *2591:7 *20535:A1 2.58106e-05 +19 *2591:7 *21943:A 0.000593379 +20 *21944:B2 *21536:A1 4.66492e-05 +21 *1566:67 *22500:A1 0.000154145 +22 *1598:110 *21536:A1 3.33003e-05 +23 *1776:79 *21536:A1 6.08467e-05 +24 *2567:35 *22500:A1 1.88152e-05 +*RES +1 *21534:Y *2591:7 22.237 +2 *2591:7 *21536:A1 25.2236 +3 *2591:7 *22500:A1 18.9382 +*END + +*D_NET *2592 0.0632631 +*CONN +*I *22510:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21536:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *22798:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21535:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22510:B1 0.00065388 +2 *21536:B1 0.000507193 +3 *22798:B1 0.0029937 +4 *21535:Y 0 +5 *2592:34 0.00445183 +6 *2592:33 0.00175175 +7 *2592:30 0.000800813 +8 *2592:28 0.00104302 +9 *2592:20 0.00308153 +10 *2592:6 0.00728715 +11 *2592:5 0.00590252 +12 *21536:B1 *20535:B1 8.82956e-05 +13 *21536:B1 *22053:B1 0 +14 *21536:B1 *22434:A1 0.000207266 +15 *21536:B1 *22434:B1 0.000207901 +16 *21536:B1 *22434:B2 1.65872e-05 +17 *21536:B1 *2593:14 0.000126651 +18 *21536:B1 *3106:149 5.51483e-06 +19 *21536:B1 *3131:109 9.78994e-05 +20 *21536:B1 *3388:7 0.000446959 +21 *22510:B1 *22510:B2 2.26277e-05 +22 *22510:B1 *3541:135 0.000154145 +23 *22798:B1 *22790:A1 8.12259e-06 +24 *22798:B1 *22794:A1 0.00017238 +25 *22798:B1 *22814:D 7.86689e-05 +26 *22798:B1 *2704:72 0.000265509 +27 *22798:B1 *2708:44 0.00118904 +28 *22798:B1 *2784:42 0.000170201 +29 *22798:B1 *2851:26 0.000181736 +30 *22798:B1 *3028:30 0.000324475 +31 *22798:B1 *3134:62 0.000146969 +32 *22798:B1 *3466:82 0.000181736 +33 *22798:B1 *3507:75 0.000192811 +34 *22798:B1 *3529:99 0.000676639 +35 *22798:B1 *5593:46 0.000870333 +36 *2592:6 *21148:A1 0 +37 *2592:6 *24471:RESET_B 0.000190013 +38 *2592:6 *2604:6 0 +39 *2592:6 *2604:14 0.000279247 +40 *2592:6 *2604:18 0.000566615 +41 *2592:6 *2614:8 0 +42 *2592:6 *2954:12 0 +43 *2592:6 *3065:19 0.000130433 +44 *2592:6 *3860:43 0.000188645 +45 *2592:6 *4838:40 0.00190034 +46 *2592:6 *4838:47 5.12009e-05 +47 *2592:6 *4839:194 0.000101133 +48 *2592:6 *4840:190 5.89338e-05 +49 *2592:6 *4841:36 0 +50 *2592:6 *4841:40 0 +51 *2592:6 *4841:44 0 +52 *2592:6 *4841:50 0.000195139 +53 *2592:6 *4841:57 0.000200236 +54 *2592:6 *4841:159 0.000255114 +55 *2592:6 *4884:28 4.73076e-05 +56 *2592:6 *4932:8 6.57141e-05 +57 *2592:6 *5868:406 0 +58 *2592:6 *5868:421 0 +59 *2592:6 *5868:434 0 +60 *2592:6 *5868:440 7.90904e-05 +61 *2592:6 *5868:449 0.000151021 +62 *2592:6 *5868:458 8.47856e-05 +63 *2592:6 *5868:464 4.87669e-05 +64 *2592:6 *5868:477 0.000185808 +65 *2592:20 *2614:18 0.00026232 +66 *2592:20 *2783:86 0.000398075 +67 *2592:20 *2889:22 0.00114563 +68 *2592:20 *3131:133 0.000387391 +69 *2592:20 *3175:112 0.000430307 +70 *2592:20 *4873:17 0.000141985 +71 *2592:28 *22044:A 0.000158371 +72 *2592:28 *2608:26 0.000927038 +73 *2592:28 *2614:28 8.53122e-05 +74 *2592:28 *2614:35 2.85952e-05 +75 *2592:28 *2889:22 0.000173778 +76 *2592:28 *3175:112 6.84879e-05 +77 *2592:28 *5082:101 0.00150989 +78 *2592:33 *20535:B1 0.00017345 +79 *2592:33 *5858:73 6.08467e-05 +80 *2592:34 *2833:73 0.000854452 +81 *2592:34 *3066:11 1.5714e-05 +82 *2592:34 *4859:8 9.15006e-05 +83 *2592:34 *4985:22 1.16596e-05 +84 *2592:34 *4985:24 0.00224978 +85 *2592:34 *5854:14 2.57071e-05 +86 *20141:B *2592:6 0.00130017 +87 *20533:B2 *2592:34 0.00034179 +88 *20649:A2 *2592:6 6.63009e-05 +89 *20753:A2 *2592:6 0.000122083 +90 *20754:A2 *2592:6 0.000341253 +91 *21481:A1 *22510:B1 3.42417e-05 +92 *21481:B1 *22510:B1 3.82228e-05 +93 *21536:A1 *21536:B1 6.12084e-05 +94 *22466:A2 *22510:B1 6.49796e-06 +95 *22510:A1 *22510:B1 5.04829e-06 +96 *23924:B *22798:B1 2.78645e-05 +97 *24357:D *2592:6 0.000132046 +98 *24398:D *2592:6 6.46135e-05 +99 *24474:D *2592:6 8.33073e-06 +100 *657:209 *2592:6 0.000756535 +101 *657:212 *2592:6 0.000784078 +102 *1550:78 *2592:28 0.000156639 +103 *1560:24 *2592:34 0.000106161 +104 *1566:68 *2592:6 0.00011011 +105 *1566:68 *2592:20 0.000265917 +106 *1566:74 *2592:6 0.00065499 +107 *1594:59 *2592:20 1.03825e-05 +108 *1597:92 *22510:B1 0.000586826 +109 *1598:110 *21536:B1 0.000753162 +110 *1603:48 *22798:B1 8.31685e-05 +111 *1668:85 *22798:B1 0.00320343 +112 *1689:79 *22798:B1 0.000241747 +113 *1733:45 *2592:34 2.36648e-05 +114 *1772:22 *22798:B1 0 +115 *1989:8 *2592:34 0.000488166 +116 *1989:10 *2592:34 0.000858072 +117 *1989:38 *2592:28 3.9948e-05 +118 *2024:46 *2592:6 2.77337e-05 +119 *2057:23 *2592:6 0.00022327 +120 *2057:31 *2592:6 0.000765725 +121 *2057:40 *2592:6 0.00017632 +122 *2288:14 *2592:6 0.000594564 +123 *2468:34 *2592:20 1.5714e-05 +124 *2536:28 *22798:B1 0.000724938 +125 *2537:27 *22510:B1 0.00024719 +126 *2537:30 *2592:28 0.000529244 +*RES +1 *21535:Y *2592:5 13.7491 +2 *2592:5 *2592:6 199.7 +3 *2592:6 *2592:20 48.7023 +4 *2592:20 *2592:28 47.8813 +5 *2592:28 *2592:30 4.5 +6 *2592:30 *2592:33 10.7694 +7 *2592:33 *2592:34 54.7766 +8 *2592:34 *22798:B1 34.4484 +9 *2592:30 *21536:B1 28.6362 +10 *2592:20 *22510:B1 30.7731 +*END + +*D_NET *2593 0.040321 +*CONN +*I *21537:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *6182:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21536:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21537:C1 0.000129915 +2 *6182:DIODE 0.000114467 +3 *21536:X 0.000609965 +4 *2593:54 0.00158098 +5 *2593:47 0.00483651 +6 *2593:30 0.00483146 +7 *2593:24 0.00256116 +8 *2593:14 0.00183958 +9 *6182:DIODE *3476:39 0.000154145 +10 *21537:C1 *21543:C1 6.63489e-05 +11 *21537:C1 *2751:8 3.92776e-05 +12 *21537:C1 *3476:39 0.000247443 +13 *2593:14 *22434:A1 0.000207266 +14 *2593:14 *22434:A2 4.88955e-05 +15 *2593:14 *22434:B2 3.41459e-05 +16 *2593:14 *22501:B2 0.000129108 +17 *2593:14 *2807:26 0.000368233 +18 *2593:14 *3106:149 1.61631e-05 +19 *2593:14 *3134:107 1.91391e-05 +20 *2593:14 *3750:22 9.76405e-05 +21 *2593:24 *20536:A1 9.95234e-05 +22 *2593:24 *20536:B1 0.000128429 +23 *2593:24 *20888:A1 0.000385384 +24 *2593:24 *24347:RESET_B 5.49983e-05 +25 *2593:24 *24549:CLK 0.000162767 +26 *2593:24 *2783:56 6.3657e-05 +27 *2593:24 *4985:22 0.000253916 +28 *2593:24 *5904:77 3.71311e-05 +29 *2593:30 *20889:A1 4.97413e-05 +30 *2593:30 *2844:56 0.000114972 +31 *2593:30 *2863:8 0.0015132 +32 *2593:30 *4852:11 0.000311528 +33 *2593:30 *5869:625 9.34985e-06 +34 *2593:47 *20487:B1 1.93635e-05 +35 *2593:47 *21491:A 0.000804829 +36 *2593:47 *22479:B1 0.000154597 +37 *2593:47 *2710:36 5.99472e-05 +38 *2593:47 *3114:66 2.46904e-05 +39 *2593:47 *3114:83 0.000110313 +40 *2593:47 *3196:41 0.000154119 +41 *2593:47 *3377:20 0.00108114 +42 *2593:47 *3394:26 0.00045051 +43 *2593:47 *3427:28 0.000789156 +44 *2593:54 *22486:A2 2.29475e-05 +45 *2593:54 *22514:C 6.20642e-05 +46 *2593:54 *2746:43 0.000124035 +47 *2593:54 *2751:8 1.91391e-05 +48 *2593:54 *4870:134 0.00225081 +49 *20487:B2 *2593:47 6.08467e-05 +50 *20536:A2 *2593:24 0.000163418 +51 *20536:B2 *2593:24 6.08467e-05 +52 *20889:A2 *2593:24 0.000194384 +53 *20889:A2 *2593:30 0 +54 *21536:A1 *2593:14 0.000147812 +55 *21536:B1 *2593:14 0.000126651 +56 *22412:B1 *2593:24 0.000586888 +57 *22413:A1 *2593:24 6.0269e-05 +58 *24549:D *2593:24 0.000179827 +59 *1448:250 *2593:47 0.0002136 +60 *1537:171 *2593:14 5.65463e-05 +61 *1581:26 *2593:14 2.59085e-05 +62 *1603:35 *2593:24 0 +63 *1608:13 *21537:C1 0.000102413 +64 *1608:13 *2593:54 1.5714e-05 +65 *1668:85 *2593:47 0.000712214 +66 *1724:61 *2593:30 0.000108729 +67 *1730:240 *2593:47 0.000768162 +68 *1730:240 *2593:54 0.00064199 +69 *1772:22 *2593:30 0.000311975 +70 *1786:65 *2593:47 0.00415246 +71 *1809:80 *2593:24 8.03951e-06 +72 *1974:18 *2593:47 2.43314e-05 +73 *1974:20 *2593:47 0.000512983 +74 *1974:24 *2593:47 0.000271686 +75 *2138:12 *2593:24 8.03951e-06 +76 *2522:32 *2593:47 0.00132921 +77 *2537:35 *2593:24 3.08858e-05 +78 *2537:35 *2593:30 0.00210147 +79 *2548:41 *2593:47 6.78278e-05 +80 *2549:17 *2593:54 3.17436e-05 +*RES +1 *21536:X *2593:14 35.813 +2 *2593:14 *2593:24 40.4369 +3 *2593:24 *2593:30 47.1678 +4 *2593:30 *2593:47 48.2953 +5 *2593:47 *2593:54 13.1941 +6 *2593:54 *6182:DIODE 15.5817 +7 *2593:54 *21537:C1 18.2712 +*END + +*D_NET *2594 0.000643967 +*CONN +*I *21550:B I *D sky130_fd_sc_hd__and4_1 +*I *21537:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21550:B 0.000201271 +2 *21537:X 0.000201271 +3 *21550:B *21543:C1 7.11904e-05 +4 *21550:B *22005:C1 6.56365e-05 +5 *21537:A1 *21550:B 4.2372e-05 +6 *1648:47 *21550:B 6.22259e-05 +*RES +1 *21537:X *21550:B 31.3022 +*END + +*D_NET *2595 0.0112267 +*CONN +*I *22332:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21543:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22655:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21538:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22332:B1 0.0002743 +2 *21543:A1 0 +3 *22655:A1 0 +4 *21538:Y 0.00062441 +5 *2595:25 0.000573089 +6 *2595:15 0.00168996 +7 *2595:11 0.00201558 +8 *22332:B1 *22331:B2 7.88929e-05 +9 *22332:B1 *22332:A2 2.74998e-05 +10 *22332:B1 *22332:C1 5.61985e-05 +11 *22332:B1 *22334:A1 4.15661e-05 +12 *22332:B1 *2894:39 9.13702e-06 +13 *22332:B1 *2894:60 0.000159523 +14 *22332:B1 *3052:77 4.72874e-05 +15 *22332:B1 *3196:26 1.11638e-05 +16 *2595:11 *22525:A 2.16355e-05 +17 *2595:11 *22589:B 6.52882e-05 +18 *2595:11 *22589:C 0.000154145 +19 *2595:11 *3466:21 0.000232538 +20 *2595:11 *4805:73 0.000200794 +21 *2595:11 *4805:85 0.000644346 +22 *2595:11 *4818:73 5.2856e-05 +23 *2595:11 *4908:98 0.000500092 +24 *2595:15 *22589:B 6.08467e-05 +25 *2595:15 *22589:C 3.66984e-05 +26 *2595:15 *22591:B 0.000298399 +27 *2595:15 *22593:C 0.000110297 +28 *2595:15 *22593:D 0.000456358 +29 *2595:15 *22671:A 0.000200794 +30 *2595:15 *2596:27 7.98171e-06 +31 *2595:15 *3471:24 1.68379e-05 +32 *2595:15 *3474:38 0.000620637 +33 *2595:15 *4807:107 0.000706782 +34 *2595:15 *4818:73 7.54269e-06 +35 *2595:15 *5948:182 0.000101018 +36 *2595:25 *2596:27 0.000629249 +37 *2595:25 *2600:11 0.000110306 +38 *2595:25 *3471:15 0.000111802 +39 *2595:25 *3471:24 2.45143e-05 +40 *21543:A2 *2595:25 1.47978e-05 +41 *21543:B2 *22332:B1 0.000107496 +42 *21543:B2 *2595:25 0.000116111 +43 *22589:A *2595:11 7.92757e-06 +*RES +1 *21538:Y *2595:11 29.6007 +2 *2595:11 *2595:15 37.2067 +3 *2595:15 *22655:A1 9.24915 +4 *2595:15 *2595:25 10.7545 +5 *2595:25 *21543:A1 9.24915 +6 *2595:25 *22332:B1 26.5928 +*END + +*D_NET *2596 0.0242398 +*CONN +*I *22658:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22334:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21543:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21539:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22658:A1 7.18558e-05 +2 *22334:A1 0.000364215 +3 *21543:B1 8.62505e-05 +4 *21539:Y 0.00115127 +5 *2596:27 0.0010835 +6 *2596:18 0.00248436 +7 *2596:17 0.00185737 +8 *2596:14 0.00137607 +9 *2596:11 0.00244943 +10 *21543:B1 *21543:C1 1.56384e-05 +11 *21543:B1 *3471:24 0.000256726 +12 *22334:A1 *2894:60 0.000211948 +13 *22334:A1 *3052:77 0.000485134 +14 *22658:A1 *3122:39 9.44068e-05 +15 *22658:A1 *3513:26 0.000101503 +16 *2596:11 *24565:CLK 6.50727e-05 +17 *2596:11 *2949:13 2.67788e-05 +18 *2596:11 *5870:712 0.00011393 +19 *2596:14 *2907:6 5.3627e-06 +20 *2596:14 *3206:15 1.66626e-05 +21 *2596:17 *21062:A1 0.000110306 +22 *2596:18 *22671:B 3.88655e-06 +23 *2596:18 *2637:29 2.75175e-05 +24 *2596:18 *3122:39 0.000163672 +25 *2596:18 *3487:82 0.00169306 +26 *2596:18 *3487:118 0.00012593 +27 *2596:18 *3513:26 0.000260303 +28 *2596:27 *22337:B 8.08437e-05 +29 *2596:27 *2894:60 8.42687e-05 +30 *2596:27 *3471:24 0.000144254 +31 *19617:A2 *2596:11 0.000553199 +32 *21193:B1 *2596:18 0.000432289 +33 *21193:B2 *2596:18 1.66626e-05 +34 *21194:C1 *2596:18 9.66809e-05 +35 *21320:A2 *2596:18 0.000250026 +36 *21543:A2 *21543:B1 1.22436e-05 +37 *21543:B2 *21543:B1 0.000207266 +38 *21543:B2 *2596:27 0.000154145 +39 *22332:B1 *22334:A1 4.15661e-05 +40 *1680:59 *2596:14 5.53814e-05 +41 *1680:71 *2596:14 0.000395033 +42 *1680:71 *2596:18 0.00136751 +43 *1680:111 *22334:A1 0.000120278 +44 *1706:156 *2596:14 7.17614e-05 +45 *1735:100 *2596:14 3.09172e-05 +46 *1788:32 *2596:18 0.000164439 +47 *1788:46 *2596:18 0.000871787 +48 *2273:18 *2596:18 5.38297e-06 +49 *2398:100 *2596:14 0.00034672 +50 *2426:89 *2596:14 0.00106295 +51 *2546:28 *2596:18 5.62823e-05 +52 *2577:28 *2596:14 0.00172109 +53 *2577:36 *2596:14 0.000557452 +54 *2595:15 *2596:27 7.98171e-06 +55 *2595:25 *2596:27 0.000629249 +*RES +1 *21539:Y *2596:11 32.4128 +2 *2596:11 *2596:14 49.9335 +3 *2596:14 *2596:17 5.778 +4 *2596:17 *2596:18 59.9673 +5 *2596:18 *2596:27 25.6524 +6 *2596:27 *21543:B1 13.1796 +7 *2596:27 *22334:A1 28.8014 +8 *2596:18 *22658:A1 15.9964 +*END + +*D_NET *2597 0.0271093 +*CONN +*I *21542:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22501:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21540:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21542:A1 0.000546716 +2 *22501:B1 0.000797907 +3 *21540:Y 0.00137228 +4 *2597:30 0.00265713 +5 *2597:29 0.00311683 +6 *2597:15 0.00212212 +7 *2597:12 0.00169007 +8 *21542:A1 *22428:B1 0.000856182 +9 *21542:A1 *22811:C1 7.82454e-06 +10 *21542:A1 *22814:D 0.000418456 +11 *21542:A1 *2997:14 2.71817e-05 +12 *21542:A1 *3531:92 1.5714e-05 +13 *21542:A1 *3533:227 1.5714e-05 +14 *22501:B1 *20535:A1 0.000315378 +15 *22501:B1 *21943:A 5.32501e-05 +16 *22501:B1 *22501:B2 5.83326e-05 +17 *2597:12 *21491:A 4.23858e-05 +18 *2597:12 *2624:54 6.64907e-05 +19 *2597:12 *2709:37 0.000247792 +20 *2597:12 *5869:464 0.000192561 +21 *2597:15 *22428:B1 0.000635797 +22 *2597:29 *21516:A 7.94466e-05 +23 *2597:29 *2812:18 0.000797683 +24 *2597:29 *3028:30 2.97235e-05 +25 *2597:30 *2608:32 0.0016425 +26 *19635:B2 *2597:12 0.000111708 +27 *20535:A2 *22501:B1 0.000631335 +28 *21542:A2 *21542:A1 2.41274e-06 +29 *21542:B2 *21542:A1 0 +30 *22810:B1 *21542:A1 1.47978e-05 +31 *24888:D *2597:12 0.000577996 +32 *1454:59 *2597:29 0.000120469 +33 *1546:32 *2597:30 0.00217709 +34 *1560:8 *2597:30 0.000242141 +35 *1560:24 *2597:30 0.000659864 +36 *1569:78 *2597:12 7.27157e-05 +37 *1582:8 *2597:30 0.000364106 +38 *1668:85 *2597:29 1.65039e-05 +39 *1689:71 *2597:30 6.85395e-05 +40 *1716:46 *2597:29 0.000857343 +41 *1730:240 *2597:15 0 +42 *1731:107 *2597:29 0.000253434 +43 *1752:14 *2597:12 1.59527e-05 +44 *1761:8 *2597:29 0.000125251 +45 *1762:63 *2597:15 0.000460398 +46 *1762:63 *2597:29 0.000687616 +47 *1763:65 *2597:30 5.88009e-05 +48 *1764:65 *2597:12 0.000236939 +49 *1764:71 *21542:A1 1.41976e-05 +50 *1772:22 *2597:29 2.54206e-05 +51 *1794:44 *21542:A1 0.000214555 +52 *1988:8 *2597:30 0.000105837 +53 *1988:15 *2597:30 0.000128233 +54 *1988:38 *2597:30 0.000412282 +55 *1988:45 *2597:30 0.000140135 +56 *2543:28 *2597:29 3.17436e-05 +57 *2548:7 *2597:12 0.000304857 +58 *2571:15 *21542:A1 6.08467e-05 +59 *2571:40 *21542:A1 0.000110306 +*RES +1 *21540:Y *2597:12 49.6998 +2 *2597:12 *2597:15 4.33655 +3 *2597:15 *2597:29 30.2704 +4 *2597:29 *2597:30 65.9885 +5 *2597:30 *22501:B1 29.5192 +6 *2597:15 *21542:A1 22.8695 +*END + +*D_NET *2598 0.0182928 +*CONN +*I *21542:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22799:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22508:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21541:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21542:B1 0.000211279 +2 *22799:A1 0.000445974 +3 *22508:B1 0.000317692 +4 *21541:Y 0.00107168 +5 *2598:17 0.00278344 +6 *2598:12 0.00261024 +7 *2598:11 0.00145086 +8 *21542:B1 *2599:20 1.03403e-05 +9 *21542:B1 *2608:43 4.31703e-05 +10 *22508:B1 *22508:A2 6.08467e-05 +11 *22508:B1 *2632:67 0.000161262 +12 *22508:B1 *2641:80 0.000426777 +13 *22508:B1 *3126:206 0.000426777 +14 *22799:A1 *22726:B2 0 +15 *22799:A1 *22799:A2 2.57847e-05 +16 *22799:A1 *2641:80 0.00021979 +17 *22799:A1 *3126:206 0.000497302 +18 *22799:A1 *3466:56 3.5137e-05 +19 *22799:A1 *3466:67 1.13071e-05 +20 *22799:A1 *3471:60 0.000128586 +21 *22799:A1 *3476:56 6.08467e-05 +22 *2598:11 *2632:53 0.00150979 +23 *2598:11 *2709:37 7.50722e-05 +24 *2598:11 *4927:32 7.86847e-05 +25 *2598:12 *2979:20 6.1438e-05 +26 *2598:12 *3002:33 4.30161e-05 +27 *2598:17 *22513:C 0.000280153 +28 *2598:17 *2997:14 2.52056e-05 +29 *2598:17 *3146:65 5.71321e-06 +30 *2598:17 *3148:239 0.000250775 +31 *2598:17 *4870:134 0.0027468 +32 *21542:B2 *21542:B1 6.08467e-05 +33 *22799:B1 *22799:A1 1.09551e-05 +34 *1454:59 *21542:B1 0.000217616 +35 *1454:59 *2598:12 0.000454258 +36 *1537:36 *22508:B1 3.95516e-05 +37 *1631:10 *21542:B1 0.000152548 +38 *1716:46 *2598:12 2.84131e-05 +39 *1730:240 *2598:17 0.00079252 +40 *1761:8 *21542:B1 6.10827e-05 +41 *1761:8 *2598:12 0.000160661 +42 *1764:71 *21542:B1 0.000158451 +43 *1794:44 *2598:17 1.25931e-05 +44 *1797:41 *22799:A1 2.44118e-05 +45 *2548:7 *21542:B1 4.31703e-05 +*RES +1 *21541:Y *2598:11 41.5274 +2 *2598:11 *2598:12 9.92941 +3 *2598:12 *2598:17 11.8358 +4 *2598:17 *22508:B1 24.6807 +5 *2598:17 *22799:A1 27.0838 +6 *2598:12 *21542:B1 30.7253 +*END + +*D_NET *2599 0.0197843 +*CONN +*I *21543:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21542:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21543:C1 0.000735267 +2 *21542:X 0.00179472 +3 *2599:26 0.00179929 +4 *2599:20 0.00285874 +5 *21543:C1 *3471:24 0.000155662 +6 *21543:C1 *3476:39 0.00134144 +7 *2599:20 *2997:14 0.00180531 +8 *2599:20 *3128:87 5.94811e-05 +9 *2599:20 *3168:30 0.000136166 +10 *2599:20 *3196:108 1.91246e-05 +11 *2599:20 *3208:89 0.000773484 +12 *2599:26 *22809:A1 0.000112278 +13 *2599:26 *2632:112 0.000358801 +14 *2599:26 *2641:80 2.2279e-05 +15 *2599:26 *2751:8 0.000165876 +16 *2599:26 *3208:90 6.12686e-06 +17 *19997:B1 *2599:26 0.000825763 +18 *21493:A2 *2599:26 0.000905055 +19 *21537:A1 *21543:C1 0.0010252 +20 *21537:B2 *21543:C1 3.82228e-05 +21 *21537:C1 *21543:C1 6.63489e-05 +22 *21542:B1 *2599:20 1.03403e-05 +23 *21543:B1 *21543:C1 1.56384e-05 +24 *21550:B *21543:C1 7.11904e-05 +25 *22503:A1 *2599:20 2.01503e-05 +26 *1454:59 *2599:20 7.21868e-05 +27 *1459:87 *2599:20 0.00183003 +28 *1461:261 *2599:20 6.63489e-05 +29 *1588:88 *2599:26 5.69587e-05 +30 *1596:5 *2599:26 0.000110306 +31 *1608:13 *21543:C1 6.36477e-05 +32 *1631:10 *2599:20 6.51637e-05 +33 *1751:35 *21543:C1 0.000244976 +34 *2543:38 *2599:20 0.000124313 +35 *2571:67 *2599:26 0.00202838 +*RES +1 *21542:X *2599:20 49.7705 +2 *2599:20 *2599:26 46.4926 +3 *2599:26 *21543:C1 41.1659 +*END + +*D_NET *2600 0.00523583 +*CONN +*I *21550:C I *D sky130_fd_sc_hd__and4_1 +*I *21543:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21550:C 0 +2 *21543:X 0.00156963 +3 *2600:11 0.00156963 +4 *2600:11 *2613:101 6.85742e-05 +5 *21493:B1 *2600:11 0.000163635 +6 *21543:A2 *2600:11 0.000156955 +7 *21543:B2 *2600:11 1.96574e-05 +8 *1584:129 *2600:11 0.0009383 +9 *1751:35 *2600:11 0.000573987 +10 *2508:45 *2600:11 6.51637e-05 +11 *2595:25 *2600:11 0.000110306 +*RES +1 *21543:X *2600:11 44.406 +2 *2600:11 *21550:C 9.24915 +*END + +*D_NET *2601 0.0369902 +*CONN +*I *22319:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *22666:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21549:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21544:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22319:A1 0.000760759 +2 *22666:B1 0.000466558 +3 *21549:A1 0.000418774 +4 *21544:Y 0.000965763 +5 *2601:58 0.00316389 +6 *2601:40 0.00356956 +7 *2601:36 0.00244036 +8 *2601:26 0.00207009 +9 *2601:23 0.00116238 +10 *2601:8 0.00196816 +11 *21549:A1 *21808:D 6.08467e-05 +12 *21549:A1 *22555:A2 6.08467e-05 +13 *21549:A1 *3186:14 3.29488e-05 +14 *21549:A1 *3511:68 5.32158e-05 +15 *21549:A1 *3511:147 1.68444e-05 +16 *22319:A1 *21975:A1 0.000200794 +17 *22319:A1 *21975:C1 0 +18 *22319:A1 *22025:A 3.01683e-06 +19 *22319:A1 *22319:B2 3.01683e-06 +20 *22319:A1 *2989:5 0.000103686 +21 *22319:A1 *3038:17 0.000135973 +22 *22319:A1 *3162:150 0.000492986 +23 *22319:A1 *3162:156 0.000438346 +24 *22319:A1 *3164:158 2.20593e-05 +25 *22319:A1 *3164:180 5.8973e-05 +26 *22666:B1 *22223:B2 3.55859e-05 +27 *22666:B1 *3511:147 6.71668e-05 +28 *22666:B1 *3533:43 3.63593e-05 +29 *2601:8 *2986:17 0.00063458 +30 *2601:8 *3070:20 0 +31 *2601:8 *5320:10 6.97621e-06 +32 *2601:8 *5853:81 0.000205006 +33 *2601:23 *19851:A 8.62625e-06 +34 *2601:23 *19886:A 1.23467e-05 +35 *2601:23 *22251:B1 6.08467e-05 +36 *2601:23 *2826:19 0.000918638 +37 *2601:23 *3015:10 4.37898e-05 +38 *2601:23 *3070:20 4.46284e-06 +39 *2601:23 *3166:130 0.000313342 +40 *2601:23 *3168:160 5.44886e-05 +41 *2601:23 *3220:12 9.68883e-05 +42 *2601:23 *4844:681 4.27003e-05 +43 *2601:26 *2822:8 3.40841e-05 +44 *2601:26 *2986:25 0.000178804 +45 *2601:36 *3015:10 0.000722096 +46 *2601:36 *3015:14 0.000198473 +47 *2601:36 *3162:150 0.000444724 +48 *2601:40 *3015:14 6.1061e-06 +49 *2601:40 *3153:204 1.66771e-05 +50 *2601:40 *3509:189 0.000318739 +51 *2601:40 *3546:13 0.00134485 +52 *2601:58 *22018:B 0.000128304 +53 *2601:58 *22062:C 2.57465e-06 +54 *2601:58 *22325:A2 5.76799e-05 +55 *2601:58 *3022:45 0.00140659 +56 *2601:58 *3111:186 0.000107496 +57 *2601:58 *3120:163 0 +58 *2601:58 *3511:147 0.000422469 +59 *2601:58 *5457:13 8.41266e-06 +60 *19863:B1 *2601:40 4.70498e-05 +61 *19864:B2 *2601:40 0.00016201 +62 *20443:B2 *2601:8 4.36466e-06 +63 *20706:B2 *2601:8 0.000107496 +64 *21549:A2 *21549:A1 9.12416e-06 +65 *21975:A2 *22319:A1 7.13655e-06 +66 *21975:B2 *22319:A1 1.66771e-05 +67 *22023:A2 *2601:23 0.000106696 +68 *22029:B2 *2601:58 0.000205039 +69 *22054:B2 *2601:58 8.91782e-05 +70 *22220:A1 *2601:23 0.000110306 +71 *22319:B1 *22319:A1 0.000124064 +72 *22575:B1 *2601:36 5.01835e-05 +73 *24429:D *2601:8 9.82974e-06 +74 *24433:D *2601:8 1.98996e-05 +75 *324:27 *21549:A1 0.000572409 +76 *324:27 *22666:B1 0.000466081 +77 *1545:118 *2601:58 0.00173617 +78 *1576:59 *2601:58 0.000181094 +79 *1584:49 *22666:B1 2.44692e-05 +80 *1588:131 *21549:A1 1.29445e-05 +81 *1614:36 *22666:B1 0.000147238 +82 *1614:75 *2601:58 0.000190125 +83 *1616:195 *2601:40 0.000596916 +84 *1617:40 *2601:40 0.000191818 +85 *1617:50 *2601:40 1.43361e-05 +86 *1620:60 *2601:58 3.74433e-05 +87 *1620:93 *2601:58 0.000155131 +88 *1627:160 *22319:A1 0 +89 *1631:106 *2601:26 0.000284862 +90 *1644:10 *2601:36 0.00018529 +91 *1644:14 *2601:36 0.000104543 +92 *1654:12 *2601:8 0.00173762 +93 *1654:12 *2601:23 3.46717e-05 +94 *1654:29 *2601:23 0.000704194 +95 *1654:33 *2601:36 0.0001624 +96 *1655:161 *2601:36 5.4729e-06 +97 *1655:161 *2601:40 0.000633767 +98 *2042:10 *2601:8 5.77352e-05 +99 *2042:28 *2601:8 0.000566405 +100 *2117:180 *2601:40 6.22114e-05 +101 *2293:13 *2601:23 0.000200794 +102 *2293:16 *2601:23 5.93547e-06 +103 *2589:53 *2601:58 0.000239378 +*RES +1 *21544:Y *2601:8 49.3199 +2 *2601:8 *2601:23 48.0049 +3 *2601:23 *2601:26 10.0693 +4 *2601:26 *2601:36 31.7541 +5 *2601:36 *2601:40 44.7428 +6 *2601:40 *2601:58 41.221 +7 *2601:58 *21549:A1 19.4882 +8 *2601:58 *22666:B1 21.1034 +9 *2601:26 *22319:A1 37.2416 +*END + +*D_NET *2602 0.0480406 +*CONN +*I *22324:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21549:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21545:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22324:A1 0.000462239 +2 *21549:B1 0.00173791 +3 *21545:Y 0.000435629 +4 *2602:32 0.00665399 +5 *2602:23 0.00563587 +6 *2602:12 0.002893 +7 *2602:10 0.00301746 +8 *2602:7 0.00174211 +9 *21549:B1 *21630:B1 0.000138125 +10 *21549:B1 *22054:A1 6.03122e-05 +11 *21549:B1 *22269:B1 0.000790942 +12 *21549:B1 *22270:B2 0.000616776 +13 *21549:B1 *22324:A2 9.5146e-06 +14 *21549:B1 *22556:B1 0.000110106 +15 *21549:B1 *2604:50 0.000553359 +16 *21549:B1 *5904:64 4.02705e-06 +17 *22324:A1 *21630:B1 0.000144592 +18 *22324:A1 *22018:B 1.66771e-05 +19 *22324:A1 *22324:A2 9.86282e-05 +20 *22324:A1 *3064:44 5.39635e-06 +21 *22324:A1 *3232:25 6.3657e-05 +22 *22324:A1 *3511:147 1.11913e-05 +23 *2602:7 *2950:13 0.000652984 +24 *2602:10 *24641:CLK 0.000693614 +25 *2602:10 *2818:12 0 +26 *2602:10 *4843:38 0.000731367 +27 *2602:12 *20477:A1 0.000148129 +28 *2602:12 *2764:42 0.000163758 +29 *2602:12 *2837:8 0.000507955 +30 *2602:12 *2853:22 0.00117317 +31 *2602:12 *2987:10 0.000195139 +32 *2602:12 *4843:38 4.04843e-05 +33 *2602:12 *4843:43 0.000190057 +34 *2602:12 *5257:10 0.000329489 +35 *2602:12 *5266:8 0.000101148 +36 *2602:23 *4869:42 2.32834e-05 +37 *2602:23 *4942:16 7.14746e-05 +38 *2602:23 *4942:21 2.8182e-06 +39 *2602:32 *20388:B1 0 +40 *2602:32 *21909:A1 4.12192e-05 +41 *2602:32 *22054:A1 6.69933e-05 +42 *2602:32 *2728:41 0 +43 *2602:32 *2738:13 0.000360202 +44 *2602:32 *2918:20 0.000373347 +45 *2602:32 *2986:17 2.05082e-05 +46 *2602:32 *3134:145 0.00288814 +47 *2602:32 *3134:168 0.00153797 +48 *2602:32 *3134:194 0.00024753 +49 *2602:32 *3509:175 0.000472065 +50 *2602:32 *4869:23 0.000161667 +51 *19863:B1 *2602:32 2.97235e-05 +52 *19895:A2 *2602:32 0.000171795 +53 *20392:A2 *2602:23 0.000104572 +54 *20392:B2 *2602:23 2.3527e-05 +55 *21701:B2 *2602:32 6.57652e-05 +56 *24388:D *2602:10 5.53934e-05 +57 *1439:438 *2602:23 0.000828696 +58 *1564:134 *21549:B1 0.000202101 +59 *1565:12 *2602:10 0.000280082 +60 *1565:12 *2602:12 0.000304044 +61 *1588:131 *21549:B1 0.00108327 +62 *1591:39 *2602:32 0.000113478 +63 *1596:65 *21549:B1 5.75498e-05 +64 *1614:75 *2602:32 0.00191866 +65 *1626:8 *2602:32 0.0010288 +66 *1641:141 *22324:A1 2.16355e-05 +67 *1656:37 *2602:32 0.000213458 +68 *1707:16 *2602:23 7.50722e-05 +69 *1707:32 *2602:32 0.000404468 +70 *1720:11 *2602:32 0.000287962 +71 *1721:118 *21549:B1 0.000545475 +72 *1725:144 *21549:B1 0.000340425 +73 *1725:181 *2602:32 0.000664008 +74 *1732:10 *2602:12 0.00112173 +75 *2298:8 *2602:12 0.000450235 +76 *2564:8 *2602:10 6.54305e-05 +77 *2564:8 *2602:12 0 +78 *2564:68 *21549:B1 0.000472065 +79 *2566:28 *2602:32 0.000159561 +80 *2569:27 *21549:B1 0.000555597 +*RES +1 *21545:Y *2602:7 21.1278 +2 *2602:7 *2602:10 41.7573 +3 *2602:10 *2602:12 65.6221 +4 *2602:12 *2602:23 36.947 +5 *2602:23 *2602:32 38.8056 +6 *2602:32 *21549:B1 35.1285 +7 *2602:32 *22324:A1 19.073 +*END + +*D_NET *2603 0.0801848 +*CONN +*I *22812:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21548:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22511:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21546:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22812:A1 9.39772e-05 +2 *21548:A1 0.000919489 +3 *22511:A1 0.0017499 +4 *21546:Y 0.00183352 +5 *2603:104 0.00252204 +6 *2603:100 0.00360679 +7 *2603:96 0.00161775 +8 *2603:77 0.00172302 +9 *2603:74 0.00310486 +10 *2603:69 0.00435931 +11 *2603:59 0.0047276 +12 *2603:29 0.0032712 +13 *2603:20 0.00545086 +14 *2603:16 0.00188683 +15 *2603:13 0.00289943 +16 *21548:A1 *21548:B1 0.000200794 +17 *21548:A1 *21777:B1 0.000168374 +18 *21548:A1 *21808:A 0.00025175 +19 *21548:A1 *21825:A1 0.0005874 +20 *21548:A1 *2605:13 7.0512e-05 +21 *21548:A1 *2852:49 0.000502062 +22 *21548:A1 *2860:20 0.00104759 +23 *21548:A1 *3122:256 0.000171986 +24 *22511:A1 *20685:A1 2.69785e-05 +25 *22511:A1 *20685:B1 0.000103123 +26 *22511:A1 *22467:A2 9.54065e-06 +27 *22511:A1 *22512:A2 0.000120282 +28 *22511:A1 *2651:13 0.000398704 +29 *22511:A1 *3206:48 7.79877e-06 +30 *22812:A1 *3541:119 0.000387391 +31 *2603:13 *2704:10 0.000103293 +32 *2603:13 *5812:22 0.000106891 +33 *2603:13 *5917:460 0.000205006 +34 *2603:16 *2623:18 5.91325e-05 +35 *2603:16 *5994:8 0.00218033 +36 *2603:16 *6001:84 0.000211262 +37 *2603:20 *20159:B1 0.000205581 +38 *2603:20 *2789:8 0.000102517 +39 *2603:20 *2789:12 1.50332e-05 +40 *2603:20 *2948:15 0.000107803 +41 *2603:20 *4798:56 9.13616e-06 +42 *2603:20 *5854:148 0.000190727 +43 *2603:29 *20159:A1 1.28832e-05 +44 *2603:29 *24635:CLK 1.15389e-05 +45 *2603:29 *4798:61 0.000113968 +46 *2603:29 *4905:47 0.00143815 +47 *2603:29 *5869:68 0.000123688 +48 *2603:59 *20155:B1 0.000322839 +49 *2603:59 *24609:CLK 0.000108438 +50 *2603:59 *24798:RESET_B 7.92757e-06 +51 *2603:59 *2715:38 6.23101e-05 +52 *2603:59 *2753:19 0.00515816 +53 *2603:59 *2789:12 0.000107724 +54 *2603:59 *2839:27 0.00154033 +55 *2603:59 *3373:24 0.00157341 +56 *2603:59 *4798:56 3.30201e-05 +57 *2603:59 *4878:59 0.000156156 +58 *2603:59 *5671:100 0.000100822 +59 *2603:59 *5869:201 0.000294241 +60 *2603:69 *20562:A1 7.50015e-05 +61 *2603:69 *20565:B1 1.1573e-05 +62 *2603:69 *23165:B1 0.000145506 +63 *2603:69 *24529:CLK 0.000137371 +64 *2603:69 *2839:27 0 +65 *2603:69 *4751:19 0.000838547 +66 *2603:69 *4855:14 6.65016e-05 +67 *2603:69 *4916:14 1.69932e-05 +68 *2603:74 *2863:8 0.000364933 +69 *2603:74 *5851:84 1.47488e-05 +70 *2603:77 *3004:16 0.000642614 +71 *2603:77 *3388:14 0.000658131 +72 *2603:96 *22770:B1 1.73551e-05 +73 *2603:96 *24552:RESET_B 1.16164e-05 +74 *2603:96 *2608:26 8.28666e-05 +75 *2603:96 *2614:38 4.79086e-05 +76 *2603:96 *3004:16 0.000221886 +77 *2603:96 *3388:14 0.000252274 +78 *2603:100 *24552:RESET_B 0.000141828 +79 *2603:100 *2604:28 0.00103004 +80 *2603:100 *2608:26 7.64088e-05 +81 *2603:100 *2838:76 0.000152173 +82 *2603:100 *3368:14 8.03699e-06 +83 *2603:100 *5852:125 0.000194375 +84 *2603:104 *22443:A 6.3314e-05 +85 *2603:104 *22443:B 6.7671e-06 +86 *2603:104 *22444:C1 1.82679e-05 +87 *2603:104 *22510:C1 0.000142499 +88 *2603:104 *22776:B1 5.09584e-05 +89 *2603:104 *2883:32 7.70327e-05 +90 *2603:104 *3027:17 0.00135271 +91 *2603:104 *3122:215 0.000124221 +92 *2603:104 *3543:171 0.000538733 +93 *2603:104 *3543:176 8.13122e-05 +94 *20483:A *2603:74 0.0002211 +95 *20488:B2 *2603:74 0.000158451 +96 *20533:A2 *2603:96 0.000325774 +97 *20685:B2 *22511:A1 1.43848e-05 +98 *21351:B1 *2603:59 5.60804e-05 +99 *22512:B1 *22511:A1 0.000143015 +100 *22812:B1 *22812:A1 0.000387391 +101 *24062:A0 *2603:16 0.000115772 +102 *24552:D *2603:96 9.66809e-05 +103 *24798:D *2603:59 0.000550981 +104 *460:39 *2603:59 0.000117093 +105 *1427:83 *2603:69 1.79334e-05 +106 *1455:99 *2603:69 3.87111e-05 +107 *1455:105 *2603:74 2.74453e-05 +108 *1461:248 *2603:29 0.000211492 +109 *1467:117 *2603:13 0.000535309 +110 *1482:81 *2603:20 1.91391e-05 +111 *1483:26 *2603:69 0.00153365 +112 *1485:142 *2603:69 0.000194426 +113 *1506:87 *2603:16 0.00128755 +114 *1546:32 *2603:100 0.000114417 +115 *1560:8 *2603:100 0.00163462 +116 *1605:50 *2603:104 0.00029695 +117 *1605:57 *2603:104 0.000182401 +118 *1638:11 *22511:A1 0.000118166 +119 *1683:29 *22511:A1 0.000209254 +120 *1683:29 *2603:29 0.00145531 +121 *1689:181 *21548:A1 5.33185e-05 +122 *1725:17 *2603:13 0.00156691 +123 *1740:125 *2603:74 0.000204294 +124 *1744:110 *2603:16 0.000333497 +125 *1786:65 *2603:69 0 +126 *1795:62 *2603:100 0.000124008 +127 *1808:23 *22511:A1 2.38934e-06 +128 *1972:7 *2603:74 0.000107948 +129 *1973:9 *2603:74 0.000419028 +130 *1974:5 *2603:74 0.000156955 +131 *2502:11 *2603:13 0.000371691 +132 *2523:52 *2603:74 0.000633581 +*RES +1 *21546:Y *2603:13 30.7002 +2 *2603:13 *2603:16 49.3106 +3 *2603:16 *2603:20 21.4611 +4 *2603:20 *2603:29 44.8173 +5 *2603:29 *22511:A1 45.483 +6 *2603:20 *2603:59 49.3442 +7 *2603:59 *2603:69 46.7039 +8 *2603:69 *2603:74 46.3938 +9 *2603:74 *2603:77 17.7514 +10 *2603:77 *21548:A1 35.3882 +11 *2603:77 *2603:96 14.1919 +12 *2603:96 *2603:100 45.1581 +13 *2603:100 *2603:104 47.2515 +14 *2603:104 *22812:A1 18.3548 +*END + +*D_NET *2604 0.0662098 +*CONN +*I *22335:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22668:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21548:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21547:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22335:A1 0.00405141 +2 *22668:A1 0.000401738 +3 *21548:B1 0.000425118 +4 *21547:Y 0 +5 *2604:50 0.00815603 +6 *2604:28 0.00649536 +7 *2604:21 0.00347667 +8 *2604:18 0.00195601 +9 *2604:14 0.00155619 +10 *2604:6 0.00267051 +11 *2604:5 0.00196102 +12 *21548:B1 *21808:A 0.000191896 +13 *21548:B1 *21825:A1 0.000208742 +14 *21548:B1 *22757:A1 0.00065506 +15 *21548:B1 *2605:13 0.000107496 +16 *21548:B1 *2860:20 2.53221e-06 +17 *21548:B1 *3452:6 1.91246e-05 +18 *21548:B1 *3513:121 1.5714e-05 +19 *22335:A1 *22005:A1 3.17595e-05 +20 *22335:A1 *22246:A2 7.40684e-06 +21 *22335:A1 *22269:B1 4.67154e-06 +22 *22335:A1 *22336:A2 1.60664e-05 +23 *22335:A1 *23925:B 0.000133626 +24 *22335:A1 *3019:23 5.46889e-05 +25 *22335:A1 *3071:57 2.54499e-05 +26 *22335:A1 *3106:42 7.40684e-06 +27 *22335:A1 *3206:146 0.000400461 +28 *22335:A1 *3507:156 5.28904e-05 +29 *22335:A1 *3511:147 0.000711956 +30 *22335:A1 *3521:41 4.0986e-05 +31 *22335:A1 *3547:17 1.1235e-05 +32 *22335:A1 *4808:76 0.000426125 +33 *22668:A1 *22668:A2 5.04829e-06 +34 *22668:A1 *22668:B2 6.08467e-05 +35 *22668:A1 *2825:92 1.39173e-05 +36 *22668:A1 *3613:10 0 +37 *2604:6 *2614:8 0.00241623 +38 *2604:6 *2954:12 0.00101639 +39 *2604:6 *4841:57 0 +40 *2604:14 *24453:CLK 6.64528e-05 +41 *2604:14 *2620:47 5.18899e-06 +42 *2604:14 *2889:20 0.000811169 +43 *2604:18 *2614:18 1.55462e-05 +44 *2604:18 *2889:20 4.31822e-05 +45 *2604:18 *3065:19 1.19351e-05 +46 *2604:18 *3065:27 0.000934319 +47 *2604:18 *3164:113 0.00117492 +48 *2604:18 *3175:112 4.65545e-06 +49 *2604:18 *3175:122 0.000279076 +50 *2604:28 *22047:B1 0.00127934 +51 *2604:28 *22053:B1 5.25863e-05 +52 *2604:28 *22757:A1 0.00119698 +53 *2604:28 *2608:26 0.000221086 +54 *2604:28 *2779:41 3.17436e-05 +55 *2604:28 *3069:6 0.000107856 +56 *2604:50 *21795:A1 0.000737953 +57 *2604:50 *22269:B1 1.45891e-05 +58 *2604:50 *2807:39 0.00194403 +59 *2604:50 *2810:60 5.60804e-05 +60 *2604:50 *2856:15 0.000890135 +61 *2604:50 *2856:29 0.0014039 +62 *2604:50 *3106:81 0.000525152 +63 *2604:50 *3411:57 0.000127666 +64 *2604:50 *3471:227 0.00047012 +65 *2604:50 *3531:128 4.2429e-05 +66 *2604:50 *3541:180 0.000493173 +67 *2604:50 *3547:17 5.86498e-05 +68 *19801:B2 *22668:A1 0.000298575 +69 *20649:A2 *2604:6 3.43341e-05 +70 *20928:A *2604:21 0.000191834 +71 *21144:B *2604:6 0.00033614 +72 *21548:A1 *21548:B1 0.000200794 +73 *21549:B1 *2604:50 0.000553359 +74 *22010:A2 *2604:50 4.03873e-06 +75 *22336:B1 *22335:A1 0.000101489 +76 *22662:B1 *22335:A1 0 +77 *24399:D *2604:6 0.000148129 +78 *518:15 *2604:50 3.34307e-05 +79 *1537:171 *21548:B1 0.000658435 +80 *1537:171 *2604:28 0.00122017 +81 *1560:8 *2604:28 0.000190584 +82 *1561:125 *2604:21 0.000156363 +83 *1566:74 *2604:14 0.000123582 +84 *1569:9 *2604:6 8.21849e-06 +85 *1573:196 *22668:A1 7.92757e-06 +86 *1588:131 *2604:50 0.000758448 +87 *1624:23 *2604:28 0.000384027 +88 *1627:49 *22335:A1 0.00226292 +89 *1627:79 *22335:A1 5.60804e-05 +90 *1689:181 *21548:B1 7.51866e-05 +91 *1721:118 *2604:50 0.000170889 +92 *1795:62 *2604:28 0.00120156 +93 *1829:105 *2604:6 0.00106615 +94 *2057:10 *2604:6 0.000122083 +95 *2057:23 *2604:6 0.000168053 +96 *2058:14 *2604:6 0.000597208 +97 *2058:18 *2604:6 0.00100923 +98 *2152:5 *2604:21 0.000107496 +99 *2231:6 *2604:6 0.000867015 +100 *2502:74 *22335:A1 1.11186e-05 +101 *2541:36 *22335:A1 7.61596e-06 +102 *2543:28 *2604:28 1.91246e-05 +103 *2557:20 *22335:A1 0.000394623 +104 *2592:6 *2604:6 0 +105 *2592:6 *2604:14 0.000279247 +106 *2592:6 *2604:18 0.000566615 +107 *2603:100 *2604:28 0.00103004 +*RES +1 *21547:Y *2604:5 13.7491 +2 *2604:5 *2604:6 89.4502 +3 *2604:6 *2604:14 28.6963 +4 *2604:14 *2604:18 36.4378 +5 *2604:18 *2604:21 20.7523 +6 *2604:21 *2604:28 44.9686 +7 *2604:28 *21548:B1 22.1144 +8 *2604:28 *2604:50 10.3154 +9 *2604:50 *22668:A1 25.4136 +10 *2604:50 *22335:A1 43.7508 +*END + +*D_NET *2605 0.0107677 +*CONN +*I *21549:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21548:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21549:C1 0 +2 *21548:X 0.000851342 +3 *2605:29 0.00129606 +4 *2605:13 0.0021474 +5 *2605:13 *2685:42 0.00062923 +6 *2605:13 *2852:49 0.000327441 +7 *2605:13 *2854:21 0.000156946 +8 *2605:13 *2863:40 0.00082661 +9 *2605:13 *3128:142 0.000122834 +10 *2605:13 *3190:58 0.000122834 +11 *2605:29 *21808:C 1.61631e-05 +12 *2605:29 *21902:B 3.82228e-05 +13 *2605:29 *22555:A2 0.000122488 +14 *2605:29 *22555:B2 7.92757e-06 +15 *2605:29 *22555:C1 0.000247443 +16 *2605:29 *2856:45 0.000636347 +17 *2605:29 *2857:52 0.0001214 +18 *2605:29 *4850:7 0.000398169 +19 *21548:A1 *2605:13 7.0512e-05 +20 *21548:A2 *2605:13 3.31745e-05 +21 *21548:B1 *2605:13 0.000107496 +22 *21549:B2 *2605:29 2.53145e-06 +23 *21838:A2 *2605:13 4.97617e-05 +24 *21838:B2 *2605:29 0.000164207 +25 *22555:A1 *2605:29 6.08467e-05 +26 *22555:B1 *2605:29 0.00010292 +27 *1583:103 *2605:29 0.000761449 +28 *1586:33 *2605:29 0.00011393 +29 *1689:181 *2605:13 0.000154145 +30 *1773:67 *2605:13 0.00106407 +31 *1773:67 *2605:29 1.37669e-05 +*RES +1 *21548:X *2605:13 49.5581 +2 *2605:13 *2605:29 41.4905 +3 *2605:29 *21549:C1 9.24915 +*END + +*D_NET *2606 0.00886581 +*CONN +*I *21550:D I *D sky130_fd_sc_hd__and4_1 +*I *21549:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21550:D 0 +2 *21549:X 4.51842e-05 +3 *2606:8 0.00177764 +4 *2606:7 0.00182282 +5 *2606:7 *22223:A2 6.08467e-05 +6 *2606:8 *3023:42 0.00265374 +7 *2606:8 *3489:17 0.00132291 +8 *2606:8 *4825:146 3.27606e-06 +9 *22540:B1 *2606:8 1.29445e-05 +10 *1583:74 *2606:8 4.42033e-05 +11 *1648:47 *2606:8 0.00112146 +12 *1662:80 *2606:8 7.8756e-07 +*RES +1 *21549:X *2606:7 14.4725 +2 *2606:7 *2606:8 55.8148 +3 *2606:8 *21550:D 13.7491 +*END + +*D_NET *2607 0.00228309 +*CONN +*I *21591:B I *D sky130_fd_sc_hd__and4_1 +*I *21550:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21591:B 0.000461503 +2 *21550:X 0.000461503 +3 *21591:B *3023:42 0.000632485 +4 *21591:B *3519:93 1.91391e-05 +5 *21591:B *3736:31 0.000285466 +6 *21525:D *21591:B 0.000110306 +7 *21592:A *21591:B 3.82228e-05 +8 *2502:59 *21591:B 2.87332e-05 +9 *2549:17 *21591:B 0.000245733 +*RES +1 *21550:X *21591:B 42.2353 +*END + +*D_NET *2608 0.0402403 +*CONN +*I *22506:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *22811:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21565:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21551:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22506:A1 0.000958435 +2 *22811:A1 6.05377e-05 +3 *21565:A1 0 +4 *21551:Y 0.000659609 +5 *2608:62 0.00230437 +6 *2608:43 0.00311274 +7 *2608:32 0.00229749 +8 *2608:31 0.00181398 +9 *2608:26 0.00221908 +10 *2608:25 0.00270792 +11 *2608:14 0.00237121 +12 *22506:A1 *21479:A 6.27718e-05 +13 *22506:A1 *22506:A2 8.73932e-05 +14 *22506:A1 *22506:B2 1.65872e-05 +15 *22506:A1 *3106:169 3.54295e-05 +16 *22811:A1 *22811:A2 6.08467e-05 +17 *22811:A1 *22811:B2 2.81262e-05 +18 *22811:A1 *3529:106 1.09551e-05 +19 *2608:14 *2614:17 2.82537e-05 +20 *2608:14 *3106:169 2.93111e-05 +21 *2608:14 *4932:31 0.000387074 +22 *2608:14 *5867:66 2.27135e-05 +23 *2608:25 *20864:B1 5.92722e-05 +24 *2608:25 *24361:CLK 0.000188713 +25 *2608:25 *3106:169 7.01935e-06 +26 *2608:25 *4138:124 1.41976e-05 +27 *2608:26 *2614:35 9.73639e-05 +28 *2608:26 *2838:76 3.73642e-05 +29 *2608:26 *3069:6 0.000367142 +30 *2608:26 *3368:14 7.32908e-05 +31 *2608:26 *3529:155 0.000776644 +32 *2608:26 *3533:79 8.93085e-05 +33 *2608:26 *5269:10 0.000166213 +34 *2608:26 *5858:59 3.88655e-06 +35 *2608:26 *5904:86 0.000688293 +36 *2608:31 *22770:B1 0.000200794 +37 *2608:32 *2709:24 0.000630449 +38 *2608:32 *2833:73 4.08724e-05 +39 *2608:32 *2993:48 3.50759e-05 +40 *2608:32 *5869:588 4.87595e-05 +41 *2608:32 *5869:594 0.000233822 +42 *2608:32 *5869:611 0.000254863 +43 *2608:43 *22811:B2 5.04829e-06 +44 *2608:43 *2709:24 1.97394e-05 +45 *2608:43 *2709:37 0.000381835 +46 *2608:62 *22499:C1 0.000170967 +47 *2608:62 *22736:A2 0.000394599 +48 *2608:62 *2620:76 0.000504996 +49 *2608:62 *2708:32 0.000690663 +50 *2608:62 *2998:28 0.000318125 +51 *2608:62 *3004:31 8.17829e-06 +52 *2608:62 *3166:36 0.000522981 +53 *2608:62 *3175:57 2.38904e-05 +54 *2608:62 *3175:71 0.000695705 +55 *2608:62 *3177:208 0.00033536 +56 *2608:62 *3421:17 6.03237e-05 +57 *2608:62 *3507:78 3.66677e-05 +58 *2608:62 *3523:35 0.000174717 +59 *2608:62 *3531:92 0.000290643 +60 *2608:62 *3533:235 0.000295137 +61 *2608:62 *3678:21 0.000580102 +62 *2608:62 *3699:23 6.03237e-05 +63 *20489:A2 *2608:32 9.59822e-06 +64 *20489:A2 *2608:43 9.69821e-05 +65 *21456:B1 *2608:62 1.91391e-05 +66 *21542:B1 *2608:43 4.31703e-05 +67 *22499:B1 *2608:62 2.1203e-06 +68 *22503:A1 *22811:A1 0 +69 *22507:A1 *2608:62 7.13655e-06 +70 *22811:B1 *22811:A1 0 +71 *22811:B1 *2608:43 0.000167069 +72 *24361:D *2608:14 0.000101998 +73 *24552:D *2608:26 4.15661e-05 +74 *24552:D *2608:31 4.97617e-05 +75 *1550:78 *2608:26 3.35268e-05 +76 *1560:24 *2608:32 0.000459448 +77 *1566:68 *2608:14 0.000742904 +78 *1569:78 *2608:32 1.76791e-05 +79 *1594:27 *2608:26 1.91391e-05 +80 *1594:30 *2608:14 0.000602972 +81 *1624:62 *2608:26 0 +82 *1689:71 *2608:32 7.5403e-05 +83 *1689:71 *2608:43 0.000121475 +84 *1689:79 *2608:32 0.000686677 +85 *1725:115 *2608:62 0.000346971 +86 *1731:107 *2608:32 0.000847481 +87 *1744:171 *2608:32 0.000266693 +88 *1752:14 *22811:A1 0.000154145 +89 *1752:14 *2608:43 0.000922323 +90 *1764:65 *2608:43 0.00025456 +91 *1795:62 *2608:26 0.0017089 +92 *2126:41 *2608:14 3.93599e-05 +93 *2127:21 *2608:25 0.000342673 +94 *2502:42 *2608:62 9.7206e-05 +95 *2536:8 *22506:A1 2.16355e-05 +96 *2543:28 *2608:26 1.91246e-05 +97 *2548:7 *2608:43 0.000113398 +98 *2592:28 *2608:26 0.000927038 +99 *2597:30 *2608:32 0.0016425 +100 *2603:96 *2608:26 8.28666e-05 +101 *2603:100 *2608:26 7.64088e-05 +102 *2604:28 *2608:26 0.000221086 +*RES +1 *21551:Y *2608:14 42.4803 +2 *2608:14 *2608:25 18.775 +3 *2608:25 *2608:26 67.0266 +4 *2608:26 *2608:31 13.051 +5 *2608:31 *2608:32 56.8529 +6 *2608:32 *2608:43 29.2524 +7 *2608:43 *2608:62 44.6361 +8 *2608:62 *21565:A1 9.24915 +9 *2608:43 *22811:A1 11.6605 +10 *2608:14 *22506:A1 28.2986 +*END + +*D_NET *2609 0.0198543 +*CONN +*I *21554:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21552:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21554:A1 0 +2 *21552:Y 0.000933027 +3 *2609:28 0.00129305 +4 *2609:24 0.00297408 +5 *2609:17 0.00317858 +6 *2609:10 0.00243058 +7 *2609:10 *23966:A1 0 +8 *2609:10 *24751:CLK 0.000639353 +9 *2609:10 *3212:87 0.000209232 +10 *2609:10 *4919:63 0.000387798 +11 *2609:10 *5860:544 0 +12 *2609:17 *19611:B1 4.32352e-05 +13 *2609:17 *4919:63 0 +14 *2609:24 *21063:B1 5.59365e-05 +15 *2609:24 *21650:A 0.000244818 +16 *2609:24 *21652:A1 0.00024059 +17 *2609:24 *24772:RESET_B 3.89332e-06 +18 *2609:24 *2688:6 6.1096e-05 +19 *2609:24 *2894:20 0.000564177 +20 *2609:24 *2975:50 7.48886e-05 +21 *2609:24 *3144:16 3.15043e-05 +22 *2609:24 *5859:343 7.00115e-05 +23 *2609:24 *5864:11 0.000106874 +24 *2609:24 *5870:505 7.83227e-05 +25 *2609:24 *5870:516 0.000104799 +26 *2609:24 *5870:527 9.9363e-05 +27 *2609:24 *5870:542 3.75e-05 +28 *2609:28 *21063:A1 0.000202693 +29 *2609:28 *24232:CLK 5.01835e-05 +30 *2609:28 *2800:46 0.000691149 +31 *2609:28 *2894:20 0.000116738 +32 *2609:28 *2894:39 0.000293224 +33 *2609:28 *3126:228 0.000312985 +34 *19613:B2 *2609:24 5.68793e-05 +35 *19615:A2 *2609:10 5.89872e-05 +36 *21352:B2 *2609:24 2.02035e-05 +37 *21371:B2 *2609:24 1.91246e-05 +38 *21371:C1 *2609:24 1.12605e-05 +39 *21378:B1 *2609:17 0.000414572 +40 *21894:B2 *2609:28 0.000204029 +41 *21915:B2 *2609:24 4.04556e-05 +42 *24896:D *2609:24 0.000101605 +43 *24898:D *2609:10 4.96687e-05 +44 *24902:D *2609:17 8.69817e-05 +45 *1453:51 *2609:24 0.000169993 +46 *1453:60 *2609:24 0.000133522 +47 *1551:28 *2609:17 0.000162038 +48 *1645:68 *2609:28 0.000344432 +49 *1649:67 *2609:28 7.74848e-05 +50 *2424:8 *2609:10 0 +51 *2424:8 *2609:17 0.0014889 +52 *2434:8 *2609:10 0 +53 *2471:17 *2609:17 0.000393479 +54 *2581:39 *2609:24 0.000234343 +55 *2581:42 *2609:24 5.51537e-05 +56 *2581:42 *2609:28 0.000201538 +*RES +1 *21552:Y *2609:10 37.3509 +2 *2609:10 *2609:17 48.4442 +3 *2609:17 *2609:24 46.2396 +4 *2609:24 *2609:28 41.2132 +5 *2609:28 *21554:A1 9.24915 +*END + +*D_NET *2610 0.0840106 +*CONN +*I *22331:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21554:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21553:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22331:B1 0.000796348 +2 *21554:B1 0.000275098 +3 *21553:Y 0.00162833 +4 *2610:83 0.00354326 +5 *2610:70 0.00421063 +6 *2610:63 0.00274611 +7 *2610:56 0.00149193 +8 *2610:48 0.00303106 +9 *2610:46 0.003197 +10 *2610:42 0.00292102 +11 *2610:36 0.00309318 +12 *2610:29 0.00162535 +13 *2610:21 0.00297061 +14 *2610:19 0.0038542 +15 *2610:11 0.00331453 +16 *21554:B1 *21882:A1 0.000403655 +17 *21554:B1 *21981:A1 8.74216e-05 +18 *21554:B1 *2641:30 5.60804e-05 +19 *21554:B1 *3052:66 0.000417316 +20 *21554:B1 *3136:42 6.23101e-05 +21 *22331:B1 *21882:A1 0.00124904 +22 *22331:B1 *22502:A2 0.000157659 +23 *22331:B1 *2930:64 0.000100523 +24 *22331:B1 *3052:66 0.000625423 +25 *22331:B1 *3142:108 0.000446583 +26 *22331:B1 *3142:133 6.69933e-05 +27 *22331:B1 *3196:41 5.33925e-05 +28 *22331:B1 *3198:23 5.60804e-05 +29 *22331:B1 *3466:47 2.92163e-05 +30 *2610:11 *5315:8 0.00017345 +31 *2610:19 *21185:A 8.8837e-05 +32 *2610:19 *5315:8 0.000247443 +33 *2610:21 *2791:11 0.000317093 +34 *2610:21 *5865:391 0.000633581 +35 *2610:29 *20269:A1 0.000298399 +36 *2610:29 *4816:49 0.000822928 +37 *2610:29 *4819:117 1.18802e-05 +38 *2610:29 *4819:121 0.000297041 +39 *2610:36 *20327:A1 0.000368782 +40 *2610:36 *5529:11 0.000653589 +41 *2610:36 *5864:753 2.79471e-05 +42 *2610:36 *5898:220 0.001236 +43 *2610:36 *5898:222 1.59501e-05 +44 *2610:36 *5898:224 0.00111207 +45 *2610:42 *2729:54 0.000987654 +46 *2610:42 *4805:36 1.02764e-05 +47 *2610:42 *5859:155 0.000340742 +48 *2610:46 *3257:36 0.000414517 +49 *2610:46 *4805:36 0.00111109 +50 *2610:48 *24223:SET_B 7.83643e-05 +51 *2610:48 *2679:41 0.000394862 +52 *2610:48 *2870:21 5.97738e-05 +53 *2610:48 *2924:46 0.000454653 +54 *2610:48 *4805:36 0.00100214 +55 *2610:48 *4824:130 0.00126935 +56 *2610:48 *4845:252 1.81432e-05 +57 *2610:48 *4845:262 8.34571e-05 +58 *2610:56 *4820:67 8.97218e-06 +59 *2610:56 *5862:250 0.000154145 +60 *2610:63 *21074:A1 0.000113968 +61 *2610:63 *2738:14 0.000100364 +62 *2610:63 *2814:15 0.00064453 +63 *2610:63 *4844:244 0.000532336 +64 *2610:70 *20623:B1 3.10304e-06 +65 *2610:83 *20616:B1 0.000262434 +66 *2610:83 *22746:B2 0.00023698 +67 *2610:83 *2630:9 7.65728e-05 +68 *2610:83 *3117:37 0.000514526 +69 *2610:83 *3198:18 8.6369e-05 +70 *2610:83 *3487:85 0.000357383 +71 *2610:83 *5475:83 7.44881e-05 +72 *2610:83 *5909:26 0.000472409 +73 mgmt_gpio_out[13] *2610:29 6.49917e-05 +74 *20327:A2 *2610:36 0.000113374 +75 *20616:B2 *2610:70 0.000145821 +76 *20893:A *2610:70 3.82228e-05 +77 *20893:B *2610:70 0.000702239 +78 *20894:A *2610:63 0.000115194 +79 *20894:A *2610:70 6.07224e-05 +80 *21069:A2 *2610:48 0.000196169 +81 *21074:A2 *2610:63 3.31745e-05 +82 *21321:A1 *2610:83 3.03217e-05 +83 *21321:B1 *2610:83 0.000178928 +84 *21554:A2 *21554:B1 0.000212049 +85 *21554:B2 *21554:B1 6.25461e-07 +86 *24039:S *2610:29 0.000217587 +87 *24225:D *2610:63 0.000107496 +88 *24496:D *2610:70 0.000101489 +89 *24730:D *2610:29 8.19451e-05 +90 *478:54 *2610:42 0.000233203 +91 *478:54 *2610:46 0.00026522 +92 *478:54 *2610:48 0.00106243 +93 *478:64 *2610:48 0.000260232 +94 *480:103 *2610:29 0.000131876 +95 *480:110 *2610:29 0.0010191 +96 *480:123 *2610:29 0.000459993 +97 *518:46 *2610:70 0.000153161 +98 *531:23 *2610:83 0.000207266 +99 *1542:174 *2610:83 0.000701383 +100 *1542:201 *2610:83 0.0016161 +101 *1551:83 *2610:63 0.000353686 +102 *1552:52 *21554:B1 2.37478e-05 +103 *1553:64 *22331:B1 2.78458e-05 +104 *1555:38 *2610:48 0.000102058 +105 *1556:20 *2610:56 0.000276221 +106 *1556:20 *2610:63 1.20058e-05 +107 *1556:30 *2610:56 0.000342852 +108 *1629:30 *2610:19 0.000203743 +109 *1629:30 *2610:21 0.00122994 +110 *1629:33 *2610:11 2.26584e-05 +111 *1629:33 *2610:19 0.000126351 +112 *1629:40 *2610:11 0.00221894 +113 *1709:27 *2610:29 5.04829e-06 +114 *1751:46 *22331:B1 0.000104977 +115 *1920:42 *2610:36 0.000353293 +116 *1920:44 *2610:36 0.000200495 +117 *2016:29 *2610:70 0.000272693 +118 *2016:31 *2610:70 0.000373118 +119 *2018:25 *2610:11 2.61012e-05 +120 *2177:13 *2610:83 0.000241437 +121 *2177:78 *2610:70 0.000126684 +122 *2202:8 *2610:48 0.000127196 +123 *2202:12 *2610:48 0.000349927 +124 *2202:12 *2610:56 9.40172e-05 +125 *2202:12 *2610:63 7.13086e-05 +126 *2202:14 *2610:63 8.43032e-06 +127 *2202:74 *2610:48 0.000337805 +128 *2256:62 *2610:70 6.83957e-05 +129 *2275:39 *2610:70 1.55462e-05 +130 *2357:50 *2610:36 0.000295061 +131 *2378:41 *2610:83 0.000118545 +132 *2379:58 *2610:83 0.000988992 +133 *2394:41 *2610:63 6.53142e-05 +134 *2404:60 *2610:48 0.000391642 +135 *2404:101 *2610:83 0.00371586 +136 *2407:41 *2610:83 0.000800525 +137 *2425:24 *2610:63 0.00146564 +138 *2433:20 *2610:42 0.000189577 +139 *2446:145 *2610:48 0 +140 *2448:41 *2610:11 1.55025e-05 +141 *2448:49 *2610:11 6.90342e-06 +142 *2451:36 *2610:29 0.000179841 +143 *2467:114 *2610:70 0.000167948 +144 *2488:7 *2610:11 6.50586e-05 +145 *2511:9 *2610:83 3.7516e-05 +146 *2520:68 *2610:48 0.000274508 +147 *2572:10 *2610:56 0.000307264 +148 *2576:76 *21554:B1 1.91391e-05 +*RES +1 *21553:Y *2610:11 46.2388 +2 *2610:11 *2610:19 29.2252 +3 *2610:19 *2610:21 50.6377 +4 *2610:21 *2610:29 49.5571 +5 *2610:29 *2610:36 46.6847 +6 *2610:36 *2610:42 42.6543 +7 *2610:42 *2610:46 22.4969 +8 *2610:46 *2610:48 85.9206 +9 *2610:48 *2610:56 24.0352 +10 *2610:56 *2610:63 42.4108 +11 *2610:63 *2610:70 47.1543 +12 *2610:70 *2610:83 30.9135 +13 *2610:83 *21554:B1 22.5914 +14 *2610:83 *22331:B1 22.8363 +*END + +*D_NET *2611 0.0151506 +*CONN +*I *21565:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21554:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21565:B1 0 +2 *21554:X 0.00050661 +3 *2611:23 0.00183053 +4 *2611:19 0.00258621 +5 *2611:16 0.00126229 +6 *2611:16 *3117:48 0.000248204 +7 *2611:16 *3136:42 6.02799e-05 +8 *2611:16 *3142:46 8.74489e-05 +9 *2611:16 *3500:89 5.65463e-05 +10 *2611:19 *3126:154 8.51503e-05 +11 *2611:19 *3146:29 0.00302183 +12 *2611:19 *3155:31 0.00207235 +13 *2611:19 *3155:36 0.000352671 +14 *2611:19 *3498:90 0.000256726 +15 *2611:23 *22761:A 1.41976e-05 +16 *2611:23 *22803:A2 0.000254756 +17 *2611:23 *2622:9 0.000176074 +18 *2611:23 *3198:48 0.000256037 +19 *2611:23 *3406:14 0.000162872 +20 *2611:23 *3500:75 2.01653e-05 +21 *2611:23 *3751:47 0.000167076 +22 *21456:A2 *2611:23 0.000518346 +23 *21582:B2 *2611:16 6.03237e-05 +24 *1662:40 *2611:23 0.000167991 +25 *1669:156 *2611:16 0.000107393 +26 *1669:181 *2611:16 0.000301209 +27 *1684:85 *2611:23 0.0001535 +28 *1691:80 *2611:16 0.000209769 +29 *1725:97 *2611:23 1.91391e-05 +30 *1800:8 *2611:23 0.000134926 +*RES +1 *21554:X *2611:16 34.5792 +2 *2611:16 *2611:19 42.3818 +3 *2611:19 *2611:23 41.2678 +4 *2611:23 *21565:B1 9.24915 +*END + +*D_NET *2612 0.036898 +*CONN +*I *21560:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21555:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21560:A1 0.000580296 +2 *21555:Y 0.000860489 +3 *2612:77 0.0018925 +4 *2612:62 0.00395753 +5 *2612:41 0.003992 +6 *2612:32 0.00255136 +7 *2612:22 0.00206517 +8 *21560:A1 *21560:C1 1.88014e-05 +9 *21560:A1 *21565:D1 3.15947e-05 +10 *21560:A1 *22736:A2 0.000574186 +11 *21560:A1 *22754:A2 0.000110306 +12 *21560:A1 *22760:C 0.000776605 +13 *21560:A1 *2964:59 0.000107496 +14 *21560:A1 *2976:58 6.49003e-05 +15 *21560:A1 *3029:33 0.000298399 +16 *21560:A1 *3699:23 0.000107496 +17 *21560:A1 *3700:10 2.33852e-05 +18 *2612:22 *2632:33 6.3657e-05 +19 *2612:22 *5926:46 0.00037088 +20 *2612:32 *19760:A1 1.41181e-05 +21 *2612:32 *23034:D 1.66771e-05 +22 *2612:32 *23037:B1 7.50722e-05 +23 *2612:32 *3874:136 0.000376726 +24 *2612:32 *5082:60 0 +25 *2612:32 *5082:62 0.000136451 +26 *2612:32 *5563:44 0.00116101 +27 *2612:41 *22974:B 4.66492e-05 +28 *2612:41 *23373:B 0.000156946 +29 *2612:41 *23377:B 1.65872e-05 +30 *2612:41 *23435:C 1.76071e-05 +31 *2612:41 *23479:A 0.000164829 +32 *2612:41 *2619:44 0.000121839 +33 *2612:41 *3874:136 8.05178e-05 +34 *2612:41 *3883:29 6.84597e-05 +35 *2612:41 *3883:35 6.08467e-05 +36 *2612:41 *3897:64 0.00104062 +37 *2612:41 *4236:71 0.000240997 +38 *2612:41 *4282:7 6.08467e-05 +39 *2612:41 *4286:5 3.52585e-05 +40 *2612:41 *4340:20 3.46831e-05 +41 *2612:41 *4341:11 6.57904e-05 +42 *2612:62 *21677:C1 0.000141355 +43 *2612:62 *23270:B 0.000835168 +44 *2612:62 *23694:B 0.000399664 +45 *2612:62 *2836:20 0.00381473 +46 *2612:62 *3373:33 0.000810237 +47 *2612:62 *3874:124 0.000164081 +48 *2612:62 *3889:24 7.74361e-05 +49 *2612:62 *4013:42 5.04829e-06 +50 *2612:62 *4289:21 2.01653e-05 +51 *2612:62 *4392:12 1.66771e-05 +52 *2612:62 *4676:6 0.000589246 +53 *2612:62 *4927:34 1.66771e-05 +54 *2612:62 *4927:40 2.77419e-05 +55 *2612:62 *5854:125 0.00177626 +56 *2612:62 *5869:386 0.000128737 +57 *2612:77 *21571:B1 3.82027e-05 +58 *2612:77 *24500:RESET_B 8.98534e-05 +59 *2612:77 *24501:CLK 0.000207892 +60 *2612:77 *2976:58 3.53797e-05 +61 *2612:77 *5296:8 0.000110306 +62 *2612:77 *5869:409 4.4486e-06 +63 *2612:77 *5869:418 0.000268682 +64 *19760:A2 *2612:22 0.00016491 +65 *19760:A2 *2612:32 0 +66 *19760:B1 *2612:22 7.26347e-06 +67 *19760:B2 *2612:22 0.000163414 +68 *19767:A *2612:22 0.000154145 +69 *19768:A *2612:22 4.09467e-05 +70 *21560:B2 *21560:A1 1.83866e-05 +71 *24500:D *2612:77 7.71196e-05 +72 *24501:D *2612:77 2.99287e-05 +73 *24830:RESET_B *2612:22 0.000172691 +74 *24833:D *2612:22 1.1718e-05 +75 *657:111 *2612:32 0.000233343 +76 *1427:63 *2612:62 9.19543e-05 +77 *1435:105 *21560:A1 0.0011541 +78 *1435:125 *21560:A1 4.47134e-05 +79 *1471:169 *2612:22 3.24457e-05 +80 *1525:51 *2612:22 3.93117e-06 +81 *1526:63 *2612:22 0.000103026 +82 *1587:63 *2612:41 0.000706448 +83 *1689:71 *2612:77 0.000128153 +84 *1715:67 *2612:77 0.000426401 +85 *1794:25 *2612:62 3.39118e-05 +86 *2281:13 *2612:62 0.000260524 +87 *2284:11 *2612:32 2.33103e-06 +88 *2398:13 *2612:32 0.000273643 +89 *2406:19 *2612:41 1.41976e-05 +90 *2412:12 *2612:32 0 +91 *2502:42 *2612:62 5.72645e-05 +92 *2509:15 *21560:A1 2.81262e-05 +93 *2509:15 *2612:77 0.000445435 +*RES +1 *21555:Y *2612:22 49.942 +2 *2612:22 *2612:32 41.7072 +3 *2612:32 *2612:41 49.6031 +4 *2612:41 *2612:62 47.6747 +5 *2612:62 *2612:77 45.6771 +6 *2612:77 *21560:A1 33.8446 +*END + +*D_NET *2613 0.0551962 +*CONN +*I *22664:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22327:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21560:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21556:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22664:B1 0 +2 *22327:B1 0.000160236 +3 *21560:B1 0 +4 *21556:Y 0.00356475 +5 *2613:114 0.00259053 +6 *2613:109 0.00303731 +7 *2613:101 0.00214311 +8 *2613:85 0.00247899 +9 *2613:69 0.00102902 +10 *2613:48 0.00208103 +11 *2613:47 0.00253285 +12 *2613:29 0.00442316 +13 *22327:B1 *22327:B2 3.41459e-05 +14 *2613:29 *22716:A2 0.000257697 +15 *2613:29 *2777:17 0.00135714 +16 *2613:29 *2777:28 5.60804e-05 +17 *2613:29 *2896:72 0.00244898 +18 *2613:29 *2949:71 1.75102e-05 +19 *2613:29 *3179:212 0.0001584 +20 *2613:29 *3315:18 3.36054e-05 +21 *2613:29 *4813:110 0.00206322 +22 *2613:29 *4836:21 0.00181735 +23 *2613:29 *5893:18 0.000127541 +24 *2613:29 *5908:87 0.000255018 +25 *2613:47 *21630:B1 0.000144426 +26 *2613:47 *22271:B 4.24705e-05 +27 *2613:47 *2869:51 0.000170921 +28 *2613:47 *3173:23 0 +29 *2613:47 *3232:25 0.00010051 +30 *2613:47 *3243:30 4.37642e-05 +31 *2613:47 *3243:41 0.000213373 +32 *2613:47 *3493:73 7.68538e-06 +33 *2613:47 *3564:28 0.000140089 +34 *2613:47 *3569:13 0.000250819 +35 *2613:48 *22011:B1 0.000248501 +36 *2613:48 *22266:B1 0.000730379 +37 *2613:48 *22287:B1 0.000283917 +38 *2613:48 *22667:A2 0.000195318 +39 *2613:48 *22670:C 2.73601e-05 +40 *2613:48 *3021:43 0.000159631 +41 *2613:48 *3564:28 0.00109526 +42 *2613:48 *4848:30 8.08365e-05 +43 *2613:69 *21800:A1 0.000354143 +44 *2613:69 *21987:A1 0.00031131 +45 *2613:69 *22664:A2 7.9748e-05 +46 *2613:69 *22664:B2 2.65465e-05 +47 *2613:69 *22669:A1 1.43499e-05 +48 *2613:69 *2813:47 6.03237e-05 +49 *2613:69 *3117:204 0.000218931 +50 *2613:69 *3517:151 0.000110306 +51 *2613:69 *5909:38 5.60804e-05 +52 *2613:85 *21800:A1 1.65872e-05 +53 *2613:85 *22539:B2 0.000160912 +54 *2613:85 *22664:A2 3.39248e-05 +55 *2613:85 *22664:B2 6.08467e-05 +56 *2613:85 *22665:B2 0.000379843 +57 *2613:85 *3148:206 5.26861e-05 +58 *2613:85 *3179:167 0 +59 *2613:101 *22664:A2 0.00058347 +60 *2613:101 *2634:29 9.09284e-06 +61 *2613:101 *2639:19 2.35121e-06 +62 *2613:109 *21589:B1 0 +63 *2613:109 *21590:A 0.000154145 +64 *2613:109 *2645:50 4.31485e-06 +65 *2613:109 *2667:93 0.000120226 +66 *2613:109 *3071:57 0.000380412 +67 *2613:109 *3491:51 8.84939e-05 +68 *2613:114 *22761:A 0.000441157 +69 *2613:114 *22761:C 1.54703e-05 +70 *2613:114 *22761:D 0.00252747 +71 *2613:114 *22805:A1 3.82228e-05 +72 *2613:114 *3442:20 0.000117647 +73 *2613:114 *3448:20 0.000114237 +74 *2613:114 *3491:51 6.35582e-05 +75 *21235:B1 *2613:29 0.000583043 +76 *21260:B2 *2613:29 0.00163354 +77 *21303:B2 *2613:47 0.000620637 +78 *21450:B1 *2613:101 0.000868477 +79 *21493:A1 *2613:101 3.33173e-06 +80 *21537:A1 *2613:101 6.60191e-06 +81 *21576:A2 *2613:101 1.9101e-05 +82 *21577:B2 *2613:101 0.00024885 +83 *21583:A2 *2613:101 0 +84 *21800:A2 *2613:69 3.17436e-05 +85 *22321:A1 *2613:101 1.2601e-05 +86 *22323:B1 *2613:85 1.80638e-05 +87 *22327:A1 *22327:B1 0.000119261 +88 *22327:A1 *2613:101 4.87439e-05 +89 *22664:A1 *2613:69 1.65872e-05 +90 *22667:B1 *2613:85 0.000262061 +91 *502:11 *2613:29 6.08467e-05 +92 *1419:249 *2613:29 0.00248817 +93 *1543:29 *2613:48 3.29488e-05 +94 *1552:70 *2613:85 0.000156979 +95 *1552:70 *2613:101 4.3116e-06 +96 *1564:50 *2613:101 0.000364293 +97 *1577:74 *2613:101 7.51372e-05 +98 *1577:97 *2613:69 5.60804e-05 +99 *1584:69 *2613:47 2.20008e-05 +100 *1612:24 *2613:29 0.000253046 +101 *1620:10 *2613:109 0.000158815 +102 *1641:77 *2613:101 0.000103965 +103 *1641:102 *2613:101 0.000512884 +104 *1744:219 *2613:48 5.34805e-06 +105 *1790:73 *2613:69 2.05082e-05 +106 *1802:116 *2613:101 2.69245e-05 +107 *1884:45 *2613:29 0.000180511 +108 *2461:12 *2613:29 0.000127541 +109 *2508:45 *2613:101 0.000397397 +110 *2520:119 *2613:101 0.000773338 +111 *2527:24 *2613:48 0.00108468 +112 *2527:34 *2613:69 6.74182e-05 +113 *2550:9 *2613:101 0.00021236 +114 *2554:51 *2613:48 4.54995e-05 +115 *2554:56 *2613:48 0.000132349 +116 *2554:56 *2613:69 7.19237e-05 +117 *2577:58 *2613:109 0 +118 *2600:11 *2613:101 6.85742e-05 +*RES +1 *21556:Y *2613:29 49.7316 +2 *2613:29 *2613:47 34.8097 +3 *2613:47 *2613:48 49.1707 +4 *2613:48 *2613:69 19.905 +5 *2613:69 *2613:85 25.5687 +6 *2613:85 *2613:101 47.0726 +7 *2613:101 *2613:109 20.491 +8 *2613:109 *2613:114 47.4021 +9 *2613:114 *21560:B1 13.7491 +10 *2613:85 *22327:B1 13.3243 +11 *2613:69 *22664:B1 9.24915 +*END + +*D_NET *2614 0.0373686 +*CONN +*I *21559:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *22494:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21557:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21559:A1 0.000403113 +2 *22494:B1 0 +3 *21557:Y 0.00023487 +4 *2614:55 0.000962558 +5 *2614:38 0.00210983 +6 *2614:35 0.00245758 +7 *2614:28 0.00228175 +8 *2614:18 0.0021331 +9 *2614:17 0.00118396 +10 *2614:8 0.0017497 +11 *2614:7 0.00155916 +12 *21559:A1 *20865:A1 1.03403e-05 +13 *21559:A1 *21559:B1 0.000151854 +14 *21559:A1 *2616:13 4.65939e-05 +15 *21559:A1 *3541:119 0 +16 *2614:7 *20647:A1 0.00010227 +17 *2614:8 *4840:190 0.000431728 +18 *2614:8 *4840:198 0.00126032 +19 *2614:17 *3533:100 0.000247443 +20 *2614:17 *4932:31 0.000572015 +21 *2614:18 *20865:A1 7.5108e-05 +22 *2614:18 *22462:A1 1.5714e-05 +23 *2614:18 *2807:19 0.000574267 +24 *2614:18 *3065:19 5.05252e-05 +25 *2614:18 *3065:27 0.00128121 +26 *2614:18 *3106:169 0.000336936 +27 *2614:28 *20535:B1 0.000162132 +28 *2614:28 *20865:A1 8.5511e-05 +29 *2614:28 *22044:A 0.000220143 +30 *2614:28 *2807:19 0.000346338 +31 *2614:28 *3065:27 1.25395e-05 +32 *2614:35 *20019:A 7.7517e-05 +33 *2614:35 *20533:A1 6.11872e-05 +34 *2614:35 *20535:B1 2.57465e-06 +35 *2614:35 *20536:A1 0.000167615 +36 *2614:35 *3533:79 8.19057e-05 +37 *2614:35 *5270:10 1.54212e-05 +38 *2614:35 *5904:86 0.000748009 +39 *2614:38 *20487:A1 9.66954e-05 +40 *2614:38 *2649:37 0.000289562 +41 *2614:38 *2844:67 0.000141335 +42 *2614:38 *3004:16 0.00173871 +43 *2614:38 *3533:79 0.000532665 +44 *2614:55 *22477:C1 0.000167476 +45 *2614:55 *22494:A2 3.66329e-06 +46 *2614:55 *22494:B2 6.57729e-05 +47 *2614:55 *22791:B1 0.000170626 +48 *2614:55 *22793:C1 0.000161234 +49 *2614:55 *2723:5 0.000192265 +50 *2614:55 *3146:65 3.36054e-05 +51 *2614:55 *3157:113 4.21934e-05 +52 *2614:55 *3361:11 2.4667e-06 +53 *2614:55 *3507:75 4.88764e-06 +54 *20483:B *2614:38 0.000107576 +55 *20649:A2 *2614:8 1.47773e-05 +56 *20863:A2 *2614:17 0.000118485 +57 *21144:B *2614:8 0.000363168 +58 *21144:B *2614:17 2.70349e-05 +59 *21559:A2 *21559:A1 0.000347858 +60 *21559:B2 *21559:A1 2.95884e-05 +61 *22406:A1 *2614:55 0 +62 *24474:D *2614:8 8.56804e-05 +63 *24552:D *2614:38 9.12416e-06 +64 *657:209 *2614:8 0.000130307 +65 *1537:125 *2614:38 6.46333e-05 +66 *1550:78 *2614:28 0.00033781 +67 *1560:36 *2614:38 4.74461e-05 +68 *1566:68 *2614:8 0.000799638 +69 *1566:68 *2614:17 1.91846e-05 +70 *1566:68 *2614:18 6.25338e-05 +71 *1594:10 *2614:38 9.54639e-05 +72 *1603:59 *2614:55 0.000908838 +73 *1603:81 *2614:55 2.53507e-05 +74 *1624:62 *2614:28 0.000150887 +75 *1624:62 *2614:35 7.68073e-05 +76 *1625:106 *2614:28 0.000250058 +77 *1689:102 *2614:28 0.000642194 +78 *1689:135 *2614:28 0.000154145 +79 *1740:139 *2614:35 7.09666e-06 +80 *1772:22 *2614:55 6.16319e-05 +81 *1776:61 *2614:35 0.000310727 +82 *1776:79 *2614:35 2.7652e-05 +83 *1784:166 *2614:55 0.000687324 +84 *1989:38 *2614:28 0.000120282 +85 *2024:46 *2614:8 1.37385e-05 +86 *2126:41 *2614:17 0.00014012 +87 *2126:48 *2614:17 0.000240225 +88 *2288:14 *2614:8 0.000186015 +89 *2468:34 *2614:17 1.41291e-05 +90 *2522:16 *2614:38 0.000195833 +91 *2523:65 *2614:55 0.000635843 +92 *2536:33 *2614:55 0 +93 *2537:30 *2614:28 0.00102186 +94 *2592:6 *2614:8 0 +95 *2592:20 *2614:18 0.00026232 +96 *2592:28 *2614:28 8.53122e-05 +97 *2592:28 *2614:35 2.85952e-05 +98 *2603:96 *2614:38 4.79086e-05 +99 *2604:6 *2614:8 0.00241623 +100 *2604:18 *2614:18 1.55462e-05 +101 *2608:14 *2614:17 2.82537e-05 +102 *2608:26 *2614:35 9.73639e-05 +*RES +1 *21557:Y *2614:7 18.9094 +2 *2614:7 *2614:8 59.9673 +3 *2614:8 *2614:17 23.3735 +4 *2614:17 *2614:18 27.9929 +5 *2614:18 *2614:28 44.1062 +6 *2614:28 *2614:35 43.6009 +7 *2614:35 *2614:38 42.8742 +8 *2614:38 *2614:55 48.8662 +9 *2614:55 *22494:B1 9.24915 +10 *2614:18 *21559:A1 23.9249 +*END + +*D_NET *2615 0.0122163 +*CONN +*I *22505:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22813:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21559:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21558:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22505:B1 0.000171528 +2 *22813:A1 0 +3 *21559:B1 0.000223206 +4 *21558:Y 0.000892506 +5 *2615:16 0.000415398 +6 *2615:13 0.00203062 +7 *2615:8 0.00255941 +8 *21559:B1 *22813:C1 6.6702e-05 +9 *21559:B1 *3157:139 0.000161116 +10 *21559:B1 *3166:105 0.00013536 +11 *21559:B1 *3166:107 5.21758e-06 +12 *22505:B1 *22505:B2 3.5063e-05 +13 *22505:B1 *3153:260 0.000154145 +14 *2615:8 *2767:11 3.84926e-05 +15 *2615:8 *4003:42 3.7054e-05 +16 *2615:8 *4003:54 0.000227874 +17 *2615:8 *4665:58 0.000118847 +18 *2615:8 *5861:112 6.77592e-05 +19 *2615:13 *21762:A 0.000820851 +20 *2615:13 *2778:13 0.000250405 +21 *2615:13 *3027:8 1.15951e-05 +22 *2615:13 *5856:108 8.51781e-05 +23 *2615:16 *3157:139 0.000321915 +24 *2615:16 *3166:107 4.96941e-06 +25 *2615:16 *5851:9 1.91391e-05 +26 *2615:16 *5851:616 0.000147943 +27 *19841:B1 *21559:B1 2.41274e-06 +28 *20932:B2 *2615:8 0.000183153 +29 *20934:A2 *2615:8 2.94067e-05 +30 *20984:B2 *2615:8 0.000285395 +31 *21559:A1 *21559:B1 0.000151854 +32 *21559:B2 *21559:B1 4.1554e-05 +33 *495:8 *2615:8 8.95272e-05 +34 *1574:12 *2615:8 0.000232251 +35 *1574:20 *2615:8 5.74464e-05 +36 *1574:20 *2615:13 0.000469238 +37 *1574:21 *2615:13 0.000131047 +38 *1574:38 *2615:16 4.45398e-05 +39 *2152:33 *2615:8 0.000157686 +40 *2153:8 *2615:8 0.000217644 +41 *2153:8 *2615:13 9.90599e-06 +42 *2153:36 *2615:13 0.000499103 +43 *2535:13 *22505:B1 0.00015696 +44 *2535:13 *2615:13 0.000454856 +*RES +1 *21558:Y *2615:8 40.6062 +2 *2615:8 *2615:13 47.1805 +3 *2615:13 *2615:16 10.8998 +4 *2615:16 *21559:B1 21.6495 +5 *2615:16 *22813:A1 13.7491 +6 *2615:13 *22505:B1 14.4335 +*END + +*D_NET *2616 0.0408729 +*CONN +*I *21560:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21559:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21560:C1 0.000811229 +2 *21559:X 0.00177703 +3 *2616:52 0.00257423 +4 *2616:44 0.00182702 +5 *2616:43 0.000502815 +6 *2616:40 0.00129876 +7 *2616:34 0.00216152 +8 *2616:32 0.00133926 +9 *2616:30 0.00123546 +10 *2616:28 0.00264508 +11 *2616:13 0.00322436 +12 *21560:C1 *22761:D 0.000203595 +13 *21560:C1 *2979:20 0.000167069 +14 *21560:C1 *3002:26 0.0001329 +15 *21560:C1 *3029:33 0.000250254 +16 *21560:C1 *3155:62 1.4789e-05 +17 *21560:C1 *3695:14 0.000258219 +18 *2616:13 *20865:A1 0.000440512 +19 *2616:13 *20865:B1 0.000686703 +20 *2616:13 *20930:A1 1.07248e-05 +21 *2616:13 *21486:A 4.78118e-05 +22 *2616:13 *3533:95 1.58126e-05 +23 *2616:13 *5851:25 8.50356e-05 +24 *2616:13 *5867:21 6.50727e-05 +25 *2616:13 *5867:32 0.000248437 +26 *2616:28 *24319:CLK 0.00103048 +27 *2616:28 *24419:CLK 1.4091e-06 +28 *2616:28 *2963:71 0.000217499 +29 *2616:28 *4933:14 6.8167e-05 +30 *2616:28 *4933:23 6.92004e-05 +31 *2616:28 *4933:36 9.53474e-05 +32 *2616:28 *5867:21 0.000202613 +33 *2616:30 *2943:78 0 +34 *2616:30 *2963:71 4.57745e-05 +35 *2616:30 *4933:14 0.000205614 +36 *2616:30 *5856:134 0.0011233 +37 *2616:30 *5856:136 0.00053308 +38 *2616:30 *5856:138 4.78118e-05 +39 *2616:30 *5856:146 0.000742343 +40 *2616:30 *5858:96 0.000924198 +41 *2616:30 *5858:112 0.000284048 +42 *2616:30 *5921:37 0.000641552 +43 *2616:32 *5856:146 2.27815e-05 +44 *2616:32 *5858:112 6.62009e-05 +45 *2616:34 *20524:B1 0.000104151 +46 *2616:34 *24557:CLK 0.000150629 +47 *2616:34 *3671:67 0.000224958 +48 *2616:34 *5801:91 0 +49 *2616:34 *5851:95 0.000476074 +50 *2616:34 *5856:146 0.000118485 +51 *2616:34 *5856:183 4.83904e-05 +52 *2616:34 *5858:112 0.00166221 +53 *2616:34 *5860:661 0.000141056 +54 *2616:40 *2704:72 0.000308181 +55 *2616:40 *5801:84 0.000630678 +56 *2616:40 *5801:91 0.000275833 +57 *2616:40 *5860:661 8.63353e-06 +58 *2616:43 *2623:29 0.000351076 +59 *2616:43 *2627:37 0.000286928 +60 *2616:52 *21571:A1 0.000330974 +61 *2616:52 *2623:29 0.000133146 +62 *19635:B2 *2616:40 6.39883e-05 +63 *19635:C1 *2616:40 0.00117955 +64 *20524:B2 *2616:34 0.000146202 +65 *20720:A *2616:28 0.000146645 +66 *21559:A1 *2616:13 4.65939e-05 +67 *21559:B2 *2616:13 6.3657e-05 +68 *21560:A1 *21560:C1 1.88014e-05 +69 *21560:A2 *21560:C1 0.00173083 +70 *21560:B2 *21560:C1 8.67924e-06 +71 *24343:D *2616:28 9.32891e-05 +72 *24358:D *2616:13 9.80242e-07 +73 *460:43 *2616:43 6.50727e-05 +74 *1448:232 *2616:34 0.000742031 +75 *1462:30 *2616:40 0.000233863 +76 *1467:183 *2616:43 0.000353686 +77 *1502:155 *2616:52 0.00102461 +78 *1502:176 *2616:52 1.42932e-05 +79 *1560:52 *2616:52 1.07161e-05 +80 *1624:15 *2616:13 0.000247443 +81 *1624:15 *2616:28 2.94865e-05 +82 *1624:62 *2616:13 0.000159065 +83 *1744:156 *2616:34 0.000224452 +84 *1756:83 *2616:52 4.64314e-05 +85 *1761:40 *2616:52 0.000221834 +86 *1986:10 *2616:34 6.78984e-05 +87 *2022:30 *2616:40 5.1493e-06 +88 *2285:19 *2616:43 0.000292105 +89 *2285:19 *2616:52 2.37425e-05 +90 *2523:38 *2616:30 1.5254e-05 +*RES +1 *21559:X *2616:13 48.7276 +2 *2616:13 *2616:28 39.4226 +3 *2616:28 *2616:30 49.3784 +4 *2616:30 *2616:32 1.29461 +5 *2616:32 *2616:34 49.7936 +6 *2616:34 *2616:40 36.9019 +7 *2616:40 *2616:43 24.3922 +8 *2616:43 *2616:44 81.1229 +9 *2616:44 *2616:52 48.1139 +10 *2616:52 *21560:C1 45.1213 +*END + +*D_NET *2617 0.000515502 +*CONN +*I *21565:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21560:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21565:C1 9.06471e-05 +2 *21560:X 9.06471e-05 +3 *21565:C1 *21565:D1 0.000207911 +4 *21565:C1 *2622:9 1.88014e-05 +5 *21560:B2 *21565:C1 0.000107496 +*RES +1 *21560:X *21565:C1 21.4401 +*END + +*D_NET *2618 0.0308321 +*CONN +*I *21564:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21561:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21564:A1 0 +2 *21561:Y 0.00751553 +3 *2618:18 0.00751553 +4 *2618:18 *21676:B1 0 +5 *2618:18 *21777:A1 0.000111492 +6 *2618:18 *22447:A 3.27606e-06 +7 *2618:18 *22735:A2 0.000279343 +8 *2618:18 *22952:A 2.2397e-05 +9 *2618:18 *22974:A 0.000379107 +10 *2618:18 *23025:A 0.00241441 +11 *2618:18 *23373:B 0.000175709 +12 *2618:18 *2620:76 0.000575861 +13 *2618:18 *2833:57 0.0013191 +14 *2618:18 *3432:31 5.60804e-05 +15 *2618:18 *3442:18 3.73224e-05 +16 *2618:18 *3840:160 2.37883e-05 +17 *2618:18 *5456:79 0.000129339 +18 *2618:18 *5475:59 0.0002302 +19 *2618:18 *5475:83 0.000270813 +20 *2618:18 *5869:331 0 +21 *19970:B2 *2618:18 0.00280841 +22 *657:143 *2618:18 0.000134056 +23 *1482:48 *2618:18 0 +24 *1698:130 *2618:18 2.77419e-05 +25 *1786:65 *2618:18 1.11554e-05 +26 *2406:14 *2618:18 0.000156946 +27 *2407:22 *2618:18 4.38125e-05 +28 *2426:7 *2618:18 3.30464e-05 +29 *2510:54 *2618:18 3.55325e-05 +30 *2510:73 *2618:18 0.00652215 +*RES +1 *21561:Y *2618:18 48.5486 +2 *2618:18 *21564:A1 13.7491 +*END + +*D_NET *2619 0.0774153 +*CONN +*I *22808:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22503:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21564:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21562:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22808:B1 0.000488893 +2 *22503:B1 0 +3 *21564:B1 0 +4 *21562:Y 0 +5 *2619:83 0.00130547 +6 *2619:76 0.00128376 +7 *2619:71 0.00153958 +8 *2619:57 0.00575513 +9 *2619:56 0.00558835 +10 *2619:44 0.00223661 +11 *2619:38 0.00217972 +12 *2619:30 0.00202856 +13 *2619:29 0.00243611 +14 *2619:19 0.00305016 +15 *2619:5 0.00494455 +16 *2619:4 0.00315066 +17 *22808:B1 *22504:D 1.04965e-05 +18 *22808:B1 *22808:B2 6.3657e-05 +19 *22808:B1 *3155:62 0.000151828 +20 *22808:B1 *3208:89 0.000300699 +21 *22808:B1 *3394:26 0.000471533 +22 *22808:B1 *3521:101 2.39954e-05 +23 *2619:5 *20220:A1 0.00079784 +24 *2619:5 *20220:B1 0.00016553 +25 *2619:5 *21562:A 6.08467e-05 +26 *2619:5 *24843:RESET_B 0.000106869 +27 *2619:5 *24843:CLK 6.85033e-05 +28 *2619:5 *2838:13 5.04829e-06 +29 *2619:5 *2838:17 0.000106406 +30 *2619:5 *4878:39 2.3318e-05 +31 *2619:5 *5671:19 0.00135129 +32 *2619:5 *5917:314 0.000765629 +33 *2619:19 *24826:CLK 0.00011818 +34 *2619:19 *24843:CLK 0.000145953 +35 *2619:19 *24944:A 0.000101639 +36 *2619:19 *4878:39 0.000517234 +37 *2619:19 *4883:15 0.000164854 +38 *2619:19 *5477:26 3.58044e-05 +39 *2619:19 *5478:7 6.64528e-05 +40 *2619:19 *5748:31 9.49908e-05 +41 *2619:19 *5811:41 0.000104028 +42 *2619:29 *20058:A 0.000610561 +43 *2619:29 *21681:A 0.000175485 +44 *2619:29 *2715:10 6.92705e-05 +45 *2619:29 *5811:41 0.0016402 +46 *2619:30 *2955:30 0.000640022 +47 *2619:30 *5930:14 0.00792362 +48 *2619:38 *24832:CLK_N 0.000205361 +49 *2619:38 *2632:36 0.000924877 +50 *2619:44 *23620:A1 2.57986e-05 +51 *2619:44 *23620:A2 0.000446971 +52 *2619:44 *23843:A1 0.000107496 +53 *2619:44 *23843:D1 9.9725e-05 +54 *2619:44 *3897:55 7.80077e-05 +55 *2619:44 *3897:63 3.81056e-05 +56 *2619:44 *4326:103 4.16657e-05 +57 *2619:44 *4326:113 5.66113e-05 +58 *2619:44 *4340:20 3.56217e-05 +59 *2619:44 *4341:11 3.0577e-05 +60 *2619:44 *4526:7 6.36477e-05 +61 *2619:44 *5456:79 1.39895e-05 +62 *2619:56 *23379:A 0.000309145 +63 *2619:56 *23436:B 0.000226828 +64 *2619:56 *23439:C1 0.000191235 +65 *2619:56 *23483:B 9.42549e-05 +66 *2619:56 *23610:B 0.000746144 +67 *2619:56 *23622:A 5.51483e-06 +68 *2619:56 *2922:54 0.0007882 +69 *2619:56 *4284:8 2.52921e-05 +70 *2619:56 *4344:7 0.000111722 +71 *2619:57 *3005:14 0.000118949 +72 *2619:57 *3368:74 0.00383088 +73 *2619:57 *3373:48 8.88984e-06 +74 *2619:57 *4288:22 0.000309083 +75 *2619:71 *22433:B1 0.000123072 +76 *2619:71 *3146:38 0.00106849 +77 *2619:71 *3177:208 0.000126497 +78 *2619:71 *3179:103 0.000260388 +79 *2619:71 *3407:23 0.000114679 +80 *2619:71 *3671:83 0.000733338 +81 *2619:76 *22415:C1 7.09666e-06 +82 *2619:76 *22447:A 0.000126592 +83 *2619:76 *3002:8 3.84938e-05 +84 *2619:76 *3002:26 5.28377e-05 +85 *2619:76 *3155:53 0.00015364 +86 *2619:76 *3401:10 0.000167017 +87 *2619:83 *22447:A 2.39774e-05 +88 *2619:83 *3002:26 7.14609e-05 +89 *2619:83 *3155:53 0.000201511 +90 *2619:83 *3155:62 0.000861809 +91 *2619:83 *3394:26 0.000750541 +92 *2619:83 *3751:27 0.000281893 +93 *20118:A0 *2619:29 0.000421676 +94 *20118:S *2619:29 1.43983e-05 +95 *20220:B2 *2619:5 4.73434e-05 +96 *20612:A2 *2619:57 2.42273e-05 +97 *21138:A *2619:19 0.000207868 +98 *21992:B2 *2619:76 2.78588e-05 +99 *22410:B1 *2619:57 3.8122e-05 +100 *22411:A1 *2619:71 0.000179059 +101 *22809:B1 *22808:B1 0.000154707 +102 *24826:D *2619:19 7.89351e-05 +103 *74:35 *2619:56 0.000798051 +104 *1462:25 *22808:B1 7.92757e-06 +105 *1469:50 *2619:19 9.49908e-05 +106 *1587:48 *2619:30 0.00641269 +107 *1604:68 *2619:71 0.000111082 +108 *1698:130 *2619:76 6.75595e-05 +109 *1705:16 *2619:38 0.000111722 +110 *1706:46 *2619:57 0.000633581 +111 *1756:60 *2619:56 1.5714e-05 +112 *1798:17 *2619:83 0.000226132 +113 *1862:15 *2619:29 8.65358e-05 +114 *2426:8 *2619:38 0.000391427 +115 *2505:65 *2619:57 0.000158856 +116 *2561:26 *2619:56 1.5714e-05 +117 *2612:41 *2619:44 0.000121839 +*RES +1 *21562:Y *2619:4 9.24915 +2 *2619:4 *2619:5 51.1923 +3 *2619:5 *2619:19 49.5096 +4 *2619:19 *2619:29 44.2627 +5 *2619:29 *2619:30 126.408 +6 *2619:30 *2619:38 40.4327 +7 *2619:38 *2619:44 38.5916 +8 *2619:44 *2619:56 37.7473 +9 *2619:56 *2619:57 74.4857 +10 *2619:57 *2619:71 48.2677 +11 *2619:71 *2619:76 11.8713 +12 *2619:76 *21564:B1 13.7491 +13 *2619:76 *2619:83 26.7471 +14 *2619:83 *22503:B1 13.7491 +15 *2619:83 *22808:B1 27.9613 +*END + +*D_NET *2620 0.116506 +*CONN +*I *6183:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21564:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21563:Y O *D sky130_fd_sc_hd__a22oi_4 +*CAP +1 *6183:DIODE 0 +2 *21564:C1 6.68101e-05 +3 *21563:Y 0.000725065 +4 *2620:76 0.011736 +5 *2620:57 0.0138289 +6 *2620:47 0.00387199 +7 *2620:40 0.00288693 +8 *2620:37 0.0059553 +9 *2620:31 0.0100048 +10 *2620:20 0.00737575 +11 *2620:19 0.00405351 +12 *2620:16 0.00271202 +13 *2620:10 0.00153521 +14 *21564:C1 *3002:26 2.34902e-05 +15 *21564:C1 *3683:8 7.05604e-05 +16 *2620:10 *24373:SET_B 6.42805e-05 +17 *2620:10 *4830:28 2.1558e-06 +18 *2620:10 *4830:32 0.00163128 +19 *2620:10 *4839:339 0.000113968 +20 *2620:10 *4842:200 0.000221414 +21 *2620:10 *5893:8 0 +22 *2620:16 *6048:DIODE 9.75356e-05 +23 *2620:16 *20805:B1 0.000271044 +24 *2620:16 *23945:S 0 +25 *2620:16 *24374:SET_B 0.000917895 +26 *2620:16 *4839:357 0.000600828 +27 *2620:16 *4839:377 3.77804e-05 +28 *2620:16 *5594:16 0 +29 *2620:16 *5923:36 0.000461973 +30 *2620:16 *5924:50 9.45719e-05 +31 *2620:19 *24705:RESET_B 7.81255e-05 +32 *2620:19 *4833:178 0.000566451 +33 *2620:19 *5517:7 2.00989e-05 +34 *2620:20 *19567:A0 9.14201e-05 +35 *2620:20 *20266:A1 9.92077e-05 +36 *2620:20 *24014:A1 0.00010666 +37 *2620:20 *24691:RESET_B 0.000284063 +38 *2620:20 *24731:CLK 0.000946593 +39 *2620:20 *4815:26 0.000334375 +40 *2620:20 *4815:28 0 +41 *2620:20 *5497:6 7.37927e-05 +42 *2620:20 *5535:8 2.39535e-05 +43 *2620:20 *5714:38 0 +44 *2620:20 *5865:511 0 +45 *2620:20 *5865:525 0 +46 *2620:20 *5865:640 9.60216e-05 +47 *2620:31 *3022:6 0.000117711 +48 *2620:31 *3034:25 0 +49 *2620:31 *5853:137 3.79844e-06 +50 *2620:31 *5853:146 5.51519e-05 +51 *2620:31 *5865:178 2.41274e-06 +52 *2620:37 *24217:RESET_B 0.000425846 +53 *2620:37 *2764:29 0.0032743 +54 *2620:37 *2797:30 4.11955e-05 +55 *2620:37 *2919:20 7.8798e-05 +56 *2620:37 *2986:17 6.03237e-05 +57 *2620:37 *3036:31 0.000130581 +58 *2620:37 *4839:105 0.000628661 +59 *2620:37 *4839:119 0.000980723 +60 *2620:37 *5458:88 0 +61 *2620:37 *5867:223 1.34264e-05 +62 *2620:37 *5918:20 0.00368195 +63 *2620:40 *20497:B1 0.00021415 +64 *2620:40 *20677:A1 9.04083e-05 +65 *2620:40 *21625:A 8.52802e-05 +66 *2620:40 *22472:B1 0.00017245 +67 *2620:40 *24579:RESET_B 0.00015324 +68 *2620:40 *2728:8 0.00118423 +69 *2620:40 *2796:8 0.00031767 +70 *2620:40 *2796:14 9.75291e-05 +71 *2620:40 *2796:18 0 +72 *2620:40 *4106:62 0.00021718 +73 *2620:40 *4840:198 1.64144e-05 +74 *2620:47 *24453:CLK 0.000553227 +75 *2620:47 *2671:10 4.31703e-05 +76 *2620:47 *3040:10 0.000160617 +77 *2620:47 *4106:75 0.000119554 +78 *2620:47 *5401:11 0.000207883 +79 *2620:47 *5858:13 0.00016757 +80 *2620:57 *4106:75 7.27574e-05 +81 *2620:57 *4106:79 4.65545e-06 +82 *2620:57 *4550:53 0.000755488 +83 *2620:57 *4799:6 6.7089e-05 +84 *2620:76 *20158:A1 0 +85 *2620:76 *20609:B1 0.000169693 +86 *2620:76 *21945:B1 0.000112567 +87 *2620:76 *22757:B2 2.98904e-05 +88 *2620:76 *23806:A 0.0001184 +89 *2620:76 *2624:45 0 +90 *2620:76 *2833:73 0.000316218 +91 *2620:76 *2860:20 0.000310684 +92 *2620:76 *2933:40 0.000246313 +93 *2620:76 *2964:59 8.91082e-05 +94 *2620:76 *3002:26 7.12632e-06 +95 *2620:76 *3166:36 2.05082e-05 +96 *2620:76 *3175:57 3.35675e-05 +97 *2620:76 *3421:17 0.000662736 +98 *2620:76 *3509:91 4.06573e-05 +99 *2620:76 *3683:8 1.00004e-05 +100 *2620:76 *4800:14 0 +101 *2620:76 *4861:19 0.0001184 +102 *2620:76 *4934:19 0.000142187 +103 *2620:76 *5455:12 4.32885e-05 +104 *2620:76 *5475:83 0.00057528 +105 *20491:A *2620:40 0.000145385 +106 *20624:B *2620:31 0.000541117 +107 *20625:A *2620:31 0.000277287 +108 *20677:A2 *2620:40 0.000191526 +109 *21308:B2 *2620:37 0 +110 *21563:B2 *2620:10 4.58003e-05 +111 *21564:A2 *21564:C1 0.000116 +112 *24050:S *2620:20 0.000122068 +113 *24385:D *2620:57 4.23622e-05 +114 *24579:D *2620:40 7.44269e-05 +115 *24678:D *2620:20 6.91859e-05 +116 *24685:D *2620:16 0 +117 *24731:D *2620:20 4.12533e-05 +118 *477:69 *2620:20 0.000295234 +119 *1435:105 *2620:76 0.00026621 +120 *1482:29 *2620:57 0.000118634 +121 *1482:29 *2620:76 0.00151695 +122 *1482:32 *2620:76 0.000134557 +123 *1483:14 *2620:57 0.000514493 +124 *1501:70 *2620:76 0.000284999 +125 *1566:74 *2620:47 0.000207266 +126 *1629:55 *2620:37 0 +127 *1707:43 *2620:76 0.0112571 +128 *1708:111 *2620:37 0 +129 *1724:39 *2620:40 0.000245709 +130 *1771:167 *2620:37 0 +131 *1783:48 *2620:76 0.00145127 +132 *1794:44 *2620:76 1.37539e-05 +133 *1797:20 *2620:76 5.60804e-05 +134 *1798:17 *21564:C1 0.000111802 +135 *1884:71 *2620:31 2.09501e-05 +136 *1884:86 *2620:31 0.000528421 +137 *1919:72 *2620:20 0.000547272 +138 *1919:83 *2620:20 0.000570733 +139 *1919:96 *2620:20 0.000189496 +140 *1959:22 *2620:37 6.99036e-05 +141 *2053:10 *2620:37 0.000283913 +142 *2167:33 *2620:47 8.39059e-05 +143 *2281:13 *2620:76 0.00028633 +144 *2297:17 *2620:10 0.000760946 +145 *2297:17 *2620:16 0.00066028 +146 *2297:25 *2620:16 3.96379e-06 +147 *2297:26 *2620:20 0 +148 *2360:21 *2620:20 1.55462e-05 +149 *2367:19 *2620:19 2.63143e-05 +150 *2493:8 *2620:37 0.000287271 +151 *2502:42 *2620:76 7.0726e-05 +152 *2563:26 *2620:76 0.00354916 +153 *2570:36 *2620:20 0 +154 *2604:14 *2620:47 5.18899e-06 +155 *2608:62 *2620:76 0.000504996 +156 *2618:18 *2620:76 0.000575861 +*RES +1 *21563:Y *2620:10 49.5963 +2 *2620:10 *2620:16 41.9136 +3 *2620:16 *2620:19 33.5082 +4 *2620:19 *2620:20 71.3867 +5 *2620:20 *2620:31 48.0581 +6 *2620:31 *2620:37 27.3706 +7 *2620:37 *2620:40 48.4801 +8 *2620:40 *2620:47 39.7627 +9 *2620:47 *2620:57 38.9766 +10 *2620:57 *2620:76 41.8974 +11 *2620:76 *21564:C1 16.4439 +12 *2620:76 *6183:DIODE 13.7491 +*END + +*D_NET *2621 0.00289857 +*CONN +*I *21565:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21564:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21565:D1 0.000697648 +2 *21564:X 0.000697648 +3 *21565:D1 *22736:A2 3.86893e-05 +4 *21565:D1 *2622:9 0.000161262 +5 *21565:D1 *2851:24 1.64227e-05 +6 *21565:D1 *2959:43 0.000296281 +7 *21565:D1 *3128:98 6.91143e-05 +8 *21565:D1 *3128:99 0.000337564 +9 *21565:D1 *3448:20 6.12414e-06 +10 *21565:D1 *3678:21 3.74433e-05 +11 *21560:A1 *21565:D1 3.15947e-05 +12 *21560:A2 *21565:D1 0.000146156 +13 *21560:B2 *21565:D1 0.000119035 +14 *21565:C1 *21565:D1 0.000207911 +15 *1697:75 *21565:D1 1.98173e-05 +16 *1722:76 *21565:D1 1.58551e-05 +*RES +1 *21564:X *21565:D1 47.1804 +*END + +*D_NET *2622 0.00693198 +*CONN +*I *21591:C I *D sky130_fd_sc_hd__and4_1 +*I *21565:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *21591:C 0.00034405 +2 *21565:X 0.00136804 +3 *2622:9 0.00171209 +4 *2622:9 *22736:A2 6.3657e-05 +5 *2622:9 *22761:A 3.92271e-05 +6 *2622:9 *2632:83 0.000476577 +7 *2622:9 *2641:68 0.00112351 +8 *2622:9 *3198:48 0.000104869 +9 *21525:D *21591:C 0.000144771 +10 *21537:B2 *21591:C 0.000225 +11 *21565:A2 *2622:9 0.000365692 +12 *21565:C1 *2622:9 1.88014e-05 +13 *21565:D1 *2622:9 0.000161262 +14 *21591:A *21591:C 6.08467e-05 +15 *1435:125 *2622:9 3.95516e-05 +16 *1502:193 *2622:9 6.63489e-05 +17 *1588:77 *21591:C 0.000178488 +18 *1800:14 *2622:9 0.000250254 +19 *2547:26 *21591:C 1.28732e-05 +20 *2611:23 *2622:9 0.000176074 +*RES +1 *21565:X *2622:9 45.5154 +2 *2622:9 *21591:C 25.9297 +*END + +*D_NET *2623 0.045267 +*CONN +*I *21571:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21566:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21571:A1 0.00067699 +2 *21566:Y 0.000222892 +3 *2623:29 0.002333 +4 *2623:26 0.00249366 +5 *2623:18 0.00314333 +6 *2623:16 0.00311091 +7 *2623:11 0.00356878 +8 *2623:10 0.00276355 +9 *2623:8 0.00153554 +10 *2623:7 0.00175843 +11 *21571:A1 *21571:B1 0 +12 *21571:A1 *2979:20 6.08467e-05 +13 *21571:A1 *3208:83 0.000208015 +14 *2623:7 *21566:A 0.000199733 +15 *2623:8 *21566:A 5.92342e-05 +16 *2623:8 *24758:RESET_B 0.00017419 +17 *2623:8 *2719:8 0 +18 *2623:8 *4832:116 0.000445592 +19 *2623:8 *4863:20 0.0016538 +20 *2623:8 *5851:224 0.000243613 +21 *2623:11 *2942:7 0.00249962 +22 *2623:11 *2942:15 0.00059611 +23 *2623:11 *5475:29 7.65728e-05 +24 *2623:16 *2922:19 0.000913109 +25 *2623:16 *5475:31 0 +26 *2623:16 *5671:30 3.57291e-06 +27 *2623:16 *5671:32 0 +28 *2623:16 *5748:31 7.75615e-05 +29 *2623:16 *5806:43 0.000233329 +30 *2623:18 *24052:S 2.02035e-05 +31 *2623:18 *24062:S 0.000147339 +32 *2623:18 *4801:22 4.9e-05 +33 *2623:18 *5475:31 0.000428152 +34 *2623:18 *5475:38 0.000216413 +35 *2623:18 *5994:8 0.000713265 +36 *2623:18 *6001:94 0.000125656 +37 *2623:18 *6001:98 0.000401555 +38 *2623:26 *20157:A1 2.07365e-05 +39 *2623:26 *20161:B1 9.2889e-05 +40 *2623:26 *3368:65 0.000173077 +41 *2623:26 *3368:70 0.00102159 +42 *2623:26 *4801:22 0.000162194 +43 *2623:26 *5994:8 0.000799647 +44 *2623:26 *5998:12 0.000179246 +45 *20157:A2 *2623:26 0.000107496 +46 *20573:B2 *2623:26 0.000268195 +47 *21571:A2 *21571:A1 4.17399e-05 +48 *24506:D *2623:8 0.000165228 +49 *460:43 *2623:29 0.000318229 +50 *1419:96 *2623:7 7.92757e-06 +51 *1467:183 *2623:29 0.000357912 +52 *1471:213 *2623:29 0.000440512 +53 *1502:155 *21571:A1 0.000982245 +54 *1502:160 *21571:A1 0.000177161 +55 *1506:98 *2623:18 0.00023936 +56 *1506:99 *2623:18 0.00250908 +57 *1521:62 *2623:26 1.49636e-05 +58 *1521:68 *2623:26 0.000205649 +59 *1521:74 *2623:18 0.00174149 +60 *1541:139 *21571:A1 0.000318331 +61 *1560:52 *21571:A1 7.77309e-06 +62 *1744:108 *2623:16 0.00138602 +63 *1744:110 *2623:18 0 +64 *1763:15 *2623:26 0.000154145 +65 *2283:29 *2623:18 0.000113374 +66 *2285:19 *21571:A1 0.000584215 +67 *2285:19 *2623:29 2.16045e-05 +68 *2445:10 *2623:8 0.000500004 +69 *2445:14 *2623:8 0.000127054 +70 *2603:16 *2623:18 5.91325e-05 +71 *2616:43 *2623:29 0.000351076 +72 *2616:52 *21571:A1 0.000330974 +73 *2616:52 *2623:29 0.000133146 +*RES +1 *21566:Y *2623:7 19.464 +2 *2623:7 *2623:8 52.908 +3 *2623:8 *2623:10 4.5 +4 *2623:10 *2623:11 46.2009 +5 *2623:11 *2623:16 37.7568 +6 *2623:16 *2623:18 86.458 +7 *2623:18 *2623:26 47.8933 +8 *2623:26 *2623:29 37.074 +9 *2623:29 *21571:A1 44.8412 +*END + +*D_NET *2624 0.0669384 +*CONN +*I *21571:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21567:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21571:B1 0.00108215 +2 *21567:Y 0 +3 *2624:54 0.00288767 +4 *2624:45 0.00758397 +5 *2624:33 0.00726119 +6 *2624:27 0.00223653 +7 *2624:21 0.00621051 +8 *2624:6 0.00882542 +9 *2624:5 0.00336869 +10 *21571:B1 *20608:B1 6.71498e-05 +11 *21571:B1 *2627:37 0.000254232 +12 *21571:B1 *2709:37 0.000399021 +13 *21571:B1 *2979:20 0.000114518 +14 *21571:B1 *5297:8 6.3657e-05 +15 *21571:B1 *5869:418 3.88655e-06 +16 *2624:6 *21150:A1 0.000196638 +17 *2624:6 *21151:A1 5.5807e-05 +18 *2624:6 *21154:A1 6.92004e-05 +19 *2624:6 *3860:22 0.00282075 +20 *2624:6 *4838:52 0.00035117 +21 *2624:6 *4838:68 0.000102632 +22 *2624:6 *4843:63 0.000162082 +23 *2624:6 *4844:26 0 +24 *2624:6 *4869:118 0 +25 *2624:6 *5868:434 0 +26 *2624:6 *5868:449 0 +27 *2624:6 *5868:458 0 +28 *2624:6 *5868:477 0 +29 *2624:6 *5906:45 0 +30 *2624:21 *20647:B1 2.27135e-05 +31 *2624:21 *20714:B1 0 +32 *2624:21 *2684:8 0.000123735 +33 *2624:21 *3089:36 0.000102098 +34 *2624:21 *4126:79 0.000148104 +35 *2624:21 *4137:73 0.000128756 +36 *2624:21 *4874:19 3.17436e-05 +37 *2624:21 *5858:8 0.00042217 +38 *2624:21 *5918:20 0.000150593 +39 *2624:21 *6021:14 0 +40 *2624:27 *20793:A1 0.000101133 +41 *2624:27 *24383:SET_B 0.000166563 +42 *2624:27 *24383:CLK 0.000106972 +43 *2624:27 *3857:42 0.00013803 +44 *2624:27 *4700:41 0.00058327 +45 *2624:27 *4798:8 0.000850784 +46 *2624:33 *2963:64 0.000810885 +47 *2624:33 *4547:35 0.00186742 +48 *2624:33 *5671:136 8.72285e-06 +49 *2624:33 *5671:140 0.00111961 +50 *2624:33 *5815:64 0 +51 *2624:45 *20158:A1 0.00229783 +52 *2624:45 *20487:B1 0 +53 *2624:45 *2680:27 2.70591e-05 +54 *2624:45 *2784:42 0.00276515 +55 *2624:45 *4106:162 0.000108729 +56 *2624:45 *5454:15 0.00045679 +57 *2624:54 *20418:B1 5.74949e-05 +58 *2624:54 *20490:B1 7.02358e-06 +59 *2624:54 *21825:A1 5.45531e-05 +60 *2624:54 *2709:37 8.45896e-06 +61 *2624:54 *2844:56 3.96876e-05 +62 *2624:54 *3002:42 0.000286722 +63 *2624:54 *4863:157 1.86757e-05 +64 *2624:54 *5854:122 0.000113107 +65 *20420:A2 *2624:45 1.91391e-05 +66 *20421:A2 *2624:54 1.71374e-05 +67 *21571:A1 *21571:B1 0 +68 *24193:D *2624:6 0 +69 *24400:D *2624:6 2.25948e-05 +70 *24588:D *2624:6 0.000130777 +71 *24631:D *2624:54 0.00012568 +72 *24801:D *2624:6 0 +73 *657:181 *2624:33 0 +74 *657:189 *2624:33 0.00100494 +75 *1442:74 *2624:54 0.000999964 +76 *1442:90 *2624:54 0.000890935 +77 *1501:70 *21571:B1 0.000227061 +78 *1515:91 *21571:B1 0.000340513 +79 *1569:78 *21571:B1 7.63256e-05 +80 *1569:78 *2624:54 9.79149e-05 +81 *1593:10 *2624:21 5.25754e-05 +82 *1688:11 *2624:6 2.27135e-05 +83 *1688:11 *2624:21 0.000557884 +84 *1707:39 *2624:21 0 +85 *1715:67 *21571:B1 2.88533e-05 +86 *1729:64 *2624:45 0.000381052 +87 *1730:240 *2624:54 9.7653e-05 +88 *1762:63 *21571:B1 8.26102e-05 +89 *1762:63 *2624:54 6.30588e-05 +90 *1773:18 *21571:B1 0.000101133 +91 *1773:41 *2624:45 0.000109196 +92 *1773:42 *2624:45 0.000332969 +93 *1829:106 *2624:6 0.000473718 +94 *1829:106 *2624:21 0.00128335 +95 *1868:11 *2624:6 0 +96 *1947:89 *2624:33 0.000752788 +97 *1947:149 *2624:45 3.39641e-05 +98 *1952:14 *2624:54 1.3028e-05 +99 *1952:16 *2624:54 1.53462e-05 +100 *2024:21 *2624:21 8.25923e-05 +101 *2024:46 *2624:21 6.25817e-05 +102 *2231:6 *2624:21 2.59186e-05 +103 *2232:78 *2624:6 0 +104 *2468:8 *2624:6 0.000154376 +105 *2523:52 *2624:54 0.000638561 +106 *2531:38 *2624:45 1.22613e-05 +107 *2531:42 *2624:54 9.73008e-05 +108 *2554:35 *2624:33 0.000163726 +109 *2597:12 *2624:54 6.64907e-05 +110 *2612:77 *21571:B1 3.82027e-05 +111 *2620:76 *2624:45 0 +*RES +1 *21567:Y *2624:5 13.7491 +2 *2624:5 *2624:6 106.683 +3 *2624:6 *2624:21 48.0987 +4 *2624:21 *2624:27 31.1517 +5 *2624:27 *2624:33 41.2023 +6 *2624:33 *2624:45 33.4593 +7 *2624:45 *2624:54 46.7647 +8 *2624:54 *21571:B1 41.937 +*END + +*D_NET *2625 0.0155914 +*CONN +*I *21570:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21568:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21570:A1 0.0010239 +2 *21568:Y 0.00202951 +3 *2625:22 0.00170085 +4 *2625:16 0.00270646 +5 *21570:A1 *21570:B1 8.14875e-05 +6 *21570:A1 *24886:RESET_B 5.69771e-05 +7 *2625:16 *20122:C 1.66626e-05 +8 *2625:16 *24199:CLK 2.02035e-05 +9 *2625:16 *4867:115 0.000314958 +10 *2625:16 *5449:27 4.01386e-06 +11 *2625:22 *24886:CLK 3.77804e-05 +12 *2625:22 *2838:21 4.55972e-05 +13 *2625:22 *4876:66 0.000417464 +14 *2625:22 *5811:22 0.00165273 +15 *2625:22 *5812:50 0.00290721 +16 *2625:22 *5852:41 0.000472832 +17 *20111:A *2625:16 6.23875e-05 +18 *20112:A *2625:16 0.000729664 +19 *21570:A2 *21570:A1 1.43983e-05 +20 *657:16 *2625:16 0.000485348 +21 *657:16 *2625:22 0.000239531 +22 *1471:84 *21570:A1 0.000146877 +23 *1471:96 *21570:A1 0.00011818 +24 *1506:146 *21570:A1 2.41483e-05 +25 *1506:158 *21570:A1 0.000221836 +26 *2505:37 *2625:22 6.0345e-05 +*RES +1 *21568:Y *2625:16 43.1741 +2 *2625:16 *2625:22 48.1592 +3 *2625:22 *21570:A1 25.9355 +*END + +*D_NET *2626 0.000882829 +*CONN +*I *21570:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21569:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21570:B1 0.000179628 +2 *21569:Y 0.000179628 +3 *21570:B1 *2878:14 3.05674e-05 +4 *21570:B1 *5812:8 6.50586e-05 +5 *21570:B1 *5917:325 4.37014e-05 +6 *21570:B1 *5917:336 9.7112e-06 +7 *21570:A1 *21570:B1 8.14875e-05 +8 *1471:84 *21570:B1 0.00013243 +9 *1506:158 *21570:B1 0.000160617 +*RES +1 *21569:Y *21570:B1 34.2118 +*END + +*D_NET *2627 0.0266864 +*CONN +*I *21571:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21570:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21571:C1 0 +2 *21570:X 3.91978e-05 +3 *2627:37 0.00190174 +4 *2627:24 0.00397407 +5 *2627:22 0.00273438 +6 *2627:16 0.00219664 +7 *2627:10 0.00273184 +8 *2627:7 0.00123645 +9 *2627:10 *19626:B1 0.000233226 +10 *2627:10 *2878:14 0.000486337 +11 *2627:10 *2878:25 0.000159282 +12 *2627:10 *5206:10 0.00027077 +13 *2627:10 *5854:178 0.000115206 +14 *2627:10 *5854:180 0.000190881 +15 *2627:10 *5854:185 7.44425e-06 +16 *2627:10 *5898:34 3.92275e-05 +17 *2627:16 *19626:B1 1.3813e-05 +18 *2627:16 *19926:A 0.000226281 +19 *2627:16 *20375:A1 0 +20 *2627:16 *2878:25 0.00040823 +21 *2627:16 *2878:32 4.44832e-05 +22 *2627:16 *2964:35 0.000364491 +23 *2627:16 *4894:9 0.000241281 +24 *2627:16 *5175:8 0.000110675 +25 *2627:16 *5856:241 0.000475932 +26 *2627:16 *5860:260 0 +27 *2627:22 *2836:8 0 +28 *2627:22 *5856:232 0 +29 *2627:24 *20452:B1 6.97486e-05 +30 *2627:24 *5801:78 0 +31 *2627:24 *5851:141 0.00014635 +32 *2627:24 *5856:232 0.000165536 +33 *2627:24 *5858:142 3.49097e-05 +34 *2627:24 *5858:144 2.02226e-05 +35 *2627:24 *5858:146 2.50327e-05 +36 *2627:24 *5858:158 0.000233929 +37 *2627:24 *5858:166 0.000685834 +38 *2627:24 *5860:9 0.000348844 +39 *2627:24 *5860:46 0.000649457 +40 *2627:24 *5869:319 4.76197e-05 +41 *2627:24 *5869:331 4.31485e-06 +42 *2627:37 *2933:40 3.13066e-05 +43 *19778:C *2627:16 3.32581e-05 +44 *20369:A *2627:22 0 +45 *20373:A2 *2627:16 0.000102899 +46 *20373:A2 *2627:22 9.81314e-05 +47 *20446:A *2627:24 0.000142657 +48 *20640:B2 *2627:24 2.57465e-06 +49 *20641:A2 *2627:24 5.30145e-05 +50 *21571:B1 *2627:37 0.000254232 +51 *24477:D *2627:24 0.000162458 +52 *24658:D *2627:16 5.21758e-06 +53 *24660:D *2627:16 1.4036e-05 +54 *24660:D *2627:22 5.07568e-05 +55 *24892:D *2627:10 0.000115772 +56 *460:57 *2627:16 0.00145276 +57 *1442:55 *2627:22 0 +58 *1458:18 *2627:10 0.00024402 +59 *1458:27 *2627:10 2.42353e-05 +60 *1471:84 *2627:7 6.92705e-05 +61 *1501:70 *2627:37 0.000101619 +62 *1692:19 *2627:10 0.000271152 +63 *1715:67 *2627:37 9.51125e-05 +64 *1726:28 *2627:24 4.0143e-05 +65 *1755:98 *2627:24 9.75356e-05 +66 *1801:59 *2627:24 8.56518e-05 +67 *1808:26 *2627:22 4.36653e-05 +68 *1808:26 *2627:24 0.00050519 +69 *1937:31 *2627:16 0 +70 *1937:43 *2627:22 0 +71 *2022:30 *2627:24 1.89102e-05 +72 *2274:38 *2627:24 9.10152e-05 +73 *2285:19 *2627:37 0.00155919 +74 *2616:43 *2627:37 0.000286928 +*RES +1 *21570:X *2627:7 14.4725 +2 *2627:7 *2627:10 36.8231 +3 *2627:10 *2627:16 49.8058 +4 *2627:16 *2627:22 15.6575 +5 *2627:22 *2627:24 61.5184 +6 *2627:24 *2627:37 47.2632 +7 *2627:37 *21571:C1 9.24915 +*END + +*D_NET *2628 0.0170232 +*CONN +*I *21590:A I *D sky130_fd_sc_hd__and4_1 +*I *21571:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21590:A 0.000411823 +2 *21571:X 0.000984891 +3 *2628:17 0.00349197 +4 *2628:10 0.00406504 +5 *21590:A *21909:B1 0.000499163 +6 *21590:A *3023:42 0.000117235 +7 *21590:A *3491:51 0.000156955 +8 *21590:A *3736:31 0.000319463 +9 *2628:10 *22742:A 6.22114e-05 +10 *2628:10 *3361:12 5.88009e-05 +11 *2628:17 *2652:28 5.46889e-05 +12 *2628:17 *2922:58 0.000586548 +13 *2628:17 *3023:41 2.18809e-05 +14 *21455:B1 *2628:17 0.000689786 +15 *21560:A2 *2628:10 3.03541e-05 +16 *22736:B1 *2628:17 0.000633556 +17 *1502:177 *2628:10 0.00120258 +18 *1620:10 *21590:A 0.000108721 +19 *1637:46 *21590:A 0.000183915 +20 *1648:47 *21590:A 7.08433e-05 +21 *1715:67 *2628:10 1.41976e-05 +22 *1725:97 *2628:17 0.000441583 +23 *1802:98 *21590:A 0.000173106 +24 *2281:13 *2628:17 0.00133151 +25 *2285:19 *2628:17 0.000396664 +26 *2285:23 *2628:17 0.000711388 +27 *2519:38 *2628:17 5.01835e-05 +28 *2613:109 *21590:A 0.000154145 +*RES +1 *21571:X *2628:10 34.809 +2 *2628:10 *2628:17 49.553 +3 *2628:17 *21590:A 42.007 +*END + +*D_NET *2629 0.0712624 +*CONN +*I *21577:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *23925:B I *D sky130_fd_sc_hd__nor2_2 +*I *21572:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21577:A1 0.000133909 +2 *23925:B 0.00417113 +3 *21572:Y 0.000497777 +4 *2629:37 0.0137772 +5 *2629:21 0.0106103 +6 *2629:10 0.00163601 +7 *21577:A1 *2630:31 0.000110306 +8 *21577:A1 *3615:12 0.000154573 +9 *23925:B *21620:A 1.22858e-05 +10 *23925:B *2703:60 9.63467e-06 +11 *23925:B *3513:57 0.00368258 +12 *23925:B *3519:130 0.000462815 +13 *23925:B *3519:332 0.000175994 +14 *23925:B *3521:33 2.69702e-06 +15 *23925:B *3521:41 5.12942e-05 +16 *23925:B *4808:76 0.00075194 +17 *2629:10 *2791:46 0.000679825 +18 *2629:10 *3212:27 4.31485e-06 +19 *2629:10 *4829:145 2.92903e-05 +20 *2629:10 *5904:35 0.00072883 +21 *2629:21 *2692:53 0 +22 *2629:21 *3212:34 0.00242524 +23 *2629:21 *3212:36 2.72975e-05 +24 *2629:21 *4823:85 6.66005e-05 +25 *2629:21 *4829:147 0 +26 *2629:21 *5904:35 0 +27 *2629:37 *21690:A1 1.31782e-05 +28 *2629:37 *22304:B2 6.77316e-05 +29 *2629:37 *22330:A2 4.17927e-05 +30 *2629:37 *22645:B1 0.00108173 +31 *2629:37 *2882:74 5.60804e-05 +32 *2629:37 *3151:141 0.000155692 +33 *2629:37 *3212:38 0.000502186 +34 *2629:37 *3263:33 0.00338026 +35 *2629:37 *3507:19 0.00114603 +36 *2629:37 *3521:26 0.000215897 +37 *2629:37 *3521:33 3.99873e-05 +38 *2629:37 *3527:54 0.00100078 +39 *2629:37 *3529:39 0.000116287 +40 *2629:37 *3533:30 0.000180035 +41 *2629:37 *4808:76 1.93296e-05 +42 *2629:37 *4810:66 4.4007e-05 +43 *2629:37 *4812:38 6.22732e-06 +44 *2629:37 *4819:40 0.000945386 +45 *2629:37 *4821:143 0.00328988 +46 *2629:37 *4824:153 0.000287299 +47 *2629:37 *4827:79 5.49128e-05 +48 *2629:37 *4831:25 1.24546e-05 +49 *2629:37 *4833:98 0.00033162 +50 *2629:37 *5475:110 1.36396e-05 +51 *2629:37 *5593:57 0.0021153 +52 *2629:37 *5855:244 4.80664e-05 +53 *2629:37 *5864:539 0.000134132 +54 *2629:37 *5874:133 9.1813e-05 +55 *2629:37 *5886:47 1.28964e-05 +56 *2629:37 *5886:48 0.00048514 +57 *2629:37 *5898:191 5.60804e-05 +58 mgmt_gpio_oeb[8] *2629:37 8.64829e-05 +59 *20050:B1 *23925:B 1.26643e-05 +60 *22334:A2 *2629:37 1.44934e-05 +61 *22335:A1 *23925:B 0.000133626 +62 *22499:A1 *23925:B 1.9101e-05 +63 *22808:A1 *23925:B 1.04956e-05 +64 *476:142 *2629:37 0.000207143 +65 *527:44 *2629:37 0.000452648 +66 *535:19 *2629:37 0.000537463 +67 *1545:66 *2629:37 0.00126688 +68 *1552:70 *21577:A1 0.000154573 +69 *1608:30 *23925:B 0.000650063 +70 *1608:30 *2629:37 0.0016502 +71 *1680:137 *2629:37 0.000445469 +72 *1688:75 *2629:21 0.000374764 +73 *1688:91 *2629:37 1.57279e-05 +74 *1722:40 *23925:B 0.0003588 +75 *1735:132 *2629:37 0.000344216 +76 *1750:45 *2629:37 0.000515598 +77 *1786:65 *23925:B 0.00410998 +78 *1800:47 *23925:B 0.000248204 +79 *2266:55 *2629:37 0.000111938 +80 *2291:61 *2629:37 1.78841e-05 +81 *2320:56 *2629:10 0.000220682 +82 *2391:34 *2629:37 6.30669e-05 +83 *2417:43 *2629:10 9.75436e-05 +84 *2421:103 *2629:21 1.03329e-05 +85 *2446:101 *2629:37 0.000194031 +86 *2467:114 *2629:37 0.000333756 +87 *2474:14 *2629:37 0.00215457 +88 *2520:87 *2629:37 1.86819e-05 +89 *2571:15 *23925:B 0.000110213 +90 *2572:60 *2629:37 0.000104754 +91 *2572:67 *21577:A1 3.82228e-05 +92 *2573:8 *23925:B 3.04443e-05 +*RES +1 *21572:Y *2629:10 34.9899 +2 *2629:10 *2629:21 44.9907 +3 *2629:21 *2629:37 32.4332 +4 *2629:37 *23925:B 29.5882 +5 *2629:37 *21577:A1 21.6813 +*END + +*D_NET *2630 0.0246853 +*CONN +*I *22661:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22332:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21577:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21573:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22661:A1 1.98947e-05 +2 *22332:A1 0.000190223 +3 *21577:B1 0 +4 *21573:Y 0.000104875 +5 *2630:31 0.00141544 +6 *2630:20 0.00178767 +7 *2630:16 0.000583685 +8 *2630:9 0.00500059 +9 *2630:8 0.00472368 +10 *22332:A1 *22332:A2 2.53145e-06 +11 *22332:A1 *22332:B2 8.11332e-06 +12 *22332:A1 *22337:B 1.60864e-05 +13 *22332:A1 *3502:124 0.000134594 +14 *22332:A1 *3523:276 9.80784e-05 +15 *22661:A1 *22661:C1 6.08467e-05 +16 *22661:A1 *22671:C 2.16355e-05 +17 *2630:9 *20616:B1 0.00206823 +18 *2630:9 *22639:A2 0.000107496 +19 *2630:9 *22639:B2 2.16355e-05 +20 *2630:9 *22653:B 0.000298399 +21 *2630:9 *22657:B2 0.000103843 +22 *2630:9 *2896:49 1.61631e-05 +23 *2630:9 *3529:7 0.000186798 +24 *2630:9 *4807:58 9.79796e-06 +25 *2630:9 *4807:82 0.000735626 +26 *2630:9 *4844:259 8.88984e-06 +27 *2630:16 *22657:B2 2.16608e-05 +28 *2630:16 *22671:B 0.000114594 +29 *2630:16 *2825:12 0.000292051 +30 *2630:16 *3491:46 0.000207266 +31 *2630:20 *2825:12 1.63402e-05 +32 *2630:20 *3502:124 0.000233944 +33 *2630:20 *3523:276 0.000173625 +34 *2630:31 *22327:C1 0.000729788 +35 *2630:31 *22332:A2 0.000345048 +36 *2630:31 *22671:D 1.74855e-05 +37 *2630:31 *2919:51 0.000721454 +38 *2630:31 *3111:52 5.04829e-06 +39 *2630:31 *3126:228 3.54024e-05 +40 *2630:31 *3198:29 0.000492084 +41 *21320:A2 *2630:16 0.00044762 +42 *21543:B2 *22332:A1 2.57847e-05 +43 *21577:A1 *2630:31 0.000110306 +44 *21577:A2 *2630:31 3.82228e-05 +45 *531:23 *2630:9 0.00184616 +46 *1641:102 *2630:31 0.000154145 +47 *2286:10 *22332:A1 6.14756e-06 +48 *2286:10 *2630:16 1.66659e-05 +49 *2300:60 *2630:8 0.000250346 +50 *2394:36 *2630:9 0.000107496 +51 *2394:41 *2630:8 0.000250346 +52 *2474:7 *2630:9 9.80242e-07 +53 *2572:67 *2630:31 3.68276e-05 +54 *2581:61 *2630:16 0.000187014 +55 *2610:83 *2630:9 7.65728e-05 +*RES +1 *21573:Y *2630:8 22.9879 +2 *2630:8 *2630:9 75.0403 +3 *2630:9 *2630:16 17.3327 +4 *2630:16 *2630:20 5.47156 +5 *2630:20 *2630:31 46.1745 +6 *2630:31 *21577:B1 9.24915 +7 *2630:20 *22332:A1 19.1854 +8 *2630:16 *22661:A1 14.4725 +*END + +*D_NET *2631 0.0497541 +*CONN +*I *22330:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21576:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22667:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21574:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22330:A1 1.47608e-05 +2 *21576:A1 9.79279e-05 +3 *22667:A1 0.000776841 +4 *21574:Y 0.000909993 +5 *2631:84 0.000157964 +6 *2631:83 0.00109547 +7 *2631:76 0.00161198 +8 *2631:70 0.00196643 +9 *2631:47 0.00284878 +10 *2631:38 0.00315028 +11 *2631:34 0.00485625 +12 *2631:28 0.00465341 +13 *2631:11 0.00319014 +14 *21576:A1 *22337:D 0.000440665 +15 *22330:A1 *3153:80 6.08467e-05 +16 *22330:A1 *3190:17 6.08467e-05 +17 *22667:A1 *22624:C1 0.000110055 +18 *22667:A1 *22667:A2 0.000205101 +19 *22667:A1 *2887:41 0.000142632 +20 *22667:A1 *2887:66 0.000345048 +21 *22667:A1 *3185:155 6.36477e-05 +22 *22667:A1 *3496:179 4.69414e-05 +23 *22667:A1 *3529:39 0.000345048 +24 *2631:11 *4820:83 2.652e-05 +25 *2631:11 *5853:227 0.000745519 +26 *2631:11 *5862:521 0.000113968 +27 *2631:11 *5903:6 0.00128963 +28 *2631:28 *2896:72 0.000271126 +29 *2631:28 *3020:14 1.26031e-05 +30 *2631:28 *4824:122 6.09999e-05 +31 *2631:28 *5861:363 0.000175784 +32 *2631:28 *5903:6 1.99624e-05 +33 *2631:28 *5903:18 0.00157813 +34 *2631:34 *3122:115 0.000398705 +35 *2631:34 *3466:173 0.000139075 +36 *2631:34 *3471:162 0.000287661 +37 *2631:34 *3471:183 3.39118e-05 +38 *2631:38 *3050:35 3.72251e-05 +39 *2631:38 *3498:231 0.0014301 +40 *2631:38 *3500:105 5.85751e-05 +41 *2631:38 *3500:127 1.13117e-05 +42 *2631:38 *5592:76 0.000726074 +43 *2631:47 *3142:190 0.00068011 +44 *2631:47 *3185:155 0.000578294 +45 *2631:47 *3327:7 0.000436841 +46 *2631:70 *21641:A1 9.80784e-05 +47 *2631:70 *22254:A2 4.71234e-05 +48 *2631:70 *22276:A1 0.000279229 +49 *2631:70 *22602:A1 1.91246e-05 +50 *2631:70 *22603:A1 0.000154145 +51 *2631:70 *22617:B 0.000197377 +52 *2631:70 *22621:B2 4.15661e-05 +53 *2631:70 *22621:C1 0 +54 *2631:70 *2682:19 0.000110505 +55 *2631:70 *2800:56 5.99314e-05 +56 *2631:70 *2814:24 3.73224e-05 +57 *2631:70 *2814:38 0.000127911 +58 *2631:70 *3041:71 0.000129116 +59 *2631:70 *3243:22 9.4808e-06 +60 *2631:70 *3243:30 2.25583e-07 +61 *2631:70 *3483:149 6.40861e-05 +62 *2631:70 *3487:137 1.99996e-05 +63 *2631:70 *3487:151 8.51183e-06 +64 *2631:70 *3539:27 0.000140018 +65 *2631:70 *3551:16 0.000921308 +66 *2631:70 *4817:145 5.11466e-05 +67 *2631:70 *5592:70 8.73244e-06 +68 *2631:76 *2800:56 0.000548785 +69 *2631:76 *3148:206 0.000107496 +70 *2631:83 *2800:56 0.000154707 +71 *2631:83 *2825:12 5.11322e-06 +72 *2631:83 *3122:39 2.78219e-06 +73 *20658:B2 *2631:11 5.01748e-05 +74 *21359:A1 *2631:38 7.09666e-06 +75 *21412:C1 *2631:28 0.00112674 +76 *22398:A1 *2631:34 5.51106e-05 +77 *22658:B1 *2631:83 6.71498e-05 +78 *22695:A1 *2631:38 0.000103246 +79 *24295:D *2631:11 8.63353e-06 +80 *24468:D *2631:28 0.000101133 +81 *502:18 *2631:28 5.65354e-05 +82 *529:14 *2631:38 7.60356e-05 +83 *529:14 *2631:47 6.36477e-05 +84 *1556:35 *2631:34 0.000119582 +85 *1557:113 *2631:34 2.07503e-05 +86 *1562:21 *2631:38 3.45034e-05 +87 *1576:35 *2631:76 7.09666e-06 +88 *1584:141 *2631:83 0.000969373 +89 *1608:43 *2631:47 8.42687e-05 +90 *1616:71 *2631:47 8.08437e-05 +91 *1680:111 *21576:A1 0.000440665 +92 *1680:111 *2631:83 6.63997e-05 +93 *1742:86 *2631:11 0 +94 *2262:102 *2631:28 0.00224331 +95 *2280:6 *2631:38 0.0001128 +96 *2282:53 *2631:28 0.00127889 +97 *2286:53 *2631:70 4.67545e-05 +98 *2293:65 *2631:38 0.000162503 +99 *2415:40 *2631:34 2.61955e-05 +100 *2433:58 *2631:11 5.01493e-05 +101 *2468:59 *2631:28 0.000430097 +102 *2468:63 *2631:28 0.000320217 +103 *2503:67 *2631:70 0.000154145 +104 *2527:13 *2631:34 0.00124857 +105 *2534:44 *2631:83 1.00004e-05 +106 *2570:138 *2631:70 1.28354e-05 +107 *2570:138 *2631:76 0.000418333 +108 *2570:138 *2631:83 9.32704e-05 +*RES +1 *21574:Y *2631:11 46.5852 +2 *2631:11 *2631:28 49.4803 +3 *2631:28 *2631:34 49.1179 +4 *2631:34 *2631:38 46.4154 +5 *2631:38 *2631:47 30.0893 +6 *2631:47 *22667:A1 24.9951 +7 *2631:47 *2631:70 49.7985 +8 *2631:70 *2631:76 22.9066 +9 *2631:76 *2631:83 31.5519 +10 *2631:83 *2631:84 81.1229 +11 *2631:84 *21576:A1 23.6585 +12 *2631:76 *22330:A1 14.4725 +*END + +*D_NET *2632 0.0917543 +*CONN +*I *21576:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22805:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22508:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21575:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21576:B1 8.56748e-05 +2 *22805:A1 0.000681656 +3 *22508:A1 1.72755e-05 +4 *21575:Y 0.000730477 +5 *2632:112 0.00123274 +6 *2632:83 0.00354884 +7 *2632:67 0.00287234 +8 *2632:56 0.00120597 +9 *2632:55 7.10213e-05 +10 *2632:53 0.00130757 +11 *2632:47 0.00386654 +12 *2632:46 0.00316864 +13 *2632:39 0.00227882 +14 *2632:36 0.00256818 +15 *2632:33 0.00140715 +16 *2632:28 0.00182272 +17 *2632:27 0.00359787 +18 *2632:19 0.00752344 +19 *2632:18 0.00677893 +20 *2632:8 0.00226922 +21 *22805:A1 *22761:C 0.000110297 +22 *22805:A1 *22805:A2 6.3504e-05 +23 *22805:A1 *22805:B1 6.91718e-05 +24 *22805:A1 *3739:14 0.000205473 +25 *22805:A1 *3751:47 1.63893e-05 +26 *22805:A1 *4851:9 0.000651618 +27 *2632:8 *24220:SET_B 0 +28 *2632:8 *3060:8 4.78012e-05 +29 *2632:8 *4845:466 0 +30 *2632:8 *5870:274 0 +31 *2632:8 *5870:276 0 +32 *2632:18 *20457:A1 3.32822e-05 +33 *2632:18 *21732:A 5.97411e-05 +34 *2632:18 *24604:RESET_B 0.000795378 +35 *2632:18 *24672:CLK 0.00013978 +36 *2632:18 *2753:8 2.65667e-05 +37 *2632:18 *2808:20 0 +38 *2632:18 *2838:13 0.00018806 +39 *2632:18 *4878:27 0.000213213 +40 *2632:18 *4878:31 3.11719e-05 +41 *2632:18 *4925:35 2.42138e-05 +42 *2632:18 *5858:233 0.000200221 +43 *2632:18 *5858:429 0.000884774 +44 *2632:19 *21141:B1 0.000438099 +45 *2632:19 *24881:CLK 2.81181e-05 +46 *2632:19 *2964:10 0.000161243 +47 *2632:19 *3014:39 1.06769e-05 +48 *2632:19 *5476:5 0.000134849 +49 *2632:19 *5671:19 0.000456031 +50 *2632:19 *5671:27 0.00201593 +51 *2632:19 *5917:290 8.41339e-05 +52 *2632:27 *2963:102 0.00202585 +53 *2632:27 *5474:63 0 +54 *2632:27 *5812:53 0 +55 *2632:27 *5898:73 0.000584609 +56 *2632:28 *2864:52 0.000209579 +57 *2632:28 *3060:28 0.00599794 +58 *2632:28 *5785:24 0 +59 *2632:28 *5785:28 0.000530242 +60 *2632:28 *5785:30 0.00154362 +61 *2632:33 *21369:A 1.4091e-06 +62 *2632:36 *3868:213 0.000669657 +63 *2632:36 *5452:23 0.000114645 +64 *2632:36 *5926:46 8.73538e-05 +65 *2632:36 *5927:48 0.000272791 +66 *2632:36 *5927:64 0.000462083 +67 *2632:39 *23362:B 6.50727e-05 +68 *2632:39 *23362:C 5.0715e-05 +69 *2632:39 *23692:B 3.31745e-05 +70 *2632:39 *3874:131 0.000604089 +71 *2632:46 *23480:B 0.000476681 +72 *2632:46 *23760:A2 4.81115e-05 +73 *2632:46 *3877:161 0.000390352 +74 *2632:46 *3898:35 0.000906398 +75 *2632:47 *21672:A 7.89747e-05 +76 *2632:47 *23266:A 0.000501444 +77 *2632:47 *23268:A 0.000391697 +78 *2632:47 *23273:B 1.92481e-05 +79 *2632:47 *2993:33 0.000205101 +80 *2632:47 *3980:201 0.000345048 +81 *2632:47 *4106:245 4.29187e-05 +82 *2632:47 *4138:16 0.000107496 +83 *2632:47 *4177:8 6.08467e-05 +84 *2632:47 *4182:8 7.97098e-06 +85 *2632:47 *4289:21 0.000210077 +86 *2632:53 *2709:37 7.88327e-05 +87 *2632:53 *2710:29 0.000398814 +88 *2632:53 *2979:5 5.19897e-05 +89 *2632:53 *5851:131 7.09666e-06 +90 *2632:53 *5860:9 6.22837e-05 +91 *2632:53 *5860:651 5.22654e-06 +92 *2632:67 *22498:B2 1.65872e-05 +93 *2632:67 *22499:C1 6.3657e-05 +94 *2632:67 *22508:A2 1.88014e-05 +95 *2632:67 *22756:A2 0.000154145 +96 *2632:67 *22756:B1 0.000159262 +97 *2632:67 *22756:B2 2.99287e-05 +98 *2632:67 *2708:32 0.000210199 +99 *2632:67 *2998:28 0.000294093 +100 *2632:67 *3177:208 0.000111802 +101 *2632:83 *22508:A2 8.41325e-05 +102 *2632:83 *3198:38 2.14289e-05 +103 *2632:83 *3523:28 4.64457e-05 +104 *2632:112 *3208:107 3.74542e-05 +105 *2632:112 *3208:250 0.000577653 +106 *2632:112 *3739:14 0.000873661 +107 *2632:112 *4851:9 0.00053847 +108 *19766:B1 *2632:36 5.17262e-05 +109 *21140:A *2632:27 3.84518e-05 +110 *21456:B1 *2632:83 0.000103002 +111 *21493:A2 *2632:112 0.00119066 +112 *21550:A *2632:112 6.36477e-05 +113 *21565:A2 *2632:83 0.000305506 +114 *22498:B1 *2632:67 4.7328e-05 +115 *22507:A1 *2632:83 3.88873e-05 +116 *22508:B1 *2632:67 0.000161262 +117 *22739:A1 *2632:53 0.00106714 +118 *22739:A1 *2632:67 4.10126e-05 +119 *24761:D *2632:19 0.00081232 +120 *24831:D *2632:36 1.16107e-05 +121 *24831:RESET_B *2632:36 0.00017416 +122 *24881:D *2632:19 0.000109484 +123 *25011:A *2632:33 1.43983e-05 +124 *25012:A *2632:36 0.000122789 +125 *25013:A *2632:36 0.000173503 +126 *25028:A *2632:27 0.000131036 +127 *25029:A *2632:27 3.17792e-05 +128 *657:16 *2632:27 3.29488e-05 +129 *657:27 *2632:27 0.000448829 +130 *1438:83 *2632:46 0 +131 *1439:270 *2632:19 6.49003e-05 +132 *1449:85 *22805:A1 0.000439939 +133 *1455:74 *2632:28 0.00245661 +134 *1467:184 *2632:53 5.66905e-05 +135 *1471:169 *2632:36 0.000210378 +136 *1515:85 *2632:47 0.000396002 +137 *1537:36 *2632:67 0.00135598 +138 *1584:9 *2632:112 0.000332036 +139 *1584:129 *2632:112 6.91718e-05 +140 *1584:140 *21576:B1 0.000151161 +141 *1598:46 *2632:112 0.000109173 +142 *1705:16 *2632:36 5.43333e-05 +143 *1786:52 *2632:46 7.5909e-06 +144 *1800:14 *2632:83 0.000247443 +145 *1808:44 *2632:46 2.07943e-05 +146 *1818:15 *2632:27 0.000836237 +147 *1889:16 *2632:19 8.88984e-06 +148 *2205:22 *2632:8 0 +149 *2259:15 *2632:27 0 +150 *2426:8 *2632:36 3.10178e-05 +151 *2502:74 *2632:112 0.000107496 +152 *2521:27 *2632:67 0.000107496 +153 *2521:41 *2632:67 0.000540009 +154 *2521:41 *2632:83 0.00019519 +155 *2531:91 *21576:B1 0.000151161 +156 *2549:17 *2632:112 0.000157529 +157 *2561:25 *2632:19 2.80547e-05 +158 *2571:67 *2632:112 6.3657e-05 +159 *2598:11 *2632:53 0.00150979 +160 *2599:26 *2632:112 0.000358801 +161 *2612:22 *2632:33 6.3657e-05 +162 *2613:114 *22805:A1 3.82228e-05 +163 *2619:38 *2632:36 0.000924877 +164 *2622:9 *2632:83 0.000476577 +*RES +1 *21575:Y *2632:8 34.615 +2 *2632:8 *2632:18 46.6855 +3 *2632:18 *2632:19 83.3593 +4 *2632:19 *2632:27 32.6579 +5 *2632:27 *2632:28 97.9629 +6 *2632:28 *2632:33 15.824 +7 *2632:33 *2632:36 44.1199 +8 *2632:36 *2632:39 37.3904 +9 *2632:39 *2632:46 27.5831 +10 *2632:46 *2632:47 56.7384 +11 *2632:47 *2632:53 45.938 +12 *2632:53 *2632:55 9.24915 +13 *2632:55 *2632:56 81.1229 +14 *2632:56 *2632:67 45.1537 +15 *2632:67 *22508:A1 9.82786 +16 *2632:67 *2632:83 42.8396 +17 *2632:83 *22805:A1 41.443 +18 *2632:83 *2632:112 46.6507 +19 *2632:112 *21576:B1 21.3269 +*END + +*D_NET *2633 0.000598151 +*CONN +*I *21577:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21576:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21577:C1 0.000143755 +2 *21576:X 0.000143755 +3 *21577:C1 *22337:D 0.000110306 +4 *21577:C1 *3144:116 2.01653e-05 +5 *21537:A1 *21577:C1 1.48055e-05 +6 *1584:140 *21577:C1 5.46889e-05 +7 *2531:91 *21577:C1 0.000110675 +*RES +1 *21576:X *21577:C1 31.0235 +*END + +*D_NET *2634 0.00529142 +*CONN +*I *21590:B I *D sky130_fd_sc_hd__and4_1 +*I *21577:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21590:B 0 +2 *21577:X 0.0012925 +3 *2634:29 0.0012925 +4 *2634:29 *21987:A1 7.43088e-05 +5 *2634:29 *3054:18 0.000539181 +6 *2634:29 *3071:57 0 +7 *2634:29 *3466:47 5.88599e-05 +8 *2634:29 *3474:42 0.000157671 +9 *2634:29 *3476:36 0.000118025 +10 *2634:29 *3519:59 0.000299419 +11 *2634:29 *3615:12 0.000396576 +12 *21450:B1 *2634:29 1.97272e-06 +13 *21493:A1 *2634:29 7.31894e-05 +14 *21583:A2 *2634:29 0.000153427 +15 *21592:B *2634:29 3.77568e-05 +16 *21592:C *2634:29 0 +17 *22335:B1 *2634:29 1.07529e-05 +18 *1552:70 *2634:29 6.22259e-05 +19 *1641:77 *2634:29 1.00415e-05 +20 *1802:98 *2634:29 1.41181e-05 +21 *2274:63 *2634:29 0.000393045 +22 *2274:71 *2634:29 0.000190937 +23 *2508:45 *2634:29 1.51556e-05 +24 *2520:119 *2634:29 7.06021e-06 +25 *2550:9 *2634:29 3.34282e-05 +26 *2577:58 *2634:29 5.01835e-05 +27 *2613:101 *2634:29 9.09284e-06 +*RES +1 *21577:X *2634:29 40.8082 +2 *2634:29 *21590:B 9.24915 +*END + +*D_NET *2635 0.0857098 +*CONN +*I *22318:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6096:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21583:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21578:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22318:B1 0.000485628 +2 *6096:DIODE 0.00015572 +3 *21583:A1 0 +4 *21578:Y 0.000171503 +5 *2635:95 0.0016666 +6 *2635:87 0.00418873 +7 *2635:80 0.00447294 +8 *2635:70 0.00197202 +9 *2635:67 0.00179919 +10 *2635:48 0.002715 +11 *2635:47 0.00399749 +12 *2635:35 0.00433985 +13 *2635:21 0.00619492 +14 *2635:20 0.00636905 +15 *2635:8 0.00376769 +16 *2635:7 0.00184433 +17 *6096:DIODE *21590:C 6.89354e-06 +18 *6096:DIODE *2639:19 6.15287e-05 +19 *6096:DIODE *3285:10 1.49488e-05 +20 *22318:B1 *22318:A2 6.3657e-05 +21 *22318:B1 *2827:29 0.000212015 +22 *22318:B1 *2871:8 9.30864e-05 +23 *22318:B1 *3022:27 0.000141482 +24 *22318:B1 *3146:181 0.00020454 +25 *22318:B1 *3157:200 6.08467e-05 +26 *22318:B1 *3157:210 1.15389e-05 +27 *22318:B1 *3215:10 0.000154145 +28 *2635:7 *4826:61 1.58551e-05 +29 *2635:8 *24616:CLK 0.000195139 +30 *2635:8 *2729:20 0.000158323 +31 *2635:8 *2827:29 0.00125231 +32 *2635:8 *2869:26 0.00047978 +33 *2635:8 *2886:8 0.000796181 +34 *2635:8 *4834:69 1.5714e-05 +35 *2635:8 *5853:119 0.000310066 +36 *2635:20 *21092:B1 2.57465e-06 +37 *2635:20 *22295:B1 1.65872e-05 +38 *2635:20 *22296:C1 0.000926296 +39 *2635:20 *2827:29 4.15661e-05 +40 *2635:20 *2886:8 1.94839e-05 +41 *2635:20 *3166:155 0.000125482 +42 *2635:20 *3168:190 7.51747e-05 +43 *2635:20 *4822:71 0.00020904 +44 *2635:21 *22384:C1 6.38651e-05 +45 *2635:21 *5855:134 6.7671e-06 +46 *2635:35 *20961:A1 5.23101e-05 +47 *2635:35 *24297:RESET_B 5.37479e-05 +48 *2635:35 *4812:80 0.000706106 +49 *2635:35 *4819:117 9.19632e-06 +50 *2635:35 *4941:7 4.57457e-06 +51 *2635:35 *5417:5 7.62484e-05 +52 *2635:47 *19562:S 1.94227e-05 +53 *2635:47 *21998:A1 0 +54 *2635:47 *25212:A 0.000155387 +55 *2635:47 *2900:111 0.000997968 +56 *2635:47 *2978:29 0.00043036 +57 *2635:47 *2978:47 0.000257429 +58 *2635:47 *4832:60 0 +59 *2635:47 *4833:130 6.87503e-05 +60 *2635:47 *5592:102 0.000604786 +61 *2635:47 *5864:747 0.000159964 +62 *2635:47 *5908:87 3.80286e-05 +63 *2635:48 *2729:62 7.02358e-06 +64 *2635:48 *2978:48 0.00336193 +65 *2635:67 *4829:125 8.12259e-06 +66 *2635:67 *5874:36 0.000124744 +67 *2635:67 *5874:46 0.00136407 +68 *2635:67 *5874:133 3.92592e-05 +69 *2635:67 *5908:56 0.000659139 +70 *2635:80 *19818:A 0.000200794 +71 *2635:80 *21798:A 0.000164815 +72 *2635:80 *2906:63 1.97947e-05 +73 *2635:80 *4844:255 4.04447e-05 +74 *2635:80 *5366:8 6.08467e-05 +75 *2635:87 *22567:A 0.000340742 +76 *2635:87 *22568:A 6.08467e-05 +77 *2635:87 *22583:A 4.36818e-05 +78 *2635:87 *4823:123 4.69808e-06 +79 *2635:87 *5944:83 0.000400291 +80 *2635:87 *5948:140 0.000118825 +81 *2635:95 *22326:A2 6.08467e-05 +82 *2635:95 *22326:B2 6.35568e-05 +83 *2635:95 *22583:B 2.16355e-05 +84 *2635:95 *22671:A 0.000205006 +85 *2635:95 *3136:149 0.000163418 +86 *2635:95 *3139:122 0.000444819 +87 *2635:95 *3142:108 0.000200794 +88 *2635:95 *3196:23 0.000834797 +89 *2635:95 *3280:13 8.29362e-05 +90 *2635:95 *3285:10 6.86911e-05 +91 *2635:95 *3481:102 0.000135114 +92 mgmt_gpio_oeb[10] *2635:47 0.00012922 +93 mgmt_gpio_oeb[8] *2635:48 1.5714e-05 +94 *21094:A2 *2635:8 4.49912e-05 +95 *21435:A2 *2635:21 0.000699494 +96 *21435:B1 *2635:21 0.00291384 +97 *21583:A2 *6096:DIODE 6.08467e-05 +98 *22326:A1 *2635:95 0.000792019 +99 *22384:A1 *2635:21 0.000101738 +100 *22384:B1 *2635:21 0.000260388 +101 *22516:A *2635:87 8.11725e-05 +102 *22516:D *2635:87 1.87702e-05 +103 *22583:C *2635:87 2.68413e-06 +104 *22583:C *2635:95 2.99291e-05 +105 *24297:D *2635:35 3.20069e-06 +106 *24688:D *2635:35 4.15201e-05 +107 *25173:A *2635:67 9.28704e-05 +108 *508:20 *2635:47 0.00142467 +109 *520:27 *2635:67 2.42732e-05 +110 *533:7 *2635:95 3.95516e-05 +111 *537:29 *2635:67 0.000314795 +112 *1439:113 *2635:80 0.000156163 +113 *1443:49 *2635:80 7.57641e-05 +114 *1450:145 *2635:80 0.00113444 +115 *1576:7 *2635:80 0.00027935 +116 *1576:7 *2635:87 0.000525533 +117 *1577:44 *6096:DIODE 4.17467e-05 +118 *1577:50 *6096:DIODE 1.50584e-05 +119 *1577:50 *2635:95 7.97202e-05 +120 *1577:74 *2635:95 8.54122e-05 +121 *1602:161 *2635:21 7.97098e-06 +122 *1608:107 *2635:35 3.9018e-05 +123 *1610:181 *2635:21 0.000353686 +124 *1652:34 *2635:80 8.62208e-05 +125 *1679:20 *2635:67 0.000667235 +126 *1709:40 *2635:47 0.00317001 +127 *1741:40 *2635:35 0 +128 *1741:40 *2635:47 0.0011526 +129 *1802:116 *6096:DIODE 0.000114594 +130 *2042:70 *2635:7 3.82228e-05 +131 *2266:38 *2635:48 0.00311644 +132 *2274:95 *2635:67 0.000481034 +133 *2295:42 *2635:70 0.000662724 +134 *2300:60 *2635:80 6.61889e-05 +135 *2319:26 *2635:35 0.000179041 +136 *2340:24 *2635:20 1.61653e-05 +137 *2357:50 *2635:47 0.000202649 +138 *2368:23 *2635:95 0.000167692 +139 *2380:60 *2635:35 4.61962e-05 +140 *2384:74 *2635:48 0.000200902 +141 *2391:34 *2635:70 0.000670366 +142 *2416:16 *2635:20 0.000169871 +143 *2421:115 *2635:67 7.50066e-05 +144 *2425:24 *2635:80 6.25914e-05 +145 *2433:106 *2635:47 6.61215e-06 +146 *2441:21 *2635:8 0.000293466 +147 *2448:146 *2635:47 0.00014013 +148 *2448:147 *2635:48 2.17135e-05 +149 *2451:36 *2635:35 0 +150 *2452:14 *22318:B1 4.3854e-05 +151 *2460:40 *2635:47 1.49912e-05 +152 *2494:17 *2635:21 0.000621453 +153 *2542:8 *22318:B1 9.76521e-05 +154 *2581:61 *2635:95 2.296e-05 +155 *2584:62 *2635:67 0.000404158 +*RES +1 *21578:Y *2635:7 16.1364 +2 *2635:7 *2635:8 53.5309 +3 *2635:8 *2635:20 40.7332 +4 *2635:20 *2635:21 76.1495 +5 *2635:21 *2635:35 40.1676 +6 *2635:35 *2635:47 32.2019 +7 *2635:47 *2635:48 60.7978 +8 *2635:48 *2635:67 42.6736 +9 *2635:67 *2635:70 16.0904 +10 *2635:70 *2635:80 48.686 +11 *2635:80 *2635:87 49.5073 +12 *2635:87 *2635:95 46.1406 +13 *2635:95 *21583:A1 9.24915 +14 *2635:95 *6096:DIODE 13.8789 +15 *2635:8 *22318:B1 25.4797 +*END + +*D_NET *2636 0.0257659 +*CONN +*I *22657:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21583:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22321:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21579:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22657:B1 0 +2 *21583:B1 0.000502026 +3 *22321:B1 0 +4 *21579:Y 0.00110076 +5 *2636:40 0.00131105 +6 *2636:28 0.00411786 +7 *2636:17 0.00562172 +8 *2636:14 0.00341365 +9 *21583:B1 *21589:B1 0.000300727 +10 *21583:B1 *22321:C1 0.000221883 +11 *21583:B1 *22660:C1 7.08288e-05 +12 *21583:B1 *2639:19 1.70794e-05 +13 *21583:B1 *2919:51 0.000300676 +14 *21583:B1 *3151:53 2.61147e-05 +15 *21583:B1 *3498:119 1.93125e-05 +16 *2636:14 *20136:B1 0.000214538 +17 *2636:14 *2949:60 6.87503e-05 +18 *2636:14 *4806:81 6.85778e-05 +19 *2636:14 *4843:445 6.87503e-05 +20 *2636:14 *5391:10 6.51527e-05 +21 *2636:14 *5526:32 0.000158357 +22 *2636:17 *21026:B1 0.00035711 +23 *2636:17 *24245:RESET_B 5.7051e-05 +24 *2636:17 *24245:CLK 0.000842297 +25 *2636:17 *2930:21 1.90101e-05 +26 *2636:28 *22229:A 0.000279412 +27 *2636:28 *22657:A2 0.000514549 +28 *2636:28 *2825:12 0.000116653 +29 *2636:28 *3151:141 0.000103487 +30 *2636:28 *3196:12 0.000122402 +31 *2636:28 *3196:23 0.000199184 +32 *2636:28 *3198:18 2.35091e-05 +33 *2636:28 *3467:61 7.53268e-05 +34 *2636:28 *3469:52 0.000924026 +35 *2636:28 *3483:124 0.000316354 +36 *2636:28 *3491:46 2.01853e-05 +37 *2636:28 *3498:132 0.00137274 +38 *2636:28 *3500:99 1.45891e-05 +39 *2636:28 *4813:56 9.59407e-05 +40 *2636:28 *4827:51 9.29815e-06 +41 *2636:40 *22657:A2 3.5534e-06 +42 *2636:40 *2825:12 0.000115451 +43 *2636:40 *3124:35 0.000188354 +44 *2636:40 *3142:98 1.42932e-05 +45 *2636:40 *3280:13 0.000110297 +46 *2636:40 *3500:89 8.02893e-06 +47 *20613:B *2636:28 9.39716e-05 +48 *21022:A *2636:28 4.67418e-06 +49 *21023:A *2636:28 6.13288e-05 +50 *21193:B1 *2636:28 0.000104754 +51 *22321:A1 *21583:B1 0.000442651 +52 *22321:A1 *2636:40 4.2372e-05 +53 *22657:A1 *2636:28 4.69204e-06 +54 *1545:54 *2636:28 9.29996e-05 +55 *1552:65 *21583:B1 8.64021e-05 +56 *1577:44 *21583:B1 2.57629e-05 +57 *1641:77 *21583:B1 0 +58 *1652:28 *2636:28 5.87653e-05 +59 *1788:46 *2636:40 0 +60 *1802:116 *21583:B1 3.2768e-06 +61 *2117:20 *2636:28 7.6496e-05 +62 *2304:11 *2636:14 0.000247443 +63 *2313:19 *2636:17 0.000594025 +64 *2397:69 *2636:14 4.97617e-05 +65 *2440:55 *2636:28 0.000178825 +66 *2511:30 *21583:B1 2.67878e-05 +*RES +1 *21579:Y *2636:14 45.2257 +2 *2636:14 *2636:17 40.1634 +3 *2636:17 *2636:28 29.7 +4 *2636:28 *2636:40 26.5312 +5 *2636:40 *22321:B1 9.24915 +6 *2636:40 *21583:B1 36.9786 +7 *2636:28 *22657:B1 13.7491 +*END + +*D_NET *2637 0.0174428 +*CONN +*I *22320:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21582:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22656:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21580:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22320:A1 7.96604e-05 +2 *21582:A1 0.000317624 +3 *22656:A1 0 +4 *21580:Y 0.000318492 +5 *2637:39 0.00080451 +6 *2637:29 0.00156447 +7 *2637:21 0.00200489 +8 *2637:11 0.00315935 +9 *2637:7 0.0026302 +10 *21582:A1 *21582:B1 0.0002421 +11 *21582:A1 *21981:A1 1.88014e-05 +12 *21582:A1 *3142:32 8.50666e-05 +13 *21582:A1 *3142:46 1.69599e-05 +14 *21582:A1 *3142:98 0.000136527 +15 *21582:A1 *3500:89 0.000108144 +16 *22320:A1 *22320:A2 2.02035e-05 +17 *22320:A1 *3142:98 0.000133598 +18 *2637:7 *21580:A 6.50727e-05 +19 *2637:11 *2906:82 0.00197277 +20 *2637:11 *3126:7 6.53432e-05 +21 *2637:11 *3146:7 1.19726e-05 +22 *2637:21 *22170:A 6.08467e-05 +23 *2637:21 *22182:A 0.000109519 +24 *2637:21 *3134:5 0.000107836 +25 *2637:21 *3146:7 0.000160653 +26 *2637:21 *4827:32 0.000280038 +27 *2637:29 *22564:A 1.61631e-05 +28 *2637:29 *2930:26 0.000217066 +29 *2637:29 *3166:15 0.000154145 +30 *2637:29 *3481:77 0.000212198 +31 *2637:29 *3485:104 5.1493e-06 +32 *2637:29 *3513:10 0.000184778 +33 *2637:39 *22656:B2 0.000107496 +34 *2637:39 *22657:C1 0.000111722 +35 *2637:39 *3166:15 2.83378e-05 +36 *21582:B2 *21582:A1 6.08467e-05 +37 *21981:B2 *21582:A1 1.34424e-05 +38 *506:22 *2637:21 0.000280038 +39 *1502:201 *22320:A1 4.31485e-06 +40 *1542:174 *2637:29 4.15661e-05 +41 *1551:72 *2637:7 2.01098e-05 +42 *1551:72 *2637:11 8.14087e-05 +43 *1552:52 *21582:A1 1.30502e-05 +44 *1552:52 *22320:A1 2.29482e-05 +45 *1669:181 *21582:A1 2.99287e-05 +46 *1680:71 *2637:29 9.59112e-05 +47 *1788:32 *2637:29 0.000120237 +48 *2407:41 *21582:A1 6.41851e-05 +49 *2418:24 *2637:21 0.000294093 +50 *2459:10 *2637:7 1.75125e-05 +51 *2577:58 *2637:39 0.000743992 +52 *2596:18 *2637:29 2.75175e-05 +*RES +1 *21580:Y *2637:7 15.82 +2 *2637:7 *2637:11 36.5194 +3 *2637:11 *2637:21 30.7304 +4 *2637:21 *2637:29 35.2906 +5 *2637:29 *22656:A1 9.24915 +6 *2637:29 *2637:39 15.785 +7 *2637:39 *21582:A1 23.3666 +8 *2637:39 *22320:A1 16.4116 +*END + +*D_NET *2638 0.0154293 +*CONN +*I *21582:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22496:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22800:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21581:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21582:B1 0.000621952 +2 *22496:A1 0.000157855 +3 *22800:A1 0.000663969 +4 *21581:Y 0.000204818 +5 *2638:37 0.0019962 +6 *2638:35 0.00183958 +7 *2638:8 0.00149198 +8 *21582:B1 *21475:A1_N 0.000260661 +9 *21582:B1 *21981:A1 0.000340742 +10 *21582:B1 *3142:46 7.86728e-05 +11 *22496:A1 *22496:A2 6.08467e-05 +12 *22496:A1 *3134:20 3.07726e-05 +13 *22496:A1 *3142:46 0.000201463 +14 *22800:A1 *22764:B2 6.36999e-05 +15 *22800:A1 *22800:A2 0.000406745 +16 *22800:A1 *22800:B2 2.53145e-06 +17 *22800:A1 *2815:14 9.80784e-05 +18 *22800:A1 *3487:30 1.00824e-05 +19 *22800:A1 *3487:33 0.000203969 +20 *22800:A1 *5854:490 0.000105447 +21 *2638:8 *2876:34 0.00017464 +22 *2638:8 *2978:110 7.63284e-05 +23 *2638:8 *3053:6 2.91863e-05 +24 *2638:8 *3208:9 0.000205101 +25 *2638:8 *4920:35 8.84664e-05 +26 *2638:35 *21900:B 4.67463e-06 +27 *2638:35 *24773:SET_B 2.6437e-05 +28 *2638:35 *2876:34 0.000268447 +29 *2638:35 *2904:8 2.03363e-06 +30 *2638:35 *3053:6 1.62206e-05 +31 *2638:35 *4920:19 1.99707e-05 +32 *2638:35 *4920:35 0.000204136 +33 *2638:35 *5230:7 4.03336e-05 +34 *2638:37 *21475:A1_N 0.000210483 +35 *2638:37 *21900:B 0.000484529 +36 *2638:37 *2916:20 0.000522254 +37 *20201:B2 *22800:A1 4.03381e-05 +38 *21472:C1 *22800:A1 0.000158357 +39 *21582:A1 *21582:B1 0.0002421 +40 *21582:A2 *21582:B1 7.92757e-06 +41 *21582:B2 *21582:B1 5.97209e-05 +42 *22728:A1 *22800:A1 9.80242e-07 +43 *1439:152 *2638:35 0.000207192 +44 *1552:35 *2638:35 0.000341301 +45 *1553:36 *2638:35 1.81797e-05 +46 *1553:36 *2638:37 4.97207e-06 +47 *1564:31 *2638:35 9.72199e-05 +48 *1691:80 *2638:37 0.000210527 +49 *1883:28 *22800:A1 1.82679e-05 +50 *2407:41 *21582:B1 0.000294093 +51 *2428:117 *21582:B1 0.000266141 +52 *2428:117 *2638:35 4.53421e-05 +53 *2428:117 *2638:37 0.0018051 +54 *2529:19 *22800:A1 2.33103e-06 +55 *2590:17 *22496:A1 0.000395909 +*RES +1 *21581:Y *2638:8 20.0446 +2 *2638:8 *22800:A1 38.6686 +3 *2638:8 *2638:35 26.397 +4 *2638:35 *2638:37 37.7513 +5 *2638:37 *22496:A1 20.0186 +6 *2638:37 *21582:B1 38.6918 +*END + +*D_NET *2639 0.0034677 +*CONN +*I *21583:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21582:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21583:C1 0 +2 *21582:X 0.000749366 +3 *2639:19 0.000749366 +4 *2639:19 *21590:C 1.97935e-05 +5 *2639:19 *21981:A1 0.000154145 +6 *2639:19 *21994:B 0.000122104 +7 *6096:DIODE *2639:19 6.15287e-05 +8 *21582:A2 *2639:19 1.58551e-05 +9 *21583:B1 *2639:19 1.70794e-05 +10 *1564:50 *2639:19 0.000569938 +11 *1577:44 *2639:19 0.000944876 +12 *1802:116 *2639:19 3.2768e-06 +13 *2531:91 *2639:19 3.29488e-05 +14 *2532:18 *2639:19 2.50729e-05 +15 *2613:101 *2639:19 2.35121e-06 +*RES +1 *21582:X *2639:19 41.9251 +2 *2639:19 *21583:C1 9.24915 +*END + +*D_NET *2640 0.00160823 +*CONN +*I *21590:C I *D sky130_fd_sc_hd__and4_1 +*I *21583:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21590:C 0.000550413 +2 *21583:X 0.000550413 +3 *6096:DIODE *21590:C 6.89354e-06 +4 *1577:44 *21590:C 5.56587e-05 +5 *1577:50 *21590:C 0.000260325 +6 *1802:98 *21590:C 9.59749e-05 +7 *1802:116 *21590:C 6.87604e-05 +8 *2639:19 *21590:C 1.97935e-05 +*RES +1 *21583:X *21590:C 29.8556 +*END + +*D_NET *2641 0.0619936 +*CONN +*I *21589:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22809:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22497:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21584:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21589:A1 0.000269416 +2 *22809:A1 0.00018677 +3 *22497:A1 5.86926e-05 +4 *21584:Y 0.000634291 +5 *2641:80 0.00154666 +6 *2641:68 0.00255289 +7 *2641:51 0.00202882 +8 *2641:30 0.00944573 +9 *2641:19 0.0127703 +10 *2641:13 0.00458393 +11 *22497:A1 *2991:54 1.66824e-05 +12 *22497:A1 *2994:55 5.57842e-05 +13 *22497:A1 *3151:53 4.04182e-05 +14 *22809:A1 *22514:A 6.11872e-05 +15 *22809:A1 *22814:B 9.94284e-06 +16 *22809:A1 *3517:83 6.3657e-05 +17 *2641:13 *24239:CLK 9.60366e-05 +18 *2641:13 *24665:CLK 0.000167036 +19 *2641:13 *4917:82 0.000399469 +20 *2641:13 *5745:33 0 +21 *2641:13 *5871:131 5.04829e-06 +22 *2641:19 *20236:B1 8.62625e-06 +23 *2641:19 *21038:A1 0.000275488 +24 *2641:19 *21038:B1 5.8518e-05 +25 *2641:19 *21860:A 0.000115451 +26 *2641:19 *23931:B1 0.000101177 +27 *2641:19 *24241:RESET_B 0.000178122 +28 *2641:19 *2875:12 6.99849e-05 +29 *2641:19 *2903:8 0 +30 *2641:19 *4876:49 0.000304794 +31 *2641:19 *5851:389 7.42343e-05 +32 *2641:19 *5851:400 0 +33 *2641:19 *5854:466 0 +34 *2641:19 *5860:544 0.000142735 +35 *2641:30 *22497:A2 4.09765e-05 +36 *2641:30 *2880:20 0.00401987 +37 *2641:30 *2989:91 0.000985044 +38 *2641:30 *3122:32 0.000143327 +39 *2641:30 *3124:47 6.73132e-05 +40 *2641:30 *3136:42 0.000550786 +41 *2641:51 *2667:93 1.38267e-05 +42 *2641:51 *2931:26 3.7516e-05 +43 *2641:51 *2935:8 0.000189785 +44 *2641:51 *2991:54 3.07319e-05 +45 *2641:51 *3071:42 8.91475e-05 +46 *2641:51 *3071:57 0.000308313 +47 *2641:51 *3151:53 0.000127548 +48 *2641:51 *3736:31 0.000646037 +49 *2641:68 *21909:B1 0.000546708 +50 *2641:68 *21909:C1 4.23858e-05 +51 *2641:68 *2999:65 0.000200794 +52 *2641:68 *3668:18 0.000327117 +53 *2641:80 *22736:A2 1.5714e-05 +54 *2641:80 *2851:24 1.11373e-05 +55 *2641:80 *2851:26 2.27118e-06 +56 *2641:80 *3126:194 0.00011836 +57 *2641:80 *3126:206 2.31496e-05 +58 *2641:80 *3196:46 0.000185378 +59 *2641:80 *3196:60 0.000129197 +60 *2641:80 *3466:56 0.000304392 +61 *19995:A *2641:68 0.000250254 +62 *19995:B *2641:80 0.000154145 +63 *21036:A2 *2641:19 0 +64 *21524:B1 *2641:19 0 +65 *21554:B1 *2641:30 5.60804e-05 +66 *21560:B2 *2641:80 0.000271978 +67 *21589:A2 *21589:A1 0.000155935 +68 *21981:A2 *2641:30 0.000748758 +69 *22497:B1 *22497:A1 1.47978e-05 +70 *22502:B1 *2641:68 0.000323692 +71 *22508:B1 *2641:80 0.000426777 +72 *22799:A1 *2641:80 0.00021979 +73 *460:63 *2641:19 0.000349808 +74 *528:26 *2641:19 9.30265e-05 +75 *549:23 *2641:30 0.00433746 +76 *1428:85 *2641:19 0 +77 *1435:125 *2641:80 0.000182709 +78 *1502:193 *2641:68 0.00063959 +79 *1542:150 *2641:68 0.000154145 +80 *1620:11 *21589:A1 1.78704e-05 +81 *1636:10 *2641:19 0.000154806 +82 *1637:46 *21589:A1 5.04829e-06 +83 *1637:46 *2641:68 5.481e-05 +84 *1690:17 *2641:19 0 +85 *1695:42 *2641:19 0.000804154 +86 *1749:29 *2641:19 0 +87 *1751:23 *2641:68 0.000107496 +88 *1751:23 *2641:80 2.81262e-05 +89 *1780:44 *2641:13 0.000978581 +90 *1788:21 *2641:51 0.00011795 +91 *2180:27 *2641:19 2.59872e-05 +92 *2180:36 *2641:19 6.86244e-05 +93 *2180:38 *2641:19 2.99023e-05 +94 *2285:23 *2641:68 0.000114605 +95 *2285:23 *2641:80 0.000985935 +96 *2381:38 *2641:30 0.00180122 +97 *2398:47 *2641:13 0.000464127 +98 *2407:41 *2641:51 4.97617e-05 +99 *2446:27 *2641:13 0.000184627 +100 *2448:184 *2641:19 3.07197e-05 +101 *2456:30 *2641:30 0.000716388 +102 *2457:16 *2641:30 0 +103 *2548:41 *2641:80 7.48886e-05 +104 *2590:17 *22497:A1 5.71901e-05 +105 *2590:25 *2641:51 5.04829e-06 +106 *2599:26 *22809:A1 0.000112278 +107 *2599:26 *2641:80 2.2279e-05 +108 *2622:9 *2641:68 0.00112351 +*RES +1 *21584:Y *2641:13 47.0748 +2 *2641:13 *2641:19 38.5029 +3 *2641:19 *2641:30 29.7772 +4 *2641:30 *22497:A1 16.3997 +5 *2641:30 *2641:51 32.0798 +6 *2641:51 *2641:68 47.6015 +7 *2641:68 *2641:80 48.0931 +8 *2641:80 *22809:A1 14.4094 +9 *2641:51 *21589:A1 15.5427 +*END + +*D_NET *2642 0.04668 +*CONN +*I *22669:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21589:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22329:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21585:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22669:A1 0.00241745 +2 *21589:B1 0.00165647 +3 *22329:B1 0.000261312 +4 *21585:Y 0.000822776 +5 *2642:41 0.00208427 +6 *2642:39 0.0045088 +7 *2642:27 0.00313646 +8 *2642:21 0.00186425 +9 *2642:14 0.00147543 +10 *21589:B1 *2645:50 4.94e-06 +11 *21589:B1 *2919:51 8.56989e-05 +12 *21589:B1 *2975:50 7.13992e-06 +13 *21589:B1 *3052:66 0.000106353 +14 *21589:B1 *3106:42 0.000214172 +15 *21589:B1 *3166:36 7.48998e-06 +16 *21589:B1 *3185:79 9.24201e-05 +17 *21589:B1 *3498:132 7.69944e-05 +18 *21589:B1 *3502:105 0.000381527 +19 *21589:B1 *3519:47 0.000259264 +20 *21589:B1 *4819:72 0.000804224 +21 *21589:B1 *4856:18 9.98317e-06 +22 *22329:B1 *22330:C1 4.58003e-05 +23 *22329:B1 *22337:B 2.27135e-05 +24 *22329:B1 *22337:D 6.64392e-05 +25 *22329:B1 *2800:53 0.000270889 +26 *22329:B1 *3111:39 4.35662e-05 +27 *22669:A1 *2777:60 8.20799e-06 +28 *22669:A1 *2813:47 7.39327e-05 +29 *22669:A1 *2825:57 0.00127447 +30 *22669:A1 *3106:42 1.06728e-05 +31 *22669:A1 *3151:195 0.000160624 +32 *22669:A1 *3487:133 4.9462e-05 +33 *22669:A1 *3527:54 0.00176601 +34 *22669:A1 *3537:35 0.00118226 +35 *22669:A1 *3537:41 0.000298399 +36 *22669:A1 *3539:48 0.000298399 +37 *22669:A1 *5592:70 0.00052237 +38 *2642:14 *21032:A1 2.77564e-05 +39 *2642:14 *21032:B1 3.24632e-05 +40 *2642:14 *21585:A 6.08467e-05 +41 *2642:14 *2906:56 4.14671e-05 +42 *2642:14 *3076:28 2.85189e-05 +43 *2642:14 *4811:18 0.000184948 +44 *2642:14 *4845:575 0.00149257 +45 *2642:21 *2887:10 0.000151205 +46 *2642:21 *2906:56 5.56492e-05 +47 *2642:21 *3076:28 8.71567e-05 +48 *2642:21 *4819:28 8.81117e-05 +49 *2642:21 *4824:142 0.000181114 +50 *2642:21 *4825:118 0.000642112 +51 *2642:21 *4908:169 0.000140069 +52 *2642:21 *4908:181 0.000186793 +53 *2642:27 *2990:36 0.000470973 +54 *2642:27 *3041:31 0.00010801 +55 *2642:27 *3153:65 0.000263798 +56 *2642:27 *3153:102 0.000330875 +57 *2642:27 *3493:202 6.96578e-05 +58 *2642:27 *4823:99 0.000441157 +59 *2642:27 *4823:106 0.000788586 +60 *2642:27 *4866:121 0.000315445 +61 *2642:27 *4908:14 0.000200794 +62 *2642:27 *5855:418 0.000313462 +63 *2642:39 *22544:A 0.000394507 +64 *2642:39 *3111:39 0.000930463 +65 *2642:39 *3111:94 0.000272746 +66 *2642:39 *3491:140 6.32895e-05 +67 *2642:39 *3493:202 0.000684511 +68 *2642:39 *3509:24 0.000211989 +69 *2642:39 *3533:12 0.000338164 +70 *2642:39 *4813:56 6.67624e-05 +71 *2642:39 *4829:75 0.000518233 +72 *2642:41 *3111:39 8.42528e-05 +73 *21493:A1 *22329:B1 0.000207025 +74 *21493:A1 *2642:41 0.00017485 +75 *21583:B1 *21589:B1 0.000300727 +76 *21589:B2 *21589:B1 0.000286272 +77 *482:45 *2642:14 8.93134e-05 +78 *1418:50 *2642:27 8.75685e-05 +79 *1450:164 *2642:14 3.72251e-05 +80 *1545:54 *21589:B1 0.00178751 +81 *1552:70 *22669:A1 6.74182e-05 +82 *1584:141 *22329:B1 2.07365e-05 +83 *1620:31 *21589:B1 0.00124878 +84 *1735:132 *22669:A1 0.000308909 +85 *2093:68 *22669:A1 0.000703961 +86 *2177:90 *2642:21 0.000205101 +87 *2178:40 *2642:27 3.81003e-05 +88 *2256:72 *2642:27 1.65872e-05 +89 *2304:19 *2642:21 0.000100741 +90 *2397:10 *2642:27 0.000211692 +91 *2415:32 *2642:14 0.000332882 +92 *2534:44 *22669:A1 0.000278945 +93 *2534:44 *2642:41 0.000179174 +94 *2545:67 *2642:39 0.00258175 +95 *2545:67 *2642:41 0.000287672 +96 *2546:20 *2642:27 0.000111802 +97 *2546:20 *2642:39 0.000113574 +98 *2570:138 *22329:B1 2.16355e-05 +99 *2577:58 *21589:B1 5.43172e-06 +100 *2584:77 *22329:B1 7.10918e-06 +101 *2584:77 *2642:39 0.000141106 +102 *2589:54 *22669:A1 7.19128e-05 +103 *2613:69 *22669:A1 1.43499e-05 +104 *2613:109 *21589:B1 0 +*RES +1 *21585:Y *2642:14 39.8923 +2 *2642:14 *2642:21 30.0453 +3 *2642:21 *2642:27 45.177 +4 *2642:27 *2642:39 24.546 +5 *2642:39 *2642:41 0.661806 +6 *2642:41 *22329:B1 21.8893 +7 *2642:41 *21589:B1 24.8729 +8 *2642:39 *22669:A1 31.1255 +*END + +*D_NET *2643 0.0210359 +*CONN +*I *21588:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21586:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21588:A1 0.000672279 +2 *21586:Y 3.10903e-05 +3 *2643:17 0.00362169 +4 *2643:15 0.0059146 +5 *2643:8 0.00299629 +6 *21588:A1 *21588:B1 6.74281e-05 +7 *21588:A1 *4844:389 1.80257e-05 +8 *21588:A1 *4844:403 2.41274e-06 +9 *21588:A1 *5772:8 4.58723e-05 +10 *21588:A1 *5772:15 0.000251052 +11 *2643:8 *21586:A 7.50872e-05 +12 *2643:8 *5755:13 7.50872e-05 +13 *2643:15 *5810:13 0.000663654 +14 *19684:A2 *21588:A1 0.000519481 +15 *19916:B2 *21588:A1 5.73392e-05 +16 *20030:A1 *21588:A1 0.000979384 +17 *21588:A2 *21588:A1 0.00118238 +18 *21588:B2 *21588:A1 6.36477e-05 +19 *1494:14 *21588:A1 0.0003004 +20 *1499:11 *2643:17 1.80122e-05 +21 *1500:7 *2643:15 0.000370815 +22 *1500:7 *2643:17 6.49003e-05 +23 *1500:12 *2643:15 4.97617e-05 +24 *1500:55 *2643:17 0.000228796 +25 *1669:54 *21588:A1 0.000217801 +26 *1672:5 *2643:15 0.000170405 +27 *1672:16 *2643:17 0.000717509 +28 *1780:55 *21588:A1 7.34948e-06 +29 *2393:9 *2643:17 0.00165339 +*RES +1 *21586:Y *2643:8 19.6659 +2 *2643:8 *2643:15 46.9725 +3 *2643:15 *2643:17 49.5285 +4 *2643:17 *21588:A1 48.805 +*END + +*D_NET *2644 0.00764203 +*CONN +*I *21588:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21587:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21588:B1 0.000733442 +2 *21587:Y 0.00139595 +3 *2644:13 0.00212939 +4 *21588:B1 *19910:A 0.000146645 +5 *21588:B1 *20367:A1 0.000195187 +6 *21588:B1 *20367:B1 0 +7 *21588:B1 *5871:63 0.000263281 +8 *21588:B1 *5871:74 0.000747855 +9 *2644:13 *20245:B1 0 +10 *2644:13 *21887:B1 0.000123956 +11 *2644:13 *21930:A 4.58003e-05 +12 *2644:13 *24744:RESET_B 0.000513988 +13 *2644:13 *24744:CLK 1.1573e-05 +14 *2644:13 *2903:8 3.88655e-05 +15 *2644:13 *4954:27 1.77537e-06 +16 *2644:13 *5871:63 0 +17 *2644:13 *5871:230 0.000288614 +18 *2644:13 *5878:13 0 +19 *21588:A1 *21588:B1 6.74281e-05 +20 *21588:B2 *21588:B1 6.36477e-05 +21 *24663:D *21588:B1 0.000360145 +22 *24744:D *2644:13 0 +23 *1671:59 *21588:B1 3.38666e-05 +24 *1671:70 *21588:B1 2.44829e-05 +25 *1780:55 *21588:B1 3.30681e-05 +26 *2270:51 *21588:B1 0.000370699 +27 *2276:13 *2644:13 5.2374e-05 +*RES +1 *21587:Y *2644:13 48.2037 +2 *2644:13 *21588:B1 41.1156 +*END + +*D_NET *2645 0.0518756 +*CONN +*I *21589:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21588:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21589:C1 0 +2 *21588:X 4.24874e-05 +3 *2645:50 0.00825699 +4 *2645:34 0.00953079 +5 *2645:31 0.00222821 +6 *2645:27 0.00162023 +7 *2645:21 0.00189134 +8 *2645:12 0.00257703 +9 *2645:7 0.00139399 +10 *2645:12 *21232:A 1.89195e-05 +11 *2645:12 *4917:21 0.000141575 +12 *2645:12 *4917:26 0 +13 *2645:12 *4917:58 3.71653e-05 +14 *2645:12 *4917:64 0.000760803 +15 *2645:12 *5435:10 0.000140451 +16 *2645:12 *5745:33 0.00219651 +17 *2645:12 *5858:459 3.05115e-05 +18 *2645:21 *24241:CLK 9.52424e-05 +19 *2645:21 *2859:15 0.00138279 +20 *2645:21 *2972:18 2.87657e-05 +21 *2645:21 *2981:13 0.000996657 +22 *2645:21 *5388:8 6.4386e-05 +23 *2645:27 *23949:A1 7.6719e-06 +24 *2645:27 *2907:6 0.000262345 +25 *2645:27 *4876:49 6.50727e-05 +26 *2645:27 *5854:409 0.000314955 +27 *2645:31 *21367:A 0.000756924 +28 *2645:31 *23949:A1 2.15348e-05 +29 *2645:31 *3212:87 0.000135995 +30 *2645:31 *4950:57 0.00148483 +31 *2645:34 *2720:12 0.00212934 +32 *2645:34 *2720:24 2.06964e-05 +33 *2645:34 *3472:20 0.000258615 +34 *2645:34 *4833:21 0.000105517 +35 *2645:34 *4833:51 4.70104e-05 +36 *2645:34 *4866:49 7.20043e-06 +37 *2645:50 *24927:A 0.000154638 +38 *2645:50 *2667:72 0.000334739 +39 *2645:50 *2667:93 0.000173312 +40 *2645:50 *2720:24 0.000512064 +41 *2645:50 *2809:31 0 +42 *2645:50 *2991:25 0 +43 *2645:50 *3467:8 0.000484145 +44 *2645:50 *3472:20 3.3171e-06 +45 *21411:B1 *2645:50 0.000647027 +46 *21455:B1 *2645:50 4.3284e-05 +47 *21588:A2 *2645:7 6.50586e-05 +48 *21589:B1 *2645:50 4.94e-06 +49 *21589:B2 *2645:50 3.03456e-06 +50 *24095:S *2645:27 0.000136768 +51 *24302:D *2645:12 0.000120605 +52 *24304:D *2645:12 4.52302e-05 +53 *524:16 *2645:34 1.56657e-05 +54 *529:75 *2645:31 0.00129173 +55 *543:33 *2645:12 4.23622e-05 +56 *545:32 *2645:34 7.8672e-05 +57 *545:37 *2645:34 0 +58 *1419:70 *2645:12 1.91391e-05 +59 *1545:32 *2645:50 0.00338353 +60 *1779:16 *2645:12 7.14746e-05 +61 *2158:8 *2645:12 7.70338e-05 +62 *2158:10 *2645:12 8.85729e-06 +63 *2281:13 *2645:50 0.000320284 +64 *2401:11 *2645:50 0.00134655 +65 *2423:33 *2645:34 6.04131e-05 +66 *2426:89 *2645:50 0.00337488 +67 *2577:58 *2645:50 0 +68 *2613:109 *2645:50 4.31485e-06 +*RES +1 *21588:X *2645:7 14.4725 +2 *2645:7 *2645:12 48.8288 +3 *2645:12 *2645:21 38.611 +4 *2645:21 *2645:27 22.3073 +5 *2645:27 *2645:31 37.3904 +6 *2645:31 *2645:34 41.8061 +7 *2645:34 *2645:50 46.9274 +8 *2645:50 *21589:C1 9.24915 +*END + +*D_NET *2646 0.000553556 +*CONN +*I *21590:D I *D sky130_fd_sc_hd__and4_1 +*I *21589:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21590:D 0.00010988 +2 *21589:X 0.00010988 +3 *21590:D *2991:54 0.000136168 +4 *1620:11 *21590:D 6.50586e-05 +5 *2529:24 *21590:D 0.00013257 +*RES +1 *21589:X *21590:D 30.8842 +*END + +*D_NET *2647 0.00209616 +*CONN +*I *21591:D I *D sky130_fd_sc_hd__and4_1 +*I *21590:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21591:D 0.000591303 +2 *21590:X 0.000591303 +3 *21525:D *21591:D 0.000789129 +4 *2529:24 *21591:D 6.22114e-05 +5 *2532:18 *21591:D 6.22114e-05 +*RES +1 *21590:X *21591:D 37.9575 +*END + +*D_NET *2648 0.000304815 +*CONN +*I *21592:D I *D sky130_fd_sc_hd__nand4_2 +*I *21591:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21592:D 4.44436e-05 +2 *21591:X 4.44436e-05 +3 *21525:D *21592:D 0.000156955 +4 *21592:A *21592:D 5.8973e-05 +*RES +1 *21591:X *21592:D 20.3309 +*END + +*D_NET *2649 0.0221365 +*CONN +*I *21599:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22789:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22477:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21594:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21599:A1 0.000312124 +2 *22789:A1 0.000102385 +3 *22477:B1 3.5276e-05 +4 *21594:Y 0.000871053 +5 *2649:67 0.000397139 +6 *2649:66 8.50147e-05 +7 *2649:64 0.00111139 +8 *2649:51 0.00153097 +9 *2649:41 0.000997002 +10 *2649:37 0.0014778 +11 *2649:21 0.00170433 +12 *22477:B1 *22477:C1 1.04638e-05 +13 *22789:A1 *22796:A 5.88009e-05 +14 *22789:A1 *22796:C 2.27901e-06 +15 *22789:A1 *2959:18 2.57465e-06 +16 *22789:A1 *3507:47 6.36477e-05 +17 *22789:A1 *3507:75 9.70097e-06 +18 *22789:A1 *3509:60 6.64392e-05 +19 *2649:21 *20534:B1 0.000107496 +20 *2649:21 *2784:42 0.000134132 +21 *2649:21 *2840:24 0.000107496 +22 *2649:21 *3004:15 7.83643e-05 +23 *2649:21 *4859:8 0.000295445 +24 *2649:21 *4985:24 0.0011386 +25 *2649:37 *21706:A 2.49391e-05 +26 *2649:37 *2655:38 0.000729636 +27 *2649:37 *2733:5 0.000201081 +28 *2649:37 *2844:67 0.000217213 +29 *2649:37 *5592:33 0.000726854 +30 *2649:41 *22477:A2 5.20546e-06 +31 *2649:41 *22477:C1 0.00050556 +32 *2649:41 *22793:A1 0.000217212 +33 *2649:41 *2723:19 9.80242e-07 +34 *2649:41 *3509:136 0.000213802 +35 *2649:51 *22477:A1 1.02368e-05 +36 *2649:51 *22477:A2 3.99086e-06 +37 *2649:51 *22477:B2 3.82228e-05 +38 *2649:51 *22482:B 2.20457e-05 +39 *2649:51 *22796:C 6.00782e-06 +40 *2649:51 *2723:19 3.07431e-06 +41 *2649:64 *22482:B 4.35741e-05 +42 *2649:64 *22796:A 2.34804e-05 +43 *2649:64 *22796:C 1.86389e-05 +44 *2649:64 *2724:24 0.00201943 +45 *2649:64 *2750:28 0.000118914 +46 *2649:64 *2959:18 6.647e-05 +47 *2649:64 *3166:82 0.000269018 +48 *2649:64 *4808:90 0.000107496 +49 *20488:A2 *2649:21 0.000119263 +50 *20488:A2 *2649:37 3.63593e-05 +51 *24582:D *2649:21 0.000135071 +52 *1537:113 *2649:64 0.000318055 +53 *1542:19 *2649:64 0.000107496 +54 *1560:36 *2649:37 3.29488e-05 +55 *1603:48 *2649:21 0.000454907 +56 *1642:46 *2649:64 0.000391697 +57 *1658:27 *21599:A1 0.000122147 +58 *1658:27 *2649:64 4.01876e-05 +59 *1689:88 *2649:21 0.000270381 +60 *1716:46 *2649:37 1.07529e-05 +61 *1722:13 *2649:37 0.000345048 +62 *1723:114 *2649:37 0.000440512 +63 *1733:26 *2649:37 3.88655e-06 +64 *1798:84 *2649:64 0.00025175 +65 *1798:92 *2649:64 0.00111291 +66 *1973:27 *2649:37 6.11097e-05 +67 *1974:18 *2649:37 0.000197982 +68 *2093:79 *21599:A1 0.000254158 +69 *2093:79 *2649:64 3.71167e-05 +70 *2536:28 *22789:A1 8.4653e-05 +71 *2543:79 *2649:64 0.000725509 +72 *2614:38 *2649:37 0.000289562 +*RES +1 *21594:Y *2649:21 49.4841 +2 *2649:21 *2649:37 48.042 +3 *2649:37 *2649:41 16.6174 +4 *2649:41 *22477:B1 10.2378 +5 *2649:41 *2649:51 9.10562 +6 *2649:51 *22789:A1 16.7198 +7 *2649:51 *2649:64 48.5029 +8 *2649:64 *2649:66 9.24915 +9 *2649:66 *2649:67 104.301 +10 *2649:67 *21599:A1 24.8401 +*END + +*D_NET *2650 0.0139187 +*CONN +*I *22474:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22786:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21598:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21596:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22474:B1 0.000209735 +2 *22786:A1 0.000257327 +3 *21598:A1 0.000252832 +4 *21596:Y 0.00106697 +5 *2650:27 0.000965194 +6 *2650:22 0.00182989 +7 *2650:14 0.00223209 +8 *21598:A1 *21703:B 6.36477e-05 +9 *21598:A1 *21744:A 0.00026168 +10 *21598:A1 *2718:17 0.000106529 +11 *21598:A1 *3063:30 6.14756e-06 +12 *21598:A1 *4854:6 3.3804e-05 +13 *22474:B1 *22783:C1 0.000213292 +14 *22474:B1 *2667:72 5.61389e-05 +15 *22474:B1 *2895:33 9.40212e-05 +16 *22474:B1 *2935:13 5.1493e-06 +17 *22786:A1 *22480:A2 0.000101133 +18 *22786:A1 *22480:B2 3.88358e-05 +19 *22786:A1 *3063:30 5.88045e-05 +20 *22786:A1 *3498:35 6.28701e-05 +21 *22786:A1 *4854:6 2.27901e-06 +22 *2650:14 *19794:A 0.000130833 +23 *2650:14 *20910:A1 0.000218055 +24 *2650:14 *21698:A 0.000655953 +25 *2650:14 *2815:12 0.000612477 +26 *2650:14 *2815:14 7.50479e-05 +27 *2650:14 *2967:14 0 +28 *2650:14 *2975:33 4.20184e-06 +29 *2650:14 *4868:21 0.000264586 +30 *2650:14 *5452:10 0 +31 *2650:22 *22063:B 0 +32 *2650:22 *22728:A2 1.58551e-05 +33 *2650:22 *22782:A2 0 +34 *2650:22 *22782:B1 0.000160617 +35 *2650:22 *22783:C1 0.000429012 +36 *2650:22 *22800:A2 0 +37 *2650:22 *2815:14 0 +38 *2650:22 *2935:13 0.000490064 +39 *2650:22 *3487:30 0 +40 *2650:22 *5452:10 0.000136984 +41 *2650:27 *21725:A1 0.000966478 +42 *2650:27 *21744:A 0.000111722 +43 *2650:27 *3500:38 1.00981e-05 +44 *21472:A1 *2650:22 0 +45 *21725:B2 *22786:A1 8.6297e-06 +46 *21915:A2 *2650:22 8.6297e-06 +47 *21915:B2 *2650:22 9.53868e-05 +48 *22728:A1 *2650:22 6.50586e-05 +49 *543:12 *22474:B1 0.000166008 +50 *543:12 *2650:22 0.000480946 +51 *1680:34 *2650:22 3.42037e-06 +52 *1717:38 *22786:A1 8.33959e-05 +53 *1725:270 *2650:14 0.000268798 +54 *1771:31 *22786:A1 0.000344759 +55 *2528:48 *2650:14 2.69435e-05 +56 *2581:18 *2650:14 0.00016636 +*RES +1 *21596:Y *2650:14 47.4685 +2 *2650:14 *2650:22 40.2422 +3 *2650:22 *2650:27 20.8155 +4 *2650:27 *21598:A1 20.4571 +5 *2650:27 *22786:A1 22.1181 +6 *2650:22 *22474:B1 20.0446 +*END + +*D_NET *2651 0.0119568 +*CONN +*I *21598:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22490:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21597:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21598:B1 0.000254883 +2 *22490:B1 5.42496e-05 +3 *21597:Y 0.000366089 +4 *2651:29 0.00104114 +5 *2651:13 0.00120659 +6 *21598:B1 *21703:B 0.00062923 +7 *21598:B1 *2652:14 7.68701e-05 +8 *22490:B1 *22490:A2 7.48876e-06 +9 *22490:B1 *22490:C1 3.5534e-06 +10 *22490:B1 *3003:24 8.05608e-05 +11 *22490:B1 *3144:64 1.32841e-05 +12 *2651:13 *22512:A2 3.09152e-06 +13 *2651:13 *22512:B2 0.000164344 +14 *2651:13 *3206:48 3.77568e-05 +15 *2651:29 *2718:8 0.00119551 +16 *2651:29 *2858:34 0.000174538 +17 *2651:29 *3002:8 5.46097e-05 +18 *2651:29 *3003:24 0.000156886 +19 *2651:29 *3144:64 2.44897e-05 +20 *2651:29 *3206:33 3.07726e-05 +21 *22423:A1 *2651:13 4.91225e-06 +22 *22511:A1 *2651:13 0.000398704 +23 *22512:B1 *2651:13 0.000247443 +24 *1544:76 *21598:B1 0.000375111 +25 *1553:13 *2651:29 0.00094577 +26 *1639:85 *21598:B1 9.27416e-05 +27 *1675:15 *2651:29 0.000221365 +28 *1683:41 *2651:29 0.00222255 +29 *1707:43 *2651:13 0.00083901 +30 *1729:80 *2651:13 0.000855175 +31 *1730:20 *2651:29 0.000178065 +*RES +1 *21597:Y *2651:13 28.1926 +2 *2651:13 *22490:B1 15.3735 +3 *2651:13 *2651:29 49.281 +4 *2651:29 *21598:B1 19.4008 +*END + +*D_NET *2652 0.0186354 +*CONN +*I *21599:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21598:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21599:C1 0.00107854 +2 *21598:X 0.0013835 +3 *2652:28 0.00233045 +4 *2652:25 0.00204865 +5 *2652:14 0.00218023 +6 *21599:C1 *3719:26 6.58523e-05 +7 *2652:14 *22785:A1 0.000151238 +8 *2652:14 *22797:D 0.00023995 +9 *2652:14 *3005:64 0.000192182 +10 *2652:14 *3179:40 0.000138715 +11 *2652:14 *3411:16 1.91246e-05 +12 *2652:14 *3496:42 2.60011e-05 +13 *2652:14 *3496:52 0.000100645 +14 *2652:14 *3498:64 3.45186e-05 +15 *2652:14 *3502:47 1.5714e-05 +16 *2652:25 *3005:64 0.00014042 +17 *2652:25 *3175:43 3.55968e-05 +18 *2652:25 *3496:36 0.000138179 +19 *2652:25 *3496:42 9.76623e-06 +20 *2652:25 *3668:18 0.000706007 +21 *2652:28 *21987:A1 0.000100607 +22 *2652:28 *3688:22 0.000204039 +23 *2652:28 *3719:26 0.00035748 +24 *19855:B *21599:C1 1.91391e-05 +25 *19858:B *2652:28 3.83986e-05 +26 *21598:B1 *2652:14 7.68701e-05 +27 *22246:A1 *21599:C1 2.8817e-05 +28 *22502:B1 *2652:28 0.00116024 +29 *22728:B1 *2652:14 0.000153975 +30 *22803:A1 *2652:25 3.80436e-07 +31 *1435:182 *21599:C1 0.000721653 +32 *1459:129 *21599:C1 5.47949e-05 +33 *1537:71 *21599:C1 0.000123742 +34 *1544:76 *2652:14 0.000203595 +35 *1563:105 *2652:28 0 +36 *1627:13 *2652:28 0.000106696 +37 *1658:27 *21599:C1 6.3657e-05 +38 *1688:98 *21599:C1 0.000144798 +39 *1720:62 *21599:C1 0.00162807 +40 *1720:62 *2652:28 0.000113895 +41 *1762:132 *21599:C1 1.38097e-05 +42 *1788:21 *2652:25 0.000154145 +43 *1788:21 *2652:28 2.69795e-05 +44 *1788:89 *21599:C1 6.11393e-06 +45 *1800:47 *21599:C1 4.31485e-06 +46 *1807:20 *21599:C1 0.000141805 +47 *2506:10 *2652:25 0.00034331 +48 *2519:38 *2652:28 0.000337298 +49 *2586:61 *2652:14 0.000222184 +50 *2587:18 *2652:14 0.000994618 +51 *2628:17 *2652:28 5.46889e-05 +*RES +1 *21598:X *2652:14 49.4987 +2 *2652:14 *2652:25 29.7632 +3 *2652:25 *2652:28 34.5392 +4 *2652:28 *21599:C1 48.279 +*END + +*D_NET *2653 0.0018421 +*CONN +*I *21618:A I *D sky130_fd_sc_hd__and4_1 +*I *21599:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21618:A 0.000442485 +2 *21599:X 0.000442485 +3 *21618:A *21643:B 0.000201932 +4 *21618:A *2774:8 0.000248235 +5 *21604:A1 *21618:A 0.000201932 +6 *1658:27 *21618:A 5.33743e-05 +7 *2539:12 *21618:A 0.00025166 +*RES +1 *21599:X *21618:A 38.3966 +*END + +*D_NET *2654 0.0439424 +*CONN +*I *21605:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22483:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22784:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21601:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21605:B1 0.00101277 +2 *22483:A1 0.000451446 +3 *22784:B1 0.000388959 +4 *21601:Y 0.000797933 +5 *2654:41 0.00146421 +6 *2654:22 0.00427606 +7 *2654:17 0.00691414 +8 *2654:10 0.00382498 +9 *21605:B1 *21605:C1 6.36477e-05 +10 *21605:B1 *2791:50 0.000122863 +11 *21605:B1 *4848:8 7.08288e-05 +12 *22483:A1 *22483:A2 4.31485e-06 +13 *22483:A1 *2792:27 6.50586e-05 +14 *22784:B1 *21617:A1 0.000120557 +15 *22784:B1 *21851:A1 1.5756e-05 +16 *22784:B1 *22784:A2 0.000158484 +17 *22784:B1 *2697:39 4.42631e-05 +18 *22784:B1 *3029:33 0.000277838 +19 *22784:B1 *5592:70 0.000108957 +20 *2654:10 *3069:6 2.12995e-05 +21 *2654:10 *4138:137 0.000153748 +22 *2654:10 *4374:48 0.000430762 +23 *2654:10 *4841:57 2.65667e-05 +24 *2654:10 *5856:33 2.652e-05 +25 *2654:10 *5868:251 0.000166563 +26 *2654:10 *5868:259 1.8623e-05 +27 *2654:17 *2918:16 0 +28 *2654:17 *2963:57 0.000362635 +29 *2654:17 *2987:15 0.00059717 +30 *2654:17 *3035:23 0.000511129 +31 *2654:17 *3155:139 0.000640855 +32 *2654:17 *3857:26 1.14755e-05 +33 *2654:17 *4138:137 0.000187213 +34 *2654:17 *4838:113 0.000285532 +35 *2654:17 *4869:59 0.00179145 +36 *2654:17 *5855:34 0 +37 *2654:22 *21838:B1 0.00348378 +38 *2654:22 *2661:30 0.000101152 +39 *2654:22 *2818:30 0.000562137 +40 *2654:22 *2987:50 0 +41 *2654:22 *3029:33 0.00247888 +42 *19820:A2 *2654:22 0.000710853 +43 *21605:A2 *21605:B1 1.47978e-05 +44 *21605:B2 *21605:B1 0.00108385 +45 *21605:B2 *22483:A1 0.000751129 +46 *21851:A2 *22784:B1 3.29286e-05 +47 *21851:B2 *22784:B1 5.60291e-06 +48 *22208:B1 *2654:17 7.44271e-06 +49 *22726:B1 *22784:B1 0.000283383 +50 *22726:B1 *2654:22 0.00214489 +51 *24276:D *2654:17 7.65861e-05 +52 *24475:D *2654:10 0.000112163 +53 *1454:74 *22483:A1 3.07133e-05 +54 *1544:151 *22483:A1 7.97785e-05 +55 *1561:39 *22483:A1 8.9928e-05 +56 *1619:44 *2654:10 0.000479045 +57 *1631:34 *21605:B1 0.00181846 +58 *1631:34 *22483:A1 0.000748364 +59 *1635:23 *21605:B1 0.000158371 +60 *1668:85 *21605:B1 0.000355711 +61 *1725:181 *2654:22 0.000109255 +62 *1730:184 *2654:22 0.00193076 +63 *1750:73 *21605:B1 6.74182e-05 +64 *1777:15 *22784:B1 6.08467e-05 +65 *1809:142 *2654:10 0.000273562 +66 *1809:142 *2654:17 0 +67 *2535:8 *2654:17 0.000475987 +*RES +1 *21601:Y *2654:10 42.4532 +2 *2654:10 *2654:17 45.2235 +3 *2654:17 *2654:22 17.149 +4 *2654:22 *22784:B1 22.9829 +5 *2654:22 *2654:41 0.376635 +6 *2654:41 *22483:A1 21.0399 +7 *2654:41 *21605:B1 23.9954 +*END + +*D_NET *2655 0.0411474 +*CONN +*I *21604:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22484:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22793:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21603:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21604:B1 0.00147966 +2 *22484:A1 0 +3 *22793:A1 0.000461829 +4 *21603:Y 0.00217831 +5 *2655:64 0.00228473 +6 *2655:38 0.00216214 +7 *2655:32 0.00216443 +8 *2655:31 0.00191918 +9 *2655:25 0.00191204 +10 *2655:19 0.00344035 +11 *21604:B1 *22484:B1 4.31603e-06 +12 *21604:B1 *22487:B 4.15125e-05 +13 *21604:B1 *2660:113 1.02554e-05 +14 *21604:B1 *2671:42 3.09097e-05 +15 *21604:B1 *3537:67 5.01822e-05 +16 *21604:B1 *4848:8 0.000128819 +17 *21604:B1 *5454:15 0.00269013 +18 *21604:B1 *5592:70 0.00269825 +19 *22793:A1 *22479:A1 0.000303478 +20 *22793:A1 *22479:A2 1.5714e-05 +21 *22793:A1 *22792:A2 7.92757e-06 +22 *22793:A1 *22793:B2 5.481e-05 +23 *22793:A1 *2723:19 0 +24 *22793:A1 *3134:62 8.61071e-05 +25 *22793:A1 *3134:72 1.3706e-05 +26 *22793:A1 *3509:74 0.000166915 +27 *22793:A1 *3509:81 1.07248e-05 +28 *22793:A1 *3509:136 5.67337e-05 +29 *2655:19 *21603:A 0.000100477 +30 *2655:19 *4538:31 0.000195826 +31 *2655:19 *4739:51 7.09115e-05 +32 *2655:19 *4932:127 0 +33 *2655:19 *5856:88 8.22964e-06 +34 *2655:19 *5858:26 0.000424057 +35 *2655:25 *2684:24 0 +36 *2655:25 *5815:64 0.000114156 +37 *2655:25 *5854:719 0.000363759 +38 *2655:25 *5856:93 0.00035986 +39 *2655:25 *5856:108 0 +40 *2655:25 *5867:21 0.000157717 +41 *2655:32 *3717:14 0.000108729 +42 *2655:32 *5851:83 0.000307482 +43 *2655:38 *20420:A1 8.91253e-05 +44 *2655:38 *21847:A 0.000190989 +45 *2655:38 *22479:A1 4.93938e-05 +46 *2655:38 *3134:72 0.000185173 +47 *2655:38 *3509:136 4.36044e-05 +48 *2655:38 *3531:84 0 +49 *2655:38 *4985:32 0.000158451 +50 *2655:38 *5592:33 0.000599076 +51 *2655:64 *22481:A1 4.72557e-05 +52 *2655:64 *22484:B1 1.91246e-05 +53 *2655:64 *22487:B 2.57986e-05 +54 *2655:64 *22488:C1 2.41066e-05 +55 *2655:64 *22491:A 2.27938e-05 +56 *2655:64 *2671:42 1.66626e-05 +57 *2655:64 *2680:44 0.000759283 +58 *2655:64 *2724:24 0.00201824 +59 *2655:64 *3537:67 1.65872e-05 +60 *20930:B2 *2655:25 9.35777e-05 +61 *20934:A2 *2655:19 2.49393e-05 +62 *823:20 *2655:19 3.40764e-05 +63 *1427:111 *2655:38 0.000722529 +64 *1435:197 *2655:64 9.96332e-05 +65 *1461:275 *21604:B1 1.91246e-05 +66 *1485:185 *2655:31 0.000213725 +67 *1521:21 *2655:19 5.5951e-05 +68 *1521:36 *2655:31 0.00115642 +69 *1537:113 *2655:64 0.000203717 +70 *1561:39 *21604:B1 6.39153e-06 +71 *1603:28 *2655:25 0 +72 *1625:106 *2655:25 0.000395923 +73 *1658:60 *2655:31 6.8493e-05 +74 *1658:60 *2655:32 0.00154332 +75 *1658:68 *2655:31 0.000888199 +76 *1658:73 *2655:19 0.000212015 +77 *1707:39 *2655:19 0 +78 *1750:73 *21604:B1 0.000128819 +79 *1801:70 *2655:25 0 +80 *1809:80 *2655:25 6.7059e-05 +81 *1809:89 *2655:19 0.000379874 +82 *2138:59 *2655:25 0.000152743 +83 *2152:24 *2655:25 0 +84 *2173:9 *2655:25 1.78165e-05 +85 *2523:52 *2655:32 0.00024109 +86 *2537:35 *2655:32 0.00255758 +87 *2543:28 *22793:A1 6.75009e-05 +88 *2649:37 *2655:38 0.000729636 +89 *2649:41 *22793:A1 0.000217212 +*RES +1 *21603:Y *2655:19 35.0223 +2 *2655:19 *2655:25 43.4671 +3 *2655:25 *2655:31 33.1513 +4 *2655:31 *2655:32 49.586 +5 *2655:32 *2655:38 37.3431 +6 *2655:38 *22793:A1 27.5041 +7 *2655:38 *2655:64 44.0853 +8 *2655:64 *22484:A1 9.24915 +9 *2655:64 *21604:B1 35.9723 +*END + +*D_NET *2656 0.00075261 +*CONN +*I *21605:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21604:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21605:C1 0.000127118 +2 *21604:X 0.000127118 +3 *21605:C1 *3739:8 0.000122149 +4 *21605:C1 *4848:8 0.000118724 +5 *21605:B1 *21605:C1 6.36477e-05 +6 *21605:B2 *21605:C1 0.000158451 +7 *1635:23 *21605:C1 3.54024e-05 +*RES +1 *21604:X *21605:C1 31.9934 +*END + +*D_NET *2657 0.000989304 +*CONN +*I *21618:B I *D sky130_fd_sc_hd__and4_1 +*I *21605:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21618:B 0.000224731 +2 *21605:X 0.000224731 +3 *21618:B *2763:20 0.000166449 +4 *21618:B *3015:28 0.000234492 +5 *1583:78 *21618:B 2.43091e-05 +6 *1635:42 *21618:B 0.000107496 +7 *2569:27 *21618:B 7.09666e-06 +*RES +1 *21605:X *21618:B 33.5151 +*END + +*D_NET *2658 0.00065391 +*CONN +*I *21611:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21610:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21611:C1 0.000288872 +2 *21610:X 0.000288872 +3 *21611:C1 *21611:A1 1.99131e-05 +4 *21611:C1 *21611:B1 1.47046e-05 +5 *21611:C1 *2659:17 2.16355e-05 +6 *21611:A2 *21611:C1 1.99134e-05 +*RES +1 *21610:X *21611:C1 24.2372 +*END + +*D_NET *2659 0.0377473 +*CONN +*I *6184:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21618:C I *D sky130_fd_sc_hd__and4_1 +*I *21611:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *6184:DIODE 0 +2 *21618:C 0.000217994 +3 *21611:X 0.00573106 +4 *2659:26 0.000322601 +5 *2659:25 0.00019825 +6 *2659:22 0.000265976 +7 *2659:17 0.00590339 +8 *21618:C *21643:B 0.000295214 +9 *2659:17 *21611:A1 6.08467e-05 +10 *2659:17 *21909:A1 7.12597e-06 +11 *2659:17 *24909:A 0.00363484 +12 *2659:17 *2729:20 0.00168098 +13 *2659:17 *2770:68 0.000569688 +14 *2659:17 *2791:50 5.60804e-05 +15 *2659:17 *2797:65 0.00298553 +16 *2659:17 *2805:22 1.28716e-05 +17 *2659:17 *3523:127 8.57421e-05 +18 *2659:17 *4805:14 3.65454e-05 +19 *19895:B2 *2659:17 1.80692e-05 +20 *21604:A1 *2659:25 3.60456e-05 +21 *21611:C1 *2659:17 2.16355e-05 +22 *1570:76 *2659:17 8.62904e-05 +23 *1598:68 *2659:22 0.000246691 +24 *1602:30 *2659:22 0.000246691 +25 *1620:113 *2659:17 0.00320315 +26 *1647:35 *2659:17 0.000172262 +27 *1656:37 *2659:17 0.00123744 +28 *1770:31 *2659:17 0.000176793 +29 *1800:75 *2659:17 0.000304975 +30 *2257:17 *2659:17 0.000165678 +31 *2263:77 *2659:17 9.90068e-05 +32 *2293:38 *2659:17 0.000251579 +33 *2452:14 *2659:17 0.00567656 +34 *2554:35 *2659:17 6.23101e-05 +35 *2564:33 *2659:17 4.06495e-05 +36 *2564:68 *2659:17 0.00290039 +37 *2566:28 *2659:17 0.000736345 +*RES +1 *21611:X *2659:17 48.0074 +2 *2659:17 *2659:22 13.2304 +3 *2659:22 *2659:25 10.5271 +4 *2659:25 *2659:26 127.479 +5 *2659:26 *21618:C 21.9947 +6 *2659:22 *6184:DIODE 9.24915 +*END + +*D_NET *2660 0.0775748 +*CONN +*I *21617:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22487:A I *D sky130_fd_sc_hd__or2_1 +*I *22795:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21612:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21617:A1 0.00094986 +2 *22487:A 0 +3 *22795:B1 4.18916e-05 +4 *21612:Y 0.000669297 +5 *2660:113 0.00191387 +6 *2660:97 0.00113538 +7 *2660:94 0.00265644 +8 *2660:80 0.00604359 +9 *2660:68 0.00416895 +10 *2660:52 0.00299852 +11 *2660:32 0.00491861 +12 *2660:26 0.00333976 +13 *2660:18 0.002912 +14 *2660:17 0.00214465 +15 *2660:15 0.00224974 +16 *2660:10 0.00291904 +17 *21617:A1 *21851:B1 3.82228e-05 +18 *21617:A1 *22488:C1 0.000110297 +19 *21617:A1 *3417:32 2.01653e-05 +20 *21617:A1 *3474:111 1.66771e-05 +21 *21617:A1 *3531:73 0.00109962 +22 *21617:A1 *3537:67 2.33852e-05 +23 *21617:A1 *5592:70 0.000435083 +24 *22795:B1 *22795:B2 3.75603e-05 +25 *22795:B1 *22795:C1 1.2981e-05 +26 *2660:10 *20647:A1 0.000155444 +27 *2660:10 *21612:A 1.84293e-05 +28 *2660:10 *3065:8 0 +29 *2660:10 *3860:30 0.000959472 +30 *2660:10 *5319:10 0.000121019 +31 *2660:10 *5866:167 4.10139e-05 +32 *2660:15 *20647:A1 6.50727e-05 +33 *2660:15 *24474:CLK 0.000166517 +34 *2660:15 *3860:43 0.000424187 +35 *2660:15 *3860:47 0.00232301 +36 *2660:18 *21496:A 0.000184967 +37 *2660:18 *4550:40 0.000748267 +38 *2660:18 *4797:6 0.000908665 +39 *2660:18 *4798:8 0.000222313 +40 *2660:18 *4838:92 0 +41 *2660:18 *4869:67 0.00170574 +42 *2660:18 *5011:6 0.000145532 +43 *2660:18 *5868:123 6.90247e-05 +44 *2660:18 *5921:45 0.00173998 +45 *2660:18 *5921:49 0.000238908 +46 *2660:18 *5921:51 0.00187376 +47 *2660:18 *6021:14 0.00029746 +48 *2660:26 *20726:A1 2.4189e-05 +49 *2660:26 *20892:B1 9.436e-06 +50 *2660:26 *3089:24 7.50722e-05 +51 *2660:26 *4799:6 7.14746e-05 +52 *2660:32 *23166:A2 3.20683e-05 +53 *2660:32 *23170:A 1.58551e-05 +54 *2660:32 *23170:B 1.43983e-05 +55 *2660:32 *24419:CLK 9.08873e-06 +56 *2660:32 *3833:207 0.000202529 +57 *2660:32 *3872:77 0.000156464 +58 *2660:32 *3877:134 0.000426982 +59 *2660:32 *3958:8 0.000250361 +60 *2660:32 *4074:23 4.99408e-05 +61 *2660:32 *4686:35 1.2366e-05 +62 *2660:52 *23047:A1 3.31745e-05 +63 *2660:52 *23048:B 6.78596e-05 +64 *2660:52 *23108:A 2.58866e-05 +65 *2660:52 *23171:A 5.92342e-05 +66 *2660:52 *23746:A 0.000370985 +67 *2660:52 *23828:B1 0.000129181 +68 *2660:52 *3829:206 0 +69 *2660:52 *3842:141 8.55012e-05 +70 *2660:52 *3872:77 3.99086e-06 +71 *2660:52 *3872:87 2.72592e-05 +72 *2660:52 *3874:77 0.000625501 +73 *2660:52 *3974:107 0.00059922 +74 *2660:52 *3980:105 0.000154145 +75 *2660:52 *4018:23 0.000359884 +76 *2660:52 *4472:11 0.000262245 +77 *2660:52 *4539:20 0.000100369 +78 *2660:52 *4539:37 0.000700777 +79 *2660:52 *4540:19 8.28144e-05 +80 *2660:52 *4646:18 0.000152566 +81 *2660:52 *5453:29 0.000125054 +82 *2660:52 *5457:13 1.80583e-05 +83 *2660:52 *5920:19 5.76799e-05 +84 *2660:68 *23507:B 0.000144254 +85 *2660:68 *23570:B 0.000263915 +86 *2660:68 *23648:A 5.436e-05 +87 *2660:68 *4001:92 0.000272063 +88 *2660:68 *4021:24 0 +89 *2660:68 *4045:8 0.000276927 +90 *2660:68 *4138:78 1.41976e-05 +91 *2660:68 *4539:37 0.000122776 +92 *2660:68 *4540:53 5.46378e-05 +93 *2660:68 *4646:18 0 +94 *2660:68 *4742:8 1.88014e-05 +95 *2660:68 *4744:20 0.00020476 +96 *2660:68 *4745:43 9.5793e-06 +97 *2660:68 *5919:40 3.42757e-05 +98 *2660:80 *23387:A 0.00018077 +99 *2660:80 *23732:A 1.61631e-05 +100 *2660:80 *2680:18 2.57465e-06 +101 *2660:80 *2785:63 0 +102 *2660:80 *2836:20 0.0045007 +103 *2660:80 *2933:10 5.49916e-05 +104 *2660:80 *3879:71 3.55859e-05 +105 *2660:80 *3980:126 4.00504e-05 +106 *2660:80 *4487:36 0.000294093 +107 *2660:80 *4635:13 0.000110297 +108 *2660:80 *5854:67 4.99006e-05 +109 *2660:80 *5904:122 1.5613e-05 +110 *2660:80 *5904:130 0.000710393 +111 *2660:94 *20527:A1 0.000142677 +112 *2660:94 *20533:B1 0.000418383 +113 *2660:94 *21777:A1 0.000691681 +114 *2660:94 *21990:A 8.79845e-05 +115 *2660:94 *3004:15 0.000360712 +116 *2660:94 *3155:107 0.000199128 +117 *2660:94 *3166:82 8.34311e-06 +118 *2660:94 *3507:117 5.35941e-05 +119 *2660:94 *5454:15 0.000131246 +120 *2660:94 *5904:114 2.33978e-05 +121 *2660:97 *22487:B 6.18571e-06 +122 *2660:113 *22487:B 9.10924e-06 +123 *2660:113 *22488:C1 1.88152e-05 +124 *2660:113 *22795:B2 0.000254551 +125 *2660:113 *2851:26 1.88878e-05 +126 *2660:113 *3537:67 0.000822639 +127 *20021:A2 *2660:94 0.000122865 +128 *20021:A2 *2660:97 6.36477e-05 +129 *20646:A2 *2660:15 0.000211478 +130 *21604:B1 *2660:113 1.02554e-05 +131 *21605:B2 *2660:94 0.00190217 +132 *21776:A2 *21617:A1 0.000296995 +133 *22784:B1 *21617:A1 0.000120557 +134 *24343:D *2660:26 0.000298318 +135 *24419:D *2660:32 0.000108721 +136 *24472:D *2660:10 5.70765e-05 +137 *24910:A *21617:A1 0.000206344 +138 *1461:275 *2660:113 4.12833e-05 +139 *1483:19 *2660:26 7.55464e-05 +140 *1544:151 *21617:A1 0.000155387 +141 *1560:35 *2660:94 0.000181911 +142 *1561:39 *2660:113 7.09666e-06 +143 *1581:10 *2660:18 0.000258804 +144 *1585:8 *2660:10 5.31118e-05 +145 *1587:121 *2660:94 8.20833e-07 +146 *1603:48 *2660:94 0.000737547 +147 *1625:14 *2660:94 3.18696e-05 +148 *1631:34 *2660:94 0.000986752 +149 *1658:27 *21617:A1 8.04172e-05 +150 *1658:43 *21617:A1 0.000155387 +151 *1733:26 *2660:94 0.000741232 +152 *1757:106 *2660:80 0.000764705 +153 *1783:38 *2660:18 0.000115227 +154 *1809:35 *2660:80 6.42311e-06 +155 *1947:56 *2660:18 0.000150373 +156 *2024:24 *2660:15 0.000186597 +157 *2025:7 *2660:15 6.08467e-05 +*RES +1 *21612:Y *2660:10 39.9089 +2 *2660:10 *2660:15 46.1044 +3 *2660:15 *2660:17 4.5 +4 *2660:17 *2660:18 96.5095 +5 *2660:18 *2660:26 25.623 +6 *2660:26 *2660:32 49.3966 +7 *2660:32 *2660:52 49.3289 +8 *2660:52 *2660:68 33.1996 +9 *2660:68 *2660:80 49.9727 +10 *2660:80 *2660:94 47.092 +11 *2660:94 *2660:97 6.3326 +12 *2660:97 *22795:B1 10.6477 +13 *2660:97 *2660:113 25.7527 +14 *2660:113 *22487:A 9.24915 +15 *2660:113 *21617:A1 33.844 +*END + +*D_NET *2661 0.0285352 +*CONN +*I *22472:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21616:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22781:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21614:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22472:A1 0.00069107 +2 *21616:A1 5.35173e-05 +3 *22781:B1 0.000894535 +4 *21614:Y 0.00035028 +5 *2661:61 0.000755793 +6 *2661:60 6.47229e-05 +7 *2661:50 0.00011298 +8 *2661:30 0.00331718 +9 *2661:13 0.00381891 +10 *2661:7 0.001806 +11 *21616:A1 *21617:C1 1.94512e-05 +12 *22472:A1 *21616:B1 5.61389e-05 +13 *22472:A1 *21617:C1 0.000347367 +14 *22472:A1 *22472:B2 0.000107496 +15 *22472:A1 *22473:C1 0.000154145 +16 *22472:A1 *2792:27 0.000188837 +17 *22472:A1 *2793:17 0.000169429 +18 *22781:B1 *22763:A2 1.80225e-05 +19 *22781:B1 *22781:A2 4.25927e-05 +20 *22781:B1 *22781:B2 1.97692e-05 +21 *22781:B1 *22781:C1 0.000119375 +22 *22781:B1 *2697:65 5.84166e-05 +23 *22781:B1 *2851:48 1.13908e-06 +24 *22781:B1 *3417:32 4.89441e-05 +25 *22781:B1 *3474:111 0.000281183 +26 *22781:B1 *3476:71 0.00017498 +27 *22781:B1 *3476:98 0.000559199 +28 *22781:B1 *4849:23 9.32704e-05 +29 *2661:7 *20680:A1 1.41689e-05 +30 *2661:7 *21752:B1 0.000731158 +31 *2661:7 *2765:48 0.000101738 +32 *2661:7 *5861:97 4.47134e-05 +33 *2661:13 *19777:A 0.000199346 +34 *2661:13 *20680:A1 0.000135629 +35 *2661:13 *21764:A1 4.15661e-05 +36 *2661:13 *2778:19 0.000294676 +37 *2661:13 *3120:195 0.000186752 +38 *2661:13 *3415:14 0 +39 *2661:30 *21838:B1 0.00156251 +40 *2661:30 *22772:B2 3.77642e-05 +41 *2661:30 *2799:8 6.69868e-05 +42 *2661:30 *2850:56 0.00051143 +43 *2661:30 *2851:48 5.59064e-05 +44 *2661:30 *2987:50 3.63991e-05 +45 *2661:30 *2987:69 6.14756e-06 +46 *2661:30 *3062:18 0.000107491 +47 *2661:30 *3069:37 0.000350253 +48 *2661:30 *3417:32 5.19278e-06 +49 *2661:30 *3523:98 5.71949e-05 +50 *2661:30 *3523:127 1.90088e-05 +51 *2661:30 *3539:70 0.000160624 +52 *2661:30 *3671:20 1.5714e-05 +53 *2661:50 *21617:C1 4.35388e-05 +54 *2661:50 *4849:23 6.38891e-05 +55 *19820:A2 *2661:30 7.60356e-05 +56 *19841:B1 *2661:13 0.00054239 +57 *20680:A2 *2661:7 0.000158371 +58 *20680:B2 *2661:7 0.00043404 +59 *21776:C1 *22781:B1 3.30158e-05 +60 *22488:A2 *2661:30 0.000644602 +61 *22509:A *2661:13 0.000213405 +62 *22726:B1 *2661:30 0.00196298 +63 *22730:B1 *2661:30 0.00027591 +64 *1427:116 *2661:30 1.45729e-05 +65 *1435:281 *2661:30 0.000212955 +66 *1454:104 *22781:B1 9.77934e-05 +67 *1454:104 *2661:30 5.0191e-05 +68 *1538:17 *2661:30 6.3609e-05 +69 *1538:25 *2661:30 0.000592883 +70 *1544:151 *21616:A1 5.88594e-05 +71 *1544:151 *2661:50 0.000206162 +72 *1561:21 *21616:A1 6.01107e-06 +73 *1561:21 *22472:A1 0.000115812 +74 *1575:39 *2661:30 6.16319e-05 +75 *1602:71 *2661:30 0.000323811 +76 *1624:38 *2661:7 0.00121489 +77 *1625:83 *2661:30 0.000156087 +78 *1732:12 *2661:13 0.000350283 +79 *2535:36 *2661:30 8.62625e-06 +80 *2552:31 *2661:13 0.00138455 +81 *2654:22 *2661:30 0.000101152 +*RES +1 *21614:Y *2661:7 31.1106 +2 *2661:7 *2661:13 49.4911 +3 *2661:13 *2661:30 45.5967 +4 *2661:30 *22781:B1 36.0783 +5 *2661:30 *2661:50 6.92338 +6 *2661:50 *21616:A1 10.5513 +7 *2661:50 *2661:60 9.24915 +8 *2661:60 *2661:61 81.1229 +9 *2661:61 *22472:A1 34.2442 +*END + +*D_NET *2662 0.0272531 +*CONN +*I *21616:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22481:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22792:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21615:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21616:B1 5.68092e-05 +2 *22481:A1 0.00108263 +3 *22792:A1 0.000847541 +4 *21615:Y 0.00228692 +5 *2662:37 0.00244409 +6 *2662:14 0.00443911 +7 *21616:B1 *21617:C1 0.000111802 +8 *22481:A1 *22481:A2 9.95922e-06 +9 *22481:A1 *22481:B2 4.80635e-06 +10 *22481:A1 *22482:D 4.07616e-05 +11 *22481:A1 *22484:B1 1.91246e-05 +12 *22481:A1 *22491:A 0 +13 *22481:A1 *22491:B 9.82654e-05 +14 *22481:A1 *2667:122 7.86944e-06 +15 *22481:A1 *2671:42 1.50389e-06 +16 *22481:A1 *2750:56 1.30227e-05 +17 *22481:A1 *3114:137 1.66626e-05 +18 *22481:A1 *3114:160 0.000208153 +19 *22481:A1 *3120:179 0.000277896 +20 *22481:A1 *3128:133 1.55025e-05 +21 *22481:A1 *3128:140 6.34651e-06 +22 *22481:A1 *3190:55 1.62113e-05 +23 *22481:A1 *3394:8 0.000957262 +24 *22481:A1 *3442:20 2.86584e-05 +25 *22792:A1 *2753:21 0.00011195 +26 *22792:A1 *3066:26 4.45853e-05 +27 *22792:A1 *3131:66 4.13072e-05 +28 *22792:A1 *3134:62 5.84021e-05 +29 *22792:A1 *3146:65 6.3196e-05 +30 *22792:A1 *3533:217 0.00125354 +31 *2662:14 *20865:B1 1.92336e-05 +32 *2662:14 *22757:A1 7.13992e-06 +33 *2662:14 *2779:41 0.000681199 +34 *2662:14 *2783:24 5.38612e-06 +35 *2662:14 *3028:16 2.37478e-05 +36 *2662:14 *3531:157 0.000410782 +37 *2662:14 *3533:217 6.69933e-05 +38 *2662:14 *3750:13 1.89527e-06 +39 *2662:37 *2684:24 0.000136973 +40 *2662:37 *2860:20 6.19773e-06 +41 *2662:37 *3120:179 0.000190838 +42 *2662:37 *3168:78 0.000454754 +43 *2662:37 *3394:8 0.000188838 +44 *2662:37 *3529:80 0.000561605 +45 *2662:37 *3533:66 0.000294512 +46 *2662:37 *3533:79 0.000129091 +47 *2662:37 *3539:70 1.61821e-05 +48 *19808:B *22481:A1 0 +49 *20865:B2 *2662:14 6.50586e-05 +50 *22472:A1 *21616:B1 5.61389e-05 +51 *24358:D *2662:14 4.58003e-05 +52 *1537:113 *22481:A1 0.00014348 +53 *1537:171 *2662:14 0.00221882 +54 *1561:21 *21616:B1 0.000256056 +55 *1561:21 *2662:37 0.00167224 +56 *1575:29 *2662:14 0.000490583 +57 *1625:14 *22792:A1 0.000321618 +58 *1625:14 *2662:14 0.000652084 +59 *1625:91 *2662:14 0.00237975 +60 *1764:94 *22792:A1 0.000967867 +61 *1801:75 *2662:14 5.19592e-05 +62 *2523:65 *22481:A1 1.88014e-05 +63 *2536:28 *22792:A1 0.000106365 +64 *2655:64 *22481:A1 4.72557e-05 +*RES +1 *21615:Y *2662:14 29.046 +2 *2662:14 *22792:A1 22.3478 +3 *2662:14 *2662:37 11.2219 +4 *2662:37 *22481:A1 42.2869 +5 *2662:37 *21616:B1 16.691 +*END + +*D_NET *2663 0.00362517 +*CONN +*I *21617:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21616:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21617:C1 0.000568028 +2 *21616:X 0.000568028 +3 *21617:C1 *2697:32 0.000123764 +4 *21617:C1 *4849:7 0.000338761 +5 *21617:C1 *4849:23 0.000170708 +6 *6147:DIODE *21617:C1 0.000298399 +7 *21616:A1 *21617:C1 1.94512e-05 +8 *21616:B1 *21617:C1 0.000111802 +9 *21617:B2 *21617:C1 0.000105515 +10 *21776:C1 *21617:C1 0.000575206 +11 *22472:A1 *21617:C1 0.000347367 +12 *1542:34 *21617:C1 0.000105509 +13 *1544:151 *21617:C1 5.51483e-06 +14 *1561:21 *21617:C1 1.15389e-05 +15 *1561:39 *21617:C1 0.000111802 +16 *1773:76 *21617:C1 0.000120237 +17 *2661:50 *21617:C1 4.35388e-05 +*RES +1 *21616:X *21617:C1 47.1365 +*END + +*D_NET *2664 0.0044374 +*CONN +*I *21618:D I *D sky130_fd_sc_hd__and4_1 +*I *21617:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21618:D 0 +2 *21617:X 0.00124449 +3 *2664:14 0.00124449 +4 *2664:14 *3537:58 0.000484995 +5 *2664:14 *5593:46 1.41976e-05 +6 *19844:A *2664:14 5.04829e-06 +7 *19899:B *2664:14 3.31745e-05 +8 *1583:74 *2664:14 6.51527e-05 +9 *1721:88 *2664:14 0.000223771 +10 *1788:89 *2664:14 0.000230123 +11 *2093:79 *2664:14 0.000823389 +12 *2569:41 *2664:14 6.85778e-05 +*RES +1 *21617:X *2664:14 45.8803 +2 *2664:14 *21618:D 13.7491 +*END + +*D_NET *2665 0.00143231 +*CONN +*I *21746:A I *D sky130_fd_sc_hd__nand4_4 +*I *21618:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21746:A 0.000513822 +2 *21618:X 0.000513822 +3 *21746:A *21746:B 8.61131e-05 +4 *21746:A *24914:A 3.04443e-05 +5 *21746:A *3071:67 1.17614e-05 +6 *21746:A *3509:175 5.01835e-05 +7 *21746:A *3539:60 1.26119e-05 +8 *21746:A *3613:10 9.12416e-06 +9 *21604:A1 *21746:A 0.000204425 +*RES +1 *21618:X *21746:A 36.4302 +*END + +*D_NET *2666 0.011812 +*CONN +*I *21624:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22485:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21620:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21624:B1 0.00184193 +2 *22485:B1 0 +3 *21620:Y 0.000971907 +4 *2666:11 0.00208452 +5 *2666:8 0.00121449 +6 *21624:B1 *22485:A1 2.16355e-05 +7 *21624:B1 *3126:206 1.78868e-05 +8 *2666:8 *22493:A2 1.65872e-05 +9 *2666:8 *22493:B2 1.65872e-05 +10 *2666:8 *22494:C1 1.34424e-05 +11 *2666:8 *2998:13 0.000538117 +12 *2666:8 *3196:108 0.000191722 +13 *2666:8 *3523:68 5.51483e-06 +14 *2666:11 *22790:A1 0.000200794 +15 *2666:11 *3126:206 0.000205101 +16 *2666:11 *3471:73 5.89592e-05 +17 *19891:A *21624:B1 3.53886e-05 +18 *19891:B *21624:B1 0.000533582 +19 *21467:A1 *21624:B1 4.73962e-05 +20 *21467:A1 *2666:11 6.13007e-05 +21 *21467:A2 *21624:B1 2.16608e-05 +22 *21467:B2 *21624:B1 0.000200794 +23 *22493:B1 *2666:8 4.66492e-05 +24 *1461:275 *2666:8 0.000191722 +25 *1598:46 *21624:B1 0.000110297 +26 *1603:81 *2666:8 0.000969382 +27 *1637:87 *21624:B1 0.000254551 +28 *1655:29 *21624:B1 5.80564e-06 +29 *1662:63 *21624:B1 0.000154217 +30 *2522:39 *2666:8 0.000930687 +31 *2531:54 *2666:8 0.000396003 +32 *2583:9 *2666:8 0.000453347 +*RES +1 *21620:Y *2666:8 46.5262 +2 *2666:8 *2666:11 11.324 +3 *2666:11 *22485:B1 9.24915 +4 *2666:11 *21624:B1 42.7906 +*END + +*D_NET *2667 0.0816147 +*CONN +*I *22791:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21623:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22475:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21621:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22791:A1 0.000499986 +2 *21623:A1 0.000252896 +3 *22475:A1 1.28414e-05 +4 *21621:Y 0.0019736 +5 *2667:122 0.00153504 +6 *2667:116 0.00138056 +7 *2667:99 0.00102041 +8 *2667:93 0.00332735 +9 *2667:86 0.00323158 +10 *2667:72 0.00250437 +11 *2667:52 0.00347802 +12 *2667:43 0.00223355 +13 *2667:42 0.00209087 +14 *2667:32 0.00263777 +15 *2667:30 0.00315532 +16 *2667:20 0.00399343 +17 *2667:13 0.0028797 +18 *2667:8 0.00254746 +19 *21623:A1 *22246:A2 0.000377389 +20 *21623:A1 *3071:57 1.66626e-05 +21 *21623:A1 *3111:63 5.8973e-05 +22 *21623:A1 *3511:63 0.000380061 +23 *22475:A1 *22475:A2 6.36477e-05 +24 *22475:A1 *22475:B2 6.08467e-05 +25 *22791:A1 *22790:A1 6.03019e-05 +26 *22791:A1 *22790:B2 0.000223382 +27 *22791:A1 *22791:C1 1.41976e-05 +28 *22791:A1 *2959:18 8.13135e-05 +29 *22791:A1 *3162:87 0.000141114 +30 *22791:A1 *3164:76 1.17618e-05 +31 *2667:8 *20949:B1 0.000712826 +32 *2667:8 *21471:A 6.67204e-05 +33 *2667:8 *2907:6 0 +34 *2667:20 *20230:A1 2.20556e-05 +35 *2667:20 *23978:A1 4.90621e-05 +36 *2667:20 *24002:A1 1.65872e-05 +37 *2667:20 *4953:13 0.000244754 +38 *2667:20 *4953:27 0.000134849 +39 *2667:20 *6027:207 0 +40 *2667:30 *23999:A1 4.83312e-05 +41 *2667:30 *24260:RESET_B 4.71792e-05 +42 *2667:30 *24260:CLK 9.57638e-05 +43 *2667:30 *4877:13 3.79253e-05 +44 *2667:30 *5985:10 0.000209388 +45 *2667:30 *6027:58 0.000151546 +46 *2667:32 *23967:A1 3.06481e-05 +47 *2667:32 *24170:CLK 0.000141429 +48 *2667:32 *24320:RESET_B 2.77368e-05 +49 *2667:32 *4875:82 0.000259471 +50 *2667:32 *4875:118 5.92342e-05 +51 *2667:32 *4875:125 0.000556429 +52 *2667:32 *4875:136 0.000466436 +53 *2667:32 *4875:140 0 +54 *2667:42 *20831:A2 0.000320961 +55 *2667:42 *24170:CLK 0.000326768 +56 *2667:42 *24368:CLK 0 +57 *2667:42 *4875:140 0 +58 *2667:42 *5857:189 0.000116422 +59 *2667:42 *5857:196 0.000171386 +60 *2667:42 *5859:288 0.000124212 +61 *2667:43 *5853:404 0.000728559 +62 *2667:52 *2825:8 0.000961715 +63 *2667:52 *5859:343 0.00110502 +64 *2667:72 *22765:C1 6.36477e-05 +65 *2667:72 *22768:A1 0.000514284 +66 *2667:72 *2880:20 0 +67 *2667:72 *2895:33 9.74189e-05 +68 *2667:72 *2935:13 2.86601e-05 +69 *2667:72 *2991:25 0 +70 *2667:72 *3185:25 0.000528057 +71 *2667:72 *3426:13 0.00013872 +72 *2667:86 *2935:13 0.000358157 +73 *2667:86 *3151:64 0.00024301 +74 *2667:86 *3427:28 5.01835e-05 +75 *2667:93 *21994:D 2.05342e-06 +76 *2667:93 *22005:A1 0.000206449 +77 *2667:93 *22430:A1 0 +78 *2667:93 *22431:A2 9.04635e-06 +79 *2667:93 *22431:B1 4.84017e-05 +80 *2667:93 *22431:C1 0.000101503 +81 *2667:93 *22496:B2 6.30541e-06 +82 *2667:93 *22497:C1 0.0001454 +83 *2667:93 *2935:8 0.000326983 +84 *2667:93 *2935:13 0.000153384 +85 *2667:93 *2991:54 0 +86 *2667:93 *2994:55 6.20623e-05 +87 *2667:93 *3001:22 1.6006e-05 +88 *2667:93 *3071:30 0 +89 *2667:93 *3071:42 2.52921e-05 +90 *2667:93 *3071:57 7.38541e-05 +91 *2667:93 *3124:62 0 +92 *2667:93 *3151:53 2.70203e-05 +93 *2667:93 *3166:36 7.66231e-05 +94 *2667:93 *3185:79 7.66231e-05 +95 *2667:93 *3206:88 0.000259185 +96 *2667:99 *22005:C1 0.000252563 +97 *2667:99 *3521:41 9.29815e-06 +98 *2667:116 *21624:C1 0.000118854 +99 *2667:122 *22481:B2 0 +100 *2667:122 *22482:D 6.36477e-05 +101 *2667:122 *2708:44 0.000191032 +102 *2667:122 *3128:133 8.58125e-05 +103 *2667:122 *3128:140 0 +104 *2667:122 *3175:220 0.00319415 +105 *2667:122 *3442:20 0.00013013 +106 *2667:122 *3466:82 0.000107496 +107 *19852:A *2667:122 0.000186713 +108 *20980:A2 *2667:32 0.000320669 +109 *21013:A2 *2667:32 0.000146645 +110 *21013:A3 *2667:32 5.81549e-05 +111 *21224:A1 *2667:20 5.04054e-06 +112 *21517:A2 *2667:99 0.000111866 +113 *21915:B2 *2667:72 3.84994e-06 +114 *22409:A1 *2667:93 7.56514e-05 +115 *22474:B1 *2667:72 5.61389e-05 +116 *22481:A1 *2667:122 7.86944e-06 +117 *22799:B1 *22791:A1 8.62625e-06 +118 *24255:D *2667:32 0.000169093 +119 *24320:D *2667:32 2.8322e-05 +120 *24749:D *2667:13 0.000357898 +121 *24756:D *2667:20 0.000207266 +122 *460:82 *2667:32 0.000142739 +123 *460:84 *2667:42 0 +124 *507:25 *2667:30 7.91304e-05 +125 *507:25 *2667:32 7.44434e-06 +126 *512:64 *2667:20 0 +127 *516:26 *2667:8 0.000403908 +128 *522:17 *2667:93 0.000676152 +129 *531:58 *2667:32 0.000409607 +130 *533:8 *2667:52 0.000244416 +131 *543:12 *2667:72 0.000159546 +132 *543:12 *2667:86 1.67972e-05 +133 *1496:127 *2667:122 4.97617e-05 +134 *1541:173 *2667:122 9.83088e-05 +135 *1542:19 *2667:122 0.000688799 +136 *1542:126 *2667:122 6.08467e-05 +137 *1542:150 *2667:93 5.88009e-05 +138 *1545:32 *2667:72 0.000521499 +139 *1584:20 *2667:99 0.000100005 +140 *1584:20 *2667:116 5.1364e-05 +141 *1592:10 *2667:122 0.000998136 +142 *1614:11 *2667:99 3.67474e-05 +143 *1614:19 *21623:A1 1.65872e-05 +144 *1636:52 *2667:42 0 +145 *1636:68 *2667:93 0.000128772 +146 *1637:69 *21623:A1 0.000124158 +147 *1637:87 *2667:116 0.00018847 +148 *1648:47 *2667:99 2.0456e-06 +149 *1648:47 *2667:116 5.14254e-06 +150 *1662:80 *2667:116 4.28949e-05 +151 *1709:87 *2667:72 0.00193316 +152 *1715:127 *2667:72 0.000278115 +153 *1749:80 *2667:72 1.86911e-06 +154 *1750:49 *2667:99 0.000368578 +155 *1788:21 *2667:93 7.56514e-05 +156 *1800:249 *2667:86 0.000110306 +157 *1800:249 *2667:93 1.81004e-05 +158 *1933:9 *2667:13 6.50727e-05 +159 *1933:52 *2667:13 0.00145204 +160 *1934:43 *2667:8 0.000752727 +161 *2103:10 *2667:42 0 +162 *2159:29 *2667:8 1.03403e-05 +163 *2159:40 *2667:8 1.80647e-05 +164 *2291:10 *2667:20 6.54443e-05 +165 *2300:19 *2667:43 0.00518371 +166 *2338:72 *2667:93 0.0015597 +167 *2378:12 *2667:20 0 +168 *2381:22 *2667:30 8.36973e-06 +169 *2381:22 *2667:32 0.0003802 +170 *2381:26 *2667:32 0 +171 *2407:22 *2667:93 5.11321e-05 +172 *2420:42 *2667:52 0.000248013 +173 *2427:16 *2667:52 0.000958117 +174 *2468:130 *2667:43 0.00342607 +175 *2523:65 *2667:122 1.39895e-05 +176 *2529:19 *2667:72 0.000439649 +177 *2536:33 *22791:A1 6.70447e-06 +178 *2539:35 *2667:99 0.000333734 +179 *2569:41 *2667:116 7.60356e-05 +180 *2577:58 *2667:93 0 +181 *2585:29 *2667:30 0.000812662 +182 *2613:109 *2667:93 0.000120226 +183 *2641:51 *2667:93 1.38267e-05 +184 *2645:50 *2667:72 0.000334739 +185 *2645:50 *2667:93 0.000173312 +*RES +1 *21621:Y *2667:8 49.433 +2 *2667:8 *2667:13 22.7175 +3 *2667:13 *2667:20 45.5158 +4 *2667:20 *2667:30 35.5036 +5 *2667:30 *2667:32 49.8791 +6 *2667:32 *2667:42 32.9448 +7 *2667:42 *2667:43 58.4022 +8 *2667:43 *2667:52 49.2585 +9 *2667:52 *2667:72 30.614 +10 *2667:72 *22475:A1 14.4725 +11 *2667:72 *2667:86 17.9235 +12 *2667:86 *2667:93 49.7137 +13 *2667:93 *2667:99 11.938 +14 *2667:99 *21623:A1 23.2832 +15 *2667:99 *2667:116 12.3532 +16 *2667:116 *2667:122 47.8415 +17 *2667:122 *22791:A1 32.868 +*END + +*D_NET *2668 0.00272808 +*CONN +*I *21623:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21622:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21623:B1 0.000470407 +2 *21622:Y 0.000470407 +3 *21623:B1 *21622:A 6.50727e-05 +4 *21623:B1 *21624:A1 0.000158451 +5 *21623:B1 *3015:28 0.00012228 +6 *21623:B1 *3023:42 5.84166e-05 +7 *21623:B1 *3071:57 1.84334e-05 +8 *21623:B1 *3111:63 8.19236e-05 +9 *21623:B1 *3128:64 7.77744e-05 +10 *21623:B1 *3517:53 0.000527764 +11 *21525:B *21623:B1 0.000114192 +12 *23924:B *21623:B1 0.000523409 +13 *1655:29 *21623:B1 3.95516e-05 +*RES +1 *21622:Y *21623:B1 42.9345 +*END + +*D_NET *2669 0.000577877 +*CONN +*I *21624:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21623:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21624:C1 0.000138256 +2 *21623:X 0.000138256 +3 *21624:C1 *3128:64 6.3657e-05 +4 *1584:20 *21624:C1 0.000118854 +5 *2667:116 *21624:C1 0.000118854 +*RES +1 *21623:X *21624:C1 30.8842 +*END + +*D_NET *2670 0.00374685 +*CONN +*I *21643:A I *D sky130_fd_sc_hd__and4_1 +*I *21624:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21643:A 0 +2 *21624:X 0.000930563 +3 *2670:11 0.000930563 +4 *2670:11 *21643:B 0.000184855 +5 *2670:11 *21807:C 6.87503e-05 +6 *2670:11 *22539:A2 8.6931e-05 +7 *2670:11 *3023:42 7.39991e-05 +8 *2670:11 *3071:67 9.14918e-05 +9 *19885:B2 *2670:11 0.000114524 +10 *21487:B1 *2670:11 0.000239668 +11 *22209:A1 *2670:11 0.000717271 +12 *1592:10 *2670:11 0.00013298 +13 *1637:90 *2670:11 0.000175251 +*RES +1 *21624:X *2670:11 42.5639 +2 *2670:11 *21643:A 9.24915 +*END + +*D_NET *2671 0.0403239 +*CONN +*I *21630:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22790:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22484:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21625:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21630:A1 0.00131517 +2 *22790:A1 0.00160534 +3 *22484:B1 0.000123389 +4 *21625:Y 0.00104723 +5 *2671:42 0.00211283 +6 *2671:38 0.00240292 +7 *2671:26 0.00491623 +8 *2671:10 0.00262946 +9 *21630:A1 *22047:A1 0.000137566 +10 *21630:A1 *3120:163 0.0008747 +11 *21630:A1 *3120:168 0.00150242 +12 *21630:A1 *5457:13 0.00282381 +13 *22484:B1 *22484:B2 6.08467e-05 +14 *22484:B1 *22487:B 4.23858e-05 +15 *22484:B1 *3531:73 0.000133626 +16 *22484:B1 *3537:67 2.07503e-05 +17 *22790:A1 *22763:A2 0.000129091 +18 *22790:A1 *22763:B2 0.000445937 +19 *22790:A1 *22790:B2 6.36477e-05 +20 *22790:A1 *2750:56 3.54436e-05 +21 *22790:A1 *2851:26 5.4678e-05 +22 *22790:A1 *3417:32 0.00044625 +23 *22790:A1 *3466:82 5.01835e-05 +24 *22790:A1 *3517:53 9.22833e-06 +25 *22790:A1 *3531:73 0.000410766 +26 *22790:A1 *3702:20 0.000854556 +27 *2671:10 *20678:A1 3.99086e-06 +28 *2671:10 *21625:A 0.000380122 +29 *2671:10 *3157:139 0.000131915 +30 *2671:10 *3166:107 0.000131069 +31 *2671:10 *5851:635 0.000375532 +32 *2671:26 *22506:C1 7.09666e-06 +33 *2671:26 *2956:62 2.55228e-05 +34 *2671:26 *3040:18 0.00121373 +35 *2671:26 *3040:22 0.000535218 +36 *2671:26 *3114:180 0.00175584 +37 *2671:26 *3157:139 0.00114619 +38 *2671:26 *3162:115 3.23178e-05 +39 *2671:26 *5851:616 0.000360975 +40 *2671:26 *5851:635 0.000774216 +41 *2671:38 *22016:A1 1.67575e-05 +42 *2671:38 *22047:B1 0.000103893 +43 *2671:38 *22440:A1 2.72989e-05 +44 *2671:38 *22483:A2 1.46033e-05 +45 *2671:38 *2795:20 0.000510445 +46 *2671:38 *3029:33 0.00016008 +47 *2671:38 *3040:22 0.000385231 +48 *2671:38 *3114:180 0.000422723 +49 *2671:38 *3120:179 0.00207492 +50 *2671:38 *3519:159 0.00125809 +51 *2671:38 *3519:174 0.000108399 +52 *2671:42 *22483:A2 3.281e-05 +53 *2671:42 *2792:27 7.08288e-05 +54 *2671:42 *3120:179 9.35442e-05 +55 *20021:A1 *22484:B1 0.000129091 +56 *20021:A1 *22790:A1 0.000192811 +57 *20677:A2 *2671:10 0.000124889 +58 *21467:A1 *22790:A1 0.000399273 +59 *21604:B1 *22484:B1 4.31603e-06 +60 *21604:B1 *2671:42 3.09097e-05 +61 *21630:A2 *21630:A1 7.9371e-05 +62 *21647:B2 *2671:38 2.1558e-06 +63 *21776:A2 *22790:A1 0.000257544 +64 *22417:B1 *2671:26 0.000205681 +65 *22418:A1 *2671:26 0.000104754 +66 *22481:A1 *22484:B1 1.91246e-05 +67 *22481:A1 *2671:42 1.50389e-06 +68 *22726:B1 *22790:A1 0.000306525 +69 *22791:A1 *22790:A1 6.03019e-05 +70 *22798:B1 *22790:A1 8.12259e-06 +71 *23924:B *22790:A1 0.000301713 +72 *1461:275 *2671:42 5.14254e-06 +73 *1550:101 *2671:38 1.22804e-05 +74 *1561:39 *2671:42 0 +75 *1575:119 *2671:38 7.08723e-06 +76 *1584:95 *21630:A1 6.08467e-05 +77 *1605:46 *2671:38 6.41684e-05 +78 *1668:85 *22790:A1 3.38008e-05 +79 *1724:39 *2671:10 0.000334616 +80 *1731:107 *22790:A1 0.000253039 +81 *1784:71 *2671:26 0.000108986 +82 *1796:10 *21630:A1 1.5714e-05 +83 *2033:37 *2671:10 0.000518584 +84 *2620:47 *2671:10 4.31703e-05 +85 *2655:64 *22484:B1 1.91246e-05 +86 *2655:64 *2671:42 1.66626e-05 +87 *2666:11 *22790:A1 0.000200794 +*RES +1 *21625:Y *2671:10 41.3408 +2 *2671:10 *2671:26 43.6772 +3 *2671:26 *2671:38 49.9096 +4 *2671:38 *2671:42 10.8067 +5 *2671:42 *22484:B1 19.5561 +6 *2671:42 *22790:A1 47.6012 +7 *2671:26 *21630:A1 22.8917 +*END + +*D_NET *2672 0.034398 +*CONN +*I *21629:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22794:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22478:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21627:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21629:A1 0.000224188 +2 *22794:B1 4.49206e-05 +3 *22478:B1 0.000252439 +4 *21627:Y 0.00073787 +5 *2672:64 0.00123852 +6 *2672:55 0.000115942 +7 *2672:54 0.000841718 +8 *2672:40 0.00316657 +9 *2672:21 0.00383587 +10 *2672:13 0.00141597 +11 *21629:A1 *3031:18 9.21475e-05 +12 *21629:A1 *3069:42 4.97617e-05 +13 *21629:A1 *3209:6 0.000174488 +14 *22794:B1 *22487:B 6.23875e-05 +15 *22794:B1 *22795:C1 0.000113968 +16 *2672:13 *24995:A 0.000107496 +17 *2672:13 *4862:8 0.000890356 +18 *2672:13 *5082:101 5.35691e-05 +19 *2672:13 *5921:45 0.000883416 +20 *2672:21 *20890:A1 0.000181333 +21 *2672:21 *2771:12 0.00142638 +22 *2672:40 *21771:B1 0.000199804 +23 *2672:40 *21772:A1 1.5714e-05 +24 *2672:40 *22053:B1 0.000107413 +25 *2672:40 *3028:20 0.000134339 +26 *2672:40 *3028:30 0.000109796 +27 *2672:40 *3106:100 9.47789e-06 +28 *2672:40 *3131:109 7.13655e-06 +29 *2672:40 *3529:99 0.000873206 +30 *2672:40 *3529:155 0.00111606 +31 *2672:64 *2771:12 0.00174084 +32 *2672:64 *3069:18 3.07561e-05 +33 *19872:A *2672:40 8.13106e-05 +34 *20021:A2 *22794:B1 2.36818e-05 +35 *20021:A2 *2672:54 0.000117173 +36 *20021:A3 *2672:54 0.000247443 +37 *20021:B1 *2672:54 4.94699e-05 +38 *20535:A2 *2672:40 4.49912e-05 +39 *20887:A *2672:13 5.97576e-05 +40 *20890:A2 *2672:21 0.000442634 +41 *21512:B2 *2672:64 0.000154145 +42 *21548:A2 *2672:40 9.36441e-05 +43 *21629:A2 *21629:A1 0.000107496 +44 *21630:A2 *2672:64 0.000193811 +45 *21777:A2 *2672:40 1.49935e-05 +46 *24550:D *2672:40 0.000145506 +47 *1427:111 *22478:B1 1.62321e-05 +48 *1455:108 *22478:B1 0.000118216 +49 *1455:108 *2672:40 0.000593084 +50 *1521:36 *2672:21 0.000348411 +51 *1538:28 *2672:64 0.00120841 +52 *1546:42 *22478:B1 0.000113107 +53 *1550:82 *2672:21 9.5562e-05 +54 *1550:82 *2672:64 0.000187331 +55 *1554:17 *2672:40 0.000227475 +56 *1561:21 *2672:40 1.26098e-05 +57 *1598:91 *2672:64 0.00262514 +58 *1598:96 *2672:64 0.00169214 +59 *1604:100 *22478:B1 0.000409257 +60 *1604:100 *2672:40 0.00011666 +61 *1624:62 *2672:40 0.000196743 +62 *1631:10 *2672:40 1.30094e-05 +63 *1666:12 *21629:A1 0.000174488 +64 *1729:64 *2672:21 7.06474e-05 +65 *1730:113 *2672:40 0.000319079 +66 *1757:120 *2672:40 0.000309754 +67 *1771:94 *2672:64 0.000340742 +68 *1776:15 *22478:B1 0.000240226 +69 *2138:59 *2672:13 1.92172e-05 +70 *2139:7 *2672:13 0.000107496 +71 *2536:28 *2672:40 0.00201006 +72 *2567:7 *2672:21 0.000497721 +73 *2567:12 *2672:21 0.000305219 +*RES +1 *21627:Y *2672:13 47.7716 +2 *2672:13 *2672:21 34.5548 +3 *2672:21 *2672:40 48.2782 +4 *2672:40 *22478:B1 23.0879 +5 *2672:40 *2672:54 25.01 +6 *2672:54 *2672:55 81.1229 +7 *2672:55 *22794:B1 20.3309 +8 *2672:21 *2672:64 51.7469 +9 *2672:64 *21629:A1 25.0992 +*END + +*D_NET *2673 0.00129007 +*CONN +*I *21630:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21629:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21630:C1 0.000406001 +2 *21629:X 0.000406001 +3 *21630:C1 *6212:DIODE 3.82228e-05 +4 *21630:C1 *2847:8 6.22114e-05 +5 *19832:A1 *21630:C1 0.000239724 +6 *21629:B2 *21630:C1 5.88009e-05 +7 *1584:95 *21630:C1 1.66376e-05 +8 *1584:105 *21630:C1 4.0193e-05 +9 *1590:10 *21630:C1 2.2279e-05 +*RES +1 *21629:X *21630:C1 35.932 +*END + +*D_NET *2674 0.0115309 +*CONN +*I *21643:B I *D sky130_fd_sc_hd__and4_1 +*I *21630:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21643:B 0.000820809 +2 *21630:X 4.94395e-05 +3 *2674:8 0.00269526 +4 *2674:7 0.00192389 +5 *2674:8 *21814:B1 0.000645112 +6 *2674:8 *21814:C1 0.000261443 +7 *2674:8 *22236:B2 3.9132e-05 +8 *2674:8 *22555:C1 2.60172e-05 +9 *2674:8 *2827:61 3.00283e-05 +10 *2674:8 *2857:52 1.47875e-05 +11 *2674:8 *3106:81 0.000233515 +12 *2674:8 *3476:116 6.96669e-06 +13 *2674:8 *3476:127 3.75972e-07 +14 *2674:8 *3529:62 7.78467e-05 +15 *2674:8 *5453:21 0.000242596 +16 *19885:A2 *21643:B 5.89592e-05 +17 *21604:A1 *21643:B 0.000464227 +18 *21604:B2 *21643:B 6.08467e-05 +19 *21618:A *21643:B 0.000201932 +20 *21618:C *21643:B 0.000295214 +21 *21630:A2 *2674:7 6.98337e-06 +22 *22236:B1 *2674:8 9.79114e-05 +23 *1535:31 *2674:8 0.00039995 +24 *1547:114 *2674:8 2.02035e-05 +25 *1554:42 *2674:8 0.000137472 +26 *1561:154 *21643:B 1.41976e-05 +27 *1562:50 *2674:8 0 +28 *1570:76 *21643:B 1.34424e-05 +29 *1573:207 *2674:8 4.42142e-05 +30 *1584:95 *2674:7 5.99529e-06 +31 *1595:101 *2674:8 1.28587e-05 +32 *1634:66 *2674:8 1.22026e-05 +33 *1635:23 *21643:B 3.31745e-05 +34 *1716:35 *2674:8 1.30422e-05 +35 *1716:89 *2674:8 3.29488e-05 +36 *1720:36 *2674:8 9.70894e-05 +37 *1720:52 *2674:8 2.31373e-05 +38 *1720:62 *2674:8 0.00055611 +39 *1721:88 *2674:8 0.000287351 +40 *1721:94 *2674:8 0.000129554 +41 *1721:107 *2674:8 4.89753e-05 +42 *1721:154 *2674:8 0.00037184 +43 *1788:89 *2674:8 4.50866e-05 +44 *1796:10 *2674:8 1.40496e-05 +45 *1796:12 *2674:8 0.000163205 +46 *2117:200 *2674:8 0.000369185 +47 *2556:28 *21643:B 0.000247443 +48 *2670:11 *21643:B 0.000184855 +*RES +1 *21630:X *2674:7 14.4725 +2 *2674:7 *2674:8 55.8148 +3 *2674:8 *21643:B 35.7645 +*END + +*D_NET *2675 0.0705294 +*CONN +*I *22787:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *21635:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22486:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21633:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22787:A1 0.000151737 +2 *21635:A1 0.000216415 +3 *22486:A1 0.00118485 +4 *21633:Y 0.000586643 +5 *2675:99 0.00112456 +6 *2675:95 0.0013282 +7 *2675:68 0.00500187 +8 *2675:63 0.00610375 +9 *2675:51 0.00329842 +10 *2675:46 0.00239806 +11 *2675:44 0.00171103 +12 *2675:42 0.0013603 +13 *2675:28 0.00253619 +14 *2675:14 0.00181889 +15 *21635:A1 *22313:B2 1.37145e-05 +16 *21635:A1 *3117:162 5.89592e-05 +17 *21635:A1 *3136:180 0.000156946 +18 *21635:A1 *3208:118 7.77744e-05 +19 *21635:A1 *3208:150 1.33276e-05 +20 *21635:A1 *4815:116 2.01653e-05 +21 *21635:A1 *4815:122 0.000207911 +22 *22486:A1 *22488:B2 9.21574e-05 +23 *22486:A1 *22491:B 6.08467e-05 +24 *22486:A1 *2726:22 0.000421417 +25 *22486:A1 *3148:226 0.00159493 +26 *22787:A1 *3063:30 0.000139973 +27 *2675:14 *24983:A 3.10924e-05 +28 *2675:14 *2928:14 0.000899962 +29 *2675:14 *2936:14 0.000197446 +30 *2675:14 *4878:27 3.99086e-06 +31 *2675:14 *5854:337 0.000703845 +32 *2675:14 *5858:443 0.000107496 +33 *2675:14 *5870:306 1.80887e-05 +34 *2675:28 *20237:B1 4.9388e-05 +35 *2675:28 *24241:RESET_B 1.66626e-05 +36 *2675:28 *2743:11 0.000440512 +37 *2675:28 *2815:8 7.86825e-06 +38 *2675:28 *2928:24 0.00133193 +39 *2675:28 *4832:109 0.00011982 +40 *2675:28 *5860:445 2.49658e-05 +41 *2675:28 *5860:455 9.52029e-05 +42 *2675:42 *24750:CLK 0.000107496 +43 *2675:42 *5854:409 0.000589842 +44 *2675:46 *5854:409 0.000533729 +45 *2675:51 *24332:RESET_B 0.00026341 +46 *2675:51 *5857:267 8.82538e-05 +47 *2675:51 *5857:269 0.000381912 +48 *2675:51 *5857:286 0.00011818 +49 *2675:51 *5859:352 0.000994505 +50 *2675:63 *21926:A1 0.000104754 +51 *2675:63 *2729:121 2.99982e-05 +52 *2675:63 *3071:26 0.00238252 +53 *2675:63 *3185:25 0.000264181 +54 *2675:63 *3185:30 1.2128e-05 +55 *2675:63 *3427:28 8.43022e-06 +56 *2675:68 *22502:B2 0.000407807 +57 *2675:68 *2763:20 3.41767e-06 +58 *2675:68 *2805:22 0.000509042 +59 *2675:68 *2916:20 6.82197e-05 +60 *2675:68 *3185:30 3.12734e-06 +61 *2675:68 *3185:68 0.0010983 +62 *2675:68 *3208:107 0.00131981 +63 *2675:68 *3427:28 1.43499e-05 +64 *2675:68 *3719:44 0.000111627 +65 *2675:95 *21730:A1 0.000118828 +66 *2675:95 *2805:22 3.01761e-05 +67 *2675:95 *3015:28 2.54542e-05 +68 *2675:95 *3208:107 0.000240135 +69 *2675:95 *3509:60 0.000250775 +70 *2675:99 *21730:A1 9.95542e-06 +71 *2675:99 *2680:57 0.00224973 +72 *2675:99 *2930:64 0.000294093 +73 *2675:99 *3052:101 0.000247443 +74 *2675:99 *3144:152 4.15661e-05 +75 *2675:99 *3483:149 0.000822962 +76 *2675:99 *3483:163 7.54269e-06 +77 *2675:99 *4815:122 0.00281666 +78 *19615:B2 *2675:46 0.0001665 +79 *20351:A *2675:14 8.84877e-05 +80 *20353:A2 *2675:14 0.000102053 +81 *20353:B2 *2675:14 6.08467e-05 +82 *20357:A *2675:42 5.90507e-05 +83 *20906:A *2675:63 0.000324594 +84 *21234:B1 *2675:46 7.1817e-05 +85 *21234:B2 *2675:51 0.000926407 +86 *21411:B1 *2675:63 1.91924e-05 +87 *21530:A2 *2675:28 2.33103e-06 +88 *21531:C1 *2675:68 1.43813e-05 +89 *21820:A2 *2675:14 0.000613606 +90 *21881:A2 *2675:63 0.000624012 +91 *22696:A1 *21635:A1 4.15661e-05 +92 *24671:D *2675:14 2.65831e-05 +93 *24750:D *2675:42 3.20069e-06 +94 *496:8 *2675:42 2.67552e-05 +95 *499:18 *2675:46 0.000208088 +96 *520:17 *22486:A1 0.00103184 +97 *543:12 *2675:63 2.02207e-05 +98 *543:21 *2675:28 0.000377187 +99 *543:33 *2675:42 0.000570466 +100 *545:42 *2675:42 0.000377528 +101 *1443:49 *2675:68 0.000116212 +102 *1450:113 *2675:51 0.000266846 +103 *1492:46 *2675:28 0.000154145 +104 *1492:121 *2675:51 0.000669087 +105 *1497:161 *2675:51 5.73392e-05 +106 *1551:28 *2675:46 8.21963e-05 +107 *1561:154 *2675:99 0.000105887 +108 *1570:50 *2675:95 2.05082e-05 +109 *1573:46 *2675:28 0.000539495 +110 *1573:49 *2675:42 0.000514974 +111 *1588:107 *2675:95 4.0143e-05 +112 *1637:42 *2675:63 0.000369775 +113 *1637:87 *2675:99 0.00058541 +114 *1649:18 *2675:68 0.000513262 +115 *1651:16 *2675:63 0.000323011 +116 *1701:39 *2675:46 1.66771e-05 +117 *1711:38 *2675:28 0.000300565 +118 *1731:136 *2675:63 3.88655e-06 +119 *1750:69 *2675:68 0.00101662 +120 *1750:69 *2675:95 0.000363103 +121 *1771:31 *22787:A1 5.24057e-05 +122 *1772:71 *22486:A1 8.76888e-05 +123 *1780:134 *2675:46 0.00027281 +124 *1784:166 *22486:A1 1.03403e-05 +125 *1802:80 *2675:68 0.00175615 +126 *1802:143 *21635:A1 0.000139188 +127 *1931:7 *2675:14 2.42273e-05 +128 *2145:8 *2675:63 0.000689544 +129 *2338:41 *2675:68 0.000175979 +130 *2403:18 *2675:99 4.78118e-05 +131 *2420:34 *2675:42 7.91736e-05 +132 *2420:34 *2675:46 0.00261531 +133 *2543:88 *2675:95 6.77519e-05 +134 *2577:26 *2675:46 2.81361e-06 +135 *2577:28 *2675:46 0.000375598 +136 *2586:23 *2675:28 1.9101e-05 +*RES +1 *21633:Y *2675:14 48.9124 +2 *2675:14 *2675:28 38.559 +3 *2675:28 *2675:42 49.7933 +4 *2675:42 *2675:44 0.732798 +5 *2675:44 *2675:46 57.6834 +6 *2675:46 *2675:51 41.8904 +7 *2675:51 *2675:63 24.4723 +8 *2675:63 *2675:68 12.9743 +9 *2675:68 *22486:A1 23.0499 +10 *2675:68 *2675:95 11.9449 +11 *2675:95 *2675:99 49.484 +12 *2675:99 *21635:A1 30.0467 +13 *2675:63 *22787:A1 20.7209 +*END + +*D_NET *2676 0.0407696 +*CONN +*I *22305:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21635:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22646:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21634:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22305:B1 2.08328e-05 +2 *21635:B1 0.000491259 +3 *22646:B1 0.000376575 +4 *21634:Y 0.000911081 +5 *2676:45 0.00267046 +6 *2676:29 0.00243053 +7 *2676:26 0.00211383 +8 *2676:22 0.0033707 +9 *2676:20 0.00303458 +10 *2676:17 0.00208172 +11 *21635:B1 *21636:C1 4.49912e-05 +12 *21635:B1 *3136:180 0.000110306 +13 *21635:B1 *3568:8 2.70362e-05 +14 *21635:B1 *4810:66 0.000670508 +15 *22305:B1 *22305:B2 1.07257e-05 +16 *22646:B1 *22644:A1 4.36257e-05 +17 *22646:B1 *22646:A1 4.49767e-05 +18 *22646:B1 *4808:76 2.57465e-06 +19 *22646:B1 *4810:66 0.000336357 +20 *2676:17 *21634:A 0.000140949 +21 *2676:17 *24766:CLK 7.33113e-05 +22 *2676:17 *4815:51 2.14052e-05 +23 *2676:17 *4815:67 0.00028042 +24 *2676:17 *4815:68 6.62968e-05 +25 *2676:17 *5090:13 6.3657e-05 +26 *2676:17 *5092:8 0.000364437 +27 *2676:17 *5853:156 8.11907e-05 +28 *2676:17 *5853:171 0.000100727 +29 *2676:20 *4843:302 0.000438224 +30 *2676:20 *4843:307 0.000375623 +31 *2676:20 *4843:312 1.09645e-05 +32 *2676:22 *20940:B1 2.33103e-06 +33 *2676:22 *21415:A 1.43055e-05 +34 *2676:22 *2906:52 0.000575319 +35 *2676:22 *3020:14 0.000248176 +36 *2676:22 *3153:112 8.99936e-06 +37 *2676:22 *3306:22 0.000605348 +38 *2676:22 *3306:29 0.000414415 +39 *2676:22 *3306:32 0 +40 *2676:22 *4818:48 0.00147522 +41 *2676:22 *5857:113 1.16129e-05 +42 *2676:22 *5903:18 1.12793e-05 +43 *2676:26 *3306:22 1.00751e-05 +44 *2676:29 *22305:A1 0.000442653 +45 *2676:29 *22305:B2 7.41056e-06 +46 *2676:29 *22306:C 0.000161243 +47 *2676:29 *22306:D 1.65872e-05 +48 *2676:29 *3177:250 0.000709294 +49 *2676:29 *3192:57 0.000107496 +50 *2676:29 *4806:24 5.19897e-05 +51 *2676:29 *4818:56 7.6719e-06 +52 *2676:29 *4824:153 0.00043205 +53 *2676:45 *22305:B2 2.37827e-05 +54 *2676:45 *22306:C 0.000160617 +55 *2676:45 *22306:D 5.04829e-06 +56 *2676:45 *22322:A2 0.00177054 +57 *2676:45 *3177:287 0.000164161 +58 *2676:45 *3179:167 0.00127983 +59 *2676:45 *3179:190 5.01835e-05 +60 *2676:45 *3498:179 0.000195421 +61 *2676:45 *3543:15 0.000396664 +62 *2676:45 *4809:40 6.72573e-05 +63 *2676:45 *4825:127 0.0003652 +64 *2676:45 *4830:111 0.000509002 +65 *2676:45 *5874:133 5.41506e-05 +66 *20615:A *2676:29 6.08467e-05 +67 *20617:B2 *2676:26 9.94466e-05 +68 *20620:A2 *2676:29 1.99131e-05 +69 *21193:A1 *22646:B1 1.74104e-05 +70 *21635:A2 *21635:B1 0.000107496 +71 *24313:D *2676:20 0.000103322 +72 *24766:D *2676:17 2.16355e-05 +73 *518:44 *2676:26 0.000904236 +74 *520:27 *2676:45 1.42924e-05 +75 *1608:30 *22646:B1 1.38881e-05 +76 *1634:30 *2676:20 0.000535061 +77 *1641:109 *21635:B1 0.000543573 +78 *1641:109 *22646:B1 0.000106393 +79 *2015:78 *2676:26 1.69107e-05 +80 *2016:12 *2676:29 0.000304857 +81 *2016:60 *2676:29 9.32983e-05 +82 *2016:71 *2676:26 0.00173105 +83 *2016:82 *2676:26 0.000377069 +84 *2155:8 *2676:20 0.000362332 +85 *2155:13 *2676:20 0.000350501 +86 *2155:13 *2676:22 8.93133e-05 +87 *2156:51 *2676:22 0.00034152 +88 *2256:88 *2676:26 5.1493e-06 +89 *2275:56 *2676:22 6.74605e-06 +90 *2275:56 *2676:26 0.0013374 +91 *2297:60 *2676:17 2.89104e-05 +92 *2297:60 *2676:20 0.00069056 +93 *2297:71 *2676:20 0.000256678 +94 *2297:71 *2676:22 0.000561286 +95 *2297:86 *2676:22 0.000133904 +96 *2448:82 *2676:20 6.52909e-05 +97 *2448:92 *2676:20 7.01586e-06 +98 *2467:62 *2676:20 0.000175876 +99 *2486:70 *2676:17 0.000121159 +*RES +1 *21634:Y *2676:17 42.7112 +2 *2676:17 *2676:20 40.1451 +3 *2676:20 *2676:22 58.3063 +4 *2676:22 *2676:26 49.012 +5 *2676:26 *2676:29 27.9621 +6 *2676:29 *2676:45 39.6783 +7 *2676:45 *22646:B1 22.4328 +8 *2676:45 *21635:B1 29.5243 +9 *2676:29 *22305:B1 9.82786 +*END + +*D_NET *2677 0.000886848 +*CONN +*I *21636:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21635:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21636:C1 0.000202463 +2 *21635:X 0.000202463 +3 *21636:C1 *3136:180 6.08467e-05 +4 *21636:C1 *3568:8 0.000217306 +5 *21636:C1 *4810:66 0.000158778 +6 *21635:B1 *21636:C1 4.49912e-05 +*RES +1 *21635:X *21636:C1 32.9604 +*END + +*D_NET *2678 0.0061804 +*CONN +*I *21643:C I *D sky130_fd_sc_hd__and4_1 +*I *21636:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21643:C 0.000754635 +2 *21636:X 0.00095238 +3 *2678:17 0.00170702 +4 *21643:C *21643:D 0.000158451 +5 *21643:C *21746:B 9.80242e-07 +6 *21643:C *22663:A2 2.57986e-05 +7 *21643:C *22663:B2 3.7516e-05 +8 *21643:C *22670:A 0.000111802 +9 *21643:C *24914:A 5.91285e-05 +10 *2678:17 *21636:A1 3.82228e-05 +11 *2678:17 *21800:A1 1.00981e-05 +12 *2678:17 *24914:A 8.41516e-05 +13 *2678:17 *2814:38 0.000122356 +14 *2678:17 *3052:101 0.00052731 +15 *2678:17 *3539:27 8.97894e-05 +16 *2678:17 *4853:18 0.000140293 +17 *21604:A1 *21643:C 2.41274e-06 +18 *21636:A2 *2678:17 8.65421e-06 +19 *22663:A1 *21643:C 3.31745e-05 +20 *1592:70 *2678:17 0.000818656 +21 *1645:94 *2678:17 7.92757e-06 +22 *2093:68 *2678:17 0.000483499 +23 *2527:24 *2678:17 6.14756e-06 +*RES +1 *21636:X *2678:17 44.3443 +2 *2678:17 *21643:C 20.51 +*END + +*D_NET *2679 0.0732255 +*CONN +*I *21642:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6186:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21638:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21642:B1 6.27352e-05 +2 *6186:DIODE 0 +3 *21638:Y 8.73963e-05 +4 *2679:46 0.000443881 +5 *2679:41 0.00500604 +6 *2679:37 0.00830842 +7 *2679:18 0.00467473 +8 *2679:17 0.00102667 +9 *2679:12 0.00160332 +10 *2679:10 0.00201426 +11 *2679:7 0.000533803 +12 *21642:B1 *21641:B1 1.09237e-05 +13 *21642:B1 *21642:C1 7.44974e-05 +14 *21642:B1 *21746:C 0.000124083 +15 *21642:B1 *4804:96 4.5635e-05 +16 *2679:7 *4821:158 7.48633e-05 +17 *2679:10 *4821:155 0.0020626 +18 *2679:10 *5902:16 0.00215243 +19 *2679:10 *5902:18 1.41761e-05 +20 *2679:12 *2687:24 0.000215454 +21 *2679:12 *4821:155 0.000693099 +22 *2679:12 *5902:18 0.0071493 +23 *2679:17 *2938:29 0.000156955 +24 *2679:17 *4833:172 0.000154145 +25 *2679:18 *2791:24 8.01687e-05 +26 *2679:18 *4821:151 0.00178937 +27 *2679:18 *5592:112 0.000110809 +28 *2679:37 *20997:B1 0.00078782 +29 *2679:37 *2692:53 0.000388168 +30 *2679:37 *2978:29 1.89463e-05 +31 *2679:37 *2989:47 4.70256e-05 +32 *2679:37 *3212:19 3.71241e-05 +33 *2679:37 *4812:87 5.59187e-05 +34 *2679:37 *4818:13 0.000694319 +35 *2679:37 *4823:94 0.000113478 +36 *2679:37 *4825:95 0.000133251 +37 *2679:37 *4832:60 6.10898e-05 +38 *2679:37 *5864:747 0.000253046 +39 *2679:41 *21631:A 7.47581e-05 +40 *2679:41 *2687:68 0.00165208 +41 *2679:41 *2825:57 1.31877e-05 +42 *2679:41 *2880:45 0.00295514 +43 *2679:41 *3111:125 0.000848831 +44 *2679:41 *3531:43 2.87866e-05 +45 *2679:41 *3533:38 0.00126522 +46 *2679:41 *4811:6 0.000125898 +47 *2679:41 *4811:18 0.000142017 +48 *2679:41 *4824:130 0.000716092 +49 *2679:41 *4843:345 0.00141419 +50 *2679:41 *4845:270 0.00038056 +51 *2679:41 *4845:281 0.000124364 +52 *2679:41 *5430:8 0.00018108 +53 *2679:41 *5862:329 3.06917e-06 +54 *2679:46 *3021:39 0.000280046 +55 mgmt_gpio_out[12] *2679:18 3.42731e-05 +56 *20309:A1 *2679:41 0.00041502 +57 *21247:B1 *2679:41 6.03237e-05 +58 *25138:A *2679:37 3.362e-05 +59 *324:27 *2679:41 2.75528e-05 +60 *490:83 *2679:37 6.44773e-05 +61 *518:31 *2679:41 0.000191753 +62 *518:44 *2679:41 0.000435571 +63 *1545:118 *2679:41 3.00116e-05 +64 *1555:58 *2679:37 0.00241854 +65 *1625:83 *2679:46 0.000137472 +66 *1631:57 *21642:B1 1.45525e-05 +67 *1641:130 *2679:46 0.000493599 +68 *1709:40 *2679:37 1.60578e-06 +69 *1741:40 *2679:37 3.90312e-05 +70 *1800:95 *2679:46 6.47302e-06 +71 *2291:61 *2679:37 0.00228444 +72 *2310:37 *2679:41 0.000265313 +73 *2367:28 *2679:18 0.00322959 +74 *2367:49 *2679:37 0.000134046 +75 *2384:32 *2679:37 0.00359411 +76 *2397:13 *2679:41 5.7392e-05 +77 *2446:145 *2679:41 6.78442e-05 +78 *2467:104 *2679:41 0.000231479 +79 *2486:106 *2679:41 7.99851e-05 +80 *2503:12 *2679:12 0.00424353 +81 *2503:12 *2679:18 3.99599e-05 +82 *2503:19 *2679:18 5.30591e-05 +83 *2570:46 *2679:18 0.00158718 +84 *2570:80 *2679:41 0.00109354 +85 *2610:48 *2679:41 0.000394862 +*RES +1 *21638:Y *2679:7 16.1364 +2 *2679:7 *2679:10 36.5544 +3 *2679:10 *2679:12 117.48 +4 *2679:12 *2679:17 10.8326 +5 *2679:17 *2679:18 60.5902 +6 *2679:18 *2679:37 21.9959 +7 *2679:37 *2679:41 46.688 +8 *2679:41 *2679:46 18.6287 +9 *2679:46 *6186:DIODE 9.24915 +10 *2679:46 *21642:B1 11.8293 +*END + +*D_NET *2680 0.0388944 +*CONN +*I *21641:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22479:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21639:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21641:A1 0.000679182 +2 *22479:A1 0.000303023 +3 *21639:Y 0.000377548 +4 *2680:57 0.00310438 +5 *2680:52 0.00290044 +6 *2680:44 0.003378 +7 *2680:43 0.00290276 +8 *2680:27 0.00195806 +9 *2680:18 0.0028232 +10 *2680:13 0.00154572 +11 *21641:A1 *21642:C1 6.36477e-05 +12 *21641:A1 *21746:C 2.16355e-05 +13 *21641:A1 *22209:B2 1.10297e-05 +14 *21641:A1 *22254:A1 4.9531e-05 +15 *21641:A1 *22254:A2 1.67255e-05 +16 *21641:A1 *22254:B1 6.84959e-05 +17 *21641:A1 *22255:A1 6.36477e-05 +18 *21641:A1 *22255:A2 3.41459e-05 +19 *21641:A1 *22255:C1 5.73392e-05 +20 *21641:A1 *22624:B1 0.000118738 +21 *21641:A1 *2777:60 0.000110306 +22 *21641:A1 *3052:101 1.50389e-06 +23 *21641:A1 *3124:191 3.67156e-05 +24 *21641:A1 *3136:208 2.16355e-05 +25 *21641:A1 *3142:154 1.5714e-05 +26 *21641:A1 *3243:22 1.49713e-05 +27 *21641:A1 *3539:48 8.51781e-05 +28 *21641:A1 *5592:70 5.8518e-05 +29 *22479:A1 *22477:C1 6.17318e-05 +30 *22479:A1 *22479:A2 5.04829e-06 +31 *22479:A1 *22479:B2 1.48217e-07 +32 *22479:A1 *2723:19 0.000107496 +33 *22479:A1 *3134:72 0.000356283 +34 *2680:13 *20725:A1 8.97566e-06 +35 *2680:13 *21919:A 9.98615e-06 +36 *2680:13 *4933:81 8.62321e-06 +37 *2680:13 *5345:8 9.85369e-05 +38 *2680:13 *5854:27 0.000114523 +39 *2680:18 *24555:CLK 0.000136768 +40 *2680:18 *2933:10 3.67015e-05 +41 *2680:18 *2933:22 0.000794647 +42 *2680:18 *4927:151 8.52364e-05 +43 *2680:18 *4927:160 0.000538637 +44 *2680:18 *4933:87 0.00065719 +45 *2680:18 *4933:89 3.92776e-05 +46 *2680:18 *4933:91 0.000106962 +47 *2680:18 *5854:67 0.000218908 +48 *2680:27 *2812:18 1.862e-05 +49 *2680:27 *3131:66 6.00033e-05 +50 *2680:27 *5854:100 8.92203e-06 +51 *2680:27 *5909:38 0.00270957 +52 *2680:44 *2724:24 7.92757e-06 +53 *2680:52 *22246:B2 0.000148114 +54 *2680:57 *21730:A1 3.99086e-06 +55 *2680:57 *21800:A1 2.27175e-05 +56 *2680:57 *22624:B1 8.00888e-05 +57 *2680:57 *2930:64 7.09666e-06 +58 *2680:57 *3052:101 0.000283583 +59 *2680:57 *3117:204 5.36085e-05 +60 *2680:57 *3208:118 3.09861e-06 +61 *2680:57 *3517:151 0.000161811 +62 *2680:57 *3539:48 0.000153193 +63 *2680:57 *4853:18 5.18596e-05 +64 *19885:B1 *2680:52 0.000228632 +65 *21777:A2 *2680:27 0.000506038 +66 *22246:A1 *2680:52 6.08467e-05 +67 *22664:A1 *2680:57 3.76286e-05 +68 *22793:A1 *22479:A1 0.000303478 +69 *22808:A1 *2680:27 2.42094e-05 +70 *1438:132 *2680:13 0.000210067 +71 *1537:101 *2680:52 0.000186695 +72 *1537:113 *2680:44 0.00116906 +73 *1537:208 *2680:52 3.84752e-05 +74 *1597:16 *2680:13 0.000412282 +75 *1598:58 *2680:52 0.000110949 +76 *1598:58 *2680:57 1.57428e-05 +77 *1716:45 *2680:27 0.000434076 +78 *1772:22 *2680:27 0.000143605 +79 *1773:41 *2680:27 3.17545e-05 +80 *1798:84 *2680:52 3.82228e-05 +81 *1947:137 *2680:18 0.00019364 +82 *1947:149 *2680:27 2.2643e-05 +83 *2093:79 *2680:44 0.000726835 +84 *2503:99 *2680:57 5.88009e-05 +85 *2523:44 *2680:13 0.000114507 +86 *2523:44 *2680:18 0.00179745 +87 *2543:88 *2680:52 0.00086099 +88 *2624:45 *2680:27 2.70591e-05 +89 *2631:70 *21641:A1 9.80784e-05 +90 *2655:38 *22479:A1 4.93938e-05 +91 *2655:64 *2680:44 0.000759283 +92 *2660:80 *2680:18 2.57465e-06 +93 *2675:99 *2680:57 0.00224973 +*RES +1 *21639:Y *2680:13 34.6145 +2 *2680:13 *2680:18 47.7906 +3 *2680:18 *2680:27 11.3602 +4 *2680:27 *22479:A1 24.1108 +5 *2680:27 *2680:43 4.5 +6 *2680:43 *2680:44 45.6463 +7 *2680:44 *2680:52 25.4337 +8 *2680:52 *2680:57 48.3523 +9 *2680:57 *21641:A1 47.3652 +*END + +*D_NET *2681 0.00111332 +*CONN +*I *21642:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21641:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21642:C1 0.000173092 +2 *21641:X 0.000173092 +3 *21642:C1 *21641:B1 0.000537218 +4 *21642:C1 *21746:C 7.29748e-05 +5 *21642:C1 *4804:96 0 +6 *21641:A1 *21642:C1 6.36477e-05 +7 *21641:B2 *21642:C1 1.88014e-05 +8 *21642:B1 *21642:C1 7.44974e-05 +*RES +1 *21641:X *21642:C1 26.4074 +*END + +*D_NET *2682 0.00722234 +*CONN +*I *21643:D I *D sky130_fd_sc_hd__and4_1 +*I *21642:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21643:D 3.79427e-05 +2 *21642:X 0.000889567 +3 *2682:28 0.000116659 +4 *2682:27 0.000999614 +5 *2682:19 0.00181047 +6 *21643:D *21746:B 0.000109885 +7 *21643:D *22663:B2 1.66531e-05 +8 *2682:19 *21641:B1 0.00025456 +9 *2682:19 *2777:60 0.000294093 +10 *2682:19 *2887:41 0.000143559 +11 *2682:19 *3041:71 4.49767e-05 +12 *2682:19 *3124:174 0.000438346 +13 *2682:19 *3151:176 0.000443958 +14 *2682:19 *3243:22 2.15954e-05 +15 *2682:19 *3568:8 0.000155166 +16 *2682:19 *4804:96 0.000247443 +17 *2682:27 *22624:B1 6.51637e-05 +18 *2682:27 *22663:B2 1.66741e-05 +19 *2682:27 *24914:A 0.000412096 +20 *2682:27 *3151:187 0.000203604 +21 *2682:27 *3539:48 6.22259e-05 +22 *21641:B2 *2682:19 2.77625e-06 +23 *21643:C *21643:D 0.000158451 +24 *2542:35 *2682:27 0.000107496 +25 *2542:39 *2682:27 5.88657e-05 +26 *2542:40 *2682:28 0 +27 *2631:70 *2682:19 0.000110505 +*RES +1 *21642:X *2682:19 48.6483 +2 *2682:19 *2682:27 33.1452 +3 *2682:27 *2682:28 104.301 +4 *2682:28 *21643:D 20.3309 +*END + +*D_NET *2683 0.00145658 +*CONN +*I *21746:B I *D sky130_fd_sc_hd__nand4_4 +*I *21643:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21746:B 0.000350962 +2 *21643:X 0.000350962 +3 *21746:B *22663:B2 9.31952e-05 +4 *21746:B *24914:A 0.000110297 +5 *21746:B *3537:58 0.000203595 +6 *21746:B *3539:60 7.09666e-06 +7 *21746:B *4817:161 5.75139e-05 +8 *21604:A1 *21746:B 8.59785e-05 +9 *21643:C *21746:B 9.80242e-07 +10 *21643:D *21746:B 0.000109885 +11 *21746:A *21746:B 8.61131e-05 +12 *2564:68 *21746:B 0 +*RES +1 *21643:X *21746:B 37.5529 +*END + +*D_NET *2684 0.0333191 +*CONN +*I *21647:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22483:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22795:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21645:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21647:A1 0.000188407 +2 *22483:B1 0.000115232 +3 *22795:A1 0.000227908 +4 *21645:Y 0.00017047 +5 *2684:26 0.000552117 +6 *2684:24 0.00522651 +7 *2684:8 0.00608792 +8 *2684:7 0.00142926 +9 *21647:A1 *21647:B1 0.000391697 +10 *21647:A1 *2686:27 3.0029e-05 +11 *21647:A1 *5456:29 9.95922e-06 +12 *21647:A1 *5456:31 0.000675434 +13 *22483:B1 *22483:B2 0.000427438 +14 *22483:B1 *4849:23 1.65104e-05 +15 *22483:B1 *4849:33 0.00043404 +16 *22795:A1 *22487:B 0.000241076 +17 *22795:A1 *2685:47 4.02175e-05 +18 *22795:A1 *3153:266 2.77419e-05 +19 *22795:A1 *3162:102 0.000182837 +20 *22795:A1 *3190:55 2.43314e-05 +21 *22795:A1 *3537:67 0.000298399 +22 *2684:7 *5856:65 0.000158357 +23 *2684:8 *21017:A1 7.50722e-05 +24 *2684:8 *22045:A 0.00024316 +25 *2684:8 *24317:SET_B 0.00042505 +26 *2684:8 *3089:36 0.000453195 +27 *2684:8 *4137:69 8.98062e-06 +28 *2684:8 *4137:73 4.02265e-05 +29 *2684:8 *4940:6 1.33259e-05 +30 *2684:8 *5866:21 8.23435e-05 +31 *2684:8 *5868:148 0.000139435 +32 *2684:8 *5868:169 0.000244564 +33 *2684:8 *5868:175 0.000381637 +34 *2684:8 *5868:183 0.000367268 +35 *2684:24 *19813:A 0.000335175 +36 *2684:24 *2783:24 0.000119815 +37 *2684:24 *2860:20 0.00113775 +38 *2684:24 *3153:266 6.74182e-05 +39 *2684:24 *3162:102 6.17046e-05 +40 *2684:24 *3168:78 0.000118294 +41 *2684:24 *3513:143 0.000523282 +42 *2684:24 *3527:91 0.000415111 +43 *2684:24 *3527:121 0.000811698 +44 *2684:24 *3527:156 0.00137036 +45 *2684:24 *3529:80 0.000158469 +46 *2684:24 *3531:128 0.00104056 +47 *2684:24 *3541:148 0.000120322 +48 *2684:24 *3541:150 0.000210937 +49 *2684:24 *3671:20 0.000725396 +50 *2684:24 *4940:6 0.000227201 +51 *2684:24 *5815:64 3.91944e-05 +52 *2684:24 *5856:124 8.81102e-05 +53 *2684:26 *3153:266 0.000343069 +54 *2684:26 *3162:102 0.000340896 +55 *20885:A *2684:24 0.00080426 +56 *21647:B2 *21647:A1 0.000113333 +57 *1419:260 *2684:24 0.000178554 +58 *1438:168 *2684:8 0.000545518 +59 *1454:74 *22483:B1 1.88152e-05 +60 *1550:64 *2684:8 0.000148379 +61 *1575:119 *21647:A1 1.58551e-05 +62 *1689:101 *2684:24 6.11074e-05 +63 *1729:58 *2684:24 0.000515598 +64 *1801:75 *2684:24 0 +65 *1809:80 *2684:24 0.000489871 +66 *2138:59 *2684:24 5.66868e-06 +67 *2173:9 *2684:8 0.00186744 +68 *2173:9 *2684:24 9.73508e-05 +69 *2288:14 *2684:24 0.000422634 +70 *2531:21 *2684:7 6.85963e-05 +71 *2537:33 *2684:24 2.04806e-05 +72 *2624:21 *2684:8 0.000123735 +73 *2655:25 *2684:24 0 +74 *2662:37 *2684:24 0.000136973 +*RES +1 *21645:Y *2684:7 18.3548 +2 *2684:7 *2684:8 54.7766 +3 *2684:8 *2684:24 44.5564 +4 *2684:24 *2684:26 7.23027 +5 *2684:26 *22795:A1 21.1538 +6 *2684:26 *22483:B1 19.3615 +7 *2684:24 *21647:A1 22.2611 +*END + +*D_NET *2685 0.0516616 +*CONN +*I *21647:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22488:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22780:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21646:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21647:B1 0.000114642 +2 *22488:B1 1.91142e-05 +3 *22780:B1 0.000333786 +4 *21646:Y 2.59387e-05 +5 *2685:47 0.00100628 +6 *2685:42 0.00338483 +7 *2685:25 0.006146 +8 *2685:8 0.00625972 +9 *2685:7 0.00275647 +10 *21647:B1 *2686:27 0.000107496 +11 *22488:B1 *22488:B2 8.78172e-06 +12 *22780:B1 *22488:B2 3.01087e-05 +13 *22780:B1 *22491:A 0.000244534 +14 *22780:B1 *2733:21 0.000343543 +15 *2685:7 *21936:A 6.50586e-05 +16 *2685:8 *20481:A1 6.14756e-06 +17 *2685:8 *24587:RESET_B 0.000122098 +18 *2685:8 *2818:12 0 +19 *2685:8 *2918:6 0.00567324 +20 *2685:8 *2950:9 0.000656637 +21 *2685:8 *3033:16 0.000127194 +22 *2685:8 *4739:18 0 +23 *2685:8 *4739:22 6.88243e-05 +24 *2685:8 *4839:64 2.65549e-05 +25 *2685:8 *4839:69 6.46075e-05 +26 *2685:8 *4842:24 0.000154631 +27 *2685:8 *4842:28 0.000234277 +28 *2685:8 *5246:8 5.08234e-05 +29 *2685:8 *5458:107 0.00222977 +30 *2685:8 *5855:10 3.42731e-05 +31 *2685:8 *5855:12 0.000394828 +32 *2685:8 *5855:14 0.000756886 +33 *2685:8 *5855:25 0.000349709 +34 *2685:8 *5861:25 6.28743e-05 +35 *2685:25 *20496:A1 4.23937e-05 +36 *2685:25 *22472:B1 0.00383406 +37 *2685:25 *2764:48 0.00105203 +38 *2685:25 *2779:41 0.000430715 +39 *2685:25 *2954:27 0.000152212 +40 *2685:25 *3040:18 7.17882e-05 +41 *2685:25 *4126:68 9.19632e-06 +42 *2685:25 *4739:30 0.000439177 +43 *2685:25 *4840:186 0.000111722 +44 *2685:25 *5855:25 8.19494e-05 +45 *2685:42 *21808:A 0.000204372 +46 *2685:42 *22455:C1 0.000120804 +47 *2685:42 *22472:B1 0.000213305 +48 *2685:42 *22770:A2 3.17436e-05 +49 *2685:42 *22794:A2 0.000710504 +50 *2685:42 *2862:17 4.12977e-05 +51 *2685:42 *3153:266 0.000262418 +52 *2685:42 *3166:97 3.77568e-05 +53 *2685:42 *3511:68 0.000206344 +54 *2685:42 *3513:143 0.00121049 +55 *2685:42 *3521:64 0.000268132 +56 *2685:42 *3541:148 0.000831224 +57 *2685:42 *3541:150 0.000697989 +58 *2685:47 *3153:266 0.000341685 +59 *2685:47 *3190:55 0.000185521 +60 *2685:47 *3521:64 0.000646094 +61 *20472:B *2685:8 0.000112148 +62 *20473:A *2685:8 2.32311e-05 +63 *20491:B *2685:25 1.5714e-05 +64 *20554:A2 *2685:8 5.23577e-05 +65 *21647:A1 *21647:B1 0.000391697 +66 *21695:B2 *22780:B1 0.000340742 +67 *21838:A2 *2685:42 0.000527338 +68 *21920:B2 *2685:42 0.000120815 +69 *22488:A2 *22488:B1 3.67552e-06 +70 *22795:A1 *2685:47 4.02175e-05 +71 *24578:D *2685:8 0.000279225 +72 *1419:260 *2685:42 0.000127476 +73 *1435:197 *2685:47 0.00016486 +74 *1461:275 *22780:B1 0.000237511 +75 *1537:117 *2685:47 0.000345048 +76 *1546:14 *2685:42 0.00286706 +77 *1569:23 *2685:25 0.000426174 +78 *1569:34 *2685:25 1.42292e-05 +79 *1575:119 *21647:B1 9.95234e-05 +80 *1724:18 *2685:25 8.65002e-05 +81 *1724:48 *2685:25 0.000140978 +82 *1777:15 *22780:B1 1.83795e-07 +83 *1777:15 *2685:47 5.34499e-05 +84 *1884:201 *2685:8 0.00013193 +85 *1995:30 *2685:8 5.66868e-06 +86 *1995:38 *2685:8 0.000314693 +87 *2288:14 *2685:42 1.53352e-05 +88 *2605:13 *2685:42 0.00062923 +*RES +1 *21646:Y *2685:7 14.4725 +2 *2685:7 *2685:8 133.052 +3 *2685:8 *2685:25 46.921 +4 *2685:25 *2685:42 37.0132 +5 *2685:42 *2685:47 25.126 +6 *2685:47 *22780:B1 29.0992 +7 *2685:47 *22488:B1 9.81581 +8 *2685:42 *21647:B1 18.3548 +*END + +*D_NET *2686 0.0194894 +*CONN +*I *21659:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21647:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21659:B1 0 +2 *21647:X 0.0010626 +3 *2686:40 0.000903724 +4 *2686:29 0.0040848 +5 *2686:27 0.00424368 +6 *2686:27 *21858:A 0.000148273 +7 *2686:27 *21858:D 3.73237e-05 +8 *2686:27 *2793:17 0.000110306 +9 *2686:27 *2867:11 0.000493608 +10 *2686:27 *5456:11 1.41976e-05 +11 *2686:27 *5456:29 0.00130348 +12 *2686:27 *5456:31 5.51483e-06 +13 *2686:29 *3527:54 0.000968712 +14 *2686:29 *3529:62 0.000578294 +15 *2686:29 *4848:7 0.000261356 +16 *2686:29 *4848:27 0.00106622 +17 *2686:29 *5456:11 4.11889e-05 +18 *2686:40 *2687:68 0.000135958 +19 *2686:40 *3126:34 0.000782776 +20 *2686:40 *3139:176 1.54703e-05 +21 *2686:40 *3179:190 0.000589072 +22 *2686:40 *3204:165 6.38982e-06 +23 *2686:40 *4817:145 0.000975794 +24 *2686:40 *5456:10 0.000375213 +25 *19808:A *2686:27 5.87089e-05 +26 *21359:A2 *2686:29 0.000112149 +27 *21424:C *2686:40 6.08467e-05 +28 *21443:C *2686:40 1.55512e-05 +29 *21647:A1 *2686:27 3.0029e-05 +30 *21647:B1 *2686:27 0.000107496 +31 *21647:B2 *2686:27 0.000109513 +32 *1566:11 *2686:27 1.54577e-05 +33 *1575:119 *2686:27 0.000204006 +34 *1608:43 *2686:40 0.000208178 +35 *1616:71 *2686:40 3.77568e-05 +36 *1649:143 *2686:40 3.33173e-06 +37 *1800:76 *2686:29 0.000152828 +38 *2258:64 *2686:40 3.7816e-05 +39 *2361:54 *2686:40 0.000107496 +40 *2477:34 *2686:40 2.43314e-05 +*RES +1 *21647:X *2686:27 42.7062 +2 *2686:27 *2686:29 49.8058 +3 *2686:29 *2686:40 47.8935 +4 *2686:40 *21659:B1 9.24915 +*END + +*D_NET *2687 0.0835814 +*CONN +*I *21653:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21648:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21653:A1 0.000155828 +2 *21648:Y 2.38821e-05 +3 *2687:68 0.0058185 +4 *2687:42 0.00683569 +5 *2687:30 0.00286834 +6 *2687:29 0.00194177 +7 *2687:24 0.00101271 +8 *2687:23 0.00257473 +9 *2687:20 0.00273753 +10 *2687:11 0.00476312 +11 *2687:10 0.00570512 +12 *2687:7 0.00189494 +13 *21653:A1 *21659:C1 2.57986e-05 +14 *2687:7 *5715:18 0.000220183 +15 *2687:7 *5716:11 0.000220183 +16 *2687:10 *20294:B1 0 +17 *2687:10 *23903:A 0 +18 *2687:10 *24120:A 0 +19 *2687:10 *24510:RESET_B 0 +20 *2687:10 *24714:CLK 0 +21 *2687:10 *25230:A 0 +22 *2687:10 *4831:71 0.000393329 +23 *2687:10 *4896:62 0 +24 *2687:10 *5509:13 2.36813e-05 +25 *2687:10 *5511:8 0 +26 *2687:10 *5866:717 0 +27 *2687:10 *5866:744 0 +28 *2687:11 *4842:200 0.000217801 +29 *2687:11 *4842:216 0.000661563 +30 *2687:11 *4872:222 0.000468258 +31 *2687:11 *4896:20 0.000141245 +32 *2687:20 *4838:348 2.02035e-05 +33 *2687:20 *4839:382 0.000221185 +34 *2687:20 *4844:796 0.00220109 +35 *2687:23 *25218:A 1.03403e-05 +36 *2687:23 *2813:25 0.000164829 +37 *2687:23 *4815:19 5.2374e-05 +38 *2687:24 *4821:151 0.000750268 +39 *2687:24 *4821:155 0.00115039 +40 *2687:30 *20696:A1 5.49916e-05 +41 *2687:30 *2729:54 4.03685e-05 +42 *2687:30 *2938:26 0.00132807 +43 *2687:30 *3034:6 0.000257342 +44 *2687:30 *3034:25 1.23804e-05 +45 *2687:30 *4818:110 0.000110463 +46 *2687:30 *4823:63 0.000354901 +47 *2687:30 *4828:50 0.00437659 +48 *2687:30 *4833:155 0.000166959 +49 *2687:30 *4833:172 0.000869199 +50 *2687:30 *5040:13 5.49916e-05 +51 *2687:42 *19562:S 0.000526419 +52 *2687:42 *25213:A 0.00013832 +53 *2687:42 *2692:31 0.000800637 +54 *2687:42 *3212:19 0.000253434 +55 *2687:42 *4828:61 0.000328272 +56 *2687:42 *4828:62 9.3665e-05 +57 *2687:42 *4833:155 0.000542402 +58 *2687:42 *5592:112 0.000635208 +59 *2687:68 *21659:C1 5.85278e-05 +60 *2687:68 *21998:A1 1.03851e-05 +61 *2687:68 *2692:53 8.12259e-06 +62 *2687:68 *2791:46 6.49023e-05 +63 *2687:68 *2882:74 0.000711878 +64 *2687:68 *3547:17 0.000134132 +65 *2687:68 *3547:26 0.000955295 +66 *2687:68 *3621:10 0.000653548 +67 *2687:68 *4817:85 3.68962e-05 +68 *2687:68 *4823:85 6.56128e-05 +69 *2687:68 *4826:117 5.25535e-05 +70 *2687:68 *4828:80 0.00155365 +71 *2687:68 *4836:21 0.000962637 +72 *2687:68 *5592:102 6.26248e-06 +73 *2687:68 *5592:112 0.000605304 +74 *2687:68 *5874:17 7.51156e-05 +75 *2687:68 *5874:36 4.63624e-05 +76 *2687:68 *5902:32 0.000931888 +77 *2687:68 *5924:37 0 +78 mgmt_gpio_oeb[12] *2687:30 9.99984e-05 +79 mgmt_gpio_oeb[13] *2687:30 0.000117754 +80 mgmt_gpio_oeb[14] *2687:30 2.18821e-05 +81 mgmt_gpio_out[10] *2687:68 2.0048e-05 +82 mgmt_gpio_out[12] *2687:30 0.00013298 +83 mgmt_gpio_out[26] *2687:10 0 +84 mgmt_gpio_out[9] *2687:68 0 +85 *20281:B2 *2687:11 4.65531e-05 +86 *24712:D *2687:10 2.75427e-05 +87 *24719:D *2687:20 0.000122083 +88 *24721:D *2687:20 8.62625e-06 +89 *121:14 *2687:29 6.08467e-05 +90 *121:14 *2687:30 4.84132e-05 +91 *134:8 *2687:10 0 +92 *144:8 *2687:20 0.00082743 +93 *490:83 *2687:30 4.25507e-05 +94 *490:105 *2687:68 0.00611414 +95 *518:44 *2687:68 0.00167657 +96 *1558:24 *2687:68 0.000190868 +97 *1564:84 *2687:68 0.00012998 +98 *1741:44 *2687:42 0.00210531 +99 *1910:59 *2687:10 0 +100 *1911:51 *2687:10 0.00017419 +101 *2257:69 *2687:42 0.00015856 +102 *2257:69 *2687:68 0.000292254 +103 *2258:64 *2687:68 1.38967e-05 +104 *2266:36 *2687:30 1.27142e-05 +105 *2320:56 *2687:68 0.000126172 +106 *2361:29 *21653:A1 2.61955e-05 +107 *2367:49 *2687:42 0.000260048 +108 *2372:41 *2687:29 6.58286e-06 +109 *2372:41 *2687:30 9.47963e-05 +110 *2384:32 *2687:42 4.91867e-06 +111 *2391:27 *2687:68 5.60804e-05 +112 *2391:77 *2687:42 0.000647966 +113 *2467:49 *2687:30 4.76476e-05 +114 *2467:104 *2687:68 0.00398381 +115 *2477:34 *2687:68 5.53774e-05 +116 *2487:13 *2687:10 6.26541e-05 +117 *2503:12 *2687:24 0.00251258 +118 *2570:46 *2687:30 0 +119 *2584:62 *2687:68 9.5352e-05 +120 *2679:12 *2687:24 0.000215454 +121 *2679:41 *2687:68 0.00165208 +122 *2686:40 *2687:68 0.000135958 +*RES +1 *21648:Y *2687:7 16.1364 +2 *2687:7 *2687:10 49.9335 +3 *2687:10 *2687:11 59.5114 +4 *2687:11 *2687:20 49.939 +5 *2687:20 *2687:23 29.626 +6 *2687:23 *2687:24 48.7555 +7 *2687:24 *2687:29 12.4964 +8 *2687:29 *2687:30 86.7511 +9 *2687:30 *2687:42 47.3213 +10 *2687:42 *2687:68 47.3378 +11 *2687:68 *21653:A1 12.7697 +*END + +*D_NET *2688 0.00990846 +*CONN +*I *21652:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22474:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22782:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21650:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21652:A1 0.000656131 +2 *22474:A1 0.000563262 +3 *22782:A1 0.000128931 +4 *21650:Y 3.84974e-05 +5 *2688:11 0.00168473 +6 *2688:6 0.00168716 +7 *21652:A1 *4856:30 2.99978e-05 +8 *21652:A1 *4865:24 0.000351953 +9 *21652:A1 *4876:7 0.00192824 +10 *22474:A1 *22474:B2 6.36477e-05 +11 *22474:A1 *22764:B2 0.000115495 +12 *22474:A1 *2895:33 6.36477e-05 +13 *22474:A1 *2935:13 0 +14 *22782:A1 *22764:B2 1.4249e-05 +15 *22782:A1 *22782:A2 5.66418e-05 +16 *22782:A1 *2935:13 0 +17 *19929:B1 *2688:11 1.36118e-05 +18 *21371:B2 *2688:11 6.10823e-05 +19 *21652:A2 *21652:A1 7.39264e-05 +20 *21652:B2 *21652:A1 4.12396e-05 +21 *21665:B2 *2688:11 0.000524791 +22 *1545:17 *22474:A1 1.49935e-05 +23 *1730:48 *21652:A1 0.000881879 +24 *1790:19 *2688:11 0.000183964 +25 *2428:116 *2688:11 0.000314216 +26 *2581:42 *21652:A1 9.08202e-05 +27 *2581:42 *2688:6 2.36582e-05 +28 *2609:24 *21652:A1 0.00024059 +29 *2609:24 *2688:6 6.1096e-05 +*RES +1 *21650:Y *2688:6 14.9583 +2 *2688:6 *2688:11 9.38812 +3 *2688:11 *22782:A1 17.1078 +4 *2688:11 *22474:A1 25.6477 +5 *2688:6 *21652:A1 43.291 +*END + +*D_NET *2689 0.0336516 +*CONN +*I *21652:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21651:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21652:B1 0 +2 *21651:Y 0.000115042 +3 *2689:46 0.00122876 +4 *2689:35 0.00250292 +5 *2689:25 0.00368889 +6 *2689:22 0.00350947 +7 *2689:18 0.00198371 +8 *2689:14 0.00215565 +9 *2689:7 0.00138173 +10 *2689:7 *5852:5 6.73186e-05 +11 *2689:14 *21866:A 6.80864e-05 +12 *2689:14 *23936:S 1.18802e-05 +13 *2689:14 *3017:8 0.000125394 +14 *2689:14 *4866:65 1.67897e-05 +15 *2689:14 *4877:152 0 +16 *2689:14 *4877:159 0 +17 *2689:14 *4912:12 0.000971556 +18 *2689:14 *5959:68 5.75903e-05 +19 *2689:18 *24395:RESET_B 0.000401371 +20 *2689:18 *3017:8 0.000352508 +21 *2689:18 *4866:65 0.000347337 +22 *2689:18 *5857:213 0.000131689 +23 *2689:18 *5898:16 7.86825e-06 +24 *2689:22 *20315:A1 7.50722e-05 +25 *2689:22 *24097:A 0.000310094 +26 *2689:22 *3017:15 0.00172291 +27 *2689:22 *5857:209 0 +28 *2689:22 *5857:213 0 +29 *2689:22 *5864:352 0.000799988 +30 *2689:25 *24034:A1 0.000304871 +31 *2689:25 *5683:19 0.000149007 +32 *2689:25 *5683:27 0.000129844 +33 *2689:25 *5794:45 7.80884e-05 +34 *2689:35 *2978:97 0.000396535 +35 *2689:35 *5794:45 0.00179869 +36 *2689:35 *5949:43 2.57465e-06 +37 *2689:46 *22546:B 0.000107496 +38 *2689:46 *22546:D 3.53803e-05 +39 *2689:46 *2690:11 5.22654e-06 +40 *2689:46 *2978:97 0.000161234 +41 *2689:46 *2978:99 0.000310356 +42 *2689:46 *3472:21 0.000489962 +43 *2689:46 *4856:30 5.77352e-05 +44 *2689:46 *5956:57 0.000360145 +45 *19929:B1 *2689:46 0 +46 *20255:A2 *2689:25 2.65831e-05 +47 *20255:B2 *2689:25 2.16355e-05 +48 *20772:A2_N *2689:18 8.98169e-05 +49 *20772:B2 *2689:14 1.9101e-05 +50 *20772:B2 *2689:18 1.94327e-05 +51 *20773:A1 *2689:14 4.15408e-05 +52 *20773:A1 *2689:18 8.19494e-05 +53 *20776:A2 *2689:14 1.1246e-05 +54 *20776:B2 *2689:14 1.13963e-05 +55 *20776:C1 *2689:14 0.000842164 +56 *21652:B2 *2689:46 0.000819301 +57 *24394:D *2689:14 0.000114222 +58 *24396:D *2689:18 0.000503203 +59 *508:28 *2689:18 0.000128631 +60 *508:28 *2689:22 0.000119162 +61 *1573:84 *2689:22 0.000196638 +62 *1636:68 *2689:35 0.00140678 +63 *1901:40 *2689:25 0.000189985 +64 *1918:8 *2689:22 0.000403853 +65 *1918:15 *2689:22 9.22013e-06 +66 *1918:48 *2689:22 0.000214217 +67 *2093:10 *2689:46 4.55581e-05 +68 *2109:8 *2689:35 0.000133113 +69 *2123:47 *2689:46 0.000223738 +70 *2267:39 *2689:14 0.000470368 +71 *2392:24 *2689:46 0.000333219 +72 *2576:57 *2689:46 0.000754773 +*RES +1 *21651:Y *2689:7 15.5817 +2 *2689:7 *2689:14 48.5234 +3 *2689:14 *2689:18 33.1713 +4 *2689:18 *2689:22 49.848 +5 *2689:22 *2689:25 35.8202 +6 *2689:25 *2689:35 44.4685 +7 *2689:35 *2689:46 48.8815 +8 *2689:46 *21652:B1 9.24915 +*END + +*D_NET *2690 0.0215121 +*CONN +*I *21653:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21652:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21653:C1 0 +2 *21652:X 0.000472869 +3 *2690:40 0.0018789 +4 *2690:39 0.00230647 +5 *2690:34 0.0016922 +6 *2690:23 0.00259547 +7 *2690:11 0.00180371 +8 *2690:11 *22180:A 5.69234e-05 +9 *2690:11 *3144:5 0.00050092 +10 *2690:11 *4856:30 2.7215e-05 +11 *2690:23 *22167:A 0.000240732 +12 *2690:23 *3108:79 0.000626318 +13 *2690:23 *3108:96 3.92776e-05 +14 *2690:23 *3109:17 8.43032e-06 +15 *2690:23 *3136:24 7.26284e-05 +16 *2690:23 *3136:149 1.2977e-05 +17 *2690:23 *3146:7 0.000164829 +18 *2690:23 *3160:20 0.000130928 +19 *2690:23 *3160:22 0.00143584 +20 *2690:23 *4807:54 0.0004052 +21 *2690:34 *22558:A 3.02981e-05 +22 *2690:34 *22560:A 0.000109354 +23 *2690:34 *22582:A 6.08467e-05 +24 *2690:34 *22653:A 3.20069e-06 +25 *2690:34 *3136:149 1.00532e-05 +26 *2690:34 *3151:141 4.91694e-05 +27 *2690:34 *3469:24 3.9916e-05 +28 *2690:34 *3469:33 1.13304e-05 +29 *2690:34 *3469:46 9.39932e-05 +30 *2690:34 *3476:7 3.31745e-05 +31 *2690:34 *3479:62 5.04773e-05 +32 *2690:34 *3479:66 0.000155247 +33 *2690:34 *3491:17 0.000158357 +34 *2690:34 *3507:19 0.000147436 +35 *2690:34 *4807:54 8.99906e-05 +36 *2690:34 *4808:27 0.000132548 +37 *2690:39 *22581:D 1.47978e-05 +38 *2690:39 *22653:A 2.57986e-05 +39 *2690:39 *3519:24 0.000355348 +40 *2690:39 *4808:27 1.75155e-06 +41 *2690:40 *22299:A1 7.86728e-05 +42 *2690:40 *22301:A2 6.69861e-06 +43 *2690:40 *22301:C1 0.000121386 +44 *2690:40 *22636:B1 0.000113374 +45 *2690:40 *22637:A1 5.33358e-06 +46 *2690:40 *22637:A2 0.000101605 +47 *2690:40 *22640:A1 3.74542e-05 +48 *2690:40 *22641:A1 6.08194e-05 +49 *2690:40 *22649:B1 6.17009e-05 +50 *2690:40 *2772:38 6.74182e-05 +51 *2690:40 *3117:132 1.74558e-05 +52 *2690:40 *3124:146 2.41581e-05 +53 *2690:40 *3124:174 1.09233e-05 +54 *2690:40 *3177:262 1.20894e-05 +55 *2690:40 *3179:136 0 +56 *2690:40 *3204:150 0.000426088 +57 *2690:40 *3474:18 9.72274e-05 +58 *2690:40 *3476:20 0.000126021 +59 *2690:40 *3476:29 0.000287801 +60 *2690:40 *3521:26 0.0001584 +61 *2690:40 *3529:13 1.02819e-05 +62 *2690:40 *3543:16 0.000621155 +63 *2690:40 *3543:33 0.000423268 +64 *2690:40 *4814:77 7.19237e-05 +65 *2690:40 *4815:98 0.000451185 +66 *2690:40 *4824:154 7.40766e-05 +67 *2690:40 *4830:111 2.08648e-05 +68 *19929:B1 *2690:11 3.64678e-05 +69 *22550:A *2690:11 6.08467e-05 +70 *22550:C *2690:11 2.16355e-05 +71 *22579:A *2690:34 0.000195586 +72 *531:16 *2690:34 0.000318134 +73 *531:16 *2690:39 1.20031e-05 +74 *547:33 *2690:23 0.000417138 +75 *2088:113 *2690:34 0.000145384 +76 *2088:122 *2690:34 6.61215e-06 +77 *2266:90 *2690:40 5.79618e-05 +78 *2396:23 *2690:11 0 +79 *2397:85 *2690:11 5.69178e-05 +80 *2411:13 *2690:11 0.000645889 +81 *2689:46 *2690:11 5.22654e-06 +*RES +1 *21652:X *2690:11 37.6424 +2 *2690:11 *2690:23 46.5144 +3 *2690:23 *2690:34 49.2422 +4 *2690:34 *2690:39 11.7581 +5 *2690:39 *2690:40 48.7555 +6 *2690:40 *21653:C1 13.7491 +*END + +*D_NET *2691 0.000459682 +*CONN +*I *21659:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21653:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21659:C1 0.000116258 +2 *21653:X 0.000116258 +3 *21653:A1 *21659:C1 2.57986e-05 +4 *2258:64 *21659:C1 0.00014284 +5 *2687:68 *21659:C1 5.85278e-05 +*RES +1 *21653:X *21659:C1 30.8842 +*END + +*D_NET *2692 0.0703719 +*CONN +*I *21658:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21655:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21658:B1 0.00397912 +2 *21655:Y 0.000828713 +3 *2692:53 0.00761303 +4 *2692:31 0.00522819 +5 *2692:12 0.00493066 +6 *2692:10 0.00416509 +7 *21658:B1 *20938:B1 5.6846e-06 +8 *21658:B1 *24310:CLK 0.000349115 +9 *21658:B1 *2882:74 8.3694e-05 +10 *21658:B1 *3076:23 0.00029458 +11 *21658:B1 *3124:242 5.60804e-05 +12 *21658:B1 *3198:154 5.69065e-05 +13 *21658:B1 *3543:75 0.000842983 +14 *21658:B1 *3636:11 3.19182e-05 +15 *21658:B1 *4816:124 3.47489e-06 +16 *21658:B1 *4836:21 0.000434751 +17 *21658:B1 *4906:103 0.000270036 +18 *21658:B1 *5592:70 0.000915597 +19 *2692:10 *21638:A 0.000551511 +20 *2692:10 *5728:13 0.00109496 +21 *2692:10 *5906:14 0.000281301 +22 *2692:10 *5907:10 0.000359061 +23 *2692:12 *23873:A 0.000113107 +24 *2692:12 *24110:TE_B 0.000535829 +25 *2692:12 *4814:64 4.15201e-05 +26 *2692:12 *4817:41 0.000365208 +27 *2692:12 *5495:11 0.000118485 +28 *2692:12 *5556:10 0.00053177 +29 *2692:12 *5592:112 6.20492e-05 +30 *2692:12 *5898:220 0.000444276 +31 *2692:12 *5898:224 0.00314928 +32 *2692:12 *5898:228 0.000320234 +33 *2692:12 *5906:14 0.000723544 +34 *2692:31 *2989:47 2.09259e-05 +35 *2692:31 *4814:64 0.000117561 +36 *2692:31 *4817:64 0 +37 *2692:31 *4818:110 0.000113478 +38 *2692:31 *4823:69 1.61631e-05 +39 *2692:31 *4828:61 0.00024935 +40 *2692:31 *4828:62 0.00113429 +41 *2692:31 *5493:51 0.00123419 +42 *2692:31 *5592:112 0.000113114 +43 *2692:53 *20938:B1 4.08377e-05 +44 *2692:53 *2791:46 4.17052e-05 +45 *2692:53 *2882:74 2.21979e-05 +46 *2692:53 *2938:30 1.26179e-05 +47 *2692:53 *2938:34 0.0014755 +48 *2692:53 *2989:55 0.000160381 +49 *2692:53 *4821:143 2.97988e-05 +50 *2692:53 *4821:145 0.000263578 +51 *2692:53 *4823:85 0.000177392 +52 *2692:53 *4828:80 7.40436e-06 +53 *2692:53 *4833:130 1.4452e-05 +54 *2692:53 *4873:32 1.67867e-05 +55 *2692:53 *5874:17 0.000364735 +56 *2692:53 *5902:18 0.00105859 +57 *2692:53 *5902:32 0.000364884 +58 mgmt_gpio_oeb[10] *2692:53 3.87699e-06 +59 mgmt_gpio_out[36] *2692:10 1.09738e-05 +60 mgmt_gpio_out[9] *2692:53 0 +61 *19801:A2 *21658:B1 0.000541352 +62 *21412:C1 *2692:53 0.000217407 +63 *21443:B *21658:B1 0.000898866 +64 *21653:B2 *21658:B1 9.28716e-05 +65 *22397:A1 *21658:B1 3.03217e-05 +66 *22401:B1 *21658:B1 0.000150066 +67 *25136:A *2692:53 9.59618e-06 +68 *490:83 *2692:31 0.000413144 +69 *490:105 *2692:53 0.000108986 +70 *1557:126 *21658:B1 0.000504597 +71 *1608:98 *21658:B1 5.53835e-05 +72 *1631:57 *21658:B1 5.02283e-05 +73 *1688:75 *2692:53 8.15948e-07 +74 *1741:44 *2692:31 0 +75 *2093:136 *21658:B1 0.000154205 +76 *2244:73 *21658:B1 0.000252934 +77 *2257:69 *2692:31 0.000186986 +78 *2291:61 *2692:53 5.57395e-05 +79 *2293:44 *21658:B1 0.00064356 +80 *2306:75 *21658:B1 0.00013899 +81 *2357:50 *2692:12 0.000223251 +82 *2360:43 *2692:31 0.000381361 +83 *2384:32 *2692:31 7.21725e-05 +84 *2417:82 *21658:B1 4.35056e-05 +85 *2433:106 *2692:53 2.02035e-05 +86 *2446:145 *2692:53 0.00315619 +87 *2451:16 *2692:12 0.00150651 +88 *2456:22 *21658:B1 0.000920925 +89 *2467:26 *2692:10 9.20082e-05 +90 *2467:26 *2692:12 1.41761e-05 +91 *2467:28 *2692:12 0.00800494 +92 *2467:34 *2692:12 0.000363889 +93 *2467:49 *2692:12 0.00210638 +94 *2467:49 *2692:31 7.65604e-05 +95 *2472:27 *21658:B1 6.76898e-05 +96 *2474:37 *21658:B1 0.00111635 +97 *2503:19 *2692:31 0.000487161 +98 *2570:57 *2692:31 0.000158371 +99 *2584:62 *2692:53 1.07881e-05 +100 *2629:21 *2692:53 0 +101 *2679:37 *2692:53 0.000388168 +102 *2687:42 *2692:31 0.000800637 +103 *2687:68 *2692:53 8.12259e-06 +*RES +1 *21655:Y *2692:10 48.831 +2 *2692:10 *2692:12 190.356 +3 *2692:12 *2692:31 46.141 +4 *2692:31 *2692:53 44.603 +5 *2692:53 *21658:B1 32.2035 +*END + +*D_NET *2693 0.00974894 +*CONN +*I *21657:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *22475:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22783:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21656:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21657:B1 0.000148502 +2 *22475:B1 4.52851e-05 +3 *22783:B1 0.000359911 +4 *21656:Y 4.12203e-05 +5 *2693:25 0.00087405 +6 *2693:19 0.00254367 +7 *2693:5 0.00226454 +8 *21657:B1 *21657:A1_N 2.1203e-06 +9 *21657:B1 *3481:77 0.000214486 +10 *21657:B1 *3502:18 0.000111504 +11 *22475:B1 *22475:B2 9.95922e-06 +12 *22783:B1 *21702:A1 1.82679e-05 +13 *22783:B1 *22475:C1 1.94584e-05 +14 *22783:B1 *22783:B2 1.45853e-05 +15 *22783:B1 *22783:C1 5.5398e-05 +16 *22783:B1 *3018:8 0.000114107 +17 *22783:B1 *3426:13 0.000152164 +18 *22783:B1 *3498:35 2.41274e-06 +19 *2693:5 *3162:11 2.16355e-05 +20 *2693:19 *21881:A1 2.16355e-05 +21 *2693:19 *24233:SET_B 0.000111231 +22 *2693:19 *2909:17 0.000440512 +23 *2693:19 *3124:15 0.000122689 +24 *2693:19 *3162:11 3.82228e-05 +25 *2693:19 *3487:81 3.97732e-05 +26 *2693:19 *3498:24 1.1887e-05 +27 *2693:19 *3502:18 0.000228454 +28 *2693:19 *5373:16 4.18567e-05 +29 *2693:25 *22452:A2 7.04406e-05 +30 *2693:25 *22452:B1 9.09386e-05 +31 *2693:25 *22452:B2 4.2372e-05 +32 *2693:25 *22475:A2 0.000458325 +33 *2693:25 *22475:B2 7.92757e-06 +34 *2693:25 *3426:13 0.000139947 +35 *21881:A2 *2693:19 6.7671e-06 +36 *21881:B2 *2693:19 2.54369e-05 +37 *21881:B2 *2693:25 2.16355e-05 +38 *533:8 *21657:B1 1.30901e-05 +39 *533:8 *2693:19 0.000231879 +40 *1588:41 *22783:B1 1.33714e-05 +41 *1691:80 *2693:19 4.2273e-06 +42 *2273:17 *2693:19 8.32605e-05 +43 *2378:33 *2693:19 0.000352136 +44 *2547:12 *22783:B1 0.000117647 +*RES +1 *21656:Y *2693:5 9.97254 +2 *2693:5 *2693:19 46.8756 +3 *2693:19 *2693:25 12.8282 +4 *2693:25 *22783:B1 27.6218 +5 *2693:25 *22475:B1 10.4126 +6 *2693:5 *21657:B1 22.5727 +*END + +*D_NET *2694 0.0176018 +*CONN +*I *21658:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21657:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *21658:C1 0.00110968 +2 *21657:X 4.73953e-05 +3 *2694:38 0.00323454 +4 *2694:36 0.00334285 +5 *2694:8 0.00128202 +6 *2694:7 0.000111419 +7 *21658:C1 *22651:B1 6.75121e-06 +8 *21658:C1 *2696:11 1.88152e-05 +9 *21658:C1 *2887:23 1.5714e-05 +10 *21658:C1 *2990:62 0.000184095 +11 *21658:C1 *3179:167 7.56369e-05 +12 *21658:C1 *3322:11 0.000299787 +13 *21658:C1 *3481:136 0.000174501 +14 *21658:C1 *3481:140 2.44675e-05 +15 *21658:C1 *3485:197 0.000364261 +16 *21658:C1 *3597:18 9.90479e-05 +17 *21658:C1 *4817:145 2.27901e-06 +18 *2694:7 *3483:11 5.88657e-05 +19 *2694:36 *21064:B1 7.42296e-05 +20 *2694:36 *21657:A1_N 0.00017138 +21 *2694:36 *21690:A1 0.000446583 +22 *2694:36 *22165:A 4.66142e-06 +23 *2694:36 *22185:B 4.94496e-05 +24 *2694:36 *22185:C 4.49912e-05 +25 *2694:36 *22195:A 2.05342e-06 +26 *2694:36 *3142:21 3.29488e-05 +27 *2694:36 *3144:92 0.000143149 +28 *2694:36 *3157:21 4.84181e-05 +29 *2694:36 *3168:7 0.000341722 +30 *2694:36 *3175:27 9.54093e-05 +31 *2694:36 *3481:77 7.51595e-05 +32 *2694:36 *3483:11 7.00687e-05 +33 *2694:36 *3483:87 3.54949e-06 +34 *2694:38 *22639:C1 0.000103246 +35 *2694:38 *22643:B1 2.91309e-05 +36 *2694:38 *22652:B 5.60291e-06 +37 *2694:38 *22652:C 1.53148e-05 +38 *2694:38 *22653:C 5.80995e-05 +39 *2694:38 *3142:21 5.11322e-06 +40 *2694:38 *3179:134 0.00014264 +41 *2694:38 *3481:80 0.000227468 +42 *2694:38 *3481:102 1.49935e-05 +43 *2694:38 *3481:136 1.27368e-05 +44 *2694:38 *3483:87 0.000937793 +45 *2694:38 *3483:124 3.29232e-05 +46 *2694:38 *3496:125 7.72394e-06 +47 *2694:38 *3496:142 1.91348e-05 +48 *2694:38 *3513:10 2.98519e-05 +49 *2694:38 *3519:24 4.69495e-06 +50 *2694:38 *3533:12 9.46283e-05 +51 *2694:38 *3533:30 0.000144974 +52 *2694:38 *3597:18 0.000147238 +53 *2694:38 *3598:11 0.00100373 +54 *2694:38 *4810:66 5.65354e-05 +55 *21212:B1 *2694:38 7.22263e-05 +56 *21372:C1 *21658:C1 0.000200794 +57 *21658:B2 *21658:C1 0.000184696 +58 *22365:B1 *21658:C1 6.41094e-05 +59 *22693:A1 *21658:C1 1.01851e-05 +60 *533:8 *2694:36 2.01927e-05 +61 *541:28 *2694:36 0.000164926 +62 *1636:68 *2694:36 0.000172625 +63 *1648:25 *2694:36 1.64777e-05 +64 *1652:12 *2694:36 3.61767e-05 +65 *1750:45 *2694:38 5.70573e-06 +66 *2093:29 *2694:38 2.57465e-06 +67 *2256:72 *2694:38 0.000300166 +68 *2361:54 *21658:C1 2.93857e-05 +69 *2368:23 *2694:36 0.000253057 +70 *2394:47 *21658:C1 9.84424e-06 +71 *2411:26 *2694:36 0.000253259 +72 *2411:26 *2694:38 0 +73 *2431:23 *2694:36 0 +74 *2570:103 *21658:C1 0.000527066 +75 *2572:37 *21658:C1 0.000128854 +*RES +1 *21657:X *2694:7 19.2217 +2 *2694:7 *2694:8 81.1229 +3 *2694:8 *2694:36 42.5451 +4 *2694:36 *2694:38 54.9843 +5 *2694:38 *21658:C1 44.2392 +*END + +*D_NET *2695 0.000341787 +*CONN +*I *21659:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21658:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21659:D1 6.92663e-05 +2 *21658:X 6.92663e-05 +3 *21659:D1 *2696:11 2.57847e-05 +4 *21372:C1 *21659:D1 0.00017747 +*RES +1 *21658:X *21659:D1 20.6082 +*END + +*D_NET *2696 0.0102518 +*CONN +*I *21746:C I *D sky130_fd_sc_hd__nand4_4 +*I *21659:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *21746:C 0.00239128 +2 *21659:X 0.0008292 +3 *2696:11 0.00322048 +4 *21746:C *21605:A1 2.37827e-05 +5 *21746:C *22669:C1 6.46921e-05 +6 *21746:C *24914:A 7.92757e-06 +7 *21746:C *2777:60 1.0758e-05 +8 *21746:C *3124:191 0.00025175 +9 *21746:C *3539:48 0.00093369 +10 *21746:C *3539:60 8.77154e-06 +11 *21746:C *4804:96 1.30575e-05 +12 *21746:C *4817:161 0.000353727 +13 *2696:11 *3502:138 0.000200794 +14 *2696:11 *4804:96 1.41976e-05 +15 *21372:C1 *2696:11 6.4396e-05 +16 *21443:A *2696:11 0.000632193 +17 *21641:A1 *21746:C 2.16355e-05 +18 *21641:B2 *21746:C 0.00025175 +19 *21642:B1 *21746:C 0.000124083 +20 *21642:C1 *21746:C 7.29748e-05 +21 *21658:A2 *2696:11 0.000159756 +22 *21658:B2 *2696:11 0.000305487 +23 *21658:C1 *2696:11 1.88152e-05 +24 *21659:D1 *2696:11 2.57847e-05 +25 *1631:57 *21746:C 0.000250769 +*RES +1 *21659:X *2696:11 30.4205 +2 *2696:11 *21746:C 47.0104 +*END + +*D_NET *2697 0.0541791 +*CONN +*I *22784:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21665:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22488:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21660:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22784:A1 0 +2 *21665:A1 0.000153229 +3 *22488:A1 5.51135e-05 +4 *21660:Y 0.000241022 +5 *2697:65 0.00388777 +6 *2697:39 0.00403969 +7 *2697:32 0.00131256 +8 *2697:26 0.0033526 +9 *2697:25 0.0026954 +10 *2697:19 0.00168487 +11 *2697:8 0.00232369 +12 *2697:7 0.00128517 +13 *21665:A1 *21665:C1 6.08467e-05 +14 *21665:A1 *2701:17 0.000220676 +15 *22488:A1 *22488:B2 7.77744e-05 +16 *22488:A1 *3474:111 1.55025e-05 +17 *2697:8 *22258:A1 2.01653e-05 +18 *2697:8 *24650:RESET_B 7.14746e-05 +19 *2697:8 *2766:6 0.00258116 +20 *2697:8 *2950:22 0.000329405 +21 *2697:8 *2950:34 0.00020653 +22 *2697:8 *3131:167 5.48846e-05 +23 *2697:8 *3131:183 0.000202417 +24 *2697:25 *2845:14 0.00125863 +25 *2697:25 *2987:50 0.00126368 +26 *2697:25 *3543:149 2.14262e-05 +27 *2697:26 *21858:D 0.000833751 +28 *2697:26 *22054:C1 0.000178113 +29 *2697:26 *2765:52 0.00125682 +30 *2697:26 *2783:68 9.42691e-05 +31 *2697:26 *2843:51 0.00101487 +32 *2697:26 *2847:8 7.83381e-05 +33 *2697:26 *3067:26 4.60375e-07 +34 *2697:26 *3493:101 6.74234e-05 +35 *2697:32 *21833:B 3.19905e-05 +36 *2697:32 *21858:D 0.000100592 +37 *2697:32 *2836:20 7.13655e-06 +38 *2697:32 *2860:20 2.02035e-05 +39 *2697:32 *3529:80 0.000122724 +40 *2697:32 *3539:70 1.91246e-05 +41 *2697:39 *22488:B2 0.000142657 +42 *2697:39 *22784:A2 0.000158451 +43 *2697:39 *3474:111 0.000203595 +44 *2697:39 *3722:8 2.433e-05 +45 *2697:65 *22779:D 0.000449398 +46 *2697:65 *2950:125 1.51454e-05 +47 *2697:65 *2959:43 7.12632e-06 +48 *2697:65 *2999:38 8.88477e-05 +49 *2697:65 *3377:20 0.00550751 +50 *2697:65 *3417:32 1.74351e-05 +51 *2697:65 *3442:14 0.000835816 +52 *2697:65 *3507:39 0.000162935 +53 *2697:65 *3702:20 0.0056659 +54 *2697:65 *5909:38 0.000156087 +55 *19795:A *2697:65 8.58658e-05 +56 *19795:B *2697:65 9.96375e-05 +57 *19820:A2 *2697:26 4.15201e-05 +58 *19831:B1 *2697:26 0.000388775 +59 *19832:C1 *2697:26 0.000192587 +60 *19842:C1 *2697:26 0.00076987 +61 *19874:A1 *2697:19 0.000163997 +62 *19874:A2 *2697:19 1.10925e-05 +63 *19874:A3 *2697:19 8.92568e-06 +64 *19884:A1 *21665:A1 3.44249e-05 +65 *19973:B *2697:65 8.6953e-05 +66 *20022:B2 *2697:39 9.95922e-06 +67 *20388:B2 *2697:19 8.55661e-05 +68 *21617:C1 *2697:32 0.000123764 +69 *21665:B1 *21665:A1 6.08467e-05 +70 *21839:A2 *2697:32 1.5714e-05 +71 *22488:A2 *22488:A1 0.000156955 +72 *22781:B1 *2697:65 5.84166e-05 +73 *22784:B1 *2697:39 4.42631e-05 +74 *22784:B2 *2697:39 6.36477e-05 +75 *24648:D *2697:8 0.000127179 +76 *324:27 *2697:32 1.1573e-05 +77 *516:19 *2697:65 4.91312e-05 +78 *1496:130 *2697:26 1.3535e-06 +79 *1496:130 *2697:32 0.00140576 +80 *1496:141 *2697:26 0.000703176 +81 *1575:42 *2697:26 0.000296675 +82 *1586:77 *2697:26 0.000102585 +83 *1586:117 *2697:19 0.000200794 +84 *1595:60 *2697:19 0 +85 *1595:75 *2697:26 9.27639e-06 +86 *1600:19 *2697:26 2.36582e-05 +87 *1601:8 *2697:19 0.000819847 +88 *1601:16 *2697:19 0.000475939 +89 *1618:18 *2697:26 4.57556e-05 +90 *1619:58 *2697:19 6.51527e-05 +91 *1641:158 *2697:26 1.5714e-05 +92 *1658:73 *2697:19 5.76913e-05 +93 *1766:82 *2697:65 0 +94 *1773:76 *2697:32 0.000336478 +95 *1777:15 *22488:A1 1.5962e-05 +96 *1777:15 *2697:39 0.000127632 +97 *1783:128 *2697:19 5.93953e-05 +98 *1789:82 *2697:19 0.000510361 +99 *1802:30 *2697:65 4.97701e-05 +100 *1943:12 *2697:8 0.000350456 +101 *1943:16 *2697:8 0.000383151 +102 *1943:37 *2697:19 2.15348e-05 +103 *2075:19 *2697:19 2.47427e-05 +104 *2298:16 *2697:8 2.0388e-06 +105 *2448:29 *2697:7 0.000200794 +106 *2452:14 *2697:19 7.13655e-06 +107 *2468:37 *2697:25 5.60804e-05 +108 *2551:18 *2697:19 0.000440418 +109 *2551:24 *2697:19 2.33103e-06 +*RES +1 *21660:Y *2697:7 18.9094 +2 *2697:7 *2697:8 47.0945 +3 *2697:8 *2697:19 48.8251 +4 *2697:19 *2697:25 6.62727 +5 *2697:25 *2697:26 70.3242 +6 *2697:26 *2697:32 34.0195 +7 *2697:32 *2697:39 9.25947 +8 *2697:39 *22488:A1 11.6364 +9 *2697:39 *2697:65 49.8758 +10 *2697:65 *21665:A1 14.2165 +11 *2697:32 *22784:A1 9.24915 +*END + +*D_NET *2698 0.0091654 +*CONN +*I *21664:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21662:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21664:A1 0.000498144 +2 *21662:Y 0.00118496 +3 *2698:13 0.00168311 +4 *21664:A1 *21932:B1 0.00199678 +5 *21664:A1 *5782:10 0.000280086 +6 *2698:13 *19716:A1 7.13909e-05 +7 *2698:13 *19716:B1 6.50727e-05 +8 *2698:13 *20004:A 6.08467e-05 +9 *2698:13 *21932:B1 4.19199e-05 +10 *2698:13 *2945:5 0.00102895 +11 *2698:13 *5769:7 0.000352545 +12 *2698:13 *5777:29 0 +13 *2698:13 *5858:254 0.000168309 +14 *19716:A2 *2698:13 0.000171273 +15 *19716:B2 *2698:13 2.90626e-05 +16 *19730:B *21664:A1 0.00053618 +17 *21664:A2 *21664:A1 0.00074531 +18 *24856:D *2698:13 7.19887e-05 +19 *1505:37 *2698:13 0.000114403 +20 *1505:72 *2698:13 6.50727e-05 +*RES +1 *21662:Y *2698:13 46.3699 +2 *2698:13 *21664:A1 33.29 +*END + +*D_NET *2699 0.000480367 +*CONN +*I *21664:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21663:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21664:B1 0.000140841 +2 *21663:Y 0.000140841 +3 *21664:B1 *25279:A 0.000133626 +4 *21664:B1 *4845:443 6.50586e-05 +*RES +1 *21663:Y *21664:B1 30.8842 +*END + +*D_NET *2700 0.0318846 +*CONN +*I *21665:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21664:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21665:C1 2.3451e-05 +2 *21664:X 0.000329227 +3 *2700:26 0.00217604 +4 *2700:12 0.00410631 +5 *2700:10 0.00205632 +6 *2700:8 0.00175657 +7 *2700:7 0.0019832 +8 *2700:7 *21932:B1 0.000384198 +9 *2700:7 *2946:7 0.000211539 +10 *2700:7 *5858:254 0.000252337 +11 *2700:7 *5858:350 9.43286e-05 +12 *2700:7 *5858:372 0.000287706 +13 *2700:7 *5917:129 0.000252308 +14 *2700:8 *24858:CLK 0.000306075 +15 *2700:8 *2808:20 0 +16 *2700:8 *4863:28 0.000907334 +17 *2700:8 *4925:41 0.000248519 +18 *2700:8 *5851:219 2.652e-05 +19 *2700:8 *5851:229 0.000233623 +20 *2700:8 *5858:233 0.00116531 +21 *2700:8 *5858:240 1.23804e-05 +22 *2700:12 *24447:CLK 0.00083741 +23 *2700:12 *24502:CLK 1.14979e-05 +24 *2700:12 *2703:36 0.000215416 +25 *2700:12 *2971:32 0.0011792 +26 *2700:12 *4926:8 0.000654988 +27 *2700:12 *4926:14 0.000101187 +28 *2700:12 *4926:16 0.000131799 +29 *2700:12 *4926:70 0.000355145 +30 *2700:12 *5323:10 9.24241e-05 +31 *2700:12 *5851:219 0 +32 *2700:12 *5856:283 0 +33 *2700:12 *5858:223 7.85191e-05 +34 *2700:12 *5917:481 0.000305207 +35 *2700:26 *20688:A1 0.000426973 +36 *2700:26 *2703:36 0.00107433 +37 *2700:26 *2999:26 0.00196459 +38 *2700:26 *3144:35 3.77568e-05 +39 *2700:26 *3442:14 0.000126533 +40 *2700:26 *5563:45 0.000175258 +41 *19884:A1 *21665:C1 1.03403e-05 +42 *19973:B *2700:26 0.000305784 +43 *20686:B2 *2700:12 0.000228447 +44 *20688:B2 *2700:26 0.000172101 +45 *21665:A1 *21665:C1 6.08467e-05 +46 *21938:A2 *2700:26 0.000531201 +47 *1435:6 *2700:26 9.5035e-05 +48 *1435:67 *2700:26 1.86927e-05 +49 *1442:39 *2700:26 0.000251739 +50 *1461:61 *2700:12 1.92974e-05 +51 *1467:22 *2700:12 2.13271e-05 +52 *1500:12 *2700:8 0.000215826 +53 *1515:133 *2700:26 0.000309813 +54 *1668:41 *2700:8 8.41168e-05 +55 *1668:41 *2700:10 5.57646e-06 +56 *1668:41 *2700:12 0.000770437 +57 *1685:26 *2700:26 0.000573086 +58 *1706:21 *2700:26 0.0003553 +59 *1706:31 *2700:26 0.000462298 +60 *1735:67 *2700:26 0.000380355 +61 *1735:78 *2700:26 1.55047e-05 +62 *1764:128 *2700:12 0 +63 *1786:25 *2700:8 0.00181868 +64 *2010:10 *2700:12 0.000154572 +65 *2010:23 *2700:12 0.000179679 +66 *2036:30 *2700:12 4.03125e-05 +67 *2036:44 *2700:12 7.20391e-05 +68 *2036:44 *2700:26 0.000150709 +*RES +1 *21664:X *2700:7 27.2284 +2 *2700:7 *2700:8 64.9503 +3 *2700:8 *2700:10 1.85642 +4 *2700:10 *2700:12 67.4418 +5 *2700:12 *2700:26 47.0673 +6 *2700:26 *21665:C1 14.4725 +*END + +*D_NET *2701 0.00583295 +*CONN +*I *21684:A I *D sky130_fd_sc_hd__and4_1 +*I *21665:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21684:A 0 +2 *21665:X 0.0013756 +3 *2701:17 0.0013756 +4 *2701:17 *21684:C 6.50586e-05 +5 *2701:17 *21684:D 0.000162583 +6 *2701:17 *22467:A2 7.49251e-05 +7 *2701:17 *2718:8 0.000320067 +8 *2701:17 *2746:17 7.38164e-05 +9 *2701:17 *2999:38 1.5714e-05 +10 *2701:17 *3204:36 0.000832381 +11 *19884:A1 *2701:17 9.17984e-06 +12 *19973:B *2701:17 1.91246e-05 +13 *21665:A1 *2701:17 0.000220676 +14 *21665:B1 *2701:17 3.17436e-05 +15 *22806:B1 *2701:17 0.00013188 +16 *1675:15 *2701:17 0.00014981 +17 *1688:118 *2701:17 1.23518e-05 +18 *1716:68 *2701:17 0.00096244 +*RES +1 *21665:X *2701:17 46.4821 +2 *2701:17 *21684:A 9.24915 +*END + +*D_NET *2702 0.0459061 +*CONN +*I *21671:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22794:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22489:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21666:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21671:A1 3.43421e-05 +2 *22794:A1 0.0016586 +3 *22489:A1 0.000957947 +4 *21666:Y 0.000269822 +5 *2702:45 0.00290072 +6 *2702:37 0.00347088 +7 *2702:35 0.00267234 +8 *2702:18 0.00261617 +9 *2702:11 0.00223086 +10 *2702:7 0.0012517 +11 *22489:A1 *22490:C1 2.16355e-05 +12 *22489:A1 *2749:38 6.08467e-05 +13 *22489:A1 *5860:75 0.00104512 +14 *22794:A1 *22482:C 0.000706559 +15 *22794:A1 *22814:D 0.000925147 +16 *22794:A1 *2812:18 5.07708e-05 +17 *22794:A1 *3134:62 1.06297e-05 +18 *22794:A1 *3148:289 9.02963e-06 +19 *22794:A1 *3155:107 5.24006e-05 +20 *22794:A1 *3166:82 0.000496309 +21 *2702:11 *21671:C1 0.000212198 +22 *2702:18 *2707:8 0.000345516 +23 *2702:18 *2719:16 0.000565854 +24 *2702:35 *24635:RESET_B 3.20711e-05 +25 *2702:35 *2707:8 0.000130804 +26 *2702:35 *5162:8 0.000116971 +27 *2702:37 *21677:A1 0.000157279 +28 *2702:37 *21899:C1 0.000116971 +29 *2702:37 *22467:A1 5.65463e-05 +30 *2702:37 *24636:RESET_B 9.60366e-05 +31 *2702:37 *2708:32 0.000200288 +32 *2702:37 *2745:10 0.000139932 +33 *2702:37 *2844:46 0.000766514 +34 *2702:37 *2844:53 4.70339e-05 +35 *2702:37 *2913:21 0.000155845 +36 *2702:37 *3368:74 2.01503e-05 +37 *2702:37 *3373:42 0.000473218 +38 *2702:37 *5869:255 0.000106985 +39 *2702:45 *24580:RESET_B 7.0986e-05 +40 *2702:45 *3004:16 0.000542882 +41 *2702:45 *5869:421 0.000163112 +42 *19956:A1 *22489:A1 0.00346526 +43 *20001:B *21671:A1 2.53145e-06 +44 *20012:B2 *2702:18 0.000464537 +45 *21671:B2 *2702:11 6.13007e-06 +46 *22798:B1 *22794:A1 0.00017238 +47 *24449:D *2702:18 0.000218178 +48 *74:36 *2702:37 8.72537e-06 +49 *1455:49 *21671:A1 1.92172e-05 +50 *1455:49 *2702:11 2.65831e-05 +51 *1455:59 *2702:18 0.000103738 +52 *1461:19 *2702:11 0.000111722 +53 *1461:23 *2702:11 0.00189185 +54 *1461:39 *21671:A1 7.08059e-05 +55 *1461:39 *2702:11 0.000447837 +56 *1501:76 *2702:45 0.00127956 +57 *1502:129 *2702:35 3.57569e-05 +58 *1502:129 *2702:37 0.000478908 +59 *1537:9 *2702:18 4.70612e-05 +60 *1598:11 *2702:45 0.000158451 +61 *1604:91 *22794:A1 3.29488e-05 +62 *1698:62 *2702:18 0.000186892 +63 *1699:48 *22794:A1 0.000591238 +64 *1731:107 *22794:A1 0.00120232 +65 *1733:20 *2702:37 0.0017026 +66 *1739:46 *22489:A1 7.08433e-05 +67 *1753:35 *2702:7 0.00041102 +68 *1757:8 *2702:11 4.79321e-06 +69 *1760:8 *2702:11 0.00188831 +70 *1762:40 *2702:18 0.000424444 +71 *1762:40 *2702:35 0.000283369 +72 *1762:40 *2702:37 6.84784e-06 +73 *1762:41 *2702:37 0.000497297 +74 *1764:65 *2702:45 0.000115863 +75 *1764:94 *22794:A1 0.000910585 +76 *1768:14 *2702:18 3.63593e-05 +77 *1776:26 *22794:A1 0.000289173 +78 *1792:101 *22489:A1 6.74182e-05 +79 *1808:11 *22489:A1 0.000758375 +80 *1818:48 *2702:37 9.65248e-05 +81 *2429:25 *22489:A1 0.000571664 +82 *2522:32 *22794:A1 3.74542e-05 +83 *2543:28 *22794:A1 6.03237e-05 +84 *2561:47 *2702:45 9.70878e-05 +85 *2583:9 *22794:A1 0.000594049 +*RES +1 *21666:Y *2702:7 18.3548 +2 *2702:7 *2702:11 47.0674 +3 *2702:11 *2702:18 43.5703 +4 *2702:18 *22489:A1 27.6268 +5 *2702:18 *2702:35 12.4698 +6 *2702:35 *2702:37 69.1029 +7 *2702:37 *2702:45 45.9648 +8 *2702:45 *22794:A1 49.3646 +9 *2702:11 *21671:A1 10.5513 +*END + +*D_NET *2703 0.0323237 +*CONN +*I *22476:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22793:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21671:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21667:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22476:B1 0.000315702 +2 *22793:B1 0 +3 *21671:B1 1.94908e-05 +4 *21667:Y 0.000741008 +5 *2703:60 0.00401722 +6 *2703:43 0.00530555 +7 *2703:36 0.00268236 +8 *2703:21 0.00247022 +9 *22476:B1 *21683:A1 0.000105358 +10 *22476:B1 *21683:B1 9.08445e-05 +11 *22476:B1 *2912:23 0.00107481 +12 *22476:B1 *4832:127 1.00846e-05 +13 *2703:21 *21828:A 6.96567e-05 +14 *2703:21 *23942:A0 0.000168531 +15 *2703:36 *23942:A0 0.000200588 +16 *2703:36 *24445:RESET_B 0.000197395 +17 *2703:36 *2810:20 7.14746e-05 +18 *2703:36 *2971:24 9.22044e-05 +19 *2703:36 *5323:10 9.60216e-05 +20 *2703:36 *5858:494 0.000345315 +21 *2703:43 *24445:RESET_B 5.26705e-05 +22 *2703:43 *24448:SET_B 2.16355e-05 +23 *2703:43 *2749:11 0.000110297 +24 *2703:43 *2912:23 0.000658471 +25 *2703:43 *2971:24 0.00114099 +26 *2703:43 *4832:127 0.000111722 +27 *2703:43 *4931:10 0.000186445 +28 *2703:43 *5869:108 6.63778e-05 +29 *2703:60 *21683:A1 0.000168463 +30 *2703:60 *22814:D 0.000425403 +31 *2703:60 *2964:35 0 +32 *2703:60 *3134:62 0.000361157 +33 *2703:60 *3146:65 0.0021505 +34 *2703:60 *3168:29 0 +35 *2703:60 *3175:71 7.41502e-05 +36 *2703:60 *3407:23 5.37877e-06 +37 *2703:60 *3509:81 0.000281111 +38 *2703:60 *3527:93 0.000115589 +39 *2703:60 *3533:227 0.000880984 +40 *19902:A *22476:B1 6.91535e-05 +41 *20011:B1 *2703:21 3.07072e-05 +42 *20012:C1 *2703:21 5.76804e-05 +43 *20684:B2 *2703:36 0.000136823 +44 *21683:B2 *22476:B1 2.72577e-05 +45 *22808:A1 *2703:60 0.000304378 +46 *23925:B *2703:60 9.63467e-06 +47 *468:8 *2703:21 0.000205006 +48 *1435:67 *2703:36 5.86375e-05 +49 *1435:105 *2703:60 3.85669e-05 +50 *1439:333 *2703:21 0 +51 *1439:356 *2703:21 6.45209e-05 +52 *1454:46 *2703:60 0.000138551 +53 *1455:59 *21671:B1 7.12632e-06 +54 *1461:261 *2703:60 4.51176e-05 +55 *1604:91 *2703:60 0.00134966 +56 *1677:22 *2703:36 5.1493e-06 +57 *1677:139 *2703:36 4.37043e-05 +58 *1706:37 *22476:B1 0.000118979 +59 *1715:10 *2703:36 8.97257e-05 +60 *1715:29 *2703:36 0.000127911 +61 *1716:57 *2703:43 0.000186586 +62 *1740:110 *2703:60 0 +63 *1764:94 *2703:60 0.00027566 +64 *1765:15 *2703:21 4.42853e-05 +65 *1766:82 *2703:60 5.714e-06 +66 *1772:33 *2703:60 0.000265142 +67 *1947:212 *2703:43 0.000306206 +68 *2274:30 *2703:21 7.51112e-06 +69 *2400:12 *2703:21 0.00101666 +70 *2400:12 *2703:36 0.00105004 +71 *2514:37 *2703:60 1.9101e-05 +72 *2571:15 *2703:60 4.35662e-05 +73 *2700:12 *2703:36 0.000215416 +74 *2700:26 *2703:36 0.00107433 +*RES +1 *21667:Y *2703:21 27.149 +2 *2703:21 *21671:B1 17.4965 +3 *2703:21 *2703:36 49.1996 +4 *2703:36 *2703:43 40.8058 +5 *2703:43 *2703:60 45.0943 +6 *2703:60 *22793:B1 9.24915 +7 *2703:43 *22476:B1 22.632 +*END + +*D_NET *2704 0.0622578 +*CONN +*I *21670:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22481:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22790:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21668:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21670:A1 2.1308e-05 +2 *22481:B1 0.000176263 +3 *22790:B1 0 +4 *21668:Y 0.000326258 +5 *2704:72 0.00374312 +6 *2704:59 0.00540352 +7 *2704:54 0.00329879 +8 *2704:53 0.00332949 +9 *2704:39 0.00244336 +10 *2704:35 0.00206045 +11 *2704:31 0.00233399 +12 *2704:15 0.00105682 +13 *2704:10 0.00151047 +14 *2704:7 0.00165074 +15 *22481:B1 *22481:A2 1.47978e-05 +16 *22481:B1 *22791:A2 6.33418e-05 +17 *22481:B1 *2959:35 6.01329e-05 +18 *22481:B1 *3162:87 0.000114588 +19 *2704:10 *19624:A1 0.000152255 +20 *2704:10 *21546:A 7.25274e-05 +21 *2704:10 *5812:16 8.34973e-05 +22 *2704:10 *5812:22 0.001217 +23 *2704:15 *2719:16 0.000161437 +24 *2704:15 *5812:22 0.000208447 +25 *2704:31 *21671:C1 5.138e-05 +26 *2704:31 *24449:CLK 7.58389e-05 +27 *2704:31 *2705:33 5.41227e-05 +28 *2704:31 *3177:130 1.5714e-05 +29 *2704:35 *24057:A0 7.94413e-05 +30 *2704:35 *24449:CLK 0.000142277 +31 *2704:39 *22102:A2 0.00258775 +32 *2704:39 *24057:A0 6.63489e-05 +33 *2704:53 *21683:A1 3.71112e-05 +34 *2704:53 *24060:A1 4.97407e-05 +35 *2704:53 *24060:S 7.82388e-06 +36 *2704:53 *2839:27 0 +37 *2704:53 *3014:69 4.95399e-05 +38 *2704:53 *4802:18 0.000109466 +39 *2704:53 *4867:8 0.00098369 +40 *2704:53 *5476:26 9.85811e-05 +41 *2704:54 *23039:B1 5.35576e-05 +42 *2704:54 *2785:47 3.65224e-05 +43 *2704:54 *2785:51 0.00204518 +44 *2704:54 *2803:58 1.72464e-05 +45 *2704:54 *3885:17 6.24956e-05 +46 *2704:54 *3885:22 0.000506685 +47 *2704:54 *4802:18 0.0001184 +48 *2704:54 *4870:10 0.00214521 +49 *2704:54 *6001:62 0 +50 *2704:54 *6001:66 0.000218637 +51 *2704:59 *23272:A 0.000444819 +52 *2704:59 *4008:104 0.000135027 +53 *2704:59 *5861:179 0.000225627 +54 *2704:72 *22435:C1 7.98501e-05 +55 *2704:72 *2708:32 2.22317e-05 +56 *2704:72 *2708:44 0.000430417 +57 *2704:72 *2959:35 8.83705e-05 +58 *2704:72 *3028:30 2.96646e-05 +59 *2704:72 *3066:26 0.0013633 +60 *2704:72 *3162:87 8.83705e-05 +61 *2704:72 *3175:71 0.00150591 +62 *2704:72 *3507:75 0.000191896 +63 *2704:72 *5801:91 0.00031288 +64 *20012:B1 *2704:31 3.34943e-05 +65 *20012:B2 *2704:31 0.000157927 +66 *20217:B *2704:10 1.01794e-05 +67 *20376:B *2704:31 0.000180277 +68 *21351:B1 *2704:53 0 +69 *21670:A2 *2704:15 4.87301e-05 +70 *21670:A2 *2704:31 9.54357e-06 +71 *21670:B2 *2704:31 0.000118055 +72 *21933:B2 *2704:10 0.000292214 +73 *22798:B1 *2704:72 0.000265509 +74 *23924:B *2704:72 0.00159748 +75 *24021:A0 *2704:53 0.000137921 +76 *24677:D *2704:10 0.000169108 +77 *476:21 *2704:35 0.00125281 +78 *1427:36 *2704:53 0.000361988 +79 *1455:14 *2704:10 0.00172207 +80 *1455:14 *2704:15 1.5254e-05 +81 *1455:59 *2704:31 1.15098e-05 +82 *1455:65 *2704:39 0.00233835 +83 *1459:70 *2704:72 0.00155415 +84 *1461:16 *2704:31 2.652e-05 +85 *1471:84 *2704:7 0.000517872 +86 *1502:42 *2704:31 1.66626e-05 +87 *1515:60 *2704:53 0.00233772 +88 *1698:62 *2704:15 3.31745e-05 +89 *1714:24 *2704:53 3.18208e-05 +90 *1725:33 *2704:53 2.11551e-05 +91 *1730:97 *2704:72 0.000345867 +92 *1730:240 *2704:72 0.00364271 +93 *1731:89 *2704:59 4.41591e-05 +94 *1753:24 *2704:59 2.32942e-05 +95 *1760:8 *2704:31 1.57451e-05 +96 *2274:63 *2704:72 5.27033e-05 +97 *2295:8 *2704:10 0.000126295 +98 *2398:38 *2704:10 0.000141924 +99 *2523:65 *22481:B1 0.000158451 +100 *2603:13 *2704:10 0.000103293 +101 *2616:40 *2704:72 0.000308181 +*RES +1 *21668:Y *2704:7 19.464 +2 *2704:7 *2704:10 48.6578 +3 *2704:10 *2704:15 10.7955 +4 *2704:15 *2704:31 27.9182 +5 *2704:31 *2704:35 24.3182 +6 *2704:35 *2704:39 35.7266 +7 *2704:39 *2704:53 31.4907 +8 *2704:53 *2704:54 56.0224 +9 *2704:54 *2704:59 45.7726 +10 *2704:59 *2704:72 26.313 +11 *2704:72 *22790:B1 13.7491 +12 *2704:72 *22481:B1 18.823 +13 *2704:15 *21670:A1 9.82786 +*END + +*D_NET *2705 0.0217972 +*CONN +*I *21670:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21669:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21670:B1 0 +2 *21669:Y 0.00135065 +3 *2705:33 0.00113743 +4 *2705:23 0.00342728 +5 *2705:16 0.00364049 +6 *2705:16 *3103:8 2.01653e-05 +7 *2705:16 *4867:43 0.000298318 +8 *2705:16 *5791:23 0.000172139 +9 *2705:33 *24894:RESET_B 0.00010901 +10 *2705:33 *2964:25 0.000464127 +11 *2705:33 *4926:88 0.000502888 +12 *19624:B2 *2705:33 3.96134e-05 +13 *20376:B *2705:33 6.08467e-05 +14 *24894:D *2705:33 0.000118166 +15 *25201:A *2705:16 3.50716e-05 +16 *25202:A *2705:16 0 +17 *464:10 *2705:33 6.08467e-05 +18 *657:51 *2705:16 5.39635e-06 +19 *1467:117 *2705:16 0.00311848 +20 *1698:77 *2705:33 0 +21 *1699:7 *2705:33 2.77625e-06 +22 *1699:11 *2705:23 0.0025889 +23 *1699:11 *2705:33 0.001203 +24 *1760:8 *2705:33 0.000221277 +25 *1818:21 *2705:16 0.0001756 +26 *2502:11 *2705:16 0.00299062 +27 *2704:31 *2705:33 5.41227e-05 +*RES +1 *21669:Y *2705:16 37.4748 +2 *2705:16 *2705:23 45.8633 +3 *2705:23 *2705:33 43.2045 +4 *2705:33 *21670:B1 9.24915 +*END + +*D_NET *2706 0.000714651 +*CONN +*I *21671:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21670:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21671:C1 0.000156211 +2 *21670:X 0.000156211 +3 *1760:8 *21671:C1 0.000138651 +4 *2702:11 *21671:C1 0.000212198 +5 *2704:31 *21671:C1 5.138e-05 +*RES +1 *21670:X *21671:C1 32.548 +*END + +*D_NET *2707 0.00993268 +*CONN +*I *21684:B I *D sky130_fd_sc_hd__and4_1 +*I *21671:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21684:B 0.00125076 +2 *21671:X 0 +3 *2707:8 0.00248385 +4 *2707:5 0.0012331 +5 *21684:B *3177:168 0.000924292 +6 *21684:B *4832:127 6.1708e-05 +7 *21684:B *5854:606 1.71266e-05 +8 *2707:8 *2719:16 0.00112789 +9 *1448:8 *2707:8 3.71404e-05 +10 *1448:19 *2707:8 2.14842e-06 +11 *1502:129 *2707:8 0.00219141 +12 *1537:9 *2707:8 4.23622e-05 +13 *1573:8 *21684:B 7.86224e-05 +14 *1638:11 *21684:B 5.94319e-06 +15 *2702:18 *2707:8 0.000345516 +16 *2702:35 *2707:8 0.000130804 +*RES +1 *21671:X *2707:5 13.7491 +2 *2707:5 *2707:8 49.9335 +3 *2707:8 *21684:B 39.1037 +*END + +*D_NET *2708 0.0218494 +*CONN +*I *22781:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *22486:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21677:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21672:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22781:A1 0.000124118 +2 *22486:B1 6.14871e-05 +3 *21677:A1 0.000200849 +4 *21672:Y 0.00037259 +5 *2708:47 0.000280734 +6 *2708:44 0.00198736 +7 *2708:32 0.00357996 +8 *2708:7 0.00226118 +9 *21677:A1 *24500:CLK 0.000139244 +10 *21677:A1 *2844:53 0.000157279 +11 *22486:B1 *22486:B2 2.16355e-05 +12 *22486:B1 *22491:B 7.03364e-05 +13 *22781:A1 *22488:B2 5.10223e-05 +14 *22781:A1 *2750:56 5.61855e-05 +15 *22781:A1 *3461:26 0.000142672 +16 *2708:7 *20608:B1 0.00146527 +17 *2708:7 *21541:A 0.00025175 +18 *2708:7 *5851:131 0.000107496 +19 *2708:32 *21620:A 1.27831e-06 +20 *2708:32 *22756:B1 0.000139109 +21 *2708:32 *22806:B2 0.000157659 +22 *2708:32 *2709:37 0.000251669 +23 *2708:32 *2844:53 0.00020396 +24 *2708:32 *2979:5 0.000839541 +25 *2708:32 *3028:30 0.000253455 +26 *2708:32 *3175:71 4.74932e-05 +27 *2708:32 *3533:235 2.38565e-05 +28 *2708:44 *22481:C1 0.000313814 +29 *2708:44 *22495:C1 8.45727e-05 +30 *2708:44 *22504:B 0.000193204 +31 *2708:44 *2851:26 0.000202288 +32 *2708:44 *3058:49 0.000290111 +33 *2708:44 *3155:62 0.000332232 +34 *2708:44 *3175:57 9.68883e-05 +35 *2708:44 *3196:108 0.000205713 +36 *2708:44 *3361:12 0.000276619 +37 *2708:44 *3466:82 0.000110306 +38 *2708:44 *3517:53 0 +39 *2708:44 *3751:14 7.14156e-05 +40 *2708:47 *22486:A2 0.000179713 +41 *2708:47 *22488:B2 0.000202162 +42 *2708:47 *3461:26 9.22013e-06 +43 *22499:B1 *2708:32 0.000234913 +44 *22798:B1 *2708:44 0.00118904 +45 *23924:B *2708:44 0.000119866 +46 *1501:70 *2708:32 0.000360024 +47 *1515:85 *2708:7 0.000513916 +48 *1541:173 *2708:44 0.000632041 +49 *1603:81 *2708:44 0.000117839 +50 *1604:91 *2708:32 4.06695e-05 +51 *1730:240 *2708:32 1.66454e-06 +52 *1773:21 *21677:A1 0.000358528 +53 *1784:166 *22486:B1 1.58551e-05 +54 *2274:63 *2708:32 0.000291781 +55 *2548:41 *2708:32 0.000253674 +56 *2608:62 *2708:32 0.000690663 +57 *2632:67 *2708:32 0.000210199 +58 *2667:122 *2708:44 0.000191032 +59 *2702:37 *21677:A1 0.000157279 +60 *2702:37 *2708:32 0.000200288 +61 *2704:72 *2708:32 2.22317e-05 +62 *2704:72 *2708:44 0.000430417 +*RES +1 *21672:Y *2708:7 31.6653 +2 *2708:7 *21677:A1 21.2932 +3 *2708:7 *2708:32 41.568 +4 *2708:32 *2708:44 49.3751 +5 *2708:44 *2708:47 8.40826 +6 *2708:47 *22486:B1 15.5817 +7 *2708:47 *22781:A1 17.7307 +*END + +*D_NET *2709 0.0249657 +*CONN +*I *22471:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22791:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21677:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21673:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22471:A1 0.000591008 +2 *22791:B1 0.000571425 +3 *21677:B1 0 +4 *21673:Y 0.000351118 +5 *2709:37 0.00140753 +6 *2709:24 0.00229872 +7 *2709:14 0.00256434 +8 *2709:5 0.00204385 +9 *22471:A1 *22471:B1 6.08467e-05 +10 *22471:A1 *22471:B2 6.08467e-05 +11 *22471:A1 *5456:31 0.00122324 +12 *22791:B1 *22791:A2 4.31603e-06 +13 *22791:B1 *22791:C1 6.08467e-05 +14 *22791:B1 *3155:104 0.000212189 +15 *2709:5 *24919:A 6.3657e-05 +16 *2709:5 *4858:10 0.000151791 +17 *2709:5 *5456:31 0.00154778 +18 *2709:14 *2844:67 0.000781077 +19 *2709:24 *20486:A1 0.000644221 +20 *2709:24 *5128:8 7.14746e-05 +21 *2709:37 *20489:A1 0.0001214 +22 *2709:37 *24500:CLK 6.33782e-05 +23 *2709:37 *2710:29 7.48292e-05 +24 *2709:37 *2979:5 1.65872e-05 +25 *2709:37 *4927:32 5.81152e-05 +26 *19836:A *2709:14 0.000832623 +27 *19847:A *22471:A1 0.000150458 +28 *21571:B1 *2709:37 0.000399021 +29 *21677:B2 *2709:37 1.09551e-05 +30 *22406:B1 *22791:B1 4.85871e-05 +31 *1442:97 *2709:5 6.08467e-05 +32 *1442:108 *22471:A1 4.66492e-05 +33 *1442:108 *2709:5 0.00091131 +34 *1442:108 *2709:14 0.000151147 +35 *1448:250 *2709:14 4.40272e-05 +36 *1454:59 *2709:14 0.000152121 +37 *1454:59 *2709:24 1.3807e-05 +38 *1501:70 *2709:37 8.62625e-06 +39 *1501:96 *22791:B1 0.000107496 +40 *1501:96 *2709:14 0.000268611 +41 *1501:96 *2709:24 3.05511e-05 +42 *1541:142 *2709:37 0.000369097 +43 *1546:42 *2709:14 9.19632e-06 +44 *1569:78 *2709:24 0.000690889 +45 *1569:78 *2709:37 1.85931e-05 +46 *1575:7 *2709:5 3.47824e-05 +47 *1575:119 *22471:A1 0.000703505 +48 *1603:59 *22791:B1 0.000906037 +49 *1605:11 *22471:A1 0.000156172 +50 *1689:71 *2709:37 0.000112368 +51 *1716:46 *2709:14 0.000120275 +52 *1716:46 *2709:24 5.53783e-05 +53 *1744:179 *2709:14 6.92505e-05 +54 *1773:28 *2709:37 2.57847e-05 +55 *1773:52 *2709:5 8.16454e-06 +56 *1794:25 *2709:37 5.84021e-05 +57 *2522:32 *22791:B1 9.27416e-05 +58 *2523:59 *22791:B1 0.0011556 +59 *2523:65 *22791:B1 2.137e-05 +60 *2536:28 *22791:B1 0.000212189 +61 *2597:12 *2709:37 0.000247792 +62 *2598:11 *2709:37 7.50722e-05 +63 *2608:32 *2709:24 0.000630449 +64 *2608:43 *2709:24 1.97394e-05 +65 *2608:43 *2709:37 0.000381835 +66 *2614:55 *22791:B1 0.000170626 +67 *2624:54 *2709:37 8.45896e-06 +68 *2632:53 *2709:37 7.88327e-05 +69 *2708:32 *2709:37 0.000251669 +*RES +1 *21673:Y *2709:5 27.1653 +2 *2709:5 *2709:14 45.4334 +3 *2709:14 *2709:24 33.233 +4 *2709:24 *2709:37 48.8258 +5 *2709:37 *21677:B1 9.24915 +6 *2709:14 *22791:B1 37.2354 +7 *2709:5 *22471:A1 32.2773 +*END + +*D_NET *2710 0.0151471 +*CONN +*I *22479:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21676:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21674:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22479:B1 0.000732107 +2 *21676:A1 0.000377838 +3 *21674:Y 0.000978749 +4 *2710:37 0.00079683 +5 *2710:36 0.000693839 +6 *2710:29 0.00150782 +7 *2710:7 0.00223529 +8 *21676:A1 *21541:A 0.000118738 +9 *21676:A1 *21677:C1 0.000109563 +10 *21676:A1 *4863:157 3.91152e-05 +11 *21676:A1 *5869:386 1.91246e-05 +12 *22479:B1 *20487:A1 0.000671592 +13 *22479:B1 *22477:C1 2.29453e-05 +14 *22479:B1 *22479:B2 9.01119e-06 +15 *22479:B1 *2723:19 2.65721e-06 +16 *22479:B1 *3131:45 0.000900532 +17 *2710:29 *20421:A1 0.000166456 +18 *2710:29 *2844:56 0.000278757 +19 *2710:29 *4863:157 4.25247e-05 +20 *2710:29 *4927:32 0.000256921 +21 *2710:36 *20419:A1 0.000212476 +22 *2710:36 *20487:B1 0.000140774 +23 *2710:36 *2844:56 0.000291993 +24 *2710:36 *4852:11 0.000498444 +25 *2710:36 *4927:123 0 +26 *2710:36 *5682:16 4.04556e-05 +27 *21676:B2 *21676:A1 3.01683e-06 +28 *1448:250 *2710:36 0.000207892 +29 *1459:70 *2710:29 5.01835e-05 +30 *1461:249 *2710:29 0.00018197 +31 *1501:96 *22479:B1 1.2954e-05 +32 *1515:85 *2710:7 0.00141296 +33 *1541:142 *2710:29 0.00031151 +34 *1541:142 *2710:36 0.000528984 +35 *1715:49 *21676:A1 4.30017e-06 +36 *1715:67 *21676:A1 3.024e-05 +37 *1729:64 *21676:A1 1.26179e-05 +38 *1773:28 *21676:A1 0.000235794 +39 *1773:28 *2710:29 0.000106947 +40 *1776:15 *22479:B1 0.000107496 +41 *2522:16 *2710:36 0.000107496 +42 *2593:47 *22479:B1 0.000154597 +43 *2593:47 *2710:36 5.99472e-05 +44 *2632:53 *2710:29 0.000398814 +45 *2709:37 *2710:29 7.48292e-05 +*RES +1 *21674:Y *2710:7 30.0014 +2 *2710:7 *21676:A1 23.5542 +3 *2710:7 *2710:29 36.6888 +4 *2710:29 *2710:36 37.9033 +5 *2710:36 *2710:37 81.1229 +6 *2710:37 *22479:B1 38.4881 +*END + +*D_NET *2711 0.0258108 +*CONN +*I *21676:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21675:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21676:B1 0.00280591 +2 *21675:Y 0.000825036 +3 *2711:36 0.00372008 +4 *2711:22 0.003162 +5 *2711:16 0.00280745 +6 *2711:13 0.00138465 +7 *21676:B1 *24523:RESET_B 0.000755601 +8 *21676:B1 *3060:43 8.30878e-05 +9 *21676:B1 *3671:76 5.61834e-05 +10 *21676:B1 *5475:59 5.70884e-05 +11 *21676:B1 *5475:83 0.000172917 +12 *21676:B1 *5869:354 0.00017391 +13 *21676:B1 *5869:386 5.11449e-05 +14 *2711:13 *2715:29 0.000172035 +15 *2711:16 *2714:10 0.000281517 +16 *2711:16 *5458:22 0.000182051 +17 *2711:22 *4275:29 6.3541e-05 +18 *2711:22 *4278:15 0.000575209 +19 *2711:22 *4515:14 0.000419238 +20 *2711:36 *23373:B 0.000126323 +21 *2711:36 *23482:B1 0.000589607 +22 *2711:36 *23483:B 0.00011818 +23 *2711:36 *23485:A 8.29171e-05 +24 *2711:36 *23622:A 7.39022e-06 +25 *2711:36 *3883:18 0.000221665 +26 *2711:36 *3886:8 4.3116e-06 +27 *2711:36 *3893:42 0.000157721 +28 *2711:36 *4275:29 0.000372974 +29 *2711:36 *4278:15 0.000424554 +30 *2711:36 *4514:29 4.04447e-05 +31 *2711:36 *4515:21 1.60671e-05 +32 *2711:36 *4516:8 0.00015634 +33 *2711:36 *4528:17 1.05601e-05 +34 *1467:124 *2711:16 0.00138866 +35 *1729:64 *21676:B1 0.000159791 +36 *1731:70 *2711:16 0.000389067 +37 *1744:131 *2711:36 0.000238598 +38 *1755:32 *2711:16 0.000202659 +39 *1786:65 *21676:B1 1.50926e-05 +40 *1829:49 *2711:22 0.00103862 +41 *2281:13 *21676:B1 0.000831552 +42 *2283:13 *2711:13 0.000153057 +43 *2285:16 *21676:B1 1.91391e-05 +44 *2405:26 *2711:13 0.000335614 +45 *2505:54 *2711:13 0.000326038 +46 *2505:57 *2711:22 0.000635219 +47 *2618:18 *21676:B1 0 +*RES +1 *21675:Y *2711:13 33.6101 +2 *2711:13 *2711:16 29.7937 +3 *2711:16 *2711:22 47.2876 +4 *2711:22 *2711:36 46.1187 +5 *2711:36 *21676:B1 27.4138 +*END + +*D_NET *2712 0.00176928 +*CONN +*I *21677:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21676:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21677:C1 0.00030401 +2 *21676:X 0.00030401 +3 *21677:C1 *24480:CLK 0.000294093 +4 *21677:C1 *24500:CLK 1.65872e-05 +5 *21677:C1 *4863:157 5.49916e-05 +6 *21677:C1 *5869:386 0.000138978 +7 *21676:A1 *21677:C1 0.000109563 +8 *1715:49 *21677:C1 9.19632e-06 +9 *1729:64 *21677:C1 5.57532e-05 +10 *1773:28 *21677:C1 0.000340742 +11 *2612:62 *21677:C1 0.000141355 +*RES +1 *21676:X *21677:C1 42.186 +*END + +*D_NET *2713 0.0148316 +*CONN +*I *21684:C I *D sky130_fd_sc_hd__and4_1 +*I *21677:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21684:C 1.71979e-05 +2 *21677:X 0.00239704 +3 *2713:38 0.00105085 +4 *2713:27 0.00343068 +5 *2713:27 *21991:A 0 +6 *2713:27 *2833:73 0.000113478 +7 *2713:27 *2844:46 4.28201e-05 +8 *2713:27 *2860:20 0.0010654 +9 *2713:27 *2922:54 0.000419304 +10 *2713:27 *2971:23 0 +11 *2713:27 *3131:41 0.000632684 +12 *2713:27 *3208:77 0.000215492 +13 *2713:27 *5475:83 3.42163e-05 +14 *2713:27 *5476:51 0.000649349 +15 *2713:38 *21683:C1 9.57557e-06 +16 *2713:38 *24497:RESET_B 0.000199597 +17 *2713:38 *2994:29 0.000306002 +18 *2713:38 *3177:168 0.00065328 +19 *2713:38 *3208:72 9.7065e-05 +20 *20612:A2 *2713:38 7.57527e-05 +21 *21683:B2 *2713:38 0.000160714 +22 *24497:D *2713:38 0.000304261 +23 *24501:D *2713:27 9.04668e-05 +24 *74:36 *2713:27 0.000311547 +25 *1454:46 *2713:38 2.48859e-05 +26 *1537:36 *2713:27 0.000153427 +27 *1541:128 *2713:27 3.52699e-05 +28 *1541:136 *2713:27 7.70388e-05 +29 *1541:142 *2713:27 0 +30 *1573:8 *2713:38 6.51746e-05 +31 *1587:137 *2713:27 0.000116468 +32 *1675:15 *21684:C 2.16355e-05 +33 *1678:35 *2713:38 0.000172493 +34 *1697:52 *2713:27 0.000508712 +35 *1706:46 *2713:38 0.000336604 +36 *1725:69 *2713:38 0.000180192 +37 *1732:92 *2713:38 9.03762e-06 +38 *1733:14 *2713:27 0.000197511 +39 *1773:21 *2713:27 6.08467e-05 +40 *1795:29 *2713:27 0.000476634 +41 *1803:13 *2713:38 4.24384e-05 +42 *2514:45 *2713:38 1.14055e-05 +43 *2701:17 *21684:C 6.50586e-05 +*RES +1 *21677:X *2713:27 46.8309 +2 *2713:27 *2713:38 49.8459 +3 *2713:38 *21684:C 9.97254 +*END + +*D_NET *2714 0.024883 +*CONN +*I *21683:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21678:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21683:A1 0.0059444 +2 *21678:Y 0.0010782 +3 *2714:10 0.0070226 +4 *21683:A1 *22467:A1 0 +5 *21683:A1 *22779:D 0.00280112 +6 *21683:A1 *23032:A 0.00144816 +7 *21683:A1 *23034:D 4.1711e-05 +8 *21683:A1 *23037:A1 0.00109206 +9 *21683:A1 *23363:A 6.65597e-05 +10 *21683:A1 *2976:45 3.55859e-05 +11 *21683:A1 *3947:23 0.000249834 +12 *21683:A1 *4275:17 0.000133268 +13 *21683:A1 *5475:59 0 +14 *2714:10 *23620:A2 0.000118485 +15 *2714:10 *3860:151 0.000106482 +16 *2714:10 *3868:213 0.000581076 +17 *2714:10 *3868:233 8.89549e-05 +18 *19765:A *2714:10 7.55339e-06 +19 *20450:A2 *21683:A1 2.90979e-05 +20 *22476:B1 *21683:A1 0.000105358 +21 *1454:46 *21683:A1 0.000171286 +22 *1467:124 *2714:10 7.28694e-05 +23 *1483:60 *21683:A1 0.000301128 +24 *1604:68 *21683:A1 1.17108e-05 +25 *1668:69 *21683:A1 0 +26 *1705:16 *21683:A1 0.000289319 +27 *1706:37 *21683:A1 0.000109584 +28 *1731:70 *2714:10 0.000454818 +29 *1757:106 *21683:A1 0.00203468 +30 *1766:82 *21683:A1 0 +31 *2703:60 *21683:A1 0.000168463 +32 *2704:53 *21683:A1 3.71112e-05 +33 *2711:16 *2714:10 0.000281517 +*RES +1 *21678:Y *2714:10 42.5973 +2 *2714:10 *21683:A1 36.0012 +*END + +*D_NET *2715 0.0416193 +*CONN +*I *21682:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21681:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21682:B1 0 +2 *21681:Y 0.000452467 +3 *2715:38 0.00322265 +4 *2715:29 0.00434533 +5 *2715:24 0.00168116 +6 *2715:12 0.0015097 +7 *2715:10 0.00140368 +8 *2715:10 *21387:A 5.53934e-05 +9 *2715:10 *5733:20 4.83764e-06 +10 *2715:10 *5733:22 0.000134438 +11 *2715:10 *5926:56 0.000389621 +12 *2715:12 *23953:A0 0.000724742 +13 *2715:12 *5733:12 0.0015765 +14 *2715:12 *5733:20 0.00070834 +15 *2715:12 *5733:22 0 +16 *2715:12 *5852:74 0.000111435 +17 *2715:12 *5926:54 9.92046e-06 +18 *2715:12 *5926:56 0.00268742 +19 *2715:12 *5938:8 6.22262e-05 +20 *2715:24 *24828:CLK_N 2.16355e-05 +21 *2715:24 *2937:10 0.000111722 +22 *2715:24 *5452:23 0.000115767 +23 *2715:24 *5785:24 0.000117906 +24 *2715:24 *5801:58 0.000683628 +25 *2715:24 *5926:46 0.000828025 +26 *2715:24 *5927:68 0.000831622 +27 *2715:24 *5938:8 0.000770584 +28 *2715:29 *2864:61 0.00189018 +29 *2715:38 *4828:139 0.00769452 +30 *21107:A *2715:29 0.000160617 +31 *21108:A *2715:29 0.000175484 +32 *21351:B1 *2715:38 0.00735091 +33 *24816:D *2715:10 0.000127164 +34 *24817:D *2715:12 0.000127179 +35 *25025:A *2715:10 4.40325e-05 +36 *25027:A *2715:10 3.31736e-05 +37 *1455:73 *2715:24 0.000158357 +38 *1471:160 *2715:12 0.000535814 +39 *1735:175 *2715:38 1.22858e-05 +40 *1755:110 *2715:38 0.000107178 +41 *1756:49 *2715:38 0 +42 *1786:34 *2715:38 0.000132049 +43 *1786:43 *2715:38 0.000165521 +44 *2283:7 *2715:24 7.98171e-06 +45 *2400:12 *2715:12 2.02035e-05 +46 *2514:37 *2715:38 1.22858e-05 +47 *2603:59 *2715:38 6.23101e-05 +48 *2619:29 *2715:10 6.92705e-05 +49 *2711:13 *2715:29 0.000172035 +*RES +1 *21681:Y *2715:10 30.7529 +2 *2715:10 *2715:12 59.5398 +3 *2715:12 *2715:24 49.9684 +4 *2715:24 *2715:29 41.8904 +5 *2715:29 *2715:38 41.0134 +6 *2715:38 *21682:B1 9.24915 +*END + +*D_NET *2716 0.00167661 +*CONN +*I *21683:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21682:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21683:C1 0.000461899 +2 *21682:X 0.000461899 +3 *21683:C1 *2974:34 8.03419e-05 +4 *21683:C1 *3208:72 0.000316453 +5 *514:28 *21683:C1 0.000224395 +6 *1454:46 *21683:C1 2.09155e-05 +7 *1573:8 *21683:C1 0.000101133 +8 *2514:37 *21683:C1 0 +9 *2713:38 *21683:C1 9.57557e-06 +*RES +1 *21682:X *21683:C1 38.7768 +*END + +*D_NET *2717 0.00199993 +*CONN +*I *21684:D I *D sky130_fd_sc_hd__and4_1 +*I *21683:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21684:D 0.000413005 +2 *21683:X 0.000413005 +3 *21684:D *2718:8 6.08467e-05 +4 *21684:D *2976:45 0.000652885 +5 *1604:42 *21684:D 0.000259504 +6 *1675:15 *21684:D 3.81056e-05 +7 *2701:17 *21684:D 0.000162583 +*RES +1 *21683:X *21684:D 41.9594 +*END + +*D_NET *2718 0.011286 +*CONN +*I *21745:A I *D sky130_fd_sc_hd__and4_2 +*I *21684:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21745:A 0 +2 *21684:X 0.000854761 +3 *2718:17 0.00158091 +4 *2718:8 0.00243568 +5 *2718:8 *3206:33 6.63616e-05 +6 *2718:17 *21744:A 3.82691e-05 +7 *2718:17 *3204:36 0.00190673 +8 *2718:17 *3206:33 3.31745e-05 +9 *2718:17 *4854:6 4.12964e-05 +10 *19795:A *2718:8 0.00043685 +11 *19795:B *2718:8 3.58208e-05 +12 *19973:B *2718:8 0.000137746 +13 *21598:A1 *2718:17 0.000106529 +14 *21598:A2 *2718:17 5.36085e-05 +15 *21598:B2 *2718:17 5.13937e-05 +16 *21684:D *2718:8 6.08467e-05 +17 *1639:99 *2718:17 0.000191038 +18 *1641:38 *2718:8 7.39749e-05 +19 *1675:15 *2718:8 0.0014654 +20 *1675:32 *2718:8 7.39749e-05 +21 *1683:41 *2718:8 1.15389e-05 +22 *1730:43 *2718:17 0.000114518 +23 *2651:29 *2718:8 0.00119551 +24 *2701:17 *2718:8 0.000320067 +*RES +1 *21684:X *2718:8 46.3925 +2 *2718:8 *2718:17 42.8396 +3 *2718:17 *21745:A 9.24915 +*END + +*D_NET *2719 0.0473767 +*CONN +*I *21690:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21686:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21690:B1 1.39582e-05 +2 *21686:Y 3.91254e-05 +3 *2719:22 0.0038838 +4 *2719:16 0.0046119 +5 *2719:8 0.00425528 +6 *2719:7 0.00355235 +7 *21690:B1 *21690:C1 2.57847e-05 +8 *21690:B1 *2722:11 6.36477e-05 +9 *2719:7 *2945:5 6.92705e-05 +10 *2719:8 *21566:A 0 +11 *2719:8 *21932:B1 4.78554e-05 +12 *2719:8 *25298:A 0 +13 *2719:8 *2878:11 7.50722e-05 +14 *2719:8 *2965:13 0.00101137 +15 *2719:8 *4843:641 0.000122083 +16 *2719:8 *4863:18 7.73395e-05 +17 *2719:8 *4863:20 0.000144075 +18 *2719:8 *4863:99 8.72619e-05 +19 *2719:8 *5779:8 0 +20 *2719:8 *5851:219 0.000307195 +21 *2719:8 *5851:224 0.000309251 +22 *2719:8 *5852:26 0.00028913 +23 *2719:16 *5812:22 1.12605e-05 +24 *2719:22 *21690:C1 4.63742e-05 +25 *2719:22 *21915:B1 0.00159869 +26 *2719:22 *21926:A1 0.00716638 +27 *2719:22 *21927:B1 0.00230748 +28 *2719:22 *21963:B1 0.00298557 +29 *2719:22 *21995:D 8.99288e-05 +30 *2719:22 *24900:CLK 9.55769e-05 +31 *2719:22 *2975:33 0.00178193 +32 *2719:22 *3017:26 7.22125e-05 +33 *2719:22 *5452:11 0.00131925 +34 *20585:B2 *2719:22 0.00103389 +35 *24449:D *2719:16 0.000214565 +36 *24856:D *2719:8 0.000118002 +37 *476:45 *2719:22 0.000464852 +38 *1427:22 *2719:8 0.000682933 +39 *1455:14 *2719:16 0.000156355 +40 *1455:49 *2719:16 0.000165035 +41 *1502:57 *2719:8 0 +42 *1506:75 *2719:16 5.481e-05 +43 *1520:17 *2719:8 0 +44 *1520:21 *2719:8 0.000205201 +45 *1551:17 *2719:22 0 +46 *1551:28 *2719:22 6.25178e-05 +47 *1551:45 *2719:22 0.00067106 +48 *1551:47 *2719:22 0.000112626 +49 *1680:59 *2719:22 0.000874789 +50 *1698:62 *2719:16 0.000359091 +51 *1698:77 *2719:16 3.10069e-06 +52 *1801:16 *2719:22 0.000365174 +53 *2295:8 *2719:8 0.00328013 +54 *2431:23 *2719:22 1.5714e-05 +55 *2469:23 *2719:16 0.000158357 +56 *2577:28 *2719:22 6.29002e-05 +57 *2623:8 *2719:8 0 +58 *2702:18 *2719:16 0.000565854 +59 *2704:15 *2719:16 0.000161437 +60 *2707:8 *2719:16 0.00112789 +*RES +1 *21686:Y *2719:7 14.4725 +2 *2719:7 *2719:8 115.196 +3 *2719:8 *2719:16 42.4019 +4 *2719:16 *2719:22 46.0764 +5 *2719:22 *21690:B1 14.4725 +*END + +*D_NET *2720 0.0298173 +*CONN +*I *21689:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21687:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21689:A1 0 +2 *21687:Y 0.00115085 +3 *2720:24 0.00187929 +4 *2720:12 0.00479863 +5 *2720:11 0.00330509 +6 *2720:8 0.0015366 +7 *2720:8 *21003:B2 3.31736e-05 +8 *2720:8 *24009:S 7.14049e-05 +9 *2720:8 *2859:8 0 +10 *2720:8 *2899:11 0 +11 *2720:8 *6027:70 1.09738e-05 +12 *2720:8 *6027:72 5.96936e-05 +13 *2720:11 *5852:5 0.000282796 +14 *2720:11 *6027:96 0.00158313 +15 *2720:12 *4845:359 0 +16 *2720:12 *4875:24 0 +17 *2720:12 *5864:68 0 +18 *2720:24 *23941:S 0.000225178 +19 *2720:24 *3467:8 0.000402168 +20 *2720:24 *4845:359 0 +21 *2720:24 *5260:10 3.75398e-05 +22 *2720:24 *5563:45 0.000650027 +23 *20973:A2 *2720:12 0.000248273 +24 *21002:A2 *2720:8 0.000307679 +25 *21334:B2 *2720:24 0.000105098 +26 *23977:A0 *2720:12 0.000444159 +27 *24264:D *2720:8 0.00017419 +28 *24288:D *2720:11 6.50727e-05 +29 *439:182 *2720:11 0.000217937 +30 *439:182 *2720:12 3.31733e-05 +31 *439:240 *2720:11 0.000152878 +32 *497:35 *2720:12 4.83411e-05 +33 *497:39 *2720:12 0.000277251 +34 *511:10 *2720:12 5.2504e-06 +35 *524:16 *2720:12 0 +36 *524:18 *2720:12 0 +37 *532:12 *2720:12 3.00073e-05 +38 *545:29 *2720:24 0.000868425 +39 *1439:194 *2720:12 0.00116182 +40 *1497:172 *2720:24 2.77564e-05 +41 *1695:12 *2720:8 0 +42 *1709:87 *2720:24 0.00249333 +43 *1744:24 *2720:12 7.77309e-06 +44 *2098:10 *2720:24 0.000200266 +45 *2164:38 *2720:11 0.000984545 +46 *2273:14 *2720:24 2.82267e-05 +47 *2290:18 *2720:12 0.000344596 +48 *2333:24 *2720:8 0.000263084 +49 *2437:24 *2720:12 0.00263951 +50 *2559:8 *2720:8 0 +51 *2645:34 *2720:12 0.00212934 +52 *2645:34 *2720:24 2.06964e-05 +53 *2645:50 *2720:24 0.000512064 +*RES +1 *21687:Y *2720:8 49.9793 +2 *2720:8 *2720:11 26.8529 +3 *2720:11 *2720:12 100.039 +4 *2720:12 *2720:24 49.2321 +5 *2720:24 *21689:A1 9.24915 +*END + +*D_NET *2721 0.00180413 +*CONN +*I *21690:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21689:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21690:C1 0.000289527 +2 *21689:X 0.000289527 +3 *21690:C1 *21370:A1_N 0.000148144 +4 *21690:C1 *2722:11 7.33872e-05 +5 *21690:C1 *3204:19 0.000209312 +6 *21690:C1 *3496:29 4.87343e-05 +7 *21365:B2 *21690:C1 0.000336533 +8 *21690:B1 *21690:C1 2.57847e-05 +9 *21690:B2 *21690:C1 6.13007e-06 +10 *1551:45 *21690:C1 1.26119e-05 +11 *1551:47 *21690:C1 0.00026462 +12 *1680:59 *21690:C1 5.34415e-05 +13 *2719:22 *21690:C1 4.63742e-05 +*RES +1 *21689:X *21690:C1 49.0864 +*END + +*D_NET *2722 0.00742439 +*CONN +*I *21703:A I *D sky130_fd_sc_hd__and3_1 +*I *21690:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21703:A 0.000690363 +2 *21690:X 0.000552732 +3 *2722:11 0.00124309 +4 *21703:A *21703:C 0.000285135 +5 *21703:A *21745:B 0.000200794 +6 *21703:A *3204:26 0.00030783 +7 *21703:A *3206:24 0.00156721 +8 *2722:11 *3204:19 4.5078e-05 +9 *2722:11 *3206:15 0.00037788 +10 *6146:DIODE *21703:A 3.31745e-05 +11 *20197:B *21703:A 0.000207266 +12 *20198:A *21703:A 0.000249797 +13 *21690:B1 *2722:11 6.36477e-05 +14 *21690:B2 *2722:11 1.72399e-05 +15 *21690:C1 *2722:11 7.33872e-05 +16 *2576:64 *2722:11 0.00150977 +*RES +1 *21690:X *2722:11 29.6007 +2 *2722:11 *21703:A 34.9297 +*END + +*D_NET *2723 0.011837 +*CONN +*I *21696:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22473:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22792:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21691:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21696:A1 0.00161447 +2 *22473:A1 8.25046e-05 +3 *22792:B1 0 +4 *21691:Y 0.000515309 +5 *2723:19 0.00344122 +6 *2723:5 0.00225956 +7 *21696:A1 *21730:B1 5.43827e-05 +8 *21696:A1 *22491:B 7.92757e-06 +9 *21696:A1 *22491:D 0.000136298 +10 *21696:A1 *22492:A 5.63639e-06 +11 *21696:A1 *22781:A2 9.2932e-05 +12 *21696:A1 *22781:B2 4.2273e-06 +13 *21696:A1 *3719:10 0.000141737 +14 *22473:A1 *22473:A2 3.01683e-06 +15 *2723:5 *22793:C1 0.000114584 +16 *2723:5 *24630:RESET_B 0.000538733 +17 *2723:19 *22473:A2 7.76105e-06 +18 *2723:19 *22473:B2 0.000117326 +19 *2723:19 *22477:A1 5.98953e-06 +20 *2723:19 *22477:A2 0.000152184 +21 *2723:19 *22477:B2 7.92757e-06 +22 *2723:19 *22477:C1 4.51823e-05 +23 *2723:19 *22479:A2 3.96379e-06 +24 *2723:19 *22479:B2 3.70528e-06 +25 *2723:19 *22479:C1 7.70172e-06 +26 *2723:19 *22482:C 0.000200794 +27 *2723:19 *22793:C1 7.54269e-06 +28 *2723:19 *22796:B 9.80242e-07 +29 *2723:19 *22796:C 0.000256056 +30 *2723:19 *22796:D 3.99086e-06 +31 *2723:19 *3131:45 7.09666e-06 +32 *2723:19 *3146:65 9.58129e-05 +33 *2723:19 *3146:67 7.00536e-05 +34 *2723:19 *3533:217 0.000135091 +35 *2723:19 *3533:227 9.78029e-05 +36 *20559:B *2723:5 0.000326898 +37 *22479:A1 *2723:19 0.000107496 +38 *22479:B1 *2723:19 2.65721e-06 +39 *22793:A1 *2723:19 0 +40 *1427:99 *2723:5 0.000310293 +41 *1459:129 *21696:A1 0.000129786 +42 *1537:101 *21696:A1 8.3897e-06 +43 *1542:19 *21696:A1 4.87439e-05 +44 *1636:108 *21696:A1 0.000173261 +45 *1688:98 *21696:A1 8.62625e-06 +46 *1723:77 *21696:A1 0.000110297 +47 *1771:70 *21696:A1 0.000154145 +48 *1784:166 *2723:5 1.74855e-05 +49 *1798:84 *21696:A1 3.06917e-06 +50 *2614:55 *2723:5 0.000192265 +51 *2649:41 *2723:19 9.80242e-07 +52 *2649:51 *2723:19 3.07431e-06 +*RES +1 *21691:Y *2723:5 27.1653 +2 *2723:5 *22792:B1 9.24915 +3 *2723:5 *2723:19 37.1126 +4 *2723:19 *22473:A1 11.3711 +5 *2723:19 *21696:A1 47.4709 +*END + +*D_NET *2724 0.0122024 +*CONN +*I *21695:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22478:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21694:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21695:B1 0 +2 *22478:A1 0 +3 *21694:Y 0.000956262 +4 *2724:24 0.000826568 +5 *2724:13 0.00178283 +6 *2724:13 *22478:A2 2.16355e-05 +7 *2724:13 *3131:66 5.45571e-05 +8 *2724:13 *3134:72 0.00109492 +9 *2724:13 *3146:67 0.00119082 +10 *2724:13 *4850:68 6.08467e-05 +11 *2724:24 *22488:B2 4.49161e-05 +12 *2724:24 *3155:104 0.000200794 +13 *2724:24 *3722:8 0.000137358 +14 *1427:111 *2724:13 1.13071e-05 +15 *1435:197 *2724:24 0.000965692 +16 *1537:113 *2724:24 3.47634e-05 +17 *1546:42 *2724:13 2.77625e-06 +18 *1546:42 *2724:24 0.00067886 +19 *1776:15 *2724:13 2.25583e-07 +20 *2543:79 *2724:24 9.16818e-05 +21 *2649:64 *2724:24 0.00201943 +22 *2655:64 *2724:24 0.00201824 +23 *2680:44 *2724:24 7.92757e-06 +*RES +1 *21694:Y *2724:13 46.32 +2 *2724:13 *22478:A1 9.24915 +3 *2724:13 *2724:24 48.8504 +4 *2724:24 *21695:B1 9.24915 +*END + +*D_NET *2725 0.00207167 +*CONN +*I *21696:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21695:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21696:C1 0.000432421 +2 *21695:X 0.000432421 +3 *21696:C1 *21707:B1 0.000144123 +4 *21696:C1 *3722:8 0.000275883 +5 *1496:130 *21696:C1 0.000272301 +6 *1751:120 *21696:C1 0.000294093 +7 *1784:166 *21696:C1 1.9633e-05 +8 *2543:88 *21696:C1 0.000200794 +*RES +1 *21695:X *21696:C1 40.0604 +*END + +*D_NET *2726 0.0215271 +*CONN +*I *21703:B I *D sky130_fd_sc_hd__and3_1 +*I *21696:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21703:B 0.000432529 +2 *21696:X 0.00368246 +3 *2726:22 0.00411499 +4 *21703:B *21745:B 0.000264634 +5 *2726:22 *21707:B1 6.78364e-06 +6 *2726:22 *21969:A1 0.00142379 +7 *2726:22 *22803:B2 0.000496844 +8 *2726:22 *2734:24 0.000792459 +9 *2726:22 *3688:22 0.000305014 +10 *2726:22 *4808:90 7.5909e-06 +11 *2726:22 *4821:41 7.56369e-05 +12 *19929:B1 *2726:22 0.000106353 +13 *20050:B1 *2726:22 0.000550824 +14 *21456:A2 *2726:22 0.000210143 +15 *21598:A1 *21703:B 6.36477e-05 +16 *21598:B1 *21703:B 0.00062923 +17 *21665:B2 *2726:22 0.000114078 +18 *22486:A1 *2726:22 0.000421417 +19 *520:17 *2726:22 0.000421417 +20 *541:28 *2726:22 0.000159964 +21 *1459:110 *2726:22 0.000958867 +22 *1544:133 *2726:22 0.000206449 +23 *1636:108 *2726:22 3.3239e-06 +24 *1639:85 *21703:B 0.000678681 +25 *1642:39 *2726:22 0.00249685 +26 *1662:40 *2726:22 0.000864084 +27 *1710:26 *2726:22 7.22263e-05 +28 *1751:120 *2726:22 6.3657e-05 +29 *1762:132 *2726:22 0.000210712 +30 *1784:169 *2726:22 5.4678e-05 +31 *1798:62 *2726:22 0.000312475 +32 *2519:33 *2726:22 0.00132533 +*RES +1 *21696:X *2726:22 47.4974 +2 *2726:22 *21703:B 23.7171 +*END + +*D_NET *2727 0.00954812 +*CONN +*I *22783:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21702:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22480:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21698:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22783:A1 7.14217e-05 +2 *21702:B1 0.000214303 +3 *22480:A1 2.7855e-05 +4 *21698:Y 0.000189648 +5 *2727:14 0.000567275 +6 *2727:8 0.00135802 +7 *2727:7 0.00115113 +8 *21702:B1 *2729:121 0.000162663 +9 *21702:B1 *2751:8 0.000161194 +10 *21702:B1 *3063:30 0.000154097 +11 *21702:B1 *3498:35 8.33408e-05 +12 *22480:A1 *2895:33 6.08467e-05 +13 *22783:A1 *3206:88 6.9902e-05 +14 *22783:A1 *3737:12 6.34651e-06 +15 *2727:7 *21698:A 2.16355e-05 +16 *2727:8 *21947:B 0.000329216 +17 *2727:8 *3206:24 9.80784e-05 +18 *2727:8 *3206:88 0.000237833 +19 *2727:8 *3737:8 2.15485e-05 +20 *2727:8 *3737:12 9.42362e-06 +21 *2727:14 *22768:A1 0.000760471 +22 *2727:14 *2751:8 8.94611e-05 +23 *2727:14 *3063:30 9.39556e-05 +24 *20907:A *2727:7 0.000161252 +25 *21351:B1 *2727:14 0.000760731 +26 *21702:A2 *21702:B1 2.41568e-05 +27 *1588:36 *22783:A1 8.8078e-05 +28 *1588:36 *2727:8 0.00132774 +29 *1731:136 *2727:8 0.00124552 +30 *1742:60 *2727:7 9.80242e-07 +*RES +1 *21698:Y *2727:7 16.691 +2 *2727:7 *2727:8 33.5988 +3 *2727:8 *2727:14 9.89794 +4 *2727:14 *22480:A1 14.4725 +5 *2727:14 *21702:B1 21.0949 +6 *2727:8 *22783:A1 15.7888 +*END + +*D_NET *2728 0.0348465 +*CONN +*I *21701:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *22472:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21700:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21701:B1 0.000183698 +2 *22472:B1 0.00447127 +3 *21700:Y 0.000566099 +4 *2728:45 0.000234428 +5 *2728:44 0.000625758 +6 *2728:41 0.00241709 +7 *2728:8 0.00687944 +8 *21701:B1 *21712:B1 0.000247443 +9 *21701:B1 *22023:B1 6.13004e-05 +10 *21701:B1 *2729:20 5.481e-05 +11 *21701:B1 *2850:12 3.56217e-05 +12 *22472:B1 *2837:49 0.00220498 +13 *22472:B1 *2954:27 0 +14 *22472:B1 *3155:107 6.1578e-06 +15 *22472:B1 *3155:116 5.97855e-05 +16 *22472:B1 *3166:82 7.56369e-05 +17 *22472:B1 *3682:22 0.00197305 +18 *22472:B1 *3699:23 5.61464e-05 +19 *2728:8 *4106:62 1.78687e-05 +20 *2728:8 *4106:69 0.000236341 +21 *2728:8 *4840:186 9.24241e-05 +22 *2728:41 *20388:B1 0.000149408 +23 *2728:41 *21806:A1 0 +24 *2728:41 *22024:A1 3.21263e-05 +25 *2728:41 *2963:33 0.000312249 +26 *2728:41 *5867:125 0.000193885 +27 *2728:41 *5867:147 5.97576e-05 +28 *2728:41 *5867:166 2.02035e-05 +29 *2728:44 *20388:A1 0.00012609 +30 *2728:44 *21226:A 0.000247443 +31 *2728:44 *22023:B1 0.000163063 +32 *2728:44 *5867:166 0.000104572 +33 *20387:B2 *2728:41 4.04556e-05 +34 *20388:A2 *2728:41 0.000413833 +35 *20497:B2 *2728:8 1.82679e-05 +36 *20749:B *2728:41 1.2107e-05 +37 *21701:B2 *21701:B1 2.764e-05 +38 *21712:A2 *21701:B1 0.000142484 +39 *21920:B2 *22472:B1 0.000539554 +40 *24576:D *2728:8 1.4091e-06 +41 *24577:D *2728:8 0.000148129 +42 *1427:116 *22472:B1 0.000114104 +43 *1546:14 *22472:B1 0.00186126 +44 *1547:66 *2728:41 0.000646512 +45 *1561:21 *22472:B1 0.000106365 +46 *1569:34 *22472:B1 4.10136e-05 +47 *1574:38 *22472:B1 0.00213504 +48 *1585:13 *2728:41 9.19433e-05 +49 *1609:22 *2728:44 0.000442958 +50 *1644:10 *21701:B1 4.78118e-05 +51 *1646:12 *2728:41 1.66771e-05 +52 *1724:39 *22472:B1 0.000176063 +53 *1724:39 *2728:8 0.000382604 +54 *1725:181 *2728:41 0 +55 *2288:14 *22472:B1 0 +56 *2293:13 *2728:44 8.29362e-05 +57 *2298:16 *2728:41 4.51619e-05 +58 *2602:32 *2728:41 0 +59 *2620:40 *22472:B1 0.00017245 +60 *2620:40 *2728:8 0.00118423 +61 *2685:25 *22472:B1 0.00383406 +62 *2685:42 *22472:B1 0.000213305 +*RES +1 *21700:Y *2728:8 36.9306 +2 *2728:8 *22472:B1 45.4282 +3 *2728:8 *2728:41 44.0805 +4 *2728:41 *2728:44 24.9468 +5 *2728:44 *2728:45 57.9449 +6 *2728:45 *21701:B1 33.826 +*END + +*D_NET *2729 0.102532 +*CONN +*I *21702:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21701:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21702:C1 0 +2 *21701:X 0.00146959 +3 *2729:121 0.00829062 +4 *2729:111 0.00963113 +5 *2729:95 0.00207676 +6 *2729:87 0.000984159 +7 *2729:77 0.00167047 +8 *2729:62 0.00257215 +9 *2729:61 0.00133106 +10 *2729:54 0.00169917 +11 *2729:50 0.00208565 +12 *2729:47 0.00154924 +13 *2729:38 0.00268964 +14 *2729:20 0.00317795 +15 *2729:20 *21712:B1 2.1801e-05 +16 *2729:20 *2737:29 6.50727e-05 +17 *2729:20 *2819:58 2.25844e-05 +18 *2729:20 *2886:8 0.000154726 +19 *2729:38 *2738:13 0.000673272 +20 *2729:38 *3134:214 0.0008538 +21 *2729:38 *3134:228 0.00122378 +22 *2729:38 *4834:46 0.000543487 +23 *2729:38 *5859:115 1.49927e-05 +24 *2729:38 *5859:132 0.000673272 +25 *2729:47 *20214:B1 0.000119035 +26 *2729:47 *3263:23 0.00289777 +27 *2729:47 *4812:18 1.7794e-05 +28 *2729:47 *4832:40 0.000220421 +29 *2729:50 *24294:SET_B 3.55859e-05 +30 *2729:50 *5857:97 0.00103265 +31 *2729:50 *5862:500 0.00012336 +32 *2729:54 *23873:A 0.000165459 +33 *2729:54 *25214:A 2.41483e-05 +34 *2729:54 *4817:54 2.23124e-05 +35 *2729:54 *4820:78 3.6455e-05 +36 *2729:54 *5040:13 7.09666e-06 +37 *2729:54 *5859:155 0.000491373 +38 *2729:61 *4823:63 0 +39 *2729:61 *5493:43 0.000247443 +40 *2729:62 *2978:48 0.00175763 +41 *2729:62 *2989:67 1.87125e-05 +42 *2729:62 *4821:145 0.00346207 +43 *2729:62 *5529:29 0.000639363 +44 *2729:62 *5592:112 0.000100364 +45 *2729:62 *5874:17 0.00158688 +46 *2729:77 *2882:74 0.00114771 +47 *2729:77 *4821:143 0.000272421 +48 *2729:77 *5475:110 0.000614093 +49 *2729:77 *5910:26 0.000228049 +50 *2729:87 *2938:34 0.000833209 +51 *2729:95 *2938:40 0.00175623 +52 *2729:111 *2978:68 0.000596163 +53 *2729:111 *5874:46 0.000918739 +54 *2729:121 *21881:B1 0 +55 *2729:121 *21893:B1 0.000283583 +56 *2729:121 *22764:A2 3.69274e-06 +57 *2729:121 *2751:8 1.5714e-05 +58 *2729:121 *2896:19 2.14262e-05 +59 *2729:121 *2978:82 0.00052787 +60 *2729:121 *3063:30 2.26985e-05 +61 *2729:121 *3137:9 0.00010664 +62 *2729:121 *3185:18 0.00182216 +63 *2729:121 *3185:25 2.66977e-05 +64 *2729:121 *3427:28 0.000292209 +65 *2729:121 *3498:35 0.000158451 +66 *2729:121 *3500:18 4.31468e-05 +67 *2729:121 *4830:71 0.000222817 +68 *2729:121 *5859:251 0.000208638 +69 mgmt_gpio_oeb[5] *2729:111 2.7642e-05 +70 mgmt_gpio_out[8] *2729:77 5.60804e-05 +71 *20210:A2 *2729:47 0.000351426 +72 *20960:A2 *2729:54 0.000491387 +73 *21085:A *2729:38 4.58529e-05 +74 *21086:A *2729:38 3.82228e-05 +75 *21095:A2 *2729:38 0.000107496 +76 *21095:B2 *2729:20 0.000525966 +77 *21351:B1 *2729:121 0 +78 *21352:A1 *2729:121 0.000104754 +79 *21352:B2 *2729:121 9.42876e-06 +80 *21701:B1 *2729:20 5.481e-05 +81 *21701:B2 *2729:20 0.000160882 +82 *21702:B1 *2729:121 0.000162663 +83 *21881:A2 *2729:121 0.0018926 +84 *22035:A2 *2729:38 0.000398089 +85 *25170:A *2729:111 0 +86 *25170:A *2729:121 0.000294899 +87 *478:54 *2729:50 0.000324041 +88 *490:83 *2729:54 3.77568e-05 +89 *490:84 *2729:62 1.72799e-05 +90 *529:42 *2729:95 0.000219665 +91 *537:30 *2729:95 4.23307e-05 +92 *547:21 *2729:121 0.0054236 +93 *1553:36 *2729:121 6.21488e-06 +94 *1607:29 *2729:20 0.000248118 +95 *1608:107 *2729:47 0.000478725 +96 *1620:113 *2729:20 0.000187131 +97 *1631:90 *2729:38 2.01765e-05 +98 *1634:22 *2729:47 0.000215952 +99 *1644:10 *2729:20 0 +100 *1709:53 *2729:77 0.000170921 +101 *1725:181 *2729:20 0.00125342 +102 *1725:192 *2729:38 3.30161e-05 +103 *1742:93 *2729:38 0.00368416 +104 *1784:96 *2729:38 9.49908e-05 +105 *1784:111 *2729:38 1.9101e-05 +106 *1886:76 *2729:47 0.000260388 +107 *1886:78 *2729:47 0.000247443 +108 *2208:5 *2729:38 0.000637807 +109 *2257:85 *2729:77 0.000428192 +110 *2266:36 *2729:54 0.000179785 +111 *2266:38 *2729:62 2.04589e-05 +112 *2266:55 *2729:77 0.000127281 +113 *2282:78 *2729:87 0.000840118 +114 *2287:74 *2729:61 0.000235121 +115 *2291:32 *2729:111 0.000594664 +116 *2291:61 *2729:77 7.83998e-06 +117 *2295:39 *2729:121 2.06526e-05 +118 *2339:8 *2729:38 0.000115011 +119 *2373:17 *2729:47 0.00030039 +120 *2374:26 *2729:38 0.00010096 +121 *2379:17 *2729:121 8.20167e-06 +122 *2384:73 *2729:77 0.00111068 +123 *2389:56 *2729:87 2.57465e-06 +124 *2391:49 *2729:111 0.000454416 +125 *2404:86 *2729:95 0.0014415 +126 *2415:19 *2729:121 0.000447933 +127 *2433:113 *2729:62 8.63353e-06 +128 *2433:113 *2729:77 3.17436e-05 +129 *2446:68 *2729:111 0.000910588 +130 *2446:126 *2729:62 0.00108194 +131 *2448:81 *2729:47 0.000101738 +132 *2448:147 *2729:77 0.00160231 +133 *2448:147 *2729:121 0.00052787 +134 *2452:14 *2729:20 6.22732e-06 +135 *2493:41 *2729:38 0.000334362 +136 *2498:12 *2729:38 0.00033917 +137 *2503:19 *2729:62 0.00171388 +138 *2531:20 *2729:20 0.00154022 +139 *2545:48 *2729:50 4.62112e-05 +140 *2610:42 *2729:54 0.000987654 +141 *2635:8 *2729:20 0.000158323 +142 *2635:48 *2729:62 7.02358e-06 +143 *2659:17 *2729:20 0.00168098 +144 *2675:63 *2729:121 2.99982e-05 +145 *2687:30 *2729:54 4.03685e-05 +*RES +1 *21701:X *2729:20 41.2212 +2 *2729:20 *2729:38 49.863 +3 *2729:38 *2729:47 32.4395 +4 *2729:47 *2729:50 24.3955 +5 *2729:50 *2729:54 47.5387 +6 *2729:54 *2729:61 15.39 +7 *2729:61 *2729:62 88.4121 +8 *2729:62 *2729:77 49.5554 +9 *2729:77 *2729:87 29.4963 +10 *2729:87 *2729:95 44.5269 +11 *2729:95 *2729:111 45.4147 +12 *2729:111 *2729:121 44.146 +13 *2729:121 *21702:C1 9.24915 +*END + +*D_NET *2730 0.00261812 +*CONN +*I *21703:C I *D sky130_fd_sc_hd__and3_1 +*I *21702:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21703:C 0.000590288 +2 *21702:X 0.000590288 +3 *21703:C *2923:16 0.000569934 +4 *21703:C *3737:12 0.000582475 +5 *21703:A *21703:C 0.000285135 +*RES +1 *21702:X *21703:C 43.0513 +*END + +*D_NET *2731 0.00150289 +*CONN +*I *21745:B I *D sky130_fd_sc_hd__and4_2 +*I *21703:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *21745:B 0.000365651 +2 *21703:X 0.000365651 +3 *21745:B *21744:A 4.15264e-05 +4 *21745:B *2751:8 0.000205153 +5 *21745:B *3063:30 5.94811e-05 +6 *21703:A *21745:B 0.000200794 +7 *21703:B *21745:B 0.000264634 +*RES +1 *21703:X *21745:B 37.609 +*END + +*D_NET *2732 0.0424507 +*CONN +*I *22490:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *6097:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22788:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21719:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21704:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22490:A1 0.000339427 +2 *6097:DIODE 0 +3 *22788:B1 0.000195376 +4 *21719:A1 0 +5 *21704:Y 0.000221484 +6 *2732:51 0.000295955 +7 *2732:50 0.000147974 +8 *2732:47 0.00385083 +9 *2732:34 0.0042163 +10 *2732:29 0.00222876 +11 *2732:28 0.00230356 +12 *2732:17 0.00249082 +13 *2732:8 0.00286054 +14 *22490:A1 *22445:B2 5.69128e-05 +15 *22490:A1 *3002:8 1.91391e-05 +16 *22490:A1 *3144:35 6.08467e-05 +17 *22490:A1 *3208:31 0.000514227 +18 *22490:A1 *3208:33 0.000274253 +19 *22788:B1 *22788:B2 9.57665e-05 +20 *2732:17 *20582:A1 0.000801355 +21 *2732:17 *21719:B1 0.000340742 +22 *2732:17 *21719:C1 6.08467e-05 +23 *2732:17 *21719:D1 3.8519e-05 +24 *2732:17 *24330:CLK 5.04829e-06 +25 *2732:17 *5898:21 4.89898e-06 +26 *2732:17 *5898:25 7.6885e-06 +27 *2732:29 *22445:B1 0.000115313 +28 *2732:29 *22445:B2 3.54963e-05 +29 *2732:29 *22446:C1 4.82779e-06 +30 *2732:29 *2999:26 0.000227191 +31 *2732:29 *5860:91 0.000363318 +32 *2732:47 *22455:C1 0.00240511 +33 *2732:47 *2955:48 0.00214294 +34 *2732:47 *2964:35 2.9169e-05 +35 *2732:47 *3058:8 0.00014163 +36 *2732:47 *3148:239 0.00179119 +37 *2732:47 *3168:78 3.29141e-05 +38 *2732:47 *3208:51 6.10797e-05 +39 *2732:47 *3421:17 2.28562e-05 +40 *2732:47 *3509:91 0.000214364 +41 *2732:47 *3734:18 0.000271695 +42 *2732:50 *2733:5 5.88657e-05 +43 *19936:B1 *2732:17 0.000406808 +44 *19937:A1 *2732:28 0.000111708 +45 *19946:A2 *2732:17 1.44925e-05 +46 *19946:B2 *2732:17 3.92329e-05 +47 *20003:A2 *2732:29 0.000135973 +48 *20582:B2 *2732:17 2.65831e-05 +49 *20597:B *2732:8 0.000321045 +50 *20597:B *2732:28 7.65861e-05 +51 *21462:A1 *2732:29 0.000194401 +52 *21719:A2 *2732:17 0.00011664 +53 *22806:B1 *2732:47 0.00386631 +54 *466:25 *2732:29 9.10748e-05 +55 *1454:38 *2732:47 0 +56 *1516:17 *2732:17 0.000111722 +57 *1639:65 *2732:29 3.12472e-05 +58 *1683:29 *2732:47 1.85963e-05 +59 *1683:32 *2732:29 4.70202e-05 +60 *1697:110 *2732:17 0.000112901 +61 *1716:68 *22490:A1 2.17109e-05 +62 *1729:80 *22490:A1 0.00110064 +63 *1729:80 *2732:47 0.000253165 +64 *1757:37 *2732:29 3.27801e-05 +65 *1757:48 *2732:29 0.00112755 +66 *1757:81 *2732:29 6.58801e-05 +67 *1762:86 *2732:47 8.00699e-05 +68 *1791:14 *2732:8 6.87762e-05 +69 *1791:14 *2732:28 0.000213575 +70 *1791:14 *2732:29 0.0002535 +71 *1791:18 *2732:29 0.00160606 +72 *1791:28 *2732:29 0.000335672 +73 *1792:37 *2732:28 3.82228e-05 +74 *1792:37 *2732:29 0 +75 *1792:40 *2732:28 0.000149228 +76 *1792:76 *2732:17 0.000688165 +77 *1808:23 *2732:29 1.44999e-05 +78 *1808:23 *2732:47 0.000116391 +79 *2004:27 *2732:29 0.000702972 +80 *2274:22 *2732:29 1.91246e-05 +81 *2429:25 *22490:A1 6.16643e-05 +82 *2429:25 *2732:34 2.37537e-05 +83 *2429:25 *2732:47 3.3378e-06 +84 *2536:28 *2732:47 0.000263893 +85 *2543:38 *2732:47 0.000138496 +*RES +1 *21704:Y *2732:8 18.1077 +2 *2732:8 *2732:17 49.2541 +3 *2732:17 *21719:A1 9.24915 +4 *2732:8 *2732:28 14.6015 +5 *2732:28 *2732:29 68.8952 +6 *2732:29 *2732:34 3.61987 +7 *2732:34 *2732:47 27.8379 +8 *2732:47 *2732:50 9.97254 +9 *2732:50 *2732:51 127.479 +10 *2732:51 *22788:B1 22.5975 +11 *2732:47 *6097:DIODE 9.24915 +12 *2732:34 *22490:A1 19.7614 +*END + +*D_NET *2733 0.0110497 +*CONN +*I *21707:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *22780:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22477:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21706:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21707:B1 0.00112356 +2 *22780:A1 2.18282e-05 +3 *22477:A1 0.000129662 +4 *21706:Y 0.000743835 +5 *2733:21 0.00172654 +6 *2733:5 0.00145465 +7 *21707:B1 *22488:B2 0.000264206 +8 *21707:B1 *22491:B 6.36477e-05 +9 *21707:B1 *3722:8 3.88655e-06 +10 *22477:A1 *22477:A2 1.5923e-05 +11 *22477:A1 *22796:C 1.07248e-05 +12 *22477:A1 *3148:289 0.000104298 +13 *22477:A1 *3166:82 1.72944e-05 +14 *2733:5 *22788:A1 1.65872e-05 +15 *2733:5 *22788:B2 0.000437525 +16 *2733:5 *22789:C1 0.000200794 +17 *2733:21 *22780:B2 0.000110297 +18 *2733:21 *22788:A1 0.000258758 +19 *2733:21 *2750:56 0.000900749 +20 *2733:21 *3190:55 6.85769e-05 +21 *21695:B2 *21707:B1 0.000104572 +22 *21695:B2 *2733:21 0.00010146 +23 *21696:C1 *21707:B1 0.000144123 +24 *21707:B2 *21707:B1 0.000340742 +25 *22780:B1 *2733:21 0.000343543 +26 *1723:100 *2733:5 7.92757e-06 +27 *1723:114 *2733:5 2.92975e-05 +28 *1751:120 *21707:B1 3.53797e-05 +29 *1762:132 *21707:B1 0.000467935 +30 *1772:71 *21707:B1 0.000251644 +31 *1784:166 *21707:B1 3.10304e-06 +32 *2543:88 *21707:B1 0.000282141 +33 *2543:88 *2733:21 0.000981544 +34 *2649:37 *2733:5 0.000201081 +35 *2649:51 *22477:A1 1.02368e-05 +36 *2723:19 *22477:A1 5.98953e-06 +37 *2726:22 *21707:B1 6.78364e-06 +38 *2732:50 *2733:5 5.88657e-05 +*RES +1 *21706:Y *2733:5 26.056 +2 *2733:5 *22477:A1 21.7201 +3 *2733:5 *2733:21 22.3771 +4 *2733:21 *22780:A1 9.82786 +5 *2733:21 *21707:B1 43.6153 +*END + +*D_NET *2734 0.0318707 +*CONN +*I *6187:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21719:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21707:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *6187:DIODE 0 +2 *21719:B1 0.000304207 +3 *21707:X 0.00637873 +4 *2734:24 0.00668293 +5 *2734:24 *21695:A1 0.000107315 +6 *2734:24 *2744:19 0.00272472 +7 *2734:24 *3509:60 1.24546e-05 +8 *20050:B1 *2734:24 0.000393188 +9 *21707:B2 *2734:24 0.000156955 +10 *541:28 *2734:24 0.000159964 +11 *1435:182 *2734:24 7.17944e-05 +12 *1450:20 *2734:24 0.00270572 +13 *1596:14 *2734:24 0.000792825 +14 *1642:39 *2734:24 0.00101303 +15 *1651:29 *2734:24 0.000274252 +16 *1662:40 *2734:24 0.000873557 +17 *1695:42 *2734:24 6.23101e-05 +18 *1710:8 *21719:B1 6.48631e-05 +19 *1710:8 *2734:24 1.91246e-05 +20 *1788:89 *2734:24 1.5714e-05 +21 *1807:20 *2734:24 0.00559038 +22 *2519:33 *2734:24 0.00225025 +23 *2587:16 *21719:B1 7.12662e-05 +24 *2587:16 *2734:24 1.19737e-05 +25 *2726:22 *2734:24 0.000792459 +26 *2732:17 *21719:B1 0.000340742 +*RES +1 *21707:X *2734:24 49.6497 +2 *2734:24 *21719:B1 19.2169 +3 *2734:24 *6187:DIODE 13.7491 +*END + +*D_NET *2735 0.00165573 +*CONN +*I *21713:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21708:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21713:A1 0.000600607 +2 *21708:Y 0.000600607 +3 *21713:A1 *21932:A1 8.46327e-05 +4 *21713:A1 *2739:13 2.77564e-05 +5 *21713:A1 *2944:15 0.000291967 +6 *19675:A *21713:A1 1.59362e-06 +7 *1439:231 *21713:A1 1.89959e-05 +8 *1492:88 *21713:A1 2.95697e-05 +*RES +1 *21708:Y *21713:A1 38.2334 +*END + +*D_NET *2736 0.0128425 +*CONN +*I *21713:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21709:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21713:B1 0.000635012 +2 *21709:Y 0.000363223 +3 *2736:16 0.00270792 +4 *2736:10 0.00243613 +5 *21713:B1 *20244:B1 0.000584238 +6 *21713:B1 *2739:13 6.55265e-05 +7 *2736:10 *4875:30 0.000236751 +8 *2736:10 *4875:35 0.000348933 +9 *2736:10 *5200:10 3.00174e-06 +10 *2736:16 *20242:B1 0 +11 *2736:16 *20244:A1 7.11193e-06 +12 *2736:16 *24670:CLK 0.000105196 +13 *2736:16 *4915:13 0.000497539 +14 *19677:A *21713:B1 0.00015607 +15 *20241:B2 *21713:B1 0.000550902 +16 *20242:B2 *2736:16 0.000310718 +17 *21326:A1 *2736:10 4.70005e-05 +18 *21713:B2 *21713:B1 5.72763e-05 +19 *408:11 *2736:10 0 +20 *548:21 *2736:16 0.00014057 +21 *1896:16 *2736:16 2.65331e-05 +22 *1896:18 *2736:16 0.000112821 +23 *1896:47 *21713:B1 6.08467e-05 +24 *2268:19 *21713:B1 0.00313025 +25 *2270:51 *21713:B1 0.000258905 +*RES +1 *21709:Y *2736:10 29.1096 +2 *2736:10 *2736:16 42.3345 +3 *2736:16 *21713:B1 45.4913 +*END + +*D_NET *2737 0.0248745 +*CONN +*I *21712:A1 I *D sky130_fd_sc_hd__o22a_4 +*I *21710:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21712:A1 0 +2 *21710:Y 0 +3 *2737:29 0.00121361 +4 *2737:16 0.00252111 +5 *2737:15 0.00157949 +6 *2737:10 0.00163129 +7 *2737:6 0.00332997 +8 *2737:5 0.00197065 +9 *2737:6 *20467:B1 0 +10 *2737:6 *20468:A1 0 +11 *2737:6 *2978:8 0 +12 *2737:6 *4830:11 0.000143032 +13 *2737:6 *4841:214 0.00020172 +14 *2737:6 *4842:139 0 +15 *2737:6 *5887:10 8.92568e-06 +16 *2737:10 *20429:A1 0.00011362 +17 *2737:10 *21446:A 3.17325e-05 +18 *2737:10 *2978:8 0 +19 *2737:10 *4841:124 7.08723e-06 +20 *2737:10 *4841:131 0.000566316 +21 *2737:10 *5853:611 0.000148129 +22 *2737:10 *5866:303 6.20492e-05 +23 *2737:15 *4126:54 0.00031586 +24 *2737:16 *2843:11 0.000226281 +25 *2737:16 *5861:58 0.000667818 +26 *2737:16 *5866:259 9.80738e-05 +27 *2737:29 *21712:B1 4.07355e-05 +28 *2737:29 *2837:24 0.000697087 +29 *2737:29 *4840:155 0.000200532 +30 *2737:29 *4840:173 0 +31 *2737:29 *4942:22 1.17419e-05 +32 *2737:29 *5867:223 0.000228644 +33 *2737:29 *5918:20 3.03034e-05 +34 *20429:B2 *2737:10 0.000189778 +35 *20430:B2 *2737:6 1.13331e-05 +36 *20430:B2 *2737:10 0.000335239 +37 *20435:A *2737:29 7.02358e-06 +38 *20469:A2 *2737:6 5.05252e-05 +39 *20538:A *2737:16 4.27003e-05 +40 *20670:B2 *2737:16 0.000177772 +41 *21712:B2 *2737:29 0.000111722 +42 *24544:D *2737:10 5.04734e-05 +43 *24600:D *2737:6 0.000482238 +44 *24611:D *2737:16 0.000136179 +45 *24613:D *2737:29 7.50872e-05 +46 *24622:D *2737:10 0.00012568 +47 *1550:32 *2737:29 0.000767716 +48 *1595:43 *2737:16 4.61962e-05 +49 *1607:18 *2737:29 3.54949e-06 +50 *1607:29 *2737:29 0.00011424 +51 *1708:116 *2737:29 9.94764e-05 +52 *1741:6 *2737:6 0.00147489 +53 *1741:6 *2737:10 0.000356365 +54 *1741:6 *2737:29 7.52736e-05 +55 *1741:21 *2737:29 2.24509e-05 +56 *1770:12 *2737:16 0.000758008 +57 *1799:26 *2737:16 0.000788005 +58 *1799:28 *2737:16 0.000263446 +59 *1884:152 *2737:16 0 +60 *1956:23 *2737:10 8.33404e-05 +61 *1958:8 *2737:29 0.000327085 +62 *1958:15 *2737:29 2.02035e-05 +63 *1958:92 *2737:29 0.000250331 +64 *1959:22 *2737:29 1.91924e-05 +65 *1967:22 *2737:6 0.000254254 +66 *1991:8 *2737:16 0.000750305 +67 *1991:85 *2737:16 0.000311593 +68 *2347:26 *2737:15 0.000181964 +69 *2729:20 *2737:29 6.50727e-05 +*RES +1 *21710:Y *2737:5 13.7491 +2 *2737:5 *2737:6 63.0817 +3 *2737:6 *2737:10 46.7892 +4 *2737:10 *2737:15 18.0424 +5 *2737:15 *2737:16 53.9461 +6 *2737:16 *2737:29 40.8968 +7 *2737:29 *21712:A1 9.24915 +*END + +*D_NET *2738 0.10572 +*CONN +*I *21713:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *6188:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21712:X O *D sky130_fd_sc_hd__o22a_4 +*CAP +1 *21713:C1 0 +2 *6188:DIODE 3.10742e-05 +3 *21712:X 0.00486773 +4 *2738:22 0.00767563 +5 *2738:14 0.0321672 +6 *2738:13 0.0293903 +7 *2738:13 *20214:B1 0.00166147 +8 *2738:13 *20388:B1 0 +9 *2738:13 *22023:B1 1.00816e-05 +10 *2738:13 *2846:16 1.66771e-05 +11 *2738:13 *2986:17 6.22732e-06 +12 *2738:13 *3134:194 0.000864524 +13 *2738:13 *4834:69 0.000393742 +14 *2738:13 *4835:49 0.000668528 +15 *2738:13 *4844:182 0.0012979 +16 *2738:13 *5857:503 0.000474388 +17 *2738:13 *5859:132 0.00231626 +18 *2738:14 *20169:B1 0.000110809 +19 *2738:14 *2809:24 0 +20 *2738:14 *2896:72 0 +21 *2738:14 *2950:76 0 +22 *2738:14 *2950:92 0 +23 *2738:14 *4804:109 0.000117093 +24 *2738:14 *4806:81 0.000101025 +25 *2738:14 *4821:59 0.000117093 +26 *2738:14 *5853:383 0.000100822 +27 *2738:14 *5853:392 0.000160192 +28 *2738:14 *5959:40 0.000104535 +29 *2738:22 *25293:A 5.38612e-06 +30 *21290:A1 *2738:13 6.05809e-05 +31 *21701:B2 *2738:13 5.76799e-05 +32 *22023:B2 *2738:13 0.00118788 +33 *489:78 *2738:13 6.23101e-05 +34 *1439:128 *2738:14 0 +35 *1443:49 *2738:14 0.00339298 +36 *1443:88 *2738:14 0.00923331 +37 *1488:16 *2738:22 1.94472e-05 +38 *1551:172 *2738:13 0.00102537 +39 *1556:20 *2738:14 0.000216496 +40 *1557:90 *2738:14 0.000150552 +41 *1608:113 *2738:13 0.000324953 +42 *1654:29 *2738:13 6.23101e-05 +43 *1663:21 *2738:14 0 +44 *1669:66 *2738:22 0.00143942 +45 *1717:163 *2738:13 0.000229406 +46 *1725:181 *2738:13 9.95119e-06 +47 *1729:19 *2738:13 2.37478e-05 +48 *1742:93 *2738:13 0.000669065 +49 *1770:31 *2738:13 1.49387e-05 +50 *2240:20 *2738:14 0.000239258 +51 *2256:14 *2738:14 0.000125365 +52 *2262:102 *2738:14 0.00132235 +53 *2268:19 *6188:DIODE 2.16355e-05 +54 *2278:24 *2738:14 0.000532499 +55 *2278:28 *2738:14 0.000105921 +56 *2282:53 *2738:14 3.08489e-05 +57 *2350:13 *2738:13 5.04829e-06 +58 *2372:60 *2738:13 0.000349533 +59 *2375:28 *2738:13 0.000548186 +60 *2425:21 *2738:14 0.000125365 +61 *2425:24 *2738:14 0.000100364 +62 *2426:78 *2738:14 0.000103693 +63 *2426:82 *2738:14 0.00013653 +64 *2602:32 *2738:13 0.000360202 +65 *2610:63 *2738:14 0.000100364 +66 *2729:38 *2738:13 0.000673272 +*RES +1 *21712:X *2738:13 36.2966 +2 *2738:13 *2738:14 59.1456 +3 *2738:14 *2738:22 25.387 +4 *2738:22 *6188:DIODE 9.97254 +5 *2738:22 *21713:C1 9.24915 +*END + +*D_NET *2739 0.0120628 +*CONN +*I *21719:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21713:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21719:C1 0.000419081 +2 *21713:X 0.000240856 +3 *2739:14 0.00281603 +4 *2739:13 0.0026378 +5 *21719:C1 *4878:6 0.000641699 +6 *21719:C1 *4922:70 0.000155171 +7 *2739:13 *21501:A 0.000131996 +8 *2739:13 *5851:330 0.000457641 +9 *2739:14 *21337:A 0 +10 *2739:14 *24675:RESET_B 0.000267013 +11 *2739:14 *24871:SET_B 0 +12 *2739:14 *2742:9 0.000268094 +13 *2739:14 *4878:6 0.000331608 +14 *2739:14 *4922:10 0.000373096 +15 *2739:14 *5192:8 0.000122083 +16 *2739:14 *5870:307 0.000167062 +17 *2739:14 *5870:327 0.000554149 +18 *19672:S *2739:14 0.000389021 +19 *19673:A *2739:14 3.2914e-05 +20 *19916:B2 *2739:14 2.3231e-05 +21 *21713:A1 *2739:13 2.77564e-05 +22 *21713:B1 *2739:13 6.55265e-05 +23 *21713:B2 *2739:13 0.000160942 +24 *21717:A2_N *2739:14 6.11074e-05 +25 *21719:A2 *21719:C1 6.08467e-05 +26 *1439:231 *2739:13 0 +27 *1488:16 *2739:14 0.000430879 +28 *1492:79 *2739:13 0.000194259 +29 *1492:88 *2739:13 3.12828e-05 +30 *1502:80 *2739:14 2.36494e-05 +31 *1502:86 *2739:13 0.000453443 +32 *1669:24 *2739:14 0.000375516 +33 *1669:31 *2739:14 5.12109e-05 +34 *2399:6 *2739:14 0 +35 *2587:13 *2739:14 3.69489e-05 +36 *2732:17 *21719:C1 6.08467e-05 +*RES +1 *21713:X *2739:13 34.0655 +2 *2739:13 *2739:14 70.7639 +3 *2739:14 *21719:C1 27.4186 +*END + +*D_NET *2740 0.0213104 +*CONN +*I *22480:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22786:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21718:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21714:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22480:B1 3.83039e-05 +2 *22786:B1 0.000140856 +3 *21718:A1 0 +4 *21714:Y 0.000418533 +5 *2740:28 0.000223895 +6 *2740:27 0.00142643 +7 *2740:23 0.00246685 +8 *2740:18 0.00233004 +9 *2740:8 0.00166342 +10 *22480:B1 *2895:33 9.97706e-05 +11 *22480:B1 *3432:17 5.04829e-06 +12 *22786:B1 *22480:A2 6.08467e-05 +13 *22786:B1 *22768:A1 1.08428e-05 +14 *22786:B1 *22786:A2 3.59567e-05 +15 *22786:B1 *22786:C1 0.000115573 +16 *22786:B1 *3498:35 0 +17 *22786:B1 *4854:6 0.000174875 +18 *2740:8 *2839:18 0.000153786 +19 *2740:18 *4922:10 5.93375e-06 +20 *2740:23 *2981:24 0 +21 *2740:23 *4878:6 0.00134058 +22 *2740:27 *21969:B1 0.000135192 +23 *2740:27 *21969:C1 0.000138789 +24 *2740:27 *22768:A1 5.4678e-05 +25 *2740:27 *2960:16 8.35558e-05 +26 *2740:27 *3016:22 6.51637e-05 +27 *2740:27 *3208:21 2.88703e-05 +28 *2740:27 *3500:38 0.00012883 +29 *2740:28 *2895:33 0.0002136 +30 *2740:28 *3432:17 8.41325e-05 +31 *19671:B *2740:18 0.000179661 +32 *19673:A *2740:18 0.000430542 +33 *19955:B2 *2740:27 0.000163751 +34 *21203:A *2740:23 3.6549e-05 +35 *21731:A2 *2740:27 0.000167105 +36 *21743:B2 *2740:18 0.000348568 +37 *21864:B2 *2740:27 4.67418e-06 +38 *21969:A2 *2740:27 7.50722e-05 +39 *24875:D *2740:18 0.000106543 +40 *1443:18 *2740:27 1.14175e-05 +41 *1450:10 *2740:23 1.51658e-05 +42 *1461:94 *2740:23 0.000293867 +43 *1488:16 *2740:8 0.000643662 +44 *1488:16 *2740:18 0.000465669 +45 *1492:40 *2740:23 0.000108569 +46 *1510:30 *2740:23 1.45398e-05 +47 *1510:45 *2740:23 0.00010545 +48 *1510:45 *2740:27 0.000131752 +49 *1511:6 *2740:23 0.000121868 +50 *1511:23 *2740:23 0.000118935 +51 *1544:54 *2740:27 1.5714e-05 +52 *1544:76 *2740:27 0.000199244 +53 *1545:11 *2740:27 7.72394e-06 +54 *1651:16 *2740:23 6.34651e-06 +55 *1651:16 *2740:27 0.000234193 +56 *1651:25 *2740:27 0.000333682 +57 *1708:27 *2740:23 0.000985856 +58 *1708:27 *2740:27 1.55666e-05 +59 *1710:18 *2740:27 4.65445e-05 +60 *1740:48 *2740:8 0.000229066 +61 *1740:48 *2740:18 0.000542705 +62 *1804:33 *2740:27 0.00124366 +63 *2399:6 *2740:23 3.85987e-05 +64 *2450:14 *2740:18 0.000942321 +65 *2586:8 *2740:8 0.000164843 +66 *2586:23 *2740:18 3.23798e-05 +67 *2586:31 *2740:18 0.00100478 +68 *2586:59 *2740:27 2.59085e-05 +69 *2586:61 *2740:27 5.35189e-05 +*RES +1 *21714:Y *2740:8 27.795 +2 *2740:8 *21718:A1 13.7491 +3 *2740:8 *2740:18 46.51 +4 *2740:18 *2740:23 43.8146 +5 *2740:23 *2740:27 48.0648 +6 *2740:27 *2740:28 2.38721 +7 *2740:28 *22786:B1 23.0443 +8 *2740:28 *22480:B1 10.5271 +*END + +*D_NET *2741 0.0133891 +*CONN +*I *21717:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21716:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21717:B1 0.0014947 +2 *21716:Y 0.00271602 +3 *2741:14 0.00184182 +4 *2741:7 0.00306314 +5 *21717:B1 *21575:A 3.82654e-05 +6 *21717:B1 *21717:A1_N 0.000113789 +7 *21717:B1 *21848:A 0.000118166 +8 *21717:B1 *24222:CLK 1.65872e-05 +9 *2741:7 *19724:B1 0.000251669 +10 *2741:7 *21504:A 6.22539e-05 +11 *2741:7 *24605:CLK 0.000117097 +12 *2741:7 *2926:9 0.000166327 +13 *2741:7 *4928:7 6.3657e-05 +14 *2741:7 *5854:210 0.000102003 +15 *2741:14 *21504:A 1.41976e-05 +16 *2741:14 *4845:463 0 +17 *2741:14 *4924:85 5.41377e-05 +18 *2741:14 *4924:92 0.000137404 +19 *19654:B *2741:14 0.000712578 +20 *21079:A *21717:B1 0.000213725 +21 *21717:B2 *21717:B1 0.000251182 +22 *21914:A2 *2741:14 0.000523679 +23 *2561:16 *2741:14 5.51483e-06 +24 *2561:91 *2741:14 0.00131115 +*RES +1 *21716:Y *2741:7 49.9281 +2 *2741:7 *2741:14 27.6361 +3 *2741:14 *21717:B1 43.2729 +*END + +*D_NET *2742 0.00278194 +*CONN +*I *21718:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21717:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *21718:C1 0 +2 *21717:X 0.000909979 +3 *2742:9 0.000909979 +4 *2742:9 *4878:6 0.000205916 +5 *2742:9 *5192:8 5.39608e-05 +6 *1711:38 *2742:9 5.88657e-05 +7 *2399:6 *2742:9 0.00037514 +8 *2739:14 *2742:9 0.000268094 +*RES +1 *21717:X *2742:9 44.4739 +2 *2742:9 *21718:C1 9.24915 +*END + +*D_NET *2743 0.00586586 +*CONN +*I *21719:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21718:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21719:D1 0.00104452 +2 *21718:X 0.00041081 +3 *2743:11 0.00145532 +4 *21719:D1 *4878:6 0.00153787 +5 *21719:A2 *21719:D1 3.8519e-05 +6 *1492:40 *21719:D1 0.000601004 +7 *1740:48 *2743:11 0.000128866 +8 *2270:8 *2743:11 4.59944e-05 +9 *2505:16 *21719:D1 0.000123931 +10 *2675:28 *2743:11 0.000440512 +11 *2732:17 *21719:D1 3.8519e-05 +*RES +1 *21718:X *2743:11 30.5719 +2 *2743:11 *21719:D1 45.9987 +*END + +*D_NET *2744 0.0139662 +*CONN +*I *21745:C I *D sky130_fd_sc_hd__and4_2 +*I *21719:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *21745:C 0 +2 *21719:X 0.00233154 +3 *2744:23 0.00160773 +4 *2744:19 0.00393927 +5 *2744:19 *5898:21 0.000247443 +6 *2744:23 *4821:28 1.38872e-05 +7 *2744:23 *4821:41 0.000425382 +8 *19787:B *2744:23 0.000544589 +9 *19946:B2 *2744:19 0 +10 *19960:A *2744:23 0.000173651 +11 *19980:B2 *2744:23 1.41976e-05 +12 *19981:B *2744:23 0.000169885 +13 *20052:B *2744:23 0.000103378 +14 *21719:A2 *2744:19 6.08467e-05 +15 *1691:44 *2744:19 0 +16 *1695:42 *2744:19 4.58798e-05 +17 *1708:34 *2744:23 0.000722696 +18 *1710:26 *2744:23 0.000291512 +19 *1730:23 *2744:23 5.51483e-06 +20 *1730:43 *2744:23 0.000255708 +21 *1766:11 *2744:19 1.43499e-05 +22 *1784:200 *2744:19 3.55859e-05 +23 *1792:76 *2744:19 7.12801e-05 +24 *2466:16 *2744:19 4.00504e-05 +25 *2466:29 *2744:23 6.09999e-05 +26 *2587:18 *2744:23 6.60932e-05 +27 *2734:24 *2744:19 0.00272472 +*RES +1 *21719:X *2744:19 31.2226 +2 *2744:19 *2744:23 43.5461 +3 *2744:23 *21745:C 9.24915 +*END + +*D_NET *2745 0.0174647 +*CONN +*I *22476:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22785:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21725:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21721:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22476:A1 0.000222293 +2 *22785:B1 0 +3 *21725:B1 0.000585245 +4 *21721:Y 0.000951058 +5 *2745:19 0.0017859 +6 *2745:11 0.00211913 +7 *2745:10 0.00164724 +8 *21725:B1 *21724:B1 2.55661e-06 +9 *21725:B1 *22768:A1 2.33103e-06 +10 *21725:B1 *2895:33 0.00059336 +11 *21725:B1 *3500:38 6.08467e-05 +12 *21725:B1 *4854:6 0.000100741 +13 *22476:A1 *22467:A1 3.55859e-05 +14 *22476:A1 *22467:A2 1.09738e-05 +15 *22476:A1 *22468:B2 3.11573e-05 +16 *22476:A1 *2834:17 0 +17 *22476:A1 *2974:34 0.000114679 +18 *22476:A1 *3463:12 0 +19 *2745:10 *20411:A1 0.000212109 +20 *2745:10 *20411:B1 0.000305478 +21 *2745:10 *21896:A 1.32772e-05 +22 *2745:10 *2974:13 7.14746e-05 +23 *2745:10 *5854:578 0.00126214 +24 *2745:11 *22467:A1 1.92336e-05 +25 *2745:11 *22467:A2 6.08467e-05 +26 *2745:11 *22467:B2 0.000162677 +27 *2745:11 *22468:C1 6.64392e-05 +28 *2745:11 *2864:82 0.00218673 +29 *2745:11 *2895:21 1.777e-05 +30 *2745:11 *2974:13 6.30609e-05 +31 *2745:11 *3208:72 0.000744116 +32 *2745:19 *22467:A1 1.61631e-05 +33 *2745:19 *22467:A2 4.33655e-05 +34 *2745:19 *22467:B2 3.01683e-06 +35 *2745:19 *22785:B2 0.0003009 +36 *2745:19 *2895:21 0.00031259 +37 *2745:19 *2895:33 6.36477e-05 +38 *2745:19 *2979:42 0.000286662 +39 *2745:19 *3432:17 0.000392809 +40 *19806:A *2745:19 6.64392e-05 +41 *19815:A *2745:11 3.82228e-05 +42 *20414:A2 *2745:10 0.000315549 +43 *20446:A *2745:10 0.000321919 +44 *21899:B2 *2745:11 0.000174664 +45 *1501:42 *2745:11 4.54554e-05 +46 *1501:53 *2745:11 5.8973e-05 +47 *1510:85 *2745:19 0.000289082 +48 *1541:128 *22476:A1 0.000160176 +49 *1563:72 *2745:19 0.000362969 +50 *1564:11 *21725:B1 9.13616e-06 +51 *1564:11 *2745:19 0.000356424 +52 *1688:118 *22476:A1 0 +53 *1710:26 *21725:B1 4.42033e-05 +54 *1762:40 *2745:10 0.000147726 +55 *1771:24 *21725:B1 2.16355e-05 +56 *1804:33 *21725:B1 7.4235e-06 +57 *1947:196 *2745:10 9.54357e-06 +58 *1949:33 *2745:10 2.41767e-05 +59 *1949:52 *2745:10 3.39313e-06 +60 *2702:37 *2745:10 0.000139932 +*RES +1 *21721:Y *2745:10 47.9717 +2 *2745:10 *2745:11 29.0082 +3 *2745:11 *2745:19 38.6535 +4 *2745:19 *21725:B1 38.0453 +5 *2745:19 *22785:B1 9.24915 +6 *2745:11 *22476:A1 24.2337 +*END + +*D_NET *2746 0.0273137 +*CONN +*I *22785:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21724:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22485:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21723:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22785:A1 0.000154947 +2 *21724:B1 0.000257426 +3 *22485:A1 0.000372627 +4 *21723:Y 0.00221371 +5 *2746:55 0.000800917 +6 *2746:43 0.00364128 +7 *2746:17 0.00587091 +8 *21724:B1 *21725:C1 0.000114584 +9 *21724:B1 *2858:34 0.000294197 +10 *22485:A1 *22488:B2 0.000191913 +11 *22485:A1 *3474:58 7.96573e-05 +12 *22485:A1 *3474:70 8.23875e-05 +13 *22485:A1 *3722:8 2.88759e-05 +14 *22785:A1 *22769:C1 2.12616e-05 +15 *22785:A1 *22786:A2 0.000254532 +16 *22785:A1 *2858:34 1.41976e-05 +17 *22785:A1 *3500:50 0.000339425 +18 *2746:17 *3204:36 0.000481392 +19 *2746:43 *21850:A1 6.21488e-06 +20 *2746:43 *22005:A1 5.48676e-06 +21 *2746:43 *22486:A2 1.24793e-06 +22 *2746:43 *22508:A2 2.55661e-06 +23 *2746:43 *22514:C 3.56313e-05 +24 *2746:43 *2866:21 0.00063243 +25 *2746:43 *2932:61 5.01553e-05 +26 *2746:43 *2997:14 0.000177764 +27 *2746:43 *3029:33 6.03122e-05 +28 *2746:43 *3417:29 0.000806333 +29 *2746:43 *3427:28 8.21307e-05 +30 *2746:43 *3474:58 9.53042e-05 +31 *2746:43 *3519:93 5.76913e-05 +32 *2746:43 *3519:97 3.17436e-05 +33 *2746:43 *3521:41 0.000370686 +34 *2746:43 *3523:28 1.05334e-05 +35 *2746:43 *3668:13 0.00011195 +36 *2746:43 *3705:17 0.00275438 +37 *2746:55 *22767:A1 4.23858e-05 +38 *2746:55 *22767:A2 0.000107496 +39 *2746:55 *22768:C1 9.27953e-05 +40 *2746:55 *2858:34 6.08467e-05 +41 *2746:55 *3500:50 0.000306993 +42 *19887:A *2746:17 6.7671e-06 +43 *19887:A *2746:55 4.65396e-05 +44 *21351:B1 *2746:17 0.000349616 +45 *21455:A2 *2746:43 0.000131711 +46 *21467:A1 *22485:A1 2.16355e-05 +47 *21565:A2 *2746:43 0.000419078 +48 *21624:B1 *22485:A1 2.16355e-05 +49 *21724:A2 *21724:B1 1.9633e-05 +50 *21724:B2 *21724:B1 0.000209388 +51 *21725:B1 *21724:B1 2.55661e-06 +52 *21850:A2 *2746:17 4.88955e-05 +53 *22726:B1 *22485:A1 6.61947e-05 +54 *22727:B1 *22485:A1 0.000298726 +55 *22737:B1 *2746:43 0.000698739 +56 *22806:B1 *2746:17 0.000202283 +57 *1435:125 *2746:43 2.05082e-05 +58 *1449:84 *2746:55 9.69294e-06 +59 *1459:87 *2746:43 0.000353163 +60 *1496:75 *2746:43 3.6549e-05 +61 *1510:99 *2746:43 6.68036e-06 +62 *1537:42 *2746:43 0.000105837 +63 *1544:108 *2746:43 0.000494853 +64 *1564:11 *21724:B1 0.000125405 +65 *1573:8 *2746:17 1.5714e-05 +66 *1641:38 *2746:17 0.000651612 +67 *1642:11 *2746:17 0.000443545 +68 *1675:15 *2746:17 6.08467e-05 +69 *1678:69 *2746:43 1.33089e-05 +70 *1689:51 *2746:17 1.9101e-05 +71 *1710:26 *21724:B1 0 +72 *1716:68 *2746:17 0.000108961 +73 *1755:110 *2746:17 0.000372907 +74 *1772:71 *22485:A1 0.000131291 +75 *2583:61 *2746:43 0.000182688 +76 *2586:61 *22785:A1 0.000151238 +77 *2593:54 *2746:43 0.000124035 +78 *2652:14 *22785:A1 0.000151238 +79 *2701:17 *2746:17 7.38164e-05 +*RES +1 *21723:Y *2746:17 43.5392 +2 *2746:17 *2746:43 45.2254 +3 *2746:43 *22485:A1 25.2325 +4 *2746:17 *2746:55 10.7304 +5 *2746:55 *21724:B1 26.6209 +6 *2746:55 *22785:A1 25.3779 +*END + +*D_NET *2747 0.00121473 +*CONN +*I *21725:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21724:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21725:C1 0.000215298 +2 *21724:X 0.000215298 +3 *21725:C1 *3500:38 0.000212104 +4 *21725:C1 *4821:41 0.000260453 +5 *21724:A2 *21725:C1 1.88152e-05 +6 *21724:B1 *21725:C1 0.000114584 +7 *21724:B2 *21725:C1 3.13851e-05 +8 *1804:37 *21725:C1 0.000146792 +*RES +1 *21724:X *21725:C1 27.2273 +*END + +*D_NET *2748 0.00257193 +*CONN +*I *21744:A I *D sky130_fd_sc_hd__and4_1 +*I *21725:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21744:A 0.000597755 +2 *21725:X 0.000597755 +3 *21744:A *3063:29 0.000294031 +4 *21744:A *3063:30 0.000285056 +5 *21744:A *4854:6 1.11717e-05 +6 *19787:B *21744:A 0.000279354 +7 *21598:A1 *21744:A 0.00026168 +8 *21598:A2 *21744:A 5.36085e-05 +9 *21745:B *21744:A 4.15264e-05 +10 *2650:27 *21744:A 0.000111722 +11 *2718:17 *21744:A 3.82691e-05 +*RES +1 *21725:X *21744:A 44.8001 +*END + +*D_NET *2749 0.0191581 +*CONN +*I *22782:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21731:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22489:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21726:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22782:B1 0.000551936 +2 *21731:A1 4.54885e-05 +3 *22489:B1 1.97901e-05 +4 *21726:Y 0.000434032 +5 *2749:41 0.000874446 +6 *2749:38 0.00168419 +7 *2749:11 0.00283347 +8 *2749:10 0.00184054 +9 *22489:B1 *22445:A1 2.53145e-06 +10 *22489:B1 *22489:B2 9.95922e-06 +11 *22782:B1 *22728:A2 1.77165e-05 +12 *22782:B1 *22728:B2 7.98171e-06 +13 *22782:B1 *22782:B2 9.95922e-06 +14 *22782:B1 *22783:C1 6.50586e-05 +15 *22782:B1 *24773:CLK 0 +16 *22782:B1 *2923:16 1.59945e-05 +17 *22782:B1 *3737:8 0.000170131 +18 *22782:B1 *5854:490 7.92757e-06 +19 *2749:10 *21458:A 8.998e-05 +20 *2749:10 *2844:24 4.95627e-05 +21 *2749:10 *5851:186 0.000414772 +22 *2749:10 *5851:192 2.11196e-05 +23 *2749:11 *22445:A1 0.000147013 +24 *2749:11 *22445:B1 0.000111722 +25 *2749:11 *22446:C1 5.73392e-05 +26 *2749:11 *22489:B2 2.65667e-05 +27 *2749:11 *22490:C1 1.00981e-05 +28 *2749:11 *24448:SET_B 0.000117565 +29 *2749:11 *24632:RESET_B 4.32761e-05 +30 *2749:11 *2943:32 6.1708e-05 +31 *2749:11 *5322:14 1.91332e-05 +32 *2749:38 *22489:B2 2.16355e-05 +33 *2749:38 *22490:C1 1.89572e-05 +34 *2749:38 *5563:45 8.62819e-06 +35 *2749:41 *6137:DIODE 0.000164829 +36 *2749:41 *21731:C1 9.82896e-06 +37 *2749:41 *21744:B 0.000353672 +38 *19929:B2 *2749:38 8.03699e-06 +39 *19956:A1 *2749:38 0.000251397 +40 *19956:B2 *2749:38 0.000158357 +41 *19981:A *2749:38 1.91391e-05 +42 *20368:B *2749:10 0.000156955 +43 *20369:A *2749:10 0.000343552 +44 *21731:A2 *2749:38 0.000348514 +45 *21731:A2 *2749:41 3.00613e-05 +46 *21731:B2 *21731:A1 6.08467e-05 +47 *21731:B2 *2749:41 0.000205006 +48 *22489:A1 *2749:38 6.08467e-05 +49 *22728:A1 *22782:B1 3.99701e-05 +50 *22728:B1 *22782:B1 5.04829e-06 +51 *22800:B1 *22782:B1 5.04829e-06 +52 *24448:D *2749:11 6.50727e-05 +53 *24632:D *2749:11 5.73188e-05 +54 *494:58 *2749:38 1.66771e-05 +55 *1443:49 *2749:38 8.12259e-06 +56 *1461:228 *2749:10 0.000158371 +57 *1515:142 *2749:38 0.000601909 +58 *1639:69 *2749:11 0.000300565 +59 *1639:69 *2749:38 0.00165286 +60 *1677:139 *2749:11 0.000154145 +61 *1684:15 *2749:10 0.000289966 +62 *1684:45 *2749:38 1.12829e-05 +63 *1685:5 *2749:11 0.00104944 +64 *1715:29 *2749:10 0.000135644 +65 *1716:68 *2749:38 4.51619e-05 +66 *1717:24 *2749:38 5.60804e-05 +67 *1731:136 *22782:B1 0.000208479 +68 *1804:33 *2749:38 2.02035e-05 +69 *1808:11 *2749:38 0.00041348 +70 *1937:43 *2749:10 0.000253916 +71 *2429:25 *2749:38 0.00106899 +72 *2515:11 *2749:10 8.4574e-05 +73 *2586:59 *2749:41 0.000203595 +74 *2650:22 *22782:B1 0.000160617 +75 *2703:43 *2749:11 0.000110297 +*RES +1 *21726:Y *2749:10 35.5001 +2 *2749:10 *2749:11 42.3187 +3 *2749:11 *22489:B1 9.82786 +4 *2749:11 *2749:38 44.9585 +5 *2749:38 *2749:41 9.47649 +6 *2749:41 *21731:A1 10.5271 +7 *2749:41 *22782:B1 32.3573 +*END + +*D_NET *2750 0.0245839 +*CONN +*I *22471:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21730:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22788:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21729:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22471:B1 1.47608e-05 +2 *21730:B1 0.00138665 +3 *22788:A1 6.33482e-05 +4 *21729:Y 9.22657e-05 +5 *2750:56 0.00234591 +6 *2750:28 0.00198239 +7 *2750:18 0.00154853 +8 *2750:13 0.00153169 +9 *2750:10 0.00104998 +10 *21730:B1 *21707:A1 4.78961e-06 +11 *21730:B1 *2751:7 3.82228e-05 +12 *21730:B1 *3719:10 0.00043404 +13 *2750:10 *3411:57 0.000165481 +14 *2750:10 *4797:29 0.00011818 +15 *2750:10 *5456:31 0.000113968 +16 *2750:13 *20564:B1 3.17103e-05 +17 *2750:13 *21771:A1 0.000412155 +18 *2750:13 *2828:13 0.00325799 +19 *2750:13 *5856:160 0.000182191 +20 *2750:18 *21771:A1 5.99529e-06 +21 *2750:18 *2828:13 0.000909814 +22 *2750:18 *3175:87 5.26262e-05 +23 *2750:18 *3543:192 0.00016968 +24 *2750:28 *22472:C1 0.000129764 +25 *2750:28 *22794:A2 0.000119122 +26 *2750:28 *2959:18 0.000279309 +27 *2750:28 *3148:289 5.50678e-05 +28 *2750:28 *3166:82 5.0759e-05 +29 *2750:28 *3175:87 0.000133941 +30 *2750:28 *3543:192 0.000477143 +31 *2750:56 *22488:B2 0.000115919 +32 *2750:56 *22763:A2 0.000617924 +33 *2750:56 *2812:18 0.000761586 +34 *2750:56 *2866:21 5.67171e-05 +35 *2750:56 *3033:50 0.000107775 +36 *2750:56 *3457:20 3.6574e-05 +37 *2750:56 *3461:26 0.000205318 +38 *2750:56 *3519:159 1.70059e-05 +39 *19808:B *2750:56 9.84424e-06 +40 *20522:A *2750:13 0.000353686 +41 *21695:B2 *22788:A1 0.000203595 +42 *21695:B2 *2750:56 0.000917757 +43 *21696:A1 *21730:B1 5.43827e-05 +44 *21771:A2 *2750:18 6.08467e-05 +45 *21945:A2 *2750:18 0.000158451 +46 *22471:A1 *22471:B1 6.08467e-05 +47 *22481:A1 *2750:56 1.30227e-05 +48 *22781:A1 *2750:56 5.61855e-05 +49 *22790:A1 *2750:56 3.54436e-05 +50 *1435:182 *21730:B1 2.55661e-06 +51 *1435:197 *2750:28 6.08467e-05 +52 *1435:205 *2750:28 2.69685e-05 +53 *1459:129 *21730:B1 0.000459097 +54 *1537:117 *2750:28 6.63489e-05 +55 *1554:17 *2750:13 5.04829e-06 +56 *1554:17 *2750:18 5.51483e-06 +57 *1560:24 *2750:13 0.000200794 +58 *1598:47 *21730:B1 8.98279e-05 +59 *1605:11 *22471:B1 6.08467e-05 +60 *1658:53 *2750:18 0.000124697 +61 *1723:77 *21730:B1 1.27624e-05 +62 *1723:100 *22788:A1 5.21128e-05 +63 *1731:107 *2750:56 0.000228799 +64 *1762:86 *2750:56 0.000124915 +65 *1771:70 *21730:B1 5.8973e-05 +66 *1776:26 *2750:28 0.000205101 +67 *1784:55 *2750:10 7.09395e-05 +68 *1788:86 *21730:B1 0.000152158 +69 *1788:89 *21730:B1 7.14746e-05 +70 *1800:75 *21730:B1 0.000154959 +71 *1947:137 *2750:13 1.65872e-05 +72 *1985:5 *2750:13 3.72251e-05 +73 *2649:64 *2750:28 0.000118914 +74 *2733:5 *22788:A1 1.65872e-05 +75 *2733:21 *22788:A1 0.000258758 +76 *2733:21 *2750:56 0.000900749 +*RES +1 *21729:Y *2750:10 22.6049 +2 *2750:10 *2750:13 38.4606 +3 *2750:13 *2750:18 21.3329 +4 *2750:18 *2750:28 38.3797 +5 *2750:28 *22788:A1 12.7456 +6 *2750:28 *2750:56 36.4273 +7 *2750:56 *21730:B1 42.0724 +8 *2750:18 *22471:B1 14.4725 +*END + +*D_NET *2751 0.0173754 +*CONN +*I *21731:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21730:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21731:C1 5.1403e-05 +2 *21730:X 0.000119698 +3 *2751:8 0.003915 +4 *2751:7 0.00398329 +5 *21731:C1 *6137:DIODE 5.01163e-05 +6 *2751:7 *21707:A1 0.000309938 +7 *2751:7 *21730:A1 4.45999e-05 +8 *2751:7 *2773:41 6.08467e-05 +9 *2751:8 *21994:D 0.000288477 +10 *2751:8 *22502:A2 6.48631e-05 +11 *2751:8 *22747:B2 4.64509e-05 +12 *2751:8 *22747:C1 9.66809e-05 +13 *2751:8 *22761:B 0.000156618 +14 *2751:8 *22787:C1 0.00010322 +15 *2751:8 *2773:41 0.00183382 +16 *2751:8 *2800:38 0.000273695 +17 *2751:8 *2805:22 1.5714e-05 +18 *2751:8 *3001:22 4.31485e-06 +19 *2751:8 *3063:30 0.000333021 +20 *2751:8 *3063:45 0.000190838 +21 *2751:8 *3142:46 6.02341e-05 +22 *2751:8 *3144:23 0.000216382 +23 *2751:8 *3498:112 0.00038094 +24 *2751:8 *3719:26 4.41072e-05 +25 *2751:8 *3719:44 9.73163e-05 +26 *2751:8 *3722:29 0.000143988 +27 *19787:B *2751:8 1.73781e-05 +28 *19862:A *2751:8 1.10925e-05 +29 *19894:B1 *2751:8 2.83972e-05 +30 *21455:B1 *2751:8 0.000171018 +31 *21537:C1 *2751:8 3.92776e-05 +32 *21702:B1 *2751:8 0.000161194 +33 *21730:B1 *2751:7 3.82228e-05 +34 *21730:B2 *2751:7 0.000107496 +35 *21731:A2 *21731:C1 0.000167076 +36 *21731:B2 *21731:C1 7.34948e-06 +37 *21745:B *2751:8 0.000205153 +38 *21789:A2 *2751:8 0.000200531 +39 *21881:A2 *2751:8 0.000186709 +40 *21909:B2 *2751:8 1.91391e-05 +41 *1502:193 *2751:8 0.000135973 +42 *1588:77 *2751:8 2.78219e-06 +43 *1588:88 *2751:8 7.63585e-06 +44 *1608:13 *2751:8 4.47099e-05 +45 *1616:17 *2751:8 0.000104166 +46 *1649:18 *2751:8 7.64093e-05 +47 *1650:14 *2751:8 0.000203496 +48 *1660:27 *2751:8 7.80943e-05 +49 *1660:66 *2751:8 0.000559341 +50 *1662:57 *2751:8 8.92222e-06 +51 *1723:47 *2751:8 9.61123e-05 +52 *1723:62 *2751:8 0.000956397 +53 *1771:47 *2751:8 6.09637e-05 +54 *1802:80 *2751:8 0.000184649 +55 *1802:98 *2751:8 6.27579e-05 +56 *2338:41 *2751:8 0.000200317 +57 *2539:35 *2751:8 5.1493e-06 +58 *2549:17 *2751:8 1.9101e-05 +59 *2563:26 *2751:8 2.27465e-05 +60 *2593:54 *2751:8 1.91391e-05 +61 *2599:26 *2751:8 0.000165876 +62 *2727:14 *2751:8 8.94611e-05 +63 *2729:121 *2751:8 1.5714e-05 +64 *2749:41 *21731:C1 9.82896e-06 +*RES +1 *21730:X *2751:7 18.3548 +2 *2751:7 *2751:8 112.289 +3 *2751:8 *21731:C1 16.1605 +*END + +*D_NET *2752 0.00119223 +*CONN +*I *21744:B I *D sky130_fd_sc_hd__and4_1 +*I *21731:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21744:B 0.000329274 +2 *21731:X 0.000329274 +3 *21744:B *2761:6 9.12416e-06 +4 *19787:B *21744:B 0.000136179 +5 *2338:41 *21744:B 1.29348e-05 +6 *2586:59 *21744:B 2.17755e-05 +7 *2749:41 *21744:B 0.000353672 +*RES +1 *21731:X *21744:B 34.2118 +*END + +*D_NET *2753 0.0723635 +*CONN +*I *21737:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22473:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22789:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21732:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21737:A1 8.12145e-05 +2 *22473:B1 0.000428514 +3 *22789:B1 1.66774e-05 +4 *21732:Y 3.69636e-05 +5 *2753:67 0.00210094 +6 *2753:49 0.00325099 +7 *2753:21 0.00537612 +8 *2753:19 0.0189632 +9 *2753:8 0.0153005 +10 *21737:A1 *2757:7 1.732e-05 +11 *21737:A1 *3063:13 1.65872e-05 +12 *22473:B1 *3148:226 0.00070754 +13 *22473:B1 *3148:239 3.52699e-05 +14 *22473:B1 *3162:87 0.000120386 +15 *22473:B1 *3162:102 2.6046e-05 +16 *22473:B1 *3507:47 0.000146432 +17 *22473:B1 *3734:18 0.000503105 +18 *22789:B1 *22796:C 7.12632e-06 +19 *22789:B1 *3511:104 1.91246e-05 +20 *2753:8 *4878:27 6.92705e-05 +21 *2753:19 *20158:A1 0 +22 *2753:19 *2839:27 0.00222666 +23 *2753:19 *3014:39 0.000376742 +24 *2753:19 *3373:24 0.000247949 +25 *2753:19 *4001:164 3.37008e-05 +26 *2753:19 *4008:75 0.000100822 +27 *2753:19 *4602:27 0.000225428 +28 *2753:19 *4693:15 0.000595227 +29 *2753:19 *4828:129 0.0025233 +30 *2753:19 *4925:31 0.00122238 +31 *2753:19 *5475:59 0.00017069 +32 *2753:21 *20487:B1 8.5749e-05 +33 *2753:21 *20565:B1 0 +34 *2753:21 *3131:41 0.000963346 +35 *2753:21 *3148:239 0.000303941 +36 *2753:21 *3168:78 5.60804e-05 +37 *2753:21 *3734:18 8.20799e-06 +38 *2753:21 *4001:164 2.50898e-05 +39 *2753:21 *5854:100 0 +40 *2753:21 *5858:128 0 +41 *2753:49 *2947:12 9.12416e-06 +42 *2753:49 *2951:28 0.000155364 +43 *2753:49 *2966:14 6.64942e-05 +44 *2753:49 *2966:23 0.000557411 +45 *2753:49 *2971:44 0.000360393 +46 *2753:67 *20578:A1 0.00018775 +47 *2753:67 *21207:A 0.00015634 +48 *2753:67 *21386:A 2.16355e-05 +49 *2753:67 *5830:41 0.000471962 +50 *2753:67 *5860:482 5.1493e-06 +51 *19817:B *2753:21 3.77034e-05 +52 *20575:B *2753:67 0.000360145 +53 *21390:B1 *2753:67 0.000459365 +54 *22792:A1 *2753:21 0.00011195 +55 *460:63 *2753:67 0.00262701 +56 *493:10 *2753:19 0.0001184 +57 *1428:24 *2753:67 0.000195148 +58 *1448:250 *2753:21 1.47685e-05 +59 *1459:52 *2753:19 0.000100822 +60 *1488:8 *21737:A1 0.000148567 +61 *1488:8 *2753:67 0.000482862 +62 *1511:54 *2753:49 0 +63 *1511:56 *2753:8 1.20291e-05 +64 *1511:56 *2753:49 9.48516e-05 +65 *1541:169 *22473:B1 5.43681e-05 +66 *1541:169 *2753:21 0.000446752 +67 *1587:23 *2753:49 0.000151766 +68 *1603:48 *2753:21 0.00036347 +69 *1637:25 *2753:67 0.000500188 +70 *1695:42 *2753:67 0.000358106 +71 *1711:16 *2753:67 6.71933e-05 +72 *1730:113 *2753:21 3.27675e-05 +73 *1732:67 *2753:21 0 +74 *1739:8 *2753:8 1.51658e-05 +75 *1739:8 *2753:49 0.000278716 +76 *1773:41 *2753:21 4.00225e-05 +77 *1784:47 *2753:21 6.03237e-05 +78 *1784:165 *2753:21 0.000108151 +79 *1786:25 *2753:19 0.000875872 +80 *1792:76 *2753:67 1.96926e-05 +81 *1998:44 *2753:21 0 +82 *2003:7 *2753:67 0.000115934 +83 *2445:23 *2753:67 4.34402e-05 +84 *2522:16 *2753:21 0.000138777 +85 *2536:28 *2753:21 0.000352115 +86 *2578:8 *2753:49 1.20988e-05 +87 *2603:59 *2753:19 0.00515816 +88 *2632:18 *2753:8 2.65667e-05 +*RES +1 *21732:Y *2753:8 15.2664 +2 *2753:8 *2753:19 45.7782 +3 *2753:19 *2753:21 10.4143 +4 *2753:21 *22789:B1 17.4965 +5 *2753:21 *22473:B1 21.1088 +6 *2753:8 *2753:49 43.5732 +7 *2753:49 *2753:67 48.9721 +8 *2753:67 *21737:A1 16.07 +*END + +*D_NET *2754 0.00127393 +*CONN +*I *21736:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21734:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21736:A1 0.000467035 +2 *21734:Y 0.000467035 +3 *21736:A1 *20241:A1 1.5296e-05 +4 *21736:A1 *20242:B1 6.03853e-05 +5 *21736:A1 *20364:B1 9.14834e-05 +6 *21736:A1 *2755:14 0 +7 *20239:A *21736:A1 2.65667e-05 +8 *21736:B2 *21736:A1 1.77537e-06 +9 *1502:90 *21736:A1 6.92705e-05 +10 *2559:14 *21736:A1 7.50872e-05 +*RES +1 *21734:Y *21736:A1 36.8233 +*END + +*D_NET *2755 0.00325957 +*CONN +*I *21736:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21735:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21736:B1 0 +2 *21735:Y 0.000980216 +3 *2755:14 0.000980216 +4 *2755:14 *20243:B1 0 +5 *2755:14 *21930:A 0 +6 *2755:14 *2902:12 0.000111708 +7 *2755:14 *4944:21 3.74738e-05 +8 *2755:14 *4954:27 0.000118166 +9 *20240:A *2755:14 0.000111708 +10 *21736:A1 *2755:14 0 +11 *21736:B2 *2755:14 5.22654e-06 +12 *24746:D *2755:14 0.000187483 +13 *1439:213 *2755:14 0.000488848 +14 *1896:16 *2755:14 0 +15 *1896:22 *2755:14 0 +16 *1897:8 *2755:14 1.82832e-05 +17 *1897:29 *2755:14 5.7911e-05 +18 *1897:50 *2755:14 3.1256e-05 +19 *2276:13 *2755:14 0.000131072 +*RES +1 *21735:Y *2755:14 49.8795 +2 *2755:14 *21736:B1 13.7491 +*END + +*D_NET *2756 0.0175529 +*CONN +*I *21737:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21736:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21737:C1 0.00128898 +2 *21736:X 0.00118823 +3 *2756:18 0.00249136 +4 *2756:14 0.0014788 +5 *2756:8 0.00146465 +6 *21737:C1 *20912:B1 0.000286761 +7 *21737:C1 *21743:B1 0.000100333 +8 *21737:C1 *4922:74 2.18821e-05 +9 *2756:8 *20241:A1 0.000142328 +10 *2756:8 *2831:34 0.000280436 +11 *2756:8 *4915:62 8.22288e-05 +12 *2756:8 *4915:73 0.00027233 +13 *2756:14 *20365:A1 1.46079e-05 +14 *2756:18 *2894:8 0.00204946 +15 *2756:18 *2981:16 0.00156484 +16 *20241:A2 *2756:8 2.61012e-05 +17 *21524:B1 *21737:C1 0.00144962 +18 *21736:A2 *2756:8 0 +19 *24665:D *2756:14 9.68902e-05 +20 *24748:D *2756:8 0.000130777 +21 *1439:213 *2756:8 0 +22 *1502:90 *2756:8 2.65831e-05 +23 *1669:15 *21737:C1 0.000355926 +24 *1669:76 *21737:C1 0.000631109 +25 *1669:94 *21737:C1 0.000692949 +26 *1671:38 *2756:18 9.97853e-05 +27 *1691:44 *21737:C1 8.63559e-06 +28 *1740:36 *21737:C1 0.000194945 +29 *1934:49 *2756:8 0 +30 *2299:8 *2756:8 0.000560344 +31 *2299:8 *2756:14 0 +32 *2299:8 *2756:18 0 +33 *2393:12 *2756:8 0 +34 *2426:68 *2756:14 1.72594e-05 +35 *2446:33 *2756:18 5.77826e-05 +36 *2450:14 *21737:C1 2.82171e-06 +37 *2450:29 *21737:C1 2.60879e-06 +38 *2576:6 *2756:8 0 +39 *2576:6 *2756:14 0.000328705 +40 *2576:6 *2756:18 0.00014279 +*RES +1 *21736:X *2756:8 49.8645 +2 *2756:8 *2756:14 8.7203 +3 *2756:14 *2756:18 49.2175 +4 *2756:18 *21737:C1 21.8299 +*END + +*D_NET *2757 0.008418 +*CONN +*I *21744:C I *D sky130_fd_sc_hd__and4_1 +*I *21737:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21744:C 8.61184e-05 +2 *21737:X 0.000137608 +3 *2757:8 0.00165149 +4 *2757:7 0.00170298 +5 *21744:C *21744:D 5.04829e-06 +6 *2757:8 *2761:6 0.000528898 +7 *2757:8 *3063:16 0.0018778 +8 *2757:8 *3063:29 0.000373265 +9 *19787:B *2757:8 7.94607e-05 +10 *19793:B *2757:8 0.000135188 +11 *21411:B1 *2757:8 4.09154e-05 +12 *21737:A1 *2757:7 1.732e-05 +13 *21737:A2 *2757:7 2.41274e-06 +14 *21968:A2 *2757:8 0.000188198 +15 *22800:B1 *21744:C 2.20702e-05 +16 *1488:8 *2757:7 3.25342e-06 +17 *1492:35 *2757:8 0.00042938 +18 *2270:8 *2757:8 0.000267698 +19 *2270:128 *2757:8 6.64609e-05 +20 *2401:11 *2757:8 0.000802438 +*RES +1 *21737:X *2757:7 15.5817 +2 *2757:7 *2757:8 57.891 +3 *2757:8 *21744:C 15.0271 +*END + +*D_NET *2758 0.0223604 +*CONN +*I *21743:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21738:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21743:A1 0.00258985 +2 *21738:Y 0.000279394 +3 *2758:35 0.00473496 +4 *2758:22 0.00416675 +5 *2758:16 0.00228335 +6 *2758:8 0.000339929 +7 *2758:7 0.00035761 +8 *21743:A1 *21743:B1 1.43848e-05 +9 *21743:A1 *21743:C1 1.43848e-05 +10 *2758:7 *20383:B1 0.000160617 +11 *2758:7 *4894:44 2.44184e-05 +12 *2758:7 *5860:247 0.000154145 +13 *2758:16 *4894:44 1.94584e-05 +14 *2758:16 *5214:6 7.50722e-05 +15 *2758:22 *24653:RESET_B 0.000470571 +16 *2758:22 *24677:CLK 0.00013822 +17 *2758:22 *4832:116 0.00036585 +18 *2758:22 *4863:20 0.000101054 +19 *2758:35 *20345:A0 6.08467e-05 +20 *2758:35 *2971:41 0.00126545 +21 *2758:35 *5858:223 0.000154145 +22 *19937:A1 *2758:35 0.000532473 +23 *19947:A *21743:A1 0.000457669 +24 *19947:B *21743:A1 1.40978e-05 +25 *19947:C *21743:A1 5.17349e-05 +26 *19947:C *2758:35 2.74751e-05 +27 *20383:A2 *2758:7 6.51738e-05 +28 *21743:A2 *21743:A1 2.16355e-05 +29 *21743:B2 *21743:A1 7.75756e-05 +30 *24653:D *2758:7 6.12686e-06 +31 *24657:D *2758:16 1.03403e-05 +32 *1461:159 *2758:35 2.94678e-05 +33 *1467:22 *2758:35 4.73232e-05 +34 *1516:17 *2758:35 0.000211492 +35 *1689:28 *21743:A1 9.44173e-05 +36 *1756:24 *2758:22 1.41853e-05 +37 *1756:101 *2758:22 0.00227852 +38 *1791:14 *2758:35 3.87022e-06 +39 *1792:37 *2758:35 0.000343703 +40 *1792:40 *2758:35 0.000104822 +41 *2516:16 *2758:16 7.14746e-05 +42 *2578:15 *2758:35 0.000126383 +*RES +1 *21738:Y *2758:7 24.7677 +2 *2758:7 *2758:8 104.301 +3 *2758:8 *2758:16 22.6077 +4 *2758:16 *2758:22 47.0416 +5 *2758:22 *2758:35 47.8511 +6 *2758:35 *21743:A1 49.2529 +*END + +*D_NET *2759 0.0271509 +*CONN +*I *21742:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21741:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21742:B1 0 +2 *21741:Y 0.000845827 +3 *2759:27 0.000918915 +4 *2759:19 0.00341286 +5 *2759:12 0.00409717 +6 *2759:11 0.00171053 +7 *2759:8 0.000953134 +8 *2759:8 *24904:RESET_B 8.3647e-05 +9 *2759:8 *5871:598 0.000127126 +10 *2759:8 *5871:600 0.000119972 +11 *2759:8 *5871:602 3.60268e-05 +12 *2759:8 *5871:604 0.000257063 +13 *2759:12 *5853:392 1.5471e-05 +14 *2759:12 *5855:343 0.000470928 +15 *2759:12 *6027:47 0.000357135 +16 *2759:19 *22115:A2 0 +17 *2759:19 *22117:B2 8.62625e-06 +18 *2759:27 *20520:A1 8.14875e-05 +19 *2759:27 *21742:A1 0.000555179 +20 *2759:27 *24562:RESET_B 3.19995e-05 +21 *2759:27 *4829:57 0.000118485 +22 *2759:27 *4830:90 0.000513023 +23 *2759:27 *4914:29 0.000111722 +24 *2759:27 *5261:8 2.23124e-05 +25 *20520:A2 *2759:27 6.92705e-05 +26 *20520:B2 *2759:27 6.65878e-05 +27 *21170:A *2759:11 0.000104809 +28 *21364:A2 *2759:27 6.22868e-05 +29 *21742:B2 *2759:27 9.43441e-05 +30 *22114:C *2759:12 0.000196919 +31 *24172:D *2759:12 0.000570962 +32 *24172:D *2759:19 8.45896e-06 +33 *24195:D *2759:8 3.42931e-05 +34 *24356:D *2759:12 4.61732e-05 +35 *24562:D *2759:27 7.90099e-05 +36 *24904:D *2759:8 3.42931e-05 +37 *324:11 *2759:8 0.000381956 +38 *476:81 *2759:12 0.000101118 +39 *502:30 *2759:12 9.64761e-05 +40 *502:30 *2759:19 4.10997e-05 +41 *524:6 *2759:27 0.000122083 +42 *527:71 *2759:19 0.00381569 +43 *527:80 *2759:27 0.000633354 +44 *1430:8 *2759:12 3.8079e-05 +45 *1695:26 *2759:27 0.000459901 +46 *1983:11 *2759:27 0.000567645 +47 *2063:17 *2759:12 7.13655e-06 +48 *2240:20 *2759:12 0.00353461 +49 *2267:31 *2759:12 0.000665168 +50 *2333:27 *2759:11 0.000470585 +51 *2585:8 *2759:8 0 +*RES +1 *21741:Y *2759:8 46.6573 +2 *2759:8 *2759:11 9.66022 +3 *2759:11 *2759:12 70.9715 +4 *2759:12 *2759:19 48.3453 +5 *2759:19 *2759:27 46.3802 +6 *2759:27 *21742:B1 9.24915 +*END + +*D_NET *2760 0.00875256 +*CONN +*I *21743:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21742:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21743:C1 0.000742927 +2 *21742:X 0.000700006 +3 *2760:10 0.00144293 +4 *21743:C1 *20912:B1 1.75569e-05 +5 *21743:C1 *21743:B1 0.00185413 +6 *21743:C1 *4878:6 2.39385e-05 +7 *21743:C1 *4922:74 4.10737e-05 +8 *2760:10 *19614:A1 6.22703e-05 +9 *2760:10 *19614:B1 0.000534974 +10 *2760:10 *4830:90 0.00270737 +11 *19614:A2 *2760:10 0.0002646 +12 *21743:A1 *21743:C1 1.43848e-05 +13 *21743:B2 *21743:C1 6.08467e-05 +14 *1691:44 *21743:C1 0 +15 *1695:42 *21743:C1 0.000269833 +16 *2181:24 *2760:10 1.5714e-05 +*RES +1 *21742:X *2760:10 47.6139 +2 *2760:10 *21743:C1 21.4387 +*END + +*D_NET *2761 0.00864579 +*CONN +*I *21744:D I *D sky130_fd_sc_hd__and4_1 +*I *21743:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21744:D 2.6585e-05 +2 *21743:X 0 +3 *2761:6 0.00168906 +4 *2761:5 0.00166247 +5 *2761:6 *20913:A1 4.72552e-05 +6 *2761:6 *5860:482 0.000809108 +7 *19787:B *2761:6 9.9928e-06 +8 *19793:B *2761:6 0.000131727 +9 *19990:B2 *2761:6 0.000216109 +10 *21411:A2 *2761:6 4.76283e-05 +11 *21411:B1 *2761:6 4.12833e-05 +12 *21744:B *2761:6 9.12416e-06 +13 *21744:C *21744:D 5.04829e-06 +14 *22800:B1 *21744:D 6.08467e-05 +15 *1492:35 *2761:6 0.000424283 +16 *1588:14 *2761:6 5.41377e-05 +17 *1637:16 *2761:6 3.06917e-06 +18 *2270:8 *2761:6 0.000442187 +19 *2270:128 *2761:6 7.14746e-05 +20 *2401:11 *2761:6 0.000802877 +21 *2586:43 *2761:6 0.000855035 +22 *2586:59 *2761:6 0.000707595 +23 *2757:8 *2761:6 0.000528898 +*RES +1 *21743:X *2761:5 13.7491 +2 *2761:5 *2761:6 62.0436 +3 *2761:6 *21744:D 14.4725 +*END + +*D_NET *2762 0.00121686 +*CONN +*I *21745:D I *D sky130_fd_sc_hd__and4_2 +*I *21744:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21745:D 0.000307666 +2 *21744:X 0.000307666 +3 *21745:D *2763:20 1.91391e-05 +4 *21745:D *2773:10 0.000129725 +5 *21472:C1 *21745:D 6.50727e-05 +6 *21731:B2 *21745:D 0.000107052 +7 *22728:B1 *21745:D 6.50586e-05 +8 *1650:14 *21745:D 0.000179663 +9 *1730:43 *21745:D 3.58208e-05 +*RES +1 *21744:X *21745:D 36.1121 +*END + +*D_NET *2763 0.0213937 +*CONN +*I *21746:D I *D sky130_fd_sc_hd__nand4_4 +*I *21745:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *21746:D 0 +2 *21745:X 0.00434872 +3 *2763:20 0.00434872 +4 *2763:20 *21909:A1 0.00349201 +5 *2763:20 *22005:C1 8.59507e-05 +6 *2763:20 *3063:29 4.80499e-06 +7 *2763:20 *3511:63 0.00140678 +8 *2763:20 *3719:44 0.000784686 +9 *2763:20 *5592:70 5.60804e-05 +10 *21537:B2 *2763:20 0.000632754 +11 *21618:B *2763:20 0.000166449 +12 *21745:D *2763:20 1.91391e-05 +13 *1443:49 *2763:20 0 +14 *1583:78 *2763:20 0.000156985 +15 *1588:77 *2763:20 0.00115426 +16 *1637:42 *2763:20 0.00224223 +17 *1649:18 *2763:20 0.000880694 +18 *1649:123 *2763:20 6.76755e-05 +19 *1650:14 *2763:20 2.27135e-05 +20 *1660:85 *2763:20 0.000838946 +21 *1790:19 *2763:20 0.000109235 +22 *1802:80 *2763:20 9.77482e-06 +23 *2338:41 *2763:20 0.0005145 +24 *2429:25 *2763:20 4.71283e-05 +25 *2675:68 *2763:20 3.41767e-06 +*RES +1 *21745:X *2763:20 47.31 +2 *2763:20 *21746:D 9.24915 +*END + +*D_NET *2764 0.0548596 +*CONN +*I *22633:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22289:A I *D sky130_fd_sc_hd__or2_1 +*I *21753:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21748:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22633:B1 0.000121826 +2 *22289:A 0 +3 *21753:A1 2.82198e-05 +4 *21748:Y 0.000573346 +5 *2764:82 0.00173082 +6 *2764:72 0.00236953 +7 *2764:71 0.00143488 +8 *2764:55 0.00210531 +9 *2764:48 0.00245052 +10 *2764:47 0.00157475 +11 *2764:42 0.00223001 +12 *2764:29 0.00387694 +13 *2764:11 0.00547984 +14 *2764:10 0.00412292 +15 *22633:B1 *22633:B2 9.95922e-06 +16 *22633:B1 *3192:170 0.000347858 +17 *22633:B1 *3539:166 5.0107e-05 +18 *22633:B1 *3579:23 0.000107496 +19 *2764:11 *21190:A 1.03403e-05 +20 *2764:11 *24216:RESET_B 3.38355e-06 +21 *2764:11 *24216:CLK 2.16355e-05 +22 *2764:11 *24217:CLK 0.000528629 +23 *2764:11 *4839:119 0.000123474 +24 *2764:11 *5355:5 2.01592e-05 +25 *2764:11 *5865:165 7.39113e-05 +26 *2764:29 *19972:A 0.000122098 +27 *2764:29 *20438:A1 1.19721e-05 +28 *2764:29 *20444:A1 0.000142487 +29 *2764:29 *24212:CLK 0.000406808 +30 *2764:29 *24429:CLK 1.92336e-05 +31 *2764:29 *2776:19 1.91651e-05 +32 *2764:29 *2919:20 0.00197731 +33 *2764:29 *4126:57 1.66771e-05 +34 *2764:29 *4860:23 1.19726e-05 +35 *2764:42 *19963:A 0.000247443 +36 *2764:42 *24429:CLK 0.000172981 +37 *2764:42 *2837:8 0.000576244 +38 *2764:42 *2987:10 0.000191541 +39 *2764:42 *4860:23 2.42023e-05 +40 *2764:42 *4888:142 9.78632e-05 +41 *2764:42 *5151:5 0.000137293 +42 *2764:42 *5866:162 2.1228e-06 +43 *2764:48 *20496:A1 6.43474e-05 +44 *2764:48 *21752:B1 0.000178726 +45 *2764:48 *21829:A 0.000250814 +46 *2764:48 *2883:20 4.18944e-06 +47 *2764:48 *2883:28 0.000216463 +48 *2764:48 *2954:27 0.000191604 +49 *2764:48 *5861:89 0.000134978 +50 *2764:55 *21753:B1 6.08467e-05 +51 *2764:55 *21753:C1 0.00027103 +52 *2764:55 *22280:A2 5.481e-05 +53 *2764:55 *22280:B2 5.23916e-05 +54 *2764:55 *24450:RESET_B 1.91114e-05 +55 *2764:55 *2766:14 1.96574e-05 +56 *2764:55 *3106:206 5.49209e-05 +57 *2764:55 *3131:142 0.000116379 +58 *2764:55 *3529:159 1.76457e-05 +59 *2764:71 *2769:13 0.000162739 +60 *2764:71 *2843:20 0.000273464 +61 *2764:71 *3529:159 5.68099e-05 +62 *2764:71 *3531:171 0.000350024 +63 *2764:71 *3541:81 0.00087544 +64 *2764:72 *22597:B 8.42542e-05 +65 *2764:72 *22629:B1 0.000107507 +66 *2764:72 *2770:68 0.000188248 +67 *2764:72 *2822:8 0.000625884 +68 *2764:72 *3070:36 8.17288e-05 +69 *2764:82 *2794:58 0.00167981 +70 *2764:82 *2822:8 0.000133447 +71 *2764:82 *2827:30 2.39343e-05 +72 *2764:82 *3044:33 0.000333933 +73 *2764:82 *3070:36 4.42709e-05 +74 *2764:82 *3519:250 0.000263289 +75 *19908:D *2764:71 5.93547e-06 +76 *20438:B2 *2764:29 2.65831e-05 +77 *20491:B *2764:48 0.000308207 +78 *21753:A2 *2764:55 1.88014e-05 +79 *21753:A2 *2764:71 6.53502e-05 +80 *21753:B2 *2764:55 0.000113107 +81 *22586:B1 *2764:71 4.31539e-05 +82 *24216:D *2764:11 7.89747e-05 +83 *489:29 *2764:10 0.00116146 +84 *1153:15 *2764:42 0.000336936 +85 *1439:438 *2764:47 0.000391697 +86 *1550:16 *2764:29 2.01653e-05 +87 *1593:18 *2764:48 9.92046e-06 +88 *1593:20 *2764:48 0.00273657 +89 *1595:28 *2764:29 2.16067e-05 +90 *1596:92 *2764:71 0.000253367 +91 *1623:18 *2764:71 0.000250244 +92 *1646:15 *2764:71 0.000300565 +93 *1646:35 *2764:71 0.000255121 +94 *1647:58 *2764:82 0.000180815 +95 *1647:87 *2764:82 0.00010028 +96 *1708:111 *2764:29 0 +97 *1724:48 *2764:48 0 +98 *1729:13 *2764:29 0.000307037 +99 *1733:85 *2764:48 0.000409476 +100 *1958:38 *2764:11 8.29362e-06 +101 *1958:38 *2764:29 0.00052117 +102 *1958:55 *2764:11 3.82228e-05 +103 *1959:40 *2764:29 0.000392701 +104 *2306:21 *2764:11 5.7438e-05 +105 *2319:9 *2764:10 0.000207266 +106 *2340:15 *2764:29 1.2894e-05 +107 *2486:39 *2764:10 0.00117016 +108 *2602:12 *2764:42 0.000163758 +109 *2620:37 *2764:29 0.0032743 +110 *2685:25 *2764:48 0.00105203 +*RES +1 *21748:Y *2764:10 41.9852 +2 *2764:10 *2764:11 50.915 +3 *2764:11 *2764:29 45.29 +4 *2764:29 *2764:42 46.2494 +5 *2764:42 *2764:47 13.6056 +6 *2764:47 *2764:48 56.6453 +7 *2764:48 *2764:55 26.4912 +8 *2764:55 *21753:A1 9.82786 +9 *2764:55 *2764:71 44.364 +10 *2764:71 *2764:72 13.8743 +11 *2764:72 *2764:82 46.3003 +12 *2764:82 *22289:A 9.24915 +13 *2764:72 *22633:B1 18.3789 +*END + +*D_NET *2765 0.0286945 +*CONN +*I *21753:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22766:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *22461:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21749:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21753:B1 2.3451e-05 +2 *22766:B1 0 +3 *22461:A1 0 +4 *21749:Y 0.000866442 +5 *2765:52 0.000993825 +6 *2765:48 0.00207286 +7 *2765:39 0.000816387 +8 *2765:21 0.00279691 +9 *2765:17 0.00210684 +10 *2765:14 0.00153541 +11 *2765:8 0.00121995 +12 *21753:B1 *3529:159 1.03403e-05 +13 *2765:8 *4003:42 0.00187823 +14 *2765:8 *4146:26 0.00107182 +15 *2765:8 *4146:35 0.000213627 +16 *2765:8 *5856:33 6.06525e-06 +17 *2765:14 *4003:42 9.05519e-05 +18 *2765:14 *4538:22 1.32509e-05 +19 *2765:21 *2883:20 0.000165655 +20 *2765:21 *2918:16 1.5254e-05 +21 *2765:21 *2956:48 0 +22 *2765:21 *3168:132 4.97043e-05 +23 *2765:21 *5855:25 0.000221185 +24 *2765:39 *22418:B2 7.92757e-06 +25 *2765:39 *22418:C1 6.71827e-05 +26 *2765:39 *22461:B2 2.53145e-06 +27 *2765:39 *22506:A2 0.000113107 +28 *2765:39 *2795:12 0.000327686 +29 *2765:39 *2956:48 0.000686721 +30 *2765:39 *3131:133 0.000430428 +31 *2765:39 *3531:163 7.14746e-05 +32 *2765:39 *3531:171 5.20546e-06 +33 *2765:48 *21752:B1 1.34424e-05 +34 *2765:48 *21753:C1 9.68909e-05 +35 *19848:B *2765:39 2.907e-05 +36 *20040:B1 *2765:52 0.000888538 +37 *24428:D *2765:8 0.00015634 +38 *1550:45 *2765:21 0.000476975 +39 *1566:91 *2765:17 0.001502 +40 *1586:77 *2765:52 9.37967e-05 +41 *1586:103 *2765:48 0.00013408 +42 *1586:103 *2765:52 0.000205675 +43 *1593:20 *2765:21 0.00167402 +44 *1593:20 *2765:39 0 +45 *1594:43 *2765:17 0.000547357 +46 *1595:75 *2765:52 0 +47 *1600:19 *2765:52 7.82637e-06 +48 *1602:79 *2765:48 0.000258142 +49 *1602:93 *2765:48 0.000335263 +50 *1619:51 *2765:17 0.000376461 +51 *1619:58 *2765:17 0.000380875 +52 *1623:18 *2765:52 0.000211761 +53 *1624:38 *2765:21 0.000167076 +54 *1624:38 *2765:48 0.000156955 +55 *1789:84 *2765:48 0.000126983 +56 *1789:84 *2765:52 0.000781671 +57 *2044:15 *2765:8 4.7305e-05 +58 *2044:15 *2765:14 0.000241324 +59 *2044:15 *2765:17 4.95311e-05 +60 *2168:12 *2765:14 0.000247231 +61 *2168:14 *2765:14 0.000188529 +62 *2661:7 *2765:48 0.000101738 +63 *2697:26 *2765:52 0.00125682 +64 *2764:55 *21753:B1 6.08467e-05 +*RES +1 *21749:Y *2765:8 49.955 +2 *2765:8 *2765:14 17.0186 +3 *2765:14 *2765:17 41.8272 +4 *2765:17 *2765:21 37.6475 +5 *2765:21 *2765:39 41.7554 +6 *2765:39 *22461:A1 9.24915 +7 *2765:21 *2765:48 22.8602 +8 *2765:48 *2765:52 40.7979 +9 *2765:52 *22766:B1 9.24915 +10 *2765:48 *21753:B1 14.4725 +*END + +*D_NET *2766 0.0205464 +*CONN +*I *21752:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22280:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22632:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21750:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21752:A1 0 +2 *22280:B1 0 +3 *22632:B1 0.000436144 +4 *21750:Y 0 +5 *2766:14 0.000603813 +6 *2766:6 0.00303861 +7 *2766:5 0.00287094 +8 *22632:B1 *3057:14 0.00068003 +9 *22632:B1 *3153:244 8.27055e-05 +10 *22632:B1 *3543:156 0.000309083 +11 *2766:6 *19746:C 5.41377e-05 +12 *2766:6 *22043:A 1.1246e-05 +13 *2766:6 *24650:RESET_B 3.45653e-05 +14 *2766:6 *2950:22 0.000229627 +15 *2766:6 *3033:24 0.0001254 +16 *2766:6 *3033:32 0.000134084 +17 *2766:6 *3131:158 0.000511383 +18 *2766:6 *3131:167 0.00022255 +19 *2766:6 *4843:87 0.00372127 +20 *2766:6 *5489:8 2.04806e-05 +21 *2766:6 *5853:15 0.00102082 +22 *2766:6 *5861:72 0.000241172 +23 *2766:6 *5908:95 3.71096e-05 +24 *2766:14 *22280:A2 6.3657e-05 +25 *2766:14 *22280:B2 6.08467e-05 +26 *2766:14 *3057:14 4.58785e-05 +27 *2766:14 *3529:159 0.000207266 +28 *19874:B1 *2766:6 0.000293447 +29 *20777:B *2766:6 4.319e-05 +30 *21498:A2 *2766:6 2.21081e-05 +31 *21752:B2 *2766:6 2.46869e-05 +32 *21752:B2 *2766:14 2.14842e-06 +33 *24650:D *2766:6 2.04806e-05 +34 *1435:318 *2766:6 0.000348848 +35 *1435:318 *2766:14 0.000148753 +36 *1602:74 *2766:14 0.000105985 +37 *2076:20 *2766:6 0.000335643 +38 *2076:29 *2766:6 0.000191624 +39 *2076:88 *2766:6 0.000184414 +40 *2076:103 *2766:6 0.000545502 +41 *2531:20 *2766:6 0.000184405 +42 *2565:22 *22632:B1 0.00067662 +43 *2565:22 *2766:6 0 +44 *2565:22 *2766:14 5.49031e-05 +45 *2697:8 *2766:6 0.00258116 +46 *2764:55 *2766:14 1.96574e-05 +*RES +1 *21750:Y *2766:5 13.7491 +2 *2766:5 *2766:6 121.84 +3 *2766:6 *2766:14 15.4664 +4 *2766:14 *22632:B1 30.7046 +5 *2766:14 *22280:B1 13.7491 +6 *2766:6 *21752:A1 13.7491 +*END + +*D_NET *2767 0.0298441 +*CONN +*I *21752:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22776:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22456:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21751:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21752:B1 0.00176595 +2 *22776:B1 0.000463938 +3 *22456:B1 0.000119675 +4 *21751:Y 0.000912688 +5 *2767:27 0.00164712 +6 *2767:17 0.0021993 +7 *2767:14 0.00129787 +8 *2767:13 0.00108996 +9 *2767:11 0.00267864 +10 *21752:B1 *20680:A1 0.000684509 +11 *21752:B1 *20863:B1 3.19069e-05 +12 *21752:B1 *21753:C1 0.000107496 +13 *21752:B1 *2883:20 6.04131e-05 +14 *21752:B1 *5861:89 0.000138041 +15 *21752:B1 *5866:45 6.95067e-05 +16 *22456:B1 *22456:B2 9.43828e-06 +17 *22456:B1 *2790:7 0.000225107 +18 *22456:B1 *3131:70 0.000158365 +19 *22456:B1 *3134:84 2.00832e-05 +20 *22456:B1 *3388:13 0.000147581 +21 *22776:B1 *3027:17 0.00022826 +22 *22776:B1 *3065:27 0.000347858 +23 *22776:B1 *3543:176 0.000161262 +24 *2767:11 *4665:58 3.38973e-05 +25 *2767:11 *4700:41 0.00092846 +26 *2767:11 *5858:26 6.21488e-06 +27 *2767:14 *4700:32 6.75696e-05 +28 *2767:14 *4932:71 2.46957e-05 +29 *2767:14 *5851:25 0.000867754 +30 *2767:14 *5858:26 0.000131464 +31 *2767:14 *5858:40 2.57384e-05 +32 *2767:17 *3027:17 0.000136442 +33 *2767:27 *25365:A 3.4052e-05 +34 *2767:27 *2863:14 0.000142544 +35 *2767:27 *2863:27 0.000100982 +36 *2767:27 *3028:20 1.85692e-05 +37 *2767:27 *3106:129 0.000160804 +38 *2767:27 *3106:149 0.000462526 +39 *2767:27 *3131:70 3.80771e-05 +40 *2767:27 *3131:77 6.71265e-05 +41 *2767:27 *3131:109 0.000104311 +42 *2767:27 *3134:84 0.000117966 +43 *2767:27 *5855:60 0.000730737 +44 *20885:B *2767:17 0.000828696 +45 *20885:B *2767:27 0.000150373 +46 *21499:B1 *21752:B1 0.000203595 +47 *1554:17 *2767:27 5.9108e-05 +48 *1582:8 *2767:14 0.00229364 +49 *1582:12 *2767:14 1.79091e-05 +50 *1582:43 *2767:14 1.5471e-05 +51 *1598:110 *2767:27 0.00044845 +52 *1625:106 *2767:17 0.000533811 +53 *1658:73 *21752:B1 0.00328682 +54 *1658:73 *2767:11 0.000451748 +55 *1776:60 *2767:27 7.77744e-05 +56 *1809:89 *2767:14 0.00134399 +57 *2553:24 *21752:B1 3.38123e-05 +58 *2554:35 *21752:B1 0.000284384 +59 *2554:35 *2767:11 3.68136e-05 +60 *2603:104 *22776:B1 5.09584e-05 +61 *2615:8 *2767:11 3.84926e-05 +62 *2661:7 *21752:B1 0.000731158 +63 *2764:48 *21752:B1 0.000178726 +64 *2765:48 *21752:B1 1.34424e-05 +*RES +1 *21751:Y *2767:11 29.2799 +2 *2767:11 *2767:13 3.36879 +3 *2767:13 *2767:14 47.3021 +4 *2767:14 *2767:17 14.6517 +5 *2767:17 *2767:27 49.8347 +6 *2767:27 *22456:B1 24.1488 +7 *2767:17 *22776:B1 21.6192 +8 *2767:11 *21752:B1 36.1641 +*END + +*D_NET *2768 0.00195055 +*CONN +*I *21753:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21752:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21753:C1 0.000333452 +2 *21752:X 0.000333452 +3 *21753:C1 *2954:28 0 +4 *21753:C1 *3106:206 0.0001853 +5 *21753:C1 *3529:159 1.80122e-05 +6 *21753:C1 *5908:95 5.47373e-05 +7 *20777:B *21753:C1 2.95757e-05 +8 *21499:B1 *21753:C1 0.000170801 +9 *21752:B1 *21753:C1 0.000107496 +10 *1602:79 *21753:C1 0.000253916 +11 *1602:93 *21753:C1 6.08467e-05 +12 *1783:38 *21753:C1 3.50378e-05 +13 *2764:55 *21753:C1 0.00027103 +14 *2765:48 *21753:C1 9.68909e-05 +*RES +1 *21752:X *21753:C1 40.2025 +*END + +*D_NET *2769 0.00560557 +*CONN +*I *21766:A I *D sky130_fd_sc_hd__and3_1 +*I *21753:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21766:A 0.00025915 +2 *21753:X 0.00109836 +3 *2769:13 0.00135751 +4 *21766:A *2780:25 1.60256e-05 +5 *21766:A *2843:31 0.000238219 +6 *21766:A *3562:16 0.000133626 +7 *2769:13 *3533:108 1.17351e-05 +8 *2769:13 *3539:158 0.000391697 +9 *19865:C1 *2769:13 9.22013e-06 +10 *19908:A *21766:A 0.000329868 +11 *20040:B2 *21766:A 5.19948e-05 +12 *21753:B2 *2769:13 0 +13 *1535:22 *21766:A 2.26985e-05 +14 *1586:103 *2769:13 3.18543e-05 +15 *1596:91 *2769:13 0.000638044 +16 *1601:16 *2769:13 5.66131e-05 +17 *1788:134 *2769:13 0.000796221 +18 *2764:71 *2769:13 0.000162739 +*RES +1 *21753:X *2769:13 49.2905 +2 *2769:13 *21766:A 27.2603 +*END + +*D_NET *2770 0.0349106 +*CONN +*I *22630:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21759:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22283:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21754:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22630:A1 0 +2 *21759:A1 0.000280225 +3 *22283:A1 0.000261162 +4 *21754:Y 0.0014726 +5 *2770:68 0.00184715 +6 *2770:46 0.00300966 +7 *2770:18 0.00314972 +8 *2770:16 0.00219379 +9 *2770:13 0.00168966 +10 *2770:8 0.0024143 +11 *21759:A1 *21766:B 1.12969e-05 +12 *21759:A1 *22248:A 1.27831e-06 +13 *21759:A1 *2873:17 0.000361678 +14 *21759:A1 *3507:180 0.000259657 +15 *21759:A1 *4942:22 1.77524e-05 +16 *22283:A1 *22283:A2 0.000127723 +17 *22283:A1 *22294:A 2.00439e-05 +18 *2770:13 *5880:31 0.000785986 +19 *2770:16 *24210:SET_B 0.000476281 +20 *2770:16 *24212:RESET_B 0.000119553 +21 *2770:16 *2776:19 2.53536e-05 +22 *2770:16 *4844:669 0.000152074 +23 *2770:16 *5865:51 0.000278375 +24 *2770:16 *5865:53 0.000308148 +25 *2770:18 *21092:A1 2.31725e-05 +26 *2770:18 *22279:A2 6.34128e-05 +27 *2770:18 *22283:A2 4.85285e-05 +28 *2770:18 *24210:SET_B 2.04171e-05 +29 *2770:18 *2806:8 0 +30 *2770:18 *2806:14 0 +31 *2770:18 *3164:180 0.000238787 +32 *2770:18 *4822:66 3.98697e-05 +33 *2770:18 *5352:18 1.1976e-05 +34 *2770:18 *5865:70 0.000574018 +35 *2770:46 *22281:A1 1.41976e-05 +36 *2770:46 *22281:A2 0.000247786 +37 *2770:46 *22281:B2 1.92481e-05 +38 *2770:46 *22281:C1 0.000100852 +39 *2770:46 *22631:A2 7.64622e-05 +40 *2770:46 *22631:B1 1.17054e-05 +41 *2770:46 *22631:C1 8.95752e-05 +42 *2770:46 *3038:17 8.50796e-05 +43 *2770:46 *3241:9 0.00105189 +44 *2770:46 *3527:172 1.5714e-05 +45 *2770:46 *3529:178 0.000225215 +46 *2770:46 *3529:185 3.82228e-05 +47 *2770:46 *3577:7 4.46618e-05 +48 *2770:68 *22576:C1 1.9101e-05 +49 *2770:68 *2822:8 8.45896e-06 +50 *2770:68 *3070:36 0.000474264 +51 *2770:68 *3533:119 7.09666e-06 +52 *2770:68 *3533:126 0.00025931 +53 *2770:68 *3537:164 0.000353163 +54 *2770:68 *3577:7 6.08467e-05 +55 *19864:B2 *2770:68 0.000123688 +56 *19895:C1 *2770:46 2.91243e-05 +57 *19895:C1 *2770:68 2.16355e-05 +58 *21092:B2 *2770:18 1.66626e-05 +59 *21308:A2_N *2770:13 0.00194915 +60 *21308:B1 *2770:13 6.08467e-05 +61 *21308:B2 *2770:13 1.17136e-05 +62 *21759:A2 *21759:A1 1.5714e-05 +63 *22576:A1 *2770:68 0.00025456 +64 *22576:B1 *2770:68 0.000206333 +65 *480:196 *2770:13 0.000540283 +66 *1439:424 *2770:13 0.00010722 +67 *1543:40 *21759:A1 0 +68 *1596:92 *22283:A1 6.50586e-05 +69 *1622:14 *2770:68 0.000140299 +70 *1627:148 *2770:16 8.98169e-05 +71 *1647:121 *22283:A1 0.0001582 +72 *1647:121 *2770:18 0.000386141 +73 *1647:126 *2770:16 0.000130418 +74 *1647:126 *2770:18 0.00075617 +75 *1647:148 *2770:16 4.27003e-05 +76 *1652:95 *2770:46 6.78364e-06 +77 *1688:75 *2770:68 0.000204366 +78 *1725:205 *2770:8 0.000492514 +79 *1770:58 *2770:68 8.88412e-05 +80 *1784:91 *2770:18 0.000427273 +81 *1790:100 *2770:68 0.000355318 +82 *1790:158 *2770:18 1.51692e-05 +83 *2117:143 *21759:A1 2.42414e-05 +84 *2134:8 *2770:8 0.00087554 +85 *2208:41 *2770:18 5.24732e-06 +86 *2208:80 *2770:16 0 +87 *2208:97 *2770:13 2.41274e-06 +88 *2369:27 *2770:13 0.000103983 +89 *2370:7 *2770:13 0.000457749 +90 *2384:10 *2770:8 0.000423319 +91 *2473:8 *2770:8 0.000872182 +92 *2487:20 *2770:13 0.000398089 +93 *2551:44 *2770:68 0.000237699 +94 *2566:28 *2770:68 0.000569688 +95 *2659:17 *2770:68 0.000569688 +96 *2764:72 *2770:68 0.000188248 +*RES +1 *21754:Y *2770:8 48.0311 +2 *2770:8 *2770:13 46.8818 +3 *2770:13 *2770:16 23.4618 +4 *2770:16 *2770:18 39.0703 +5 *2770:18 *22283:A1 20.2732 +6 *2770:18 *2770:46 40.265 +7 *2770:46 *2770:68 47.8259 +8 *2770:68 *21759:A1 22.0175 +9 *2770:46 *22630:A1 9.24915 +*END + +*D_NET *2771 0.028654 +*CONN +*I *21759:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22771:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22455:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21755:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21759:B1 0 +2 *22771:A1 0 +3 *22455:B1 0.00021839 +4 *21755:Y 4.94121e-05 +5 *2771:58 0.00179491 +6 *2771:35 0.00021839 +7 *2771:33 0.00219806 +8 *2771:15 0.0048777 +9 *2771:12 0.00180383 +10 *2771:8 0.000968506 +11 *22455:B1 *3155:116 1.29445e-05 +12 *22455:B1 *3168:97 0.000296841 +13 *22455:B1 *3543:192 0.000329697 +14 *2771:8 *4940:6 9.96342e-05 +15 *2771:12 *3069:18 0.00173293 +16 *2771:12 *3157:139 7.60356e-05 +17 *2771:12 *3166:105 7.94607e-05 +18 *2771:12 *5851:25 9.75243e-05 +19 *2771:12 *5851:36 0.000952155 +20 *2771:15 *22443:A 0.000124716 +21 *2771:15 *22443:B 0.000347769 +22 *2771:15 *22444:B1 2.99018e-05 +23 *2771:15 *22444:B2 0.000360119 +24 *2771:15 *22444:C1 1.92172e-05 +25 *2771:33 *21832:B1 0.00172069 +26 *2771:33 *22047:B1 9.69589e-05 +27 *2771:33 *22048:C1 0.000250856 +28 *2771:33 *22422:B2 2.05972e-05 +29 *2771:33 *22469:B 0.000150347 +30 *2771:33 *22469:C 0.000200899 +31 *2771:33 *2954:74 0.000303033 +32 *2771:33 *3398:17 3.18581e-05 +33 *2771:33 *3419:12 0.000432324 +34 *2771:33 *3541:180 0.00171337 +35 *2771:58 *22247:A2 5.5399e-05 +36 *2771:58 *22247:B2 0.000158451 +37 *2771:58 *22248:D 0.000154145 +38 *2771:58 *22444:A1 9.95234e-05 +39 *2771:58 *22444:B2 6.3657e-05 +40 *2771:58 *3062:18 0.00133977 +41 *2771:58 *3111:223 9.47944e-05 +42 *19809:A *2771:33 3.55859e-05 +43 *19810:C1 *2771:33 7.33454e-05 +44 *20890:A2 *2771:12 0.000207883 +45 *21944:A2 *2771:33 1.5714e-05 +46 *22247:A1 *2771:58 2.57847e-05 +47 *1547:26 *2771:33 0.000101489 +48 *1561:88 *2771:33 0.000328219 +49 *1566:55 *2771:33 0.000297247 +50 *1575:81 *2771:58 1.58551e-05 +51 *1587:121 *2771:33 0 +52 *1605:46 *2771:33 7.03503e-05 +53 *1641:158 *2771:33 9.65039e-05 +54 *1689:101 *2771:8 3.77804e-05 +55 *1721:154 *2771:58 0.000348071 +56 *1732:34 *2771:33 3.54949e-06 +57 *2093:158 *2771:58 3.04443e-05 +58 *2093:201 *2771:58 0.000173749 +59 *2535:40 *2771:58 4.47692e-05 +60 *2565:49 *2771:58 9.80242e-07 +61 *2567:35 *2771:33 4.69495e-06 +62 *2672:21 *2771:12 0.00142638 +63 *2672:64 *2771:12 0.00174084 +*RES +1 *21755:Y *2771:8 20.0811 +2 *2771:8 *2771:12 46.4322 +3 *2771:12 *2771:15 18.5339 +4 *2771:15 *2771:33 48.9758 +5 *2771:33 *2771:35 3.36879 +6 *2771:35 *22455:B1 20.7718 +7 *2771:35 *22771:A1 13.7491 +8 *2771:15 *2771:58 43.2129 +9 *2771:58 *21759:B1 9.24915 +*END + +*D_NET *2772 0.0346727 +*CONN +*I *21758:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22282:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22621:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21756:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21758:A1 0 +2 *22282:A1 2.89432e-05 +3 *22621:A1 0.000455357 +4 *21756:Y 0.0012723 +5 *2772:97 0.00187647 +6 *2772:58 0.000533716 +7 *2772:57 0.000224547 +8 *2772:50 0.000280954 +9 *2772:48 0.00276675 +10 *2772:38 0.00238739 +11 *2772:18 0.00169033 +12 *2772:17 8.73931e-05 +13 *2772:15 0.00240452 +14 *2772:12 0.00367682 +15 *22282:A1 *3481:159 4.31703e-05 +16 *22621:A1 *22602:A1 6.9605e-05 +17 *22621:A1 *22602:A2 1.69738e-05 +18 *22621:A1 *22602:B1 2.1366e-05 +19 *22621:A1 *22602:B2 0.000118267 +20 *22621:A1 *22621:A2 4.23858e-05 +21 *22621:A1 *22621:B2 3.82228e-05 +22 *22621:A1 *22621:C1 3.90625e-05 +23 *2772:12 *24229:RESET_B 1.83791e-05 +24 *2772:12 *24229:CLK 4.2372e-05 +25 *2772:12 *2906:56 0.00043183 +26 *2772:12 *2908:12 0.000428419 +27 *2772:12 *4824:142 6.12987e-05 +28 *2772:12 *4825:118 0.000110306 +29 *2772:12 *4845:303 0.000200794 +30 *2772:12 *4979:11 4.58529e-05 +31 *2772:12 *5862:202 0.000154145 +32 *2772:15 *22675:B2 0.000154145 +33 *2772:15 *22711:A2 6.08467e-05 +34 *2772:15 *3481:215 2.77625e-06 +35 *2772:38 *21636:B1 0.000703961 +36 *2772:38 *21653:B1 0.000210357 +37 *2772:38 *22651:A1 7.94462e-05 +38 *2772:38 *2813:47 0.000696814 +39 *2772:38 *2990:62 0.000200794 +40 *2772:38 *3124:146 9.12416e-06 +41 *2772:38 *3124:174 1.80225e-05 +42 *2772:38 *3481:215 0.000854696 +43 *2772:38 *3529:39 0.000362882 +44 *2772:38 *3539:27 6.09616e-05 +45 *2772:48 *21696:B1 0.000805667 +46 *2772:48 *2887:23 0.000706434 +47 *2772:48 *2930:67 7.60356e-05 +48 *2772:48 *3208:118 0.00110757 +49 *2772:48 *3243:22 1.27831e-06 +50 *2772:48 *3483:149 0.00025175 +51 *2772:48 *3483:163 0.000200794 +52 *2772:48 *4810:66 7.47353e-05 +53 *2772:48 *4815:122 0.000134041 +54 *2772:50 *22282:A2 2.77564e-05 +55 *2772:50 *3243:22 7.44425e-06 +56 *2772:57 *22282:A2 1.66626e-05 +57 *2772:57 *22602:B1 6.17618e-05 +58 *2772:57 *22603:C1 1.36104e-05 +59 *2772:97 *22539:B2 0.000538761 +60 *2772:97 *22540:C1 7.20515e-05 +61 *2772:97 *3509:42 0.000431986 +62 *20896:B2 *2772:12 3.82228e-05 +63 *20902:B2 *2772:12 2.41274e-06 +64 *21487:A2 *2772:97 6.27031e-05 +65 *21487:B2 *2772:97 0.000135067 +66 *21636:B2 *2772:48 3.17436e-05 +67 *22314:B1 *2772:38 0.000362882 +68 *22539:B1 *2772:97 0.000104773 +69 *22675:B1 *2772:15 1.81951e-05 +70 *1576:35 *2772:97 4.09379e-05 +71 *1583:21 *2772:12 0.000677477 +72 *1583:53 *22621:A1 0.000154145 +73 *1583:53 *2772:97 0.000244976 +74 *1592:61 *2772:48 7.75563e-05 +75 *1592:61 *2772:50 0.000101098 +76 *1592:61 *2772:57 9.66954e-05 +77 *1641:109 *2772:48 0.000298399 +78 *1645:69 *2772:48 7.10185e-05 +79 *1648:52 *2772:97 3.51369e-06 +80 *1655:61 *2772:97 4.1167e-05 +81 *1658:226 *2772:38 7.60356e-05 +82 *1688:91 *2772:97 0.000583673 +83 *2141:81 *2772:12 9.27278e-05 +84 *2478:15 *2772:15 0.00309294 +85 *2503:99 *22282:A1 4.95311e-05 +86 *2523:123 *2772:97 0.000298399 +87 *2527:34 *2772:97 5.65354e-05 +88 *2554:56 *2772:97 6.09999e-05 +89 *2570:99 *2772:38 0.000203595 +90 *2572:37 *2772:48 1.67867e-05 +91 *2690:40 *2772:38 6.74182e-05 +*RES +1 *21756:Y *2772:12 47.9593 +2 *2772:12 *2772:15 44.0456 +3 *2772:15 *2772:17 9.24915 +4 *2772:17 *2772:18 127.479 +5 *2772:18 *2772:38 49.4816 +6 *2772:38 *2772:48 30.1929 +7 *2772:48 *2772:50 2.45487 +8 *2772:50 *2772:57 16.7198 +9 *2772:57 *2772:58 104.301 +10 *2772:58 *22621:A1 29.1322 +11 *2772:50 *22282:A1 15.0271 +12 *2772:48 *2772:97 48.3956 +13 *2772:97 *21758:A1 9.24915 +*END + +*D_NET *2773 0.0301635 +*CONN +*I *22765:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22458:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21758:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21757:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22765:A1 0.00106705 +2 *22458:A1 0 +3 *21758:B1 0 +4 *21757:Y 0.00013974 +5 *2773:41 0.00127516 +6 *2773:35 0.00216293 +7 *2773:28 0.00190126 +8 *2773:15 0.00115213 +9 *2773:12 0.00154284 +10 *2773:10 0.00169464 +11 *2773:7 0.00149724 +12 *22765:A1 *22765:B1 6.36477e-05 +13 *22765:A1 *3008:18 7.09666e-06 +14 *22765:A1 *3071:30 1.02986e-05 +15 *22765:A1 *3117:74 0.00010676 +16 *22765:A1 *3124:93 7.74978e-05 +17 *22765:A1 *3162:21 2.99287e-05 +18 *22765:A1 *3168:7 0.0011706 +19 *22765:A1 *3669:8 3.78945e-05 +20 *2773:7 *4844:327 0.000737658 +21 *2773:10 *3669:8 1.8114e-05 +22 *2773:12 *3669:8 0.000512797 +23 *2773:15 *3139:25 0.000629361 +24 *2773:15 *3168:7 0.00062656 +25 *2773:28 *22480:A2 4.37678e-05 +26 *2773:28 *3114:29 1.84334e-05 +27 *2773:28 *3175:37 2.53759e-05 +28 *2773:28 *3185:53 0.000211561 +29 *2773:28 *3185:68 0.000484037 +30 *2773:28 *3491:70 0.00123598 +31 *2773:28 *3690:14 4.39081e-05 +32 *2773:35 *3491:66 4.93583e-05 +33 *2773:35 *3491:70 4.31485e-06 +34 *2773:35 *3690:14 1.27046e-05 +35 *2773:35 *3739:14 0.000669667 +36 *2773:41 *21707:A1 0.000114343 +37 *2773:41 *21730:A1 2.53145e-06 +38 *2773:41 *22540:C1 9.89385e-06 +39 *19862:A *2773:41 0.000618839 +40 *19897:A *2773:41 1.91246e-05 +41 *21702:A2 *2773:10 0.00034705 +42 *21702:B2 *2773:12 4.78118e-05 +43 *21731:B2 *2773:10 0.000502506 +44 *21745:D *2773:10 0.000129725 +45 *22414:A1 *2773:28 1.91391e-05 +46 *22414:B1 *2773:28 7.59222e-05 +47 *22728:B1 *2773:10 5.1493e-06 +48 *1435:153 *2773:41 0.000428799 +49 *1435:165 *2773:41 0.000202919 +50 *1563:103 *2773:35 0.000782096 +51 *1577:17 *2773:10 0.000124035 +52 *1588:64 *22765:A1 7.09666e-06 +53 *1588:64 *2773:12 0.000438184 +54 *1637:42 *2773:10 2.30271e-05 +55 *1647:10 *2773:41 4.2132e-05 +56 *1649:11 *2773:41 1.37385e-05 +57 *1650:14 *2773:10 2.27901e-06 +58 *1651:52 *2773:28 3.23176e-05 +59 *1655:11 *2773:41 0.000108079 +60 *1671:21 *2773:10 7.93129e-05 +61 *1671:102 *2773:10 0.000822509 +62 *1680:34 *2773:10 5.56367e-05 +63 *1730:43 *2773:10 0.000267163 +64 *1771:60 *2773:41 0 +65 *2468:130 *2773:7 0.000741856 +66 *2502:59 *2773:35 0.000442572 +67 *2539:35 *2773:41 0.000183105 +68 *2547:10 *2773:10 0.00012233 +69 *2547:12 *22765:A1 1.02986e-05 +70 *2547:12 *2773:10 0.00218141 +71 *2547:12 *2773:12 5.60364e-06 +72 *2751:7 *2773:41 6.08467e-05 +73 *2751:8 *2773:41 0.00183382 +*RES +1 *21757:Y *2773:7 22.237 +2 *2773:7 *2773:10 49.6959 +3 *2773:10 *2773:12 10.7599 +4 *2773:12 *2773:15 11.8786 +5 *2773:15 *2773:28 33.9951 +6 *2773:28 *2773:35 31.5642 +7 *2773:35 *2773:41 45.1408 +8 *2773:41 *21758:B1 9.24915 +9 *2773:15 *22458:A1 9.24915 +10 *2773:12 *22765:A1 42.2768 +*END + +*D_NET *2774 0.0144776 +*CONN +*I *21759:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21758:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21759:C1 0.000204326 +2 *21758:X 0 +3 *2774:12 0.00161214 +4 *2774:8 0.00292555 +5 *2774:5 0.00151773 +6 *2774:8 *21730:A1 0.000327066 +7 *2774:8 *21795:A1 2.02035e-05 +8 *2774:8 *22555:B2 0.000147751 +9 *2774:8 *2811:26 0.000164554 +10 *2774:12 *22248:B 0.000303716 +11 *2774:12 *22598:C 3.43356e-05 +12 *2774:12 *2873:17 3.29488e-05 +13 *2774:12 *3200:14 1.8641e-05 +14 *21482:B1 *21759:C1 0.000402462 +15 *21511:A1 *2774:12 2.08076e-05 +16 *21511:B2 *2774:12 0.000156617 +17 *21512:B1 *2774:12 0.000155855 +18 *21618:A *2774:8 0.000248235 +19 *21636:B2 *2774:8 3.26582e-06 +20 *1543:40 *21759:C1 0.000187084 +21 *1561:154 *2774:8 1.58815e-05 +22 *1570:76 *2774:8 0.000494137 +23 *1598:58 *2774:8 0.000115313 +24 *1598:68 *2774:8 0.00150578 +25 *1598:68 *2774:12 4.60375e-07 +26 *1598:82 *2774:12 0.000891359 +27 *1720:20 *21759:C1 0.000187084 +28 *2093:181 *2774:12 0.000414517 +29 *2344:11 *21759:C1 0.000254551 +30 *2539:10 *21759:C1 0.000107496 +31 *2539:10 *2774:12 5.96089e-05 +32 *2539:12 *2774:8 0.000208294 +33 *2539:12 *2774:12 0.0014232 +34 *2539:35 *2774:8 0.000188781 +35 *2567:41 *2774:12 0.000127896 +*RES +1 *21758:X *2774:5 13.7491 +2 *2774:5 *2774:8 43.4671 +3 *2774:8 *2774:12 46.8191 +4 *2774:12 *21759:C1 26.763 +*END + +*D_NET *2775 0.00287922 +*CONN +*I *21766:B I *D sky130_fd_sc_hd__and3_1 +*I *21759:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21766:B 0.000774574 +2 *21759:X 0.000774574 +3 *21766:B *22248:A 0.000327288 +4 *21766:B *2873:17 0.000317116 +5 *21766:B *3519:179 0.000671592 +6 *21766:B *3519:183 2.77625e-06 +7 *21759:A1 *21766:B 1.12969e-05 +*RES +1 *21759:X *21766:B 43.3558 +*END + +*D_NET *2776 0.0291812 +*CONN +*I *22279:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22627:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21765:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21760:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22279:B1 2.9359e-05 +2 *22627:A1 6.61322e-05 +3 *21765:A1 0.000160211 +4 *21760:Y 0.000391566 +5 *2776:48 0.000326036 +6 *2776:47 0.00169209 +7 *2776:29 0.0020115 +8 *2776:20 0.00186496 +9 *2776:19 0.00290654 +10 *2776:10 0.00228544 +11 *2776:7 0.00124568 +12 *21765:A1 *21765:B1 0.000203604 +13 *21765:A1 *2794:58 0.000200794 +14 *21765:A1 *3186:16 1.63131e-05 +15 *21765:A1 *3523:154 0.000137356 +16 *22279:B1 *3233:22 4.49912e-05 +17 *22627:A1 *3192:170 4.61503e-05 +18 *22627:A1 *3539:166 5.481e-05 +19 *2776:7 *24546:CLK 0.000230277 +20 *2776:10 *22032:A 0.000242119 +21 *2776:10 *2868:12 0.00225472 +22 *2776:10 *2996:38 0.000675697 +23 *2776:10 *4892:20 0.000153225 +24 *2776:10 *5298:8 9.25219e-05 +25 *2776:19 *24212:RESET_B 0 +26 *2776:19 *2806:8 0.000417149 +27 *2776:19 *2869:23 0.000584195 +28 *2776:19 *2881:18 5.38695e-05 +29 *2776:19 *5351:10 1.72464e-05 +30 *2776:19 *5865:51 6.10852e-05 +31 *2776:20 *2806:8 0.00217987 +32 *2776:20 *2806:14 0.000154422 +33 *2776:29 *22279:A1 2.57986e-05 +34 *2776:29 *22279:A2 0.000469644 +35 *2776:29 *22284:B 0.000219375 +36 *2776:29 *2820:62 0.000110306 +37 *2776:47 *21857:A1 1.62314e-05 +38 *2776:47 *22292:B1 1.39662e-05 +39 *2776:47 *22576:A2 9.75148e-06 +40 *2776:47 *22576:B2 0.000574113 +41 *2776:47 *22629:A1 0.000208969 +42 *2776:47 *22634:A 6.30534e-05 +43 *2776:47 *22634:B 0.000129151 +44 *2776:47 *22634:D 5.89592e-05 +45 *2776:47 *2873:10 4.0607e-05 +46 *2776:47 *3192:135 0.000205101 +47 *2776:47 *3233:22 0.00070419 +48 *2776:47 *3527:186 2.10515e-05 +49 *2776:47 *3543:121 4.78526e-05 +50 *2776:47 *3575:17 1.47488e-05 +51 *2776:47 *3581:13 0.000573987 +52 *2776:48 *3186:16 3.34831e-05 +53 *2776:48 *3523:154 0.000116858 +54 *20634:B2 *2776:10 0.00029937 +55 *1591:39 *2776:20 0.000131452 +56 *1591:39 *2776:29 6.36477e-05 +57 *1595:28 *2776:19 0 +58 *1614:104 *2776:47 8.3506e-05 +59 *1618:104 *2776:48 1.85244e-05 +60 *1629:40 *2776:19 0.000121186 +61 *1652:95 *2776:29 3.12044e-05 +62 *1655:161 *21765:A1 8.94611e-05 +63 *1771:127 *22279:B1 3.92776e-05 +64 *1771:127 *2776:47 0.000277628 +65 *1789:47 *2776:19 1.1102e-05 +66 *1800:183 *2776:19 0.000167106 +67 *2019:56 *2776:10 0.000384784 +68 *2019:83 *2776:10 0.000161951 +69 *2208:97 *2776:19 4.95889e-05 +70 *2370:8 *2776:20 0.00274973 +71 *2764:29 *2776:19 1.91651e-05 +72 *2770:16 *2776:19 2.53536e-05 +*RES +1 *21760:Y *2776:7 20.0186 +2 *2776:7 *2776:10 45.781 +3 *2776:10 *2776:19 44.4781 +4 *2776:19 *2776:20 54.569 +5 *2776:20 *2776:29 20.3091 +6 *2776:29 *2776:47 48.2975 +7 *2776:47 *2776:48 2.6625 +8 *2776:48 *21765:A1 19.2141 +9 *2776:48 *22627:A1 15.5817 +10 *2776:29 *22279:B1 14.7506 +*END + +*D_NET *2777 0.0473133 +*CONN +*I *22629:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21765:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22277:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21761:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22629:A1 0.00080045 +2 *21765:B1 0.000465534 +3 *22277:A1 1.28414e-05 +4 *21761:Y 0.0022522 +5 *2777:80 0.00275854 +6 *2777:60 0.00316155 +7 *2777:28 0.00484448 +8 *2777:17 0.00490381 +9 *2777:7 0.00396767 +10 *21765:B1 *21766:C 1.58551e-05 +11 *21765:B1 *2794:58 0.000350063 +12 *21765:B1 *3509:223 0.00121053 +13 *21765:B1 *3519:217 0.000212189 +14 *21765:B1 *3519:250 0.00070835 +15 *22277:A1 *3124:174 6.08467e-05 +16 *22277:A1 *3151:176 6.36477e-05 +17 *22629:A1 *22616:C 0.00030396 +18 *22629:A1 *22634:B 6.75815e-05 +19 *22629:A1 *22634:D 5.89592e-05 +20 *22629:A1 *3575:17 2.41274e-06 +21 *2777:7 *21761:A 2.57847e-05 +22 *2777:7 *22028:A1_N 0.000143116 +23 *2777:7 *3042:11 0.00243484 +24 *2777:17 *22604:A1 0.000320036 +25 *2777:17 *2949:71 0.00105152 +26 *2777:17 *3493:45 1.84769e-05 +27 *2777:28 *22600:A2 0.000162268 +28 *2777:28 *22604:A1 0.000160624 +29 *2777:28 *22604:B1 0.000112225 +30 *2777:28 *22607:C1 0.000118854 +31 *2777:28 *22617:D 1.42293e-05 +32 *2777:28 *2827:30 0.000151538 +33 *2777:28 *2857:32 2.83114e-05 +34 *2777:28 *2880:45 1.77397e-05 +35 *2777:28 *3026:35 8.04172e-05 +36 *2777:28 *3196:185 8.48931e-06 +37 *2777:28 *3249:15 0.000737114 +38 *2777:28 *3284:10 0 +39 *2777:28 *3500:152 7.23877e-06 +40 *2777:28 *3552:6 0.00152366 +41 *2777:28 *4813:110 9.55657e-05 +42 *2777:28 *5457:13 4.28539e-05 +43 *2777:60 *21882:A1 1.7981e-05 +44 *2777:60 *21987:A1 2.11879e-05 +45 *2777:60 *22269:B1 5.55526e-05 +46 *2777:60 *22606:A1 0.000474967 +47 *2777:60 *22663:A2 3.17436e-05 +48 *2777:60 *22670:D 0.000387391 +49 *2777:60 *2813:47 4.31525e-05 +50 *2777:60 *2919:42 0.000430745 +51 *2777:60 *2930:67 0.000143429 +52 *2777:60 *3054:18 0.000556752 +53 *2777:60 *3124:191 2.5798e-05 +54 *2777:60 *3136:208 9.82202e-06 +55 *2777:60 *3537:41 0.000624943 +56 *2777:60 *3539:48 3.00296e-05 +57 *2777:60 *5592:70 6.30062e-05 +58 *2777:80 *22616:C 5.01835e-05 +59 *2777:80 *22622:B1 0.000202004 +60 *2777:80 *2794:34 4.99295e-05 +61 *2777:80 *2827:29 0.000364017 +62 *2777:80 *3204:195 0.000558139 +63 *2777:80 *3222:41 0.000299448 +64 *2777:80 *3278:17 0.00145246 +65 *2777:80 *3539:198 0.000728958 +66 *21354:A *2777:17 0.00033583 +67 *21641:A1 *2777:60 0.000110306 +68 *21641:B2 *2777:60 2.41274e-06 +69 *21746:C *2777:60 1.0758e-05 +70 *21765:A1 *21765:B1 0.000203604 +71 *21765:A2 *21765:B1 0.000324945 +72 *22669:A1 *2777:60 8.20799e-06 +73 *22695:B1 *2777:80 2.05578e-05 +74 *1539:18 *2777:60 6.77316e-05 +75 *1545:118 *2777:60 0.000136401 +76 *1554:113 *2777:7 0.000107496 +77 *1558:42 *2777:28 8.06912e-05 +78 *1620:35 *2777:28 0.000116244 +79 *1620:35 *2777:60 0.000489935 +80 *1625:83 *2777:60 5.83451e-05 +81 *1647:68 *2777:17 5.88009e-05 +82 *1655:61 *2777:60 7.99851e-05 +83 *1679:68 *2777:80 0.000432031 +84 *1790:100 *2777:80 0.00016341 +85 *2093:68 *2777:60 0.000116125 +86 *2093:149 *2777:17 0.00106043 +87 *2286:63 *2777:28 0.000143317 +88 *2294:64 *2777:17 0.000206452 +89 *2298:30 *2777:17 8.23984e-05 +90 *2364:8 *2777:17 0.000339255 +91 *2534:44 *2777:80 4.77759e-05 +92 *2541:48 *2777:60 8.79144e-05 +93 *2542:28 *22629:A1 0.000296863 +94 *2542:28 *2777:80 5.4678e-05 +95 *2589:65 *2777:60 5.60804e-05 +96 *2613:29 *2777:17 0.00135714 +97 *2613:29 *2777:28 5.60804e-05 +98 *2682:19 *2777:60 0.000294093 +99 *2776:47 *22629:A1 0.000208969 +*RES +1 *21761:Y *2777:7 49.9672 +2 *2777:7 *2777:17 38.5771 +3 *2777:17 *2777:28 44.9101 +4 *2777:28 *2777:60 48.0159 +5 *2777:60 *22277:A1 14.4725 +6 *2777:17 *2777:80 10.7567 +7 *2777:80 *21765:B1 35.1858 +8 *2777:80 *22629:A1 31.7286 +*END + +*D_NET *2778 0.0199289 +*CONN +*I *21764:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22450:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22763:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21762:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21764:A1 8.09575e-05 +2 *22450:A1 0.000367004 +3 *22763:B1 6.22047e-05 +4 *21762:Y 0.000786988 +5 *2778:57 0.000392367 +6 *2778:55 7.1366e-05 +7 *2778:54 0.000249559 +8 *2778:36 0.000112934 +9 *2778:35 0.000871335 +10 *2778:28 0.00262353 +11 *2778:19 0.00189154 +12 *2778:13 0.00116012 +13 *21764:A1 *21783:B1 0.000156946 +14 *21764:A1 *3539:157 5.89592e-05 +15 *22450:A1 *22451:C1 0.000107496 +16 *22450:A1 *22778:B 0.000412141 +17 *22450:A1 *22778:C 4.23279e-05 +18 *22450:A1 *2889:45 0.000958605 +19 *22763:B1 *22763:A2 0.000137396 +20 *22763:B1 *2854:21 5.46965e-05 +21 *2778:13 *21783:B1 0.000258819 +22 *2778:13 *2780:25 7.54269e-06 +23 *2778:13 *3153:260 0.000200794 +24 *2778:13 *3155:127 0.000145806 +25 *2778:13 *5853:48 0.000106529 +26 *2778:19 *3122:198 0.000132244 +27 *2778:28 *22017:B1 0.000238515 +28 *2778:28 *2954:74 0.000129091 +29 *2778:28 *2987:50 0.000528052 +30 *2778:28 *2987:69 0.000101489 +31 *2778:28 *3062:18 0.000138064 +32 *2778:28 *3415:22 1.33054e-05 +33 *2778:28 *3461:15 0.00174648 +34 *2778:28 *3523:127 0.000394485 +35 *2778:35 *22762:A1 4.78118e-05 +36 *2778:35 *22763:A1 1.65872e-05 +37 *2778:35 *22763:A2 0.000245462 +38 *2778:35 *22773:B1 1.73868e-06 +39 *2778:35 *2854:21 1.28832e-05 +40 *2778:35 *2856:45 0.000338575 +41 *2778:35 *3415:22 2.26455e-05 +42 *2778:35 *3461:15 9.9655e-05 +43 *2778:35 *3461:24 2.39703e-05 +44 *2778:35 *3474:130 0.000140071 +45 *2778:35 *3476:98 2.1203e-06 +46 *2778:35 *4850:55 0.000110306 +47 *2778:54 *6208:DIODE 1.91131e-05 +48 *2778:54 *22469:A 0.000159756 +49 *2778:54 *22469:B 3.48289e-05 +50 *2778:54 *22469:C 1.1718e-05 +51 *2778:54 *22778:B 0.000157407 +52 *2778:54 *2889:45 0.00036446 +53 *19790:B1 *2778:28 0.000122784 +54 *19810:B1 *2778:28 4.26915e-05 +55 *19820:A2 *2778:28 1.83077e-05 +56 *19841:B1 *2778:19 0.000179561 +57 *21764:A2 *2778:13 0.000207892 +58 *21764:B2 *2778:13 0.000288626 +59 *21832:B2 *2778:35 0.000199698 +60 *21832:B2 *2778:54 1.03403e-05 +61 *22047:A2 *2778:28 1.5714e-05 +62 *22509:A *2778:19 0.000110306 +63 *22726:B1 *2778:28 1.60637e-05 +64 *1435:306 *2778:28 1.04192e-05 +65 *1538:25 *2778:28 4.26876e-05 +66 *1561:125 *2778:13 0.000556646 +67 *1570:106 *2778:28 2.84706e-05 +68 *1602:74 *2778:28 0.000178804 +69 *1605:73 *2778:13 0.000211559 +70 *1732:12 *21764:A1 3.44695e-05 +71 *1732:12 *2778:19 0.000298273 +72 *1809:17 *2778:28 5.46889e-05 +73 *2536:8 *2778:13 1.13071e-05 +74 *2565:36 *2778:13 0.000154145 +75 *2615:13 *2778:13 0.000250405 +76 *2661:13 *21764:A1 4.15661e-05 +77 *2661:13 *2778:19 0.000294676 +*RES +1 *21762:Y *2778:13 45.5756 +2 *2778:13 *2778:19 20.1447 +3 *2778:19 *2778:28 48.6684 +4 *2778:28 *2778:35 32.5078 +5 *2778:35 *2778:36 57.9449 +6 *2778:36 *22763:B1 20.6926 +7 *2778:28 *2778:54 21.176 +8 *2778:54 *2778:55 57.9449 +9 *2778:55 *2778:57 42.9474 +10 *2778:57 *22450:A1 32.5804 +11 *2778:13 *21764:A1 16.5832 +*END + +*D_NET *2779 0.0250799 +*CONN +*I *22774:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22459:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21764:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21763:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22774:A1 0.000139822 +2 *22459:A1 0.000743854 +3 *21764:B1 0.000106534 +4 *21763:Y 0.000705021 +5 *2779:41 0.00359251 +6 *2779:14 0.00439884 +7 *2779:10 0.00228849 +8 *21764:B1 *2780:25 0.000107496 +9 *21764:B1 *3029:8 1.66771e-05 +10 *21764:B1 *3539:157 0.000118971 +11 *22459:A1 *22459:A2 1.65872e-05 +12 *22459:A1 *22459:B1 3.95378e-05 +13 *22459:A1 *22459:B2 0.000107496 +14 *22459:A1 *22775:B1 2.01828e-05 +15 *22459:A1 *22775:B2 7.58067e-06 +16 *22459:A1 *2810:60 7.58772e-05 +17 *22459:A1 *2810:61 0.000169536 +18 *22459:A1 *2838:101 7.63284e-05 +19 *22459:A1 *2844:74 2.3247e-05 +20 *22459:A1 *3368:14 1.05676e-05 +21 *22459:A1 *3410:13 0.00145113 +22 *22459:A1 *3411:57 6.3657e-05 +23 *22459:A1 *3452:6 0.000403971 +24 *22774:A1 *22774:A2 6.08467e-05 +25 *22774:A1 *22774:B2 1.19523e-05 +26 *22774:A1 *22775:A1 0 +27 *22774:A1 *22775:A2 6.36477e-05 +28 *22774:A1 *22775:B1 1.16096e-05 +29 *22774:A1 *22775:C1 3.15947e-05 +30 *22774:A1 *2878:51 0.000216107 +31 *2779:10 *22014:A 3.31733e-05 +32 *2779:10 *2783:16 9.75356e-05 +33 *2779:10 *3533:98 0.000610257 +34 *2779:10 *3533:100 6.68822e-06 +35 *2779:10 *5815:30 2.33193e-05 +36 *2779:14 *20679:A1 0.000353686 +37 *2779:14 *22015:A 0.000160617 +38 *2779:14 *2883:28 0.000401086 +39 *2779:14 *3029:8 0.000753113 +40 *2779:14 *3128:159 1.3807e-05 +41 *2779:14 *5858:668 0.000292883 +42 *2779:41 *22047:B1 1.86819e-05 +43 *2779:41 *22053:B1 6.42311e-06 +44 *2779:41 *22757:A1 7.99559e-05 +45 *2779:41 *22775:B2 3.29488e-05 +46 *2779:41 *2807:26 0.000182538 +47 *2779:41 *3368:14 1.38595e-05 +48 *2779:41 *3452:6 0.000411796 +49 *2779:41 *3527:156 0.00203989 +50 *2779:41 *3529:80 0.000144975 +51 *2779:41 *3531:157 3.85121e-05 +52 *2779:41 *5851:9 0.00108259 +53 *20673:B *21764:B1 1.66771e-05 +54 *20673:B *2779:14 0.000408254 +55 *21764:B2 *21764:B1 0.000254697 +56 *22418:A1 *2779:41 8.09551e-05 +57 *22813:B1 *2779:41 6.82195e-05 +58 *24451:D *2779:14 0.000203595 +59 *1537:171 *2779:41 2.05082e-05 +60 *1561:125 *21764:B1 0.000156946 +61 *1569:23 *2779:41 0.000259702 +62 *1569:54 *2779:41 0.000209028 +63 *1581:26 *2779:41 0.000234809 +64 *1776:60 *22459:A1 4.67712e-05 +65 *2468:34 *2779:10 6.50586e-05 +66 *2537:8 *2779:14 3.29979e-05 +67 *2604:28 *2779:41 3.17436e-05 +68 *2662:14 *2779:41 0.000681199 +69 *2685:25 *2779:41 0.000430715 +*RES +1 *21763:Y *2779:10 30.2328 +2 *2779:10 *2779:14 44.9508 +3 *2779:14 *21764:B1 18.7334 +4 *2779:14 *2779:41 31.4385 +5 *2779:41 *22459:A1 39.1955 +6 *2779:41 *22774:A1 18.5718 +*END + +*D_NET *2780 0.00814316 +*CONN +*I *21765:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21764:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21765:C1 0 +2 *21764:X 0.00182344 +3 *2780:25 0.00182344 +4 *2780:25 *21782:A1 0.000872224 +5 *2780:25 *21782:B1 0.000206718 +6 *2780:25 *21783:A1 0.000218159 +7 *2780:25 *21783:B1 2.16355e-05 +8 *2780:25 *22565:B2 1.5714e-05 +9 *2780:25 *2794:58 3.41459e-05 +10 *2780:25 *2795:14 3.20407e-05 +11 *2780:25 *2795:20 3.64497e-06 +12 *2780:25 *2796:30 1.48638e-05 +13 *2780:25 *2843:31 9.81028e-05 +14 *2780:25 *2845:22 0.000153427 +15 *2780:25 *2954:44 2.53397e-05 +16 *2780:25 *3507:180 0.000343543 +17 *2780:25 *3539:157 0.00025456 +18 *2780:25 *3562:16 5.88554e-05 +19 *20040:B2 *2780:25 2.56795e-05 +20 *21764:B1 *2780:25 0.000107496 +21 *21764:B2 *2780:25 2.13488e-05 +22 *21766:A *2780:25 1.60256e-05 +23 *21783:B2 *2780:25 0.000691238 +24 *1618:61 *2780:25 0.00124162 +25 *1721:162 *2780:25 2.77109e-05 +26 *1784:71 *2780:25 4.63479e-06 +27 *2117:143 *2780:25 0 +28 *2778:13 *2780:25 7.54269e-06 +*RES +1 *21764:X *2780:25 44.5902 +2 *2780:25 *21765:C1 9.24915 +*END + +*D_NET *2781 0.00297254 +*CONN +*I *21766:C I *D sky130_fd_sc_hd__and3_1 +*I *21765:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21766:C 0.000954757 +2 *21765:X 0.000954757 +3 *21766:C *21782:B1 0.000142506 +4 *21766:C *21783:A1 0.000256382 +5 *21766:C *22565:A2 8.41527e-05 +6 *21766:C *2782:10 9.25797e-05 +7 *21766:C *2794:58 2.77625e-06 +8 *21766:C *3513:170 0.000107496 +9 *21765:A2 *21766:C 0.000200794 +10 *21765:B1 *21766:C 1.58551e-05 +11 *1720:20 *21766:C 3.88655e-06 +12 *1721:162 *21766:C 0.000156593 +*RES +1 *21765:X *21766:C 45.1404 +*END + +*D_NET *2782 0.0101581 +*CONN +*I *21902:A I *D sky130_fd_sc_hd__nand3_4 +*I *21766:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *21902:A 0.00115903 +2 *21766:X 0.00138372 +3 *2782:10 0.00254276 +4 *21902:A *21813:B1 5.30254e-05 +5 *21902:A *21833:A 1.58551e-05 +6 *21902:A *21833:D 0.000402622 +7 *21902:A *21901:B 0.000194728 +8 *21902:A *2828:47 2.16355e-05 +9 *21902:A *2857:52 5.89592e-05 +10 *21902:A *2873:29 0.000480283 +11 *2782:10 *22238:B 5.8518e-05 +12 *2782:10 *22565:A2 3.39753e-05 +13 *2782:10 *22565:B2 2.57986e-05 +14 *2782:10 *2873:29 0.000304483 +15 *2782:10 *2883:64 3.53409e-05 +16 *2782:10 *2954:44 1.05862e-05 +17 *2782:10 *3519:179 7.55693e-06 +18 *19822:A *21902:A 7.8756e-07 +19 *21512:B2 *2782:10 1.91391e-05 +20 *21629:A2 *2782:10 0.000262897 +21 *21766:C *2782:10 9.25797e-05 +22 *22565:A1 *2782:10 2.16355e-05 +23 *22565:B1 *2782:10 0.000337019 +24 *1535:51 *21902:A 0.000125611 +25 *1547:114 *21902:A 0.000193649 +26 *1583:103 *21902:A 2.59094e-05 +27 *1583:113 *21902:A 0.000808559 +28 *1596:76 *2782:10 0.000130527 +29 *1618:18 *21902:A 5.65354e-05 +30 *1666:12 *21902:A 4.30783e-05 +31 *1666:12 *2782:10 3.16326e-05 +32 *1725:144 *21902:A 0.000123072 +33 *1788:115 *21902:A 8.71902e-05 +34 *1788:115 *2782:10 0.000961315 +35 *1788:134 *2782:10 4.80519e-05 +*RES +1 *21766:X *2782:10 47.7061 +2 *2782:10 *21902:A 48.7531 +*END + +*D_NET *2783 0.0524199 +*CONN +*I *22632:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22291:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21772:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21767:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22632:A1 0.000150304 +2 *22291:A1 0.000481798 +3 *21772:A1 0.000847928 +4 *21767:Y 4.51842e-05 +5 *2783:86 0.00135395 +6 *2783:68 0.00239796 +7 *2783:56 0.00385947 +8 *2783:24 0.00426411 +9 *2783:16 0.00302577 +10 *2783:8 0.00244768 +11 *2783:7 0.00214363 +12 *21772:A1 *21771:B1 2.26985e-05 +13 *21772:A1 *21772:B1 1.61631e-05 +14 *21772:A1 *22814:D 0.000949734 +15 *21772:A1 *2844:67 2.14262e-05 +16 *21772:A1 *3134:84 0.000425403 +17 *21772:A1 *3134:107 4.96282e-05 +18 *21772:A1 *3529:99 0.00100397 +19 *21772:A1 *3529:155 0.000528836 +20 *21772:A1 *3750:22 2.79751e-05 +21 *22291:A1 *22011:A1 0.000104151 +22 *22291:A1 *3252:17 5.88009e-05 +23 *22632:A1 *22632:A2 6.08467e-05 +24 *22632:A1 *22632:B2 3.56089e-05 +25 *22632:A1 *3541:110 0.000116064 +26 *22632:A1 *3543:149 3.54598e-05 +27 *22632:A1 *3543:156 3.07133e-05 +28 *2783:7 *4942:7 6.08467e-05 +29 *2783:8 *20646:A1 1.2693e-05 +30 *2783:8 *4126:76 0.000399909 +31 *2783:8 *4838:68 0.000388247 +32 *2783:8 *4842:52 0.0017735 +33 *2783:8 *5815:24 1.98083e-05 +34 *2783:8 *5815:28 0.000388592 +35 *2783:8 *5815:30 0.00195765 +36 *2783:16 *22014:A 6.88938e-05 +37 *2783:16 *3531:157 9.43117e-05 +38 *2783:16 *5815:30 1.62728e-05 +39 *2783:16 *5855:60 0.00042429 +40 *2783:24 *3028:16 0.000283569 +41 *2783:24 *3028:20 9.80721e-05 +42 *2783:24 *3134:107 0.000628509 +43 *2783:24 *3529:155 0.00148903 +44 *2783:24 *3531:157 0.000373745 +45 *2783:24 *5855:60 0.00145566 +46 *2783:56 *22413:A2 0.000402552 +47 *2783:56 *22740:A2 0.000110306 +48 *2783:56 *22741:A2 0.000447615 +49 *2783:56 *22741:B2 0.000111802 +50 *2783:56 *22741:C1 0.000353661 +51 *2783:56 *2807:26 4.69495e-06 +52 *2783:56 *2954:74 7.0628e-06 +53 *2783:56 *3002:69 1.3495e-05 +54 *2783:56 *3415:22 4.25398e-05 +55 *2783:56 *3461:15 6.14756e-06 +56 *2783:56 *3682:22 0.000158451 +57 *2783:56 *3750:22 1.91246e-05 +58 *2783:56 *5458:13 0.00050949 +59 *2783:56 *5904:77 0.000542808 +60 *2783:68 *22291:B1 9.17814e-05 +61 *2783:68 *3493:101 9.781e-05 +62 *2783:86 *3106:180 0.00163476 +63 *2783:86 *3131:133 0.000204222 +64 *2783:86 *3527:162 0.0021271 +65 *2783:86 *3543:149 5.57532e-05 +66 *19810:B1 *2783:56 8.02066e-05 +67 *19820:B2 *2783:68 9.15413e-05 +68 *19821:C1 *2783:56 1.91391e-05 +69 *20646:A2 *2783:8 0.000367984 +70 *20863:B2 *2783:16 3.3239e-06 +71 *20864:B2 *2783:24 4.49912e-05 +72 *20865:B2 *2783:24 0.000639374 +73 *20885:A *2783:24 0.000109247 +74 *21772:A2 *21772:A1 1.82679e-05 +75 *21772:B2 *21772:A1 7.92757e-06 +76 *22412:B1 *2783:56 3.95516e-05 +77 *22726:B1 *2783:56 0.000255816 +78 *22740:B1 *2783:56 0.000129864 +79 *24276:D *2783:8 7.50722e-05 +80 *24358:D *2783:24 5.0459e-05 +81 *1435:318 *2783:86 3.29217e-05 +82 *1535:8 *2783:86 8.8927e-06 +83 *1535:31 *2783:68 0.000158357 +84 *1554:48 *2783:68 0.00172366 +85 *1554:49 *22291:A1 0.00043404 +86 *1570:106 *2783:56 0.000161234 +87 *1576:86 *2783:68 3.65035e-05 +88 *1587:121 *2783:68 0.000110306 +89 *1603:25 *2783:86 2.37827e-05 +90 *1643:21 *2783:68 0.000527338 +91 *1658:53 *21772:A1 4.78069e-06 +92 *1688:17 *2783:8 0.00153522 +93 *1690:132 *2783:56 0.000148054 +94 *1725:144 *2783:56 0.000729799 +95 *1730:113 *21772:A1 1.43499e-05 +96 *2025:32 *2783:8 0.000179891 +97 *2127:6 *2783:16 0.000120834 +98 *2127:14 *2783:16 0.000145095 +99 *2232:22 *2783:8 0.000306467 +100 *2468:8 *2783:8 0.00122207 +101 *2468:34 *2783:16 0.000316176 +102 *2553:24 *2783:8 6.20492e-05 +103 *2553:60 *2783:8 0.000275209 +104 *2589:54 *22291:A1 3.55824e-05 +105 *2592:20 *2783:86 0.000398075 +106 *2593:24 *2783:56 6.3657e-05 +107 *2662:14 *2783:24 5.38612e-06 +108 *2672:40 *21772:A1 1.5714e-05 +109 *2684:24 *2783:24 0.000119815 +110 *2697:26 *2783:68 9.42691e-05 +111 *2779:10 *2783:16 9.75356e-05 +*RES +1 *21767:Y *2783:7 14.4725 +2 *2783:7 *2783:8 99.4163 +3 *2783:8 *2783:16 15.0956 +4 *2783:16 *2783:24 36.3063 +5 *2783:24 *21772:A1 24.8167 +6 *2783:24 *2783:56 49.3014 +7 *2783:56 *2783:68 49.1555 +8 *2783:68 *22291:A1 31.5734 +9 *2783:16 *2783:86 48.1592 +10 *2783:86 *22632:A1 17.7037 +*END + +*D_NET *2784 0.0591632 +*CONN +*I *21772:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6189:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21768:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21772:B1 9.82945e-05 +2 *6189:DIODE 0 +3 *21768:Y 0.001363 +4 *2784:44 9.82945e-05 +5 *2784:42 0.0155321 +6 *2784:23 0.0190502 +7 *2784:12 0.00488108 +8 *21772:B1 *21772:C1 6.36477e-05 +9 *2784:12 *19991:A 9.63895e-05 +10 *2784:12 *4843:616 0.00127951 +11 *2784:12 *5754:28 0 +12 *2784:23 *20000:A 0.000131593 +13 *2784:23 *4843:616 0 +14 *2784:23 *5214:6 0.00010797 +15 *2784:23 *5485:72 0 +16 *2784:23 *5726:10 0.000265077 +17 *2784:23 *5858:204 0 +18 *2784:23 *5869:6 0.000303428 +19 *2784:23 *5874:89 4.34636e-05 +20 *2784:23 *5898:51 1.10554e-05 +21 *2784:42 *2785:63 0.00104754 +22 *2784:42 *2836:20 0.00149147 +23 *2784:42 *2844:67 0.000108997 +24 *2784:42 *2878:44 0 +25 *2784:42 *3066:26 0.000730441 +26 *2784:42 *3106:100 1.5714e-05 +27 *2784:42 *3373:24 6.37795e-05 +28 *2784:42 *3388:13 2.68045e-05 +29 *2784:42 *3529:99 0.00100765 +30 *2784:42 *3840:196 0 +31 *2784:42 *4001:126 2.96611e-05 +32 *2784:42 *4001:152 0.000161322 +33 *2784:42 *4070:36 5.07013e-05 +34 *2784:42 *4137:28 0.000423505 +35 *2784:42 *4486:13 0.000133334 +36 *2784:42 *4528:17 0.000140803 +37 *2784:42 *4704:17 0.00134828 +38 *2784:42 *4744:20 0 +39 *2784:42 *4802:18 0.00062465 +40 *2784:42 *4828:139 0 +41 *2784:42 *5454:15 0.000232269 +42 *2784:42 *5593:46 0.000317854 +43 *2784:42 *5856:168 0.000131362 +44 *2784:42 *5925:11 0.000810773 +45 *20528:A2 *2784:42 0.000168004 +46 *21772:A1 *21772:B1 1.61631e-05 +47 *21772:B2 *21772:B1 3.31745e-05 +48 *22798:B1 *2784:42 0.000170201 +49 *24847:D *2784:12 2.44976e-05 +50 *1438:83 *2784:42 0.000223386 +51 *1455:99 *2784:42 0 +52 *1467:91 *2784:23 0.000441997 +53 *1467:93 *2784:12 6.04912e-06 +54 *1467:93 *2784:23 0.000712973 +55 *1603:48 *2784:42 5.2091e-05 +56 *1668:69 *2784:42 0.000780078 +57 *1689:79 *2784:42 0.000238811 +58 *1744:141 *2784:42 0.000108493 +59 *1748:16 *2784:12 8.62625e-06 +60 *1748:16 *2784:23 4.46284e-06 +61 *1757:106 *2784:42 0 +62 *1775:12 *2784:42 1.91391e-05 +63 *2502:11 *2784:23 0 +64 *2516:16 *2784:23 2.11533e-05 +65 *2536:28 *2784:42 0.00101266 +66 *2624:45 *2784:42 0.00276515 +67 *2649:21 *2784:42 0.000134132 +*RES +1 *21768:Y *2784:12 47.0792 +2 *2784:12 *2784:23 32.7736 +3 *2784:23 *2784:42 46.4017 +4 *2784:42 *2784:44 4.5 +5 *2784:44 *6189:DIODE 9.24915 +6 *2784:44 *21772:B1 11.6605 +*END + +*D_NET *2785 0.0782156 +*CONN +*I *22467:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21771:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22776:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21769:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22467:A1 0.0022301 +2 *21771:A1 0.00122412 +3 *22776:A1 0.00029429 +4 *21769:Y 0.00122856 +5 *2785:102 0.00247388 +6 *2785:75 0.00429012 +7 *2785:74 0.00511044 +8 *2785:63 0.00539013 +9 *2785:51 0.00411584 +10 *2785:47 0.00195004 +11 *2785:41 0.00209393 +12 *2785:38 0.00203804 +13 *2785:32 0.00303418 +14 *2785:30 0.00155132 +15 *2785:14 0.00277988 +16 *22467:A1 *21896:A 9.88e-06 +17 *22467:A1 *22467:A2 1.32509e-05 +18 *22467:A1 *22468:B2 9.10377e-05 +19 *22467:A1 *2895:21 3.53803e-05 +20 *22776:A1 *22443:A 7.57974e-06 +21 *22776:A1 *22776:A2 3.58602e-05 +22 *22776:A1 *3065:27 2.16355e-05 +23 *22776:A1 *3065:30 0.000231288 +24 *22776:A1 *3155:127 0.000272004 +25 *22776:A1 *3537:110 1.56279e-05 +26 *22776:A1 *3543:171 6.3657e-05 +27 *2785:14 *21769:A 2.65667e-05 +28 *2785:14 *2844:16 7.8756e-07 +29 *2785:30 *20373:A1 0.000212491 +30 *2785:30 *21863:B1 1.5714e-05 +31 *2785:30 *21879:A 0.000129183 +32 *2785:30 *21927:B1 7.21329e-05 +33 *2785:30 *24607:RESET_B 0.00010696 +34 *2785:30 *2844:16 0.000300389 +35 *2785:30 *2844:24 0 +36 *2785:30 *2964:35 0 +37 *2785:30 *2999:20 0.000158315 +38 *2785:38 *24607:CLK 2.95757e-05 +39 *2785:38 *4863:154 4.29445e-05 +40 *2785:38 *5801:78 2.33193e-05 +41 *2785:38 *5856:232 3.42931e-05 +42 *2785:41 *23374:A 0.000169122 +43 *2785:41 *2864:71 0.0034224 +44 *2785:41 *4905:5 4.66492e-05 +45 *2785:41 *4905:7 0.000233246 +46 *2785:41 *4905:11 0.000347214 +47 *2785:47 *23038:A2 4.52987e-05 +48 *2785:47 *23039:B1 0.000757496 +49 *2785:47 *23372:B 0 +50 *2785:47 *23374:A 3.68867e-05 +51 *2785:47 *23379:A 2.26158e-05 +52 *2785:47 *23608:B1 3.99086e-06 +53 *2785:47 *23608:C1 2.16355e-05 +54 *2785:47 *4280:17 0 +55 *2785:47 *4284:8 0.000609739 +56 *2785:47 *6001:66 0 +57 *2785:51 *23483:A 0.000201816 +58 *2785:51 *23802:B 0.000184399 +59 *2785:51 *3874:115 0.000164829 +60 *2785:51 *3885:8 0.000156869 +61 *2785:51 *3885:10 0.000347276 +62 *2785:51 *3885:17 6.97218e-05 +63 *2785:51 *3887:30 0.000285166 +64 *2785:51 *4175:6 0.000582913 +65 *2785:51 *4870:10 8.99159e-05 +66 *2785:63 *23539:A2 0.000130388 +67 *2785:63 *3999:35 0.000250775 +68 *2785:63 *4070:36 0 +69 *2785:63 *4514:29 0.00177126 +70 *2785:63 *4704:17 0.000294923 +71 *2785:63 *4802:18 0.00213641 +72 *2785:63 *4870:8 0.00156804 +73 *2785:63 *4870:10 0.000190042 +74 *2785:63 *5852:117 0.000449235 +75 *2785:63 *6001:49 0.000104754 +76 *2785:74 *2810:60 0.000489114 +77 *2785:74 *3002:69 1.79239e-05 +78 *2785:74 *5458:13 1.43499e-05 +79 *2785:74 *5801:92 0.00103616 +80 *2785:74 *5861:157 0.000225488 +81 *2785:74 *5925:17 0.000507903 +82 *2785:75 *22048:B1 0.000177609 +83 *2785:75 *22500:A2 6.50586e-05 +84 *2785:75 *22501:C1 1.69394e-06 +85 *2785:75 *24358:RESET_B 2.23454e-05 +86 *2785:75 *24550:RESET_B 8.98397e-06 +87 *2785:75 *24550:CLK 0.000414018 +88 *2785:75 *4728:17 2.91506e-05 +89 *2785:75 *4933:9 0.000242198 +90 *2785:75 *4933:14 1.88014e-05 +91 *2785:75 *4933:205 3.82228e-05 +92 *2785:75 *5856:134 0.000493634 +93 *2785:102 *20564:A1 5.88657e-05 +94 *2785:102 *2863:8 3.94852e-05 +95 *2785:102 *3089:22 1.66771e-05 +96 *2785:102 *5100:5 8.87577e-05 +97 *2785:102 *5801:92 2.02035e-05 +98 *2785:102 *5854:67 0.000331991 +99 *19631:B *2785:30 6.52046e-06 +100 *19779:A *2785:30 4.36466e-06 +101 *20011:B2 *2785:14 2.35827e-05 +102 *20377:A *2785:14 3.45797e-05 +103 *21218:A2 *22467:A1 0.000261389 +104 *21351:B1 *22467:A1 0.000144005 +105 *21683:A1 *22467:A1 0 +106 *22476:A1 *22467:A1 3.55859e-05 +107 *22500:A1 *2785:75 3.96379e-05 +108 *22500:B1 *2785:75 1.41307e-05 +109 *24550:D *2785:75 0.000215787 +110 *514:16 *22467:A1 0.000109262 +111 *1420:33 *2785:30 0.000140141 +112 *1438:119 *2785:74 0.00039255 +113 *1438:152 *2785:74 0.000420197 +114 *1439:333 *2785:14 0 +115 *1439:356 *2785:14 2.50758e-05 +116 *1439:356 *2785:30 7.88793e-06 +117 *1442:146 *2785:14 0.00118634 +118 *1461:228 *2785:30 0.000126934 +119 *1461:248 *22467:A1 0.00020502 +120 *1461:248 *2785:30 0.000267683 +121 *1483:25 *2785:102 5.20546e-06 +122 *1506:75 *2785:14 9.75356e-05 +123 *1506:128 *2785:102 8.23181e-05 +124 *1515:20 *2785:14 1.1495e-05 +125 *1521:74 *2785:41 0.000211478 +126 *1554:17 *21771:A1 0.000118985 +127 *1560:24 *21771:A1 0.000113409 +128 *1566:67 *2785:75 1.68741e-05 +129 *1658:57 *2785:102 0.000294093 +130 *1683:17 *2785:30 0 +131 *1689:88 *2785:102 0.000132698 +132 *1690:108 *2785:102 9.24241e-05 +133 *1707:43 *2785:74 0.000288655 +134 *1715:29 *2785:30 0.000321346 +135 *1715:36 *2785:30 0.000380037 +136 *1725:41 *2785:30 0 +137 *1732:67 *2785:102 0.000308378 +138 *1740:131 *2785:102 5.5399e-05 +139 *1757:106 *2785:63 0.00244006 +140 *1757:106 *2785:102 7.90451e-05 +141 *1763:37 *2785:14 0.000222789 +142 *1764:31 *2785:14 0.000691668 +143 *1764:31 *2785:30 3.67401e-05 +144 *1764:32 *2785:30 0.000207726 +145 *1766:82 *22467:A1 0.00190984 +146 *1786:65 *2785:51 4.51619e-05 +147 *1795:44 *2785:74 0.00097691 +148 *1801:38 *2785:30 3.77568e-05 +149 *1808:69 *2785:63 0.000321939 +150 *1947:102 *2785:75 2.95884e-05 +151 *1961:11 *2785:38 0.000260388 +152 *2049:16 *2785:74 1.26298e-05 +153 *2285:13 *22467:A1 6.50727e-05 +154 *2285:13 *2785:38 0.000239573 +155 *2660:80 *2785:63 0 +156 *2702:37 *22467:A1 5.65463e-05 +157 *2704:54 *2785:47 3.65224e-05 +158 *2704:54 *2785:51 0.00204518 +159 *2745:11 *22467:A1 1.92336e-05 +160 *2745:19 *22467:A1 1.61631e-05 +161 *2750:13 *21771:A1 0.000412155 +162 *2750:18 *21771:A1 5.99529e-06 +163 *2784:42 *2785:63 0.00104754 +*RES +1 *21769:Y *2785:14 49.1589 +2 *2785:14 *2785:30 48.3944 +3 *2785:30 *2785:32 4.5 +4 *2785:32 *2785:38 22.0929 +5 *2785:38 *2785:41 43.491 +6 *2785:41 *2785:47 33.1513 +7 *2785:47 *2785:51 48.4441 +8 *2785:51 *2785:63 49.5274 +9 *2785:63 *2785:74 31.369 +10 *2785:74 *2785:75 58.9568 +11 *2785:75 *22776:A1 26.2686 +12 *2785:63 *2785:102 45.4764 +13 *2785:102 *21771:A1 31.1198 +14 *2785:32 *22467:A1 43.6789 +*END + +*D_NET *2786 0.000996313 +*CONN +*I *21771:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21770:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21771:B1 0.000268532 +2 *21770:Y 0.000268532 +3 *21771:B1 *3106:100 0.00017309 +4 *21771:B1 *4850:68 6.3657e-05 +5 *21772:A1 *21771:B1 2.26985e-05 +6 *2672:40 *21771:B1 0.000199804 +*RES +1 *21770:Y *21771:B1 34.2062 +*END + +*D_NET *2787 0.00155876 +*CONN +*I *21772:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21771:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21772:C1 0.000394689 +2 *21771:X 0.000394689 +3 *21772:C1 *2828:13 0.000257342 +4 *21772:C1 *2844:67 9.55339e-05 +5 *21772:C1 *3533:79 1.5147e-05 +6 *21772:B1 *21772:C1 6.36477e-05 +7 *21772:B2 *21772:C1 0.000218854 +8 *1740:139 *21772:C1 8.78262e-05 +9 *1744:179 *21772:C1 3.10307e-05 +*RES +1 *21771:X *21772:C1 36.599 +*END + +*D_NET *2788 0.00819925 +*CONN +*I *21808:A I *D sky130_fd_sc_hd__and4_1 +*I *21772:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21808:A 0.00185074 +2 *21772:X 0.00185074 +3 *21808:A *21808:B 3.29488e-05 +4 *21808:A *21825:A1 0.000134667 +5 *21808:A *22455:C1 6.03122e-05 +6 *21808:A *22770:A2 5.15359e-05 +7 *21808:A *2851:48 1.56279e-05 +8 *21808:A *2856:45 0.000174882 +9 *21808:A *2860:20 1.80683e-05 +10 *21808:A *2958:14 0.000180035 +11 *21808:A *3452:6 9.84424e-06 +12 *21808:A *3474:115 8.62048e-05 +13 *21808:A *3511:68 0.000868729 +14 *21808:A *3527:84 7.29453e-06 +15 *21808:A *3533:62 4.0179e-05 +16 *21808:A *3702:20 5.83513e-05 +17 *21808:A *4850:68 5.04829e-06 +18 *21548:A1 *21808:A 0.00025175 +19 *21548:B1 *21808:A 0.000191896 +20 *21772:A2 *21808:A 0.000247443 +21 *21838:B2 *21808:A 0.000159603 +22 *21920:B2 *21808:A 0.000260283 +23 *1625:83 *21808:A 0.00134948 +24 *1689:168 *21808:A 1.9101e-05 +25 *1689:181 *21808:A 6.08467e-05 +26 *1730:113 *21808:A 1.48845e-06 +27 *1776:60 *21808:A 7.77309e-06 +28 *2685:42 *21808:A 0.000204372 +*RES +1 *21772:X *21808:A 47.9271 +*END + +*D_NET *2789 0.0407762 +*CONN +*I *21777:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21773:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21777:A1 0.00822889 +2 *21773:Y 0.000171837 +3 *2789:12 0.00969309 +4 *2789:10 0.00149506 +5 *2789:8 0.00151359 +6 *2789:7 0.00165456 +7 *21777:A1 *21777:C1 0.000344569 +8 *21777:A1 *22047:B1 0.000336023 +9 *21777:A1 *2793:17 1.65872e-05 +10 *21777:A1 *2810:60 0.000538671 +11 *21777:A1 *3058:8 2.57465e-06 +12 *21777:A1 *3175:87 3.77568e-05 +13 *21777:A1 *5869:331 2.51934e-05 +14 *21777:A1 *5869:338 0.000172211 +15 *21777:A1 *5925:17 0.000589706 +16 *2789:8 *20159:B1 0.000205581 +17 *2789:8 *4894:22 0.000576685 +18 *2789:8 *4894:24 5.92342e-05 +19 *2789:8 *5854:148 0 +20 *2789:8 *5874:97 0.000351895 +21 *2789:8 *5995:14 0.00110356 +22 *2789:8 *5996:6 0.000354597 +23 *2789:12 *20161:A1 0.000276651 +24 *2789:12 *4855:14 2.58142e-05 +25 *2789:12 *4855:24 1.30981e-05 +26 *2789:12 *4936:8 0.000234703 +27 *2789:12 *5869:201 0 +28 *2789:12 *5869:212 0 +29 *19817:B *21777:A1 2.95062e-05 +30 *19828:A *21777:A1 0.000319441 +31 *21605:B2 *21777:A1 0.00157281 +32 *21777:A2 *21777:A1 0.000648564 +33 *24610:D *2789:12 0.000121221 +34 *514:28 *2789:12 2.98308e-05 +35 *1301:13 *2789:8 5.31105e-05 +36 *1301:13 *2789:12 8.1051e-05 +37 *1427:111 *21777:A1 0.000935474 +38 *1482:48 *21777:A1 4.50048e-05 +39 *1561:21 *21777:A1 6.11139e-05 +40 *1587:121 *21777:A1 0.000271675 +41 *1699:11 *2789:7 3.81675e-05 +42 *1707:43 *21777:A1 0 +43 *1726:6 *2789:8 1.06568e-05 +44 *1726:16 *2789:12 0.00188911 +45 *1726:28 *21777:A1 0 +46 *1730:92 *2789:12 0.000860296 +47 *1730:113 *21777:A1 0 +48 *1783:38 *21777:A1 0 +49 *1784:47 *21777:A1 0.00210307 +50 *1795:44 *21777:A1 5.64139e-06 +51 *1801:70 *21777:A1 0 +52 *2398:22 *2789:8 1.23518e-05 +53 *2398:24 *2789:8 0.00258139 +54 *2510:54 *21777:A1 5.60804e-05 +55 *2554:35 *21777:A1 0 +56 *2603:20 *2789:8 0.000102517 +57 *2603:20 *2789:12 1.50332e-05 +58 *2603:59 *2789:12 0.000107724 +59 *2618:18 *21777:A1 0.000111492 +60 *2660:94 *21777:A1 0.000691681 +*RES +1 *21773:Y *2789:7 16.1364 +2 *2789:7 *2789:8 60.4925 +3 *2789:8 *2789:10 0.732798 +4 *2789:10 *2789:12 50.9295 +5 *2789:12 *21777:A1 46.166 +*END + +*D_NET *2790 0.00418391 +*CONN +*I *21777:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22456:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21774:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21777:B1 0.000777658 +2 *22456:A1 0 +3 *21774:Y 0.000233215 +4 *2790:7 0.00101087 +5 *21777:B1 *21777:C1 6.36477e-05 +6 *21777:B1 *22456:A2 2.16355e-05 +7 *21777:B1 *22771:A2 0.000947863 +8 *21777:B1 *3106:110 5.99155e-05 +9 *21777:B1 *3122:256 0.000192506 +10 *2790:7 *22456:A2 3.99086e-06 +11 *2790:7 *22456:B2 1.96994e-06 +12 *2790:7 *22457:C1 0.000209407 +13 *2790:7 *3131:70 1.1718e-05 +14 *19847:A *21777:B1 0.000195184 +15 *21548:A1 *21777:B1 0.000168374 +16 *21777:A2 *21777:B1 6.08467e-05 +17 *22456:B1 *2790:7 0.000225107 +*RES +1 *21774:Y *2790:7 15.5427 +2 *2790:7 *22456:A1 9.24915 +3 *2790:7 *21777:B1 40.3536 +*END + +*D_NET *2791 0.0752398 +*CONN +*I *6190:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21776:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21775:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *6190:DIODE 0 +2 *21776:B1 0.000325264 +3 *21775:Y 5.89623e-05 +4 *2791:50 0.00946028 +5 *2791:46 0.0124343 +6 *2791:26 0.00464331 +7 *2791:24 0.00211888 +8 *2791:11 0.00332891 +9 *2791:8 0.00261299 +10 *21776:B1 *2792:27 2.15881e-05 +11 *2791:24 *2938:29 3.31745e-05 +12 *2791:24 *4821:151 0.000530389 +13 *2791:24 *4825:70 9.1043e-05 +14 *2791:24 *4829:161 6.61878e-05 +15 *2791:24 *4829:170 0 +16 *2791:24 *5493:33 3.09529e-05 +17 *2791:24 *5904:24 3.31733e-05 +18 *2791:26 *4829:161 0.00163397 +19 *2791:26 *5904:24 1.72941e-05 +20 *2791:46 *2882:74 4.73659e-05 +21 *2791:46 *2938:30 0.000111319 +22 *2791:46 *2989:67 0.000100364 +23 *2791:46 *3212:27 0.000769896 +24 *2791:46 *5592:112 0.00238178 +25 *2791:46 *5874:17 0.000374271 +26 *2791:46 *5902:32 0.000231886 +27 *2791:46 *5904:24 0.000107146 +28 *2791:46 *5904:35 1.23804e-05 +29 *2791:46 *5910:26 0.0010189 +30 *2791:50 *19564:S 1.1085e-05 +31 *2791:50 *2813:47 0 +32 *2791:50 *3527:70 0.000102819 +33 *2791:50 *3539:70 0.000290315 +34 *2791:50 *4817:85 1.60578e-06 +35 *2791:50 *4821:143 0 +36 *2791:50 *4827:79 2.03239e-05 +37 *2791:50 *5592:70 2.83247e-05 +38 *2791:50 *5853:277 2.93018e-06 +39 *2791:50 *5908:87 1.16089e-05 +40 mgmt_gpio_oeb[11] *2791:46 1.05316e-05 +41 mgmt_gpio_out[8] *2791:50 9.72508e-05 +42 *19808:B *2791:50 0.00027325 +43 *21247:B1 *2791:50 0.00106572 +44 *21443:B *2791:50 0.00226925 +45 *21605:B1 *2791:50 0.000122863 +46 *21605:B2 *2791:50 0.000923763 +47 *21776:B2 *21776:B1 0.000113968 +48 *21776:C1 *21776:B1 1.15376e-05 +49 *21776:C1 *2791:50 0.00180953 +50 *25175:A *2791:50 0 +51 *527:22 *2791:50 0.00208494 +52 *527:44 *2791:50 0 +53 *537:29 *2791:50 6.47369e-05 +54 *1454:104 *21776:B1 0.000294396 +55 *1454:104 *2791:50 1.15085e-05 +56 *1539:18 *2791:50 0.00817801 +57 *1542:34 *21776:B1 0.000135973 +58 *1542:34 *2791:50 1.91246e-05 +59 *1573:155 *2791:50 3.21156e-06 +60 *1586:11 *21776:B1 9.75148e-06 +61 *1592:93 *2791:50 0.000120395 +62 *1625:83 *2791:50 8.58674e-05 +63 *1631:34 *2791:50 3.04448e-05 +64 *1631:57 *2791:50 0 +65 *1649:123 *2791:50 0.00264555 +66 *1649:143 *2791:50 0.00119014 +67 *1668:85 *2791:50 0.000358428 +68 *1688:75 *2791:46 0.000168965 +69 *1906:6 *2791:8 3.77804e-05 +70 *2263:30 *2791:8 4.12533e-05 +71 *2267:59 *2791:50 5.96043e-05 +72 *2304:58 *2791:50 6.07247e-05 +73 *2320:36 *2791:26 5.53589e-05 +74 *2333:60 *2791:50 0.000874012 +75 *2367:20 *2791:24 4.31485e-06 +76 *2367:27 *2791:24 6.96237e-05 +77 *2384:32 *2791:26 0.00192734 +78 *2384:73 *2791:46 4.22519e-05 +79 *2391:34 *2791:46 0.000720812 +80 *2391:77 *2791:46 0.00259522 +81 *2421:91 *2791:46 0.000161544 +82 *2460:40 *2791:26 0.000499276 +83 *2503:12 *2791:24 0.00132558 +84 *2545:28 *2791:24 0.000247443 +85 *2554:35 *2791:50 0.000113787 +86 *2610:21 *2791:11 0.000317093 +87 *2629:10 *2791:46 0.000679825 +88 *2659:17 *2791:50 5.60804e-05 +89 *2679:18 *2791:24 8.01687e-05 +90 *2687:68 *2791:46 6.49023e-05 +91 *2692:53 *2791:46 4.17052e-05 +*RES +1 *21775:Y *2791:8 20.0811 +2 *2791:8 *2791:11 40.1634 +3 *2791:11 *2791:24 41.6229 +4 *2791:24 *2791:26 60.5902 +5 *2791:26 *2791:46 31.4877 +6 *2791:46 *2791:50 28.6948 +7 *2791:50 *21776:B1 22.18 +8 *2791:50 *6190:DIODE 13.7491 +*END + +*D_NET *2792 0.00723304 +*CONN +*I *21777:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21776:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21777:C1 0.000277538 +2 *21776:X 0.00120557 +3 *2792:27 0.00148311 +4 *21777:C1 *22472:A2 4.36818e-05 +5 *21777:C1 *22472:B2 1.41976e-05 +6 *21777:C1 *22472:C1 0.000203595 +7 *21777:C1 *2793:17 2.50282e-05 +8 *21777:C1 *3507:117 0.000926369 +9 *2792:27 *22472:B2 0.000229151 +10 *2792:27 *22483:A2 6.74182e-05 +11 *2792:27 *3128:142 1.85244e-05 +12 *2792:27 *3190:58 1.5714e-05 +13 *2792:27 *4849:23 0.000314733 +14 *19828:A *21777:C1 2.70735e-05 +15 *21605:B2 *2792:27 0.000375118 +16 *21776:B1 *2792:27 2.15881e-05 +17 *21776:B2 *2792:27 4.73169e-05 +18 *21776:C1 *2792:27 3.08529e-05 +19 *21777:A1 *21777:C1 0.000344569 +20 *21777:A2 *21777:C1 5.83668e-05 +21 *21777:B1 *21777:C1 6.36477e-05 +22 *22472:A1 *2792:27 0.000188837 +23 *22483:A1 *2792:27 6.50586e-05 +24 *1454:74 *2792:27 0.000203535 +25 *1454:104 *2792:27 6.14086e-05 +26 *1586:11 *2792:27 0.000409323 +27 *1631:5 *21777:C1 2.53145e-06 +28 *1631:34 *21777:C1 6.08467e-05 +29 *1631:34 *2792:27 0.0003775 +30 *2671:42 *2792:27 7.08288e-05 +*RES +1 *21776:X *2792:27 49.2528 +2 *2792:27 *21777:C1 22.1979 +*END + +*D_NET *2793 0.0072424 +*CONN +*I *21808:B I *D sky130_fd_sc_hd__and4_1 +*I *21777:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21808:B 0.000484816 +2 *21777:X 0.000843421 +3 *2793:17 0.00132824 +4 *21808:B *22763:B2 9.04241e-05 +5 *21808:B *2851:48 0.000185251 +6 *21808:B *2860:20 0.000326444 +7 *21808:B *2863:40 5.88009e-05 +8 *21808:B *3474:115 2.70661e-05 +9 *21808:B *3527:84 0.000294093 +10 *21808:B *3529:80 0.000323785 +11 *2793:17 *22472:A2 0.000158371 +12 *2793:17 *22472:B2 1.55995e-05 +13 *2793:17 *22472:C1 7.13972e-05 +14 *2793:17 *22473:C1 0.000154145 +15 *2793:17 *22483:A2 0.000140148 +16 *2793:17 *2851:26 1.50389e-06 +17 *2793:17 *2862:11 0.000254551 +18 *2793:17 *5456:29 0.000107496 +19 *19828:A *2793:17 0.000203595 +20 *21777:A1 *2793:17 1.65872e-05 +21 *21777:C1 *2793:17 2.50282e-05 +22 *21808:A *21808:B 3.29488e-05 +23 *21838:B2 *21808:B 0.000361403 +24 *22472:A1 *2793:17 0.000169429 +25 *1544:151 *2793:17 2.15011e-05 +26 *1561:21 *2793:17 0.000442572 +27 *1605:11 *2793:17 0.000771979 +28 *1605:29 *21808:B 9.50308e-05 +29 *1605:29 *2793:17 0.000126469 +30 *2686:27 *2793:17 0.000110306 +*RES +1 *21777:X *2793:17 49.807 +2 *2793:17 *21808:B 31.6361 +*END + +*D_NET *2794 0.0382746 +*CONN +*I *22285:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21783:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22622:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21778:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22285:A1 0.000727771 +2 *21783:A1 0.000997769 +3 *22622:B1 0.000575952 +4 *21778:Y 6.88152e-05 +5 *2794:58 0.00241602 +6 *2794:34 0.00262499 +7 *2794:27 0.0033633 +8 *2794:9 0.00544232 +9 *2794:8 0.00350639 +10 *21783:A1 *21782:B1 0.0007496 +11 *21783:A1 *22565:B2 0.000137746 +12 *21783:A1 *2798:11 5.45812e-05 +13 *22285:A1 *3120:130 0.000506533 +14 *22285:A1 *3238:44 7.67159e-05 +15 *22285:A1 *3523:192 0.000121004 +16 *22622:B1 *2900:111 6.98372e-05 +17 *22622:B1 *3243:41 4.65234e-05 +18 *2794:8 *4827:100 0.00018985 +19 *2794:9 *20693:A1 4.78069e-06 +20 *2794:9 *20693:B1 2.8182e-06 +21 *2794:9 *22351:B2 2.92537e-06 +22 *2794:9 *3011:33 0.00363353 +23 *2794:9 *3192:92 4.97617e-05 +24 *2794:9 *5043:11 0.000131666 +25 *2794:27 *22351:B2 2.16355e-05 +26 *2794:27 *22352:C1 3.82228e-05 +27 *2794:27 *22682:A2 0.000233246 +28 *2794:27 *22682:B2 0.000199159 +29 *2794:27 *22717:B2 6.1096e-05 +30 *2794:27 *3507:227 4.2372e-05 +31 *2794:27 *3521:198 0.00205781 +32 *2794:27 *3521:206 0.000107025 +33 *2794:27 *3523:202 0.000112534 +34 *2794:27 *3537:215 1.89774e-05 +35 *2794:27 *3537:224 0.000818974 +36 *2794:27 *3632:19 2.07365e-05 +37 *2794:34 *3521:206 0.000104323 +38 *2794:34 *3537:215 6.38266e-05 +39 *2794:58 *22611:A2 7.40684e-06 +40 *2794:58 *3051:54 0.00020355 +41 *2794:58 *3064:23 4.92599e-06 +42 *2794:58 *3233:8 0.000208303 +43 *2794:58 *3519:217 0.000209388 +44 *2794:58 *3519:250 0.00119335 +45 *2794:58 *3537:215 0.000179268 +46 *6079:DIODE *22622:B1 2.1203e-06 +47 *20651:B *2794:27 6.50416e-05 +48 *20693:B2 *2794:9 1.82679e-05 +49 *21279:B1 *22285:A1 0.000299831 +50 *21482:A1 *22622:B1 0.000212955 +51 *21765:A1 *2794:58 0.000200794 +52 *21765:A2 *2794:58 2.53145e-06 +53 *21765:B1 *2794:58 0.000350063 +54 *21766:C *21783:A1 0.000256382 +55 *21766:C *2794:58 2.77625e-06 +56 *21782:A2 *21783:A1 6.08467e-05 +57 *22290:A2 *22622:B1 7.08723e-06 +58 *22351:B1 *2794:9 2.57986e-05 +59 *22351:B1 *2794:27 2.26277e-05 +60 *22373:A1 *2794:27 5.74984e-05 +61 *22682:A1 *2794:27 6.08467e-05 +62 *22682:B1 *2794:27 0.000160983 +63 *22695:B1 *22622:B1 0.000523313 +64 *22695:B1 *2794:34 0.000121187 +65 *1592:115 *22285:A1 2.67849e-05 +66 *1610:134 *22285:A1 0.000346324 +67 *1634:45 *22285:A1 2.61494e-05 +68 *1647:58 *2794:58 0.000205198 +69 *1790:100 *2794:34 0.000335691 +70 *1790:100 *2794:58 0.000692287 +71 *1800:128 *22285:A1 1.28646e-05 +72 *1899:34 *2794:9 0.000107496 +73 *2287:90 *2794:8 0.00018985 +74 *2454:11 *2794:9 5.80765e-06 +75 *2454:11 *2794:27 1.34424e-05 +76 *2508:23 *22622:B1 0.000238658 +77 *2534:23 *22622:B1 3.17436e-05 +78 *2764:82 *2794:58 0.00167981 +79 *2777:80 *22622:B1 0.000202004 +80 *2777:80 *2794:34 4.99295e-05 +81 *2780:25 *21783:A1 0.000218159 +82 *2780:25 *2794:58 3.41459e-05 +*RES +1 *21778:Y *2794:8 21.7421 +2 *2794:8 *2794:9 55.0746 +3 *2794:9 *2794:27 32.3194 +4 *2794:27 *2794:34 1.93938 +5 *2794:34 *22622:B1 23.952 +6 *2794:34 *2794:58 41.9434 +7 *2794:58 *21783:A1 34.4716 +8 *2794:27 *22285:A1 22.0675 +*END + +*D_NET *2795 0.0154488 +*CONN +*I *22462:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21783:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22772:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21779:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22462:B1 2.98003e-05 +2 *21783:B1 0.000387228 +3 *22772:A1 5.46021e-05 +4 *21779:Y 5.29301e-05 +5 *2795:20 0.00151726 +6 *2795:14 0.00201873 +7 *2795:12 0.00159846 +8 *2795:7 0.00145276 +9 *21783:B1 *21783:C1 6.63742e-05 +10 *21783:B1 *2798:11 9.42393e-05 +11 *21783:B1 *3539:157 7.64781e-05 +12 *2795:7 *20678:A1 2.96784e-06 +13 *2795:12 *22462:B2 4.63742e-05 +14 *2795:12 *22506:A2 2.37419e-05 +15 *2795:12 *2796:26 0.00059254 +16 *2795:12 *2956:48 7.8756e-07 +17 *2795:12 *3162:121 0.00046142 +18 *2795:12 *3190:89 0.000106833 +19 *2795:12 *3192:190 5.88009e-05 +20 *2795:12 *3192:211 6.20882e-05 +21 *2795:12 *3531:163 3.45397e-05 +22 *2795:12 *5867:99 0.000145263 +23 *2795:12 *5867:116 0.000553775 +24 *2795:14 *22462:B2 1.52071e-06 +25 *2795:14 *2796:26 2.18777e-05 +26 *2795:14 *2796:30 3.23229e-06 +27 *2795:14 *3192:211 1.85075e-05 +28 *2795:20 *22017:B1 6.74182e-05 +29 *2795:20 *2796:30 0 +30 *2795:20 *3120:168 1.05861e-05 +31 *2795:20 *3120:179 7.70172e-06 +32 *2795:20 *3192:211 0.00122536 +33 *2795:20 *3519:174 0.00122418 +34 *2795:20 *3541:119 0.000255258 +35 *19595:A *2795:12 7.96821e-05 +36 *19848:B *2795:12 1.25055e-05 +37 *19848:B *2795:14 1.63926e-05 +38 *21764:A1 *21783:B1 0.000156946 +39 *21783:B2 *21783:B1 7.8855e-05 +40 *22417:B1 *22462:B1 2.06657e-05 +41 *24906:D *2795:12 8.22553e-05 +42 *1569:95 *22772:A1 7.77744e-05 +43 *1585:19 *2795:12 0.000258513 +44 *1585:34 *2795:20 0.000136376 +45 *1594:59 *2795:12 3.38973e-05 +46 *1624:38 *2795:12 0.00017393 +47 *1625:146 *2795:12 2.01186e-05 +48 *1732:12 *2795:12 4.51017e-05 +49 *1808:87 *22772:A1 0.000207911 +50 *2117:148 *2795:20 0.000303721 +51 *2535:36 *22462:B1 3.69347e-05 +52 *2564:18 *2795:12 0.000171334 +53 *2565:36 *21783:B1 9.95234e-05 +54 *2565:36 *2795:20 8.43713e-06 +55 *2671:38 *2795:20 0.000510445 +56 *2765:39 *2795:12 0.000327686 +57 *2778:13 *21783:B1 0.000258819 +58 *2780:25 *21783:B1 2.16355e-05 +59 *2780:25 *2795:14 3.20407e-05 +60 *2780:25 *2795:20 3.64497e-06 +*RES +1 *21779:Y *2795:7 14.4725 +2 *2795:7 *2795:12 43.5648 +3 *2795:12 *2795:14 3.35866 +4 *2795:14 *2795:20 48.59 +5 *2795:20 *22772:A1 11.6364 +6 *2795:14 *21783:B1 25.0341 +7 *2795:12 *22462:B1 15.413 +*END + +*D_NET *2796 0.0309714 +*CONN +*I *21782:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22465:A I *D sky130_fd_sc_hd__or2_1 +*I *22777:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21780:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21782:A1 0.000907492 +2 *22465:A 3.30362e-05 +3 *22777:B1 0.00036946 +4 *21780:Y 0.000204346 +5 *2796:30 0.000804281 +6 *2796:26 0.00221973 +7 *2796:18 0.00209778 +8 *2796:14 0.00162886 +9 *2796:8 0.0019994 +10 *2796:7 0.00176222 +11 *21782:A1 *21782:B1 0.000109427 +12 *21782:A1 *22231:A2 0.000118009 +13 *21782:A1 *3120:195 0.000315171 +14 *21782:A1 *3537:146 1.5714e-05 +15 *22777:B1 *21281:A 0.000573511 +16 *22777:B1 *22777:A1 0.000638254 +17 *22777:B1 *3122:215 0.000347858 +18 *22777:B1 *3539:129 4.2372e-05 +19 *22777:B1 *5082:101 0.000261552 +20 *2796:8 *20497:B1 0.000356404 +21 *2796:8 *2818:23 0.00137247 +22 *2796:8 *4106:62 0.00011853 +23 *2796:8 *5861:10 0.00185296 +24 *2796:14 *24579:RESET_B 6.46135e-05 +25 *2796:14 *4889:53 1.41761e-05 +26 *2796:14 *4889:55 6.06269e-06 +27 *2796:18 *21625:A 1.41761e-05 +28 *2796:18 *24906:CLK 0.000147395 +29 *2796:18 *3168:132 0.000401887 +30 *2796:18 *4840:198 0.000187483 +31 *2796:18 *4889:55 0.00020131 +32 *2796:18 *5050:8 0.000119553 +33 *2796:18 *5861:89 0 +34 *2796:26 *22462:A2 0.000113916 +35 *2796:26 *22462:B2 7.69988e-06 +36 *2796:26 *22506:A2 6.89506e-05 +37 *2796:26 *3106:180 0.000345048 +38 *2796:26 *3128:162 0.000491468 +39 *2796:26 *5867:99 6.57877e-05 +40 *2796:30 *22466:C1 0.000105382 +41 *2796:30 *3122:211 0.0003971 +42 *2796:30 *3519:174 4.7615e-05 +43 *20491:A *2796:14 3.84087e-05 +44 *20491:A *2796:18 1.0779e-05 +45 *20492:A *2796:14 0.000416132 +46 *20495:A2 *2796:8 0.000143047 +47 *20678:B2 *2796:18 0.000237795 +48 *20680:A2 *2796:18 6.88675e-05 +49 *21782:B2 *21782:A1 0.000107496 +50 *22208:B1 *2796:18 4.91394e-06 +51 *24452:D *2796:18 0.00012568 +52 *24575:D *2796:8 0.000104731 +53 *24579:D *2796:14 0.000169078 +54 *1582:52 *2796:18 0.000170098 +55 *1596:76 *21782:A1 1.5714e-05 +56 *1618:61 *21782:A1 0.000692834 +57 *1619:26 *2796:7 6.49003e-05 +58 *1625:146 *2796:26 0.000478473 +59 *1724:29 *2796:8 0.000147193 +60 *1724:29 *2796:14 0.000102899 +61 *1724:48 *2796:18 8.93431e-05 +62 *1732:12 *2796:26 0.00043578 +63 *1732:12 *2796:30 0.000517597 +64 *1732:18 *2796:30 3.08533e-05 +65 *1733:85 *2796:18 0 +66 *1784:71 *21782:A1 6.5732e-06 +67 *1856:8 *2796:8 0.000331599 +68 *1970:36 *2796:8 0.000195139 +69 *1976:6 *2796:14 8.04463e-05 +70 *1976:18 *2796:8 6.84784e-06 +71 *1976:27 *2796:8 0.000379523 +72 *1976:42 *2796:8 0.000724699 +73 *1976:48 *2796:8 9.2346e-06 +74 *2033:14 *2796:18 0.000342015 +75 *2033:34 *2796:18 0.000378815 +76 *2344:11 *22465:A 5.09367e-05 +77 *2344:11 *22777:B1 0.000492093 +78 *2523:14 *2796:8 0.000259516 +79 *2537:8 *2796:18 0.000172902 +80 *2538:13 *22465:A 2.57986e-05 +81 *2538:13 *22777:B1 6.35148e-05 +82 *2552:10 *2796:7 0.000167076 +83 *2552:10 *2796:8 0.000123056 +84 *2565:36 *2796:30 0.000120572 +85 *2620:40 *2796:8 0.00031767 +86 *2620:40 *2796:14 9.75291e-05 +87 *2620:40 *2796:18 0 +88 *2780:25 *21782:A1 0.000872224 +89 *2780:25 *2796:30 1.48638e-05 +90 *2795:12 *2796:26 0.00059254 +91 *2795:14 *2796:26 2.18777e-05 +92 *2795:14 *2796:30 3.23229e-06 +93 *2795:20 *2796:30 0 +*RES +1 *21780:Y *2796:7 17.2456 +2 *2796:7 *2796:8 72.6325 +3 *2796:8 *2796:14 15.7552 +4 *2796:14 *2796:18 43.9123 +5 *2796:18 *2796:26 33.5112 +6 *2796:26 *2796:30 18.5819 +7 *2796:30 *22777:B1 26.683 +8 *2796:30 *22465:A 10.5513 +9 *2796:26 *21782:A1 24.5644 +*END + +*D_NET *2797 0.0618924 +*CONN +*I *22619:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21782:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22274:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21781:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22619:B1 0.00326581 +2 *21782:B1 0.000978955 +3 *22274:A1 0 +4 *21781:Y 0 +5 *2797:65 0.00597253 +6 *2797:50 0.00188545 +7 *2797:47 0.00229329 +8 *2797:36 0.00355037 +9 *2797:30 0.00295516 +10 *2797:19 0.00298166 +11 *2797:11 0.00272721 +12 *2797:5 0.00128594 +13 *21782:B1 *24909:A 4.29279e-06 +14 *21782:B1 *2843:51 1.17485e-06 +15 *22619:B1 *21630:B1 0.00107504 +16 *22619:B1 *21869:A1 0.000631872 +17 *22619:B1 *22610:A2 0.000214158 +18 *22619:B1 *2819:38 1.15125e-05 +19 *22619:B1 *2919:20 2.58065e-05 +20 *22619:B1 *3011:55 0.000392389 +21 *22619:B1 *3064:23 0.000113987 +22 *22619:B1 *3064:35 5.05421e-05 +23 *22619:B1 *3146:157 0.00143142 +24 *22619:B1 *3474:166 8.91916e-05 +25 *22619:B1 *3517:197 8.89328e-05 +26 *22619:B1 *3569:13 0.000186173 +27 *2797:11 *21253:B1 0.000273248 +28 *2797:11 *4830:36 0.00041631 +29 *2797:11 *4830:42 0 +30 *2797:11 *4836:21 0.000124982 +31 *2797:11 *5881:16 0.000860568 +32 *2797:19 *20732:A1 7.36589e-05 +33 *2797:19 *21400:A 0.00011906 +34 *2797:19 *4843:235 0.000376195 +35 *2797:19 *5853:146 0.000202361 +36 *2797:19 *5853:154 0.000102632 +37 *2797:30 *20628:B1 0.000108161 +38 *2797:30 *24217:RESET_B 0.000132794 +39 *2797:30 *4839:119 0 +40 *2797:30 *4844:143 0.00042041 +41 *2797:36 *21093:B1 5.97264e-05 +42 *2797:36 *2806:7 0.000207266 +43 *2797:36 *2819:8 0.000120674 +44 *2797:36 *4840:139 0.000209326 +45 *2797:36 *4844:137 0.00122774 +46 *2797:36 *4860:18 5.62362e-05 +47 *2797:36 *5325:10 6.08467e-05 +48 *2797:47 *20442:A1 9.43801e-05 +49 *2797:47 *2819:18 8.46605e-05 +50 *2797:47 *2846:16 1.67657e-05 +51 *2797:47 *2978:20 0.000262018 +52 *2797:47 *2984:19 6.35852e-05 +53 *2797:47 *4840:155 0.000140865 +54 *2797:47 *4942:22 9.33697e-05 +55 *2797:47 *5855:99 0.000254532 +56 *2797:50 *22274:A2 0.000107052 +57 *2797:50 *2837:24 8.23545e-05 +58 *2797:50 *3157:180 1.66923e-05 +59 *2797:50 *4942:22 3.54949e-06 +60 *2797:65 *21814:B1 8.4649e-05 +61 *2797:65 *2826:19 5.76913e-05 +62 *2797:65 *2837:28 3.08603e-06 +63 *2797:65 *2918:16 3.25734e-05 +64 *19895:B2 *2797:65 0.00115251 +65 *20040:B2 *21782:B1 0.000133323 +66 *20442:B2 *2797:47 0.000145553 +67 *20708:A2 *2797:36 1.91391e-05 +68 *20735:B2 *2797:19 1.78514e-05 +69 *21253:B2 *2797:11 0.000486475 +70 *21476:B1 *22619:B1 2.05082e-05 +71 *21766:C *21782:B1 0.000142506 +72 *21782:A1 *21782:B1 0.000109427 +73 *21782:A2 *21782:B1 6.08467e-05 +74 *21782:B2 *21782:B1 6.60981e-05 +75 *21783:A1 *21782:B1 0.0007496 +76 *22041:B2 *22619:B1 0.000235174 +77 *24612:D *2797:47 0.000342552 +78 *480:207 *2797:30 0.000142332 +79 *1418:203 *2797:47 0.00101204 +80 *1557:133 *22619:B1 4.63742e-05 +81 *1592:115 *22619:B1 3.11366e-05 +82 *1595:16 *2797:11 0.00115066 +83 *1607:29 *2797:50 7.23396e-05 +84 *1620:93 *22619:B1 0.000409657 +85 *1627:202 *2797:30 1.5714e-05 +86 *1647:46 *21782:B1 2.9077e-05 +87 *1647:46 *22619:B1 0.00172951 +88 *1708:111 *2797:47 0.00138438 +89 *1708:116 *2797:47 0.000687531 +90 *1720:14 *2797:47 0.00112549 +91 *1720:20 *21782:B1 0.000198659 +92 *1721:162 *21782:B1 2.26549e-05 +93 *1741:21 *2797:47 0.000137918 +94 *1770:31 *2797:65 0.000563851 +95 *1771:167 *2797:30 0.000231158 +96 *1790:100 *21782:B1 0.000418767 +97 *1790:100 *22619:B1 0.000510814 +98 *1884:102 *2797:11 0.000447781 +99 *1912:10 *2797:19 0.000594381 +100 *1958:20 *2797:47 0.000247853 +101 *2050:9 *2797:19 0.000581155 +102 *2052:20 *2797:19 4.73267e-05 +103 *2081:6 *2797:30 0.000338564 +104 *2209:17 *2797:36 0.000846973 +105 *2209:28 *2797:36 6.08467e-05 +106 *2245:11 *2797:19 0.000799362 +107 *2319:9 *2797:19 0.000140453 +108 *2358:14 *2797:36 0.000260388 +109 *2380:16 *2797:30 1.9101e-05 +110 *2448:74 *2797:19 0.000105316 +111 *2508:23 *22619:B1 0.000429054 +112 *2530:90 *22619:B1 0.000761651 +113 *2541:11 *2797:47 7.54847e-05 +114 *2542:8 *2797:36 8.72285e-06 +115 *2564:33 *2797:65 0.000530081 +116 *2620:37 *2797:30 4.11955e-05 +117 *2659:17 *2797:65 0.00298553 +118 *2780:25 *21782:B1 0.000206718 +*RES +1 *21781:Y *2797:5 13.7491 +2 *2797:5 *2797:11 46.5166 +3 *2797:11 *2797:19 47.0924 +4 *2797:19 *2797:30 26.2592 +5 *2797:30 *2797:36 46.8176 +6 *2797:36 *2797:47 47.2971 +7 *2797:47 *2797:50 8.82351 +8 *2797:50 *22274:A1 13.7491 +9 *2797:50 *2797:65 11.4239 +10 *2797:65 *21782:B1 35.3915 +11 *2797:65 *22619:B1 43.7134 +*END + +*D_NET *2798 0.00129517 +*CONN +*I *21783:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21782:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21783:C1 2.60176e-05 +2 *21782:X 0.000268972 +3 *2798:12 9.07405e-05 +4 *2798:11 0.000333695 +5 *2798:11 *2799:7 0.000294093 +6 *21783:A1 *2798:11 5.45812e-05 +7 *21783:B1 *21783:C1 6.63742e-05 +8 *21783:B1 *2798:11 9.42393e-05 +9 *21783:B2 *21783:C1 5.69429e-05 +10 *21783:B2 *2798:11 9.51757e-06 +*RES +1 *21782:X *2798:11 24.9606 +2 *2798:11 *2798:12 81.1229 +3 *2798:12 *21783:C1 19.5834 +*END + +*D_NET *2799 0.0125678 +*CONN +*I *21808:C I *D sky130_fd_sc_hd__and4_1 +*I *21783:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21808:C 0.000893867 +2 *21783:X 0.00021839 +3 *2799:8 0.00282233 +4 *2799:7 0.00214685 +5 *21808:C *21833:A 5.04829e-06 +6 *21808:C *21858:D 9.90599e-06 +7 *21808:C *21902:B 5.71234e-05 +8 *21808:C *21902:C 4.31603e-06 +9 *21808:C *2857:52 7.14746e-05 +10 *21808:C *4850:55 0.000456421 +11 *2799:8 *2850:42 0.00066705 +12 *2799:8 *2850:53 0.000485549 +13 *2799:8 *2850:56 0.00174508 +14 *2799:8 *3067:26 7.67208e-05 +15 *2799:8 *3069:37 0.000337046 +16 *2799:8 *3134:142 3.74433e-05 +17 *2799:8 *3493:101 0.000629581 +18 *2799:8 *3493:130 2.29201e-05 +19 *2799:8 *3541:110 7.14746e-05 +20 *6030:DIODE *21808:C 6.36477e-05 +21 *19791:B1 *21808:C 3.77568e-05 +22 *19810:B2 *2799:8 0.000404613 +23 *21838:B2 *21808:C 3.07302e-05 +24 *1586:33 *21808:C 0.000124364 +25 *1586:36 *2799:8 0.000113902 +26 *1586:47 *2799:8 1.90063e-05 +27 *1602:71 *2799:8 0.000320587 +28 *1618:56 *2799:8 6.04912e-06 +29 *1771:83 *2799:8 3.28588e-05 +30 *2535:36 *2799:8 0.00027842 +31 *2605:29 *21808:C 1.61631e-05 +32 *2661:30 *2799:8 6.69868e-05 +33 *2798:11 *2799:7 0.000294093 +*RES +1 *21783:X *2799:7 17.2456 +2 *2799:7 *2799:8 61.2131 +3 *2799:8 *21808:C 38.5533 +*END + +*D_NET *2800 0.0416869 +*CONN +*I *22276:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22620:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21789:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21784:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22276:A1 0.000662017 +2 *22620:A1 1.28414e-05 +3 *21789:A1 0 +4 *21784:Y 0.00119387 +5 *2800:56 0.0013498 +6 *2800:53 0.00176327 +7 *2800:46 0.00183298 +8 *2800:38 0.00141827 +9 *2800:25 0.00493702 +10 *2800:14 0.00396798 +11 *22276:A1 *22276:B1 0.000157876 +12 *22276:A1 *22276:B2 0.000205101 +13 *22276:A1 *22621:A2 1.27831e-06 +14 *22276:A1 *2814:38 0.000307869 +15 *22276:A1 *3117:204 0.000110306 +16 *22276:A1 *3136:180 0.000345048 +17 *22276:A1 *3537:35 6.31683e-05 +18 *22620:A1 *3148:206 6.36477e-05 +19 *2800:14 *21784:A 7.16893e-05 +20 *2800:14 *22211:B 9.22623e-05 +21 *2800:14 *22234:A 0.000105599 +22 *2800:14 *2924:70 2.57149e-05 +23 *2800:14 *3122:11 5.11466e-05 +24 *2800:14 *4866:109 0.000234068 +25 *2800:25 *21702:A1 0.00105166 +26 *2800:25 *3114:22 0.000153037 +27 *2800:25 *3151:17 0.00030645 +28 *2800:25 *3175:27 0.000895053 +29 *2800:25 *3496:29 0.000205264 +30 *2800:25 *4807:28 0.000867186 +31 *2800:38 *21702:A1 0.00130164 +32 *2800:38 *2916:20 0.00185873 +33 *2800:38 *3063:30 0.00027829 +34 *2800:38 *3114:22 1.78704e-05 +35 *2800:38 *3136:71 1.3779e-05 +36 *2800:38 *3136:84 3.73617e-05 +37 *2800:38 *3175:27 0.00130598 +38 *2800:38 *3175:37 0.000105837 +39 *2800:38 *3502:21 0.000164034 +40 *2800:46 *3126:228 0.000136672 +41 *2800:53 *22332:B2 6.25914e-05 +42 *2800:53 *22337:B 3.21932e-05 +43 *2800:53 *2894:39 4.09892e-05 +44 *2800:53 *2894:60 0 +45 *2800:53 *3126:228 0.000888757 +46 *2800:56 *22329:B2 0.000125536 +47 *2800:56 *2825:12 9.5161e-06 +48 *2800:56 *2825:22 0.000112039 +49 *2800:56 *3190:34 4.63742e-05 +50 *2800:56 *3487:133 0.000170827 +51 *2800:56 *3487:137 4.60375e-07 +52 *22158:A *2800:14 0.000163229 +53 *22329:B1 *2800:53 0.000270889 +54 *1443:49 *2800:25 0.00446815 +55 *1502:204 *2800:25 3.00213e-05 +56 *1552:37 *2800:38 9.4385e-05 +57 *1552:52 *2800:38 0.000160227 +58 *1576:35 *22276:A1 0.000338712 +59 *1576:35 *2800:56 9.18679e-06 +60 *1583:35 *22620:A1 6.08467e-05 +61 *1584:141 *2800:53 0.000355732 +62 *1641:117 *22276:A1 0.000106645 +63 *1649:67 *2800:38 0.000453002 +64 *1679:37 *2800:14 0.000365175 +65 *1680:111 *2800:53 0.000278946 +66 *2112:40 *2800:14 0.000676611 +67 *2286:10 *2800:53 0.00137027 +68 *2368:19 *2800:25 0.000153427 +69 *2378:41 *2800:25 9.42362e-06 +70 *2570:138 *2800:53 2.20702e-05 +71 *2581:42 *2800:46 0.00108971 +72 *2581:42 *2800:53 4.17924e-05 +73 *2609:28 *2800:46 0.000691149 +74 *2631:70 *22276:A1 0.000279229 +75 *2631:70 *2800:56 5.99314e-05 +76 *2631:76 *2800:56 0.000548785 +77 *2631:83 *2800:56 0.000154707 +78 *2751:8 *2800:38 0.000273695 +*RES +1 *21784:Y *2800:14 45.438 +2 *2800:14 *2800:25 32.974 +3 *2800:25 *2800:38 44.7462 +4 *2800:38 *21789:A1 9.24915 +5 *2800:25 *2800:46 27.5465 +6 *2800:46 *2800:53 44.2316 +7 *2800:53 *2800:56 17.5139 +8 *2800:56 *22620:A1 14.4725 +9 *2800:56 *22276:A1 34.3814 +*END + +*D_NET *2801 0.0119848 +*CONN +*I *22463:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21789:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21785:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22463:B1 1.49029e-05 +2 *21789:B1 9.45006e-05 +3 *21785:Y 0.00180811 +4 *2801:26 0.000164033 +5 *2801:25 0.00165537 +6 *2801:19 0.00340885 +7 *21789:B1 *21789:C1 1.97286e-05 +8 *21789:B1 *22750:A1 5.70749e-05 +9 *21789:B1 *3053:21 7.98171e-06 +10 *21789:B1 *3364:23 0.000208772 +11 *22463:B1 *22419:B2 3.3238e-05 +12 *22463:B1 *22420:B2 4.12977e-05 +13 *2801:19 *22426:B 7.08723e-06 +14 *2801:19 *22431:A1 8.09106e-06 +15 *2801:19 *22432:A2 1.41307e-05 +16 *2801:19 *22437:B1 1.5613e-05 +17 *2801:19 *22437:C1 0.000777741 +18 *2801:19 *2804:24 0.000176485 +19 *2801:19 *2950:125 7.13495e-05 +20 *2801:19 *2959:43 1.5714e-05 +21 *2801:19 *2964:59 2.23124e-05 +22 *2801:19 *2974:40 0.000121812 +23 *2801:19 *2976:50 0.000443323 +24 *2801:19 *3175:43 0.000204372 +25 *2801:19 *3179:74 9.94284e-06 +26 *2801:19 *3377:20 0.000262424 +27 *2801:19 *3432:31 1.51338e-05 +28 *2801:25 *21789:C1 0.0012007 +29 *2801:25 *22416:A 0.000489302 +30 *2801:25 *22419:B2 3.73224e-05 +31 *2801:25 *22420:B2 3.39118e-05 +32 *2801:25 *3175:37 0.000340742 +33 *2801:25 *3364:23 2.77625e-06 +34 *2801:26 *3053:22 5.84834e-05 +35 *19905:B2 *21789:B1 9.95922e-06 +36 *22420:B1 *2801:19 2.05082e-05 +37 *1587:137 *2801:19 0.000111706 +*RES +1 *21785:Y *2801:19 45.6303 +2 *2801:19 *2801:25 39.669 +3 *2801:25 *2801:26 104.301 +4 *2801:26 *21789:B1 21.4642 +5 *2801:19 *22463:B1 14.543 +*END + +*D_NET *2802 0.0209401 +*CONN +*I *21788:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21786:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21788:A1 3.5247e-05 +2 *21786:Y 0.000124872 +3 *2802:15 0.00403463 +4 *2802:14 0.00399938 +5 *2802:12 0.00102655 +6 *2802:8 0.00115143 +7 *21788:A1 *24636:CLK 0 +8 *21788:A1 *2803:61 0 +9 *2802:8 *24831:CLK_N 9.08635e-05 +10 *2802:8 *5785:16 0.000313026 +11 *2802:12 *3860:151 0.000489327 +12 *2802:12 *3868:251 0.000194624 +13 *2802:12 *3891:42 0.000202719 +14 *2802:12 *3898:18 3.74593e-05 +15 *2802:15 *23374:B 5.61389e-05 +16 *2802:15 *23438:B 2.37299e-05 +17 *2802:15 *23439:B1 0.000461977 +18 *2802:15 *2803:61 0.00402395 +19 *2802:15 *3868:251 1.41689e-05 +20 *2802:15 *3885:17 0.000164829 +21 *2802:15 *4345:11 4.81452e-05 +22 *2802:15 *4345:16 6.64392e-05 +23 *2802:15 *4870:22 5.26967e-05 +24 *1438:83 *2802:12 0.000283533 +25 *1442:73 *2802:15 3.38674e-05 +26 *1763:15 *2802:12 0.0011078 +27 *1829:49 *2802:12 0.000241379 +28 *2505:57 *2802:12 0.00266132 +*RES +1 *21786:Y *2802:8 23.8184 +2 *2802:8 *2802:12 47.9511 +3 *2802:12 *2802:14 4.5 +4 *2802:14 *2802:15 65.0574 +5 *2802:15 *21788:A1 10.2378 +*END + +*D_NET *2803 0.0417717 +*CONN +*I *21788:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21787:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21788:B1 0 +2 *21787:Y 0.00088119 +3 *2803:61 0.00119106 +4 *2803:58 0.00224086 +5 *2803:48 0.0024467 +6 *2803:44 0.00248884 +7 *2803:41 0.00150423 +8 *2803:33 0.00202978 +9 *2803:27 0.00249948 +10 *2803:13 0.00176318 +11 *2803:13 *24844:SET_B 0.000169041 +12 *2803:13 *2878:14 0.000167357 +13 *2803:13 *4844:441 0.0010017 +14 *2803:13 *4863:31 0.000258128 +15 *2803:13 *4928:95 0.0001343 +16 *2803:13 *4928:97 0.000217587 +17 *2803:13 *4928:99 0.000519056 +18 *2803:13 *5744:8 7.3515e-05 +19 *2803:13 *5785:39 0.000362774 +20 *2803:13 *5870:57 0.000131249 +21 *2803:27 *19727:A1 0.000370222 +22 *2803:27 *19727:B1 6.08467e-05 +23 *2803:27 *21569:A 1.61148e-05 +24 *2803:27 *24847:SET_B 5.25994e-05 +25 *2803:27 *24847:CLK 0.00011818 +26 *2803:27 *3177:99 0.00101155 +27 *2803:27 *5743:8 1.99378e-05 +28 *2803:27 *5806:11 0.000124853 +29 *2803:33 *19648:A1 9.66692e-06 +30 *2803:33 *19648:B1 4.0919e-05 +31 *2803:33 *2922:19 1.65872e-05 +32 *2803:33 *4878:40 0 +33 *2803:33 *5671:30 0 +34 *2803:33 *5671:32 8.80281e-05 +35 *2803:33 *5917:290 0.000360265 +36 *2803:41 *19930:A 0.000570016 +37 *2803:41 *22829:B1 0.000289953 +38 *2803:41 *2922:19 0.000880291 +39 *2803:41 *2922:33 5.99691e-05 +40 *2803:41 *5440:13 0.000183822 +41 *2803:44 *20333:A1 0.000123389 +42 *2803:44 *5479:23 0.00105938 +43 *2803:44 *5485:172 0 +44 *2803:44 *5791:23 0.000378209 +45 *2803:48 *22839:B 5.41377e-05 +46 *2803:48 *22842:A2 0.000129624 +47 *2803:48 *23366:B 1.561e-05 +48 *2803:48 *3763:19 0.000139878 +49 *2803:48 *3764:9 0.000150603 +50 *2803:48 *4828:139 0.000107445 +51 *2803:48 *4870:26 0.00114264 +52 *2803:48 *5485:172 0.000237791 +53 *2803:48 *5791:23 0 +54 *2803:58 *23366:A 0.000218001 +55 *2803:58 *23366:B 4.04556e-05 +56 *2803:58 *2955:40 7.67274e-05 +57 *2803:58 *3791:185 0.000278092 +58 *2803:58 *3868:251 0.000896874 +59 *2803:58 *3885:17 8.62625e-06 +60 *2803:58 *4275:29 2.88113e-05 +61 *2803:58 *4345:11 0.0001541 +62 *2803:58 *4870:26 1.05982e-05 +63 *2803:58 *6001:62 9.24241e-05 +64 *2803:61 *21464:A 0.000347214 +65 *2803:61 *3868:251 0.000154145 +66 *21788:A1 *2803:61 0 +67 *21788:A2 *2803:61 9.95542e-06 +68 *21908:A2 *2803:27 9.3002e-05 +69 *24015:A0 *2803:41 5.04829e-06 +70 *24204:D *2803:44 0.00014074 +71 *24847:D *2803:27 0.000104987 +72 *24881:D *2803:33 0.00030828 +73 *24882:D *2803:33 3.92275e-05 +74 *443:10 *2803:41 0.0011726 +75 *493:10 *2803:33 0.000226626 +76 *1419:107 *2803:13 3.40423e-05 +77 *1427:44 *2803:48 0.000223116 +78 *1442:73 *2803:61 6.39068e-05 +79 *1467:138 *2803:61 0.000646121 +80 *1469:50 *2803:27 0.000217937 +81 *1469:50 *2803:33 0 +82 *1470:62 *2803:33 0 +83 *1471:111 *2803:33 0 +84 *1471:111 *2803:41 7.12801e-05 +85 *1687:13 *2803:33 6.08467e-05 +86 *1699:16 *2803:44 0.000198676 +87 *1699:20 *2803:44 0 +88 *1699:20 *2803:48 0.000374831 +89 *1730:87 *2803:61 7.80077e-05 +90 *1756:24 *2803:33 0.000160384 +91 *1786:28 *2803:44 0.0011465 +92 *1818:29 *2803:48 0 +93 *2218:10 *2803:44 0.000317391 +94 *2218:18 *2803:41 0.000213725 +95 *2218:39 *2803:44 0.00020243 +96 *2218:68 *2803:48 6.2182e-05 +97 *2218:79 *2803:48 1.60212e-05 +98 *2219:27 *2803:44 0 +99 *2219:36 *2803:44 0 +100 *2219:59 *2803:44 0.000227612 +101 *2219:59 *2803:48 0 +102 *2283:21 *2803:48 0.00104264 +103 *2516:8 *2803:27 7.37253e-05 +104 *2704:54 *2803:58 1.72464e-05 +105 *2802:15 *2803:61 0.00402395 +*RES +1 *21787:Y *2803:13 49.9307 +2 *2803:13 *2803:27 39.4582 +3 *2803:27 *2803:33 45.4221 +4 *2803:33 *2803:41 28.0104 +5 *2803:41 *2803:44 45.4213 +6 *2803:44 *2803:48 49.073 +7 *2803:48 *2803:58 37.9046 +8 *2803:58 *2803:61 46.7796 +9 *2803:61 *21788:B1 9.24915 +*END + +*D_NET *2804 0.0166294 +*CONN +*I *21789:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21788:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21789:C1 0.00081651 +2 *21788:X 0.00243177 +3 *2804:24 0.00211489 +4 *2804:7 0.00373015 +5 *21789:C1 *22464:A1 9.59075e-05 +6 *21789:C1 *22733:B1 0.000209326 +7 *21789:C1 *22750:A1 0.000482917 +8 *21789:C1 *22750:B1 0.000352546 +9 *21789:C1 *3053:21 1.94584e-05 +10 *21789:C1 *3175:37 0.000340742 +11 *21789:C1 *3364:23 0.000170954 +12 *21789:C1 *3390:11 9.59075e-05 +13 *2804:7 *20610:A1 0.000755951 +14 *2804:7 *24636:CLK 5.91312e-05 +15 *2804:7 *2976:50 1.0758e-05 +16 *2804:24 *22419:A2 0.000127976 +17 *2804:24 *22419:B2 0.000134741 +18 *2804:24 *22420:B2 0.000141234 +19 *2804:24 *22431:A1 5.79411e-05 +20 *2804:24 *22432:A2 6.3657e-05 +21 *2804:24 *22433:C1 0.0004964 +22 *2804:24 *22437:A2 0.000346967 +23 *2804:24 *22437:B1 0.000207266 +24 *2804:24 *22437:C1 2.04641e-05 +25 *2804:24 *22438:D 0.000303366 +26 *2804:24 *2964:59 0.000107496 +27 *2804:24 *2976:50 1.00981e-05 +28 *2804:24 *2999:43 0.000138391 +29 *2804:24 *3139:48 8.3538e-06 +30 *2804:24 *3175:43 2.52488e-05 +31 *2804:24 *3179:74 0.000250254 +32 *19905:B2 *21789:C1 6.1478e-06 +33 *20612:B2 *2804:7 0.000140061 +34 *21788:A2 *2804:7 0.000260374 +35 *21789:B1 *21789:C1 1.97286e-05 +36 *22420:A1 *21789:C1 2.16355e-05 +37 *22420:B1 *2804:24 0.000156955 +38 *22733:A1 *21789:C1 6.63489e-05 +39 *24636:D *2804:7 0.000117566 +40 *1442:73 *2804:7 6.63489e-05 +41 *1604:68 *2804:7 6.23875e-05 +42 *1802:38 *21789:C1 0.000207901 +43 *2801:19 *2804:24 0.000176485 +44 *2801:25 *21789:C1 0.0012007 +*RES +1 *21788:X *2804:7 46.0459 +2 *2804:7 *2804:24 45.3494 +3 *2804:24 *21789:C1 47.1174 +*END + +*D_NET *2805 0.0206744 +*CONN +*I *21807:A I *D sky130_fd_sc_hd__and4_1 +*I *21789:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21807:A 0 +2 *21789:X 0.00427416 +3 *2805:22 0.00427416 +4 *2805:22 *22246:A2 0.00101121 +5 *2805:22 *22431:A1 0.000358976 +6 *2805:22 *22502:B2 0.000394986 +7 *2805:22 *2811:26 0.000139596 +8 *2805:22 *2815:48 0.00136095 +9 *2805:22 *2916:20 0.00181954 +10 *2805:22 *2950:125 9.29815e-06 +11 *2805:22 *3023:42 4.12833e-05 +12 *2805:22 *3063:30 1.91246e-05 +13 *2805:22 *3185:68 0.00110205 +14 *2805:22 *3208:107 0.00156469 +15 *2805:22 *3364:23 0.000110297 +16 *2805:22 *3489:17 4.12833e-05 +17 *19791:B1 *2805:22 0.000188484 +18 *21531:C1 *2805:22 0.000998185 +19 *324:27 *2805:22 0.00101198 +20 *1570:50 *2805:22 0.000623157 +21 *1570:76 *2805:22 1.80692e-05 +22 *1649:123 *2805:22 4.57709e-06 +23 *1720:52 *2805:22 0.000360938 +24 *1800:75 *2805:22 3.7797e-05 +25 *1802:80 *2805:22 0.000341783 +26 *2659:17 *2805:22 1.28716e-05 +27 *2675:68 *2805:22 0.000509042 +28 *2675:95 *2805:22 3.01761e-05 +29 *2751:8 *2805:22 1.5714e-05 +*RES +1 *21789:X *2805:22 44.9603 +2 *2805:22 *21807:A 9.24915 +*END + +*D_NET *2806 0.0299048 +*CONN +*I *22290:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21795:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22618:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21790:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22290:B1 0 +2 *21795:A1 0.000802457 +3 *22618:B1 0.000159917 +4 *21790:Y 0.00014315 +5 *2806:45 0.00167555 +6 *2806:30 0.00196305 +7 *2806:19 0.00099232 +8 *2806:14 0.00180348 +9 *2806:8 0.00335239 +10 *2806:7 0.00175435 +11 *21795:A1 *22269:B1 0.00132699 +12 *21795:A1 *3471:227 0.000779077 +13 *22618:B1 *22618:B2 9.12416e-06 +14 *22618:B1 *2884:17 4.21926e-05 +15 *22618:B1 *3249:15 0.000148385 +16 *2806:8 *21092:A1 1.72594e-05 +17 *2806:8 *24210:SET_B 9.05735e-05 +18 *2806:8 *3146:221 3.39118e-05 +19 *2806:8 *4822:66 1.2532e-05 +20 *2806:8 *4822:71 0.00010605 +21 *2806:8 *5350:11 9.25083e-05 +22 *2806:8 *5352:18 2.09155e-05 +23 *2806:8 *5861:298 0.000215637 +24 *2806:8 *5865:51 4.00279e-05 +25 *2806:8 *5865:53 3.03275e-05 +26 *2806:14 *22279:A2 0.000165325 +27 *2806:14 *22284:A 0.00045137 +28 *2806:14 *22284:B 6.22114e-05 +29 *2806:14 *22286:A1 4.59425e-05 +30 *2806:14 *22293:A 0.000502475 +31 *2806:14 *22294:B 1.53344e-05 +32 *2806:14 *3010:68 9.514e-05 +33 *2806:14 *3146:181 3.57291e-06 +34 *2806:14 *3190:185 0.000361664 +35 *2806:14 *3243:41 1.64436e-05 +36 *2806:14 *3254:10 0.000113256 +37 *2806:14 *3509:241 0.000161069 +38 *2806:14 *3521:206 4.31485e-06 +39 *2806:19 *22290:A1 6.48726e-05 +40 *2806:19 *22290:B2 3.61784e-05 +41 *2806:19 *22293:C 0.00021498 +42 *2806:19 *3122:153 1.88152e-05 +43 *2806:30 *22270:A2 9.83032e-05 +44 *2806:30 *22290:B2 0.000225568 +45 *2806:30 *22292:A1 1.47978e-05 +46 *2806:30 *2884:17 0.000236997 +47 *2806:30 *3044:33 0.000601348 +48 *2806:30 *3243:41 0.000115479 +49 *2806:30 *3249:15 0.00061017 +50 *2806:30 *3493:57 0.000111425 +51 *2806:30 *3493:73 0.000143732 +52 *2806:45 *4848:30 0.000970281 +53 *2806:45 *5904:64 0.000818012 +54 *19801:B2 *21795:A1 1.27831e-06 +55 *21309:C1 *2806:14 3.40597e-05 +56 *22290:A2 *2806:19 8.08342e-05 +57 *24213:D *2806:8 0.000173478 +58 *1588:131 *21795:A1 0.000489162 +59 *1591:39 *2806:14 0.000134978 +60 *1627:79 *21795:A1 2.1203e-06 +61 *1645:176 *2806:14 0.000506326 +62 *1647:121 *2806:14 3.11494e-05 +63 *1655:88 *2806:45 0.000833726 +64 *1658:99 *2806:8 3.55907e-05 +65 *1717:163 *2806:8 1.22858e-05 +66 *1744:219 *21795:A1 0.000769197 +67 *1790:158 *2806:8 6.14003e-06 +68 *1800:183 *2806:8 0.000249182 +69 *2208:41 *2806:8 3.06564e-05 +70 *2370:8 *2806:8 5.92505e-05 +71 *2370:8 *2806:14 1.3313e-05 +72 *2508:23 *2806:14 2.77419e-05 +73 *2539:12 *21795:A1 2.37478e-05 +74 *2542:28 *2806:45 8.77234e-05 +75 *2542:35 *2806:45 0.00069471 +76 *2604:50 *21795:A1 0.000737953 +77 *2770:18 *2806:8 0 +78 *2770:18 *2806:14 0 +79 *2774:8 *21795:A1 2.02035e-05 +80 *2776:19 *2806:8 0.000417149 +81 *2776:20 *2806:8 0.00217987 +82 *2776:20 *2806:14 0.000154422 +83 *2797:36 *2806:7 0.000207266 +*RES +1 *21790:Y *2806:7 16.1364 +2 *2806:7 *2806:8 50.9172 +3 *2806:8 *2806:14 45.9831 +4 *2806:14 *2806:19 8.02053 +5 *2806:19 *2806:30 32.6172 +6 *2806:30 *22618:B1 17.2421 +7 *2806:30 *2806:45 43.6154 +8 *2806:45 *21795:A1 36.825 +9 *2806:19 *22290:B1 9.24915 +*END + +*D_NET *2807 0.0395677 +*CONN +*I *22462:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22775:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21795:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21791:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22462:A1 0.00117066 +2 *22775:A1 0.000138193 +3 *21795:B1 0 +4 *21791:Y 4.9819e-05 +5 *2807:39 0.00169661 +6 *2807:26 0.00277153 +7 *2807:19 0.00207072 +8 *2807:13 0.00285728 +9 *2807:8 0.00178493 +10 *2807:7 0.00128212 +11 *22462:A1 *2837:49 0.00177458 +12 *22462:A1 *3190:103 0.000104572 +13 *22462:A1 *3373:15 0.000238808 +14 *22462:A1 *3543:156 2.35827e-05 +15 *22775:A1 *22775:A2 9.14772e-05 +16 *22775:A1 *22778:C 3.72738e-05 +17 *22775:A1 *2878:51 0.000319274 +18 *22775:A1 *2889:29 6.08467e-05 +19 *2807:7 *20650:B1 1.97124e-05 +20 *2807:7 *21791:A 1.41976e-05 +21 *2807:8 *24358:CLK 0.000112821 +22 *2807:8 *3028:16 0.000147982 +23 *2807:8 *3531:157 2.11664e-05 +24 *2807:8 *3857:26 0.00118189 +25 *2807:8 *4739:51 0.00121247 +26 *2807:8 *4932:89 0.000128678 +27 *2807:8 *5815:30 0.00271696 +28 *2807:19 *3027:27 0.000465558 +29 *2807:19 *3065:27 2.04164e-05 +30 *2807:19 *3106:152 7.49659e-06 +31 *2807:19 *3134:107 1.04624e-05 +32 *2807:19 *3146:116 0.000110306 +33 *2807:19 *3452:6 0.000231352 +34 *2807:26 *22413:A2 0.000103042 +35 *2807:26 *2837:49 0.00022648 +36 *2807:26 *2889:29 0.000219384 +37 *2807:26 *3368:14 9.12416e-06 +38 *2807:26 *3531:157 2.45452e-05 +39 *2807:26 *3750:22 5.90354e-06 +40 *2807:39 *22469:B 1.5714e-05 +41 *2807:39 *2810:61 0.00221383 +42 *2807:39 *2815:48 0.000174775 +43 *2807:39 *2837:49 0.000331739 +44 *2807:39 *2889:29 2.43314e-05 +45 *2807:39 *2954:74 1.91246e-05 +46 *2807:39 *3106:81 0.000575012 +47 *2807:39 *3175:110 0.00020208 +48 *2807:39 *3175:112 4.44668e-05 +49 *2807:39 *3411:57 0.000900942 +50 *21536:A1 *2807:19 3.55393e-05 +51 *21795:B2 *2807:39 0.000101469 +52 *22413:A1 *2807:26 8.29362e-05 +53 *22500:A1 *2807:19 6.85861e-07 +54 *22501:A1 *2807:19 3.3151e-05 +55 *22556:A1 *2807:39 0 +56 *22774:A1 *22775:A1 0 +57 *24359:D *2807:8 0.000145462 +58 *1562:50 *2807:39 3.31745e-05 +59 *1566:68 *22462:A1 1.01585e-05 +60 *1566:68 *2807:19 0.0014263 +61 *1569:23 *2807:8 1.98952e-05 +62 *1570:80 *2807:39 0.00163683 +63 *1574:21 *2807:13 0.000771394 +64 *1581:26 *2807:19 0.000220272 +65 *1581:26 *2807:26 0.000352219 +66 *1784:71 *22462:A1 0.00253176 +67 *1808:87 *2807:26 0.000247443 +68 *2167:6 *2807:8 1.16596e-05 +69 *2167:18 *2807:8 0.000129386 +70 *2535:36 *22462:A1 0.000296024 +71 *2565:36 *22462:A1 7.44696e-05 +72 *2567:12 *2807:19 7.39022e-06 +73 *2593:14 *2807:26 0.000368233 +74 *2604:50 *2807:39 0.00194403 +75 *2614:18 *22462:A1 1.5714e-05 +76 *2614:18 *2807:19 0.000574267 +77 *2614:28 *2807:19 0.000346338 +78 *2779:41 *2807:26 0.000182538 +79 *2783:56 *2807:26 4.69495e-06 +*RES +1 *21791:Y *2807:7 14.4725 +2 *2807:7 *2807:8 56.6453 +3 *2807:8 *2807:13 18.0424 +4 *2807:13 *2807:19 46.9912 +5 *2807:19 *2807:26 32.0821 +6 *2807:26 *2807:39 48.9638 +7 *2807:39 *21795:B1 9.24915 +8 *2807:26 *22775:A1 19.1264 +9 *2807:13 *22462:A1 29.784 +*END + +*D_NET *2808 0.0348012 +*CONN +*I *21794:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21792:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21794:A1 0 +2 *21792:Y 0.000724209 +3 *2808:32 0.00299978 +4 *2808:20 0.00552168 +5 *2808:19 0.00319173 +6 *2808:15 0.00122261 +7 *2808:8 0.001277 +8 *2808:8 *19733:B1 0 +9 *2808:8 *24884:CLK 0.000238004 +10 *2808:8 *4929:8 0 +11 *2808:8 *4929:44 0.000360719 +12 *2808:8 *5756:33 0.000249932 +13 *2808:15 *2951:21 0.000266832 +14 *2808:15 *2951:23 1.09551e-05 +15 *2808:15 *2951:25 1.41853e-05 +16 *2808:15 *4929:25 0.000346927 +17 *2808:15 *5756:24 9.91648e-05 +18 *2808:15 *5756:33 9.85849e-05 +19 *2808:15 *5860:163 0.000317707 +20 *2808:19 *2951:25 0.00373681 +21 *2808:19 *5856:305 0.00190915 +22 *2808:19 *5858:415 6.50727e-05 +23 *2808:19 *5860:163 0.000659957 +24 *2808:20 *20347:A0 6.43112e-05 +25 *2808:20 *20347:A1 3.05764e-05 +26 *2808:20 *21979:A 0.00028383 +27 *2808:20 *2838:13 0 +28 *2808:20 *2994:10 1.81797e-05 +29 *2808:20 *2994:12 4.58096e-05 +30 *2808:20 *4925:31 0 +31 *2808:20 *5074:10 0.00016981 +32 *2808:20 *5076:10 0.000620306 +33 *2808:20 *5851:209 0 +34 *2808:20 *5851:211 0 +35 *2808:20 *5851:219 0 +36 *2808:20 *5858:233 0 +37 *2808:20 *5860:132 0.000468517 +38 *2808:20 *5860:141 0 +39 *2808:20 *5870:650 0 +40 *2808:20 *5870:659 0 +41 *2808:20 *5917:524 0.000110477 +42 *2808:32 *23942:A0 0.000245683 +43 *2808:32 *2809:31 0.000939277 +44 *2808:32 *2994:12 0.00100816 +45 *2808:32 *5917:524 0.00016995 +46 *2808:32 *5917:530 2.44056e-05 +47 *19985:A *2808:32 4.75721e-06 +48 *20344:A *2808:20 2.21389e-05 +49 *20457:B2 *2808:20 0.00012325 +50 *24447:D *2808:32 3.77804e-05 +51 *24504:D *2808:20 3.29488e-05 +52 *476:18 *2808:32 0.000138216 +53 *516:19 *2808:32 9.91972e-05 +54 *1438:78 *2808:32 0.00347613 +55 *1439:260 *2808:20 0 +56 *1508:26 *2808:15 0.000745324 +57 *1508:50 *2808:15 0.000156869 +58 *1511:23 *2808:32 2.02035e-05 +59 *1563:46 *2808:32 3.91528e-05 +60 *1697:97 *2808:20 4.25507e-05 +61 *1698:117 *2808:32 1.28732e-05 +62 *1740:22 *2808:32 0.000281041 +63 *1768:14 *2808:32 0.000418946 +64 *1768:27 *2808:32 0.000855004 +65 *1947:228 *2808:20 1.52896e-05 +66 *2009:44 *2808:20 0.000319125 +67 *2009:48 *2808:20 5.89742e-05 +68 *2563:8 *2808:8 1.9101e-05 +69 *2563:8 *2808:15 0.000372001 +70 *2632:18 *2808:20 0 +71 *2700:8 *2808:20 0 +*RES +1 *21792:Y *2808:8 35.2079 +2 *2808:8 *2808:15 30.5469 +3 *2808:15 *2808:19 46.2641 +4 *2808:19 *2808:20 62.8741 +5 *2808:20 *2808:32 40.8111 +6 *2808:32 *21794:A1 9.24915 +*END + +*D_NET *2809 0.115869 +*CONN +*I *21794:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *6191:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21793:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *21794:B1 6.73268e-05 +2 *6191:DIODE 0 +3 *21793:Y 0 +4 *2809:31 0.0076797 +5 *2809:24 0.0292336 +6 *2809:21 0.0264196 +7 *2809:18 0.00588427 +8 *2809:14 0.00162299 +9 *2809:6 0.00346293 +10 *2809:5 0.00292591 +11 *2809:6 *20465:A1 0.000443332 +12 *2809:6 *20469:B1 9.60216e-05 +13 *2809:6 *23951:A1 2.352e-05 +14 *2809:6 *24595:CLK 0.000311364 +15 *2809:6 *4822:12 0.000362186 +16 *2809:6 *4838:210 0.000986831 +17 *2809:6 *4838:225 0.00189297 +18 *2809:6 *4844:76 0.00110283 +19 *2809:6 *4887:8 0.000208735 +20 *2809:6 *4887:17 8.36326e-05 +21 *2809:6 *4887:26 0.000145358 +22 *2809:6 *4887:46 0.000253826 +23 *2809:6 *4888:55 0.000124429 +24 *2809:6 *4888:67 4.66463e-05 +25 *2809:6 *5251:31 0 +26 *2809:6 *5594:8 0.000314504 +27 *2809:6 *5887:10 0 +28 *2809:14 *4822:50 0.00146935 +29 *2809:14 *5105:9 0.000266832 +30 *2809:14 *5311:10 0.000119186 +31 *2809:18 *21544:A 1.41761e-05 +32 *2809:18 *2826:19 8.64695e-05 +33 *2809:18 *4822:50 0.0003617 +34 *2809:21 *24215:CLK 0 +35 *2809:21 *2827:13 0.00227797 +36 *2809:24 *4819:28 0.000557815 +37 *2809:24 *4821:62 0.000125365 +38 *2809:24 *4845:335 0.000176929 +39 *2809:24 *4873:26 0 +40 *2809:24 *5853:277 0.000569923 +41 *2809:24 *5855:244 0 +42 *2809:24 *5861:482 0.00011841 +43 *2809:24 *5919:73 0.000100364 +44 *2809:31 *23942:A0 0.000192198 +45 *2809:31 *2981:24 0.00318609 +46 *2809:31 *4821:28 0.000164815 +47 *2809:31 *4829:57 0 +48 *2809:31 *5857:263 0.00197372 +49 *19985:B *21794:B1 7.27261e-05 +50 *19985:B *2809:31 7.39264e-05 +51 *20464:A2 *2809:6 0 +52 *20706:B2 *2809:18 0.000165481 +53 *20738:B *2809:21 0.000763469 +54 *21194:B1 *2809:24 0 +55 *24348:D *2809:14 0.00012568 +56 *24433:D *2809:18 5.15934e-05 +57 *324:11 *2809:24 0.000304069 +58 *477:15 *2809:21 0.000267466 +59 *477:22 *2809:21 2.24979e-05 +60 *502:26 *2809:24 0.000302477 +61 *510:55 *2809:24 0.000161947 +62 *516:19 *2809:31 0.000136709 +63 *531:42 *2809:24 0.000270159 +64 *1418:129 *2809:21 0 +65 *1443:49 *2809:24 0 +66 *1443:88 *2809:24 0 +67 *1492:13 *2809:31 0.000251655 +68 *1496:48 *2809:31 2.37478e-05 +69 *1511:23 *21794:B1 7.77744e-05 +70 *1511:23 *2809:31 0.00010217 +71 *1573:90 *2809:24 0.000107499 +72 *1654:10 *2809:14 0.000892683 +73 *1654:12 *2809:14 2.04744e-05 +74 *1654:12 *2809:18 0.0024616 +75 *1656:8 *2809:6 0 +76 *1656:12 *2809:6 0.000115586 +77 *1704:6 *2809:31 1.66771e-05 +78 *1740:22 *2809:31 3.03034e-05 +79 *1741:6 *2809:6 0 +80 *1742:76 *2809:24 0.000125365 +81 *1800:184 *2809:14 2.28264e-05 +82 *1955:18 *2809:6 0.000165594 +83 *1955:27 *2809:6 1.03986e-05 +84 *1955:42 *2809:6 3.51249e-05 +85 *2043:22 *2809:18 0.000561684 +86 *2043:29 *2809:18 0.000635846 +87 *2145:21 *2809:31 5.31466e-05 +88 *2287:39 *2809:21 0.00152174 +89 *2301:11 *2809:31 0.00138147 +90 *2380:60 *2809:21 0.000287742 +91 *2423:42 *2809:24 0.000257808 +92 *2426:89 *2809:31 0 +93 *2433:58 *2809:24 0.000319652 +94 *2441:47 *2809:21 3.43825e-05 +95 *2441:63 *2809:21 0.000389514 +96 *2441:66 *2809:24 0 +97 *2467:87 *2809:24 0 +98 *2468:107 *2809:24 7.45173e-05 +99 *2503:8 *2809:6 0.000162462 +100 *2520:21 *2809:21 0.00596233 +101 *2541:11 *2809:21 0 +102 *2545:67 *2809:24 0.00055192 +103 *2566:28 *2809:18 0.000104469 +104 *2645:50 *2809:31 0 +105 *2738:14 *2809:24 0 +106 *2808:32 *2809:31 0.000939277 +*RES +1 *21793:Y *2809:5 13.7491 +2 *2809:5 *2809:6 106.06 +3 *2809:6 *2809:14 35.8921 +4 *2809:14 *2809:18 49.2175 +5 *2809:18 *2809:21 16.9866 +6 *2809:21 *2809:24 44.3198 +7 *2809:24 *2809:31 32.9243 +8 *2809:31 *6191:DIODE 9.24915 +9 *2809:31 *21794:B1 11.6364 +*END + +*D_NET *2810 0.066293 +*CONN +*I *6192:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21795:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21794:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *6192:DIODE 0.000374555 +2 *21795:C1 2.54769e-05 +3 *21794:X 0 +4 *2810:63 0.000400031 +5 *2810:61 0.00205639 +6 *2810:60 0.00616437 +7 *2810:40 0.00609359 +8 *2810:38 0.00319257 +9 *2810:30 0.00224962 +10 *2810:23 0.00309361 +11 *2810:20 0.00318526 +12 *2810:5 0.00408236 +13 *2810:4 0.00294806 +14 *2810:20 *5321:8 9.34145e-05 +15 *2810:20 *5858:494 7.14746e-05 +16 *2810:23 *5856:281 7.41381e-05 +17 *2810:30 *24659:CLK 1.87125e-05 +18 *2810:30 *2993:20 0.000891539 +19 *2810:30 *2999:20 6.51637e-05 +20 *2810:30 *4894:76 9.42281e-05 +21 *2810:30 *4894:85 0.000141706 +22 *2810:38 *20448:A1 0.0001682 +23 *2810:38 *4905:12 5.32458e-05 +24 *2810:38 *4905:16 1.2693e-05 +25 *2810:38 *5851:153 4.70507e-05 +26 *2810:38 *5858:179 0.000778137 +27 *2810:40 *20448:A1 1.37169e-05 +28 *2810:40 *20571:A1 8.71956e-05 +29 *2810:40 *24479:RESET_B 7.98343e-05 +30 *2810:40 *24524:RESET_B 2.02035e-05 +31 *2810:40 *2993:42 3.21325e-05 +32 *2810:40 *3089:12 0.000548241 +33 *2810:40 *3089:18 0.00194316 +34 *2810:40 *4799:10 1.27831e-06 +35 *2810:40 *4799:21 0.000900819 +36 *2810:40 *5851:141 3.14861e-05 +37 *2810:40 *5851:143 0.0001626 +38 *2810:40 *5851:153 9.70119e-05 +39 *2810:40 *5856:203 0.00088427 +40 *2810:40 *5860:31 0.000411266 +41 *2810:40 *5869:354 0.000237593 +42 *2810:40 *5869:485 0.000188273 +43 *2810:60 *20534:B1 0.000340963 +44 *2810:60 *20565:B1 6.23101e-05 +45 *2810:60 *2863:14 0.000205835 +46 *2810:60 *3089:22 0.000108729 +47 *2810:60 *3131:66 1.64944e-05 +48 *2810:60 *3368:27 0.00218893 +49 *2810:60 *3411:57 0.00041692 +50 *2810:60 *3531:128 0.000228703 +51 *2810:60 *3717:14 1.04966e-05 +52 *2810:60 *5854:51 0.000265544 +53 *2810:60 *5919:40 0.00123578 +54 *2810:60 *5925:17 0.00164267 +55 *2810:61 *6206:DIODE 6.08467e-05 +56 *2810:61 *22459:A2 0.000154145 +57 *2810:61 *22459:B1 0.000158451 +58 *2810:61 *22459:B2 1.65872e-05 +59 *2810:61 *22460:D 7.68753e-05 +60 *2810:61 *2815:48 1.54703e-05 +61 *2810:61 *2838:101 0.000200794 +62 *2810:61 *3406:29 0.000345048 +63 *2810:61 *3410:13 1.35505e-05 +64 *19928:A3 *2810:38 6.63489e-05 +65 *19985:B *2810:5 0.00058046 +66 *20003:B1 *2810:20 5.04829e-06 +67 *20006:A *2810:5 6.23875e-05 +68 *20012:A1 *2810:23 0.000353661 +69 *20012:C1 *2810:23 0.00026105 +70 *20370:A *2810:30 0.000139435 +71 *20448:A2 *2810:38 0.000153738 +72 *21345:B1 *2810:40 0.000245046 +73 *21777:A1 *2810:60 0.000538671 +74 *22459:A1 *2810:60 7.58772e-05 +75 *22459:A1 *2810:61 0.000169536 +76 *24447:D *2810:20 0.000158371 +77 *24888:D *2810:40 6.24594e-05 +78 *472:7 *2810:30 5.481e-05 +79 *1424:8 *2810:20 0.000265168 +80 *1461:61 *2810:20 0.000115615 +81 *1461:67 *2810:5 0.00165623 +82 *1461:77 *2810:5 5.73392e-05 +83 *1466:41 *2810:20 4.13707e-05 +84 *1492:13 *2810:5 9.05137e-05 +85 *1502:11 *2810:23 6.04131e-05 +86 *1502:13 *2810:23 6.53567e-05 +87 *1511:23 *2810:5 3.79844e-06 +88 *1562:50 *2810:61 0.000156946 +89 *1597:16 *2810:60 0.00027011 +90 *1602:37 *6192:DIODE 8.23984e-05 +91 *1602:37 *21795:C1 4.77858e-05 +92 *1698:54 *2810:5 2.6559e-05 +93 *1698:54 *2810:20 6.51637e-05 +94 *1708:95 *6192:DIODE 8.00218e-05 +95 *1708:95 *21795:C1 5.41227e-05 +96 *1717:133 *6192:DIODE 0.000438346 +97 *1732:67 *2810:60 0.000102893 +98 *1753:26 *2810:30 0.000901602 +99 *1753:30 *2810:30 0.00057342 +100 *1757:37 *2810:20 4.88366e-05 +101 *1757:120 *2810:61 0.000535871 +102 *1764:13 *2810:23 2.39451e-05 +103 *1768:27 *2810:5 0.000348097 +104 *1776:60 *2810:60 7.94598e-05 +105 *1784:18 *2810:38 0.0015204 +106 *1784:32 *2810:38 7.93318e-06 +107 *1784:32 *2810:40 0.000122682 +108 *1784:47 *2810:40 0.000528608 +109 *1795:44 *2810:60 0.00106004 +110 *1808:40 *2810:40 5.11466e-05 +111 *1808:78 *2810:60 0 +112 *1936:22 *2810:30 0.000267951 +113 *1936:24 *2810:30 5.05252e-05 +114 *1937:20 *2810:30 0.000122083 +115 *1937:31 *2810:30 0.000143951 +116 *1961:14 *2810:38 0.000277452 +117 *1961:31 *2810:38 8.25963e-05 +118 *1961:50 *2810:38 0.000312319 +119 *2407:22 *2810:40 1.66771e-05 +120 *2604:50 *2810:60 5.60804e-05 +121 *2703:36 *2810:20 7.14746e-05 +122 *2785:74 *2810:60 0.000489114 +123 *2807:39 *2810:61 0.00221383 +*RES +1 *21794:X *2810:4 9.24915 +2 *2810:4 *2810:5 46.2009 +3 *2810:5 *2810:20 39.3521 +4 *2810:20 *2810:23 34.6174 +5 *2810:23 *2810:30 47.7168 +6 *2810:30 *2810:38 47.175 +7 *2810:38 *2810:40 75.5393 +8 *2810:40 *2810:60 38.8012 +9 *2810:60 *2810:61 51.7469 +10 *2810:61 *2810:63 4.5 +11 *2810:63 *21795:C1 14.7506 +12 *2810:63 *6192:DIODE 20.7414 +*END + +*D_NET *2811 0.00506893 +*CONN +*I *21807:B I *D sky130_fd_sc_hd__and4_1 +*I *21795:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21807:B 0 +2 *21795:X 0.00134948 +3 *2811:26 0.00134948 +4 *2811:26 *2822:12 0.000107128 +5 *2811:26 *2892:37 1.02925e-05 +6 *2811:26 *3023:42 0.000107128 +7 *2811:26 *3739:8 9.76691e-05 +8 *2811:26 *5919:40 2.72284e-06 +9 *19791:B1 *2811:26 0.000160495 +10 *21279:B1 *2811:26 0.00030449 +11 *21549:A2 *2811:26 0.000863718 +12 *22556:A1 *2811:26 2.57986e-05 +13 *324:27 *2811:26 1.2856e-05 +14 *1562:50 *2811:26 1.4091e-06 +15 *1708:95 *2811:26 8.94611e-05 +16 *1720:52 *2811:26 0.000114668 +17 *2539:12 *2811:26 0.00016798 +18 *2774:8 *2811:26 0.000164554 +19 *2805:22 *2811:26 0.000139596 +*RES +1 *21795:X *2811:26 48.91 +2 *2811:26 *21807:B 9.24915 +*END + +*D_NET *2812 0.071438 +*CONN +*I *6135:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21801:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21796:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *6135:DIODE 8.12022e-05 +2 *21801:A1 0.000324872 +3 *21796:Y 0.00475744 +4 *2812:18 0.0130965 +5 *2812:11 0.0174478 +6 *6135:DIODE *3509:151 7.3747e-05 +7 *21801:A1 *21801:C1 0.000114594 +8 *21801:A1 *22664:B2 1.54577e-05 +9 *21801:A1 *2991:70 0.000146159 +10 *21801:A1 *3607:10 4.63888e-05 +11 *2812:11 *19723:B1 0.000463702 +12 *2812:11 *24028:A1 0 +13 *2812:11 *2839:27 0.00099678 +14 *2812:11 *2965:13 5.69065e-05 +15 *2812:11 *3177:77 0.000163655 +16 *2812:11 *4828:129 0.00130729 +17 *2812:11 *4867:115 0 +18 *2812:11 *5785:39 0.000987225 +19 *2812:11 *5926:60 2.37478e-05 +20 *2812:18 *21696:B1 5.99779e-05 +21 *2812:18 *21730:A1 0.000523521 +22 *2812:18 *21952:B1 0 +23 *2812:18 *22482:C 0.000376077 +24 *2812:18 *22664:B2 0.00192541 +25 *2812:18 *22763:A2 2.0941e-05 +26 *2812:18 *2815:48 7.72522e-05 +27 *2812:18 *2860:20 0.0128347 +28 *2812:18 *2866:21 1.87619e-06 +29 *2812:18 *3028:30 7.34717e-06 +30 *2812:18 *3106:100 0.000385773 +31 *2812:18 *3507:39 2.28946e-05 +32 *2812:18 *3509:151 9.28861e-05 +33 *2812:18 *3513:121 5.82337e-05 +34 *2812:18 *3519:159 0.00064308 +35 *2812:18 *4815:122 1.84334e-05 +36 *19808:B *2812:18 0.00160995 +37 *21599:B2 *2812:18 0.000107025 +38 *21636:B2 *2812:18 0.000917722 +39 *21777:A2 *2812:18 3.51785e-06 +40 *21800:A2 *21801:A1 0.000161243 +41 *21800:B2 *21801:A1 0.000263135 +42 *22663:B1 *21801:A1 0.000192674 +43 *22794:A1 *2812:18 5.07708e-05 +44 *25024:A *2812:11 2.5204e-05 +45 *1560:35 *2812:18 0.000104754 +46 *1658:215 *6135:DIODE 1.91391e-05 +47 *1658:223 *21801:A1 1.88152e-05 +48 *1668:85 *2812:18 0.000626465 +49 *1716:45 *2812:18 0.000580577 +50 *1723:114 *2812:18 0.000590436 +51 *1734:13 *2812:11 7.86825e-06 +52 *1740:110 *2812:18 0.00582813 +53 *1750:69 *2812:18 0.000259894 +54 *1762:63 *2812:18 0.000353898 +55 *1762:86 *2812:18 1.43499e-05 +56 *1762:119 *2812:18 0.00013316 +57 *1786:25 *2812:11 0 +58 *2295:13 *2812:11 0.000512922 +59 *2543:28 *2812:18 0.000326567 +60 *2597:29 *2812:18 0.000797683 +61 *2680:27 *2812:18 1.862e-05 +62 *2750:56 *2812:18 0.000761586 +*RES +1 *21796:Y *2812:11 33.9478 +2 *2812:11 *2812:18 48.982 +3 *2812:18 *21801:A1 32.8197 +4 *2812:18 *6135:DIODE 15.5811 +*END + +*D_NET *2813 0.0831816 +*CONN +*I *21801:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6193:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21797:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21801:B1 0.000126311 +2 *6193:DIODE 0.00045667 +3 *21797:Y 4.51842e-05 +4 *2813:47 0.00707916 +5 *2813:42 0.007718 +6 *2813:30 0.00317333 +7 *2813:28 0.00197992 +8 *2813:26 0.00263832 +9 *2813:25 0.00536512 +10 *2813:16 0.00355669 +11 *2813:8 0.00260343 +12 *2813:7 0.00184713 +13 *6193:DIODE *3507:135 4.49431e-05 +14 *6193:DIODE *3511:63 0.000494975 +15 *21801:B1 *5593:57 2.33103e-06 +16 *2813:7 *5715:18 6.08467e-05 +17 *2813:8 *21197:A 0 +18 *2813:8 *23899:A 3.22726e-05 +19 *2813:8 *23952:A1 7.77309e-06 +20 *2813:8 *24119:TE_B 4.44553e-05 +21 *2813:8 *24512:RESET_B 0.000233455 +22 *2813:8 *25228:A 7.14746e-05 +23 *2813:8 *4826:28 0 +24 *2813:8 *4841:241 0.00133652 +25 *2813:8 *4845:102 0.000392753 +26 *2813:8 *4896:25 0.000746454 +27 *2813:8 *4896:30 0.000240168 +28 *2813:8 *5287:10 5.04734e-05 +29 *2813:8 *5291:8 0 +30 *2813:8 *5504:23 7.14746e-05 +31 *2813:8 *5716:8 0 +32 *2813:16 *20743:A1 5.58433e-05 +33 *2813:16 *5867:572 0.000878316 +34 *2813:25 *4815:19 0.000270438 +35 *2813:25 *4834:26 2.33103e-06 +36 *2813:25 *4835:27 0.000107496 +37 *2813:25 *4838:348 0 +38 *2813:25 *4845:167 0 +39 *2813:25 *5518:7 0.000171273 +40 *2813:25 *5920:28 0.000334705 +41 *2813:25 *5921:109 1.91391e-05 +42 *2813:26 *19566:A0 0 +43 *2813:26 *20272:B1 5.50603e-05 +44 *2813:26 *20321:A1 0 +45 *2813:26 *20731:B1 0.000148129 +46 *2813:26 *24041:A1 1.59052e-05 +47 *2813:26 *24728:CLK 4.86647e-05 +48 *2813:26 *4827:106 0.000767898 +49 *2813:26 *4844:796 0.00058628 +50 *2813:26 *4844:802 0.000205364 +51 *2813:26 *4903:214 7.58217e-06 +52 *2813:26 *4903:222 0.00015807 +53 *2813:26 *5496:9 0.000122098 +54 *2813:26 *5884:29 0.00142922 +55 *2813:30 *21293:A 6.38668e-05 +56 *2813:30 *24443:CLK 5.2504e-06 +57 *2813:30 *24964:A 0.000161249 +58 *2813:30 *25002:A 0.000132114 +59 *2813:30 *4819:14 0 +60 *2813:30 *4827:106 0.000694939 +61 *2813:30 *4903:33 0.000109262 +62 *2813:30 *4904:167 2.99541e-05 +63 *2813:30 *5417:22 0 +64 *2813:30 *5855:219 1.30371e-05 +65 *2813:30 *5855:223 1.8121e-05 +66 *2813:30 *5864:677 0.000460918 +67 *2813:42 *4819:14 4.60375e-07 +68 *2813:42 *4825:102 2.31559e-05 +69 *2813:42 *4844:214 0.000242008 +70 *2813:42 *4844:215 0.00015679 +71 *2813:42 *5407:10 3.44245e-05 +72 *2813:42 *5855:244 0.000159616 +73 *2813:42 *5861:408 0.000272732 +74 *2813:42 *5864:623 0.000249476 +75 *2813:47 *20992:A1 0.000101567 +76 *2813:47 *21025:A1 0.000233633 +77 *2813:47 *21585:A 0.000244635 +78 *2813:47 *21636:B1 9.90205e-05 +79 *2813:47 *21658:A1 0.00193415 +80 *2813:47 *22663:A2 0.000108997 +81 *2813:47 *22678:A2 0.000764497 +82 *2813:47 *2990:62 0.000214696 +83 *2813:47 *3206:173 8.96328e-05 +84 *2813:47 *3537:35 0.00119089 +85 *2813:47 *4811:18 0.000145682 +86 *2813:47 *4816:125 0.000483622 +87 *2813:47 *4825:102 0.000194604 +88 *2813:47 *4844:215 4.1946e-06 +89 *2813:47 *5395:11 0.000544368 +90 *2813:47 *5407:10 4.19797e-05 +91 *2813:47 *5592:70 2.72284e-06 +92 *2813:47 *5593:57 0.000108306 +93 *2813:47 *5853:277 3.70027e-06 +94 mgmt_gpio_out[25] *2813:8 0.000435806 +95 *20323:B1 *2813:26 5.25444e-05 +96 *20693:A2 *2813:30 1.9101e-05 +97 *20699:A2 *2813:30 4.18989e-05 +98 *20743:B2 *2813:16 6.08467e-05 +99 *20805:B2 *2813:16 6.28168e-05 +100 *20999:B2 *2813:42 0.000162584 +101 *21372:A2 *2813:47 0.000401837 +102 *21604:A1 *6193:DIODE 8.26818e-05 +103 *21604:A1 *21801:B1 4.74531e-05 +104 *21604:A1 *2813:47 0.0032669 +105 *21659:A2 *2813:47 0.00118267 +106 *22314:B1 *2813:47 0.000107019 +107 *22669:A1 *2813:47 7.39327e-05 +108 *23952:A0 *2813:8 0.000395807 +109 *24046:S *2813:26 3.12316e-05 +110 *24274:D *2813:42 0.000108028 +111 *24374:D *2813:16 0.000119049 +112 *24437:D *2813:30 0.000116597 +113 *144:7 *2813:25 0.000266832 +114 *490:31 *2813:16 0.00138135 +115 *490:62 *2813:30 0.0002743 +116 *500:22 *2813:30 3.10576e-06 +117 *510:41 *2813:30 0.00149519 +118 *527:44 *2813:47 0.00144751 +119 *1551:86 *2813:47 0.00181919 +120 *1584:49 *6193:DIODE 0.000727062 +121 *1584:49 *2813:47 0.000333868 +122 *1588:107 *6193:DIODE 0.000105031 +123 *1595:8 *2813:16 0.000392044 +124 *1614:30 *21801:B1 5.21758e-06 +125 *1631:57 *2813:47 1.87133e-05 +126 *1652:65 *2813:42 0.000172795 +127 *1662:87 *6193:DIODE 0.000100374 +128 *1717:68 *2813:47 0.00052463 +129 *1884:110 *2813:16 0.000188802 +130 *1899:24 *2813:42 0.000932591 +131 *1899:34 *2813:30 0.00159984 +132 *1899:34 *2813:42 6.03391e-06 +133 *1899:36 *2813:26 0.000750202 +134 *1899:36 *2813:30 0.00073559 +135 *1905:34 *2813:26 0 +136 *1919:14 *2813:26 0.000277784 +137 *1919:49 *2813:26 0.000706007 +138 *1919:69 *2813:26 0.000707607 +139 *2039:13 *2813:30 7.50722e-05 +140 *2040:30 *2813:30 6.31465e-05 +141 *2040:30 *2813:42 0.00018678 +142 *2054:30 *2813:16 0.000172515 +143 *2093:51 *2813:47 2.0604e-05 +144 *2093:68 *21801:B1 0 +145 *2170:10 *2813:47 9.22013e-06 +146 *2170:35 *2813:47 0.000175167 +147 *2170:48 *2813:47 4.28078e-05 +148 *2171:20 *2813:47 0.000517135 +149 *2171:30 *2813:47 0.000118737 +150 *2262:34 *2813:26 0 +151 *2282:53 *2813:47 0.000280572 +152 *2294:25 *2813:25 0.00117761 +153 *2297:26 *2813:26 0.00133953 +154 *2297:39 *2813:26 0.000197055 +155 *2333:38 *2813:47 1.81081e-06 +156 *2404:67 *2813:42 0.000211559 +157 *2459:29 *2813:47 5.83451e-05 +158 *2467:20 *2813:8 0 +159 *2486:106 *2813:47 0.000165481 +160 *2487:14 *2813:16 0.000355507 +161 *2564:68 *21801:B1 0.000105298 +162 *2589:65 *2813:47 6.03122e-05 +163 *2613:69 *2813:47 6.03237e-05 +164 *2687:23 *2813:25 0.000164829 +165 *2772:38 *2813:47 0.000696814 +166 *2777:60 *2813:47 4.31525e-05 +167 *2791:50 *2813:47 0 +*RES +1 *21797:Y *2813:7 14.4725 +2 *2813:7 *2813:8 62.8741 +3 *2813:8 *2813:16 49.8989 +4 *2813:16 *2813:25 37.1004 +5 *2813:25 *2813:26 107.721 +6 *2813:26 *2813:28 0.732798 +7 *2813:28 *2813:30 75.7469 +8 *2813:30 *2813:42 49.5293 +9 *2813:42 *2813:47 47.2122 +10 *2813:47 *6193:DIODE 20.2783 +11 *2813:47 *21801:B1 19.9881 +*END + +*D_NET *2814 0.0354504 +*CONN +*I *22277:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21800:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22621:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21798:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22277:B1 0.000121308 +2 *21800:A1 0.000714734 +3 *22621:B1 0 +4 *21798:Y 0.000253486 +5 *2814:38 0.00151674 +6 *2814:24 0.00442461 +7 *2814:15 0.00481657 +8 *2814:8 0.00132615 +9 *21800:A1 *22664:B2 0.000359157 +10 *21800:A1 *22665:C1 2.17277e-05 +11 *21800:A1 *3052:101 0.000109503 +12 *21800:A1 *3517:151 5.61389e-05 +13 *21800:A1 *4853:18 7.94607e-05 +14 *22277:B1 *3185:107 0.000182837 +15 *22277:B1 *3284:21 0.000182837 +16 *2814:8 *4938:8 4.40664e-05 +17 *2814:15 *20899:A1 1.80887e-05 +18 *2814:15 *20899:B1 0.000124641 +19 *2814:15 *24492:RESET_B 7.98171e-06 +20 *2814:15 *2900:69 0.00178118 +21 *2814:15 *4976:5 1.19726e-05 +22 *2814:15 *5853:278 0.000369617 +23 *2814:24 *21695:A1 0.0015499 +24 *2814:24 *22621:B2 3.04443e-05 +25 *2814:24 *22636:A2 0.00260445 +26 *2814:24 *22644:A1 0.000104754 +27 *2814:24 *3041:61 2.05722e-05 +28 *2814:24 *3144:103 0.000654024 +29 *2814:24 *3204:150 9.7258e-05 +30 *2814:24 *3206:173 6.98716e-05 +31 *2814:24 *3539:12 0.00140081 +32 *2814:24 *4821:76 0.000201054 +33 *2814:24 *4824:142 0.000200384 +34 *2814:24 *4827:51 0.000107413 +35 *2814:24 *4828:169 0.00355795 +36 *2814:24 *5857:151 0.000380186 +37 *2814:24 *5919:72 0.0012766 +38 *2814:38 *22254:A2 0.000100741 +39 *2814:38 *22621:B2 0.000144069 +40 *2814:38 *3052:101 5.481e-05 +41 *2814:38 *3537:35 1.67675e-05 +42 *2814:38 *3539:27 0.000154145 +43 *2814:38 *3551:16 0.000409561 +44 *21800:A2 *21800:A1 0.000305497 +45 *22276:A1 *2814:38 0.000307869 +46 *24339:D *2814:15 5.90255e-05 +47 *520:27 *2814:24 0.000194475 +48 *1439:113 *2814:8 0.000717434 +49 *1551:83 *2814:24 5.1493e-06 +50 *1616:42 *2814:24 2.49093e-05 +51 *1652:34 *2814:8 0.000613492 +52 *1658:223 *21800:A1 0.000350024 +53 *1658:223 *2814:38 0.000439412 +54 *2202:14 *2814:24 3.22915e-05 +55 *2202:25 *2814:24 3.30161e-05 +56 *2203:7 *2814:15 0.000118166 +57 *2394:41 *2814:15 0.00091691 +58 *2425:24 *2814:15 0.000104854 +59 *2570:138 *2814:24 0.000233602 +60 *2610:63 *2814:15 0.00064453 +61 *2613:69 *21800:A1 0.000354143 +62 *2613:85 *21800:A1 1.65872e-05 +63 *2631:70 *2814:24 3.73224e-05 +64 *2631:70 *2814:38 0.000127911 +65 *2678:17 *21800:A1 1.00981e-05 +66 *2678:17 *2814:38 0.000122356 +67 *2680:57 *21800:A1 2.27175e-05 +*RES +1 *21798:Y *2814:8 31.2929 +2 *2814:8 *2814:15 49.2727 +3 *2814:15 *2814:24 31.5501 +4 *2814:24 *22621:B1 13.7491 +5 *2814:24 *2814:38 25.67 +6 *2814:38 *21800:A1 36.7434 +7 *2814:38 *22277:B1 22.1574 +*END + +*D_NET *2815 0.0538959 +*CONN +*I *21800:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22773:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22453:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21799:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21800:B1 4.39318e-05 +2 *22773:A1 0.000448133 +3 *22453:A1 2.15316e-05 +4 *21799:Y 0 +5 *2815:48 0.00335076 +6 *2815:32 0.00644575 +7 *2815:14 0.00554113 +8 *2815:12 0.00333912 +9 *2815:8 0.00296952 +10 *2815:5 0.00165081 +11 *21800:B1 *4815:122 2.1203e-06 +12 *22453:A1 *22453:B1 6.36477e-05 +13 *22453:A1 *3406:10 1.03403e-05 +14 *22773:A1 *22470:B 0.000117341 +15 *22773:A1 *22483:A2 1.07529e-05 +16 *22773:A1 *22778:B 0.000118738 +17 *22773:A1 *2954:74 6.18138e-05 +18 *22773:A1 *3192:230 0.000227343 +19 *22773:A1 *3406:29 1.58588e-05 +20 *2815:8 *19892:A 3.49272e-05 +21 *2815:8 *21040:A1 5.94601e-05 +22 *2815:8 *21448:A 0.000225028 +23 *2815:8 *24239:CLK 0 +24 *2815:8 *24241:RESET_B 0.000124632 +25 *2815:8 *2972:18 5.1493e-06 +26 *2815:8 *5388:8 8.69817e-05 +27 *2815:8 *5851:400 0.000286207 +28 *2815:8 *5871:131 9.94056e-05 +29 *2815:8 *5871:146 5.07858e-05 +30 *2815:12 *24239:CLK 4.20295e-05 +31 *2815:12 *4918:75 0 +32 *2815:12 *5859:352 0.000942597 +33 *2815:12 *5859:354 7.03494e-05 +34 *2815:12 *5870:460 1.47773e-05 +35 *2815:14 *19794:A 0.000317966 +36 *2815:14 *21864:C1 2.02035e-05 +37 *2815:14 *21995:D 3.21769e-05 +38 *2815:14 *22452:B2 8.79507e-05 +39 *2815:14 *22453:B1 2.43314e-05 +40 *2815:14 *22474:A2 0 +41 *2815:14 *22474:B2 0.000103922 +42 *2815:14 *22475:A2 5.0546e-05 +43 *2815:14 *22764:B2 0.000794798 +44 *2815:14 *24771:CLK 2.63811e-05 +45 *2815:14 *2865:14 7.5909e-06 +46 *2815:14 *2865:32 3.32136e-05 +47 *2815:14 *2924:95 0.000313089 +48 *2815:14 *3076:67 8.6953e-05 +49 *2815:14 *3117:74 0 +50 *2815:14 *3124:93 7.09666e-06 +51 *2815:14 *3500:33 3.55926e-05 +52 *2815:14 *4918:75 1.79028e-05 +53 *2815:14 *5860:498 3.28486e-05 +54 *2815:14 *5860:504 0.000360449 +55 *2815:14 *5860:511 7.09897e-06 +56 *2815:32 *22453:B1 8.17064e-05 +57 *2815:32 *22765:B1 3.83975e-05 +58 *2815:32 *2905:17 8.22964e-06 +59 *2815:32 *3054:18 0.000375016 +60 *2815:32 *3071:42 3.46962e-05 +61 *2815:32 *3124:93 0.000115761 +62 *2815:32 *3136:84 0.000215252 +63 *2815:32 *5674:45 5.60804e-05 +64 *2815:32 *5909:38 4.91448e-05 +65 *2815:48 *2916:20 0.00134571 +66 *2815:48 *3209:6 0.000402779 +67 *2815:48 *3406:29 4.45999e-05 +68 *2815:48 *3507:39 0.00141805 +69 *2815:48 *5909:38 2.07222e-05 +70 *19791:B1 *2815:48 3.11366e-05 +71 *19810:C1 *22773:A1 8.62625e-06 +72 *21033:A *2815:12 3.29488e-05 +73 *21037:B2 *2815:12 6.57359e-05 +74 *21472:A1 *2815:14 0 +75 *21476:B1 *2815:32 0.00199762 +76 *21583:A2 *2815:32 0.000159964 +77 *21636:B2 *2815:32 0.000381421 +78 *21636:B2 *2815:48 0.00113143 +79 *21801:A2 *21800:B1 1.22756e-05 +80 *22800:A1 *2815:14 9.80784e-05 +81 *24241:D *2815:8 8.5809e-05 +82 *324:27 *2815:48 0.000703401 +83 *1535:51 *2815:48 0.000407274 +84 *1545:32 *2815:32 0.002556 +85 *1560:76 *22773:A1 1.03403e-05 +86 *1570:80 *2815:48 0.00107335 +87 *1577:26 *2815:32 1.96292e-05 +88 *1605:29 *22773:A1 0.00022694 +89 *1608:30 *2815:32 0.000100891 +90 *1627:49 *2815:32 0.00206188 +91 *1636:10 *2815:8 0 +92 *1658:215 *2815:48 2.22654e-05 +93 *1660:27 *2815:32 1.85963e-05 +94 *1671:30 *2815:12 0.00105427 +95 *1671:38 *2815:8 0 +96 *1671:42 *2815:8 0.000284048 +97 *1671:126 *2815:14 0.000541168 +98 *1750:69 *2815:48 0.000267231 +99 *1780:26 *2815:8 0.000277462 +100 *1780:26 *2815:12 2.04589e-05 +101 *1780:36 *2815:8 5.22884e-05 +102 *1780:44 *2815:8 0.000739277 +103 *1809:17 *22773:A1 6.08467e-05 +104 *2180:38 *2815:8 8.98062e-06 +105 *2181:24 *2815:12 0.000893595 +106 *2528:48 *2815:14 2.65491e-05 +107 *2529:19 *2815:32 3.10612e-05 +108 *2531:91 *2815:32 0.00187038 +109 *2556:19 *2815:48 0.000586981 +110 *2581:18 *2815:12 0.000604593 +111 *2650:14 *2815:12 0.000612477 +112 *2650:14 *2815:14 7.50479e-05 +113 *2650:22 *2815:14 0 +114 *2675:28 *2815:8 7.86825e-06 +115 *2805:22 *2815:48 0.00136095 +116 *2807:39 *2815:48 0.000174775 +117 *2810:61 *2815:48 1.54703e-05 +118 *2812:18 *2815:48 7.72522e-05 +*RES +1 *21799:Y *2815:5 13.7491 +2 *2815:5 *2815:8 48.3891 +3 *2815:8 *2815:12 49.2562 +4 *2815:12 *2815:14 54.1171 +5 *2815:14 *22453:A1 14.4725 +6 *2815:14 *2815:32 20.8269 +7 *2815:32 *2815:48 47.9539 +8 *2815:48 *22773:A1 25.4401 +9 *2815:32 *21800:B1 17.9118 +*END + +*D_NET *2816 0.0029734 +*CONN +*I *21801:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21800:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21801:C1 0.000696608 +2 *21800:X 0.000696608 +3 *21801:C1 *21599:B1 5.92708e-05 +4 *21801:C1 *2817:11 1.37531e-05 +5 *21801:C1 *5593:46 0.000531367 +6 *21800:B2 *21801:C1 4.97617e-05 +7 *21801:A1 *21801:C1 0.000114594 +8 *1588:107 *21801:C1 0.000124969 +9 *1658:215 *21801:C1 6.3657e-05 +10 *1662:87 *21801:C1 0.000117961 +11 *2093:68 *21801:C1 2.29454e-05 +12 *2093:79 *21801:C1 0.000481907 +*RES +1 *21800:X *21801:C1 43.8089 +*END + +*D_NET *2817 0.00456065 +*CONN +*I *21807:C I *D sky130_fd_sc_hd__and4_1 +*I *21801:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21807:C 0.000850974 +2 *21801:X 0.000378563 +3 *2817:12 0.000942287 +4 *2817:11 0.000469876 +5 *21807:C *22223:B2 9.49244e-05 +6 *21807:C *3019:23 0.000184654 +7 *21807:C *3023:42 6.08295e-05 +8 *21807:C *3071:67 0.000239787 +9 *21807:C *3073:16 9.12416e-06 +10 *21807:C *3527:70 3.63738e-05 +11 *21807:C *3529:62 0.000158132 +12 *21807:C *3531:63 0.000226192 +13 *21807:C *5593:46 0.00010831 +14 *21801:C1 *2817:11 1.37531e-05 +15 *22209:A1 *21807:C 0.000304993 +16 *1658:215 *2817:11 9.68005e-05 +17 *1658:223 *2817:11 0.000254551 +18 *2093:79 *2817:11 6.17774e-05 +19 *2670:11 *21807:C 6.87503e-05 +*RES +1 *21801:X *2817:11 25.5152 +2 *2817:11 *2817:12 104.301 +3 *2817:12 *21807:C 48.9527 +*END + +*D_NET *2818 0.0513483 +*CONN +*I *21806:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22466:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22762:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21802:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21806:A1 0.00112789 +2 *22466:B1 6.62673e-05 +3 *22762:B1 0.00154174 +4 *21802:Y 0.000852683 +5 *2818:30 0.00433418 +6 *2818:24 0.00696794 +7 *2818:23 0.00461929 +8 *2818:12 0.00381899 +9 *2818:11 0.00316627 +10 *21806:A1 *2918:16 0 +11 *21806:A1 *2986:20 7.13655e-06 +12 *22466:B1 *22510:B2 3.21591e-05 +13 *22466:B1 *3111:234 9.12416e-06 +14 *22762:B1 *21838:B1 0.000168356 +15 *22762:B1 *22469:B 3.10262e-05 +16 *22762:B1 *2856:45 2.78622e-05 +17 *22762:B1 *3377:20 0.00125184 +18 *22762:B1 *5453:21 0.000109796 +19 *22762:B1 *5919:40 4.56654e-05 +20 *2818:11 *24947:A 0.000148144 +21 *2818:11 *2853:8 0 +22 *2818:11 *5906:45 0.00036437 +23 *2818:12 *20475:A1 8.07794e-05 +24 *2818:12 *20481:A1 6.11693e-05 +25 *2818:12 *20552:B1 4.18989e-05 +26 *2818:12 *21231:A 3.98472e-05 +27 *2818:12 *24388:CLK 0.000367283 +28 *2818:12 *24586:SET_B 0.000144525 +29 *2818:12 *24641:CLK 0 +30 *2818:12 *25364:A 5.23435e-05 +31 *2818:12 *2918:6 0.000501595 +32 *2818:12 *2950:9 0.000413181 +33 *2818:12 *4844:73 0.000153225 +34 *2818:12 *4845:63 0.00021459 +35 *2818:12 *5246:8 5.53903e-05 +36 *2818:23 *20477:A1 0.000553213 +37 *2818:23 *2853:22 0 +38 *2818:23 *2954:21 0 +39 *2818:23 *4843:43 5.51483e-06 +40 *2818:23 *4843:63 1.41976e-05 +41 *2818:23 *5458:104 0.000264586 +42 *2818:24 *3033:24 0.000149217 +43 *2818:24 *3033:32 0.00110563 +44 *2818:24 *3057:8 0.000164834 +45 *2818:24 *4843:87 0.000142034 +46 *2818:24 *5861:72 0.000119086 +47 *2818:24 *5866:153 0.000125458 +48 *2818:30 *21838:B1 0.00118202 +49 *2818:30 *3057:29 0.00030052 +50 *19853:A2 *21806:A1 1.91391e-05 +51 *22488:A2 *22762:B1 0.00122448 +52 *24388:D *2818:12 5.88662e-05 +53 *24539:D *2818:11 2.22923e-05 +54 *1550:101 *22762:B1 0.000113478 +55 *1565:11 *2818:12 0.000102293 +56 *1565:12 *2818:24 0.000349176 +57 *1565:12 *2818:30 0.000127293 +58 *1570:106 *22762:B1 0.000810376 +59 *1585:22 *22762:B1 3.80286e-05 +60 *1585:22 *2818:30 0.000281315 +61 *1629:55 *2818:24 0 +62 *1688:43 *2818:30 0.000677175 +63 *1725:181 *21806:A1 0.00281996 +64 *1725:181 *2818:24 0 +65 *1725:181 *2818:30 0 +66 *1732:12 *22466:B1 5.33358e-06 +67 *1732:18 *22466:B1 3.40382e-05 +68 *1732:34 *22762:B1 0.00112762 +69 *1801:75 *22466:B1 0.000108986 +70 *1856:8 *2818:23 0.00136441 +71 *1994:28 *2818:12 0.000162567 +72 *1994:35 *2818:12 5.91654e-05 +73 *1994:56 *2818:11 0 +74 *1995:30 *2818:12 0.000621755 +75 *2075:31 *2818:24 0 +76 *2117:143 *22466:B1 0.000104754 +77 *2117:143 *22762:B1 0.000400098 +78 *2117:143 *2818:30 4.64851e-05 +79 *2531:20 *21806:A1 0.00314477 +80 *2552:14 *2818:24 0.000110567 +81 *2564:8 *2818:12 0.000536422 +82 *2602:10 *2818:12 0 +83 *2654:22 *2818:30 0.000562137 +84 *2685:8 *2818:12 0 +85 *2728:41 *21806:A1 0 +86 *2796:8 *2818:23 0.00137247 +*RES +1 *21802:Y *2818:11 41.505 +2 *2818:11 *2818:12 78.2384 +3 *2818:12 *2818:23 45.5413 +4 *2818:23 *2818:24 8.16601 +5 *2818:24 *2818:30 9.33594 +6 *2818:30 *22762:B1 25.6627 +7 *2818:30 *22466:B1 18.4531 +8 *2818:24 *21806:A1 22.7802 +*END + +*D_NET *2819 0.0355455 +*CONN +*I *21806:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22287:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21803:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21806:B1 0 +2 *22287:B1 0.000541602 +3 *21803:Y 0.000534501 +4 *2819:58 0.00170613 +5 *2819:38 0.00323976 +6 *2819:18 0.00558405 +7 *2819:8 0.00239443 +8 *2819:7 0.00174917 +9 *22287:B1 *22041:A1 0.000301343 +10 *22287:B1 *3020:56 6.51652e-05 +11 *22287:B1 *3126:82 0.000340742 +12 *22287:B1 *3206:197 0.000340742 +13 *22287:B1 *3564:28 2.51881e-05 +14 *22287:B1 *4848:30 0.000440574 +15 *2819:8 *4840:134 0 +16 *2819:8 *4860:18 5.89072e-05 +17 *2819:8 *4860:38 1.62054e-05 +18 *2819:18 *24210:CLK 0.000105599 +19 *2819:18 *2868:12 0.0011698 +20 *2819:18 *4860:18 0.00076751 +21 *2819:18 *5325:10 4.01315e-05 +22 *2819:38 *22264:B1 8.04307e-05 +23 *2819:38 *22271:C 7.08723e-06 +24 *2819:38 *22610:A1 0.000461842 +25 *2819:38 *22610:A2 0.000656251 +26 *2819:38 *22613:B1 0.00084335 +27 *2819:38 *2827:29 6.11324e-05 +28 *2819:38 *2868:12 0.000387184 +29 *2819:38 *2919:20 0.00330923 +30 *2819:38 *3011:55 0.000206449 +31 *2819:38 *3020:56 8.3506e-05 +32 *2819:38 *3026:35 1.66626e-05 +33 *2819:38 *3122:161 0.000104754 +34 *2819:38 *3146:181 4.20449e-05 +35 *2819:38 *3222:41 0.00040465 +36 *2819:38 *3564:14 1.06085e-05 +37 *2819:38 *3564:28 7.24827e-06 +38 *2819:38 *4857:12 0.000539298 +39 *2819:38 *4860:17 0.000102838 +40 *2819:38 *4860:18 0.000133126 +41 *2819:58 *22023:A1 5.57839e-05 +42 *20633:B2 *2819:8 0.000187284 +43 *20701:A *2819:18 9.31362e-05 +44 *21482:A1 *2819:38 0.000111938 +45 *21806:A2 *2819:58 1.04818e-05 +46 *21975:B2 *2819:38 0.000226308 +47 *22619:B1 *2819:38 1.15125e-05 +48 *719:16 *2819:18 0.000238883 +49 *1550:32 *2819:58 1.54479e-05 +50 *1566:95 *2819:58 0.000302715 +51 *1607:29 *2819:58 4.69204e-06 +52 *1619:62 *2819:58 0.000100716 +53 *1644:10 *2819:58 2.05972e-05 +54 *1658:86 *2819:58 3.05511e-05 +55 *1658:99 *2819:58 0.00204449 +56 *1708:111 *2819:18 0.000245717 +57 *2030:50 *2819:8 0.000310079 +58 *2076:36 *2819:7 0.000799404 +59 *2541:11 *2819:18 2.59567e-05 +60 *2542:8 *2819:8 0.00261735 +61 *2584:16 *2819:8 5.94811e-05 +62 *2589:12 *2819:8 0.000641856 +63 *2613:48 *22287:B1 0.000283917 +64 *2729:20 *2819:58 2.25844e-05 +65 *2797:36 *2819:8 0.000120674 +66 *2797:47 *2819:18 8.46605e-05 +*RES +1 *21803:Y *2819:7 22.7916 +2 *2819:7 *2819:8 48.5479 +3 *2819:8 *2819:18 39.5197 +4 *2819:18 *2819:38 39.5755 +5 *2819:38 *22287:B1 31.4668 +6 *2819:18 *2819:58 44.9455 +7 *2819:58 *21806:B1 9.24915 +*END + +*D_NET *2820 0.0271263 +*CONN +*I *22631:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22286:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21805:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *21804:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22631:A1 0 +2 *22286:A1 0.000996212 +3 *21805:B1 1.47608e-05 +4 *21804:Y 0.000242753 +5 *2820:62 0.000921445 +6 *2820:34 0.00280928 +7 *2820:27 0.00229032 +8 *2820:26 0.00233627 +9 *2820:9 0.00119509 +10 *21805:B1 *5884:45 6.08467e-05 +11 *22286:A1 *22281:A1 3.29488e-05 +12 *22286:A1 *22284:D 0.00012774 +13 *22286:A1 *22285:B1 4.31603e-06 +14 *22286:A1 *22286:A2 0 +15 *22286:A1 *22286:C1 0.000154145 +16 *22286:A1 *2881:22 0.000242383 +17 *22286:A1 *3190:185 6.62817e-06 +18 *22286:A1 *3192:116 0 +19 *2820:9 *24301:CLK 9.77413e-05 +20 *2820:9 *4805:35 9.45565e-05 +21 *2820:9 *5884:45 1.41976e-05 +22 *2820:9 *5924:42 0.000131163 +23 *2820:26 *22297:B1 5.51851e-05 +24 *2820:26 *4832:60 9.13788e-05 +25 *2820:26 *4845:229 0.000113812 +26 *2820:27 *2821:11 0.000101548 +27 *2820:27 *4823:45 0.00165849 +28 *2820:34 *24214:RESET_B 0.000234545 +29 *2820:34 *2881:22 0.000578985 +30 *2820:34 *5865:73 0.000194907 +31 *2820:62 *22279:A2 5.04829e-06 +32 *2820:62 *22279:B2 7.92757e-06 +33 *2820:62 *22631:B1 7.92757e-06 +34 *2820:62 *22634:C 0.000200794 +35 *2820:62 *2869:32 0.000136926 +36 *2820:62 *2869:46 2.77564e-05 +37 *2820:62 *3128:184 0.000396003 +38 *2820:62 *3233:22 0.000173082 +39 *2820:62 *3529:185 0.000322731 +40 *2820:62 *3529:200 1.0758e-05 +41 *2820:62 *3531:196 0.00091345 +42 *20954:B *21805:B1 6.08467e-05 +43 *20954:B *2820:9 9.76046e-05 +44 *20955:A *2820:9 0.000110297 +45 *21315:B2 *2820:27 0.00131676 +46 *500:13 *2820:62 0.000391697 +47 *1592:152 *2820:27 0.00122444 +48 *1592:189 *2820:27 0.000566262 +49 *1602:128 *22286:A1 5.88009e-05 +50 *1608:107 *2820:26 0.00102401 +51 *1610:181 *2820:27 0.000487161 +52 *1612:24 *22286:A1 7.00554e-05 +53 *1618:137 *22286:A1 0.000154145 +54 *1645:176 *22286:A1 0.000254307 +55 *1652:95 *2820:62 2.05234e-05 +56 *1655:220 *2820:27 0.000393863 +57 *1721:167 *2820:27 0.000850234 +58 *1784:96 *2820:34 0.000202705 +59 *1886:64 *2820:26 0.000148867 +60 *2266:13 *2820:26 2.111e-05 +61 *2370:8 *22286:A1 0.000421806 +62 *2373:17 *2820:26 0.000106923 +63 *2374:48 *2820:34 0 +64 *2404:8 *2820:34 0.000494228 +65 *2452:14 *2820:26 0.000109358 +66 *2465:10 *2820:26 0.000304392 +67 *2508:11 *22286:A1 0.000654881 +68 *2508:11 *2820:34 0.000306425 +69 *2520:33 *2820:26 0.000114281 +70 *2776:29 *2820:62 0.000110306 +71 *2806:14 *22286:A1 4.59425e-05 +*RES +1 *21804:Y *2820:9 26.0719 +2 *2820:9 *21805:B1 9.97254 +3 *2820:9 *2820:26 26.2702 +4 *2820:26 *2820:27 51.1923 +5 *2820:27 *2820:34 32.1509 +6 *2820:34 *22286:A1 49.748 +7 *2820:34 *2820:62 41.7573 +8 *2820:62 *22631:A1 9.24915 +*END + +*D_NET *2821 0.0181437 +*CONN +*I *21806:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21805:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *21806:C1 8.89029e-05 +2 *21805:X 0.000857557 +3 *2821:11 0.00199215 +4 *2821:10 0.00276081 +5 *21806:C1 *2850:12 0.000122265 +6 *21806:C1 *3015:10 0.000118738 +7 *2821:10 *20214:B1 0.000265634 +8 *2821:10 *4805:32 7.50872e-05 +9 *2821:11 *19959:A 0.00170274 +10 *2821:11 *21611:B1 0.000304777 +11 *2821:11 *4805:32 0.00227444 +12 *20210:A2 *2821:10 3.82228e-05 +13 *21315:B2 *2821:11 0.000319854 +14 *1592:152 *2821:11 0.000330045 +15 *1592:189 *2821:11 9.2932e-05 +16 *1596:134 *2821:10 9.75356e-05 +17 *1721:167 *2821:11 0.000294282 +18 *1771:140 *2821:11 0.00141443 +19 *1886:76 *2821:10 1.41689e-05 +20 *1886:78 *2821:10 4.9661e-05 +21 *1887:7 *2821:10 0.000107496 +22 *2293:22 *2821:11 0.00339364 +23 *2305:8 *2821:10 5.94319e-06 +24 *2317:20 *2821:11 0.000879758 +25 *2448:81 *2821:10 0.000441128 +26 *2820:27 *2821:11 0.000101548 +*RES +1 *21805:X *2821:10 33.0058 +2 *2821:10 *2821:11 82.2501 +3 *2821:11 *21806:C1 20.9116 +*END + +*D_NET *2822 0.0184611 +*CONN +*I *21807:D I *D sky130_fd_sc_hd__and4_1 +*I *21806:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21807:D 4.32647e-05 +2 *21806:X 4.51842e-05 +3 *2822:12 0.00224846 +4 *2822:10 0.00226526 +5 *2822:8 0.00207451 +6 *2822:7 0.00205963 +7 *21807:D *22555:A2 6.36477e-05 +8 *2822:8 *22220:B2 1.5714e-05 +9 *2822:8 *22225:C 0 +10 *2822:8 *22225:D 6.51527e-05 +11 *2822:8 *2826:19 1.46972e-05 +12 *2822:8 *2850:34 0.000164488 +13 *2822:8 *2986:20 0.000415218 +14 *2822:8 *2986:25 0.000203366 +15 *2822:8 *3070:32 2.30438e-05 +16 *2822:8 *3070:36 1.93398e-05 +17 *2822:8 *3186:16 0.00124775 +18 *2822:8 *3236:8 0 +19 *2822:8 *3533:119 0.000138877 +20 *2822:8 *3533:126 0.00025931 +21 *2822:12 *21874:A1 0.000411203 +22 *2822:12 *22060:C1 9.66789e-05 +23 *2822:12 *22061:C 6.22259e-05 +24 *2822:12 *22062:C 2.40371e-05 +25 *2822:12 *22325:C1 0.000148353 +26 *2822:12 *2825:92 6.61215e-06 +27 *2822:12 *3023:42 4.49353e-05 +28 *2822:12 *3070:36 0.000286609 +29 *2822:12 *3073:16 0.000652582 +30 *2822:12 *3186:16 5.60291e-06 +31 *2822:12 *3471:227 9.28716e-05 +32 *2822:12 *3509:175 0.000286324 +33 *2822:12 *3509:181 0.000145313 +34 *2822:12 *3509:223 0.000147752 +35 *2822:12 *3511:151 3.63738e-05 +36 *2822:12 *3511:161 0.000128815 +37 *2822:12 *3523:127 7.02358e-06 +38 *2822:12 *3523:154 1.43058e-05 +39 *2822:12 *3547:17 3.74433e-05 +40 *19790:A2 *2822:12 7.86906e-05 +41 *19853:A2 *2822:8 7.4382e-05 +42 *19906:A2 *2822:8 0.000128346 +43 *21482:A2 *2822:12 7.72394e-06 +44 *21974:A2 *2822:8 0.000267269 +45 *22010:A2 *2822:12 7.00554e-05 +46 *22011:A2 *2822:12 0.000173715 +47 *22024:A2 *2822:7 6.08467e-05 +48 *22054:B2 *2822:12 0.000360372 +49 *22220:A1 *2822:8 4.53142e-05 +50 *22668:B1 *2822:12 0.000799301 +51 *1545:138 *2822:12 8.20569e-05 +52 *1614:55 *2822:12 5.37467e-05 +53 *1614:75 *2822:12 1.98867e-05 +54 *1616:160 *2822:12 0.000131739 +55 *1631:106 *2822:8 4.20102e-05 +56 *1635:131 *2822:8 7.26486e-05 +57 *1717:133 *2822:12 1.5714e-05 +58 *2551:55 *2822:12 0.0010454 +59 *2589:46 *2822:12 1.86118e-05 +60 *2589:53 *2822:12 2.27118e-06 +61 *2601:26 *2822:8 3.40841e-05 +62 *2764:72 *2822:8 0.000625884 +63 *2764:82 *2822:8 0.000133447 +64 *2770:68 *2822:8 8.45896e-06 +65 *2811:26 *2822:12 0.000107128 +*RES +1 *21806:X *2822:7 14.4725 +2 *2822:7 *2822:8 55.3995 +3 *2822:8 *2822:10 1.29461 +4 *2822:10 *2822:12 65.7808 +5 *2822:12 *21807:D 14.4725 +*END + +*D_NET *2823 0.00821375 +*CONN +*I *21808:D I *D sky130_fd_sc_hd__and4_1 +*I *21807:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21808:D 0.00162049 +2 *21807:X 0.00162049 +3 *21808:D *21858:A 7.00991e-05 +4 *21808:D *22223:A2 0.000527673 +5 *21808:D *22555:A2 0.000243813 +6 *21808:D *22784:A2 7.12079e-05 +7 *21808:D *3073:16 0.000111708 +8 *21808:D *3186:14 8.6297e-06 +9 *21808:D *3511:68 0.000314507 +10 *19789:A *21808:D 0.000253039 +11 *21549:A1 *21808:D 6.08467e-05 +12 *21549:B2 *21808:D 3.41459e-05 +13 *324:27 *21808:D 0.00213206 +14 *1570:76 *21808:D 0.000401719 +15 *1588:131 *21808:D 4.0919e-05 +16 *1708:70 *21808:D 0.000307038 +17 *1721:107 *21808:D 5.60804e-05 +18 *1730:113 *21808:D 1.36949e-05 +19 *1730:127 *21808:D 9.89028e-07 +20 *2564:68 *21808:D 0.000324611 +*RES +1 *21807:X *21808:D 47.0977 +*END + +*D_NET *2824 0.0017763 +*CONN +*I *21902:B I *D sky130_fd_sc_hd__nand3_4 +*I *21808:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21902:B 0.000324916 +2 *21808:X 0.000324916 +3 *21902:B *21902:C 6.3657e-05 +4 *21902:B *2828:47 3.53886e-05 +5 *21902:B *2857:52 3.31745e-05 +6 *21902:B *4850:17 6.98546e-05 +7 *21902:B *4850:55 0.000136107 +8 *6030:DIODE *21902:B 2.99287e-05 +9 *21808:C *21902:B 5.71234e-05 +10 *21838:B2 *21902:B 0.000492093 +11 *1583:103 *21902:B 5.1493e-06 +12 *1586:33 *21902:B 0.000165775 +13 *2605:29 *21902:B 3.82228e-05 +*RES +1 *21808:X *21902:B 38.5387 +*END + +*D_NET *2825 0.0466834 +*CONN +*I *22291:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21814:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22620:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21809:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22291:B1 0.000119081 +2 *21814:A1 0.000249368 +3 *22620:B1 0.000281315 +4 *21809:Y 4.51842e-05 +5 *2825:92 0.0016928 +6 *2825:57 0.00435966 +7 *2825:22 0.00339227 +8 *2825:12 0.0028202 +9 *2825:10 0.00227127 +10 *2825:8 0.0018521 +11 *2825:7 0.00187184 +12 *22291:B1 *22269:B2 0.000506433 +13 *22291:B1 *3252:17 4.2372e-05 +14 *22620:B1 *22322:A2 0.000131355 +15 *22620:B1 *22602:B1 4.31603e-06 +16 *22620:B1 *22620:B2 6.42107e-05 +17 *22620:B1 *3148:206 0.00031596 +18 *22620:B1 *3179:167 0.000133323 +19 *22620:B1 *3485:163 1.9101e-05 +20 *22620:B1 *3487:137 1.5714e-05 +21 *2825:8 *21062:B1 0.000126473 +22 *2825:8 *3485:90 8.54788e-05 +23 *2825:8 *3487:30 0.000195395 +24 *2825:8 *3487:81 0.000813966 +25 *2825:8 *4920:13 1.91391e-05 +26 *2825:8 *5854:510 0.0011841 +27 *2825:8 *5857:328 0.000131716 +28 *2825:10 *21062:B1 6.67835e-06 +29 *2825:12 *21981:A1 3.88245e-05 +30 *2825:12 *22329:B2 0.000125379 +31 *2825:12 *22655:C1 9.66809e-05 +32 *2825:12 *22656:B2 9.72199e-05 +33 *2825:12 *22657:C1 0.000435672 +34 *2825:12 *22661:B1 7.52025e-05 +35 *2825:12 *22671:D 0.000295847 +36 *2825:12 *3139:22 0.000345047 +37 *2825:12 *3485:90 8.39922e-05 +38 *2825:12 *3485:94 0.000956034 +39 *2825:12 *3491:46 1.37283e-05 +40 *2825:12 *3523:276 0.000479367 +41 *2825:22 *22330:A2 2.37478e-05 +42 *2825:22 *22338:A 0 +43 *2825:22 *22338:C 1.51357e-05 +44 *2825:22 *3190:34 1.86909e-05 +45 *2825:22 *3487:133 0.000175321 +46 *2825:22 *3487:137 5.11466e-05 +47 *2825:22 *3523:276 0.000125393 +48 *2825:22 *5874:133 0.000211737 +49 *2825:57 *22322:A2 0.000205593 +50 *2825:57 *22555:A2 0.000296893 +51 *2825:57 *22555:B2 1.65872e-05 +52 *2825:57 *2880:45 7.84467e-06 +53 *2825:57 *3071:67 1.56949e-05 +54 *2825:57 *3179:167 0.000179708 +55 *2825:57 *3185:116 0.000433208 +56 *2825:57 *3185:155 0.000493166 +57 *2825:57 *3527:54 8.3051e-06 +58 *2825:57 *3531:43 4.65834e-05 +59 *2825:57 *3533:38 2.75882e-05 +60 *2825:92 *21874:A1 1.63131e-05 +61 *2825:92 *22011:C1 0.000250538 +62 *2825:92 *22210:A2 7.41655e-06 +63 *2825:92 *22210:B2 5.85325e-05 +64 *2825:92 *3019:28 4.77578e-05 +65 *2825:92 *3023:42 0.000129394 +66 *2825:92 *3073:16 0.000281962 +67 *2825:92 *3106:68 7.62042e-05 +68 *2825:92 *3172:6 0.000134786 +69 *2825:92 *3173:23 0 +70 *2825:92 *3186:14 8.63353e-06 +71 *2825:92 *3186:16 9.67553e-06 +72 *2825:92 *3471:227 6.24069e-06 +73 *2825:92 *3474:139 0.000425375 +74 *2825:92 *3489:17 0.000194931 +75 *2825:92 *3613:10 5.01835e-05 +76 *19801:A1 *2825:92 4.0605e-06 +77 *19801:A2 *2825:92 0 +78 *19801:B2 *2825:57 0.000109104 +79 *19801:B2 *2825:92 1.60717e-05 +80 *21061:A2 *2825:12 2.33193e-05 +81 *21219:A1 *2825:12 0 +82 *21476:B1 *2825:57 0.000532819 +83 *21814:A2 *21814:A1 0.00110288 +84 *21814:A2 *2825:57 0.000636549 +85 *21814:B2 *21814:A1 0.0010936 +86 *21814:B2 *2825:57 0.000484995 +87 *22010:A2 *2825:92 2.37851e-05 +88 *22655:B1 *2825:12 0.000250049 +89 *22668:A1 *2825:92 1.39173e-05 +90 *22669:A1 *2825:57 0.00127447 +91 *324:27 *2825:57 0.00190192 +92 *1554:48 *22291:B1 6.3657e-05 +93 *1558:42 *2825:92 4.12977e-05 +94 *1559:21 *2825:92 2.96378e-05 +95 *1583:35 *22620:B1 7.91033e-05 +96 *1614:55 *2825:57 1.27831e-06 +97 *1627:49 *2825:57 0 +98 *1627:79 *2825:57 0.000148541 +99 *1655:61 *2825:57 6.74182e-05 +100 *1680:71 *2825:12 2.50788e-05 +101 *1680:86 *2825:12 0.000114928 +102 *1717:133 *2825:92 0.000390267 +103 *1730:240 *2825:12 0.000133228 +104 *1741:105 *2825:7 6.08467e-05 +105 *1788:46 *2825:12 0 +106 *2093:98 *2825:57 0.000822234 +107 *2200:26 *2825:8 4.15661e-05 +108 *2200:26 *2825:10 5.31569e-06 +109 *2200:26 *2825:12 0.000296393 +110 *2200:43 *2825:12 0.000435159 +111 *2200:57 *2825:12 0.000129156 +112 *2427:16 *2825:8 0.000277321 +113 *2429:25 *2825:8 1.5714e-05 +114 *2534:44 *2825:57 0.00213192 +115 *2541:36 *2825:57 7.1152e-05 +116 *2570:138 *2825:12 0.000220839 +117 *2577:48 *2825:12 0.000149783 +118 *2581:39 *2825:8 0.00174522 +119 *2581:42 *2825:8 3.4641e-05 +120 *2581:42 *2825:12 9.04335e-05 +121 *2581:61 *2825:12 9.17656e-06 +122 *2630:16 *2825:12 0.000292051 +123 *2630:20 *2825:12 1.63402e-05 +124 *2631:83 *2825:12 5.11322e-06 +125 *2636:28 *2825:12 0.000116653 +126 *2636:40 *2825:12 0.000115451 +127 *2667:52 *2825:8 0.000961715 +128 *2679:41 *2825:57 1.31877e-05 +129 *2783:68 *22291:B1 9.17814e-05 +130 *2800:56 *2825:12 9.5161e-06 +131 *2800:56 *2825:22 0.000112039 +132 *2822:12 *2825:92 6.61215e-06 +*RES +1 *21809:Y *2825:7 14.4725 +2 *2825:7 *2825:8 63.2893 +3 *2825:8 *2825:10 0.732798 +4 *2825:10 *2825:12 68.48 +5 *2825:12 *2825:22 17.6339 +6 *2825:22 *22620:B1 23.4685 +7 *2825:22 *2825:57 41.2768 +8 *2825:57 *21814:A1 22.1738 +9 *2825:57 *2825:92 45.6056 +10 *2825:92 *22291:B1 14.964 +*END + +*D_NET *2826 0.0344203 +*CONN +*I *22274:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21814:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21810:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22274:B1 9.21164e-05 +2 *21814:B1 0.00455343 +3 *21810:Y 0.00068697 +4 *2826:19 0.00634759 +5 *2826:10 0.00265161 +6 *2826:7 0.00163654 +7 *21814:B1 *21974:B1 0.000105691 +8 *21814:B1 *22238:B 0.000265543 +9 *21814:B1 *2846:36 0.000175601 +10 *21814:B1 *2857:52 0.000120716 +11 *21814:B1 *2918:16 0.000102795 +12 *21814:B1 *2963:42 2.41302e-05 +13 *21814:B1 *3168:150 0.000432298 +14 *21814:B1 *3476:116 0.000434909 +15 *21814:B1 *3579:23 0.000166294 +16 *21814:B1 *3688:22 0.00159951 +17 *21814:B1 *5924:37 0.00045051 +18 *22274:B1 *22274:A2 6.3657e-05 +19 *22274:B1 *22274:B2 1.09551e-05 +20 *22274:B1 *3157:166 5.74958e-05 +21 *22274:B1 *3157:180 5.32857e-05 +22 *22274:B1 *3166:130 0.000258829 +23 *2826:7 *24456:CLK 1.82679e-05 +24 *2826:10 *21544:A 0.000252312 +25 *2826:10 *24456:SET_B 1.5714e-05 +26 *2826:10 *4822:50 0.000248546 +27 *2826:10 *5223:6 8.37979e-05 +28 *2826:10 *5861:257 5.78396e-05 +29 *2826:10 *5861:273 2.27585e-05 +30 *2826:19 *20709:B1 0.00036929 +31 *2826:19 *21974:B1 2.2603e-05 +32 *2826:19 *22023:A1 8.61774e-05 +33 *2826:19 *22220:A2 0.000350781 +34 *2826:19 *2918:16 5.75763e-05 +35 *2826:19 *3015:10 0.000238623 +36 *2826:19 *3134:194 0.000107025 +37 *19853:A2 *2826:19 0.000312496 +38 *19863:B1 *2826:19 0.000206333 +39 *20706:B2 *2826:10 4.49758e-05 +40 *21712:B2 *2826:19 1.3044e-05 +41 *22023:A2 *2826:19 2.04539e-05 +42 *22023:B2 *2826:19 5.95368e-05 +43 *22220:A1 *2826:19 0.000147884 +44 *1594:85 *21814:B1 0.00133906 +45 *1654:12 *2826:19 0.000216759 +46 *1654:29 *2826:19 3.58315e-06 +47 *1656:12 *2826:10 0.00202217 +48 *1656:37 *2826:19 1.06441e-05 +49 *1658:86 *22274:B1 2.33103e-06 +50 *1716:35 *21814:B1 0.000131355 +51 *1716:45 *21814:B1 0.000357577 +52 *1725:220 *2826:7 2.63944e-05 +53 *1733:75 *21814:B1 0.000439923 +54 *1741:21 *21814:B1 0.000114494 +55 *1741:40 *22274:B1 2.33103e-06 +56 *1770:59 *21814:B1 0.00343837 +57 *1787:40 *21814:B1 0.000218244 +58 *1788:89 *21814:B1 4.23303e-05 +59 *1799:34 *21814:B1 0.000351201 +60 *2031:36 *2826:10 0.000148114 +61 *2042:28 *2826:10 0.000174315 +62 *2043:22 *2826:10 9.96659e-05 +63 *2043:29 *2826:10 8.09758e-06 +64 *2043:29 *2826:19 0.000439609 +65 *2601:23 *2826:19 0.000918638 +66 *2674:8 *21814:B1 0.000645112 +67 *2797:65 *21814:B1 8.4649e-05 +68 *2797:65 *2826:19 5.76913e-05 +69 *2809:18 *2826:19 8.64695e-05 +70 *2822:8 *2826:19 1.46972e-05 +*RES +1 *21810:Y *2826:7 22.7916 +2 *2826:7 *2826:10 38.191 +3 *2826:10 *2826:19 45.0313 +4 *2826:19 *21814:B1 46.8268 +5 *2826:19 *22274:B1 21.0171 +*END + +*D_NET *2827 0.0420697 +*CONN +*I *22623:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21813:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22287:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21811:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22623:A1 0.000110758 +2 *21813:A1 0 +3 *22287:A1 7.18121e-05 +4 *21811:Y 0.00186735 +5 *2827:61 0.00278506 +6 *2827:57 0.00319143 +7 *2827:49 0.00168075 +8 *2827:30 0.00235844 +9 *2827:29 0.00395559 +10 *2827:13 0.00469994 +11 *22287:A1 *3044:41 9.2569e-05 +12 *22287:A1 *3552:6 8.80635e-05 +13 *22623:A1 *22635:C 2.16355e-05 +14 *22623:A1 *3021:43 9.96947e-05 +15 *2827:13 *2978:20 0.000110846 +16 *2827:13 *3034:25 0.000173434 +17 *2827:13 *4840:173 0 +18 *2827:13 *5867:188 1.82679e-05 +19 *2827:29 *21092:B1 9.10158e-05 +20 *2827:29 *2869:26 0.00111952 +21 *2827:29 *2869:32 2.17472e-05 +22 *2827:29 *2893:14 0.00109712 +23 *2827:29 *2893:20 0.000261959 +24 *2827:29 *2919:20 0 +25 *2827:29 *3146:181 0.000206594 +26 *2827:29 *3222:41 0.000937985 +27 *2827:29 *3517:222 0.000514197 +28 *2827:29 *4860:17 0.000538387 +29 *2827:30 *22290:C1 1.26672e-05 +30 *2827:30 *22604:B1 1.30981e-05 +31 *2827:30 *2869:51 5.33885e-05 +32 *2827:30 *3026:18 8.34211e-06 +33 *2827:30 *3042:25 5.88009e-05 +34 *2827:30 *3044:41 0.000718157 +35 *2827:30 *3120:143 0.000680209 +36 *2827:30 *3122:153 0.000123648 +37 *2827:30 *3204:202 0.000156294 +38 *2827:30 *3552:6 0.000507737 +39 *2827:49 *21875:A1 0.000252934 +40 *2827:49 *21882:A1 4.35589e-06 +41 *2827:49 *22269:B1 0.000386468 +42 *2827:49 *22625:A1 9.04241e-05 +43 *2827:49 *2893:20 0.000264205 +44 *2827:49 *3072:20 0.000111938 +45 *2827:49 *3278:17 0.000108909 +46 *2827:57 *22625:A1 7.09666e-06 +47 *2827:57 *3243:30 0.000158185 +48 *2827:61 *22255:A1 0.000156946 +49 *2827:61 *3527:54 0.000195941 +50 *2827:61 *3527:70 0.00126563 +51 *2827:61 *3529:62 7.31894e-05 +52 *2827:61 *5456:11 0.000676212 +53 *2827:61 *5456:29 3.75221e-05 +54 *6079:DIODE *2827:30 0.000118001 +55 *19820:B2 *2827:49 0.000202101 +56 *21279:B1 *2827:49 0.000539554 +57 *21712:B2 *2827:13 9.95514e-05 +58 *21814:A2 *2827:49 0.000104754 +59 *22268:A2 *2827:30 0.000221549 +60 *22318:B1 *2827:29 0.000212015 +61 *1620:35 *2827:49 8.33275e-05 +62 *1620:35 *2827:57 0.000192574 +63 *1647:58 *2827:30 0.000129969 +64 *1717:133 *2827:49 0.000500756 +65 *1717:142 *2827:49 0.000333105 +66 *1721:94 *2827:61 5.85325e-05 +67 *1741:21 *2827:13 0.000287421 +68 *1784:91 *2827:29 0.000858461 +69 *1787:17 *2827:13 0.000291975 +70 *1788:89 *2827:61 0.000202333 +71 *1789:65 *2827:13 5.481e-05 +72 *1790:100 *2827:29 0.000372723 +73 *1958:20 *2827:13 0 +74 *2117:107 *2827:30 7.63393e-05 +75 *2286:36 *2827:57 7.09666e-06 +76 *2508:23 *2827:49 0.000202101 +77 *2520:21 *2827:13 0.000481724 +78 *2541:11 *2827:13 0 +79 *2635:8 *2827:29 0.00125231 +80 *2635:20 *2827:29 4.15661e-05 +81 *2674:8 *2827:61 3.00283e-05 +82 *2764:82 *2827:30 2.39343e-05 +83 *2777:28 *2827:30 0.000151538 +84 *2777:80 *2827:29 0.000364017 +85 *2809:21 *2827:13 0.00227797 +86 *2819:38 *2827:29 6.11324e-05 +*RES +1 *21811:Y *2827:13 32.7792 +2 *2827:13 *2827:29 42.2668 +3 *2827:29 *2827:30 35.8827 +4 *2827:30 *22287:A1 15.7888 +5 *2827:30 *2827:49 13.7208 +6 *2827:49 *2827:57 13.4646 +7 *2827:57 *2827:61 49.4784 +8 *2827:61 *21813:A1 13.7491 +9 *2827:49 *22623:A1 16.691 +*END + +*D_NET *2828 0.0214986 +*CONN +*I *22449:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21813:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22770:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21812:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22449:B1 0.000211641 +2 *21813:B1 0.000418596 +3 *22770:A1 0 +4 *21812:Y 4.16239e-05 +5 *2828:47 0.00227318 +6 *2828:33 0.00244021 +7 *2828:25 0.000833431 +8 *2828:13 0.00209196 +9 *2828:8 0.00167415 +10 *21813:B1 *21814:C1 1.82679e-05 +11 *21813:B1 *21901:B 8.38426e-05 +12 *21813:B1 *3527:70 0.000149866 +13 *22449:B1 *3166:97 0.00020972 +14 *22449:B1 *3166:101 6.14362e-05 +15 *22449:B1 *3539:94 0.000250496 +16 *22449:B1 *3539:96 5.1493e-06 +17 *2828:8 *3411:57 8.28603e-05 +18 *2828:13 *20564:B1 7.97098e-06 +19 *2828:13 *2833:73 0.000251669 +20 *2828:25 *22455:A2 3.57578e-05 +21 *2828:25 *22770:B2 3.58602e-05 +22 *2828:25 *3058:8 0.000250829 +23 *2828:25 *3175:87 0.000230604 +24 *2828:33 *22455:A2 1.23755e-05 +25 *2828:33 *2862:17 2.16355e-05 +26 *2828:33 *2862:21 4.79321e-06 +27 *2828:33 *3148:289 5.20546e-06 +28 *2828:47 *21833:A 0.000247443 +29 *2828:47 *21902:C 0.000152296 +30 *2828:47 *22460:B 0.000685162 +31 *2828:47 *22762:B2 0.000300525 +32 *2828:47 *2842:13 0.000177487 +33 *2828:47 *2857:52 4.79321e-06 +34 *2828:47 *2862:21 0.000134288 +35 *2828:47 *3476:116 0.000731141 +36 *21548:B2 *2828:25 3.71176e-05 +37 *21772:C1 *2828:13 0.000257342 +38 *21813:B2 *21813:B1 0.000343543 +39 *21838:B2 *21813:B1 5.88009e-05 +40 *21902:A *21813:B1 5.30254e-05 +41 *21902:A *2828:47 2.16355e-05 +42 *21902:B *2828:47 3.53886e-05 +43 *21945:A2 *2828:25 8.98169e-05 +44 *1535:51 *21813:B1 0.000198544 +45 *1583:103 *2828:47 3.85421e-05 +46 *1586:33 *2828:47 0.000193325 +47 *1625:23 *2828:25 5.49916e-05 +48 *1690:108 *2828:13 0.00169713 +49 *1708:70 *21813:B1 1.5714e-05 +50 *1784:55 *2828:8 9.96342e-05 +51 *2750:13 *2828:13 0.00325799 +52 *2750:18 *2828:13 0.000909814 +*RES +1 *21812:Y *2828:8 20.0811 +2 *2828:8 *2828:13 49.7214 +3 *2828:13 *2828:25 20.6518 +4 *2828:25 *22770:A1 9.24915 +5 *2828:25 *2828:33 5.59426 +6 *2828:33 *2828:47 46.7391 +7 *2828:47 *21813:B1 29.9457 +8 *2828:33 *22449:B1 24.2337 +*END + +*D_NET *2829 0.00115544 +*CONN +*I *21814:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21813:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21814:C1 0.000303265 +2 *21813:X 0.000303265 +3 *21813:B1 *21814:C1 1.82679e-05 +4 *21813:B2 *21814:C1 7.76105e-06 +5 *1796:12 *21814:C1 0.000261443 +6 *2674:8 *21814:C1 0.000261443 +*RES +1 *21813:X *21814:C1 34.9002 +*END + +*D_NET *2830 0.00253114 +*CONN +*I *21833:A I *D sky130_fd_sc_hd__and4_1 +*I *21814:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21833:A 0.000941373 +2 *21814:X 0.000941373 +3 *21833:A *21902:C 1.88152e-05 +4 *21833:A *2857:52 1.45015e-05 +5 *21833:A *4850:55 2.77625e-06 +6 *21833:A *5453:21 6.08467e-05 +7 *19791:B1 *21833:A 9.17209e-05 +8 *21808:C *21833:A 5.04829e-06 +9 *21814:B2 *21833:A 9.93092e-05 +10 *21902:A *21833:A 1.58551e-05 +11 *1586:33 *21833:A 9.20782e-05 +12 *2828:47 *21833:A 0.000247443 +*RES +1 *21814:X *21833:A 42.255 +*END + +*D_NET *2831 0.0231728 +*CONN +*I *21820:A1 I *D sky130_fd_sc_hd__o221a_4 +*I *21815:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21820:A1 0 +2 *21815:Y 0.000615218 +3 *2831:39 0.00139965 +4 *2831:34 0.00371173 +5 *2831:29 0.00311226 +6 *2831:12 0.000843906 +7 *2831:11 0.00200204 +8 *2831:7 0.00257353 +9 *2831:7 *21815:A 6.92705e-05 +10 *2831:11 *24263:CLK 1.11668e-05 +11 *2831:11 *24264:RESET_B 0.00016096 +12 *2831:11 *24264:CLK 5.05976e-05 +13 *2831:11 *5989:7 9.08349e-05 +14 *2831:29 *23981:A1 2.65667e-05 +15 *2831:29 *23981:S 4.88955e-05 +16 *2831:29 *23983:A1 0 +17 *2831:29 *24263:CLK 0.00010903 +18 *2831:29 *4875:24 3.65454e-05 +19 *2831:29 *5975:8 0 +20 *2831:29 *6027:99 0.000164843 +21 *2831:34 *4915:73 0.000284033 +22 *2831:39 *2836:5 0.000175485 +23 *2831:39 *5852:9 2.97556e-05 +24 *20971:A1 *2831:29 0.000110955 +25 *20971:A2 *2831:29 4.91225e-06 +26 *20971:B1 *2831:29 3.024e-05 +27 *21505:A2 *2831:39 2.41483e-05 +28 *21505:B1 *2831:39 0.000761389 +29 *21506:C1 *2831:39 0.000160617 +30 *21820:B2 *2831:39 0 +31 *24010:S *2831:34 0.000314395 +32 *24263:D *2831:11 7.09013e-05 +33 *24264:D *2831:11 0.000162583 +34 *408:11 *2831:29 1.23544e-05 +35 *520:45 *2831:7 0.000118285 +36 *520:45 *2831:11 2.6231e-05 +37 *520:63 *2831:11 2.71152e-05 +38 *520:63 *2831:29 0.000366008 +39 *520:63 *2831:34 0.000498916 +40 *1780:55 *2831:34 0.0014409 +41 *2278:23 *2831:7 0.000368693 +42 *2278:23 *2831:11 0.0003371 +43 *2560:21 *2831:39 0.00254034 +44 *2756:8 *2831:34 0.000280436 +*RES +1 *21815:Y *2831:7 25.2483 +2 *2831:7 *2831:11 40.1984 +3 *2831:11 *2831:12 57.9449 +4 *2831:12 *2831:29 36.7546 +5 *2831:29 *2831:34 46.4266 +6 *2831:34 *2831:39 47.3974 +7 *2831:39 *21820:A1 9.24915 +*END + +*D_NET *2832 0.00197107 +*CONN +*I *21820:B1 I *D sky130_fd_sc_hd__o221a_4 +*I *21816:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21820:B1 0.000210103 +2 *21816:Y 0.000210103 +3 *21820:B1 *24675:CLK 0.000171507 +4 *20030:C1 *21820:B1 5.33266e-05 +5 *1419:86 *21820:B1 4.82966e-05 +6 *1497:78 *21820:B1 0.000520764 +7 *2563:5 *21820:B1 0.000756967 +*RES +1 *21816:Y *21820:B1 38.6486 +*END + +*D_NET *2833 0.0460402 +*CONN +*I *22770:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22468:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *6099:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21819:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21817:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22770:B1 0.00131985 +2 *22468:A1 0.00012078 +3 *6099:DIODE 0 +4 *21819:A1 0.000143557 +5 *21817:Y 0.000334477 +6 *2833:73 0.00415243 +7 *2833:57 0.00524453 +8 *2833:29 0.00012078 +9 *2833:27 0.00260768 +10 *2833:21 0.00170127 +11 *2833:8 0.00255062 +12 *2833:7 0.00123599 +13 *21819:A1 *21819:B1 6.08467e-05 +14 *21819:A1 *2835:7 0.00011818 +15 *21819:A1 *4863:7 5.04829e-06 +16 *22468:A1 *22468:A2 4.81015e-05 +17 *22468:A1 *22468:B1 1.67404e-05 +18 *22468:A1 *2915:8 0.000415359 +19 *22468:A1 *2974:34 2.57847e-05 +20 *22770:B1 *22457:A2 0.000251818 +21 *22770:B1 *22770:B2 0.000167543 +22 *22770:B1 *2852:27 1.61355e-05 +23 *22770:B1 *2852:49 0.000206309 +24 *22770:B1 *3134:84 0.000161234 +25 *22770:B1 *3388:14 0.000230201 +26 *22770:B1 *5270:10 0.000109869 +27 *2833:8 *21819:B1 4.86688e-06 +28 *2833:8 *2992:12 0 +29 *2833:8 *5188:24 0.000226229 +30 *2833:21 *21819:B1 9.17333e-06 +31 *2833:21 *22424:C1 2.27135e-05 +32 *2833:21 *22511:B2 4.6012e-05 +33 *2833:21 *22512:C1 0.000124195 +34 *2833:21 *2834:17 1.10535e-05 +35 *2833:21 *2895:11 0.00118277 +36 *2833:21 *2943:25 3.83274e-05 +37 *2833:21 *3208:65 0.000466069 +38 *2833:27 *22468:C1 6.3504e-06 +39 *2833:27 *2834:17 0 +40 *2833:27 *2864:82 5.46875e-05 +41 *2833:27 *2864:93 2.57465e-06 +42 *2833:27 *2974:34 2.19276e-05 +43 *2833:57 *22435:C1 7.7437e-05 +44 *2833:57 *22476:B2 3.7388e-05 +45 *2833:57 *2943:52 0 +46 *2833:57 *2974:34 2.95757e-05 +47 *2833:57 *2979:29 0.000325666 +48 *2833:57 *3157:54 0.000553737 +49 *2833:57 *3179:44 1.3449e-05 +50 *2833:57 *3179:74 0.000159515 +51 *2833:57 *3179:103 0.00012572 +52 *2833:57 *3373:48 0.000113124 +53 *2833:57 *5475:83 0.00186602 +54 *2833:73 *21825:A1 0.0018636 +55 *2833:73 *2860:20 0.000683549 +56 *2833:73 *2922:54 0.000214158 +57 *2833:73 *4985:24 3.77889e-05 +58 *2833:73 *5854:122 0.000497034 +59 *20003:A1 *2833:8 0.00114815 +60 *20415:B *2833:73 6.21488e-06 +61 *20533:A2 *22770:B1 0.000140882 +62 *20597:A *2833:7 0.000466345 +63 *20597:B *2833:7 2.57365e-05 +64 *21819:B2 *21819:A1 3.42318e-05 +65 *21992:A2 *2833:57 9.49244e-05 +66 *22410:A1 *2833:57 0.000387474 +67 *22511:B1 *2833:21 0.000113732 +68 *22808:A1 *2833:73 0.00156846 +69 *24552:D *22770:B1 0.000154145 +70 *1467:22 *2833:8 2.04457e-05 +71 *1497:36 *2833:8 0.000138235 +72 *1537:36 *2833:57 0.000547469 +73 *1546:32 *22770:B1 0.000419951 +74 *1560:24 *2833:73 7.2465e-05 +75 *1569:71 *2833:73 0.000177247 +76 *1689:79 *2833:73 0.000698743 +77 *1724:61 *2833:57 1.91246e-05 +78 *1725:69 *2833:57 4.85654e-05 +79 *1730:75 *22468:A1 4.31722e-05 +80 *1735:10 *2833:8 0.00059131 +81 *1735:45 *2833:8 0.00128723 +82 *1735:45 *2833:21 0.00135831 +83 *1744:171 *2833:73 0.000349346 +84 *1757:87 *2833:21 9.90599e-06 +85 *1757:87 *2833:27 0.000185684 +86 *1757:87 *2833:57 8.70694e-05 +87 *1762:10 *2833:21 4.4402e-05 +88 *1764:13 *21819:A1 0.000340198 +89 *1768:14 *2833:21 0.000100523 +90 *1792:37 *2833:8 7.59047e-05 +91 *1795:29 *2833:57 2.44203e-05 +92 *1803:16 *2833:57 0.000166468 +93 *1973:27 *2833:73 2.31885e-05 +94 *2407:22 *2833:57 4.98185e-05 +95 *2504:20 *2833:57 0.000130282 +96 *2515:18 *2833:21 1.88878e-05 +97 *2518:8 *2833:21 0.00135355 +98 *2521:27 *2833:57 0.000219702 +99 *2580:16 *2833:8 4.46284e-06 +100 *2592:34 *2833:73 0.000854452 +101 *2603:96 *22770:B1 1.73551e-05 +102 *2608:31 *22770:B1 0.000200794 +103 *2608:32 *2833:73 4.08724e-05 +104 *2618:18 *2833:57 0.0013191 +105 *2620:76 *2833:73 0.000316218 +106 *2713:27 *2833:73 0.000113478 +107 *2828:13 *2833:73 0.000251669 +*RES +1 *21817:Y *2833:7 19.464 +2 *2833:7 *2833:8 35.8827 +3 *2833:8 *21819:A1 19.1023 +4 *2833:8 *2833:21 53.5309 +5 *2833:21 *2833:27 5.16623 +6 *2833:27 *2833:29 4.5 +7 *2833:29 *6099:DIODE 9.24915 +8 *2833:29 *22468:A1 14.4335 +9 *2833:27 *2833:57 46.2908 +10 *2833:57 *2833:73 48.8931 +11 *2833:73 *22770:B1 43.1795 +*END + +*D_NET *2834 0.00904448 +*CONN +*I *22468:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21819:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21818:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22468:B1 2.63045e-05 +2 *21819:B1 7.34353e-05 +3 *21818:Y 0.000239651 +4 *2834:17 0.00199566 +5 *2834:7 0.00228244 +6 *22468:B1 *2974:34 2.92925e-05 +7 *2834:17 *22445:B1 3.05193e-05 +8 *2834:17 *22468:B2 8.08883e-05 +9 *2834:17 *22468:C1 0.000129292 +10 *2834:17 *22511:B2 1.32841e-05 +11 *2834:17 *2999:26 2.05972e-05 +12 *2834:17 *3208:65 0.000186893 +13 *2834:17 *5860:100 0.000232561 +14 *2834:17 *5917:577 7.15305e-05 +15 *2834:17 *5917:582 0.00028253 +16 *21819:A1 *21819:B1 6.08467e-05 +17 *21819:B2 *21819:B1 3.57159e-05 +18 *22468:A1 *22468:B1 1.67404e-05 +19 *22476:A1 *2834:17 0 +20 *22511:B1 *2834:17 0.000438905 +21 *1435:42 *2834:17 5.05252e-05 +22 *1683:32 *2834:17 6.61215e-06 +23 *1683:38 *2834:17 0.000405692 +24 *1730:75 *22468:B1 7.61196e-06 +25 *1757:48 *2834:17 0.000230586 +26 *1757:81 *2834:17 0.000636961 +27 *1762:10 *2834:17 0.000575691 +28 *1764:13 *2834:7 0.000357884 +29 *1768:14 *2834:17 3.40314e-05 +30 *1792:37 *21819:B1 6.88784e-05 +31 *1792:37 *2834:17 8.62625e-06 +32 *1808:23 *2834:17 0.000120908 +33 *2515:18 *2834:17 5.88009e-05 +34 *2518:8 *2834:17 0.000209485 +35 *2833:8 *21819:B1 4.86688e-06 +36 *2833:21 *21819:B1 9.17333e-06 +37 *2833:21 *2834:17 1.10535e-05 +38 *2833:27 *2834:17 0 +*RES +1 *21818:Y *2834:7 17.8002 +2 *2834:7 *21819:B1 15.8893 +3 *2834:7 *2834:17 55.8148 +4 *2834:17 *22468:B1 14.6568 +*END + +*D_NET *2835 0.0191199 +*CONN +*I *21820:C1 I *D sky130_fd_sc_hd__o221a_4 +*I *21819:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21820:C1 0.00108388 +2 *21819:X 9.80802e-05 +3 *2835:14 0.00249487 +4 *2835:13 0.0014548 +5 *2835:8 0.0015899 +6 *2835:7 0.00164417 +7 *21820:C1 *21082:B1 0.000152456 +8 *21820:C1 *2836:5 7.02172e-06 +9 *21820:C1 *2928:11 0.000304777 +10 *21820:C1 *5870:173 0.000670127 +11 *2835:8 *21933:B1 2.71397e-05 +12 *2835:8 *2943:25 0.000216304 +13 *2835:8 *2946:8 2.77419e-05 +14 *2835:8 *2971:41 0.000561217 +15 *2835:8 *5854:322 0 +16 *2835:8 *5860:104 0.00104629 +17 *2835:13 *21933:C1 0.000313495 +18 *2835:13 *2942:15 0.000317693 +19 *2835:14 *20457:A1 0.000185987 +20 *2835:14 *21933:B1 7.09666e-06 +21 *2835:14 *2992:10 0.00113962 +22 *2835:14 *2992:12 0.000437585 +23 *20453:B *2835:14 1.66626e-05 +24 *20454:A *2835:14 0.000283911 +25 *20598:A *2835:8 5.09288e-05 +26 *21082:B2 *21820:C1 4.81015e-05 +27 *21819:A1 *2835:7 0.00011818 +28 *21819:B2 *2835:7 0.000112149 +29 *24220:D *21820:C1 6.92705e-05 +30 *1424:8 *2835:8 0.000328704 +31 *1424:15 *2835:8 0.000810587 +32 *1424:20 *2835:8 0.000221807 +33 *1497:36 *2835:14 0.000387049 +34 *1497:38 *2835:14 0.00136072 +35 *1587:30 *2835:8 7.56294e-05 +36 *1735:10 *2835:8 2.59499e-05 +37 *1756:105 *2835:8 0.000246362 +38 *1764:13 *2835:7 6.63489e-05 +39 *1764:128 *2835:14 1.5714e-05 +40 *1947:231 *2835:8 0 +41 *1947:242 *2835:8 0 +42 *2561:91 *21820:C1 0.00110156 +*RES +1 *21819:X *2835:7 17.2456 +2 *2835:7 *2835:8 50.0013 +3 *2835:8 *2835:13 12.4964 +4 *2835:13 *2835:14 49.1707 +5 *2835:14 *21820:C1 45.4098 +*END + +*D_NET *2836 0.0965518 +*CONN +*I *21833:B I *D sky130_fd_sc_hd__and4_1 +*I *6194:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21820:X O *D sky130_fd_sc_hd__o221a_4 +*CAP +1 *21833:B 8.93794e-05 +2 *6194:DIODE 4.97991e-05 +3 *21820:X 0 +4 *2836:20 0.00977892 +5 *2836:8 0.0161346 +6 *2836:7 0.00649487 +7 *2836:5 0.00487193 +8 *2836:4 0.00487193 +9 *6194:DIODE *2842:13 2.16355e-05 +10 *21833:B *21858:D 7.39749e-05 +11 *21833:B *2842:13 0.000250655 +12 *21833:B *3476:116 0.000247443 +13 *2836:5 *21082:A1 0.000865882 +14 *2836:5 *21082:B1 0.00152781 +15 *2836:5 *21787:A 1.65872e-05 +16 *2836:5 *4863:31 9.31907e-05 +17 *2836:5 *5870:144 2.85274e-05 +18 *2836:5 *5870:173 0.000128646 +19 *2836:8 *19627:B1 0 +20 *2836:8 *19723:B1 5.65463e-05 +21 *2836:8 *20047:A 4.53418e-05 +22 *2836:8 *20381:A1 2.15801e-05 +23 *2836:8 *20452:A1 9.08421e-05 +24 *2836:8 *20640:A1 0.000101148 +25 *2836:8 *20641:A1 3.67536e-05 +26 *2836:8 *24660:SET_B 0.000286448 +27 *2836:8 *24759:RESET_B 5.7867e-05 +28 *2836:8 *2878:32 0.000548922 +29 *2836:8 *3411:29 0.000149101 +30 *2836:8 *4844:441 0 +31 *2836:8 *5481:16 1.14055e-05 +32 *2836:8 *5744:8 0 +33 *2836:8 *5854:185 9.55491e-05 +34 *2836:8 *5858:141 0.000672413 +35 *2836:8 *5860:62 0.000470105 +36 *2836:8 *5870:40 1.36556e-05 +37 *2836:8 *5917:356 0.000277263 +38 *2836:8 *5917:431 7.46648e-06 +39 *2836:8 *5917:438 1.1573e-05 +40 *2836:20 *21838:B1 1.24546e-05 +41 *2836:20 *21858:D 1.5714e-05 +42 *2836:20 *23108:A 0.000360938 +43 *2836:20 *23387:A 4.03384e-05 +44 *2836:20 *23530:B 0.000118828 +45 *2836:20 *3060:43 0.00172518 +46 *2836:20 *3406:29 0.00106816 +47 *2836:20 *4001:126 9.77482e-06 +48 *2836:20 *4137:28 0.00385173 +49 *2836:20 *4352:21 0.000333868 +50 *2836:20 *4515:21 0.000126641 +51 *2836:20 *4528:17 0.000782048 +52 *2836:20 *4627:23 0.000133008 +53 *2836:20 *4676:27 0.00104032 +54 *2836:20 *5869:386 0.000523219 +55 *2836:20 *5919:40 0.0114132 +56 *19624:A2 *2836:8 7.10251e-06 +57 *19627:B2 *2836:8 0 +58 *19631:A *2836:8 0.000239483 +59 *19641:A *2836:5 0.000158371 +60 *20368:B *2836:8 9.03922e-05 +61 *20373:A2 *2836:8 0 +62 *21345:B2 *2836:8 0.000303101 +63 *21505:B1 *2836:5 1.53573e-05 +64 *21788:A2 *2836:8 0.000127076 +65 *21820:B2 *2836:5 0.000266832 +66 *21820:C1 *2836:5 7.02172e-06 +67 *24633:D *2836:8 4.70886e-05 +68 *24634:D *2836:8 5.92342e-05 +69 *24759:D *2836:8 7.5865e-06 +70 *24893:D *2836:8 0.000108054 +71 *468:8 *2836:8 0.000324447 +72 *476:18 *2836:8 9.6577e-05 +73 *1419:107 *2836:5 0.000501057 +74 *1439:271 *2836:8 0.00184058 +75 *1439:333 *2836:8 0 +76 *1442:55 *2836:8 0.000419415 +77 *1442:63 *2836:8 0.00137563 +78 *1442:73 *2836:8 6.24356e-05 +79 *1457:31 *2836:8 0.000128006 +80 *1457:33 *2836:8 3.02524e-05 +81 *1471:213 *2836:8 2.27901e-06 +82 *1506:143 *2836:8 0.00255916 +83 *1508:9 *2836:8 0 +84 *1597:30 *2836:8 0.000424505 +85 *1715:48 *2836:8 0 +86 *1730:87 *2836:8 9.20823e-05 +87 *1730:113 *2836:20 2.8576e-05 +88 *1730:127 *2836:20 8.41266e-06 +89 *1744:197 *2836:20 0.000715538 +90 *1757:106 *2836:20 2.50646e-05 +91 *1757:120 *2836:20 0.00412473 +92 *1764:116 *2836:8 0 +93 *1783:89 *2836:8 0.000242755 +94 *1786:21 *2836:5 8.05819e-05 +95 *1804:96 *2836:8 0 +96 *1808:26 *2836:8 0 +97 *2021:11 *2836:8 0.000127194 +98 *2274:28 *2836:8 0.000131432 +99 *2274:30 *2836:8 0.000999877 +100 *2274:38 *2836:8 0 +101 *2502:42 *2836:20 0.000183088 +102 *2561:91 *2836:5 0.000514713 +103 *2612:62 *2836:20 0.00381473 +104 *2627:22 *2836:8 0 +105 *2660:80 *2836:20 0.0045007 +106 *2697:32 *21833:B 3.19905e-05 +107 *2697:32 *2836:20 7.13655e-06 +108 *2784:42 *2836:20 0.00149147 +109 *2831:39 *2836:5 0.000175485 +*RES +1 *21820:X *2836:4 9.24915 +2 *2836:4 *2836:5 78.9225 +3 *2836:5 *2836:7 4.5 +4 *2836:7 *2836:8 205.928 +5 *2836:8 *2836:20 45.3037 +6 *2836:20 *6194:DIODE 14.4725 +7 *2836:20 *21833:B 18.1077 +*END + +*D_NET *2837 0.0519863 +*CONN +*I *22275:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22627:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21826:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21821:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22275:B1 0.000284145 +2 *22627:B1 0.000362445 +3 *21826:A1 0.000116412 +4 *21821:Y 0.000109415 +5 *2837:49 0.00461067 +6 *2837:28 0.0060059 +7 *2837:24 0.00247069 +8 *2837:17 0.00212307 +9 *2837:8 0.00232049 +10 *2837:7 0.00134419 +11 *21826:A1 *3153:266 0.000198509 +12 *21826:A1 *3521:64 0.000198509 +13 *22275:B1 *21974:B1 0.000524694 +14 *22275:B1 *3164:153 1.91391e-05 +15 *22627:B1 *22231:A2 8.17829e-06 +16 *22627:B1 *22248:A 1.84334e-05 +17 *22627:B1 *22566:B2 4.46663e-05 +18 *22627:B1 *22597:D 1.5714e-05 +19 *22627:B1 *22627:A2 0.000301718 +20 *22627:B1 *22627:C1 0.000207883 +21 *22627:B1 *3192:181 9.33847e-05 +22 *22627:B1 *3537:164 7.09666e-06 +23 *2837:7 *20786:B1 9.55447e-05 +24 *2837:7 *4839:69 6.50727e-05 +25 *2837:8 *2987:10 0.000114711 +26 *2837:8 *5257:10 0.000139441 +27 *2837:8 *5266:8 3.92275e-05 +28 *2837:17 *20390:A1 1.65872e-05 +29 *2837:17 *20390:B1 3.73375e-05 +30 *2837:17 *21463:A 3.31745e-05 +31 *2837:17 *21829:A 0.000120962 +32 *2837:17 *2845:7 0.000346481 +33 *2837:17 *5853:35 0.00016649 +34 *2837:17 *5853:61 0.000201248 +35 *2837:24 *2918:16 0.000313309 +36 *2837:24 *2978:20 0.000419056 +37 *2837:24 *4942:22 5.87272e-05 +38 *2837:28 *2978:20 0.00022917 +39 *2837:49 *22450:C1 6.31996e-05 +40 *2837:49 *22740:A2 6.11074e-05 +41 *2837:49 *22757:A1 0.00021586 +42 *2837:49 *2878:59 0.000212671 +43 *2837:49 *2889:22 8.59897e-05 +44 *2837:49 *2889:29 0.00010825 +45 *2837:49 *3106:81 7.8938e-05 +46 *2837:49 *3114:160 3.52699e-05 +47 *2837:49 *3155:125 4.82779e-06 +48 *2837:49 *3164:112 0.00105825 +49 *2837:49 *3175:110 6.7678e-05 +50 *2837:49 *3192:181 0.000119397 +51 *2837:49 *3373:15 0.00177208 +52 *2837:49 *3543:188 0.000116967 +53 *2837:49 *3543:192 0.000107279 +54 *2837:49 *5919:40 0.00105636 +55 *20390:A2 *2837:17 0.000400335 +56 *20390:B2 *2837:17 0.000108197 +57 *20434:B *2837:24 0.000404295 +58 *20434:B *2837:28 0.00139905 +59 *20435:A *2837:17 6.08467e-05 +60 *20435:A *2837:24 0.00029726 +61 *22462:A1 *2837:49 0.00177458 +62 *22472:B1 *2837:49 0.00220498 +63 *24649:D *2837:17 4.7168e-05 +64 *1418:195 *2837:17 0.000154145 +65 *1546:14 *2837:49 0.00221685 +66 *1550:32 *2837:24 0.000116522 +67 *1566:55 *2837:49 0.000207143 +68 *1607:29 *2837:24 4.44523e-05 +69 *1609:22 *2837:24 0.00074913 +70 *1646:35 *2837:49 0.000209056 +71 *1658:86 *22275:B1 1.91391e-05 +72 *1716:16 *2837:28 0.000273164 +73 *1716:24 *2837:28 0.000263843 +74 *1720:11 *2837:17 0.000970875 +75 *1724:76 *2837:49 0.00108675 +76 *1725:181 *2837:28 0.00115131 +77 *1770:31 *2837:28 2.55157e-05 +78 *1776:79 *2837:49 0.000156065 +79 *1784:71 *22627:B1 0.000323733 +80 *1784:71 *2837:49 0.00207371 +81 *1958:8 *2837:17 2.41274e-06 +82 *2298:17 *22275:B1 0.000155364 +83 *2452:14 *2837:24 3.13455e-05 +84 *2520:21 *2837:17 0.000260374 +85 *2564:8 *2837:8 0.00308681 +86 *2564:33 *2837:28 0.000340933 +87 *2602:12 *2837:8 0.000507955 +88 *2737:29 *2837:24 0.000697087 +89 *2764:42 *2837:8 0.000576244 +90 *2797:50 *2837:24 8.23545e-05 +91 *2797:65 *2837:28 3.08603e-06 +92 *2807:26 *2837:49 0.00022648 +93 *2807:39 *2837:49 0.000331739 +*RES +1 *21821:Y *2837:7 15.5817 +2 *2837:7 *2837:8 54.9843 +3 *2837:8 *2837:17 42.4932 +4 *2837:17 *2837:24 28.8136 +5 *2837:24 *2837:28 5.68504 +6 *2837:28 *2837:49 48.9852 +7 *2837:49 *21826:A1 21.2338 +8 *2837:28 *22627:B1 22.9493 +9 *2837:24 *22275:B1 18.6497 +*END + +*D_NET *2838 0.0843718 +*CONN +*I *22451:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21826:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6195:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22771:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21822:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22451:B1 0.000268277 +2 *21826:B1 9.81193e-05 +3 *6195:DIODE 0 +4 *22771:B1 0 +5 *21822:Y 0.000450519 +6 *2838:101 0.000539601 +7 *2838:89 0.000987359 +8 *2838:79 0.000799797 +9 *2838:76 0.00181909 +10 *2838:67 0.0032174 +11 *2838:64 0.00393289 +12 *2838:57 0.00344109 +13 *2838:45 0.0025303 +14 *2838:34 0.00477915 +15 *2838:33 0.00414757 +16 *2838:29 0.00252971 +17 *2838:21 0.00306299 +18 *2838:20 0.00119364 +19 *2838:17 0.000930322 +20 *2838:13 0.00119049 +21 *21826:B1 *21826:C1 9.32983e-05 +22 *22451:B1 *22449:A1 6.08467e-05 +23 *22451:B1 *22449:A2 2.57847e-05 +24 *22451:B1 *22449:B2 7.218e-05 +25 *22451:B1 *22451:B2 2.42087e-05 +26 *22451:B1 *22451:C1 2.09033e-05 +27 *22451:B1 *22459:B1 1.03403e-05 +28 *22451:B1 *3168:97 7.08059e-05 +29 *2838:13 *21562:A 9.95922e-06 +30 *2838:13 *3014:39 0.00041102 +31 *2838:13 *4878:35 4.47134e-05 +32 *2838:13 *4925:35 0.000623435 +33 *2838:13 *5860:141 4.00504e-05 +34 *2838:17 *20220:A1 0.000323964 +35 *2838:17 *20220:B1 4.58907e-05 +36 *2838:17 *21562:A 1.65872e-05 +37 *2838:17 *24843:RESET_B 4.82072e-05 +38 *2838:17 *4878:35 0.00364775 +39 *2838:17 *4878:39 8.90486e-05 +40 *2838:17 *5671:19 0.00033233 +41 *2838:17 *5917:314 0.000316891 +42 *2838:20 *2922:13 0.000128174 +43 *2838:21 *5811:22 0.000676066 +44 *2838:21 *5811:38 0.00106494 +45 *2838:21 *5812:50 1.68848e-05 +46 *2838:21 *5852:41 0.000149018 +47 *2838:29 *24029:S 0.0001579 +48 *2838:29 *5482:42 0.000217668 +49 *2838:29 *5482:63 3.34025e-05 +50 *2838:33 *21387:A 9.19632e-06 +51 *2838:34 *4524:27 0.000153517 +52 *2838:34 *4524:28 0.00522467 +53 *2838:34 *5928:29 0.00114727 +54 *2838:34 *5931:22 0.0148217 +55 *2838:45 *22985:A 0 +56 *2838:45 *23776:B 3.81137e-05 +57 *2838:45 *24138:CLK 0.000857879 +58 *2838:45 *3868:180 0.000295851 +59 *2838:45 *5454:56 0.000266422 +60 *2838:45 *5456:106 0 +61 *2838:45 *5593:20 0.000202633 +62 *2838:57 *23636:A1 0.000168456 +63 *2838:57 *23648:A 0.000115676 +64 *2838:57 *23648:C 6.3657e-05 +65 *2838:57 *23648:D 1.34424e-05 +66 *2838:57 *23652:A 0.000154145 +67 *2838:57 *23848:A 0.000121198 +68 *2838:57 *23848:C 0.000640606 +69 *2838:57 *4540:46 0.000349354 +70 *2838:57 *4592:23 0.000200794 +71 *2838:64 *23636:A1 3.45588e-05 +72 *2838:64 *23650:A3 2.16355e-05 +73 *2838:64 *23650:B1 0.000107496 +74 *2838:64 *23662:A 1.6293e-05 +75 *2838:64 *23845:A 6.74651e-05 +76 *2838:64 *4297:9 6.85742e-05 +77 *2838:64 *4538:61 1.10671e-05 +78 *2838:64 *4555:8 5.92124e-06 +79 *2838:64 *4556:16 6.37384e-05 +80 *2838:64 *4567:7 6.08467e-05 +81 *2838:64 *4650:6 2.652e-05 +82 *2838:64 *4745:43 3.82228e-05 +83 *2838:67 *23388:A 9.18559e-06 +84 *2838:67 *24421:CLK 5.481e-05 +85 *2838:67 *3368:27 5.91168e-05 +86 *2838:67 *4933:105 0.000214202 +87 *2838:76 *20534:A1 7.92757e-06 +88 *2838:76 *20534:B1 9.99486e-05 +89 *2838:76 *21594:A 0.000171593 +90 *2838:76 *24556:SET_B 4.91225e-06 +91 *2838:76 *2840:13 0.000111686 +92 *2838:76 *2840:24 5.48183e-05 +93 *2838:76 *3368:14 5.6056e-05 +94 *2838:76 *4933:105 0.000733307 +95 *2838:76 *5113:10 4.03231e-05 +96 *2838:79 *22450:C1 0 +97 *2838:79 *22771:A2 5.51483e-06 +98 *2838:79 *22771:B2 6.63489e-05 +99 *2838:79 *2856:9 0.00140362 +100 *2838:79 *3368:14 0.00106201 +101 *2838:89 *22771:A2 5.07331e-05 +102 *2838:89 *22771:B2 6.08467e-05 +103 *2838:89 *3164:112 2.30446e-05 +104 *2838:89 *3168:97 3.66651e-05 +105 *2838:101 *21826:C1 6.12989e-05 +106 *2838:101 *2841:7 6.13004e-05 +107 *2838:101 *3155:116 0.000101489 +108 *2838:101 *3157:126 1.28732e-05 +109 *2838:101 *3166:97 0.000267179 +110 *2838:101 *3539:94 9.80784e-05 +111 *20065:A *2838:21 6.08467e-05 +112 *20113:A0 *2838:29 1.15273e-05 +113 *20113:S *2838:29 5.0715e-05 +114 *20114:A *2838:29 4.43826e-05 +115 *20220:B2 *2838:17 0.000715346 +116 *20533:A2 *2838:76 3.82228e-05 +117 *21139:B *2838:21 0.000307037 +118 *21139:C *2838:21 0.000404533 +119 *21140:A *2838:21 0.000260388 +120 *21826:B2 *21826:B1 9.95922e-06 +121 *21839:B2 *21826:B1 1.58551e-05 +122 *22459:A1 *2838:101 7.63284e-05 +123 *24137:D *2838:45 5.68237e-06 +124 *24551:D *2838:76 0.000578294 +125 *24816:D *2838:33 0.000111722 +126 *25181:A *2838:45 5.04829e-06 +127 *561:14 *2838:29 3.83564e-05 +128 *657:16 *2838:21 0.000720945 +129 *657:22 *2838:21 0.000182091 +130 *1439:260 *2838:13 9.4711e-05 +131 *1485:170 *2838:67 5.481e-05 +132 *1625:36 *2838:101 5.04829e-06 +133 *1740:139 *21826:B1 7.13972e-05 +134 *1740:139 *2838:101 1.745e-05 +135 *1744:100 *2838:20 0.000327895 +136 *1755:67 *2838:45 5.78336e-05 +137 *1755:67 *2838:57 0.000278257 +138 *1757:120 *2838:101 0.000254306 +139 *1815:60 *2838:29 0.00014759 +140 *1816:23 *2838:29 0.00035952 +141 *1829:50 *2838:34 0.000919667 +142 *1988:15 *2838:76 5.481e-05 +143 *2426:38 *2838:29 0.00056387 +144 *2505:37 *2838:21 0.00180561 +145 *2603:100 *2838:76 0.000152173 +146 *2608:26 *2838:76 3.73642e-05 +147 *2619:5 *2838:13 5.04829e-06 +148 *2619:5 *2838:17 0.000106406 +149 *2625:22 *2838:21 4.55972e-05 +150 *2632:18 *2838:13 0.00018806 +151 *2808:20 *2838:13 0 +152 *2810:61 *2838:101 0.000200794 +*RES +1 *21822:Y *2838:13 34.5838 +2 *2838:13 *2838:17 47.3733 +3 *2838:17 *2838:20 10.8998 +4 *2838:20 *2838:21 46.2009 +5 *2838:21 *2838:29 45.5413 +6 *2838:29 *2838:33 14.0971 +7 *2838:33 *2838:34 236.865 +8 *2838:34 *2838:45 41.5983 +9 *2838:45 *2838:57 35.5187 +10 *2838:57 *2838:64 37.2065 +11 *2838:64 *2838:67 26.8139 +12 *2838:67 *2838:76 41.6646 +13 *2838:76 *2838:79 19.604 +14 *2838:79 *22771:B1 9.24915 +15 *2838:79 *2838:89 5.61838 +16 *2838:89 *2838:101 30.7275 +17 *2838:101 *6195:DIODE 9.24915 +18 *2838:101 *21826:B1 12.2151 +19 *2838:89 *22451:B1 15.9767 +*END + +*D_NET *2839 0.0883112 +*CONN +*I *21825:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21823:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21825:A1 0.00500511 +2 *21823:Y 0.00152799 +3 *2839:27 0.0181794 +4 *2839:18 0.0139022 +5 *2839:14 0.00172933 +6 *2839:7 0.00252935 +7 *21825:A1 *22428:B1 0.00160107 +8 *21825:A1 *22455:C1 5.60804e-05 +9 *21825:A1 *2844:67 0.00101797 +10 *21825:A1 *2860:20 0.00020356 +11 *21825:A1 *3004:15 4.15947e-05 +12 *21825:A1 *3066:26 0.000871072 +13 *21825:A1 *3157:126 4.42742e-06 +14 *21825:A1 *3166:97 9.28861e-05 +15 *21825:A1 *3388:13 4.22944e-06 +16 *21825:A1 *3527:84 0.000803679 +17 *21825:A1 *5854:122 0.00103254 +18 *2839:7 *19689:B1 0.00161304 +19 *2839:7 *20243:B1 0.00100483 +20 *2839:14 *25293:A 0.000807121 +21 *2839:14 *5767:27 0 +22 *2839:14 *5871:48 0.000278937 +23 *2839:27 *19723:B1 0.00123462 +24 *2839:27 *23159:A1 0.00035344 +25 *2839:27 *2965:13 2.37383e-05 +26 *2839:27 *3014:56 0.00014873 +27 *2839:27 *3373:24 0.00120599 +28 *2839:27 *4802:18 6.73971e-05 +29 *2839:27 *5682:22 0 +30 *2839:27 *5785:39 0.0130217 +31 *19684:A2 *2839:14 6.4909e-05 +32 *19684:A2 *2839:18 6.61114e-05 +33 *19817:B *21825:A1 0.000607134 +34 *21506:A2 *2839:14 7.83537e-05 +35 *21506:A2 *2839:18 8.35615e-06 +36 *21506:C1 *2839:18 0.000116971 +37 *21548:A1 *21825:A1 0.0005874 +38 *21548:B1 *21825:A1 0.000208742 +39 *21808:A *21825:A1 0.000134667 +40 *21920:B2 *21825:A1 0.000211386 +41 *22808:A1 *21825:A1 0.000120727 +42 *24867:D *2839:14 2.5386e-05 +43 *1427:83 *2839:27 0.00015646 +44 *1459:70 *2839:27 0 +45 *1488:16 *2839:18 0.000665366 +46 *1492:79 *2839:14 0.000550099 +47 *1492:88 *2839:14 0.000198424 +48 *1515:60 *2839:27 0 +49 *1569:71 *21825:A1 0.00124325 +50 *1625:36 *21825:A1 7.22263e-05 +51 *1668:69 *2839:27 0.00470668 +52 *1669:34 *2839:18 0.000111247 +53 *1689:88 *21825:A1 1.16089e-05 +54 *1689:168 *21825:A1 1.34851e-05 +55 *1730:97 *2839:27 0 +56 *1730:113 *21825:A1 6.76033e-05 +57 *1740:48 *2839:18 0.00136501 +58 *1753:16 *21825:A1 0.000150778 +59 *1753:16 *2839:27 9.84137e-05 +60 *1762:63 *21825:A1 0.000274421 +61 *1772:22 *21825:A1 4.43443e-05 +62 *1795:29 *21825:A1 0 +63 *1795:29 *2839:27 0 +64 *2295:13 *2839:27 0.00108118 +65 *2466:11 *2839:14 7.26606e-05 +66 *2603:59 *2839:27 0.00154033 +67 *2603:69 *2839:27 0 +68 *2624:54 *21825:A1 5.45531e-05 +69 *2704:53 *2839:27 0 +70 *2740:8 *2839:18 0.000153786 +71 *2753:19 *2839:27 0.00222666 +72 *2812:11 *2839:27 0.00099678 +73 *2833:73 *21825:A1 0.0018636 +*RES +1 *21823:Y *2839:7 41.6481 +2 *2839:7 *2839:14 32.0599 +3 *2839:14 *2839:18 35.0989 +4 *2839:18 *2839:27 49.9536 +5 *2839:27 *21825:A1 35.8941 +*END + +*D_NET *2840 0.0120783 +*CONN +*I *22457:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21825:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21824:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22457:A1 5.05641e-05 +2 *21825:B1 0.000351551 +3 *21824:Y 0.00113156 +4 *2840:24 0.002012 +5 *2840:13 0.00274144 +6 *21825:B1 *2852:49 0.000154591 +7 *21825:B1 *3452:6 5.1493e-06 +8 *21825:B1 *4850:68 0.000312739 +9 *22457:A1 *2852:49 0.000107496 +10 *2840:13 *20889:B1 0.000186597 +11 *2840:13 *24555:CLK 5.25994e-05 +12 *2840:13 *24556:SET_B 0.000111708 +13 *2840:13 *2933:10 0.000326999 +14 *2840:13 *4933:87 0.000145381 +15 *2840:13 *4933:89 4.49912e-05 +16 *2840:13 *4933:91 0.000112163 +17 *2840:13 *5854:45 0.000125971 +18 *2840:24 *20534:B1 0.000190668 +19 *2840:24 *21594:A 6.36477e-05 +20 *2840:24 *3106:81 6.29967e-05 +21 *2840:24 *3106:100 0.000136719 +22 *2840:24 *3411:57 0.000122573 +23 *2840:24 *3452:6 5.39635e-06 +24 *2840:24 *5919:40 0.0015928 +25 *20533:B2 *2840:24 0.000109045 +26 *1560:24 *2840:24 0.000104368 +27 *1625:23 *21825:B1 0.000387391 +28 *1732:50 *2840:13 0.000510732 +29 *1744:179 *21825:B1 0.000359569 +30 *1776:60 *21825:B1 0.000175352 +31 *1776:60 *2840:24 9.58043e-06 +32 *2649:21 *2840:24 0.000107496 +33 *2838:76 *2840:13 0.000111686 +34 *2838:76 *2840:24 5.48183e-05 +*RES +1 *21824:Y *2840:13 42.2033 +2 *2840:13 *2840:24 25.6739 +3 *2840:24 *21825:B1 26.1452 +4 *2840:24 *22457:A1 15.0271 +*END + +*D_NET *2841 0.001346 +*CONN +*I *21826:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21825:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21826:C1 5.00186e-05 +2 *21825:X 0.000103281 +3 *2841:8 0.000100748 +4 *2841:7 0.00015401 +5 *21825:A2 *2841:7 6.08467e-05 +6 *21826:B1 *21826:C1 9.32983e-05 +7 *21839:B2 *21826:C1 5.481e-05 +8 *1625:36 *2841:7 1.34424e-05 +9 *1740:139 *2841:7 0.000392151 +10 *1744:179 *2841:7 0.000200794 +11 *2838:101 *21826:C1 6.12989e-05 +12 *2838:101 *2841:7 6.13004e-05 +*RES +1 *21825:X *2841:7 23.1039 +2 *2841:7 *2841:8 57.9449 +3 *2841:8 *21826:C1 20.3309 +*END + +*D_NET *2842 0.00383114 +*CONN +*I *21833:C I *D sky130_fd_sc_hd__and4_1 +*I *21826:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21833:C 0 +2 *21826:X 0.000838061 +3 *2842:13 0.000838061 +4 *2842:13 *21851:A1 0.000200794 +5 *2842:13 *21902:C 5.04829e-06 +6 *2842:13 *22762:B2 0.000108721 +7 *2842:13 *2862:21 0.000975133 +8 *2842:13 *3128:142 0.000101503 +9 *2842:13 *3190:58 9.80784e-05 +10 *2842:13 *3476:116 0.000194329 +11 *6194:DIODE *2842:13 2.16355e-05 +12 *21826:A2 *2842:13 2.16355e-05 +13 *21833:B *2842:13 0.000250655 +14 *2828:47 *2842:13 0.000177487 +*RES +1 *21826:X *2842:13 43.5968 +2 *2842:13 *21833:C 9.24915 +*END + +*D_NET *2843 0.032509 +*CONN +*I *22273:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21832:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22626:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21827:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22273:B1 1.47608e-05 +2 *21832:A1 0.00077744 +3 *22626:A1 0 +4 *21827:Y 0.000201202 +5 *2843:51 0.0023618 +6 *2843:31 0.0024877 +7 *2843:20 0.00195354 +8 *2843:16 0.00151918 +9 *2843:12 0.00223718 +10 *2843:11 0.00198416 +11 *21832:A1 *21833:D 1.64789e-05 +12 *21832:A1 *2889:45 1.00846e-05 +13 *21832:A1 *2954:44 0.000573667 +14 *21832:A1 *2954:74 5.85256e-05 +15 *22273:B1 *22274:C1 6.08467e-05 +16 *22273:B1 *3157:166 6.08467e-05 +17 *2843:11 *5104:10 1.07248e-05 +18 *2843:11 *5250:27 3.82228e-05 +19 *2843:11 *5866:259 3.57477e-05 +20 *2843:12 *20670:B1 0.000138836 +21 *2843:12 *21226:A 0.000163297 +22 *2843:12 *2846:14 0 +23 *2843:12 *5156:10 3.73831e-05 +24 *2843:12 *5161:6 0.000100957 +25 *2843:12 *5307:10 0.000163982 +26 *2843:12 *5867:166 0.000260909 +27 *2843:12 *5867:176 0.000344245 +28 *2843:12 *5867:185 0.000284432 +29 *2843:12 *5867:208 9.04083e-05 +30 *2843:12 *5867:223 0.000233687 +31 *2843:16 *20388:A1 0.000101133 +32 *2843:16 *21226:A 1.51325e-05 +33 *2843:16 *3035:40 1.50389e-06 +34 *2843:20 *22586:C1 0.000117171 +35 *2843:31 *22231:B2 1.59022e-05 +36 *2843:31 *22565:B2 0.000115552 +37 *2843:31 *22566:B2 0.000154707 +38 *2843:31 *22627:C1 0.000107496 +39 *2843:31 *2900:143 1.41689e-05 +40 *2843:31 *3190:107 1.23822e-05 +41 *2843:31 *3513:156 8.67924e-06 +42 *2843:31 *3513:165 0.000148565 +43 *2843:51 *22615:C1 6.36477e-05 +44 *2843:51 *22627:C1 1.37669e-05 +45 *2843:51 *2847:8 0.00100571 +46 *2843:51 *2900:143 0.000111802 +47 *2843:51 *2954:44 4.39307e-05 +48 *2843:51 *3122:198 8.09106e-06 +49 *2843:51 *3523:127 0.000285011 +50 *2843:51 *3562:16 2.39846e-05 +51 *19865:A2 *2843:31 8.96618e-06 +52 *19908:A *2843:31 9.63703e-05 +53 *19908:D *2843:20 2.27901e-06 +54 *20040:B2 *2843:31 0.000156394 +55 *20384:A *2843:16 0.00010946 +56 *20670:A2 *2843:12 7.26733e-05 +57 *20672:A2 *2843:12 6.25268e-05 +58 *21629:A2 *2843:51 0.000285011 +59 *21766:A *2843:31 0.000238219 +60 *21782:B1 *2843:51 1.17485e-06 +61 *22217:B1 *2843:20 3.38973e-05 +62 *22586:B1 *2843:20 0.000484551 +63 *22595:A1 *2843:31 1.5714e-05 +64 *22596:B1 *2843:31 0.00010281 +65 *24457:D *2843:12 0 +66 *1439:28 *2843:20 6.51527e-05 +67 *1439:438 *2843:12 2.61331e-05 +68 *1583:113 *21832:A1 1.97089e-05 +69 *1586:33 *21832:A1 0.000333544 +70 *1595:43 *2843:12 0 +71 *1595:101 *2843:51 9.77098e-05 +72 *1596:65 *2843:51 0.000107025 +73 *1602:104 *2843:20 0.000133477 +74 *1602:104 *2843:31 7.40312e-06 +75 *1622:14 *2843:31 7.13677e-05 +76 *1646:35 *2843:20 1.23455e-05 +77 *1646:35 *2843:31 0.000516802 +78 *1646:35 *2843:51 6.23101e-05 +79 *1720:20 *2843:51 8.23545e-05 +80 *1721:162 *2843:20 4.40861e-05 +81 *1721:162 *2843:31 9.10232e-06 +82 *1721:162 *2843:51 2.07321e-05 +83 *1721:164 *2843:16 0.000332761 +84 *1721:164 *2843:20 0.000755322 +85 *1721:184 *2843:16 0.000142073 +86 *1741:21 *2843:12 0 +87 *1770:12 *2843:11 0.000383166 +88 *1770:12 *2843:12 0.00291939 +89 *1787:10 *2843:12 3.45418e-05 +90 *1787:17 *2843:12 0.000373123 +91 *1787:23 *2843:16 3.37308e-05 +92 *1787:23 *2843:20 4.65732e-05 +93 *1788:102 *21832:A1 1.27109e-05 +94 *1788:115 *21832:A1 0.000966713 +95 *1788:139 *2843:20 8.41072e-05 +96 *1788:162 *2843:20 0.000270692 +97 *1789:65 *2843:12 0.000224516 +98 *1789:65 *2843:16 0.000794494 +99 *1799:21 *2843:12 0 +100 *1992:14 *2843:12 2.71397e-05 +101 *2031:53 *2843:12 0.000467884 +102 *2117:143 *2843:51 0.00114374 +103 *2535:40 *2843:51 1.5714e-05 +104 *2697:26 *2843:51 0.00101487 +105 *2737:16 *2843:11 0.000226281 +106 *2764:71 *2843:20 0.000273464 +107 *2780:25 *2843:31 9.81028e-05 +*RES +1 *21827:Y *2843:11 30.8422 +2 *2843:11 *2843:12 72.6325 +3 *2843:12 *2843:16 17.7215 +4 *2843:16 *2843:20 31.2172 +5 *2843:20 *2843:31 29.8551 +6 *2843:31 *22626:A1 9.24915 +7 *2843:31 *2843:51 44.8066 +8 *2843:51 *21832:A1 36.9253 +9 *2843:16 *22273:B1 14.4725 +*END + +*D_NET *2844 0.0505259 +*CONN +*I *22454:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22775:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21832:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21828:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22454:B1 0.000462866 +2 *22775:B1 0.000132887 +3 *21832:B1 0.0022464 +4 *21828:Y 0.000964996 +5 *2844:74 0.0027805 +6 *2844:67 0.00308223 +7 *2844:56 0.00369369 +8 *2844:53 0.00234003 +9 *2844:46 0.0030329 +10 *2844:25 0.00242309 +11 *2844:24 0.00149249 +12 *2844:16 0.00220282 +13 *21832:B1 *22450:C1 0.000187664 +14 *21832:B1 *22775:A2 6.03237e-05 +15 *21832:B1 *2856:15 7.27023e-06 +16 *21832:B1 *3415:22 2.56328e-05 +17 *21832:B1 *3421:32 0.00127032 +18 *21832:B1 *3531:128 0.000118294 +19 *21832:B1 *3541:180 0.000368076 +20 *21832:B1 *3717:14 0.000284705 +21 *21832:B1 *5924:37 0.000119996 +22 *22454:B1 *2913:21 0.00213105 +23 *22454:B1 *3208:72 0.000314447 +24 *22454:B1 *5856:526 0.000636638 +25 *22775:B1 *22775:A2 8.46526e-06 +26 *22775:B1 *22775:C1 1.60245e-05 +27 *22775:B1 *22778:C 0 +28 *22775:B1 *3368:14 5.23737e-05 +29 *2844:16 *21828:A 1.35161e-05 +30 *2844:16 *5851:196 5.72879e-05 +31 *2844:24 *20373:A1 3.07997e-05 +32 *2844:24 *21879:A 2.82066e-05 +33 *2844:24 *24632:RESET_B 0.000325236 +34 *2844:24 *2939:28 3.92275e-05 +35 *2844:24 *4863:157 3.10307e-05 +36 *2844:24 *5851:196 0.000173728 +37 *2844:24 *5860:75 3.0839e-05 +38 *2844:25 *5856:526 0.000157107 +39 *2844:46 *24636:RESET_B 8.89094e-05 +40 *2844:46 *2860:20 4.80499e-06 +41 *2844:46 *2922:54 0.000873557 +42 *2844:46 *3014:79 6.23101e-05 +43 *2844:46 *3208:77 0.000632024 +44 *2844:46 *5475:83 0.000108997 +45 *2844:46 *5476:51 5.60804e-05 +46 *2844:46 *5869:255 0.000110582 +47 *2844:53 *21491:A 8.29612e-05 +48 *2844:53 *24581:CLK 1.87146e-05 +49 *2844:56 *20419:A1 0.000216088 +50 *2844:56 *20487:B1 4.01315e-05 +51 *2844:56 *4852:11 8.49586e-06 +52 *2844:56 *5682:16 4.40531e-05 +53 *2844:56 *5854:122 4.34127e-05 +54 *2844:56 *5869:625 9.34533e-05 +55 *2844:67 *20420:A1 0.000203604 +56 *2844:67 *3388:13 2.63411e-05 +57 *2844:67 *3533:79 0.000282328 +58 *2844:74 *22771:A2 0.000528252 +59 *2844:74 *3106:129 7.8756e-07 +60 *2844:74 *3368:14 1.9101e-05 +61 *2844:74 *3531:128 2.09883e-05 +62 *19575:C *2844:16 0.000121784 +63 *19782:C *2844:16 0.000232907 +64 *19791:B1 *21832:B1 0.000102058 +65 *19836:A *2844:67 1.3308e-05 +66 *19918:C *2844:16 0.000109262 +67 *20011:A2 *2844:16 1.54111e-05 +68 *20483:B *2844:67 0.000104166 +69 *21677:A1 *2844:53 0.000157279 +70 *21772:A1 *2844:67 2.14262e-05 +71 *21772:C1 *2844:67 9.55339e-05 +72 *21825:A1 *2844:67 0.00101797 +73 *22407:A1 *22454:B1 0.000882992 +74 *22459:A1 *22775:B1 2.01828e-05 +75 *22459:A1 *2844:74 2.3247e-05 +76 *22774:A1 *22775:B1 1.16096e-05 +77 *74:36 *2844:46 0.000170425 +78 *470:33 *2844:16 1.14979e-05 +79 *472:32 *2844:16 1.61962e-05 +80 *1420:33 *2844:16 1.27831e-06 +81 *1432:15 *2844:16 0 +82 *1442:74 *2844:56 0.000259402 +83 *1442:146 *2844:16 0.000938087 +84 *1461:16 *2844:16 0 +85 *1461:248 *2844:24 0.000254926 +86 *1461:249 *2844:56 0.000126483 +87 *1467:198 *2844:67 7.04954e-05 +88 *1471:200 *2844:24 6.71498e-05 +89 *1515:91 *2844:53 3.32923e-05 +90 *1537:125 *2844:67 5.99155e-05 +91 *1546:42 *2844:67 0.000343543 +92 *1569:78 *2844:53 0.000752997 +93 *1586:33 *21832:B1 0.000102058 +94 *1594:10 *2844:67 9.4385e-05 +95 *1715:29 *2844:24 5.482e-05 +96 *1715:36 *2844:24 7.48893e-05 +97 *1716:46 *2844:67 8.79457e-05 +98 *1729:80 *2844:24 0.00105166 +99 *1730:113 *2844:67 0.000188198 +100 *1733:20 *2844:53 0.00010054 +101 *1744:179 *2844:67 3.15733e-05 +102 *1761:56 *22454:B1 4.50779e-05 +103 *1762:41 *2844:46 0.000339493 +104 *1762:63 *2844:46 1.93359e-05 +105 *1762:63 *2844:53 0.000283945 +106 *1764:102 *2844:16 0.000226881 +107 *1776:60 *2844:74 0.000550295 +108 *1818:48 *2844:25 0.000288664 +109 *1947:181 *2844:24 7.83998e-06 +110 *1947:185 *2844:24 0.000716273 +111 *1949:52 *2844:46 1.23348e-05 +112 *2523:52 *2844:56 0.000199935 +113 *2593:30 *2844:56 0.000114972 +114 *2614:38 *2844:67 0.000141335 +115 *2624:54 *2844:56 3.96876e-05 +116 *2649:37 *2844:67 0.000217213 +117 *2702:37 *2844:46 0.000766514 +118 *2702:37 *2844:53 4.70339e-05 +119 *2708:32 *2844:53 0.00020396 +120 *2709:14 *2844:67 0.000781077 +121 *2710:29 *2844:56 0.000278757 +122 *2710:36 *2844:56 0.000291993 +123 *2713:27 *2844:46 4.28201e-05 +124 *2749:10 *2844:24 4.95627e-05 +125 *2771:33 *21832:B1 0.00172069 +126 *2784:42 *2844:67 0.000108997 +127 *2785:14 *2844:16 7.8756e-07 +128 *2785:30 *2844:16 0.000300389 +129 *2785:30 *2844:24 0 +*RES +1 *21828:Y *2844:16 43.293 +2 *2844:16 *2844:24 45.8542 +3 *2844:24 *2844:25 7.93324 +4 *2844:25 *2844:46 40.9652 +5 *2844:46 *2844:53 39.8748 +6 *2844:53 *2844:56 35.8149 +7 *2844:56 *2844:67 49.5567 +8 *2844:67 *2844:74 16.9011 +9 *2844:74 *21832:B1 28.007 +10 *2844:74 *22775:B1 17.1767 +11 *2844:25 *22454:B1 35.4843 +*END + +*D_NET *2845 0.0190399 +*CONN +*I *21831:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22766:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *22466:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21829:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21831:A1 0.000251292 +2 *22766:A1 0.000120878 +3 *22466:A1 0.000293493 +4 *21829:Y 0.000910801 +5 *2845:22 0.00125496 +6 *2845:14 0.00286541 +7 *2845:7 0.00268433 +8 *21831:A1 *21831:B1 3.54171e-05 +9 *22466:A1 *3461:11 0.000158357 +10 *22466:A1 *3513:156 0.000149111 +11 *22766:A1 *2847:8 1.10848e-05 +12 *2845:7 *5853:35 0.000111722 +13 *2845:7 *5853:61 0.000191653 +14 *2845:14 *20388:B1 1.34848e-05 +15 *2845:14 *2963:42 0.000471009 +16 *2845:14 *2987:50 0.000277098 +17 *2845:14 *3106:209 0.00106489 +18 *2845:14 *3106:227 0.000163591 +19 *2845:14 *3157:148 9.22013e-06 +20 *2845:14 *5867:147 7.50722e-05 +21 *2845:22 *2847:8 5.78956e-05 +22 *2845:22 *2987:50 0.000108289 +23 *2845:22 *3120:189 0.000238694 +24 *2845:22 *3562:16 2.25877e-05 +25 *20040:B1 *22466:A1 0.000254466 +26 *20040:C1 *22466:A1 0.00062954 +27 *20388:A2 *2845:14 8.05608e-05 +28 *22208:B1 *2845:14 6.21462e-05 +29 *22576:B1 *21831:A1 0.00030449 +30 *24652:D *2845:14 0.000163473 +31 *1586:103 *21831:A1 6.74182e-05 +32 *1595:60 *2845:14 0.000180345 +33 *1595:75 *2845:22 0.000205652 +34 *1596:65 *2845:22 1.5714e-05 +35 *1596:91 *21831:A1 6.74182e-05 +36 *1601:8 *2845:14 7.8406e-05 +37 *1601:16 *2845:14 9.26746e-05 +38 *1602:71 *22466:A1 0.000250244 +39 *1625:146 *2845:22 6.79143e-07 +40 *1643:28 *22766:A1 0.000178422 +41 *1643:28 *2845:22 0.000398598 +42 *1688:43 *21831:A1 0.000304767 +43 *1707:32 *2845:14 7.1406e-05 +44 *1707:39 *2845:14 7.58217e-06 +45 *1771:94 *22766:A1 0.000134323 +46 *1787:40 *2845:14 0.000375834 +47 *1790:130 *21831:A1 7.16058e-05 +48 *2093:201 *22766:A1 6.08467e-05 +49 *2298:17 *2845:14 5.76799e-05 +50 *2344:11 *22766:A1 6.3657e-05 +51 *2556:19 *2845:14 0.000989222 +52 *2556:19 *2845:22 0.000573864 +53 *2697:25 *2845:14 0.00125863 +54 *2780:25 *2845:22 0.000153427 +55 *2837:17 *2845:7 0.000346481 +*RES +1 *21829:Y *2845:7 27.783 +2 *2845:7 *2845:14 40.1653 +3 *2845:14 *2845:22 13.7913 +4 *2845:22 *22466:A1 25.1882 +5 *2845:22 *22766:A1 17.9655 +6 *2845:14 *21831:A1 20.8916 +*END + +*D_NET *2846 0.039186 +*CONN +*I *22273:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22629:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21831:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21830:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22273:A1 0 +2 *22629:B1 0.00120091 +3 *21831:B1 0.000441051 +4 *21830:Y 0.00100743 +5 *2846:36 0.00298076 +6 *2846:23 0.00158071 +7 *2846:16 0.00217236 +8 *2846:14 0.0029096 +9 *2846:10 0.00228669 +10 *2846:7 0.00231497 +11 *21831:B1 *22596:C1 2.18821e-05 +12 *21831:B1 *2847:7 2.41274e-06 +13 *21831:B1 *3153:225 0.000284682 +14 *21831:B1 *3192:181 0.000359517 +15 *22629:B1 *22248:A 5.36085e-05 +16 *22629:B1 *22576:A2 0.00029357 +17 *22629:B1 *22576:B2 6.42561e-05 +18 *22629:B1 *22597:D 2.51087e-05 +19 *22629:B1 *3070:36 0.000106696 +20 *22629:B1 *3153:204 9.06125e-05 +21 *22629:B1 *3192:181 0.000800798 +22 *22629:B1 *3575:17 6.08467e-05 +23 *2846:7 *24597:RESET_B 0.000377286 +24 *2846:7 *24597:CLK 0.000114658 +25 *2846:10 *20430:B1 4.4885e-05 +26 *2846:10 *2978:8 0.000825134 +27 *2846:10 *2996:14 0.00022407 +28 *2846:10 *4841:124 0.000354423 +29 *2846:10 *4887:61 0 +30 *2846:14 *2978:8 9.70487e-05 +31 *2846:16 *21905:A 1.39725e-05 +32 *2846:16 *2978:8 0.000105592 +33 *2846:16 *2978:20 0.00181374 +34 *2846:16 *4888:116 5.04879e-05 +35 *2846:16 *5312:13 9.69016e-05 +36 *2846:16 *5867:223 0.000123007 +37 *2846:16 *5867:231 0.000335348 +38 *2846:16 *5918:20 0.000208946 +39 *2846:23 *22274:C1 0.000111802 +40 *2846:23 *3157:166 0.000100396 +41 *2846:36 *22273:B2 5.22654e-06 +42 *2846:36 *22274:C1 6.08467e-05 +43 *2846:36 *2918:16 3.6241e-05 +44 *2846:36 *2963:42 0 +45 *2846:36 *3157:166 6.50586e-05 +46 *20434:B *2846:36 0.000417791 +47 *21814:B1 *2846:36 0.000175601 +48 *21831:A1 *21831:B1 3.54171e-05 +49 *21831:A2 *21831:B1 6.36477e-05 +50 *22231:A1 *22629:B1 1.91246e-05 +51 *22566:B1 *21831:B1 1.41976e-05 +52 *22576:B1 *21831:B1 0.000359136 +53 *22576:B1 *22629:B1 0.000720257 +54 *24457:D *2846:14 4.69495e-06 +55 *24457:D *2846:16 2.63449e-05 +56 *24615:D *2846:16 9.96342e-05 +57 *24623:D *2846:14 0.000177787 +58 *1611:8 *2846:23 1.94327e-05 +59 *1611:8 *2846:36 1.5714e-05 +60 *1618:104 *22629:B1 0.000206333 +61 *1708:124 *2846:14 0.00187582 +62 *1708:137 *2846:14 0.000133166 +63 *1716:16 *2846:23 0.000276374 +64 *1716:16 *2846:36 0.00129623 +65 *1716:24 *2846:36 3.66453e-05 +66 *1720:14 *2846:16 0.00103056 +67 *1720:14 *2846:23 6.38982e-06 +68 *1721:164 *2846:36 2.1558e-06 +69 *1729:19 *2846:16 0.000424039 +70 *1729:19 *2846:23 0.000150304 +71 *1741:21 *2846:23 9.54183e-05 +72 *1784:71 *22629:B1 0.000854154 +73 *1787:10 *2846:16 0 +74 *1789:58 *2846:16 0.000408761 +75 *1790:130 *21831:B1 0.00014542 +76 *1799:10 *2846:10 0.000111151 +77 *1799:10 *2846:14 0.00212226 +78 *1799:21 *2846:14 0.00024151 +79 *1799:21 *2846:16 0.000723051 +80 *1799:34 *2846:36 0.00162416 +81 *1966:6 *2846:10 0 +82 *1968:38 *2846:10 0 +83 *2350:13 *2846:16 0.000270399 +84 *2551:44 *22629:B1 1.22026e-05 +85 *2570:10 *2846:7 0.000626245 +86 *2738:13 *2846:16 1.66771e-05 +87 *2764:72 *22629:B1 0.000107507 +88 *2797:47 *2846:16 1.67657e-05 +89 *2843:12 *2846:14 0 +*RES +1 *21830:Y *2846:7 30.556 +2 *2846:7 *2846:10 41.8061 +3 *2846:10 *2846:14 49.073 +4 *2846:14 *2846:16 73.2554 +5 *2846:16 *2846:23 12.7029 +6 *2846:23 *2846:36 15.4257 +7 *2846:36 *21831:B1 27.3754 +8 *2846:36 *22629:B1 35.6106 +9 *2846:23 *22273:A1 9.24915 +*END + +*D_NET *2847 0.00900776 +*CONN +*I *21832:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21831:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21832:C1 0 +2 *21831:X 9.79399e-05 +3 *2847:8 0.00200879 +4 *2847:7 0.00210673 +5 *2847:7 *3153:225 7.98171e-06 +6 *2847:8 *21858:D 0.000445471 +7 *2847:8 *2954:44 6.84784e-06 +8 *2847:8 *2954:74 1.46741e-05 +9 *2847:8 *3069:37 3.27623e-05 +10 *2847:8 *4813:110 4.51619e-05 +11 *19791:B1 *2847:8 0.000149714 +12 *19810:A2 *2847:8 1.45729e-05 +13 *19822:C *2847:8 0.000100106 +14 *19831:B1 *2847:8 0.000398562 +15 *19842:C1 *2847:8 0.000665235 +16 *21629:B2 *2847:8 1.01851e-05 +17 *21630:C1 *2847:8 6.22114e-05 +18 *21831:B1 *2847:7 2.41274e-06 +19 *22766:A1 *2847:8 1.10848e-05 +20 *1583:113 *2847:8 0.000683447 +21 *1586:33 *2847:8 5.67796e-06 +22 *1595:75 *2847:8 0.000526021 +23 *1596:65 *2847:8 1.91391e-05 +24 *1643:28 *2847:8 1.13449e-05 +25 *1771:94 *2847:8 0.000439741 +26 *2697:26 *2847:8 7.83381e-05 +27 *2843:51 *2847:8 0.00100571 +28 *2845:22 *2847:8 5.78956e-05 +*RES +1 *21831:X *2847:7 15.0271 +2 *2847:7 *2847:8 57.891 +3 *2847:8 *21832:C1 13.7491 +*END + +*D_NET *2848 0.00178303 +*CONN +*I *21833:D I *D sky130_fd_sc_hd__and4_1 +*I *21832:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21833:D 0.00040785 +2 *21832:X 0.00040785 +3 *21833:D *2889:45 1.69178e-06 +4 *21833:D *3476:116 0.000161262 +5 *21832:A1 *21833:D 1.64789e-05 +6 *21902:A *21833:D 0.000402622 +7 *1535:51 *21833:D 0.000385275 +8 *1788:102 *21833:D 0 +*RES +1 *21832:X *21833:D 38.8536 +*END + +*D_NET *2849 0.000302189 +*CONN +*I *21901:A I *D sky130_fd_sc_hd__and4_1 +*I *21833:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21901:A 4.12754e-05 +2 *21833:X 4.12754e-05 +3 *21901:A *21901:B 6.11872e-05 +4 *21901:A *21902:C 0.000158451 +*RES +1 *21833:X *21901:A 20.3309 +*END + +*D_NET *2850 0.0280413 +*CONN +*I *22630:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21839:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22275:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21834:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22630:B1 1.98947e-05 +2 *21839:A1 0 +3 *22275:A1 4.20311e-05 +4 *21834:Y 0.00114723 +5 *2850:56 0.0012432 +6 *2850:53 0.00181885 +7 *2850:42 0.00305905 +8 *2850:34 0.00344771 +9 *2850:14 0.000986454 +10 *2850:12 0.00114723 +11 *22275:A1 *21974:B1 6.2973e-05 +12 *22275:A1 *2986:31 0.000114594 +13 *22275:A1 *3164:144 1.03434e-05 +14 *22275:A1 *3168:160 5.57101e-06 +15 *22630:B1 *3577:7 6.08467e-05 +16 *2850:12 *21974:B1 1.5714e-05 +17 *2850:12 *22023:B1 0 +18 *2850:12 *3015:10 0.000195859 +19 *2850:12 *3168:160 0.000147249 +20 *2850:12 *5855:99 1.9101e-05 +21 *2850:34 *21974:B1 0.000154145 +22 *2850:34 *22225:B 9.87885e-06 +23 *2850:34 *22225:C 0.000162021 +24 *2850:34 *22249:B 5.01835e-05 +25 *2850:34 *2986:31 0.000234742 +26 *2850:34 *3015:10 4.10828e-05 +27 *2850:34 *3015:14 0.00011034 +28 *2850:34 *3186:16 4.91564e-05 +29 *2850:34 *3236:8 3.31745e-05 +30 *2850:42 *2954:28 3.08977e-05 +31 *2850:42 *3015:14 4.42742e-06 +32 *2850:42 *3186:16 9.21233e-05 +33 *2850:42 *3192:181 1.94614e-05 +34 *2850:42 *3527:162 0.000142494 +35 *2850:42 *3539:157 8.61187e-05 +36 *2850:42 *3541:110 5.59485e-05 +37 *2850:42 *4873:17 0.000743331 +38 *2850:42 *5908:95 2.48638e-05 +39 *2850:53 *3493:130 8.50356e-05 +40 *2850:56 *21851:A1 0.000143465 +41 *2850:56 *3461:24 1.82299e-05 +42 *2850:56 *3476:98 2.82537e-05 +43 *2850:56 *3493:101 4.29715e-05 +44 *19789:B *2850:56 8.94611e-05 +45 *19820:A2 *2850:56 0.000170991 +46 *19832:A1 *2850:53 0.000107496 +47 *19895:A2 *2850:42 7.72394e-06 +48 *19895:C1 *22630:B1 2.16355e-05 +49 *19906:A2 *2850:34 0.000251942 +50 *21278:B2 *2850:42 0.0011696 +51 *21701:B1 *2850:12 3.56217e-05 +52 *21712:A2 *2850:12 2.0456e-06 +53 *21712:B2 *2850:12 0 +54 *21783:A2 *2850:42 1.10793e-05 +55 *21806:C1 *2850:12 0.000122265 +56 *21974:A2 *2850:34 0.000169665 +57 *21974:B2 *2850:34 0.000147623 +58 *22053:A2 *2850:53 4.31703e-05 +59 *22575:B1 *2850:42 0.000272236 +60 *1418:195 *2850:12 0.000215771 +61 *1535:8 *2850:42 0.000637102 +62 *1535:15 *2850:42 0.000103413 +63 *1570:80 *2850:56 0.000225203 +64 *1570:106 *2850:56 4.24913e-05 +65 *1593:26 *2850:42 3.21591e-05 +66 *1593:31 *2850:42 0.000190727 +67 *1618:35 *2850:53 8.60109e-05 +68 *1618:56 *2850:42 0.000693865 +69 *1618:56 *2850:53 0.000241288 +70 *1644:10 *2850:12 0.000841512 +71 *1656:37 *2850:12 0.000721078 +72 *1730:184 *2850:42 0.00157808 +73 *1770:31 *2850:12 0.000125876 +74 *1771:104 *2850:42 0.000114514 +75 *2293:13 *2850:12 8.98169e-05 +76 *2661:30 *2850:56 0.00051143 +77 *2799:8 *2850:42 0.00066705 +78 *2799:8 *2850:53 0.000485549 +79 *2799:8 *2850:56 0.00174508 +80 *2822:8 *2850:34 0.000164488 +*RES +1 *21834:Y *2850:12 46.694 +2 *2850:12 *2850:14 4.5 +3 *2850:14 *22275:A1 11.1059 +4 *2850:14 *2850:34 30.0608 +5 *2850:34 *2850:42 47.8567 +6 *2850:42 *2850:53 28.0515 +7 *2850:53 *2850:56 42.0437 +8 *2850:56 *21839:A1 9.24915 +9 *2850:34 *22630:B1 14.4725 +*END + +*D_NET *2851 0.0273577 +*CONN +*I *22464:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21839:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22763:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21835:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22464:B1 0.000176177 +2 *21839:B1 3.39639e-05 +3 *22763:A1 7.3912e-05 +4 *21835:Y 0.000955177 +5 *2851:48 0.000845278 +6 *2851:26 0.00265605 +7 *2851:24 0.00319652 +8 *2851:15 0.0018829 +9 *2851:14 0.00138403 +10 *21839:B1 *4850:55 6.36477e-05 +11 *22464:B1 *22420:B2 3.12828e-05 +12 *22464:B1 *22464:C1 3.5534e-06 +13 *22464:B1 *2999:43 2.12575e-05 +14 *22464:B1 *3005:35 9.32983e-05 +15 *22464:B1 *3196:71 0.000146731 +16 *22464:B1 *3448:20 5.88009e-05 +17 *22763:A1 *22763:A2 4.31603e-06 +18 *22763:A1 *2854:21 0.000134042 +19 *22763:A1 *3702:20 1.65872e-05 +20 *22763:A1 *4850:55 0.000156955 +21 *2851:14 *20611:B1 0.000122542 +22 *2851:14 *22009:A 8.60943e-05 +23 *2851:14 *22435:B1 0.00096435 +24 *2851:14 *2943:52 0.000113374 +25 *2851:14 *3023:17 0.000728925 +26 *2851:14 *3179:103 0.000116971 +27 *2851:15 *3005:21 0.000913356 +28 *2851:15 *3005:35 0.00117039 +29 *2851:24 *22508:C1 0.000107971 +30 *2851:24 *22513:B 2.57465e-06 +31 *2851:24 *2959:43 1.1596e-05 +32 *2851:24 *2999:43 0.000463095 +33 *2851:24 *3128:98 0.000383927 +34 *2851:24 *3196:46 6.48286e-05 +35 *2851:24 *3196:60 0.00064851 +36 *2851:24 *3196:108 0 +37 *2851:24 *3448:20 0.000740154 +38 *2851:24 *3463:27 0.000181437 +39 *2851:26 *22488:C1 1.49935e-05 +40 *2851:26 *22491:C 0.00043533 +41 *2851:26 *22492:A 9.17737e-05 +42 *2851:26 *22513:C 8.05608e-05 +43 *2851:26 *22514:A 2.02035e-05 +44 *2851:26 *22763:B2 0.000996856 +45 *2851:26 *22799:C1 0.000132754 +46 *2851:26 *3196:108 9.59486e-05 +47 *2851:26 *3198:93 0.000873717 +48 *2851:26 *3466:56 0.000134233 +49 *2851:26 *3466:67 1.30575e-05 +50 *2851:26 *3466:82 6.2224e-05 +51 *2851:26 *3471:73 0.000388788 +52 *2851:48 *2856:45 0.000121782 +53 *2851:48 *3417:32 2.38923e-05 +54 *2851:48 *3474:115 8.37963e-05 +55 *2851:48 *3476:98 2.0575e-05 +56 *2851:48 *3702:20 0.000156182 +57 *2851:48 *5456:29 1.66626e-05 +58 *19633:B *2851:26 0.000182763 +59 *19789:A *2851:48 0.000211736 +60 *20611:A2 *2851:14 0.000158451 +61 *20611:B2 *2851:14 5.88657e-05 +62 *21560:B2 *2851:24 1.26672e-05 +63 *21565:D1 *2851:24 1.64227e-05 +64 *21808:A *2851:48 1.56279e-05 +65 *21808:B *2851:48 0.000185251 +66 *21839:B2 *21839:B1 1.78868e-05 +67 *22781:B1 *2851:48 1.13908e-06 +68 *22790:A1 *2851:26 5.4678e-05 +69 *22798:B1 *2851:26 0.000181736 +70 *24498:D *2851:14 6.3657e-05 +71 *1427:116 *2851:48 2.41163e-05 +72 *1461:275 *2851:26 4.32644e-05 +73 *1544:151 *2851:26 1.37385e-05 +74 *1544:151 *2851:48 0.000203604 +75 *1561:39 *2851:26 0.000246982 +76 *1566:11 *2851:48 3.38973e-05 +77 *1605:29 *2851:26 7.09666e-06 +78 *1662:25 *22464:B1 0.000107496 +79 *1662:25 *2851:15 0.00206533 +80 *1697:75 *2851:24 0.00033641 +81 *2012:33 *2851:14 0.000200794 +82 *2013:19 *2851:14 7.54269e-06 +83 *2548:41 *2851:24 8.00856e-05 +84 *2641:80 *2851:24 1.11373e-05 +85 *2641:80 *2851:26 2.27118e-06 +86 *2660:113 *2851:26 1.88878e-05 +87 *2661:30 *2851:48 5.59064e-05 +88 *2708:44 *2851:26 0.000202288 +89 *2778:35 *22763:A1 1.65872e-05 +90 *2793:17 *2851:26 1.50389e-06 +*RES +1 *21835:Y *2851:14 43.3075 +2 *2851:14 *2851:15 24.0167 +3 *2851:15 *2851:24 42.4834 +4 *2851:24 *2851:26 54.569 +5 *2851:26 *2851:48 31.9599 +6 *2851:48 *22763:A1 12.2151 +7 *2851:48 *21839:B1 10.5513 +8 *2851:15 *22464:B1 23.4354 +*END + +*D_NET *2852 0.0218882 +*CONN +*I *21838:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22457:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21836:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21838:A1 0 +2 *22457:B1 0 +3 *21836:Y 0.000699212 +4 *2852:49 0.00129338 +5 *2852:27 0.00338193 +6 *2852:19 0.00388661 +7 *2852:8 0.00249728 +8 *2852:8 *25378:A 6.26778e-05 +9 *2852:8 *2993:42 0.000703395 +10 *2852:8 *3411:32 4.46284e-06 +11 *2852:8 *3411:42 0.00173532 +12 *2852:8 *4797:30 1.54643e-05 +13 *2852:8 *4799:10 0.000892779 +14 *2852:8 *4799:21 6.69861e-06 +15 *2852:19 *20564:A1 0.000170577 +16 *2852:19 *20566:A1 0.000150364 +17 *2852:19 *24555:RESET_B 1.4091e-06 +18 *2852:19 *24555:CLK 2.19783e-05 +19 *2852:19 *4797:30 0.000880565 +20 *2852:19 *4799:10 0.000486603 +21 *2852:27 *22457:A2 1.37563e-05 +22 *2852:27 *22457:B2 7.92757e-06 +23 *2852:27 *24346:RESET_B 2.90471e-05 +24 *2852:27 *24555:RESET_B 8.58902e-06 +25 *2852:27 *3134:84 1.89618e-05 +26 *2852:27 *3533:79 0.000256037 +27 *2852:49 *21838:B1 6.41547e-05 +28 *2852:49 *22457:A2 2.87606e-05 +29 *2852:49 *22457:B2 6.3657e-05 +30 *2852:49 *2854:21 0.000584766 +31 *2852:49 *3452:6 0.000253331 +32 *2852:49 *4850:68 0.00148674 +33 *21548:A1 *2852:49 0.000502062 +34 *21825:B1 *2852:49 0.000154591 +35 *21838:A2 *2852:49 7.52608e-06 +36 *21838:B2 *2852:49 2.87269e-05 +37 *22457:A1 *2852:49 0.000107496 +38 *22770:B1 *2852:27 1.61355e-05 +39 *22770:B1 *2852:49 0.000206309 +40 *24552:D *2852:27 0.000128788 +41 *1438:119 *2852:19 0.000109115 +42 *1506:125 *2852:19 9.24241e-05 +43 *1732:50 *2852:19 2.42739e-05 +44 *1732:67 *2852:19 1.56942e-05 +45 *1776:60 *2852:49 3.76845e-05 +46 *1784:55 *2852:19 0.00014836 +47 *1809:35 *2852:19 0.000164843 +48 *1986:7 *2852:19 0.000110306 +49 *2605:13 *2852:49 0.000327441 +*RES +1 *21836:Y *2852:8 47.2502 +2 *2852:8 *2852:19 49.4457 +3 *2852:19 *2852:27 31.9741 +4 *2852:27 *22457:B1 9.24915 +5 *2852:27 *2852:49 46.9292 +6 *2852:49 *21838:A1 9.24915 +*END + +*D_NET *2853 0.0492724 +*CONN +*I *22280:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21838:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21837:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22280:A1 0.000139892 +2 *21838:B1 0.00311104 +3 *21837:Y 4.18856e-05 +4 *2853:23 0.00374202 +5 *2853:22 0.00311882 +6 *2853:8 0.00577416 +7 *2853:7 0.00318832 +8 *21838:B1 *22763:C1 4.09154e-05 +9 *21838:B1 *2954:74 0.0001733 +10 *21838:B1 *3406:29 0.000370686 +11 *22280:A1 *4873:17 0.000156087 +12 *2853:7 *5934:25 6.50727e-05 +13 *2853:8 *20551:A1 0.000181333 +14 *2853:8 *20555:A1 0 +15 *2853:8 *21802:A 0 +16 *2853:8 *24538:RESET_B 8.23435e-05 +17 *2853:8 *24947:A 0 +18 *2853:8 *3033:16 3.06839e-05 +19 *2853:8 *4840:63 5.64929e-05 +20 *2853:8 *4840:90 5.55261e-05 +21 *2853:8 *4843:35 5.5311e-05 +22 *2853:8 *4843:86 0.000714226 +23 *2853:8 *4886:37 0 +24 *2853:8 *4886:46 0 +25 *2853:8 *5251:25 7.14746e-05 +26 *2853:8 *5868:536 0.000832232 +27 *2853:8 *5868:538 0.000238605 +28 *2853:8 *5868:540 0.000732022 +29 *2853:8 *5868:575 0.000195418 +30 *2853:8 *5868:577 7.40982e-05 +31 *2853:8 *5868:579 0.000114576 +32 *2853:8 *5868:581 0.000144506 +33 *2853:8 *5868:593 0.000100958 +34 *2853:8 *5868:694 0.000134101 +35 *2853:8 *5868:723 0.000191435 +36 *2853:8 *5868:734 0.000102979 +37 *2853:22 *20786:B1 3.99086e-06 +38 *2853:22 *2954:21 0.000330244 +39 *2853:22 *2954:27 0.00491253 +40 *2853:22 *3033:36 0.00285121 +41 *2853:22 *5853:15 0.000107496 +42 *2853:23 *3579:23 0 +43 *2853:23 *4873:17 0.000738373 +44 *20549:A *2853:8 0.00048735 +45 *20555:A2 *2853:8 0 +46 *20786:B2 *2853:22 2.20702e-05 +47 *21838:A2 *21838:B1 1.42043e-05 +48 *22488:A2 *21838:B1 0.00148915 +49 *22762:B1 *21838:B1 0.000168356 +50 *24642:D *2853:8 3.77659e-05 +51 *1435:318 *22280:A1 5.84166e-05 +52 *1565:12 *2853:8 0.000109396 +53 *1565:12 *2853:22 0.00129204 +54 *1585:19 *2853:22 0.000137362 +55 *1585:19 *2853:23 1.01359e-05 +56 *1594:59 *2853:23 0 +57 *1602:74 *22280:A1 5.84166e-05 +58 *1605:29 *21838:B1 5.00551e-05 +59 *1724:48 *2853:22 0 +60 *1730:113 *21838:B1 0.000421408 +61 *1732:34 *21838:B1 0.00112762 +62 *1994:56 *2853:8 0 +63 *1994:63 *2853:8 0 +64 *2077:78 *2853:8 0.000777075 +65 *2077:80 *2853:8 0.000311608 +66 *2298:8 *2853:22 0.00116957 +67 *2448:10 *2853:8 0.000642504 +68 *2448:20 *2853:8 0.000209594 +69 *2564:8 *2853:22 0.000225822 +70 *2602:12 *2853:22 0.00117317 +71 *2654:22 *21838:B1 0.00348378 +72 *2661:30 *21838:B1 0.00156251 +73 *2818:11 *2853:8 0 +74 *2818:23 *2853:22 0 +75 *2818:30 *21838:B1 0.00118202 +76 *2836:20 *21838:B1 1.24546e-05 +77 *2852:49 *21838:B1 6.41547e-05 +*RES +1 *21837:Y *2853:7 14.4725 +2 *2853:7 *2853:8 113.12 +3 *2853:8 *2853:22 49.4271 +4 *2853:22 *2853:23 1.12075 +5 *2853:23 *21838:B1 35.367 +6 *2853:23 *22280:A1 18.5682 +*END + +*D_NET *2854 0.0039838 +*CONN +*I *21839:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21838:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21839:C1 0 +2 *21838:X 0.000706135 +3 *2854:21 0.000706135 +4 *2854:21 *22763:A2 3.48124e-05 +5 *2854:21 *3128:142 7.08433e-05 +6 *2854:21 *3153:266 5.80995e-05 +7 *2854:21 *3190:58 6.74182e-05 +8 *2854:21 *3521:64 5.01835e-05 +9 *2854:21 *3527:84 0.000182257 +10 *2854:21 *3702:20 6.08467e-05 +11 *21826:A2 *2854:21 5.04829e-06 +12 *21838:A2 *2854:21 7.71538e-05 +13 *21839:B2 *2854:21 0.000833857 +14 *22763:A1 *2854:21 0.000134042 +15 *22763:B1 *2854:21 5.46965e-05 +16 *1625:83 *2854:21 0.000187678 +17 *2605:13 *2854:21 0.000156946 +18 *2778:35 *2854:21 1.28832e-05 +19 *2852:49 *2854:21 0.000584766 +*RES +1 *21838:X *2854:21 46.3774 +2 *2854:21 *21839:C1 9.24915 +*END + +*D_NET *2855 0.00177247 +*CONN +*I *21858:A I *D sky130_fd_sc_hd__and4_1 +*I *21839:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21858:A 0.000509084 +2 *21839:X 0.000509084 +3 *21858:A *22784:A2 0.000111375 +4 *21858:A *3493:101 1.07248e-05 +5 *21808:D *21858:A 7.00991e-05 +6 *1542:34 *21858:A 0.000413829 +7 *2686:27 *21858:A 0.000148273 +*RES +1 *21839:X *21858:A 40.5799 +*END + +*D_NET *2856 0.0212128 +*CONN +*I *22773:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21845:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22449:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21840:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22773:B1 0.000600809 +2 *21845:A1 0 +3 *22449:A1 7.75781e-05 +4 *21840:Y 0.00196702 +5 *2856:45 0.00112484 +6 *2856:29 0.00237573 +7 *2856:15 0.00118 +8 *2856:9 0.00241937 +9 *22449:A1 *22451:C1 6.08467e-05 +10 *22449:A1 *3157:126 7.22263e-05 +11 *22449:A1 *3166:97 7.13655e-06 +12 *22449:A1 *3166:101 2.59216e-05 +13 *22773:B1 *22469:B 6.43666e-05 +14 *22773:B1 *22469:C 0.000110222 +15 *22773:B1 *22470:C 9.35069e-05 +16 *22773:B1 *22773:A2 0.000426056 +17 *22773:B1 *3192:230 1.51914e-05 +18 *22773:B1 *3415:22 0.000121302 +19 *22773:B1 *3421:32 1.5714e-05 +20 *22773:B1 *3519:159 4.3663e-05 +21 *22773:B1 *5453:21 1.27988e-05 +22 *2856:9 *20534:B1 3.02405e-05 +23 *2856:9 *22771:A2 8.93134e-05 +24 *2856:9 *24346:RESET_B 4.87718e-05 +25 *2856:9 *24552:RESET_B 2.61955e-05 +26 *2856:9 *4933:134 8.02573e-06 +27 *2856:9 *5269:10 6.37652e-06 +28 *2856:9 *5869:674 0.000222458 +29 *2856:9 *5869:753 4.20506e-05 +30 *2856:15 *22771:B2 5.84166e-05 +31 *2856:15 *3058:8 8.03699e-06 +32 *2856:15 *3513:143 8.45161e-05 +33 *2856:15 *3531:128 0.000202101 +34 *2856:15 *3541:180 0.000615817 +35 *2856:29 *3541:180 0.00142691 +36 *2856:45 *21845:C1 2.57986e-05 +37 *2856:45 *21851:A1 0.000184828 +38 *2856:45 *21858:B 7.98171e-06 +39 *2856:45 *22469:B 4.13347e-05 +40 *2856:45 *3461:24 0.000184828 +41 *2856:45 *3474:115 2.15954e-05 +42 *2856:45 *3476:98 4.0605e-06 +43 *2856:45 *4850:55 0.000139017 +44 *19790:B1 *22773:B1 0.000484344 +45 *19810:C1 *22773:B1 0 +46 *21808:A *2856:45 0.000174882 +47 *21832:B1 *2856:15 7.27023e-06 +48 *21832:B2 *22773:B1 4.22146e-05 +49 *21838:B2 *2856:45 1.97124e-05 +50 *21845:A2 *2856:45 4.27025e-05 +51 *22451:B1 *22449:A1 6.08467e-05 +52 *22762:B1 *2856:45 2.78622e-05 +53 *1560:76 *22773:B1 3.82228e-05 +54 *1773:67 *2856:45 0.000347849 +55 *1773:69 *2856:45 8.78407e-06 +56 *1776:60 *2856:9 0.000826624 +57 *1809:17 *22773:B1 4.23858e-05 +58 *2604:50 *2856:15 0.000890135 +59 *2604:50 *2856:29 0.0014039 +60 *2605:29 *2856:45 0.000636347 +61 *2778:35 *22773:B1 1.73868e-06 +62 *2778:35 *2856:45 0.000338575 +63 *2838:79 *2856:9 0.00140362 +64 *2851:48 *2856:45 0.000121782 +*RES +1 *21840:Y *2856:9 48.2884 +2 *2856:9 *2856:15 10.8434 +3 *2856:15 *22449:A1 19.4657 +4 *2856:15 *2856:29 5.5604 +5 *2856:29 *2856:45 43.0219 +6 *2856:45 *21845:A1 9.24915 +7 *2856:29 *22773:B1 31.2693 +*END + +*D_NET *2857 0.031192 +*CONN +*I *22288:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21845:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22619:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21841:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22288:B1 0.000155961 +2 *21845:B1 0 +3 *22619:A1 0 +4 *21841:Y 0.00163379 +5 *2857:52 0.00318294 +6 *2857:32 0.00363254 +7 *2857:21 0.000326735 +8 *2857:18 0.00114263 +9 *2857:11 0.00274333 +10 *22288:B1 *22288:A1 6.36477e-05 +11 *22288:B1 *22288:A2 3.54024e-05 +12 *22288:B1 *22288:B2 6.3261e-05 +13 *22288:B1 *3196:185 2.77625e-06 +14 *2857:11 *22677:B2 3.41459e-05 +15 *2857:11 *22714:B2 4.97617e-05 +16 *2857:11 *22715:C1 6.08467e-05 +17 *2857:11 *3498:211 9.03909e-05 +18 *2857:11 *3621:10 0.000111802 +19 *2857:11 *3666:13 5.51483e-06 +20 *2857:18 *22617:A 0.000107968 +21 *2857:18 *22625:B1 3.63593e-05 +22 *2857:18 *22635:D 9.23123e-05 +23 *2857:18 *22677:B2 0.000218292 +24 *2857:18 *2880:45 7.09666e-06 +25 *2857:18 *2990:66 0.000219855 +26 *2857:18 *3179:202 0.000154145 +27 *2857:18 *3500:152 4.03336e-05 +28 *2857:18 *3621:10 7.5301e-06 +29 *2857:32 *22619:B2 7.89747e-05 +30 *2857:32 *3196:185 0.000106696 +31 *2857:52 *21858:D 0.000294119 +32 *2857:52 *21874:A1 1.24546e-05 +33 *2857:52 *21909:A1 0.00101398 +34 *2857:52 *22210:B2 0.00136228 +35 *2857:52 *22236:A2 0.00100763 +36 *2857:52 *22773:A2 1.87619e-06 +37 *2857:52 *24909:A 0.00102336 +38 *2857:52 *2919:20 6.23101e-05 +39 *2857:52 *3151:216 0.000459088 +40 *2857:52 *3476:154 0.000588196 +41 *2857:52 *4850:55 0.000200794 +42 *2857:52 *5453:21 5.20546e-06 +43 *2857:52 *5919:40 0.000992085 +44 *19801:A1 *2857:52 0.000792328 +45 *19801:A2 *2857:52 6.4064e-05 +46 *21229:B1 *2857:18 1.91246e-05 +47 *21549:A2 *2857:52 0.00100522 +48 *21808:C *2857:52 7.14746e-05 +49 *21814:B1 *2857:52 0.000120716 +50 *21814:B2 *2857:52 2.41274e-06 +51 *21833:A *2857:52 1.45015e-05 +52 *21845:B2 *2857:52 3.40268e-05 +53 *21902:A *2857:52 5.89592e-05 +54 *21902:B *2857:52 3.31745e-05 +55 *22677:A1 *2857:11 6.64392e-05 +56 *22677:B1 *2857:18 6.08467e-05 +57 *22714:A1 *2857:11 0.000175039 +58 *518:31 *2857:18 0.00140356 +59 *527:8 *2857:18 1.53148e-05 +60 *527:11 *2857:11 4.46468e-05 +61 *527:11 *2857:18 0.00216362 +62 *1542:86 *2857:21 0.000107496 +63 *1542:86 *2857:32 6.79868e-05 +64 *1558:42 *2857:21 3.31745e-05 +65 *1558:42 *2857:32 0.000559387 +66 *1576:59 *2857:52 0.000255006 +67 *1586:33 *2857:52 2.26426e-05 +68 *1608:46 *2857:18 0.000490782 +69 *1620:35 *2857:32 5.04829e-06 +70 *1635:47 *2857:18 1.62456e-05 +71 *1744:219 *2857:18 4.31485e-06 +72 *1788:89 *2857:52 0.00015186 +73 *1800:112 *2857:18 0.00027549 +74 *2311:17 *2857:11 0.000428455 +75 *2311:33 *2857:11 0.00069017 +76 *2480:38 *2857:11 0.000340742 +77 *2605:29 *2857:52 0.0001214 +78 *2674:8 *2857:52 1.47875e-05 +79 *2777:28 *2857:32 2.83114e-05 +80 *2828:47 *2857:52 4.79321e-06 +*RES +1 *21841:Y *2857:11 38.8843 +2 *2857:11 *2857:18 47.6797 +3 *2857:18 *2857:21 5.778 +4 *2857:21 *22619:A1 9.24915 +5 *2857:21 *2857:32 13.803 +6 *2857:32 *2857:52 48.9484 +7 *2857:52 *21845:B1 9.24915 +8 *2857:32 *22288:B1 17.969 +*END + +*D_NET *2858 0.0194711 +*CONN +*I *22768:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22458:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21844:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21842:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22768:B1 0 +2 *22458:B1 0.000442394 +3 *21844:A1 0 +4 *21842:Y 0.000182031 +5 *2858:34 0.0010941 +6 *2858:27 0.00189584 +7 *2858:23 0.00260815 +8 *2858:20 0.00152447 +9 *2858:8 0.000342486 +10 *22458:B1 *22769:C1 0.000147884 +11 *22458:B1 *22797:D 1.88152e-05 +12 *22458:B1 *3139:27 0.000491233 +13 *22458:B1 *3406:13 0.000444584 +14 *22458:B1 *3406:14 2.77419e-05 +15 *22458:B1 *3496:52 2.2923e-05 +16 *22458:B1 *3498:44 1.07248e-05 +17 *22458:B1 *3498:64 0.00036483 +18 *22458:B1 *3702:20 4.87401e-05 +19 *22458:B1 *3722:29 0.000150203 +20 *2858:8 *21844:B1 0.000127799 +21 *2858:8 *21884:A 0.000107496 +22 *2858:8 *4922:11 0.000298304 +23 *2858:20 *4876:56 2.57365e-05 +24 *2858:23 *2936:16 0.000136154 +25 *2858:23 *4821:17 0.00103714 +26 *2858:27 *2936:16 0.000489291 +27 *2858:27 *4821:41 1.27831e-06 +28 *2858:34 *22768:C1 4.80635e-06 +29 *2858:34 *22769:C1 2.57986e-05 +30 *2858:34 *3498:44 7.08723e-06 +31 *2858:34 *4821:41 2.44924e-05 +32 *19671:A *2858:23 0.000200805 +33 *19671:B *2858:8 0.000154145 +34 *19671:B *2858:23 0.000137921 +35 *19962:A1 *2858:27 1.5714e-05 +36 *19980:B2 *2858:27 6.84784e-06 +37 *19981:D *2858:27 0.000111987 +38 *19981:D *2858:34 6.61215e-06 +39 *20052:B *2858:27 4.15661e-05 +40 *21389:B2 *2858:20 0.000154145 +41 *21724:B1 *2858:34 0.000294197 +42 *21953:B2 *2858:20 0.00012572 +43 *22785:A1 *2858:34 1.41976e-05 +44 *1424:140 *2858:23 0.000138901 +45 *1449:27 *2858:23 0.00029735 +46 *1461:117 *2858:23 0.000166771 +47 *1461:119 *2858:23 0.000485815 +48 *1461:124 *2858:23 0.000370032 +49 *1492:13 *2858:23 8.83446e-05 +50 *1492:13 *2858:27 7.84457e-06 +51 *1541:38 *2858:27 0.000178726 +52 *1544:76 *22458:B1 0 +53 *1544:76 *2858:34 7.17285e-05 +54 *1564:11 *2858:34 5.17451e-05 +55 *1704:6 *2858:27 0.000301273 +56 *1717:24 *2858:27 0.000205264 +57 *1730:20 *2858:34 0.000202626 +58 *1769:6 *2858:27 0.0017653 +59 *1790:19 *2858:27 2.11608e-05 +60 *1790:19 *2858:34 0.00022472 +61 *1807:20 *2858:27 1.05601e-05 +62 *2400:12 *2858:23 0.000158049 +63 *2441:72 *2858:23 1.05746e-05 +64 *2450:14 *2858:20 0.000205006 +65 *2466:16 *2858:8 8.39222e-06 +66 *2466:16 *2858:20 1.01851e-05 +67 *2466:16 *2858:23 0.00036148 +68 *2466:29 *2858:23 0.00014517 +69 *2519:33 *2858:27 6.74182e-05 +70 *2587:16 *2858:8 0.000151253 +71 *2587:16 *2858:20 0.0001636 +72 *2651:29 *2858:34 0.000174538 +73 *2746:55 *2858:34 6.08467e-05 +*RES +1 *21842:Y *2858:8 20.3233 +2 *2858:8 *21844:A1 13.7491 +3 *2858:8 *2858:20 14.8802 +4 *2858:20 *2858:23 47.6197 +5 *2858:23 *2858:27 39.9375 +6 *2858:27 *2858:34 22.7908 +7 *2858:34 *22458:B1 33.6858 +8 *2858:34 *22768:B1 9.24915 +*END + +*D_NET *2859 0.0347078 +*CONN +*I *21844:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21843:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21844:B1 0.00115524 +2 *21843:Y 0.00180478 +3 *2859:15 0.00345121 +4 *2859:14 0.00301361 +5 *2859:8 0.00270238 +6 *2859:7 0.00378951 +7 *21844:B1 *21884:A 0 +8 *21844:B1 *2903:8 9.77956e-05 +9 *21844:B1 *2966:23 0.000154145 +10 *21844:B1 *5874:79 0.00351425 +11 *2859:7 *4843:665 0.00282381 +12 *2859:8 *21883:A 0 +13 *2859:8 *21911:A 5.43351e-05 +14 *2859:8 *24009:S 0 +15 *2859:8 *24292:RESET_B 0 +16 *2859:8 *2899:11 0.000148129 +17 *2859:8 *2925:8 0.000584822 +18 *2859:8 *4877:22 8.21849e-06 +19 *2859:8 *5988:8 7.0954e-05 +20 *2859:8 *6027:66 0 +21 *2859:14 *20976:B2 0.000115573 +22 *2859:14 *23973:A1 0.000298155 +23 *2859:14 *4877:22 6.38982e-06 +24 *2859:14 *5785:45 0.000622087 +25 *2859:14 *6027:249 0 +26 *2859:15 *21348:A 0.000300565 +27 *2859:15 *2899:31 0.00239834 +28 *2859:15 *2981:13 0.000485459 +29 *20228:A *2859:15 9.54612e-05 +30 *20976:A1 *2859:14 7.13655e-06 +31 *20976:A2 *2859:14 3.92275e-05 +32 *21002:A2 *2859:8 0 +33 *21005:A2 *2859:8 0.000503747 +34 *21844:B2 *21844:B1 9.95922e-06 +35 *24003:A0 *2859:8 3.32476e-05 +36 *24003:A0 *2859:14 6.53156e-05 +37 *24009:A0 *2859:8 0.000277313 +38 *24265:D *2859:8 5.35715e-05 +39 *74:18 *21844:B1 0.000876356 +40 *1669:97 *21844:B1 2.75175e-05 +41 *1696:19 *21844:B1 2.16355e-05 +42 *1696:31 *21844:B1 3.31745e-05 +43 *1740:65 *21844:B1 0.00104159 +44 *1884:307 *21844:B1 1.5714e-05 +45 *1893:5 *2859:15 6.50727e-05 +46 *1893:10 *2859:15 0.000107496 +47 *1894:46 *2859:15 0.0012257 +48 *2164:208 *2859:8 4.73136e-05 +49 *2386:13 *2859:7 1.22938e-05 +50 *2446:33 *2859:15 3.55126e-05 +51 *2446:35 *2859:15 2.51104e-05 +52 *2466:16 *21844:B1 0.000255449 +53 *2528:20 *2859:14 4.55514e-05 +54 *2528:25 *2859:15 7.39264e-05 +55 *2585:29 *2859:8 0.000392918 +56 *2585:29 *2859:14 0.000168718 +57 *2587:16 *21844:B1 4.13992e-05 +58 *2645:21 *2859:15 0.00138279 +59 *2720:8 *2859:8 0 +60 *2858:8 *21844:B1 0.000127799 +*RES +1 *21843:Y *2859:7 46.0849 +2 *2859:7 *2859:8 58.7826 +3 *2859:8 *2859:14 26.9358 +4 *2859:14 *2859:15 68.385 +5 *2859:15 *21844:B1 39.5916 +*END + +*D_NET *2860 0.0625934 +*CONN +*I *21845:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *6100:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21844:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21845:C1 3.79097e-05 +2 *6100:DIODE 0 +3 *21844:X 0.00143094 +4 *2860:20 0.0113409 +5 *2860:11 0.0127339 +6 *2860:11 *2936:14 2.02035e-05 +7 *2860:11 *2963:102 0.00588542 +8 *2860:11 *2966:14 0.000346993 +9 *2860:11 *2966:23 2.02035e-05 +10 *2860:20 *21858:D 7.12632e-06 +11 *2860:20 *22763:A2 0.000351607 +12 *2860:20 *3066:26 0.000760931 +13 *2860:20 *3529:80 0.000188313 +14 *2860:20 *3533:62 0.00314571 +15 *2860:20 *3533:66 2.92606e-05 +16 *21548:A1 *2860:20 0.00104759 +17 *21548:B1 *2860:20 2.53221e-06 +18 *21777:A2 *2860:20 0.00076148 +19 *21808:A *2860:20 1.80683e-05 +20 *21808:B *2860:20 0.000326444 +21 *21825:A1 *2860:20 0.00020356 +22 *21845:A2 *21845:C1 5.04829e-06 +23 *22808:A1 *2860:20 0.00171179 +24 *1658:43 *2860:20 0.000485696 +25 *1689:168 *2860:20 0.00141597 +26 *1731:32 *2860:11 0.00400871 +27 *1773:67 *21845:C1 1.47978e-05 +28 *1773:69 *21845:C1 2.57847e-05 +29 *2543:28 *2860:20 0.000177342 +30 *2620:76 *2860:20 0.000310684 +31 *2662:37 *2860:20 6.19773e-06 +32 *2684:24 *2860:20 0.00113775 +33 *2697:32 *2860:20 2.02035e-05 +34 *2713:27 *2860:20 0.0010654 +35 *2812:18 *2860:20 0.0128347 +36 *2833:73 *2860:20 0.000683549 +37 *2844:46 *2860:20 4.80499e-06 +38 *2856:45 *21845:C1 2.57986e-05 +*RES +1 *21844:X *2860:11 25.8398 +2 *2860:11 *2860:20 47.4241 +3 *2860:20 *6100:DIODE 9.24915 +4 *2860:20 *21845:C1 10.5513 +*END + +*D_NET *2861 0.000629428 +*CONN +*I *21858:B I *D sky130_fd_sc_hd__and4_1 +*I *21845:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21858:B 0.000233208 +2 *21845:X 0.000233208 +3 *21858:B *21858:D 2.69233e-05 +4 *21813:B2 *21858:B 6.08467e-05 +5 *21845:A2 *21858:B 5.04829e-06 +6 *1586:33 *21858:B 6.22114e-05 +7 *2856:45 *21858:B 7.98171e-06 +*RES +1 *21845:X *21858:B 31.3022 +*END + +*D_NET *2862 0.0145233 +*CONN +*I *22455:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21851:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22762:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21846:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22455:A1 0 +2 *21851:A1 0.00092594 +3 *22762:A1 3.04592e-05 +4 *21846:Y 0.00141176 +5 *2862:21 0.00168603 +6 *2862:17 0.00115757 +7 *2862:11 0.00183971 +8 *21851:A1 *22784:A2 0.000862567 +9 *21851:A1 *3461:24 0.000385108 +10 *21851:A1 *3461:26 1.91697e-05 +11 *21851:A1 *3474:130 4.81452e-05 +12 *21851:A1 *3476:98 8.35425e-05 +13 *21851:A1 *3493:101 1.49011e-05 +14 *22762:A1 *3474:130 4.78118e-05 +15 *2862:11 *3058:8 2.40776e-05 +16 *2862:11 *3175:87 0.000231 +17 *2862:17 *3155:116 0.000512833 +18 *2862:17 *3166:97 8.03915e-05 +19 *2862:17 *3539:94 7.20593e-05 +20 *2862:17 *4850:68 6.22114e-05 +21 *2862:21 *22455:A2 1.47978e-05 +22 *2862:21 *22763:C1 0.000205101 +23 *2862:21 *3474:115 0.000158451 +24 *2862:21 *3474:130 6.3657e-05 +25 *2862:21 *3539:94 0.000391697 +26 *19808:B *21851:A1 8.05753e-05 +27 *19828:A *2862:11 0.000966795 +28 *19872:B *2862:11 2.95884e-05 +29 *21851:A2 *21851:A1 0 +30 *21851:B2 *21851:A1 3.04407e-05 +31 *22784:B1 *21851:A1 1.5756e-05 +32 *1658:43 *21851:A1 3.77568e-05 +33 *1658:53 *2862:11 0.000391697 +34 *1744:197 *2862:17 1.9101e-05 +35 *1773:52 *2862:11 0.000157253 +36 *1773:67 *2862:11 0.000394507 +37 *1773:67 *2862:17 6.22259e-05 +38 *2685:42 *2862:17 4.12977e-05 +39 *2778:35 *22762:A1 4.78118e-05 +40 *2793:17 *2862:11 0.000254551 +41 *2828:33 *2862:17 2.16355e-05 +42 *2828:33 *2862:21 4.79321e-06 +43 *2828:47 *2862:21 0.000134288 +44 *2842:13 *21851:A1 0.000200794 +45 *2842:13 *2862:21 0.000975133 +46 *2850:56 *21851:A1 0.000143465 +47 *2856:45 *21851:A1 0.000184828 +*RES +1 *21846:Y *2862:11 45.9249 +2 *2862:11 *2862:17 20.6909 +3 *2862:17 *2862:21 21.1232 +4 *2862:21 *22762:A1 19.2506 +5 *2862:21 *21851:A1 44.4645 +6 *2862:17 *22455:A1 9.24915 +*END + +*D_NET *2863 0.0247085 +*CONN +*I *22451:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21851:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22774:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21847:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22451:A1 4.17153e-05 +2 *21851:B1 0.000532538 +3 *22774:B1 0 +4 *21847:Y 0.0010374 +5 *2863:40 0.00186082 +6 *2863:27 0.00260038 +7 *2863:14 0.00247506 +8 *2863:8 0.00228209 +9 *21851:B1 *21851:C1 7.62777e-05 +10 *21851:B1 *22763:B2 0.000603575 +11 *21851:B1 *3417:32 0.000606449 +12 *21851:B1 *3537:67 0.000302625 +13 *2863:8 *20889:A1 3.39118e-05 +14 *2863:8 *21594:A 2.16855e-05 +15 *2863:8 *24919:A 0.000337818 +16 *2863:8 *4852:11 7.50872e-05 +17 *2863:14 *22774:B2 0.000150264 +18 *2863:14 *3368:27 0.00121777 +19 *2863:14 *3533:88 0.000414063 +20 *2863:14 *3717:14 0.000162338 +21 *2863:27 *22459:B1 0.000210346 +22 *2863:27 *3153:266 0.000254547 +23 *2863:27 *3162:106 7.48876e-06 +24 *2863:27 *3164:112 0.000436479 +25 *2863:27 *3521:64 0.000442069 +26 *2863:27 *3529:92 0.000426174 +27 *2863:27 *5453:21 0.000157936 +28 *2863:27 *5924:37 0.000174584 +29 *2863:40 *3153:266 0.000750225 +30 *2863:40 *3162:102 0.000742893 +31 *2863:40 *3162:106 4.42742e-06 +32 *2863:40 *3474:115 5.88009e-05 +33 *19790:B1 *2863:27 0.000739176 +34 *20488:B2 *2863:8 0 +35 *21617:A1 *21851:B1 3.82228e-05 +36 *21808:B *2863:40 5.88009e-05 +37 *21851:A2 *21851:B1 4.31603e-06 +38 *1546:29 *2863:27 6.47951e-05 +39 *1554:17 *2863:14 0.000142544 +40 *1554:17 *2863:27 0.000110106 +41 *1569:54 *2863:27 0.000523409 +42 *1569:71 *2863:14 0.000217293 +43 *1658:43 *2863:40 0.000156955 +44 *1690:108 *2863:8 0.000589567 +45 *1690:120 *2863:8 0 +46 *1733:26 *2863:8 0 +47 *1773:67 *2863:40 0.000371926 +48 *2593:30 *2863:8 0.0015132 +49 *2603:74 *2863:8 0.000364933 +50 *2605:13 *2863:40 0.00082661 +51 *2767:27 *2863:14 0.000142544 +52 *2767:27 *2863:27 0.000100982 +53 *2785:102 *2863:8 3.94852e-05 +54 *2810:60 *2863:14 0.000205835 +*RES +1 *21847:Y *2863:8 47.2464 +2 *2863:8 *2863:14 12.5642 +3 *2863:14 *22774:B1 13.7491 +4 *2863:14 *2863:27 17.4341 +5 *2863:27 *2863:40 49.57 +6 *2863:40 *21851:B1 30.8681 +7 *2863:27 *22451:A1 14.4725 +*END + +*D_NET *2864 0.0766039 +*CONN +*I *22769:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22464:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21850:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21848:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22769:A1 0 +2 *22464:A1 0.000876844 +3 *21850:A1 0.000210706 +4 *21848:Y 0.000961349 +5 *2864:95 0.00108755 +6 *2864:93 0.00168192 +7 *2864:82 0.00428258 +8 *2864:71 0.00373817 +9 *2864:64 0.00173335 +10 *2864:61 0.00185345 +11 *2864:58 0.00233419 +12 *2864:52 0.001608 +13 *2864:49 0.00116709 +14 *2864:44 0.00288303 +15 *2864:43 0.00320657 +16 *2864:25 0.00247627 +17 *2864:19 0.00511979 +18 *2864:18 0.00360273 +19 *2864:16 8.54185e-05 +20 *2864:15 0.000864321 +21 *2864:11 0.00174025 +22 *21850:A1 *22767:A1 1.58733e-05 +23 *21850:A1 *22779:D 2.0994e-05 +24 *22464:A1 *21850:B1 0.000159876 +25 *22464:A1 *22463:A1 0.000154688 +26 *22464:A1 *22733:A2 0.00044008 +27 *22464:A1 *22743:D 0.000154939 +28 *22464:A1 *3126:166 0.000304763 +29 *22464:A1 *3198:53 0.000186385 +30 *22464:A1 *3385:19 0.000309069 +31 *22464:A1 *3390:11 2.42632e-05 +32 *22464:A1 *3671:95 6.74182e-05 +33 *2864:11 *21575:A 0 +34 *2864:11 *24220:SET_B 0.000101133 +35 *2864:11 *5851:301 1.68874e-05 +36 *2864:11 *5851:311 7.02172e-06 +37 *2864:19 *21908:B1 1.00981e-05 +38 *2864:19 *24758:RESET_B 0.000374696 +39 *2864:19 *24760:CLK 9.6437e-05 +40 *2864:19 *2922:7 0.00056613 +41 *2864:19 *5807:15 8.7726e-05 +42 *2864:19 *5870:94 0.000161949 +43 *2864:25 *5807:15 0.000503703 +44 *2864:25 *5814:9 0.000253916 +45 *2864:25 *5814:13 0.000271044 +46 *2864:25 *5814:17 0.000372993 +47 *2864:25 *5860:180 0.000213739 +48 *2864:43 *20067:A 4.98818e-05 +49 *2864:43 *20071:B1 0.000163411 +50 *2864:43 *21099:A1 0.000167249 +51 *2864:43 *24209:CLK 5.13932e-05 +52 *2864:43 *5446:20 0 +53 *2864:43 *5448:7 6.08467e-05 +54 *2864:44 *24030:A1 0.000205364 +55 *2864:44 *3766:10 0.000284019 +56 *2864:44 *5449:8 0 +57 *2864:44 *5449:27 0 +58 *2864:44 *5449:38 0 +59 *2864:44 *5450:31 0.000739583 +60 *2864:44 *5811:44 0 +61 *2864:49 *23955:A0 0.000253916 +62 *2864:52 *21216:A 0.00012568 +63 *2864:52 *5785:24 0.00141629 +64 *2864:58 *21675:A 5.4694e-06 +65 *2864:58 *2877:11 0.00116827 +66 *2864:64 *23370:A 1.04548e-05 +67 *2864:64 *4870:26 0 +68 *2864:71 *23372:A 0.000158371 +69 *2864:71 *23374:A 2.29454e-05 +70 *2864:71 *24634:CLK 0.00030926 +71 *2864:71 *24928:A 0.000344954 +72 *2864:71 *24966:A 0.000160617 +73 *2864:71 *4867:7 0.000204696 +74 *2864:71 *4905:5 9.82896e-06 +75 *2864:71 *5858:166 3.58044e-05 +76 *2864:82 *21896:A 0.000111208 +77 *2864:82 *22468:C1 1.28732e-05 +78 *2864:82 *24634:SET_B 1.67442e-05 +79 *2864:82 *2974:13 1.4091e-06 +80 *2864:82 *5152:5 0.000115596 +81 *2864:93 *22468:C1 1.5714e-05 +82 *2864:93 *22779:C 0.000154145 +83 *2864:93 *2912:23 9.95234e-05 +84 *2864:93 *2915:8 7.14746e-05 +85 *2864:93 *2915:19 0.000116111 +86 *2864:93 *2959:43 6.08467e-05 +87 *2864:93 *2959:48 0.000246119 +88 *19641:B *2864:19 4.31539e-05 +89 *19656:A *2864:25 0.000328363 +90 *20112:A *2864:44 5.53934e-05 +91 *20118:A0 *2864:44 3.00073e-05 +92 *20118:S *2864:44 0 +93 *21080:B2 *2864:11 6.73186e-05 +94 *21099:B1 *2864:43 0.000108399 +95 *21113:B2 *2864:61 0.000716623 +96 *21122:A2 *2864:61 4.02594e-05 +97 *21122:B2 *2864:61 0.00014935 +98 *21351:B1 *21850:A1 0 +99 *21789:C1 *22464:A1 9.59075e-05 +100 *21908:A2 *2864:19 9.14669e-05 +101 *22120:A_N *2864:43 5.04829e-06 +102 *24029:A0 *2864:44 7.86847e-05 +103 *24030:A0 *2864:44 6.31809e-05 +104 *24203:D *2864:64 0 +105 *24209:RESET_B *2864:43 5.69771e-05 +106 *24222:D *2864:11 0.000553213 +107 *24634:D *2864:82 6.96356e-05 +108 *25203:A *2864:52 0.000113199 +109 *460:30 *2864:64 0.000733102 +110 *514:101 *2864:11 0.00118181 +111 *561:14 *2864:44 0.00058497 +112 *1455:74 *2864:52 0.00136467 +113 *1467:96 *2864:19 9.14834e-05 +114 *1467:118 *2864:44 0.00069502 +115 *1471:128 *2864:49 6.88911e-05 +116 *1471:160 *2864:49 0.000247771 +117 *1485:112 *2864:71 0.000222995 +118 *1496:75 *21850:A1 0.000158578 +119 *1496:75 *22464:A1 0.000118435 +120 *1510:99 *21850:A1 1.31391e-05 +121 *1525:38 *2864:58 7.65861e-05 +122 *1525:42 *2864:58 0.000208961 +123 *1526:41 *2864:58 7.65861e-05 +124 *1526:50 *2864:58 0.0002212 +125 *1541:108 *2864:93 7.90714e-05 +126 *1541:115 *2864:93 9.84502e-05 +127 *1541:128 *2864:93 2.18145e-05 +128 *1641:57 *21850:A1 6.09999e-05 +129 *1641:57 *22464:A1 4.70104e-05 +130 *1641:57 *2864:93 2.16355e-05 +131 *1660:13 *2864:93 0.00012316 +132 *1699:20 *2864:64 0.000244147 +133 *1730:75 *2864:93 0.00127665 +134 *1731:68 *2864:44 0.000353052 +135 *1744:95 *2864:11 0.000998917 +136 *1744:95 *2864:15 0.000609703 +137 *1744:95 *2864:19 5.25013e-05 +138 *1744:99 *2864:19 2.35418e-05 +139 *1755:45 *2864:71 0.000171985 +140 *1755:98 *2864:71 0.000513886 +141 *1755:98 *2864:82 0.00017442 +142 *1756:49 *2864:71 5.04829e-06 +143 *1813:23 *2864:43 0.000353492 +144 *1841:16 *2864:44 0 +145 *2284:22 *2864:64 5.11466e-05 +146 *2398:16 *2864:64 0 +147 *2426:16 *2864:44 0.00015708 +148 *2469:10 *2864:49 5.51483e-06 +149 *2502:17 *2864:44 0.000793439 +150 *2505:50 *2864:44 0.000505086 +151 *2632:28 *2864:52 0.000209579 +152 *2715:29 *2864:61 0.00189018 +153 *2745:11 *2864:82 0.00218673 +154 *2746:43 *21850:A1 6.21488e-06 +155 *2785:41 *2864:71 0.0034224 +156 *2833:27 *2864:82 5.46875e-05 +157 *2833:27 *2864:93 2.57465e-06 +*RES +1 *21848:Y *2864:11 48.5588 +2 *2864:11 *2864:15 22.1738 +3 *2864:15 *2864:16 127.479 +4 *2864:16 *2864:18 9.24915 +5 *2864:18 *2864:19 55.3519 +6 *2864:19 *2864:25 45.4563 +7 *2864:25 *2864:43 30.1812 +8 *2864:43 *2864:44 79.4842 +9 *2864:44 *2864:49 23.0339 +10 *2864:49 *2864:52 36.2302 +11 *2864:52 *2864:58 29.8517 +12 *2864:58 *2864:61 39.0542 +13 *2864:61 *2864:64 24.1878 +14 *2864:64 *2864:71 48.0817 +15 *2864:71 *2864:82 49.918 +16 *2864:82 *2864:93 46.2699 +17 *2864:93 *2864:95 4.5 +18 *2864:95 *21850:A1 23.9383 +19 *2864:95 *22464:A1 38.2524 +20 *2864:93 *22769:A1 9.24915 +*END + +*D_NET *2865 0.0137219 +*CONN +*I *22764:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21850:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22452:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21849:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22764:A1 0 +2 *21850:B1 0.000210379 +3 *22452:A1 0 +4 *21849:Y 0.000233323 +5 *2865:32 0.00259701 +6 *2865:14 0.00250774 +7 *2865:11 0.000354433 +8 *21850:B1 *22463:A1 0.000185107 +9 *21850:B1 *22767:A1 0.000160384 +10 *2865:11 *21849:A 6.1438e-05 +11 *2865:11 *21881:A1 0.000154145 +12 *2865:11 *3500:33 5.481e-05 +13 *2865:14 *22452:B2 9.21233e-05 +14 *2865:14 *22474:B2 7.8446e-05 +15 *2865:14 *5860:511 0.00019057 +16 *2865:32 *22453:B1 0.000104233 +17 *2865:32 *22733:A2 0.000107496 +18 *2865:32 *22765:B1 0.000140778 +19 *2865:32 *3071:26 0.000208955 +20 *2865:32 *3124:93 6.47302e-06 +21 *2865:32 *3162:27 4.11652e-05 +22 *2865:32 *3164:15 0.00233038 +23 *2865:32 *3185:30 1.5714e-05 +24 *2865:32 *5860:511 0.000384177 +25 *21531:C1 *2865:32 0.000112082 +26 *21850:B2 *21850:B1 1.07248e-05 +27 *22464:A1 *21850:B1 0.000159876 +28 *1544:76 *2865:32 3.95516e-05 +29 *1544:98 *2865:32 0.000496556 +30 *1552:35 *2865:11 0.000113466 +31 *1641:57 *21850:B1 0.000177774 +32 *1641:57 *2865:32 1.85244e-05 +33 *1645:49 *2865:32 0.000753811 +34 *1671:126 *2865:11 6.08467e-05 +35 *1675:32 *21850:B1 2.87612e-05 +36 *1691:72 *2865:11 0.000209402 +37 *1800:8 *2865:32 6.08467e-05 +38 *1800:249 *2865:32 0.000573987 +39 *2338:41 *2865:32 0.000645587 +40 *2815:14 *2865:14 7.5909e-06 +41 *2815:14 *2865:32 3.32136e-05 +*RES +1 *21849:Y *2865:11 25.1287 +2 *2865:11 *2865:14 8.40826 +3 *2865:14 *22452:A1 13.7491 +4 *2865:14 *2865:32 45.3774 +5 *2865:32 *21850:B1 21.3947 +6 *2865:11 *22764:A1 9.24915 +*END + +*D_NET *2866 0.0183371 +*CONN +*I *21851:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21850:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21851:C1 0.000527481 +2 *21850:X 0.00339997 +3 *2866:21 0.00392745 +4 *21851:C1 *3461:26 2.58814e-05 +5 *21851:C1 *3474:111 0.000355881 +6 *21851:C1 *3476:71 3.55968e-05 +7 *21851:C1 *3476:98 0.000392753 +8 *2866:21 *22463:A1 0.000224923 +9 *2866:21 *22767:A1 0.000169093 +10 *2866:21 *3029:33 0.00196166 +11 *2866:21 *3139:75 0.00102336 +12 *2866:21 *3417:29 0.00180334 +13 *2866:21 *3702:20 5.24523e-05 +14 *21455:A2 *2866:21 0.00163136 +15 *21851:B1 *21851:C1 7.62777e-05 +16 *22726:B1 *2866:21 0.000957043 +17 *1435:125 *2866:21 0.00025849 +18 *1645:15 *2866:21 3.17436e-05 +19 *1645:49 *2866:21 7.38427e-05 +20 *1675:32 *2866:21 0.000397717 +21 *1731:107 *2866:21 0.000263669 +22 *1762:86 *2866:21 5.60804e-05 +23 *2746:43 *2866:21 0.00063243 +24 *2750:56 *2866:21 5.67171e-05 +25 *2812:18 *2866:21 1.87619e-06 +*RES +1 *21850:X *2866:21 42.4169 +2 *2866:21 *21851:C1 26.7356 +*END + +*D_NET *2867 0.0044925 +*CONN +*I *21858:C I *D sky130_fd_sc_hd__and4_1 +*I *21851:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21858:C 0 +2 *21851:X 0.00103555 +3 *2867:11 0.00103555 +4 *2867:11 *21858:D 6.08467e-05 +5 *2867:11 *3537:67 0.000624943 +6 *19885:A2 *2867:11 0.000156955 +7 *1544:151 *2867:11 7.23857e-05 +8 *1561:39 *2867:11 0.000110297 +9 *1561:154 *2867:11 1.67533e-05 +10 *1634:70 *2867:11 0.000441481 +11 *1643:14 *2867:11 0.000444129 +12 *2686:27 *2867:11 0.000493608 +*RES +1 *21851:X *2867:11 46.0558 +2 *2867:11 *21858:C 9.24915 +*END + +*D_NET *2868 0.0302818 +*CONN +*I *22278:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22631:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21857:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21852:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22278:B1 0 +2 *22631:B1 0.000285911 +3 *21857:A1 0.000604224 +4 *21852:Y 0.000962623 +5 *2868:24 0.00108535 +6 *2868:16 0.000403494 +7 *2868:12 0.00396632 +8 *2868:11 0.00472067 +9 *21857:A1 *22279:C1 4.99006e-05 +10 *21857:A1 *22613:B2 0.000430603 +11 *21857:A1 *3523:172 4.12833e-05 +12 *21857:A1 *3527:186 0.000227595 +13 *21857:A1 *3529:200 2.43314e-05 +14 *21857:A1 *3543:121 1.24189e-05 +15 *22631:B1 *3529:178 3.12828e-05 +16 *22631:B1 *3529:185 0.00101961 +17 *2868:11 *20744:B1 0.000729664 +18 *2868:11 *21473:A 1.19856e-05 +19 *2868:11 *24620:CLK 1.37029e-05 +20 *2868:11 *5142:10 0.000138276 +21 *2868:11 *5340:9 4.00689e-05 +22 *2868:12 *20431:B1 2.01503e-05 +23 *2868:12 *24214:CLK 0.000163737 +24 *2868:12 *24431:RESET_B 3.309e-05 +25 *2868:12 *2996:26 0.00281937 +26 *2868:12 *2996:38 9.33936e-05 +27 *2868:12 *2996:45 0.000865564 +28 *2868:12 *3036:14 0.000237791 +29 *2868:12 *3039:15 0.000388825 +30 *2868:12 *3278:17 3.40277e-05 +31 *2868:12 *4840:134 0.000773828 +32 *2868:12 *4860:18 8.76707e-06 +33 *2868:12 *4890:8 0.000322865 +34 *2868:12 *4890:10 0.000918509 +35 *2868:12 *4890:51 0.000208717 +36 *2868:12 *4890:62 0.000327672 +37 *2868:12 *4893:89 0.000378118 +38 *2868:12 *5325:10 0.000121533 +39 *2868:12 *5853:107 0.000118485 +40 *2868:16 *3039:15 8.91845e-05 +41 *2868:24 *22279:C1 0.000253163 +42 *2868:24 *3039:15 1.8284e-05 +43 *2868:24 *3128:184 0.000107496 +44 *2868:24 *3529:200 0.000104549 +45 *2868:24 *3531:196 0.000111722 +46 *20634:B2 *2868:12 0.000186801 +47 *20701:A *2868:12 8.95237e-05 +48 *20704:B2 *2868:12 7.77309e-06 +49 *20708:B2 *2868:12 4.57241e-06 +50 *24620:D *2868:11 0.000103123 +51 *1614:104 *21857:A1 5.1493e-06 +52 *1617:33 *21857:A1 9.02227e-05 +53 *1652:95 *22631:B1 0.0011172 +54 *1771:127 *21857:A1 6.19393e-05 +55 *1771:131 *2868:12 3.5189e-05 +56 *1771:131 *2868:16 0.000261932 +57 *1771:131 *2868:24 0.000194296 +58 *1789:47 *2868:12 0.00031321 +59 *2077:19 *2868:12 7.50872e-05 +60 *2530:7 *2868:11 0.00056387 +61 *2589:46 *22631:B1 6.16595e-06 +62 *2770:46 *22631:B1 1.17054e-05 +63 *2776:10 *2868:12 0.00225472 +64 *2776:47 *21857:A1 1.62314e-05 +65 *2819:18 *2868:12 0.0011698 +66 *2819:38 *2868:12 0.000387184 +67 *2820:62 *22631:B1 7.92757e-06 +*RES +1 *21852:Y *2868:11 35.7404 +2 *2868:11 *2868:12 144.349 +3 *2868:12 *2868:16 5.80132 +4 *2868:16 *2868:24 16.4335 +5 *2868:24 *21857:A1 28.0387 +6 *2868:24 *22631:B1 36.6753 +7 *2868:16 *22278:B1 13.7491 +*END + +*D_NET *2869 0.0494222 +*CONN +*I *22279:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21857:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22618:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21853:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22279:A1 1.39384e-05 +2 *21857:B1 0.000430772 +3 *22618:A1 0.000274222 +4 *21853:Y 0.000794472 +5 *2869:51 0.00175281 +6 *2869:46 0.00268478 +7 *2869:32 0.0018351 +8 *2869:26 0.00250731 +9 *2869:23 0.00189074 +10 *2869:18 0.00266547 +11 *2869:17 0.00366289 +12 *2869:14 0.00221381 +13 *2869:11 0.00158168 +14 *21857:B1 *2872:15 2.28572e-05 +15 *21857:B1 *3543:121 5.66725e-05 +16 *21857:B1 *3543:123 1.67225e-05 +17 *22279:A1 *22279:A2 6.3657e-05 +18 *22618:A1 *22379:A2 0.000455765 +19 *22618:A1 *3243:30 0.000483839 +20 *22618:A1 *3569:13 7.58067e-06 +21 *2869:11 *21509:A 0.000167076 +22 *2869:11 *4839:293 6.404e-05 +23 *2869:11 *4840:325 3.77804e-05 +24 *2869:14 *22022:A 0.000240665 +25 *2869:14 *3045:10 0.000184931 +26 *2869:14 *4838:274 0.000543718 +27 *2869:14 *4842:176 3.55968e-05 +28 *2869:14 *5119:10 0.000151741 +29 *2869:14 *5866:499 9.98029e-06 +30 *2869:14 *5898:254 1.2819e-05 +31 *2869:17 *21276:A 0.000221251 +32 *2869:18 *24624:CLK 0.000102417 +33 *2869:18 *2881:10 0.000894148 +34 *2869:18 *2881:17 6.04912e-06 +35 *2869:18 *4844:126 0.00149428 +36 *2869:18 *4844:128 8.52652e-05 +37 *2869:18 *4844:130 0.0013968 +38 *2869:18 *5898:254 7.457e-05 +39 *2869:23 *5853:119 3.54435e-05 +40 *2869:23 *5853:137 0.000344785 +41 *2869:26 *4822:56 0.000288631 +42 *2869:26 *4822:66 0.000161809 +43 *2869:26 *5853:119 5.71849e-05 +44 *2869:32 *21091:A1 4.36158e-05 +45 *2869:32 *21092:B1 6.85924e-05 +46 *2869:32 *21451:A 0.000134227 +47 *2869:32 *22278:A1 1.5714e-05 +48 *2869:32 *22279:B2 0.000244959 +49 *2869:32 *22318:A2 0.000133025 +50 *2869:32 *2906:8 5.11322e-06 +51 *2869:32 *3148:117 0.000206648 +52 *2869:32 *3177:339 3.58315e-06 +53 *2869:32 *3215:10 7.67599e-05 +54 *2869:32 *3233:22 1.37385e-05 +55 *2869:32 *5352:18 5.57532e-05 +56 *2869:32 *5853:178 8.28712e-05 +57 *2869:46 *22281:A2 3.26582e-06 +58 *2869:46 *22283:B2 7.19128e-05 +59 *2869:46 *2906:8 0.000103519 +60 *2869:46 *2906:12 0.000264213 +61 *2869:46 *3010:76 7.67825e-05 +62 *2869:46 *3051:54 0.00017036 +63 *2869:46 *3233:22 4.78133e-05 +64 *2869:46 *3527:207 7.03364e-05 +65 *2869:46 *3533:143 0.000240427 +66 *2869:51 *22268:C1 0.000162797 +67 *2869:51 *22271:C 1.91246e-05 +68 *2869:51 *22271:D 0.000167239 +69 *2869:51 *22293:D 0.000106413 +70 *2869:51 *22628:A2 0.000116227 +71 *2869:51 *2906:12 2.27175e-05 +72 *2869:51 *3042:25 5.88009e-05 +73 *2869:51 *3044:41 0.000333446 +74 *2869:51 *3051:54 0.00114154 +75 *2869:51 *3120:143 0.000938434 +76 *2869:51 *3233:8 8.21016e-06 +77 *2869:51 *3493:73 0.000344954 +78 *2869:51 *3537:215 3.77568e-05 +79 *6079:DIODE *2869:51 0.000122265 +80 *20500:A *2869:14 3.8079e-05 +81 *20592:B2 *2869:14 0.000528989 +82 *20595:B2 *2869:14 0.000222699 +83 *21092:B2 *2869:32 1.91391e-05 +84 *21095:A2 *2869:26 0.000120237 +85 *21856:B2 *21857:B1 6.32156e-05 +86 *21857:A2 *21857:B1 1.77843e-05 +87 *21857:B2 *21857:B1 6.3657e-05 +88 *24212:D *2869:26 8.69817e-05 +89 *24392:D *2869:18 0.000122083 +90 *24511:D *2869:14 8.62625e-06 +91 *24624:D *2869:18 0.00015324 +92 *490:46 *2869:11 0.000816881 +93 *1615:20 *2869:46 0.000245692 +94 *1618:126 *2869:46 0.00016581 +95 *1620:60 *2869:51 1.09631e-05 +96 *1647:87 *2869:51 1.22407e-05 +97 *1647:121 *2869:46 3.04443e-05 +98 *1771:131 *2869:32 0.000191396 +99 *1789:28 *2869:18 0.00133742 +100 *1789:47 *2869:23 6.25883e-06 +101 *1979:11 *2869:14 0.000123582 +102 *2030:39 *2869:18 0.000712149 +103 *2209:28 *2869:26 0.00118395 +104 *2209:30 *2869:26 9.02963e-06 +105 *2209:32 *2869:26 3.12931e-05 +106 *2209:32 *2869:32 0.000426885 +107 *2262:10 *2869:17 0.00103121 +108 *2287:9 *2869:17 0.000158644 +109 *2339:8 *2869:18 0.00137475 +110 *2348:8 *2869:18 0.000392289 +111 *2441:21 *2869:23 0.0009712 +112 *2441:43 *2869:23 0.000112514 +113 *2566:8 *2869:14 0.0002517 +114 *2589:10 *2869:14 2.18741e-05 +115 *2613:47 *2869:51 0.000170921 +116 *2635:8 *2869:26 0.00047978 +117 *2776:19 *2869:23 0.000584195 +118 *2776:29 *22279:A1 2.57986e-05 +119 *2820:62 *2869:32 0.000136926 +120 *2820:62 *2869:46 2.77564e-05 +121 *2827:29 *2869:26 0.00111952 +122 *2827:29 *2869:32 2.17472e-05 +123 *2827:30 *2869:51 5.33885e-05 +*RES +1 *21853:Y *2869:11 42.6142 +2 *2869:11 *2869:14 36.6454 +3 *2869:14 *2869:17 28.5167 +4 *2869:17 *2869:18 98.1705 +5 *2869:18 *2869:23 25.2523 +6 *2869:23 *2869:26 46.9846 +7 *2869:26 *2869:32 29.4707 +8 *2869:32 *2869:46 32.5576 +9 *2869:46 *2869:51 49.4195 +10 *2869:51 *22618:A1 27.9709 +11 *2869:46 *21857:B1 22.671 +12 *2869:32 *22279:A1 14.4725 +*END + +*D_NET *2870 0.0197761 +*CONN +*I *21856:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22628:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22286:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21854:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21856:A1 0.000178992 +2 *22628:A1 4.74491e-05 +3 *22286:B1 3.29901e-05 +4 *21854:Y 0.00189523 +5 *2870:55 0.000342052 +6 *2870:40 0.00268497 +7 *2870:21 0.0044316 +8 *21856:A1 *22699:A2 0.000145165 +9 *21856:A1 *3044:33 0.000138069 +10 *22286:B1 *22286:C1 5.41992e-05 +11 *22286:B1 *3190:169 5.88647e-05 +12 *22286:B1 *3190:185 3.24009e-05 +13 *22628:A1 *2906:39 0.000158451 +14 *22628:A1 *3192:135 5.481e-05 +15 *2870:21 *21292:A 0.00111149 +16 *2870:21 *2906:52 3.29488e-05 +17 *2870:21 *3257:44 1.20478e-05 +18 *2870:21 *4805:36 5.97738e-05 +19 *2870:21 *5857:105 5.25897e-05 +20 *2870:21 *5859:167 2.29557e-06 +21 *2870:40 *22685:A2 0.000117455 +22 *2870:40 *22685:B2 2.29454e-05 +23 *2870:40 *22685:C1 1.03403e-05 +24 *2870:40 *22699:A2 2.58521e-05 +25 *2870:40 *2881:51 6.00033e-05 +26 *2870:40 *3044:33 0.000113855 +27 *2870:40 *3177:298 8.06912e-05 +28 *2870:40 *3190:189 7.71458e-05 +29 *2870:40 *3327:33 0.000148535 +30 *2870:40 *3507:227 7.60356e-05 +31 *2870:40 *3517:281 0.000157107 +32 *2870:40 *3521:198 0.000344769 +33 *2870:40 *3521:206 5.41256e-05 +34 *2870:55 *22699:A2 0.00015599 +35 *2870:55 *3044:33 0.000150276 +36 *21856:A2 *21856:A1 7.77744e-05 +37 *21856:B2 *21856:A1 6.08467e-05 +38 *22685:A1 *2870:21 0.00114439 +39 *22685:A1 *2870:40 0.000195794 +40 *22685:B1 *2870:40 6.85329e-05 +41 *22698:A1 *2870:40 0.000791225 +42 *1634:45 *2870:21 0.000392725 +43 *1634:45 *2870:40 0.000998705 +44 *1635:106 *2870:21 4.01529e-05 +45 *1663:21 *2870:21 4.72625e-05 +46 *1679:68 *2870:40 0.00108878 +47 *1688:75 *2870:21 0 +48 *2027:20 *2870:21 1.62037e-05 +49 *2262:102 *2870:21 1.86023e-05 +50 *2334:15 *2870:21 0.000599869 +51 *2334:15 *2870:40 0.000387646 +52 *2391:100 *2870:40 2.32823e-05 +53 *2404:60 *2870:21 3.55113e-05 +54 *2404:60 *2870:40 0.000304378 +55 *2421:78 *2870:21 0.000303105 +56 *2610:48 *2870:21 5.97738e-05 +*RES +1 *21854:Y *2870:21 48.8156 +2 *2870:21 *2870:40 32.4912 +3 *2870:40 *22286:B1 15.413 +4 *2870:40 *2870:55 3.493 +5 *2870:55 *22628:A1 15.5817 +6 *2870:55 *21856:A1 19.2141 +*END + +*D_NET *2871 0.0157707 +*CONN +*I *21856:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22292:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21855:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21856:B1 0 +2 *22292:B1 0.00101916 +3 *21855:Y 0.000180508 +4 *2871:18 0.00141466 +5 *2871:8 0.00270133 +6 *2871:7 0.00248634 +7 *22292:B1 *22263:A2 0.000270426 +8 *22292:B1 *22268:C1 2.57847e-05 +9 *22292:B1 *22615:A1 0.000171825 +10 *22292:B1 *22616:D 6.51527e-05 +11 *22292:B1 *2888:51 0.000104572 +12 *22292:B1 *3039:46 0.000295066 +13 *22292:B1 *3039:55 2.16355e-05 +14 *22292:B1 *3051:54 0.000357379 +15 *22292:B1 *3192:135 7.09666e-06 +16 *22292:B1 *3233:8 0.000116428 +17 *22292:B1 *3233:22 0.000136089 +18 *22292:B1 *3509:241 2.38923e-05 +19 *22292:B1 *3564:14 0.000268157 +20 *22292:B1 *4857:12 2.30279e-05 +21 *2871:7 *20708:A1 0.000209326 +22 *2871:8 *19851:A 9.83856e-05 +23 *2871:8 *19959:A 0.000118854 +24 *2871:8 *21095:B1 0.000809596 +25 *2871:8 *22261:A1 0.000331507 +26 *2871:8 *22262:D 6.56365e-05 +27 *2871:8 *22283:B2 0.000393733 +28 *2871:8 *22612:A1 0.000151037 +29 *2871:8 *22613:B2 3.80436e-07 +30 *2871:8 *3022:24 3.63593e-05 +31 *2871:8 *3039:15 0 +32 *2871:8 *3114:251 0.000218895 +33 *2871:8 *3162:156 4.77858e-05 +34 *2871:8 *3162:167 0.000407079 +35 *2871:8 *3164:180 2.27135e-05 +36 *2871:8 *3529:200 4.83562e-06 +37 *2871:8 *4860:18 0.000481484 +38 *2871:8 *5855:108 3.39118e-05 +39 *2871:8 *5859:115 0.000127707 +40 *2871:8 *5865:10 0.00024189 +41 *2871:18 *22283:C1 1.9101e-05 +42 *2871:18 *22613:A1 0.000231135 +43 *2871:18 *22613:B2 0.000161234 +44 *2871:18 *2906:12 0 +45 *2871:18 *3051:54 0.000178538 +46 *2871:18 *3233:22 0.000215105 +47 *20700:B *2871:8 0.000249161 +48 *20708:A2 *2871:8 0.000342861 +49 *20708:B2 *2871:7 1.00846e-05 +50 *21095:B2 *2871:8 5.99155e-05 +51 *22318:B1 *2871:8 9.30864e-05 +52 *1614:104 *2871:18 0.000154145 +53 *1771:127 *2871:8 2.84783e-05 +54 *2542:8 *2871:8 0.000406635 +55 *2542:26 *2871:8 0.000117613 +56 *2776:47 *22292:B1 1.39662e-05 +*RES +1 *21855:Y *2871:7 16.691 +2 *2871:7 *2871:8 67.8571 +3 *2871:8 *2871:18 19.2312 +4 *2871:18 *22292:B1 47.243 +5 *2871:18 *21856:B1 13.7491 +*END + +*D_NET *2872 0.00153052 +*CONN +*I *21857:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21856:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21857:C1 1.69719e-05 +2 *21856:X 0.000363555 +3 *2872:16 7.85569e-05 +4 *2872:15 0.00042514 +5 *21857:C1 *3575:17 6.08467e-05 +6 *2872:15 *3543:121 0.000149712 +7 *2872:15 *3543:123 0.000351642 +8 *21856:B2 *2872:15 2.36888e-06 +9 *21857:B1 *2872:15 2.28572e-05 +10 *1771:106 *21857:C1 5.88657e-05 +*RES +1 *21856:X *2872:15 26.1181 +2 *2872:15 *2872:16 81.1229 +3 *2872:16 *21857:C1 19.2217 +*END + +*D_NET *2873 0.0213577 +*CONN +*I *21858:D I *D sky130_fd_sc_hd__and4_1 +*I *21857:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21858:D 0.0012837 +2 *21857:X 0.000527124 +3 *2873:29 0.00254577 +4 *2873:17 0.00318958 +5 *2873:10 0.00245463 +6 *2873:10 *3039:46 0.000320719 +7 *2873:10 *3192:135 8.35235e-06 +8 *2873:10 *3233:22 0.000102836 +9 *2873:10 *3523:172 2.27175e-05 +10 *2873:10 *3581:13 0.00039939 +11 *2873:17 *22029:B1 0.000324456 +12 *2873:17 *22248:A 7.39022e-06 +13 *2873:17 *22248:B 4.93148e-05 +14 *2873:17 *22263:A2 4.6535e-05 +15 *2873:17 *22616:A 8.51503e-05 +16 *2873:17 *22616:B 1.58551e-05 +17 *2873:17 *2883:64 0.00113542 +18 *2873:17 *2985:36 7.92757e-06 +19 *2873:17 *3222:41 0.000294093 +20 *2873:17 *3509:189 0.000484995 +21 *2873:17 *3513:188 0.00172369 +22 *2873:17 *3519:183 0.000303342 +23 *2873:17 *3523:127 6.03237e-05 +24 *2873:17 *3564:14 1.03403e-05 +25 *2873:29 *22238:B 5.49916e-05 +26 *19791:B1 *21858:D 0.000305934 +27 *21512:B2 *2873:29 1.5714e-05 +28 *21629:A2 *2873:29 0.000262897 +29 *21759:A1 *2873:17 0.000361678 +30 *21766:B *2873:17 0.000317116 +31 *21808:C *21858:D 9.90599e-06 +32 *21833:B *21858:D 7.39749e-05 +33 *21839:A2 *21858:D 4.69495e-06 +34 *21845:B2 *21858:D 6.34651e-06 +35 *21858:B *21858:D 2.69233e-05 +36 *21902:A *2873:29 0.000480283 +37 *22247:A1 *2873:17 1.87091e-06 +38 *324:27 *21858:D 1.66626e-05 +39 *1576:86 *2873:29 0.000438346 +40 *1586:33 *21858:D 8.03951e-06 +41 *1594:85 *2873:17 5.48678e-06 +42 *1655:135 *2873:17 0 +43 *1666:12 *2873:29 0.00110068 +44 *1773:76 *21858:D 5.83325e-05 +45 *1788:102 *21858:D 0.000173499 +46 *2117:143 *2873:17 3.50416e-05 +47 *2539:10 *2873:17 1.5714e-05 +48 *2551:44 *2873:17 6.97621e-06 +49 *2686:27 *21858:D 3.73237e-05 +50 *2697:26 *21858:D 0.000833751 +51 *2697:32 *21858:D 0.000100592 +52 *2774:12 *2873:17 3.29488e-05 +53 *2776:47 *2873:10 4.0607e-05 +54 *2782:10 *2873:29 0.000304483 +55 *2836:20 *21858:D 1.5714e-05 +56 *2847:8 *21858:D 0.000445471 +57 *2857:52 *21858:D 0.000294119 +58 *2860:20 *21858:D 7.12632e-06 +59 *2867:11 *21858:D 6.08467e-05 +*RES +1 *21857:X *2873:10 31.1952 +2 *2873:10 *2873:17 48.0581 +3 *2873:17 *2873:29 44.9723 +4 *2873:29 *21858:D 48.7775 +*END + +*D_NET *2874 0.00241184 +*CONN +*I *21901:B I *D sky130_fd_sc_hd__and4_1 +*I *21858:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21901:B 0.000569107 +2 *21858:X 0.000569107 +3 *21901:B *21902:C 2.37827e-05 +4 *21901:B *3476:116 0.000298399 +5 *21901:B *3527:70 6.11393e-06 +6 *21901:B *4850:17 5.66308e-05 +7 *21813:B1 *21901:B 8.38426e-05 +8 *21813:B2 *21901:B 7.94462e-05 +9 *21838:B2 *21901:B 5.17042e-05 +10 *21901:A *21901:B 6.11872e-05 +11 *21902:A *21901:B 0.000194728 +12 *1583:103 *21901:B 0.000348482 +13 *1658:43 *21901:B 5.35941e-05 +14 *1708:70 *21901:B 1.5714e-05 +*RES +1 *21858:X *21901:B 43.6233 +*END + +*D_NET *2875 0.00909016 +*CONN +*I *21864:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21859:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21864:A1 0 +2 *21859:Y 0.000320978 +3 *2875:12 0.00181839 +4 *2875:11 0.00213937 +5 *2875:11 *21859:A 6.50586e-05 +6 *2875:11 *5386:7 0.000125601 +7 *2875:11 *5726:31 0.00019235 +8 *2875:12 *20909:B1 0 +9 *2875:12 *22039:A 1.33152e-05 +10 *2875:12 *22057:A 7.82239e-06 +11 *2875:12 *24927:A 3.63738e-05 +12 *2875:12 *2876:8 0.000275283 +13 *2875:12 *2876:34 5.06634e-05 +14 *2875:12 *3017:26 1.9101e-05 +15 *2875:12 *3053:6 0.00219738 +16 *2875:12 *4844:324 0.000242679 +17 *2875:12 *5397:10 4.91334e-05 +18 *2875:12 *5851:400 0 +19 *21524:A1 *2875:12 9.02963e-06 +20 *1419:37 *2875:12 0.000135506 +21 *1669:94 *2875:12 0.000103304 +22 *1691:71 *2875:12 3.25539e-05 +23 *2180:22 *2875:12 0.000488306 +24 *2180:27 *2875:12 0.000697974 +25 *2641:19 *2875:12 6.99849e-05 +*RES +1 *21859:Y *2875:11 22.4299 +2 *2875:11 *2875:12 59.9673 +3 *2875:12 *21864:A1 13.7491 +*END + +*D_NET *2876 0.0223306 +*CONN +*I *22452:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22768:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21864:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21860:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22452:B1 0.000313944 +2 *22768:A1 0.00151634 +3 *21864:B1 0.000219145 +4 *21860:Y 8.43875e-05 +5 *2876:34 0.00310184 +6 *2876:10 0.0015319 +7 *2876:8 0.00156573 +8 *2876:7 0.00160893 +9 *21864:B1 *21915:B1 1.15389e-05 +10 *21864:B1 *22004:B1 0.000158357 +11 *21864:B1 *2949:13 0.000207266 +12 *21864:B1 *2975:33 2.33638e-05 +13 *21864:B1 *3053:6 0.000165057 +14 *21864:B1 *3076:67 3.84031e-05 +15 *22452:B1 *22452:A2 0.000161234 +16 *22452:B1 *22452:B2 0.000141953 +17 *22452:B1 *3053:14 0.000187118 +18 *22768:A1 *22480:A2 9.97167e-05 +19 *22768:A1 *22769:C1 0.000107496 +20 *22768:A1 *22786:A2 0.000382385 +21 *22768:A1 *22786:C1 3.62787e-05 +22 *22768:A1 *3185:25 0.000294413 +23 *22768:A1 *3185:30 0.000211819 +24 *22768:A1 *3206:88 0.000368897 +25 *22768:A1 *3500:38 8.03699e-06 +26 *22768:A1 *3500:50 3.88655e-06 +27 *2876:7 *5898:21 0.000101939 +28 *2876:8 *22057:A 0.00012883 +29 *2876:8 *24927:A 6.14756e-06 +30 *2876:8 *3017:26 4.69495e-06 +31 *2876:8 *4844:324 5.51665e-05 +32 *2876:34 *20201:B1 4.54607e-05 +33 *2876:34 *24775:CLK 0.000276598 +34 *2876:34 *3053:6 0.000186253 +35 *2876:34 *3053:14 0.00027694 +36 *2876:34 *3185:25 3.47634e-05 +37 *2876:34 *3487:30 3.63738e-05 +38 *21351:B1 *22768:A1 0.000557971 +39 *21351:B1 *2876:34 2.49093e-05 +40 *21725:B1 *22768:A1 2.33103e-06 +41 *21864:A2 *21864:B1 1.41976e-05 +42 *21864:B2 *21864:B1 6.13538e-05 +43 *21881:A2 *22452:B1 7.09666e-06 +44 *21881:A2 *22768:A1 0.000675559 +45 *21881:B2 *22452:B1 0.000107496 +46 *22786:B1 *22768:A1 1.08428e-05 +47 *24773:D *2876:34 8.4653e-05 +48 *476:61 *2876:7 5.78474e-05 +49 *1419:37 *2876:8 0.000362054 +50 *1439:152 *2876:34 1.7847e-05 +51 *1449:84 *22768:A1 8.52347e-05 +52 *1552:8 *2876:34 8.62262e-06 +53 *1552:35 *22452:B1 0.000144196 +54 *1552:35 *2876:34 2.96449e-05 +55 *1552:37 *22452:B1 4.97888e-05 +56 *1680:52 *2876:34 3.91685e-05 +57 *1691:80 *22452:B1 0.000107496 +58 *1749:65 *2876:8 0.00283784 +59 *1749:65 *2876:34 3.618e-05 +60 *1749:80 *2876:34 4.27737e-05 +61 *1882:22 *21864:B1 0.00016864 +62 *2180:22 *2876:8 0.000177729 +63 *2180:27 *2876:8 0.000210403 +64 *2428:117 *2876:34 0.000516668 +65 *2638:8 *2876:34 0.00017464 +66 *2638:35 *2876:34 0.000268447 +67 *2667:72 *22768:A1 0.000514284 +68 *2693:25 *22452:B1 9.09386e-05 +69 *2727:14 *22768:A1 0.000760471 +70 *2740:27 *22768:A1 5.4678e-05 +71 *2875:12 *2876:8 0.000275283 +72 *2875:12 *2876:34 5.06634e-05 +*RES +1 *21860:Y *2876:7 16.691 +2 *2876:7 *2876:8 53.7385 +3 *2876:8 *2876:10 0.732798 +4 *2876:10 *21864:B1 30.4921 +5 *2876:10 *2876:34 35.998 +6 *2876:34 *22768:A1 32.3793 +7 *2876:34 *22452:B1 27.7319 +*END + +*D_NET *2877 0.0207897 +*CONN +*I *21863:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21861:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21863:A1 0.000708148 +2 *21861:Y 0.000154982 +3 *2877:31 0.00301379 +4 *2877:11 0.00438334 +5 *2877:10 0.00223267 +6 *21863:A1 *21863:B1 0.00152698 +7 *21863:A1 *2939:28 6.95171e-05 +8 *21863:A1 *5165:7 2.8079e-05 +9 *2877:10 *21895:A 0.000290614 +10 *2877:10 *5785:24 3.57576e-05 +11 *2877:11 *19757:A1 0.000362878 +12 *2877:11 *2948:15 0.00375754 +13 *2877:31 *24660:CLK 0.000418894 +14 *2877:31 *24661:CLK 0.000133668 +15 *2877:31 *2939:28 3.98439e-05 +16 *2877:31 *2948:15 0.000482378 +17 *2877:31 *5166:7 0.000107496 +18 *2877:31 *5854:148 2.99929e-05 +19 *2877:31 *5869:65 7.14746e-05 +20 *19757:B1 *2877:11 1.37189e-05 +21 *19757:B2 *2877:11 3.82228e-05 +22 *20048:B *21863:A1 4.03381e-05 +23 *21121:A *2877:11 0.000548863 +24 *24834:D *2877:11 0.000267404 +25 *25007:A *2877:10 0.000127179 +26 *25008:A *2877:10 3.91944e-05 +27 *459:72 *2877:11 0.000207266 +28 *1427:47 *2877:11 7.32245e-05 +29 *1677:22 *21863:A1 0.000191661 +30 *1677:45 *21863:A1 0.000110027 +31 *1698:117 *21863:A1 1.78868e-05 +32 *1715:10 *21863:A1 6.08467e-05 +33 *2502:23 *2877:11 3.7516e-05 +34 *2864:58 *2877:11 0.00116827 +*RES +1 *21861:Y *2877:10 24.1266 +2 *2877:10 *2877:11 64.7801 +3 *2877:11 *2877:31 47.3702 +4 *2877:31 *21863:A1 32.9524 +*END + +*D_NET *2878 0.0786487 +*CONN +*I *21863:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22772:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22459:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21862:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21863:B1 0.00100173 +2 *22772:B1 7.84015e-05 +3 *22459:B1 0.000652722 +4 *21862:Y 0.00124264 +5 *2878:59 0.00145126 +6 *2878:51 0.00464067 +7 *2878:50 0.0041263 +8 *2878:47 0.00242978 +9 *2878:44 0.0119501 +10 *2878:32 0.0119795 +11 *2878:25 0.00240511 +12 *2878:14 0.002116 +13 *2878:11 0.00220526 +14 *21863:B1 *2999:20 1.91391e-05 +15 *21863:B1 *5165:7 0.000107496 +16 *21863:B1 *5452:11 0 +17 *21863:B1 *5563:45 0 +18 *22459:B1 *22451:B2 0.000106447 +19 *22459:B1 *2958:14 0.00020082 +20 *22459:B1 *3153:266 4.51636e-05 +21 *22459:B1 *3162:102 7.48876e-06 +22 *22459:B1 *3162:106 0.000147297 +23 *22459:B1 *3411:57 6.08467e-05 +24 *22459:B1 *3717:14 1.91246e-05 +25 *22772:B1 *22772:A2 0.000203604 +26 *2878:11 *2965:13 7.50722e-05 +27 *2878:11 *5856:305 3.31745e-05 +28 *2878:11 *5860:163 0.0017567 +29 *2878:14 *21569:A 9.22013e-06 +30 *2878:14 *24761:CLK 0.000511863 +31 *2878:14 *4844:441 3.25122e-05 +32 *2878:14 *4928:95 0.000397759 +33 *2878:14 *5812:8 9.80784e-05 +34 *2878:14 *5812:32 8.3506e-05 +35 *2878:14 *5917:325 0 +36 *2878:14 *5917:350 5.42506e-06 +37 *2878:25 *2964:35 0.00030945 +38 *2878:25 *4863:99 0.000258142 +39 *2878:25 *5206:10 0.000111483 +40 *2878:25 *5860:260 0.000520378 +41 *2878:25 *5917:350 4.15201e-05 +42 *2878:25 *5917:380 0.000101133 +43 *2878:25 *5917:390 0.000241289 +44 *2878:25 *5917:408 1.41341e-05 +45 *2878:25 *5917:431 2.37419e-05 +46 *2878:32 *20381:A1 0.000287164 +47 *2878:32 *21828:A 0.000180593 +48 *2878:32 *24658:RESET_B 0.000183411 +49 *2878:32 *5856:241 0.000175627 +50 *2878:32 *5856:243 0.000566626 +51 *2878:44 *23042:B 0 +52 *2878:44 *23444:B 6.04169e-05 +53 *2878:44 *23626:A 0.00017044 +54 *2878:44 *23649:B1 0.000432858 +55 *2878:44 *23732:D 5.42408e-05 +56 *2878:44 *24060:S 0.000459919 +57 *2878:44 *2963:93 3.33546e-05 +58 *2878:44 *3014:69 5.91844e-05 +59 *2878:44 *3840:196 0.000111758 +60 *2878:44 *3888:49 0.000321214 +61 *2878:44 *4486:14 0.000117093 +62 *2878:44 *4802:18 0 +63 *2878:44 *4828:139 0.00145842 +64 *2878:44 *5452:11 0 +65 *2878:44 *5485:191 6.23101e-05 +66 *2878:44 *5563:45 0 +67 *2878:44 *5852:117 2.07556e-06 +68 *2878:47 *23044:A 1.41307e-05 +69 *2878:47 *3857:78 4.74655e-05 +70 *2878:47 *4352:21 0.000449125 +71 *2878:50 *24421:RESET_B 0.000690749 +72 *2878:50 *4798:39 0.000687273 +73 *2878:51 *22774:A2 0.000107496 +74 *2878:51 *22774:B2 0.00012139 +75 *2878:51 *22775:A2 2.77625e-06 +76 *2878:51 *22775:C1 4.16437e-06 +77 *2878:51 *2889:29 3.73375e-05 +78 *2878:59 *3543:192 0.000209246 +79 *19631:A *2878:32 0.000232003 +80 *19778:B *2878:32 9.75356e-05 +81 *19778:C *2878:32 0.000155232 +82 *20373:A2 *2878:32 8.50937e-05 +83 *20889:A2 *2878:51 9.08935e-05 +84 *21570:B1 *2878:14 3.05674e-05 +85 *21863:A1 *21863:B1 0.00152698 +86 *22451:B1 *22459:B1 1.03403e-05 +87 *22459:A1 *22459:B1 3.95378e-05 +88 *22774:A1 *2878:51 0.000216107 +89 *22775:A1 *2878:51 0.000319274 +90 *24658:D *2878:32 5.65463e-05 +91 *24892:D *2878:25 4.33862e-05 +92 *468:8 *2878:32 2.35364e-05 +93 *1422:34 *2878:32 5.92192e-05 +94 *1442:55 *21863:B1 3.66058e-05 +95 *1458:18 *2878:25 6.89053e-05 +96 *1459:26 *2878:25 0.000253916 +97 *1485:80 *2878:25 1.9101e-05 +98 *1485:155 *2878:47 0.000158371 +99 *1506:158 *2878:14 0.000797997 +100 *1515:60 *2878:44 0.000519608 +101 *1521:46 *2878:51 0.00182347 +102 *1547:26 *22459:B1 5.57273e-05 +103 *1547:26 *22772:B1 4.15661e-05 +104 *1569:54 *2878:51 1.97642e-05 +105 *1603:35 *2878:51 3.20011e-05 +106 *1690:124 *22459:B1 0.000103002 +107 *1690:124 *22772:B1 3.78945e-05 +108 *1692:19 *2878:25 0.000105644 +109 *1698:117 *21863:B1 6.24655e-05 +110 *1714:24 *2878:44 8.92601e-06 +111 *1725:33 *2878:44 0.000445809 +112 *1756:8 *2878:25 0.000294226 +113 *1757:106 *2878:44 0.00755935 +114 *1763:59 *2878:51 4.97617e-05 +115 *1786:65 *2878:44 0 +116 *1808:78 *2878:44 0 +117 *1808:87 *22772:B1 0.000203604 +118 *1808:87 *2878:59 0.000773503 +119 *1809:35 *2878:47 0.000655308 +120 *2398:32 *2878:14 0.000119653 +121 *2627:10 *2878:14 0.000486337 +122 *2627:10 *2878:25 0.000159282 +123 *2627:16 *2878:25 0.00040823 +124 *2627:16 *2878:32 4.44832e-05 +125 *2719:8 *2878:11 7.50722e-05 +126 *2784:42 *2878:44 0 +127 *2785:30 *21863:B1 1.5714e-05 +128 *2803:13 *2878:14 0.000167357 +129 *2810:61 *22459:B1 0.000158451 +130 *2836:8 *2878:32 0.000548922 +131 *2837:49 *2878:59 0.000212671 +132 *2863:27 *22459:B1 0.000210346 +*RES +1 *21862:Y *2878:11 44.855 +2 *2878:11 *2878:14 32.8171 +3 *2878:14 *2878:25 49.3511 +4 *2878:25 *2878:32 44.5154 +5 *2878:32 *2878:44 45.219 +6 *2878:44 *2878:47 37.945 +7 *2878:47 *2878:50 16.7133 +8 *2878:50 *2878:51 58.4022 +9 *2878:51 *2878:59 27.2812 +10 *2878:59 *22459:B1 39.3774 +11 *2878:59 *22772:B1 17.1378 +12 *2878:32 *21863:B1 36.585 +*END + +*D_NET *2879 0.0193488 +*CONN +*I *21864:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21863:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21864:C1 0.00350365 +2 *21863:X 0.00350365 +3 *21864:C1 *3076:67 2.16355e-05 +4 *21864:C1 *5452:11 5.74943e-05 +5 *21864:C1 *5860:504 1.66771e-05 +6 *21864:B2 *21864:C1 7.91513e-05 +7 *21915:B2 *21864:C1 1.24546e-05 +8 *1442:39 *21864:C1 0.000279749 +9 *1449:59 *21864:C1 6.88661e-06 +10 *1466:58 *21864:C1 2.02035e-05 +11 *1510:64 *21864:C1 3.21156e-06 +12 *1551:17 *21864:C1 0.00150788 +13 *1651:29 *21864:C1 3.70027e-06 +14 *1677:45 *21864:C1 0.00100421 +15 *1680:52 *21864:C1 0.00051097 +16 *1698:117 *21864:C1 0.000318826 +17 *1708:34 *21864:C1 0.000715945 +18 *1710:18 *21864:C1 3.98732e-05 +19 *1715:89 *21864:C1 0.000459556 +20 *1715:99 *21864:C1 0.00158502 +21 *1715:127 *21864:C1 0.00107909 +22 *1804:17 *21864:C1 0.00457496 +23 *1804:87 *21864:C1 2.37478e-05 +24 *2815:14 *21864:C1 2.02035e-05 +*RES +1 *21863:X *21864:C1 48.5203 +*END + +*D_NET *2880 0.0470357 +*CONN +*I *21876:A I *D sky130_fd_sc_hd__and3_1 +*I *6197:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21864:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21876:A 0 +2 *6197:DIODE 1.47608e-05 +3 *21864:X 0.00673578 +4 *2880:51 0.000194863 +5 *2880:45 0.0026636 +6 *2880:20 0.00921928 +7 *6197:DIODE *22668:B2 6.08467e-05 +8 *6197:DIODE *3474:139 6.08467e-05 +9 *2880:20 *21915:B1 7.39264e-05 +10 *2880:20 *22304:B2 0.00122455 +11 *2880:20 *2894:8 1.5714e-05 +12 *2880:20 *2904:8 1.9101e-05 +13 *2880:20 *3017:26 0.000249456 +14 *2880:20 *3076:58 0.00246145 +15 *2880:20 *3076:67 1.88152e-05 +16 *2880:20 *3111:125 2.05082e-05 +17 *2880:20 *3122:32 0.000592445 +18 *2880:20 *3521:14 0.000156996 +19 *2880:20 *3521:165 2.31555e-05 +20 *2880:20 *4844:303 0.00011083 +21 *2880:45 *22607:C1 0.000163428 +22 *2880:45 *22617:D 8.68509e-05 +23 *2880:45 *22625:B1 4.89536e-05 +24 *2880:45 *22635:D 0.00019387 +25 *2880:45 *3284:10 0.000309504 +26 *2880:45 *3491:226 5.481e-05 +27 *2880:45 *3496:163 0.00123574 +28 *2880:45 *3498:256 0.000123175 +29 *2880:45 *3500:152 0.000398804 +30 *2880:45 *3552:6 1.02986e-05 +31 *2880:45 *3564:28 0.000120643 +32 *2880:51 *21876:C 8.62625e-06 +33 *2880:51 *22668:B2 6.08467e-05 +34 *2880:51 *3064:44 8.45896e-06 +35 *2880:51 *3474:139 4.66492e-05 +36 *2880:51 *3564:28 0.000238531 +37 *21864:A2 *2880:20 0.000111802 +38 *21915:B2 *2880:20 0.000262244 +39 *324:27 *2880:45 0.000297849 +40 *518:31 *2880:45 9.43616e-05 +41 *1450:188 *2880:45 0.000307618 +42 *1551:17 *2880:20 0.00170557 +43 *1562:39 *2880:45 0.000343543 +44 *1635:42 *2880:45 7.97691e-06 +45 *1641:130 *2880:45 2.05557e-05 +46 *1680:52 *2880:20 0.000256717 +47 *1709:87 *2880:20 0 +48 *1717:102 *2880:20 9.74756e-05 +49 *1800:95 *2880:45 0.000127809 +50 *2313:56 *2880:20 0.000940288 +51 *2392:24 *2880:20 0.000105558 +52 *2428:96 *2880:20 0.000145782 +53 *2456:30 *2880:20 0.00514999 +54 *2472:27 *2880:45 0.000153427 +55 *2474:14 *2880:20 0.00139677 +56 *2474:37 *2880:20 0.00126946 +57 *2527:24 *2880:51 0.000207107 +58 *2641:30 *2880:20 0.00401987 +59 *2667:72 *2880:20 0 +60 *2679:41 *2880:45 0.00295514 +61 *2777:28 *2880:45 1.77397e-05 +62 *2825:57 *2880:45 7.84467e-06 +63 *2857:18 *2880:45 7.09666e-06 +*RES +1 *21864:X *2880:20 49.8243 +2 *2880:20 *2880:45 48.7365 +3 *2880:45 *2880:51 14.8774 +4 *2880:51 *6197:DIODE 9.97254 +5 *2880:51 *21876:A 9.24915 +*END + +*D_NET *2881 0.0366099 +*CONN +*I *21869:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22281:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21865:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21869:A1 0.000471503 +2 *22281:A1 0.000226858 +3 *21865:Y 0.00112151 +4 *2881:51 0.00249795 +5 *2881:22 0.00393785 +6 *2881:20 0.0017291 +7 *2881:18 0.00169867 +8 *2881:17 0.00269901 +9 *2881:10 0.00216641 +10 *21869:A1 *22288:A2 0 +11 *21869:A1 *22379:B2 7.47481e-05 +12 *21869:A1 *22618:A2 9.09818e-05 +13 *21869:A1 *3208:176 4.95423e-05 +14 *21869:A1 *3474:166 7.09666e-06 +15 *21869:A1 *5458:10 1.67675e-05 +16 *22281:A1 *22281:A2 1.39289e-05 +17 *22281:A1 *22281:B2 3.31745e-05 +18 *22281:A1 *22284:C 0.00021754 +19 *22281:A1 *22284:D 6.5895e-05 +20 *22281:A1 *22294:B 1.34424e-05 +21 *2881:10 *20428:B1 4.83622e-05 +22 *2881:10 *24624:CLK 9.18687e-05 +23 *2881:10 *4823:8 0 +24 *2881:17 *24392:CLK 0.000568466 +25 *2881:17 *4823:8 9.54807e-05 +26 *2881:17 *4823:12 4.37999e-05 +27 *2881:17 *5109:8 0.000148144 +28 *2881:17 *5867:432 6.74667e-05 +29 *2881:17 *5867:446 8.33404e-05 +30 *2881:18 *24485:RESET_B 0.000313132 +31 *2881:18 *2893:13 0 +32 *2881:18 *4844:665 0 +33 *2881:18 *5867:358 0.000121399 +34 *2881:18 *5867:364 0.000147049 +35 *2881:18 *5890:14 0.000300942 +36 *2881:51 *22268:A1 5.01835e-05 +37 *2881:51 *22290:A1 0.000293417 +38 *2881:51 *22379:B2 1.33586e-05 +39 *2881:51 *22380:C1 0.000788957 +40 *2881:51 *2896:72 0.000133522 +41 *2881:51 *3026:18 0.000140897 +42 *2881:51 *3044:23 0.000539554 +43 *2881:51 *3153:177 7.08288e-05 +44 *2881:51 *3204:195 6.14756e-06 +45 *2881:51 *3513:188 0.000155177 +46 *2881:51 *3581:25 6.99117e-05 +47 *20703:A2 *2881:18 3.81406e-05 +48 *21225:C1 *21869:A1 7.5394e-05 +49 *21235:A2 *2881:51 2.37478e-05 +50 *21235:B2 *2881:51 5.36085e-05 +51 *21278:B2 *2881:51 3.00273e-05 +52 *21309:C1 *2881:51 5.38809e-05 +53 *21869:A2 *21869:A1 3.08827e-05 +54 *22286:A1 *22281:A1 3.29488e-05 +55 *22286:A1 *2881:22 0.000242383 +56 *22619:B1 *21869:A1 0.000631872 +57 *22695:B1 *2881:51 0.000516695 +58 *22698:B1 *2881:51 2.27118e-06 +59 *24546:D *2881:17 0.000160617 +60 *1543:29 *21869:A1 1.5714e-05 +61 *1557:133 *21869:A1 2.16355e-05 +62 *1614:104 *2881:51 0.000345904 +63 *1617:33 *2881:51 5.60804e-05 +64 *1629:40 *2881:18 0 +65 *1634:45 *2881:51 5.60804e-05 +66 *1641:138 *21869:A1 3.3171e-06 +67 *1708:104 *2881:51 0.000244171 +68 *1784:124 *2881:18 0 +69 *1784:130 *2881:18 0.00103974 +70 *1800:119 *2881:51 9.65171e-05 +71 *1800:183 *2881:18 0.000439144 +72 *1800:183 *2881:22 6.65506e-05 +73 *2054:42 *2881:10 0.000895445 +74 *2136:29 *2881:17 5.65463e-05 +75 *2291:66 *21869:A1 2.27135e-05 +76 *2348:8 *2881:17 0.00156397 +77 *2370:8 *22281:A1 4.00504e-05 +78 *2370:8 *2881:22 0.00307367 +79 *2370:8 *2881:51 0.000125661 +80 *2404:8 *2881:18 0.000825559 +81 *2404:8 *2881:22 0.00217609 +82 *2508:11 *2881:51 0.000481247 +83 *2508:23 *21869:A1 9.08699e-07 +84 *2508:23 *2881:51 0 +85 *2530:11 *2881:17 4.00824e-05 +86 *2770:46 *22281:A1 1.41976e-05 +87 *2776:19 *2881:18 5.38695e-05 +88 *2820:34 *2881:22 0.000578985 +89 *2869:18 *2881:10 0.000894148 +90 *2869:18 *2881:17 6.04912e-06 +91 *2870:40 *2881:51 6.00033e-05 +*RES +1 *21865:Y *2881:10 40.799 +2 *2881:10 *2881:17 43.8852 +3 *2881:17 *2881:18 55.5827 +4 *2881:18 *2881:20 0.732798 +5 *2881:20 *2881:22 64.1442 +6 *2881:22 *22281:A1 19.7273 +7 *2881:22 *2881:51 45.0323 +8 *2881:51 *21869:A1 27.9607 +*END + +*D_NET *2882 0.0728831 +*CONN +*I *21869:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21866:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21869:B1 0 +2 *21866:Y 0.000209262 +3 *2882:74 0.00741664 +4 *2882:46 0.00809378 +5 *2882:38 0.00188849 +6 *2882:36 0.00285823 +7 *2882:19 0.00313193 +8 *2882:8 0.0033726 +9 *2882:7 0.00209682 +10 *2882:8 *20905:B1 0 +11 *2882:8 *23937:A0 0 +12 *2882:8 *3017:8 0 +13 *2882:8 *5695:19 0.000138372 +14 *2882:8 *5796:11 0.000201734 +15 *2882:8 *5861:498 0.00435101 +16 *2882:8 *6023:8 0.00029614 +17 *2882:19 *20258:A1 0.000179286 +18 *2882:19 *20316:A1 0.000322883 +19 *2882:19 *24018:A0 0.00045566 +20 *2882:19 *3017:15 0 +21 *2882:19 *5725:13 1.38376e-05 +22 *2882:19 *5855:329 0.000102632 +23 *2882:19 *5861:492 0.000405435 +24 *2882:19 *5861:498 6.76e-05 +25 *2882:36 *2938:56 0.00138307 +26 *2882:36 *3212:64 0 +27 *2882:36 *3212:66 0.000240815 +28 *2882:36 *5886:14 0.00023385 +29 *2882:38 *2989:91 0.000267016 +30 *2882:38 *5886:20 0.00318474 +31 *2882:46 *2938:34 9.00905e-06 +32 *2882:46 *5886:36 2.97171e-05 +33 *2882:74 *22619:C1 4.23622e-05 +34 *2882:74 *3020:14 0.00442639 +35 *2882:74 *3076:23 0.000998037 +36 *2882:74 *3124:242 7.99666e-06 +37 *2882:74 *3243:30 4.19797e-05 +38 *2882:74 *3343:19 0.000363051 +39 *2882:74 *3476:172 0.000989854 +40 *2882:74 *4812:38 9.29815e-06 +41 *2882:74 *4821:143 5.04829e-06 +42 *2882:74 *4828:80 0 +43 *2882:74 *4833:130 0.00105705 +44 *2882:74 *4873:32 0.000118976 +45 *2882:74 *5475:110 3.62075e-06 +46 *2882:74 *5592:102 0 +47 *2882:74 *5898:191 0.000120804 +48 *2882:74 *5902:32 0.000508761 +49 mgmt_gpio_oeb[4] *2882:36 4.35986e-05 +50 mgmt_gpio_out[9] *2882:74 1.57175e-05 +51 *19801:A2 *2882:74 2.3014e-05 +52 *21242:A2 *2882:74 7.27859e-05 +53 *21242:C1 *2882:74 0.000655947 +54 *21658:B1 *2882:74 8.3694e-05 +55 *22354:A1 *2882:74 0.000621372 +56 *24271:D *2882:74 3.96379e-05 +57 *25159:A *2882:8 0.000108118 +58 *25169:A *2882:19 0.000131471 +59 *476:100 *2882:36 0.00207488 +60 *476:100 *2882:38 0.00011544 +61 *520:28 *2882:36 0.00139547 +62 *527:46 *2882:36 3.40382e-05 +63 *527:46 *2882:38 6.74587e-05 +64 *527:52 *2882:36 0.000241808 +65 *535:38 *2882:36 0.000263001 +66 *535:42 *2882:19 0.000288597 +67 *539:36 *2882:46 0.0021364 +68 *539:40 *2882:36 2.02035e-05 +69 *1557:126 *2882:74 0.00133918 +70 *1577:103 *2882:74 0.00161285 +71 *1717:102 *2882:74 0.000901651 +72 *1717:106 *2882:74 0.00285084 +73 *1741:64 *2882:36 4.31485e-06 +74 *1741:70 *2882:36 0.000254036 +75 *1741:81 *2882:19 2.41274e-06 +76 *2060:18 *2882:8 9.86728e-05 +77 *2066:8 *2882:8 0.000158077 +78 *2066:21 *2882:8 4.42033e-05 +79 *2066:33 *2882:8 0.00034577 +80 *2257:85 *2882:74 2.27135e-05 +81 *2267:40 *2882:36 7.75215e-05 +82 *2274:95 *2882:74 0.000751222 +83 *2282:68 *2882:74 8.81855e-05 +84 *2295:39 *2882:36 4.08676e-05 +85 *2301:17 *2882:19 0.000797165 +86 *2379:63 *2882:74 0.000175455 +87 *2384:73 *2882:74 0.000311622 +88 *2389:39 *2882:19 1.99543e-05 +89 *2404:86 *2882:46 0.00202686 +90 *2421:115 *2882:46 8.23577e-05 +91 *2423:69 *2882:36 0.000236298 +92 *2446:145 *2882:74 0.000214044 +93 *2471:35 *2882:19 0.000154145 +94 *2477:26 *2882:74 8.32645e-05 +95 *2584:62 *2882:74 0.000127716 +96 *2629:37 *2882:74 5.60804e-05 +97 *2687:68 *2882:74 0.000711878 +98 *2692:53 *2882:74 2.21979e-05 +99 *2729:77 *2882:74 0.00114771 +100 *2791:46 *2882:74 4.73659e-05 +*RES +1 *21866:Y *2882:7 17.8002 +2 *2882:7 *2882:8 76.2476 +3 *2882:8 *2882:19 49.3152 +4 *2882:19 *2882:36 43.2016 +5 *2882:36 *2882:38 53.3233 +6 *2882:38 *2882:46 49.8058 +7 *2882:46 *2882:74 48.9873 +8 *2882:74 *21869:B1 13.7491 +*END + +*D_NET *2883 0.0377647 +*CONN +*I *22461:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21868:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *22777:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21867:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22461:B1 2.22257e-05 +2 *21868:B1 0.00039105 +3 *22777:A1 0.000468349 +4 *21867:Y 0.00079922 +5 *2883:64 0.00390193 +6 *2883:48 0.00361549 +7 *2883:47 0.0006747 +8 *2883:32 0.00183384 +9 *2883:28 0.00141509 +10 *2883:20 0.00195981 +11 *2883:11 0.00248294 +12 *2883:7 0.00191982 +13 *21868:B1 *3042:25 0.000254551 +14 *21868:B1 *5863:29 1.65872e-05 +15 *22461:B1 *22461:B2 0.000110306 +16 *22461:B1 *22506:A2 0.000110306 +17 *22777:A1 *3716:7 0.000154145 +18 *2883:7 *20988:B1 1.65872e-05 +19 *2883:7 *4838:101 0.000313725 +20 *2883:7 *4838:113 6.80959e-05 +21 *2883:11 *20988:A1 9.79209e-05 +22 *2883:11 *24471:RESET_B 0.000506564 +23 *2883:11 *24579:RESET_B 0.00053677 +24 *2883:11 *4106:69 0.00127883 +25 *2883:20 *2918:16 0.00096764 +26 *2883:20 *2956:48 0.00065404 +27 *2883:20 *3168:132 0.000145165 +28 *2883:20 *5855:26 0.00013116 +29 *2883:20 *5855:34 2.02035e-05 +30 *2883:20 *5861:89 5.65354e-05 +31 *2883:28 *2956:48 0.0002646 +32 *2883:28 *3114:190 1.69932e-05 +33 *2883:28 *3128:159 0.000545788 +34 *2883:28 *3153:244 0.000106446 +35 *2883:32 *22510:C1 0.000139074 +36 *2883:32 *3122:215 6.1449e-05 +37 *2883:32 *3128:159 0 +38 *2883:32 *3153:244 2.77564e-05 +39 *2883:32 *3153:249 0.00018906 +40 *2883:32 *3192:211 6.47596e-05 +41 *2883:32 *3457:20 1.28732e-05 +42 *2883:64 *22018:A 0.000778019 +43 *2883:64 *22029:B1 6.05204e-05 +44 *2883:64 *22268:B2 7.09666e-06 +45 *2883:64 *22270:B2 0.000411345 +46 *2883:64 *3011:55 0.000217293 +47 *2883:64 *3111:160 0.00029671 +48 *2883:64 *3122:173 0.000133082 +49 *2883:64 *3190:144 0.000300413 +50 *2883:64 *3233:8 0.00029671 +51 *2883:64 *3509:175 0.000104754 +52 *2883:64 *3523:127 0.000224577 +53 *19848:B *2883:28 0.000154058 +54 *19848:B *2883:32 0.00035038 +55 *20988:A2 *2883:11 6.08467e-05 +56 *21482:A2 *2883:64 1.60381e-05 +57 *21752:B1 *2883:20 6.04131e-05 +58 *21868:B2 *21868:B1 0.000875414 +59 *22029:A2 *2883:64 0.000232545 +60 *22247:A1 *2883:64 9.40928e-06 +61 *22777:B1 *22777:A1 0.000638254 +62 *22812:B1 *2883:32 4.41243e-05 +63 *24450:D *2883:28 6.37e-05 +64 *1419:249 *2883:64 4.32862e-06 +65 *1550:45 *2883:20 0.000110069 +66 *1561:111 *2883:32 2.33103e-06 +67 *1585:19 *2883:20 0.000454096 +68 *1593:20 *2883:28 5.12663e-05 +69 *1594:85 *2883:64 4.17043e-05 +70 *1605:57 *2883:32 2.74283e-05 +71 *1605:73 *2883:32 8.72256e-06 +72 *1647:35 *2883:64 0.000130493 +73 *1658:73 *2883:20 6.19004e-05 +74 *1666:12 *2883:64 7.97474e-05 +75 *2344:11 *22777:A1 0.000154145 +76 *2344:11 *2883:47 0.000831009 +77 *2537:8 *2883:20 0.000126934 +78 *2537:8 *2883:28 8.32047e-05 +79 *2538:13 *2883:64 0.00110455 +80 *2554:35 *2883:20 0.000180738 +81 *2564:8 *2883:20 8.70609e-05 +82 *2564:18 *2883:20 0 +83 *2565:36 *2883:32 0.000397674 +84 *2565:49 *2883:32 0.000103982 +85 *2603:104 *2883:32 7.70327e-05 +86 *2764:48 *2883:20 4.18944e-06 +87 *2764:48 *2883:28 0.000216463 +88 *2765:21 *2883:20 0.000165655 +89 *2779:14 *2883:28 0.000401086 +90 *2782:10 *2883:64 3.53409e-05 +91 *2873:17 *2883:64 0.00113542 +*RES +1 *21867:Y *2883:7 21.366 +2 *2883:7 *2883:11 34.8947 +3 *2883:11 *2883:20 48.6925 +4 *2883:20 *2883:28 31.593 +5 *2883:28 *2883:32 25.8488 +6 *2883:32 *22777:A1 20.5823 +7 *2883:32 *2883:47 18.8462 +8 *2883:47 *2883:48 127.479 +9 *2883:48 *2883:64 46.9979 +10 *2883:64 *21868:B1 25.0341 +11 *2883:28 *22461:B1 15.0271 +*END + +*D_NET *2884 0.003517 +*CONN +*I *21869:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21868:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *21869:C1 0 +2 *21868:X 0.000842598 +3 *2884:17 0.000842598 +4 *2884:17 *22270:A2 9.36156e-05 +5 *2884:17 *22288:A1 2.21464e-05 +6 *2884:17 *22288:A2 2.02822e-05 +7 *2884:17 *22604:A1 6.9694e-05 +8 *2884:17 *22618:B2 0.000110121 +9 *2884:17 *3196:185 4.49912e-05 +10 *2884:17 *3243:30 0.000762247 +11 *2884:17 *3243:41 0.000173515 +12 *2884:17 *3249:15 2.1558e-06 +13 *2884:17 *3493:57 0.000113541 +14 *2884:17 *3493:73 0.000140307 +15 *22618:B1 *2884:17 4.21926e-05 +16 *2806:30 *2884:17 0.000236997 +*RES +1 *21868:X *2884:17 42.8925 +2 *2884:17 *21869:C1 9.24915 +*END + +*D_NET *2885 0.00291048 +*CONN +*I *21876:B I *D sky130_fd_sc_hd__and3_1 +*I *21869:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21876:B 0.000964214 +2 *21869:X 0.000964214 +3 *21876:B *22619:A2 0.000135973 +4 *21876:B *3471:203 0.000154145 +5 *21876:B *3474:166 7.14025e-05 +6 *21876:B *3543:50 0.000178327 +7 *1573:196 *21876:B 0.000222011 +8 *1641:138 *21876:B 0.00022019 +*RES +1 *21869:X *21876:B 45.2153 +*END + +*D_NET *2886 0.0399379 +*CONN +*I *22281:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21875:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21870:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22281:B1 7.46238e-06 +2 *21875:A1 0.00224619 +3 *21870:Y 0.000192496 +4 *2886:19 0.0023777 +5 *2886:8 0.00653412 +6 *2886:7 0.00660257 +7 *21875:A1 *22281:A2 4.67382e-06 +8 *21875:A1 *22281:B2 0 +9 *21875:A1 *22283:A2 0.000101619 +10 *21875:A1 *2893:20 0.00333752 +11 *21875:A1 *2906:8 7.07115e-06 +12 *21875:A1 *3044:41 0.000215557 +13 *21875:A1 *3476:154 0.00011195 +14 *21875:A1 *3552:6 0.000210936 +15 *21875:A1 *5908:87 0.000119815 +16 *22281:B1 *22281:A2 1.57158e-05 +17 *2886:8 *20540:A1 0 +18 *2886:8 *20540:B1 0 +19 *2886:8 *20746:B1 0.000229848 +20 *2886:8 *21877:A 0.000139435 +21 *2886:8 *22272:B 0.000110777 +22 *2886:8 *22283:A2 6.04785e-05 +23 *2886:8 *24548:CLK 9.14057e-05 +24 *2886:8 *24616:CLK 0.000191526 +25 *2886:8 *2906:8 0.000225366 +26 *2886:8 *3036:10 0 +27 *2886:8 *3044:23 0.00119333 +28 *2886:8 *3215:10 5.11394e-05 +29 *2886:8 *4834:69 1.5714e-05 +30 *2886:8 *4841:218 0.000128895 +31 *2886:8 *4844:665 0.000127191 +32 *2886:8 *4881:182 0.000223047 +33 *2886:8 *4892:11 0.000612001 +34 *2886:8 *4892:40 0.00025183 +35 *2886:8 *4892:55 4.90621e-05 +36 *2886:8 *4893:77 0 +37 *2886:8 *4895:43 2.64881e-05 +38 *2886:8 *4895:48 0.000207353 +39 *2886:8 *4895:53 0 +40 *2886:8 *4895:109 0.000127956 +41 *2886:8 *5143:8 0.000152826 +42 *2886:8 *5288:9 9.60366e-05 +43 *2886:8 *5340:9 3.42931e-05 +44 *2886:8 *5866:359 0.000138039 +45 *2886:8 *5866:365 7.47209e-05 +46 *2886:8 *5866:369 0.000153674 +47 *2886:8 *5866:375 0.000321456 +48 *2886:8 *5866:388 3.60268e-05 +49 *2886:8 *5867:383 0.000337654 +50 *2886:8 *5890:14 0.000114178 +51 *2886:19 *22281:A2 5.00766e-06 +52 *2886:19 *22283:A2 8.75545e-06 +53 *2886:19 *2906:8 4.41408e-05 +54 *19801:A2 *21875:A1 0.000104754 +55 *19842:A2 *21875:A1 0.000109247 +56 *20428:B2 *2886:8 0 +57 *20541:B2 *2886:8 7.8343e-05 +58 *20705:B2 *2886:8 6.28904e-05 +59 *21093:A2 *2886:8 0.000145853 +60 *21094:A2 *2886:8 4.15661e-05 +61 *24214:D *2886:8 0.000260562 +62 *24404:D *2886:8 0 +63 *1618:126 *21875:A1 0.000165662 +64 *1618:126 *2886:19 5.93884e-06 +65 *1645:118 *21875:A1 0.000212779 +66 *1645:133 *21875:A1 0.00128609 +67 *1647:121 *21875:A1 2.49239e-05 +68 *1717:142 *21875:A1 0.000602317 +69 *1800:183 *2886:8 0.000546504 +70 *1956:49 *2886:8 0.000124858 +71 *1992:39 *2886:8 0.000224867 +72 *2007:5 *2886:7 0.000326273 +73 *2018:44 *2886:8 0.000679916 +74 *2208:41 *2886:8 0.000384133 +75 *2208:65 *2886:8 0.000226566 +76 *2208:80 *2886:8 0.00106461 +77 *2262:10 *2886:8 0.000124831 +78 *2441:8 *2886:8 0.00354104 +79 *2441:21 *2886:8 0.00070502 +80 *2635:8 *2886:8 0.000796181 +81 *2635:20 *2886:8 1.94839e-05 +82 *2729:20 *2886:8 0.000154726 +83 *2827:49 *21875:A1 0.000252934 +*RES +1 *21870:Y *2886:7 17.2456 +2 *2886:7 *2886:8 217.14 +3 *2886:8 *2886:19 7.52655 +4 *2886:19 *21875:A1 44.363 +5 *2886:19 *22281:B1 9.44205 +*END + +*D_NET *2887 0.0296674 +*CONN +*I *22624:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21875:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22276:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21871:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22624:A1 0 +2 *21875:B1 0 +3 *22276:B1 0.00035825 +4 *21871:Y 0.000253106 +5 *2887:66 0.00158475 +6 *2887:41 0.00245126 +7 *2887:23 0.00181367 +8 *2887:11 0.00179443 +9 *2887:10 0.00145864 +10 *22276:B1 *22276:A2 0.000154145 +11 *22276:B1 *3117:162 0.000188093 +12 *22276:B1 *3117:164 0.000426959 +13 *22276:B1 *3117:204 0.000277817 +14 *22276:B1 *3136:180 1.92481e-05 +15 *22276:B1 *3517:151 1.4827e-05 +16 *2887:10 *24341:CLK 0.000111722 +17 *2887:10 *2906:56 4.73878e-05 +18 *2887:11 *3117:150 0.000958755 +19 *2887:11 *3117:245 0.000286993 +20 *2887:11 *3136:178 0.000296893 +21 *2887:11 *3151:153 8.64601e-05 +22 *2887:11 *4809:13 0.000531645 +23 *2887:11 *4809:17 0.0011073 +24 *2887:11 *4811:21 0.00111572 +25 *2887:11 *4817:108 0.00226126 +26 *2887:11 *4908:181 0.000107496 +27 *2887:23 *21696:B1 3.83698e-05 +28 *2887:23 *3117:162 0.000391697 +29 *2887:23 *3144:152 5.49916e-05 +30 *2887:23 *4817:120 0.000131362 +31 *2887:41 *3021:39 0.000692186 +32 *2887:41 *3142:190 0.000729731 +33 *2887:41 *3185:155 0.000396003 +34 *2887:41 *3568:8 6.517e-05 +35 *2887:66 *21987:A1 0.00036287 +36 *2887:66 *22255:A1 0.000436095 +37 *2887:66 *22606:A1 0.000154145 +38 *2887:66 *22623:B2 3.63738e-05 +39 *2887:66 *22624:A2 2.61046e-05 +40 *2887:66 *22624:B1 6.08467e-05 +41 *2887:66 *22624:B2 7.23404e-05 +42 *2887:66 *22624:C1 6.08467e-05 +43 *2887:66 *2892:21 0.000170532 +44 *2887:66 *3054:18 0.000119443 +45 *2887:66 *3064:44 3.36602e-05 +46 *2887:66 *3072:20 0.000401039 +47 *2887:66 *3142:154 3.29488e-05 +48 *2887:66 *3142:190 8.77154e-06 +49 *2887:66 *3217:25 0.000813727 +50 *2887:66 *3284:10 2.68247e-05 +51 *2887:66 *3496:179 5.75327e-06 +52 *2887:66 *3500:168 0.000154145 +53 *2887:66 *3529:39 0.000187049 +54 *21635:A2 *2887:23 0.000296418 +55 *21658:C1 *2887:23 1.5714e-05 +56 *21814:A2 *2887:66 0.000396795 +57 *22276:A1 *22276:B1 0.000157876 +58 *22365:B1 *2887:23 1.91391e-05 +59 *22667:A1 *2887:41 0.000142632 +60 *22667:A1 *2887:66 0.000345048 +61 *1608:30 *2887:41 0.000242011 +62 *1625:83 *2887:41 9.04241e-05 +63 *1631:34 *2887:41 9.04241e-05 +64 *1635:42 *2887:41 0.000123931 +65 *1635:42 *2887:66 0.00010404 +66 *1641:130 *22276:B1 0.000110306 +67 *1641:130 *2887:23 9.2807e-05 +68 *1645:69 *2887:23 2.18821e-05 +69 *1645:94 *2887:23 4.7162e-05 +70 *1751:145 *2887:66 0.000507656 +71 *1800:95 *2887:41 0.000132548 +72 *2275:43 *2887:11 0.000250244 +73 *2304:58 *2887:11 0.000156955 +74 *2361:13 *2887:10 0.000646418 +75 *2478:15 *2887:10 0.000220665 +76 *2481:13 *2887:11 0.000103225 +77 *2572:37 *2887:23 0.00098206 +78 *2642:21 *2887:10 0.000151205 +79 *2682:19 *2887:41 0.000143559 +80 *2772:48 *2887:23 0.000706434 +*RES +1 *21871:Y *2887:10 28.7055 +2 *2887:10 *2887:11 54.5199 +3 *2887:11 *2887:23 23.4341 +4 *2887:23 *22276:B1 22.1015 +5 *2887:23 *2887:41 37.315 +6 *2887:41 *2887:66 49.0174 +7 *2887:66 *21875:B1 13.7491 +8 *2887:41 *22624:A1 9.24915 +*END + +*D_NET *2888 0.0451997 +*CONN +*I *22285:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21874:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22633:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21872:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22285:B1 5.57561e-05 +2 *21874:A1 0.000667588 +3 *22633:A1 0.000118799 +4 *21872:Y 0.00141215 +5 *2888:81 0.00211061 +6 *2888:51 0.00242415 +7 *2888:41 0.00150881 +8 *2888:28 0.00137565 +9 *2888:19 0.00174776 +10 *2888:17 0.00203639 +11 *2888:7 0.00248571 +12 *21874:A1 *21875:C1 0.000104572 +13 *21874:A1 *22060:C1 0.000415632 +14 *21874:A1 *22773:A2 0.000372458 +15 *22285:B1 *3192:135 8.96314e-06 +16 *22633:A1 *22633:B2 2.71226e-06 +17 *22633:A1 *22634:D 0.000120938 +18 *22633:A1 *3190:169 6.08467e-05 +19 *22633:A1 *3539:177 0.00034763 +20 *2888:17 *19921:A 7.33158e-05 +21 *2888:17 *3026:5 7.82327e-05 +22 *2888:17 *4818:22 0.000993045 +23 *2888:17 *4820:71 0.000940781 +24 *2888:17 *5853:251 0.000358778 +25 *2888:17 *5853:260 0.000195139 +26 *2888:19 *3026:5 0.00424869 +27 *2888:19 *4818:22 7.82353e-05 +28 *2888:19 *5863:23 0.00108045 +29 *2888:19 *5863:27 0.000460611 +30 *2888:19 *5863:29 0.00166414 +31 *2888:28 *3238:16 0.000400358 +32 *2888:28 *3238:44 0.000145115 +33 *2888:28 *3581:29 0.000403769 +34 *2888:28 *5863:29 0.00168201 +35 *2888:41 *3192:135 2.38934e-06 +36 *2888:41 *3238:44 0.000165992 +37 *2888:41 *3513:195 1.58551e-05 +38 *2888:41 *3513:199 6.08467e-05 +39 *2888:41 *3523:192 6.08467e-05 +40 *2888:51 *22286:C1 0.000420956 +41 *2888:51 *3120:143 0.000161262 +42 *2888:51 *3190:169 0.000936037 +43 *2888:51 *3192:170 0.000122488 +44 *2888:51 *3539:177 0.000139947 +45 *2888:81 *22011:A1 4.42142e-05 +46 *2888:81 *22265:B1 5.13194e-05 +47 *2888:81 *2996:72 0.00157855 +48 *2888:81 *3046:35 0.000500602 +49 *2888:81 *3054:18 0.000279817 +50 *2888:81 *3131:97 5.481e-05 +51 *2888:81 *3173:33 4.8111e-05 +52 *2888:81 *3190:169 1.5714e-05 +53 *2888:81 *3493:73 0.000152574 +54 *2888:81 *3493:78 0.000975773 +55 *2888:81 *5457:13 0.000114078 +56 *2888:81 *5904:64 0.000586869 +57 *19801:A1 *21874:A1 0.000412937 +58 *20295:B *2888:19 6.08467e-05 +59 *20654:A2 *2888:17 0.000188451 +60 *22210:A1 *2888:81 0.00153822 +61 *22286:A1 *22285:B1 4.31603e-06 +62 *22292:B1 *2888:51 0.000104572 +63 *22380:B1 *2888:28 1.41138e-05 +64 *22698:B1 *2888:28 6.1578e-06 +65 *22698:B1 *2888:41 5.26705e-05 +66 *480:27 *2888:28 0.00167921 +67 *1439:54 *2888:17 7.16584e-06 +68 *1439:59 *2888:17 0 +69 *1439:75 *2888:7 0.00138202 +70 *1542:65 *21874:A1 3.96379e-05 +71 *1558:42 *21874:A1 7.09666e-06 +72 *1573:231 *2888:7 0.000360848 +73 *1620:60 *2888:81 0.000104754 +74 *1679:68 *2888:81 5.60804e-05 +75 *1790:100 *2888:81 6.21488e-06 +76 *1800:126 *2888:28 0.00012788 +77 *2027:46 *2888:17 0.000355155 +78 *2298:36 *2888:28 4.15661e-05 +79 *2320:59 *2888:17 0.000777835 +80 *2323:10 *2888:17 0.000174799 +81 *2344:18 *2888:28 5.93547e-06 +82 *2355:42 *2888:28 4.49767e-05 +83 *2473:45 *2888:17 3.89395e-05 +84 *2508:11 *2888:41 0.000470519 +85 *2589:54 *2888:81 2.79966e-05 +86 *2822:12 *21874:A1 0.000411203 +87 *2825:92 *21874:A1 1.63131e-05 +88 *2857:52 *21874:A1 1.24546e-05 +*RES +1 *21872:Y *2888:7 36.6567 +2 *2888:7 *2888:17 45.5135 +3 *2888:17 *2888:19 49.2512 +4 *2888:19 *2888:28 46.2947 +5 *2888:28 *2888:41 27.7908 +6 *2888:41 *2888:51 21.6295 +7 *2888:51 *22633:A1 13.8307 +8 *2888:51 *2888:81 45.6436 +9 *2888:81 *21874:A1 39.3765 +10 *2888:41 *22285:B1 11.13 +*END + +*D_NET *2889 0.0290697 +*CONN +*I *21874:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22450:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21873:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21874:B1 0.00100773 +2 *22450:B1 2.3034e-05 +3 *21873:Y 0.000822015 +4 *2889:45 0.00305863 +5 *2889:29 0.00269563 +6 *2889:22 0.0025646 +7 *2889:20 0.00297134 +8 *2889:10 0.00185045 +9 *21874:B1 *22529:A2 2.57465e-06 +10 *21874:B1 *3186:16 1.69107e-05 +11 *21874:B1 *3494:25 1.5714e-05 +12 *21874:B1 *3546:26 0.000112941 +13 *2889:10 *20648:A1 1.21461e-06 +14 *2889:10 *21873:A 3.61799e-05 +15 *2889:10 *3065:8 0 +16 *2889:10 *5318:8 0.000101148 +17 *2889:20 *19845:A 0.000351908 +18 *2889:20 *20863:A1 0.000160617 +19 *2889:20 *24450:CLK 0.000159159 +20 *2889:20 *3065:8 7.06183e-05 +21 *2889:20 *3164:121 0.000548909 +22 *2889:20 *3175:112 2.98893e-05 +23 *2889:20 *3175:122 7.2465e-05 +24 *2889:20 *5006:6 0.000164135 +25 *2889:22 *22777:C1 2.83103e-05 +26 *2889:22 *3155:127 0 +27 *2889:22 *3175:112 5.45897e-05 +28 *2889:22 *3541:140 6.68138e-05 +29 *2889:22 *3543:176 0.000144567 +30 *2889:22 *3543:188 0.000177641 +31 *2889:29 *22778:C 9.29156e-05 +32 *2889:29 *3175:112 0.000566438 +33 *2889:45 *22778:B 7.09701e-05 +34 *2889:45 *22778:C 1.00835e-05 +35 *2889:45 *3493:101 0.000209407 +36 *19790:A2 *21874:B1 6.08467e-05 +37 *20141:B *2889:10 0.000858247 +38 *20406:A *2889:10 3.12316e-05 +39 *21832:A1 *2889:45 1.00846e-05 +40 *21832:B2 *2889:45 0.000149176 +41 *21833:D *2889:45 1.69178e-06 +42 *21874:B2 *21874:B1 5.76477e-05 +43 *22450:A1 *2889:45 0.000958605 +44 *22528:A1 *2889:45 7.98171e-06 +45 *22775:A1 *2889:29 6.08467e-05 +46 *24453:D *2889:10 6.34651e-06 +47 *24453:D *2889:20 9.17077e-05 +48 *1542:65 *21874:B1 9.95542e-06 +49 *1547:34 *2889:22 9.36218e-05 +50 *1547:43 *2889:20 7.77578e-05 +51 *1547:43 *2889:22 0.0011968 +52 *1566:55 *2889:22 3.29687e-05 +53 *1566:67 *2889:22 9.37404e-05 +54 *1566:74 *2889:10 0.000484049 +55 *1566:74 *2889:20 1.62321e-05 +56 *1573:196 *21874:B1 0.00119364 +57 *1573:196 *2889:45 6.51389e-05 +58 *1573:207 *2889:45 0.00139995 +59 *1575:39 *2889:22 5.26027e-05 +60 *1776:79 *2889:22 6.84784e-06 +61 *1788:102 *2889:45 4.43636e-06 +62 *1788:115 *2889:45 6.08467e-05 +63 *2056:8 *2889:10 0.000285163 +64 *2468:34 *2889:20 0.000208432 +65 *2468:34 *2889:22 4.41499e-05 +66 *2537:27 *2889:22 0.000175896 +67 *2592:20 *2889:22 0.00114563 +68 *2592:28 *2889:22 0.000173778 +69 *2604:14 *2889:20 0.000811169 +70 *2604:18 *2889:20 4.31822e-05 +71 *2778:54 *2889:45 0.00036446 +72 *2807:26 *2889:29 0.000219384 +73 *2807:39 *2889:29 2.43314e-05 +74 *2837:49 *2889:22 8.59897e-05 +75 *2837:49 *2889:29 0.00010825 +76 *2878:51 *2889:29 3.73375e-05 +*RES +1 *21873:Y *2889:10 41.0565 +2 *2889:10 *2889:20 44.9199 +3 *2889:20 *2889:22 53.5309 +4 *2889:22 *2889:29 20.7421 +5 *2889:29 *22450:B1 9.82786 +6 *2889:29 *2889:45 42.4151 +7 *2889:45 *21874:B1 36.2235 +*END + +*D_NET *2890 0.00399845 +*CONN +*I *21875:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21874:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21875:C1 0.00108262 +2 *21874:X 0.00108262 +3 *21875:C1 *3050:52 0.000487806 +4 *21875:C1 *3476:154 0.000633556 +5 *19790:A2 *21875:C1 0.00025175 +6 *19801:A1 *21875:C1 2.054e-05 +7 *21874:A1 *21875:C1 0.000104572 +8 *1542:65 *21875:C1 1.09231e-05 +9 *1552:81 *21875:C1 0.000324055 +*RES +1 *21874:X *21875:C1 41.0682 +*END + +*D_NET *2891 0.00153537 +*CONN +*I *21876:C I *D sky130_fd_sc_hd__and3_1 +*I *21875:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21876:C 0.000412833 +2 *21875:X 0.000412833 +3 *21876:C *22668:B2 2.23105e-05 +4 *21876:C *3064:44 0.000152743 +5 *21876:C *3474:139 2.23105e-05 +6 *21876:C *3564:28 6.1063e-05 +7 *1542:65 *21876:C 0.000203604 +8 *1542:86 *21876:C 0.000239048 +9 *2880:51 *21876:C 8.62625e-06 +*RES +1 *21875:X *21876:C 36.0015 +*END + +*D_NET *2892 0.00986548 +*CONN +*I *21901:C I *D sky130_fd_sc_hd__and4_1 +*I *21876:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *21901:C 0 +2 *21876:X 0.000840502 +3 *2892:37 0.00135914 +4 *2892:24 0.00140987 +5 *2892:23 5.07295e-05 +6 *2892:21 0.000840502 +7 *2892:21 *21987:A1 0.00016631 +8 *2892:21 *22011:B1 5.01835e-05 +9 *2892:21 *22269:B1 0.000319723 +10 *2892:21 *2996:72 9.61134e-05 +11 *2892:21 *3054:18 8.62904e-05 +12 *2892:21 *3064:44 0.000170532 +13 *2892:21 *3547:17 0.000499184 +14 *2892:37 *22554:A2 3.99086e-06 +15 *2892:37 *22556:A2 0.00015755 +16 *2892:37 *22556:C1 7.94607e-05 +17 *2892:37 *3186:14 7.60356e-05 +18 *2892:37 *3471:227 6.08467e-05 +19 *2892:37 *3476:116 0.000298399 +20 *2892:37 *3502:197 0.000347858 +21 *1562:41 *2892:21 0.000780078 +22 *1584:69 *2892:21 2.05082e-05 +23 *1627:79 *2892:21 0.000314534 +24 *1717:133 *2892:21 0.000494142 +25 *1744:219 *2892:21 0.000788653 +26 *1744:219 *2892:37 0.000355342 +27 *2541:36 *2892:21 1.8172e-05 +28 *2811:26 *2892:37 1.02925e-05 +29 *2887:66 *2892:21 0.000170532 +*RES +1 *21876:X *2892:21 41.5613 +2 *2892:21 *2892:23 9.24915 +3 *2892:23 *2892:24 57.9449 +4 *2892:24 *2892:37 41.6866 +5 *2892:37 *21901:C 9.24915 +*END + +*D_NET *2893 0.0551424 +*CONN +*I *22278:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22623:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21882:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21877:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22278:A1 7.13326e-05 +2 *22623:B1 0.000173576 +3 *21882:A1 0.00419922 +4 *21877:Y 0.00029642 +5 *2893:20 0.00749189 +6 *2893:14 0.00776674 +7 *2893:13 0.00616832 +8 *2893:7 0.00188842 +9 *21882:A1 *22269:B1 0.000577139 +10 *21882:A1 *2975:50 0.0029193 +11 *21882:A1 *3052:66 0.000236612 +12 *21882:A1 *3142:133 0.00182201 +13 *21882:A1 *3142:154 0.00106544 +14 *21882:A1 *3164:15 0.000112082 +15 *21882:A1 *3531:43 5.11419e-05 +16 *22278:A1 *22272:B 6.3657e-05 +17 *22278:A1 *3179:243 2.16355e-05 +18 *22278:A1 *3215:10 1.5714e-05 +19 *22623:B1 *22624:B2 9.66145e-05 +20 *22623:B1 *3072:20 0.000203712 +21 *22623:B1 *3217:25 9.28716e-05 +22 *2893:7 *21312:A 0.00046622 +23 *2893:13 *20540:A1 1.5254e-05 +24 *2893:13 *20540:B1 0.000842942 +25 *2893:13 *20542:B1 0 +26 *2893:13 *24485:RESET_B 0.000166238 +27 *2893:13 *4844:653 8.92568e-06 +28 *2893:13 *4844:665 0.000113373 +29 *2893:13 *4881:177 0 +30 *2893:13 *5867:358 6.49436e-05 +31 *2893:13 *5867:364 0.000529264 +32 *2893:14 *2919:20 0 +33 *2893:14 *3034:25 0 +34 *2893:14 *3146:181 0.000400628 +35 *2893:14 *5890:14 0.000157435 +36 *2893:14 *5890:36 0.000628584 +37 *2893:20 *3051:54 0.000299419 +38 *2893:20 *3146:181 0.000482392 +39 *2893:20 *3517:197 0.000420749 +40 *2893:20 *3517:222 0.000196016 +41 *2893:20 *5890:36 2.97235e-05 +42 *21279:B1 *2893:20 0.000539967 +43 *21554:A2 *21882:A1 0.001367 +44 *21554:B1 *21882:A1 0.000403655 +45 *21814:A2 *22623:B1 0.000202933 +46 *21875:A1 *2893:20 0.00333752 +47 *22331:B1 *21882:A1 0.00124904 +48 *24547:D *2893:13 0.000115508 +49 *24548:D *2893:13 0.000167535 +50 *522:18 *21882:A1 5.8518e-05 +51 *1553:36 *21882:A1 0 +52 *1620:31 *21882:A1 0.000879496 +53 *1645:49 *21882:A1 0.000107585 +54 *1647:58 *2893:20 0.000597288 +55 *1663:27 *22278:A1 6.03237e-05 +56 *1717:142 *2893:20 2.31416e-05 +57 *1784:91 *2893:20 0.000939899 +58 *1784:130 *2893:13 0 +59 *1991:48 *2893:13 0.00112756 +60 *2018:25 *2893:13 0 +61 *2508:23 *21882:A1 0.000603052 +62 *2508:23 *2893:20 0.00147656 +63 *2530:11 *2893:13 0 +64 *2554:21 *22278:A1 6.21488e-06 +65 *2576:76 *21882:A1 6.23115e-05 +66 *2777:60 *21882:A1 1.7981e-05 +67 *2827:29 *2893:14 0.00109712 +68 *2827:29 *2893:20 0.000261959 +69 *2827:49 *21882:A1 4.35589e-06 +70 *2827:49 *2893:20 0.000264205 +71 *2869:32 *22278:A1 1.5714e-05 +72 *2881:18 *2893:13 0 +*RES +1 *21877:Y *2893:7 18.9094 +2 *2893:7 *2893:13 48.2398 +3 *2893:13 *2893:14 11.8237 +4 *2893:14 *2893:20 13.2223 +5 *2893:20 *21882:A1 35.7483 +6 *2893:20 *22623:B1 19.8518 +7 *2893:14 *22278:A1 18.6847 +*END + +*D_NET *2894 0.0401291 +*CONN +*I *22624:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22282:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21882:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21878:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22624:B1 0.00111651 +2 *22282:B1 3.59854e-05 +3 *21882:B1 0.000370425 +4 *21878:Y 0.000437996 +5 *2894:67 0.00132166 +6 *2894:60 0.00173496 +7 *2894:39 0.00358513 +8 *2894:20 0.00308302 +9 *2894:19 0.00115786 +10 *2894:8 0.00333693 +11 *2894:7 0.00331033 +12 *21882:B1 *21063:B1 2.16355e-05 +13 *21882:B1 *3162:21 1.85728e-05 +14 *22282:B1 *22282:B2 6.08467e-05 +15 *22282:B1 *3481:159 3.82228e-05 +16 *22624:B1 *22255:A1 0.000133947 +17 *22624:B1 *22277:C1 0.000246852 +18 *22624:B1 *22624:B2 6.08467e-05 +19 *22624:B1 *2930:64 0.000114524 +20 *22624:B1 *3117:204 5.36085e-05 +21 *22624:B1 *3142:154 0.000125842 +22 *22624:B1 *3185:107 0.000218655 +23 *22624:B1 *3217:14 5.4678e-05 +24 *22624:B1 *3284:21 0.000565764 +25 *22624:B1 *3517:151 0.000161811 +26 *22624:B1 *3539:48 5.60635e-05 +27 *2894:8 *19617:B1 0.000180031 +28 *2894:8 *21915:B1 0.000208127 +29 *2894:8 *2904:8 0.000968612 +30 *2894:8 *2981:16 0.000218042 +31 *2894:8 *2981:24 0.00111262 +32 *2894:8 *5241:10 0.000149977 +33 *2894:8 *5859:352 6.25671e-05 +34 *2894:19 *20202:B1 1.58551e-05 +35 *2894:19 *3204:26 0.000311329 +36 *2894:19 *3487:30 0.000251669 +37 *2894:19 *5870:542 0.000140055 +38 *2894:20 *21063:A1 0.00020123 +39 *2894:20 *21063:B1 0.00103691 +40 *2894:39 *21061:A1 0.000110649 +41 *2894:39 *22332:B2 5.70913e-05 +42 *2894:39 *22661:C1 2.37266e-05 +43 *2894:39 *24232:CLK 5.36085e-05 +44 *2894:39 *3052:38 0.000309062 +45 *2894:39 *3126:228 0.00159444 +46 *2894:39 *3196:26 0.000417805 +47 *2894:39 *3280:13 0.00024994 +48 *2894:39 *3496:104 0.000100511 +49 *2894:39 *3502:18 8.78035e-05 +50 *2894:39 *3502:105 7.77248e-05 +51 *2894:39 *5853:334 5.57593e-05 +52 *2894:60 *21695:A1 0.000285418 +53 *2894:60 *22332:B2 2.1558e-06 +54 *2894:60 *22333:B 6.48631e-05 +55 *2894:60 *22337:A 5.50824e-05 +56 *2894:60 *22337:B 0.000106536 +57 *2894:60 *22337:C 0.000106645 +58 *2894:60 *22338:B 0.00031668 +59 *2894:60 *22602:B1 5.54715e-05 +60 *2894:60 *22602:B2 9.46283e-05 +61 *2894:60 *3052:77 0.000247916 +62 *2894:60 *3144:116 9.487e-05 +63 *2894:60 *3284:21 0.000170023 +64 *2894:60 *4825:146 0.000133323 +65 *2894:67 *22282:B2 1.5714e-05 +66 *2894:67 *2930:64 9.83766e-05 +67 *2894:67 *3185:107 8.61737e-06 +68 *2894:67 *3284:21 5.8417e-05 +69 *20203:B2 *2894:8 0.00018965 +70 *21063:B2 *21882:B1 1.17054e-05 +71 *21065:B2 *2894:39 0.000107052 +72 *21641:A1 *22624:B1 0.000118738 +73 *21689:B2 *2894:8 0.000150923 +74 *21882:A2 *21882:B1 4.95745e-05 +75 *21882:A2 *2894:20 8.54149e-06 +76 *21882:A2 *2894:39 2.25583e-07 +77 *21882:B2 *21882:B1 6.37152e-05 +78 *22332:B1 *2894:39 9.13702e-06 +79 *22332:B1 *2894:60 0.000159523 +80 *22334:A1 *2894:60 0.000211948 +81 *22664:A1 *22624:B1 3.39118e-05 +82 *494:44 *2894:19 5.481e-05 +83 *522:18 *2894:19 6.47245e-05 +84 *1573:49 *2894:7 0.00065071 +85 *1583:53 *2894:60 7.72394e-06 +86 *1649:67 *2894:39 8.19494e-05 +87 *1669:130 *2894:19 0.000299109 +88 *1680:111 *2894:60 0.000116868 +89 *1788:77 *2894:60 3.94395e-05 +90 *2244:36 *2894:60 5.01835e-05 +91 *2286:36 *2894:60 9.6492e-06 +92 *2301:11 *2894:8 1.91391e-05 +93 *2401:22 *2894:8 0.00050768 +94 *2503:99 *22282:B1 2.60039e-05 +95 *2503:124 *2894:60 1.504e-05 +96 *2576:6 *2894:8 0.00228263 +97 *2576:76 *2894:39 0 +98 *2576:85 *2894:39 8.55383e-05 +99 *2596:27 *2894:60 8.42687e-05 +100 *2609:24 *2894:20 0.000564177 +101 *2609:28 *2894:20 0.000116738 +102 *2609:28 *2894:39 0.000293224 +103 *2680:57 *22624:B1 8.00888e-05 +104 *2682:27 *22624:B1 6.51637e-05 +105 *2756:18 *2894:8 0.00204946 +106 *2800:53 *2894:39 4.09892e-05 +107 *2800:53 *2894:60 0 +108 *2880:20 *2894:8 1.5714e-05 +109 *2887:66 *22624:B1 6.08467e-05 +*RES +1 *21878:Y *2894:7 21.1278 +2 *2894:7 *2894:8 101.908 +3 *2894:8 *2894:19 30.4227 +4 *2894:19 *2894:20 22.1794 +5 *2894:20 *21882:B1 20.5973 +6 *2894:20 *2894:39 56.0224 +7 *2894:39 *2894:60 47.4214 +8 *2894:60 *2894:67 7.1427 +9 *2894:67 *22282:B1 15.0271 +10 *2894:67 *22624:B1 43.0272 +*END + +*D_NET *2895 0.023154 +*CONN +*I *22467:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21881:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22764:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21879:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22467:B1 0 +2 *21881:A1 0.000332578 +3 *22764:B1 2.3034e-05 +4 *21879:Y 0.000674001 +5 *2895:33 0.00156209 +6 *2895:21 0.00371506 +7 *2895:11 0.00320894 +8 *2895:7 0.00137436 +9 *21881:A1 *22474:B2 5.19758e-05 +10 *21881:A1 *22764:A2 0.000144123 +11 *21881:A1 *3053:14 0.000180735 +12 *21881:A1 *3500:33 3.17103e-05 +13 *2895:7 *20688:B1 6.08467e-05 +14 *2895:7 *2948:27 0.000373081 +15 *2895:7 *3177:148 0.000708159 +16 *2895:11 *22467:B2 9.76046e-05 +17 *2895:11 *2943:29 3.33173e-06 +18 *2895:11 *3208:72 0.000107496 +19 *2895:21 *22467:B2 3.24899e-05 +20 *2895:21 *3432:17 0.00117021 +21 *2895:33 *22474:A2 6.64392e-05 +22 *2895:33 *22474:B2 0.000112532 +23 *2895:33 *22480:B2 0.000111722 +24 *2895:33 *22765:C1 8.2603e-05 +25 *2895:33 *22783:C1 0.000545401 +26 *2895:33 *22785:A2 8.53519e-05 +27 *2895:33 *22785:B2 7.92757e-06 +28 *2895:33 *22786:C1 6.7671e-06 +29 *2895:33 *2935:13 6.7034e-05 +30 *2895:33 *3432:17 5.51483e-06 +31 *19806:A *2895:21 2.29454e-05 +32 *19806:B *2895:21 6.50727e-05 +33 *20688:A2 *2895:7 8.83972e-05 +34 *20688:B2 *2895:7 6.08467e-05 +35 *21462:A1 *2895:7 0.000619113 +36 *21725:B1 *2895:33 0.00059336 +37 *21881:A2 *21881:A1 5.04829e-06 +38 *22467:A1 *2895:21 3.53803e-05 +39 *22474:A1 *2895:33 6.36477e-05 +40 *22474:B1 *2895:33 9.40212e-05 +41 *22480:A1 *2895:33 6.08467e-05 +42 *22480:B1 *2895:33 9.97706e-05 +43 *24445:D *2895:7 0.000731091 +44 *1563:72 *2895:21 7.82682e-05 +45 *1564:11 *2895:21 0.000356424 +46 *1691:80 *21881:A1 7.92757e-06 +47 *1706:31 *2895:7 0.000111708 +48 *1706:37 *2895:11 4.06689e-05 +49 *1714:42 *2895:7 0.000152979 +50 *1715:29 *2895:7 0.0014273 +51 *1757:87 *2895:11 0.00105345 +52 *2036:55 *2895:7 0.000201759 +53 *2428:117 *21881:A1 0.000177259 +54 *2667:72 *2895:33 9.74189e-05 +55 *2693:19 *21881:A1 2.16355e-05 +56 *2740:28 *2895:33 0.0002136 +57 *2745:11 *2895:21 1.777e-05 +58 *2745:19 *2895:21 0.00031259 +59 *2745:19 *2895:33 6.36477e-05 +60 *2833:21 *2895:11 0.00118277 +61 *2865:11 *21881:A1 0.000154145 +*RES +1 *21879:Y *2895:7 46.6395 +2 *2895:7 *2895:11 30.3123 +3 *2895:11 *2895:21 40.896 +4 *2895:21 *2895:33 38.6774 +5 *2895:33 *22764:B1 9.82786 +6 *2895:33 *21881:A1 28.735 +7 *2895:11 *22467:B1 9.24915 +*END + +*D_NET *2896 0.0943892 +*CONN +*I *22290:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22622:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21881:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21880:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22290:A1 0.000446875 +2 *22622:A1 3.51473e-05 +3 *21881:B1 0.00400282 +4 *21880:Y 0 +5 *2896:72 0.00900231 +6 *2896:58 0.00951229 +7 *2896:49 0.00250019 +8 *2896:45 0.00223443 +9 *2896:37 0.00122106 +10 *2896:19 0.00519648 +11 *2896:10 0.00182168 +12 *2896:7 0.00202326 +13 *2896:4 0.000900433 +14 *21881:B1 *21900:A 7.67158e-05 +15 *21881:B1 *2905:17 0.000910041 +16 *21881:B1 *3053:14 6.36646e-05 +17 *21881:B1 *3164:15 0.000173483 +18 *21881:B1 *3179:12 0.00243211 +19 *21881:B1 *5674:45 0.00279527 +20 *21881:B1 *5859:251 0.00457453 +21 *22290:A1 *22293:C 9.75148e-06 +22 *22290:A1 *3122:153 1.68951e-06 +23 *22290:A1 *3581:25 0.000158451 +24 *22622:A1 *3493:48 3.82228e-05 +25 *2896:7 *20824:A 0.00071255 +26 *2896:7 *5857:263 0.000507097 +27 *2896:7 *5956:45 0.000142034 +28 *2896:10 *2900:60 0.00260404 +29 *2896:10 *5853:383 0.000307339 +30 *2896:10 *5864:207 4.98338e-05 +31 *2896:10 *5864:213 0.000266702 +32 *2896:19 *2900:60 8.35615e-06 +33 *2896:19 *2908:65 0.000312899 +34 *2896:37 *21580:A 0.00076537 +35 *2896:45 *2908:65 1.91008e-05 +36 *2896:45 *4808:6 1.2693e-05 +37 *2896:45 *4844:259 0.00054143 +38 *2896:45 *4844:267 9.13631e-05 +39 *2896:49 *24100:TE_B 1.03403e-05 +40 *2896:49 *4808:158 2.99859e-05 +41 *2896:49 *4832:77 0.000203595 +42 *2896:49 *4843:432 6.08467e-05 +43 *2896:49 *4843:445 6.72229e-05 +44 *2896:49 *5380:13 5.65567e-05 +45 *2896:58 *4809:52 0.000222322 +46 *2896:58 *4828:100 0.000207944 +47 *2896:58 *5855:261 0.000177764 +48 *2896:58 *5874:133 1.22908e-05 +49 *2896:58 *5908:56 0.000957093 +50 *2896:72 *2906:52 0.000444437 +51 *2896:72 *2924:15 0.00202399 +52 *2896:72 *2924:46 5.5355e-06 +53 *2896:72 *2950:76 0.00452287 +54 *2896:72 *2950:92 0.000823929 +55 *2896:72 *3076:23 0.00056732 +56 *2896:72 *4821:76 0.0001621 +57 *2896:72 *4821:125 0.00180969 +58 *2896:72 *5861:363 2.05082e-05 +59 *2896:72 *5863:23 3.8864e-05 +60 *2896:72 *5874:133 0.00289629 +61 *2896:72 *5903:18 0.00105538 +62 *20135:B2 *2896:49 2.16355e-05 +63 *20250:A *2896:37 0.000154333 +64 *20902:A2 *2896:58 4.69495e-06 +65 *22290:A2 *22290:A1 6.10662e-05 +66 *476:123 *2896:58 0.000374801 +67 *500:48 *2896:58 2.27118e-06 +68 *520:27 *2896:72 3.47489e-06 +69 *545:23 *21881:B1 0.00053211 +70 *1419:239 *2896:72 6.46692e-05 +71 *1419:249 *2896:72 0.000236176 +72 *1450:113 *2896:7 0.00350397 +73 *1450:122 *2896:7 8.29362e-06 +74 *1520:42 *2896:10 0.000384976 +75 *1552:37 *21881:B1 0.000255009 +76 *1557:89 *2896:10 0.000563414 +77 *1557:90 *2896:45 0.00109394 +78 *1652:65 *2896:72 0.000232583 +79 *1663:21 *2896:72 3.00965e-05 +80 *1679:20 *2896:58 0.00180449 +81 *1689:135 *2896:72 0.000382542 +82 *1709:54 *2896:58 0.00019635 +83 *1741:90 *2896:7 0.000166858 +84 *1800:119 *22290:A1 0.000300514 +85 *1800:119 *2896:72 0.000128739 +86 *2093:151 *22622:A1 0.000107496 +87 *2098:5 *2896:7 8.80953e-05 +88 *2098:10 *2896:7 0.000453802 +89 *2256:27 *2896:37 0.000438266 +90 *2278:28 *2896:10 0.000152823 +91 *2278:28 *2896:19 0.000449779 +92 *2278:28 *2896:45 0.00065346 +93 *2282:53 *2896:72 0.0018268 +94 *2288:24 *2896:72 0.000328827 +95 *2300:60 *2896:45 0.000340171 +96 *2354:24 *2896:72 6.23101e-05 +97 *2381:26 *2896:37 0.000767783 +98 *2394:16 *2896:19 3.56736e-05 +99 *2421:125 *21881:B1 0.000114715 +100 *2421:125 *2896:19 1.50922e-05 +101 *2421:125 *2896:58 4.98185e-05 +102 *2425:24 *2896:45 7.06752e-05 +103 *2474:7 *2896:49 0.00017413 +104 *2508:11 *22290:A1 4.39196e-05 +105 *2530:90 *2896:72 0.00161048 +106 *2613:29 *2896:72 0.00244898 +107 *2630:9 *2896:49 1.61631e-05 +108 *2631:28 *2896:72 0.000271126 +109 *2729:121 *21881:B1 0 +110 *2729:121 *2896:19 2.14262e-05 +111 *2738:14 *2896:72 0 +112 *2806:19 *22290:A1 6.48726e-05 +113 *2881:51 *22290:A1 0.000293417 +114 *2881:51 *2896:72 0.000133522 +*RES +1 *21880:Y *2896:4 9.24915 +2 *2896:4 *2896:7 49.5917 +3 *2896:7 *2896:10 48.5967 +4 *2896:10 *2896:19 12.9822 +5 *2896:19 *21881:B1 35.2268 +6 *2896:19 *2896:37 32.4414 +7 *2896:37 *2896:45 36.0516 +8 *2896:45 *2896:49 34.6174 +9 *2896:49 *2896:58 47.3682 +10 *2896:58 *2896:72 34.4194 +11 *2896:72 *22622:A1 15.0271 +12 *2896:72 *22290:A1 26.6595 +*END + +*D_NET *2897 0.00203081 +*CONN +*I *21882:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21881:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21882:C1 0.000377012 +2 *21881:X 0.000377012 +3 *21882:C1 *3162:21 0.0002038 +4 *21882:C1 *5860:511 0.000295505 +5 *21882:A2 *21882:C1 0.000384107 +6 *1552:37 *21882:C1 0.000291965 +7 *1669:156 *21882:C1 0.000101411 +*RES +1 *21881:X *21882:C1 40.7891 +*END + +*D_NET *2898 0.00238749 +*CONN +*I *21900:A I *D sky130_fd_sc_hd__and4_2 +*I *21882:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21900:A 0.000676453 +2 *21882:X 0.000676453 +3 *21900:A *3053:14 8.43701e-05 +4 *21900:A *3162:21 0.000867388 +5 *21881:B1 *21900:A 7.67158e-05 +6 *1552:37 *21900:A 6.11447e-06 +*RES +1 *21882:X *21900:A 39.4819 +*END + +*D_NET *2899 0.0216818 +*CONN +*I *21888:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21883:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21888:A1 0 +2 *21883:Y 0.000305614 +3 *2899:43 0.000757334 +4 *2899:34 0.000821357 +5 *2899:33 6.40232e-05 +6 *2899:31 0.000896061 +7 *2899:24 0.00184132 +8 *2899:12 0.00278272 +9 *2899:11 0.00214307 +10 *2899:11 *24266:CLK 0.000313495 +11 *2899:11 *24291:CLK 6.40265e-05 +12 *2899:11 *24292:RESET_B 0.000160617 +13 *2899:12 *21967:A1_N 0.000175689 +14 *2899:12 *23979:S 0.000265082 +15 *2899:12 *23987:A1 0 +16 *2899:12 *23989:S 3.7766e-05 +17 *2899:12 *24262:RESET_B 6.22769e-05 +18 *2899:12 *24288:RESET_B 2.67062e-05 +19 *2899:12 *2925:8 0 +20 *2899:12 *5871:505 6.60341e-05 +21 *2899:12 *5965:6 0 +22 *2899:12 *5966:10 5.04879e-05 +23 *2899:24 *20975:B2 0.000108691 +24 *2899:24 *23975:A1 2.652e-05 +25 *2899:24 *23979:S 5.58307e-05 +26 *2899:24 *2925:12 9.70835e-05 +27 *2899:24 *4877:8 8.903e-05 +28 *2899:24 *4878:118 0.000225799 +29 *2899:24 *6027:207 0 +30 *2899:31 *2900:37 0.000198813 +31 *2899:31 *4845:382 0.000141806 +32 *2899:43 *21888:B1 0 +33 *2899:43 *23992:A1 0.000167076 +34 *2899:43 *2900:37 0.000867666 +35 *6163:DIODE *2899:43 0.000458029 +36 *21004:A2 *2899:12 0.000132227 +37 *21004:B1 *2899:12 7.48922e-05 +38 *21888:A2 *2899:43 1.64789e-05 +39 *23992:S *2899:43 2.16355e-05 +40 *23996:A0 *2899:31 7.14746e-05 +41 *24262:D *2899:12 9.60216e-05 +42 *24263:D *2899:12 5.04879e-05 +43 *24292:D *2899:12 0 +44 *399:11 *2899:12 0 +45 *497:35 *2899:31 0.000623435 +46 *521:18 *2899:12 0.000630293 +47 *537:33 *2899:24 0.000207266 +48 *547:38 *2899:31 0.000462275 +49 *550:29 *2899:12 0 +50 *2164:140 *2899:24 0.000627222 +51 *2164:149 *2899:24 6.43474e-05 +52 *2446:35 *2899:31 0.000379709 +53 *2448:178 *2899:43 0.00224922 +54 *2528:25 *2899:31 0.000158357 +55 *2720:8 *2899:11 0 +56 *2859:8 *2899:11 0.000148129 +57 *2859:15 *2899:31 0.00239834 +*RES +1 *21883:Y *2899:11 32.2357 +2 *2899:11 *2899:12 50.8928 +3 *2899:12 *2899:24 38.9093 +4 *2899:24 *2899:31 46.9289 +5 *2899:31 *2899:33 9.24915 +6 *2899:33 *2899:34 81.1229 +7 *2899:34 *2899:43 43.3211 +8 *2899:43 *21888:A1 9.24915 +*END + +*D_NET *2900 0.104869 +*CONN +*I *22626:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *6198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22292:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21888:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21884:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22626:B1 1.96632e-05 +2 *6198:DIODE 3.52406e-05 +3 *22292:A1 0.000208263 +4 *21888:B1 2.06324e-05 +5 *21884:Y 0.000365904 +6 *2900:143 0.000171328 +7 *2900:140 0.00247934 +8 *2900:111 0.0094082 +9 *2900:90 0.00815869 +10 *2900:89 0.00171806 +11 *2900:84 0.00125448 +12 *2900:69 0.00272983 +13 *2900:60 0.00576611 +14 *2900:59 0.00431089 +15 *2900:50 0.000462512 +16 *2900:49 4.60021e-05 +17 *2900:47 0.00189879 +18 *2900:37 0.00316213 +19 *2900:13 0.00254322 +20 *2900:10 0.00162515 +21 *6198:DIODE *3513:156 3.95516e-05 +22 *22292:A1 *22268:C1 2.16355e-05 +23 *22292:A1 *3122:153 6.94204e-06 +24 *22292:A1 *3204:202 0.000286744 +25 *22292:A1 *3249:15 1.91391e-05 +26 *22626:B1 *3513:156 9.95922e-06 +27 *2900:10 *21953:C1 0.000348339 +28 *2900:10 *24241:CLK 4.80833e-05 +29 *2900:10 *5870:392 0.000135406 +30 *2900:13 *21040:A1 0.000212109 +31 *2900:13 *21888:C1 1.88014e-05 +32 *2900:13 *5860:471 0.000790766 +33 *2900:37 *23992:A1 0.000167076 +34 *2900:37 *24754:CLK 2.65667e-05 +35 *2900:37 *2904:7 0.000251669 +36 *2900:37 *4947:29 5.64867e-05 +37 *2900:37 *4950:57 0.000213725 +38 *2900:47 *21367:A 6.64393e-05 +39 *2900:47 *23969:A1 0.000108178 +40 *2900:47 *23971:A1 6.92705e-05 +41 *2900:47 *23971:S 5.36254e-05 +42 *2900:47 *23998:A1 9.55447e-05 +43 *2900:47 *24754:CLK 2.18145e-05 +44 *2900:47 *4951:5 0.000119393 +45 *2900:47 *4951:31 0.000808793 +46 *2900:47 *6027:256 4.56107e-05 +47 *2900:59 *21013:B2 0.000211478 +48 *2900:59 *5968:9 6.65878e-05 +49 *2900:60 *21246:A 0.000109598 +50 *2900:60 *21580:A 3.4309e-05 +51 *2900:60 *24227:RESET_B 0.000124557 +52 *2900:60 *2906:74 0.000212673 +53 *2900:60 *2908:63 0.000732447 +54 *2900:60 *2908:65 5.86956e-05 +55 *2900:60 *4845:548 9.24241e-05 +56 *2900:60 *5794:37 0.000215133 +57 *2900:60 *5853:293 8.46986e-05 +58 *2900:60 *5853:304 6.60347e-05 +59 *2900:60 *5853:365 0.00124103 +60 *2900:60 *5862:8 0.000894583 +61 *2900:60 *5862:17 0.000533726 +62 *2900:60 *5862:32 0.000455661 +63 *2900:60 *5862:47 0.000259358 +64 *2900:60 *6028:43 0.00055303 +65 *2900:60 *6028:60 0.000838275 +66 *2900:69 *2924:57 0.000127911 +67 *2900:69 *4843:432 0.000124313 +68 *2900:69 *4976:5 8.37946e-06 +69 *2900:69 *5853:278 4.80235e-05 +70 *2900:69 *5855:265 0.000107496 +71 *2900:84 *4809:52 0.000741711 +72 *2900:84 *4821:125 0.000623256 +73 *2900:84 *4974:9 0.000161252 +74 *2900:84 *5855:261 0.000630985 +75 *2900:84 *5864:520 0.000210796 +76 *2900:84 *5874:36 0.000137362 +77 *2900:89 *4827:79 1.60578e-06 +78 *2900:89 *4833:130 8.12259e-06 +79 *2900:90 *4873:32 9.66692e-06 +80 *2900:90 *5864:573 0.000148144 +81 *2900:90 *5864:579 0.000729169 +82 *2900:90 *5864:585 0.000181222 +83 *2900:90 *5864:607 0.000558385 +84 *2900:90 *5898:205 4.23622e-05 +85 *2900:90 *5908:56 0.000714817 +86 *2900:111 *21999:A1 0 +87 *2900:111 *22018:A 1.71158e-05 +88 *2900:111 *22358:A2 0.000243863 +89 *2900:111 *24312:RESET_B 0.000138643 +90 *2900:111 *3011:55 0.0034299 +91 *2900:111 *4823:85 0.000112924 +92 *2900:111 *5592:102 0.000395736 +93 *2900:111 *5857:467 0.000499207 +94 *2900:111 *5861:363 0.000359006 +95 *2900:111 *5908:87 0.00142808 +96 *2900:140 *22611:A2 0.000657357 +97 *2900:140 *3122:161 0.000134556 +98 *2900:140 *3233:8 0.000134727 +99 *2900:140 *3507:165 0.000475085 +100 *2900:140 *3507:180 0.000352281 +101 *2900:140 *3511:161 0.0010534 +102 *2900:140 *4942:22 1.87469e-05 +103 *2900:143 *22615:C1 6.63489e-05 +104 *2900:143 *22626:A2 0.000255962 +105 *2900:143 *3511:161 0.000107496 +106 *2900:143 *3513:156 2.07365e-05 +107 *2900:143 *3513:165 0.00011818 +108 *6163:DIODE *2900:37 1.51299e-05 +109 *6185:DIODE *2900:47 0.000266846 +110 *19841:B2 *6198:DIODE 0.000156955 +111 *19841:B2 *2900:143 9.47944e-05 +112 *20900:A2 *2900:69 0.000121845 +113 *20900:B2 *2900:69 0.000200794 +114 *20902:A2 *2900:84 4.31485e-06 +115 *20976:A2 *2900:47 4.33819e-05 +116 *20978:B1 *2900:47 0.000259648 +117 *20978:B1 *2900:59 0.000152821 +118 *21013:A1 *2900:59 6.50622e-05 +119 *21013:A2 *2900:59 4.58003e-05 +120 *21013:B1 *2900:59 2.73356e-05 +121 *21040:A2 *2900:13 1.41689e-05 +122 *21303:A1 *2900:111 4.09907e-05 +123 *21412:C1 *2900:111 0.0020833 +124 *21482:A1 *2900:111 9.93864e-05 +125 *21482:A1 *2900:140 4.65545e-05 +126 *21759:A2 *2900:111 0.00119521 +127 *21759:A2 *2900:140 0.000433286 +128 *21888:A2 *2900:37 7.92757e-06 +129 *21953:B2 *2900:10 7.19789e-06 +130 *22622:B1 *2900:111 6.98372e-05 +131 *23971:A0 *2900:47 0.00011818 +132 *23992:A0 *2900:37 0.000174344 +133 *23992:S *2900:37 6.50727e-05 +134 *23998:S *2900:47 6.50586e-05 +135 *24255:D *2900:59 2.65831e-05 +136 *24754:D *2900:47 0.000169041 +137 *477:177 *2900:111 0 +138 *477:180 *2900:111 3.73639e-05 +139 *499:18 *2900:37 0.000670397 +140 *500:59 *2900:69 3.82228e-05 +141 *503:13 *2900:37 1.92336e-05 +142 *506:52 *2900:37 7.92757e-06 +143 *508:20 *2900:111 0.000228037 +144 *508:28 *2900:84 6.12895e-05 +145 *508:31 *2900:47 0.000213725 +146 *529:41 *2900:84 7.62929e-05 +147 *535:25 *2900:84 0.000825988 +148 *1418:86 *2900:111 0.000206333 +149 *1439:170 *2900:60 1.87269e-05 +150 *1439:172 *2900:60 0.00358485 +151 *1520:42 *2900:60 0.000529716 +152 *1543:40 *2900:140 5.41227e-05 +153 *1595:101 *6198:DIODE 6.3657e-05 +154 *1608:98 *2900:111 0 +155 *1679:20 *2900:90 0.00310658 +156 *1688:91 *2900:84 0.000165759 +157 *1688:91 *2900:89 8.71824e-05 +158 *1696:31 *2900:10 0.000823562 +159 *1696:45 *2900:10 0.000158371 +160 *1696:67 *2900:37 0.000446985 +161 *1709:40 *2900:111 1.5296e-05 +162 *1780:36 *2900:13 0.000111722 +163 *1780:104 *2900:13 0.000503868 +164 *1802:206 *2900:13 2.09851e-05 +165 *1802:206 *2900:37 2.16355e-05 +166 *1917:29 *2900:90 0.000115461 +167 *2203:12 *2900:60 0 +168 *2301:30 *2900:84 4.23875e-05 +169 *2355:42 *2900:111 2.66408e-05 +170 *2394:16 *2900:60 0.000952853 +171 *2394:36 *2900:60 0.00206333 +172 *2409:22 *2900:111 8.09106e-06 +173 *2434:8 *2900:37 5.30254e-05 +174 *2446:126 *2900:90 6.45209e-05 +175 *2446:145 *2900:111 0.00328714 +176 *2448:176 *2900:47 0.000329167 +177 *2448:176 *2900:59 0.000378538 +178 *2448:178 *2900:37 1.16794e-05 +179 *2448:178 *2900:47 8.9725e-06 +180 *2460:51 *2900:111 0 +181 *2475:18 *2900:111 2.43496e-05 +182 *2503:31 *2900:111 2.40917e-06 +183 *2534:23 *2900:111 5.70566e-05 +184 *2535:40 *2900:143 1.41853e-05 +185 *2584:62 *2900:90 0.000117215 +186 *2635:47 *2900:111 0.000997968 +187 *2806:30 *22292:A1 1.47978e-05 +188 *2814:15 *2900:69 0.00178118 +189 *2843:31 *2900:143 1.41689e-05 +190 *2843:51 *2900:143 0.000111802 +191 *2896:10 *2900:60 0.00260404 +192 *2896:19 *2900:60 8.35615e-06 +193 *2899:31 *2900:37 0.000198813 +194 *2899:43 *21888:B1 0 +195 *2899:43 *2900:37 0.000867666 +*RES +1 *21884:Y *2900:10 32.1725 +2 *2900:10 *2900:13 21.2678 +3 *2900:13 *21888:B1 9.82786 +4 *2900:13 *2900:37 49.5713 +5 *2900:37 *2900:47 42.9697 +6 *2900:47 *2900:49 9.24915 +7 *2900:49 *2900:50 57.9449 +8 *2900:50 *2900:59 26.8667 +9 *2900:59 *2900:60 170.424 +10 *2900:60 *2900:69 46.4487 +11 *2900:69 *2900:84 49.9316 +12 *2900:84 *2900:89 4.52196 +13 *2900:89 *2900:90 58.3063 +14 *2900:90 *2900:111 24.0953 +15 *2900:111 *22292:A1 22.8738 +16 *2900:111 *2900:140 47.7372 +17 *2900:140 *2900:143 10.2148 +18 *2900:143 *6198:DIODE 11.0817 +19 *2900:143 *22626:B1 9.82786 +*END + +*D_NET *2901 0.0012079 +*CONN +*I *21887:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21885:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21887:A1 8.80637e-05 +2 *21885:Y 8.80637e-05 +3 *21887:A1 *19986:A 0.000172794 +4 *21887:A1 *2903:7 6.92705e-05 +5 *21887:A1 *5860:327 1.41291e-05 +6 *21887:A1 *5878:13 0.00037233 +7 *19989:A1 *21887:A1 0.000175485 +8 *21887:B2 *21887:A1 4.0621e-06 +9 *1492:88 *21887:A1 4.09471e-05 +10 *2270:51 *21887:A1 8.85014e-05 +11 *2270:75 *21887:A1 9.42563e-05 +*RES +1 *21885:Y *21887:A1 25.901 +*END + +*D_NET *2902 0.00599677 +*CONN +*I *21887:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21886:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21887:B1 0.000378063 +2 *21886:Y 0.00137336 +3 *2902:12 0.00175142 +4 *21887:B1 *24744:CLK 0.000445549 +5 *21887:B1 *2903:8 8.62625e-06 +6 *21887:B1 *5871:63 0 +7 *2902:12 *21202:A 0.00027329 +8 *2902:12 *21930:A 1.91246e-05 +9 *2902:12 *21966:A 4.02303e-05 +10 *2902:12 *24744:RESET_B 1.16297e-05 +11 *2902:12 *24744:CLK 0.000135044 +12 *2902:12 *4915:17 0.000414183 +13 *2902:12 *4954:12 0.0002646 +14 *2902:12 *5871:230 6.21526e-05 +15 *21205:B1 *2902:12 0.000171273 +16 *21377:B1 *2902:12 0.000171288 +17 *324:10 *21887:B1 6.99852e-05 +18 *2434:7 *2902:12 0.000171288 +19 *2644:13 *21887:B1 0.000123956 +20 *2755:14 *2902:12 0.000111708 +*RES +1 *21886:Y *2902:12 46.6565 +2 *2902:12 *21887:B1 23.7564 +*END + +*D_NET *2903 0.00985182 +*CONN +*I *21888:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21887:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21888:C1 2.68983e-05 +2 *21887:X 6.67041e-06 +3 *2903:8 0.00272978 +4 *2903:7 0.00270955 +5 *2903:8 *20241:B1 0 +6 *2903:8 *20367:B1 0.000157097 +7 *2903:8 *21519:A 0.00015537 +8 *2903:8 *2944:11 0.000164826 +9 *2903:8 *2991:25 1.91246e-05 +10 *2903:8 *4954:27 0 +11 *2903:8 *5197:8 0.000143032 +12 *2903:8 *5851:337 0.00081231 +13 *2903:8 *5851:346 0.000346918 +14 *2903:8 *5851:365 0.000750758 +15 *2903:8 *5851:389 0.00044781 +16 *2903:8 *5871:63 0 +17 *19915:A1 *2903:8 3.29488e-05 +18 *20366:A2 *2903:8 5.52031e-05 +19 *20367:A2 *2903:8 0.000216982 +20 *21530:A1 *2903:8 9.21153e-06 +21 *21844:B1 *2903:8 9.77956e-05 +22 *21887:A1 *2903:7 6.92705e-05 +23 *21887:B1 *2903:8 8.62625e-06 +24 *21959:B2 *2903:8 0.000123546 +25 *24664:D *2903:8 0.000132658 +26 *1669:97 *2903:8 0.000122196 +27 *1749:29 *2903:8 1.94327e-05 +28 *1780:104 *21888:C1 6.13004e-05 +29 *1802:206 *21888:C1 0.000108197 +30 *1884:307 *2903:8 4.48826e-05 +31 *1933:16 *2903:8 0.000156702 +32 *2270:51 *2903:7 6.50586e-05 +33 *2393:12 *2903:8 0 +34 *2641:19 *2903:8 0 +35 *2644:13 *2903:8 3.88655e-05 +36 *2900:13 *21888:C1 1.88014e-05 +*RES +1 *21887:X *2903:7 14.4725 +2 *2903:7 *2903:8 82.8062 +3 *2903:8 *21888:C1 15.0271 +*END + +*D_NET *2904 0.0157233 +*CONN +*I *21900:B I *D sky130_fd_sc_hd__and4_2 +*I *21888:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21900:B 0.000459607 +2 *21888:X 0.00017986 +3 *2904:8 0.00340058 +4 *2904:7 0.00312084 +5 *21900:B *21725:A1 0.000193499 +6 *21900:B *21900:C 0.000107496 +7 *21900:B *21900:D 2.07365e-05 +8 *21900:B *3144:23 1.58551e-05 +9 *2904:8 *21915:B1 0.000212595 +10 *2904:8 *5870:474 0.00030319 +11 *2904:8 *5870:486 0.000154073 +12 *20203:B2 *2904:8 0.000193061 +13 *21689:B2 *2904:8 0.000346836 +14 *1552:8 *2904:8 0.000236852 +15 *1552:35 *2904:8 8.77114e-05 +16 *1553:36 *21900:B 4.77993e-05 +17 *1553:36 *2904:8 8.98169e-05 +18 *1671:126 *21900:B 0.000110297 +19 *1691:80 *21900:B 0.000175017 +20 *1749:65 *2904:8 0.000255769 +21 *1790:43 *2904:8 0.000423106 +22 *1882:8 *2904:8 0.000238769 +23 *1882:10 *2904:8 0.000217385 +24 *1882:18 *2904:8 9.28861e-05 +25 *2301:11 *2904:8 2.27135e-05 +26 *2393:12 *2904:8 0.00196725 +27 *2576:6 *2904:8 0.00131904 +28 *2638:35 *21900:B 4.67463e-06 +29 *2638:35 *2904:8 2.03363e-06 +30 *2638:37 *21900:B 0.000484529 +31 *2880:20 *2904:8 1.9101e-05 +32 *2894:8 *2904:8 0.000968612 +33 *2900:37 *2904:7 0.000251669 +*RES +1 *21888:X *2904:7 16.691 +2 *2904:7 *2904:8 96.9248 +3 *2904:8 *21900:B 36.4853 +*END + +*D_NET *2905 0.00783401 +*CONN +*I *21894:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22765:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22453:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21889:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21894:A1 7.92845e-05 +2 *22765:B1 0.000312197 +3 *22453:B1 0.000144655 +4 *21889:Y 0.000290872 +5 *2905:17 0.000969435 +6 *2905:7 0.00088274 +7 *21894:A1 *21900:C 4.62035e-05 +8 *21894:A1 *24981:A 1.41976e-05 +9 *22453:B1 *21900:D 0.000321876 +10 *22453:B1 *22453:A2 6.36477e-05 +11 *22453:B1 *22453:B2 2.77247e-05 +12 *22453:B1 *22453:C1 1.01508e-05 +13 *22453:B1 *3406:10 1.08349e-05 +14 *22765:B1 *3124:93 3.18079e-05 +15 *22765:B1 *3162:21 8.07023e-05 +16 *2905:7 *24981:A 3.55126e-05 +17 *2905:7 *3162:11 0.000449016 +18 *2905:7 *4866:110 0.000879703 +19 *2905:7 *5854:510 0.000110306 +20 *2905:17 *5674:45 6.45323e-05 +21 *21881:B1 *2905:17 0.000910041 +22 *21894:A2 *21894:A1 4.31603e-06 +23 *21894:B2 *21894:A1 1.72153e-05 +24 *21894:B2 *2905:7 0.000350412 +25 *21894:B2 *2905:17 1.65872e-05 +26 *22453:A1 *22453:B1 6.36477e-05 +27 *22765:A1 *22765:B1 6.36477e-05 +28 *522:18 *2905:17 6.16319e-05 +29 *545:23 *2905:17 8.14911e-05 +30 *1660:27 *2905:17 0.000956916 +31 *1800:249 *22765:B1 1.98185e-05 +32 *2576:76 *2905:17 6.52144e-05 +33 *2815:14 *22453:B1 2.43314e-05 +34 *2815:32 *22453:B1 8.17064e-05 +35 *2815:32 *22765:B1 3.83975e-05 +36 *2815:32 *2905:17 8.22964e-06 +37 *2865:32 *22453:B1 0.000104233 +38 *2865:32 *22765:B1 0.000140778 +*RES +1 *21889:Y *2905:7 22.1979 +2 *2905:7 *2905:17 14.9028 +3 *2905:17 *22453:B1 19.8518 +4 *2905:17 *22765:B1 21.8036 +5 *2905:7 *21894:A1 12.2392 +*END + +*D_NET *2906 0.0665989 +*CONN +*I *22283:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21894:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22628:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21890:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22283:B1 0 +2 *21894:B1 0.00289278 +3 *22628:B1 5.68991e-05 +4 *21890:Y 0.00100039 +5 *2906:82 0.00427339 +6 *2906:74 0.0024306 +7 *2906:63 0.00221627 +8 *2906:56 0.00342741 +9 *2906:55 0.00255646 +10 *2906:52 0.00162936 +11 *2906:43 0.00325446 +12 *2906:39 0.00317621 +13 *2906:12 0.00167876 +14 *2906:8 0.00136648 +15 *21894:B1 *21900:C 5.81887e-05 +16 *21894:B1 *2909:17 1.55025e-05 +17 *21894:B1 *3164:15 0.000472929 +18 *21894:B1 *3179:12 0.000215066 +19 *21894:B1 *3502:18 0.000545806 +20 *21894:B1 *4920:60 0.000277593 +21 *22628:B1 *22628:A2 6.3657e-05 +22 *22628:B1 *22628:B2 6.57406e-05 +23 *22628:B1 *3192:135 5.51483e-06 +24 *22628:B1 *3523:192 0.000168411 +25 *2906:8 *22272:B 0.000110777 +26 *2906:8 *22279:B2 4.23378e-05 +27 *2906:8 *22281:A2 5.6056e-05 +28 *2906:8 *22283:A2 0 +29 *2906:8 *22283:B2 0 +30 *2906:8 *24213:CLK 0.000244355 +31 *2906:8 *3010:76 8.72221e-06 +32 *2906:8 *3215:10 0.0006197 +33 *2906:8 *3541:71 3.58525e-05 +34 *2906:8 *5091:25 0.000105515 +35 *2906:12 *22283:C1 2.05342e-06 +36 *2906:12 *3051:54 8.85729e-06 +37 *2906:12 *3541:71 0.0001214 +38 *2906:39 *22371:C1 1.5756e-05 +39 *2906:39 *22374:C1 2.16355e-05 +40 *2906:39 *22381:A 0.000154145 +41 *2906:39 *22705:B2 6.6528e-05 +42 *2906:39 *3120:130 0.00160626 +43 *2906:39 *3192:135 6.26382e-05 +44 *2906:39 *3238:44 1.5714e-05 +45 *2906:39 *3517:254 0.000217569 +46 *2906:39 *3523:192 0.000671592 +47 *2906:43 *22684:A2 2.57847e-05 +48 *2906:43 *22684:B2 8.78407e-06 +49 *2906:52 *21292:A 1.5714e-05 +50 *2906:52 *21998:A1 0.000186681 +51 *2906:52 *22028:B1 0 +52 *2906:52 *3153:112 9.63502e-05 +53 *2906:52 *4815:68 0.000283481 +54 *2906:52 *5903:18 6.30676e-05 +55 *2906:55 *21215:A 0.00012205 +56 *2906:56 *21032:A1 1.19374e-05 +57 *2906:56 *2908:12 0.000951818 +58 *2906:56 *3076:28 0 +59 *2906:56 *4811:18 0.000180443 +60 *2906:56 *4816:96 4.69495e-06 +61 *2906:56 *4816:124 2.25583e-07 +62 *2906:56 *4819:28 0.000365547 +63 *2906:56 *4824:122 0.0012537 +64 *2906:56 *4843:345 0.000585704 +65 *2906:63 *20616:B1 0.000260374 +66 *2906:63 *21692:A 0.000127911 +67 *2906:63 *2908:12 0.00048306 +68 *2906:63 *4819:28 0.000124337 +69 *2906:63 *4844:255 0.00057915 +70 *2906:63 *4844:259 3.82228e-05 +71 *2906:74 *24248:RESET_B 0.000186162 +72 *2906:74 *2950:96 0.000199643 +73 *2906:74 *5853:304 0.000550286 +74 *2906:74 *5853:365 0.000387694 +75 *2906:82 *22146:A 4.59574e-05 +76 *2906:82 *22147:A 2.60879e-06 +77 *2906:82 *22152:A 6.78672e-05 +78 *2906:82 *22174:A 4.12977e-05 +79 *2906:82 *22233:A 9.34145e-05 +80 *2906:82 *3104:37 5.93547e-06 +81 *2906:82 *3108:51 0.000207941 +82 *2906:82 *3108:58 8.61737e-06 +83 *2906:82 *3111:16 0.000193817 +84 *2906:82 *3126:7 4.31703e-05 +85 *2906:82 *3153:7 0.000211492 +86 *2906:82 *3153:26 0.000116109 +87 *2906:82 *3491:8 8.98845e-05 +88 *20652:A *2906:43 0.000247443 +89 *20940:B2 *2906:52 0.000162279 +90 *21279:A2 *2906:39 0.000156955 +91 *21856:A2 *2906:39 0.000823221 +92 *21856:B2 *2906:12 1.52071e-06 +93 *21875:A1 *2906:8 7.07115e-06 +94 *21882:A2 *21894:B1 0.000397173 +95 *21894:A2 *21894:B1 3.95825e-06 +96 *21894:B2 *21894:B1 1.16522e-05 +97 *22374:B1 *2906:39 5.08356e-05 +98 *22628:A1 *2906:39 0.000158451 +99 *22684:A1 *2906:43 7.28441e-05 +100 *22684:B1 *2906:39 1.21461e-06 +101 *22684:B1 *2906:43 9.80242e-07 +102 *22701:A1 *2906:39 4.78069e-06 +103 *22705:A1 *2906:39 0.000425465 +104 *24214:D *2906:8 0.000126762 +105 *24245:D *2906:74 6.10485e-05 +106 *24312:D *2906:52 0.000101987 +107 *504:16 *2906:74 0.00020324 +108 *1419:239 *2906:52 0.000204334 +109 *1419:249 *2906:52 0.000226438 +110 *1443:49 *21894:B1 0.00196675 +111 *1450:164 *2906:56 8.79081e-06 +112 *1551:72 *2906:82 0.000339328 +113 *1551:75 *2906:63 0.00118017 +114 *1551:75 *2906:74 0 +115 *1557:107 *2906:56 0.000563971 +116 *1562:9 *2906:56 8.69672e-05 +117 *1618:126 *2906:8 2.38923e-05 +118 *1635:97 *2906:52 6.55401e-06 +119 *1635:106 *2906:52 9.66886e-05 +120 *1645:49 *21894:B1 0.000120205 +121 *1647:87 *2906:12 0.000104317 +122 *1647:121 *2906:12 4.75466e-05 +123 *1652:12 *2906:82 1.78392e-05 +124 *1663:27 *2906:52 0.000267655 +125 *1669:156 *21894:B1 0.000191709 +126 *1790:43 *21894:B1 6.2265e-05 +127 *2117:13 *2906:82 0.000495936 +128 *2294:63 *2906:39 0.000110306 +129 *2301:45 *2906:56 0.000226589 +130 *2319:55 *2906:55 0.000407351 +131 *2323:14 *2906:55 1.65872e-05 +132 *2354:11 *2906:43 0.000508018 +133 *2359:27 *2906:39 0.000382008 +134 *2370:8 *2906:39 1.85244e-05 +135 *2373:51 *2906:39 2.57847e-05 +136 *2397:50 *2906:63 0 +137 *2415:32 *2906:56 0.000159654 +138 *2415:40 *2906:56 0.00101332 +139 *2415:64 *2906:55 0.00103105 +140 *2421:125 *21894:B1 0.00321231 +141 *2425:40 *2906:56 0.000101754 +142 *2452:36 *2906:52 3.81416e-06 +143 *2465:37 *2906:43 0.000685595 +144 *2468:79 *2906:56 2.68839e-05 +145 *2474:7 *2906:63 4.13003e-05 +146 *2474:14 *2906:63 8.42071e-05 +147 *2488:14 *2906:39 3.77568e-05 +148 *2534:23 *2906:52 0.000720199 +149 *2635:80 *2906:63 1.97947e-05 +150 *2637:11 *2906:82 0.00197277 +151 *2642:14 *2906:56 4.14671e-05 +152 *2642:21 *2906:56 5.56492e-05 +153 *2676:22 *2906:52 0.000575319 +154 *2772:12 *2906:56 0.00043183 +155 *2869:32 *2906:8 5.11322e-06 +156 *2869:46 *2906:8 0.000103519 +157 *2869:46 *2906:12 0.000264213 +158 *2869:51 *2906:12 2.27175e-05 +159 *2870:21 *2906:52 3.29488e-05 +160 *2871:18 *2906:12 0 +161 *2886:8 *2906:8 0.000225366 +162 *2886:19 *2906:8 4.41408e-05 +163 *2887:10 *2906:56 4.73878e-05 +164 *2896:72 *2906:52 0.000444437 +165 *2900:60 *2906:74 0.000212673 +*RES +1 *21890:Y *2906:8 39.7008 +2 *2906:8 *2906:12 13.8065 +3 *2906:12 *22628:B1 11.6605 +4 *2906:12 *2906:39 37.633 +5 *2906:39 *2906:43 34.6174 +6 *2906:43 *2906:52 47.953 +7 *2906:52 *2906:55 16.8701 +8 *2906:55 *2906:56 75.124 +9 *2906:56 *2906:63 45.9259 +10 *2906:63 *2906:74 49.8108 +11 *2906:74 *2906:82 49.0445 +12 *2906:82 *21894:B1 38.6115 +13 *2906:8 *22283:B1 13.7491 +*END + +*D_NET *2907 0.019919 +*CONN +*I *21893:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21891:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21893:A1 0.000167929 +2 *21891:Y 0 +3 *2907:6 0.00430838 +4 *2907:5 0.00414045 +5 *21893:A1 *3144:23 6.50586e-05 +6 *2907:6 *19614:A1 9.76996e-05 +7 *2907:6 *20365:B1 0.00087044 +8 *2907:6 *21471:A 0 +9 *2907:6 *21954:A 0.000143032 +10 *2907:6 *24749:CLK 5.90881e-05 +11 *2907:6 *3485:90 7.22263e-05 +12 *2907:6 *3487:81 0.00015836 +13 *2907:6 *4876:49 1.7182e-05 +14 *2907:6 *5168:13 6.22114e-05 +15 *2907:6 *5481:38 0.000157854 +16 *2907:6 *5854:409 0 +17 *2907:6 *5857:338 0 +18 *2907:6 *5857:368 0.000500733 +19 *19614:A2 *2907:6 0.000286208 +20 *21340:C1 *21893:A1 0.00012609 +21 *21652:A2 *2907:6 1.5714e-05 +22 *21742:A2 *2907:6 0.000264648 +23 *23990:S *2907:6 0.000146404 +24 *24076:S *2907:6 1.91246e-05 +25 *24095:S *2907:6 0.000140365 +26 *496:19 *2907:6 3.10307e-05 +27 *496:30 *2907:6 5.22896e-05 +28 *543:37 *2907:6 0.00151809 +29 *1450:80 *2907:6 0.000185166 +30 *1450:102 *2907:6 0.00114654 +31 *1452:18 *2907:6 0.00021922 +32 *1706:156 *2907:6 0.000387102 +33 *1735:100 *2907:6 3.77568e-05 +34 *1933:8 *2907:6 0 +35 *2398:100 *2907:6 2.73341e-05 +36 *2426:89 *2907:6 0.000315718 +37 *2427:14 *2907:6 0.000172857 +38 *2427:16 *2907:6 0.00307929 +39 *2428:96 *2907:6 0.000615634 +40 *2428:116 *2907:6 4.40499e-05 +41 *2577:28 *2907:6 0 +42 *2596:14 *2907:6 5.3627e-06 +43 *2645:27 *2907:6 0.000262345 +44 *2667:8 *2907:6 0 +*RES +1 *21891:Y *2907:5 13.7491 +2 *2907:5 *2907:6 142.187 +3 *2907:6 *21893:A1 17.8002 +*END + +*D_NET *2908 0.0579973 +*CONN +*I *21893:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22288:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22625:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *21892:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21893:B1 0.00447188 +2 *22288:A1 0.000754266 +3 *22625:A1 0.000138894 +4 *21892:Y 0 +5 *2908:65 0.00577357 +6 *2908:63 0.0021977 +7 *2908:29 0.00518217 +8 *2908:12 0.00577216 +9 *2908:4 0.00237916 +10 *21893:B1 *22204:B 0.000262179 +11 *21893:B1 *3137:9 0.00289589 +12 *21893:B1 *3142:21 0.00015615 +13 *21893:B1 *3144:23 0.000355408 +14 *21893:B1 *3500:18 0.000233873 +15 *21893:B1 *4823:142 0.000346909 +16 *21893:B1 *4829:63 0 +17 *21893:B1 *5870:554 0.000105095 +18 *21893:B1 *5956:58 9.72199e-05 +19 *22288:A1 *22288:A2 0.000151994 +20 *22288:A1 *22601:B1 8.86583e-05 +21 *22288:A1 *3196:185 0.000174443 +22 *22288:A1 *3243:30 0.000859499 +23 *22288:A1 *3471:203 0.000332118 +24 *22288:A1 *3491:226 7.67626e-05 +25 *22625:A1 *22635:C 1.33419e-05 +26 *22625:A1 *3021:43 1.74911e-05 +27 *22625:A1 *3243:30 0.000168016 +28 *22625:A1 *3491:226 4.25507e-05 +29 *2908:12 *4813:37 7.13972e-05 +30 *2908:12 *4825:112 8.6931e-05 +31 *2908:12 *4844:255 0.000356079 +32 *2908:12 *4908:184 0.000101218 +33 *2908:29 *22625:B1 0.000455534 +34 *2908:29 *22714:A2 0.000137865 +35 *2908:29 *22714:C1 0.000243877 +36 *2908:29 *22715:C1 0.000139077 +37 *2908:29 *2990:62 9.88274e-05 +38 *2908:29 *3072:20 0.00170909 +39 *2908:29 *3306:22 2.31678e-05 +40 *2908:29 *3348:21 0.000167969 +41 *2908:29 *3466:172 0.000129091 +42 *2908:29 *3500:152 0.000133334 +43 *2908:29 *4814:64 3.24092e-05 +44 *2908:29 *4826:117 0.000113478 +45 *2908:29 *5919:72 7.27023e-06 +46 *2908:63 *21072:A1 0.000207489 +47 *2908:63 *21892:A 0.000312309 +48 *2908:63 *4813:37 7.13972e-05 +49 *2908:63 *5364:8 9.96222e-05 +50 *2908:63 *5366:8 0.000119171 +51 *2908:65 *4844:259 0.000734576 +52 *2908:65 *4844:267 0.00105781 +53 *20165:A2 *2908:65 0.000110473 +54 *20617:B2 *2908:29 5.11466e-05 +55 *21340:A2 *21893:B1 0.000592203 +56 *21340:C1 *21893:B1 0.000106478 +57 *21351:B1 *21893:B1 0.000213433 +58 *21351:B2 *21893:B1 1.20411e-05 +59 *21352:B2 *21893:B1 0.000209897 +60 *21395:A2 *2908:29 0.000468543 +61 *21814:A2 *2908:29 0.000932271 +62 *21893:B2 *21893:B1 6.61884e-05 +63 *22288:B1 *22288:A1 6.36477e-05 +64 *22541:A *21893:B1 0.000167509 +65 *22673:B1 *2908:29 0.0010856 +66 *24225:D *2908:63 3.75608e-05 +67 *518:15 *2908:29 4.85871e-06 +68 *518:57 *21893:B1 1.36768e-05 +69 *1542:101 *2908:29 0.00029676 +70 *1573:155 *2908:29 0.000119023 +71 *1620:35 *22288:A1 6.05932e-05 +72 *1620:35 *22625:A1 1.44999e-05 +73 *1645:94 *2908:29 0.0014595 +74 *1679:37 *21893:B1 0.000105397 +75 *2016:71 *2908:29 4.77858e-05 +76 *2016:82 *2908:29 0.000384166 +77 *2088:104 *21893:B1 0.000220952 +78 *2088:113 *21893:B1 3.30319e-05 +79 *2093:98 *2908:29 4.79233e-05 +80 *2202:27 *2908:63 0.000292759 +81 *2202:31 *2908:63 0.00036616 +82 *2203:12 *2908:63 0 +83 *2203:34 *2908:12 2.17933e-05 +84 *2203:41 *2908:12 0.000213655 +85 *2203:50 *2908:12 3.63435e-05 +86 *2267:70 *2908:29 7.34841e-05 +87 *2274:95 *2908:29 8.12259e-06 +88 *2278:28 *2908:65 0.000262439 +89 *2295:78 *2908:29 0.000854092 +90 *2300:60 *2908:65 3.89332e-06 +91 *2383:25 *2908:29 0.000334735 +92 *2394:16 *2908:65 0.000859359 +93 *2394:36 *2908:65 0.00206178 +94 *2394:41 *2908:63 1.3813e-05 +95 *2394:41 *2908:65 0.000169363 +96 *2396:23 *21893:B1 0.000528228 +97 *2415:32 *2908:12 0.000473472 +98 *2456:22 *2908:29 0.00145255 +99 *2729:121 *21893:B1 0.000283583 +100 *2772:12 *2908:12 0.000428419 +101 *2827:49 *22625:A1 9.04241e-05 +102 *2827:57 *22625:A1 7.09666e-06 +103 *2884:17 *22288:A1 2.21464e-05 +104 *2896:19 *2908:65 0.000312899 +105 *2896:45 *2908:65 1.91008e-05 +106 *2900:60 *2908:63 0.000732447 +107 *2900:60 *2908:65 5.86956e-05 +108 *2906:56 *2908:12 0.000951818 +109 *2906:63 *2908:12 0.00048306 +*RES +1 *21892:Y *2908:4 9.24915 +2 *2908:4 *2908:12 47.8262 +3 *2908:12 *2908:29 48.195 +4 *2908:29 *22625:A1 17.8959 +5 *2908:29 *22288:A1 35.5577 +6 *2908:4 *2908:63 33.8175 +7 *2908:63 *2908:65 57.4758 +8 *2908:65 *21893:B1 39.7013 +*END + +*D_NET *2909 0.00259744 +*CONN +*I *21894:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21893:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21894:C1 0 +2 *21893:X 0.000470036 +3 *2909:17 0.000470036 +4 *2909:17 *21900:C 6.3657e-05 +5 *2909:17 *24233:SET_B 0.000107496 +6 *2909:17 *24233:CLK 1.65872e-05 +7 *2909:17 *3144:23 6.50586e-05 +8 *2909:17 *3498:24 7.6363e-05 +9 *2909:17 *5870:554 0.000154145 +10 *21894:B1 *2909:17 1.55025e-05 +11 *522:18 *2909:17 0.000324492 +12 *1669:146 *2909:17 0.000156593 +13 *1691:80 *2909:17 0.000122149 +14 *1790:43 *2909:17 1.56117e-05 +15 *2576:64 *2909:17 4.34728e-05 +16 *2576:76 *2909:17 5.57273e-05 +17 *2693:19 *2909:17 0.000440512 +*RES +1 *21893:X *2909:17 42.9184 +2 *2909:17 *21894:C1 9.24915 +*END + +*D_NET *2910 0.00122311 +*CONN +*I *21900:C I *D sky130_fd_sc_hd__and4_2 +*I *21894:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21900:C 0.000435512 +2 *21894:X 0.000435512 +3 *21900:C *21900:D 6.08467e-05 +4 *21900:C *2916:20 8.96314e-06 +5 *21894:A1 *21900:C 4.62035e-05 +6 *21894:B1 *21900:C 5.81887e-05 +7 *21894:B2 *21900:C 6.72819e-06 +8 *21900:B *21900:C 0.000107496 +9 *2909:17 *21900:C 6.3657e-05 +*RES +1 *21894:X *21900:C 26.6244 +*END + +*D_NET *2911 0.0198016 +*CONN +*I *21899:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21895:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21899:A1 0.00170255 +2 *21895:Y 0.000788004 +3 *2911:30 0.00332733 +4 *2911:17 0.00406411 +5 *2911:10 0.00322734 +6 *21899:A1 *4863:154 0.000930619 +7 *2911:17 *23034:B 0.00011961 +8 *2911:17 *23374:B 0.000350949 +9 *2911:17 *23434:A 0.000201077 +10 *2911:17 *23435:C 3.16547e-06 +11 *2911:17 *23714:B 0.000368635 +12 *2911:17 *23714:C 5.30702e-05 +13 *2911:17 *23714:D 1.08736e-05 +14 *2911:17 *3941:9 0.000213739 +15 *2911:17 *3942:32 3.58044e-05 +16 *2911:17 *4276:5 0.000343701 +17 *2911:30 *20450:B1 0.000251669 +18 *2911:30 *24997:A 0.000451182 +19 *2911:30 *2913:15 0.000132321 +20 *2911:30 *5856:232 7.14746e-05 +21 *2911:30 *5994:8 0.000118485 +22 *19762:A *2911:10 0.000423805 +23 *20158:A2 *2911:30 0.00020502 +24 *21218:A2 *21899:A1 0.000285329 +25 *21899:B2 *21899:A1 6.01223e-05 +26 *24071:S *2911:17 6.11872e-05 +27 *657:114 *2911:10 0.00027394 +28 *1471:185 *2911:17 5.14029e-05 +29 *1744:119 *2911:30 0.000118485 +30 *1783:54 *2911:10 9.75356e-05 +31 *1818:48 *21899:A1 0.000253916 +32 *2283:21 *2911:17 0.000492878 +33 *2283:21 *2911:30 1.41976e-05 +34 *2283:29 *2911:30 0.000462617 +35 *2284:11 *2911:10 0.000126407 +36 *2284:28 *2911:30 7.92757e-06 +37 *2502:26 *2911:10 0.000101148 +*RES +1 *21895:Y *2911:10 38.5519 +2 *2911:10 *2911:17 46.5505 +3 *2911:17 *2911:30 47.7906 +4 *2911:30 *21899:A1 36.4729 +*END + +*D_NET *2912 0.0144193 +*CONN +*I *22463:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22767:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21898:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21896:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22463:A1 0.000716363 +2 *22767:A1 0.000788437 +3 *21898:A1 0 +4 *21896:Y 0.000199287 +5 *2912:25 0.0015048 +6 *2912:23 0.0017896 +7 *2912:13 0.00198889 +8 *22463:A1 *22733:B1 7.48744e-05 +9 *22463:A1 *3198:53 0.000230575 +10 *22463:A1 *3671:95 6.74182e-05 +11 *22767:A1 *22768:C1 0.000107496 +12 *22767:A1 *22779:D 1.56354e-05 +13 *22767:A1 *3427:28 0.000112004 +14 *22767:A1 *3432:17 9.4711e-05 +15 *22767:A1 *3500:65 1.5714e-05 +16 *2912:13 *21898:B1 6.08467e-05 +17 *2912:13 *24992:A 0.000358753 +18 *2912:13 *2913:15 0.000207266 +19 *2912:23 *22429:A1 5.19758e-05 +20 *2912:23 *22429:A2 0.000207266 +21 *2912:23 *22429:B2 0.000253916 +22 *2912:23 *22429:C1 4.17605e-05 +23 *2912:23 *22448:A 8.99663e-05 +24 *2912:23 *22476:A2 6.08467e-05 +25 *2912:23 *2959:43 0.000355808 +26 *2912:23 *3428:10 0.000359297 +27 *19887:A *22767:A1 0.000353686 +28 *19902:A *2912:23 3.26673e-05 +29 *21850:A1 *22767:A1 1.58733e-05 +30 *21850:B1 *22463:A1 0.000185107 +31 *21850:B1 *22767:A1 0.000160384 +32 *21898:A2 *2912:13 6.50727e-05 +33 *21898:A2 *2912:23 0.000540163 +34 *21898:B2 *2912:13 0.000139264 +35 *21993:A2 *22463:A1 3.55968e-05 +36 *22464:A1 *22463:A1 0.000154688 +37 *22476:B1 *2912:23 0.00107481 +38 *1510:99 *22767:A1 3.65454e-05 +39 *1544:76 *22767:A1 1.5714e-05 +40 *1645:31 *22463:A1 0.000255195 +41 *1675:32 *22463:A1 1.2658e-05 +42 *1802:38 *22463:A1 8.4653e-05 +43 *1818:48 *2912:13 0.000166471 +44 *1949:52 *2912:13 0.000142863 +45 *2703:43 *2912:23 0.000658471 +46 *2746:55 *22767:A1 4.23858e-05 +47 *2864:93 *2912:23 9.95234e-05 +48 *2866:21 *22463:A1 0.000224923 +49 *2866:21 *22767:A1 0.000169093 +*RES +1 *21896:Y *2912:13 27.2346 +2 *2912:13 *21898:A1 9.24915 +3 *2912:13 *2912:23 48.4675 +4 *2912:23 *2912:25 4.5 +5 *2912:25 *22767:A1 39.9572 +6 *2912:25 *22463:A1 32.5022 +*END + +*D_NET *2913 0.0166574 +*CONN +*I *21898:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22454:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22767:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21897:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21898:B1 2.3665e-05 +2 *22454:A1 3.16567e-05 +3 *22767:B1 0 +4 *21897:Y 0.00111747 +5 *2913:30 0.00105852 +6 *2913:21 0.00175181 +7 *2913:15 0.00180277 +8 *2913:15 *21899:C1 2.16355e-05 +9 *2913:15 *24992:A 0.00050987 +10 *2913:15 *4863:154 0.000372095 +11 *2913:15 *5801:78 3.77804e-05 +12 *2913:15 *5856:232 7.77309e-06 +13 *2913:15 *5856:500 5.51483e-06 +14 *2913:21 *3177:171 0.000305525 +15 *2913:30 *22407:A2 0.00016987 +16 *2913:30 *22407:B2 0.000205101 +17 *2913:30 *22426:A 0.000822342 +18 *2913:30 *22426:C 4.96196e-05 +19 *2913:30 *22785:B2 9.12416e-06 +20 *2913:30 *3162:27 0.00087602 +21 *2913:30 *3502:58 0.00027758 +22 *22407:A1 *22454:A1 6.27782e-05 +23 *22407:A1 *2913:21 7.00099e-05 +24 *22407:A1 *2913:30 2.27974e-05 +25 *22454:B1 *2913:21 0.00213105 +26 *514:16 *2913:30 0.000390353 +27 *1563:81 *2913:30 0.000279275 +28 *1660:13 *2913:30 0.00101855 +29 *1715:36 *2913:15 0.000247443 +30 *1725:47 *2913:21 5.8334e-05 +31 *1739:46 *2913:30 5.51483e-06 +32 *1739:58 *2913:30 1.17054e-05 +33 *1761:56 *2913:21 0.00126226 +34 *1762:41 *2913:21 0.00015242 +35 *2283:29 *2913:15 0.000932879 +36 *2702:37 *2913:21 0.000155845 +37 *2911:30 *2913:15 0.000132321 +38 *2912:13 *21898:B1 6.08467e-05 +39 *2912:13 *2913:15 0.000207266 +*RES +1 *21897:Y *2913:15 47.7872 +2 *2913:15 *2913:21 39.8374 +3 *2913:21 *2913:30 45.126 +4 *2913:30 *22767:B1 9.24915 +5 *2913:21 *22454:A1 10.5513 +6 *2913:15 *21898:B1 9.97254 +*END + +*D_NET *2914 0.000461065 +*CONN +*I *21899:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21898:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21899:C1 0.000102744 +2 *21898:X 0.000102744 +3 *1762:41 *21899:C1 0.000116971 +4 *2702:37 *21899:C1 0.000116971 +5 *2913:15 *21899:C1 2.16355e-05 +*RES +1 *21898:X *21899:C1 30.4689 +*END + +*D_NET *2915 0.0168744 +*CONN +*I *21900:D I *D sky130_fd_sc_hd__and4_2 +*I *21899:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21900:D 0.000700701 +2 *21899:X 0 +3 *2915:19 0.00286187 +4 *2915:8 0.00490722 +5 *2915:4 0.00274605 +6 *21900:D *22453:B2 2.60271e-05 +7 *21900:D *22453:C1 7.87365e-05 +8 *21900:D *22475:B2 7.09666e-06 +9 *21900:D *22765:C1 2.23682e-05 +10 *21900:D *3117:74 3.3239e-06 +11 *21900:D *3498:35 6.36477e-05 +12 *2915:8 *22468:A2 9.82896e-06 +13 *2915:8 *24992:A 6.08467e-05 +14 *2915:8 *2974:34 0.000125115 +15 *2915:8 *4931:5 3.49628e-05 +16 *2915:19 *22453:B2 6.36477e-05 +17 *2915:19 *22769:C1 7.46327e-05 +18 *2915:19 *22779:A 2.07365e-05 +19 *2915:19 *22779:C 0.000644332 +20 *2915:19 *22787:A2 9.95208e-05 +21 *2915:19 *22787:C1 6.08467e-05 +22 *2915:19 *22797:A 0.000107496 +23 *2915:19 *22797:C 0.000469777 +24 *2915:19 *22797:D 7.30027e-05 +25 *2915:19 *2959:43 7.92757e-06 +26 *2915:19 *3151:64 3.17103e-05 +27 *2915:19 *3406:10 0.000724714 +28 *2915:19 *3491:75 3.14044e-05 +29 *2915:19 *3498:35 2.77625e-06 +30 *2915:19 *3722:29 7.92757e-06 +31 *19902:A *2915:8 0.000213725 +32 *21899:B2 *2915:8 0.000242449 +33 *21900:B *21900:D 2.07365e-05 +34 *21900:C *21900:D 6.08467e-05 +35 *22453:B1 *21900:D 0.000321876 +36 *22468:A1 *2915:8 0.000415359 +37 *545:23 *2915:19 0.000493528 +38 *547:21 *2915:19 6.12987e-05 +39 *1449:84 *2915:19 0.000207911 +40 *1541:115 *2915:8 0.000156561 +41 *1541:128 *2915:8 0.000349163 +42 *1660:13 *2915:8 7.50872e-05 +43 *2864:93 *2915:8 7.14746e-05 +44 *2864:93 *2915:19 0.000116111 +*RES +1 *21899:X *2915:4 9.24915 +2 *2915:4 *2915:8 47.1262 +3 *2915:8 *2915:19 49.1335 +4 *2915:19 *21900:D 32.2771 +*END + +*D_NET *2916 0.0290125 +*CONN +*I *21901:D I *D sky130_fd_sc_hd__and4_1 +*I *21900:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *21901:D 0.000258471 +2 *21900:X 0.00515202 +3 *2916:20 0.00541049 +4 *2916:20 *21702:A1 0.000129788 +5 *2916:20 *22246:A2 0.00103572 +6 *2916:20 *3502:21 6.11554e-05 +7 *19791:B1 *21901:D 0.000406879 +8 *21531:C1 *2916:20 0.00246287 +9 *21549:A2 *21901:D 0.00041111 +10 *21900:C *2916:20 8.96314e-06 +11 *22729:A1 *2916:20 0.00108328 +12 *541:28 *2916:20 0.000101829 +13 *1587:137 *2916:20 5.69065e-05 +14 *1596:14 *2916:20 0.00177371 +15 *1596:31 *2916:20 0.00152581 +16 *1636:68 *2916:20 0.00121032 +17 *1643:21 *21901:D 0.000170532 +18 *1691:80 *2916:20 0.000518844 +19 *1720:52 *2916:20 1.60156e-05 +20 *1723:47 *2916:20 0.00128425 +21 *1796:12 *21901:D 0.000165779 +22 *1807:20 *2916:20 0.000153319 +23 *2638:37 *2916:20 0.000522254 +24 *2675:68 *2916:20 6.82197e-05 +25 *2800:38 *2916:20 0.00185873 +26 *2805:22 *2916:20 0.00181954 +27 *2815:48 *2916:20 0.00134571 +*RES +1 *21900:X *2916:20 49.8388 +2 *2916:20 *21901:D 21.0269 +*END + +*D_NET *2917 0.00179338 +*CONN +*I *21902:C I *D sky130_fd_sc_hd__nand3_4 +*I *21901:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21902:C 0.00060275 +2 *21901:X 0.00060275 +3 *21902:C *3476:116 2.27305e-05 +4 *21902:C *4850:55 2.35671e-05 +5 *21808:C *21902:C 4.31603e-06 +6 *21833:A *21902:C 1.88152e-05 +7 *21901:A *21902:C 0.000158451 +8 *21901:B *21902:C 2.37827e-05 +9 *21902:B *21902:C 6.3657e-05 +10 *1583:103 *21902:C 0.000105985 +11 *1586:33 *21902:C 9.2346e-06 +12 *2828:47 *21902:C 0.000152296 +13 *2842:13 *21902:C 5.04829e-06 +*RES +1 *21901:X *21902:C 38.4263 +*END + +*D_NET *2918 0.0598432 +*CONN +*I *22253:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22609:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21909:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21904:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22253:B1 0.000438091 +2 *22609:B1 0.000181082 +3 *21909:A1 0.00455874 +4 *21904:Y 0 +5 *2918:20 0.00665387 +6 *2918:16 0.00588843 +7 *2918:6 0.00560072 +8 *2918:5 0.00206443 +9 *21909:A1 *22005:C1 0.000659191 +10 *21909:A1 *22054:A1 0.00215342 +11 *21909:A1 *24909:A 0.000428721 +12 *21909:A1 *3134:145 0.00136153 +13 *21909:A1 *3166:36 1.1235e-05 +14 *21909:A1 *3185:79 0.000109796 +15 *22253:B1 *22220:B2 0.00013422 +16 *22253:B1 *22251:A1 7.7434e-05 +17 *22253:B1 *3038:17 1.91246e-05 +18 *22253:B1 *3157:200 4.58966e-05 +19 *22253:B1 *3164:153 1.10598e-05 +20 *22609:B1 *3038:23 3.91944e-05 +21 *2918:6 *20475:A1 0.000168546 +22 *2918:6 *20481:A1 0.00012445 +23 *2918:6 *21231:A 8.88627e-05 +24 *2918:6 *21973:A 0.000113939 +25 *2918:6 *24388:CLK 0.000166146 +26 *2918:6 *24590:CLK 0.000560423 +27 *2918:6 *4839:78 0.00035434 +28 *2918:6 *4840:186 2.1558e-06 +29 *2918:6 *4889:8 1.15258e-05 +30 *2918:6 *4889:20 2.79589e-05 +31 *2918:6 *4889:127 0.000570132 +32 *2918:6 *4889:136 0.000554895 +33 *2918:6 *5267:6 3.95141e-05 +34 *2918:6 *5855:25 1.16596e-05 +35 *2918:16 *21974:B1 0 +36 *2918:16 *22220:B2 0.000260662 +37 *2918:16 *2954:27 0.000153517 +38 *2918:16 *2963:42 1.93857e-05 +39 *2918:16 *2987:15 8.14942e-05 +40 *2918:16 *3022:45 0.000167672 +41 *2918:16 *3134:194 0.000154601 +42 *2918:16 *3168:150 1.35974e-05 +43 *2918:16 *4840:186 9.06434e-05 +44 *2918:16 *5855:25 0.00160443 +45 *2918:16 *5855:34 3.64995e-05 +46 *2918:16 *5855:108 0.000182769 +47 *2918:16 *5866:130 0.000327446 +48 *2918:16 *5866:144 1.1539e-05 +49 *2918:20 *3022:45 0.00314472 +50 *2918:20 *3134:194 7.25491e-05 +51 *19863:B1 *2918:16 5.17138e-05 +52 *19895:A2 *2918:20 0.000543351 +53 *21279:B1 *21909:A1 0.000722185 +54 *21482:A2 *2918:20 0.000411593 +55 *21537:B2 *21909:A1 5.03463e-05 +56 *21759:A2 *21909:A1 3.74669e-05 +57 *21759:A2 *22609:B1 2.55246e-05 +58 *21806:A1 *2918:16 0 +59 *21814:B1 *2918:16 0.000102795 +60 *21975:A2 *22253:B1 4.78554e-06 +61 *21975:B2 *22253:B1 8.9119e-06 +62 *22325:B1 *21909:A1 0.000411369 +63 *1550:45 *2918:16 0.000209528 +64 *1584:49 *21909:A1 0.00101605 +65 *1591:39 *2918:20 3.62802e-05 +66 *1614:75 *2918:20 1.53908e-05 +67 *1618:104 *2918:20 0.000294436 +68 *1620:93 *22609:B1 3.77699e-05 +69 *1647:46 *21909:A1 0.000132669 +70 *1647:46 *22609:B1 5.17138e-05 +71 *1649:123 *21909:A1 0.000316241 +72 *1655:135 *21909:A1 6.77519e-05 +73 *1741:21 *2918:16 0.00038659 +74 *1800:75 *21909:A1 9.23612e-05 +75 *2338:72 *21909:A1 0.000351449 +76 *2452:14 *2918:16 0.000148605 +77 *2531:20 *2918:16 0 +78 *2539:35 *21909:A1 0.000760288 +79 *2564:8 *2918:6 0 +80 *2564:8 *2918:16 0.000205773 +81 *2564:68 *21909:A1 0.000206449 +82 *2566:28 *21909:A1 0.0010228 +83 *2590:36 *21909:A1 4.3648e-05 +84 *2602:32 *21909:A1 4.12192e-05 +85 *2602:32 *2918:20 0.000373347 +86 *2654:17 *2918:16 0 +87 *2659:17 *21909:A1 7.12597e-06 +88 *2685:8 *2918:6 0.00567324 +89 *2763:20 *21909:A1 0.00349201 +90 *2765:21 *2918:16 1.5254e-05 +91 *2797:65 *2918:16 3.25734e-05 +92 *2818:12 *2918:6 0.000501595 +93 *2826:19 *2918:16 5.75763e-05 +94 *2837:24 *2918:16 0.000313309 +95 *2846:36 *2918:16 3.6241e-05 +96 *2857:52 *21909:A1 0.00101398 +97 *2883:20 *2918:16 0.00096764 +*RES +1 *21904:Y *2918:5 13.7491 +2 *2918:5 *2918:6 100.454 +3 *2918:6 *2918:16 45.1084 +4 *2918:16 *2918:20 7.74251 +5 *2918:20 *21909:A1 38.3264 +6 *2918:20 *22609:B1 18.2294 +7 *2918:16 *22253:B1 21.1775 +*END + +*D_NET *2919 0.049146 +*CONN +*I *22605:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21909:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22265:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21905:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22605:A1 6.65085e-05 +2 *21909:B1 0.00098318 +3 *22265:A1 3.99601e-05 +4 *21905:Y 0.00539993 +5 *2919:51 0.00249657 +6 *2919:42 0.00310818 +7 *2919:29 0.00222396 +8 *2919:20 0.00600256 +9 *21909:B1 *2923:14 2.40736e-05 +10 *21909:B1 *3023:42 0.000110844 +11 *22605:A1 *22011:B1 2.29454e-05 +12 *22605:A1 *3050:52 0.000156946 +13 *22605:A1 *3502:179 0.000305487 +14 *2919:20 *24429:CLK 0.000114925 +15 *2919:20 *3011:55 7.08804e-05 +16 *2919:20 *3034:25 0.000753048 +17 *2919:20 *3036:31 0.00151483 +18 *2919:20 *3039:46 0.000331751 +19 *2919:20 *3054:18 3.83192e-05 +20 *2919:20 *3146:200 4.0039e-05 +21 *2919:20 *3507:156 0.00147257 +22 *2919:20 *3507:215 0.000619852 +23 *2919:42 *21987:A1 0.00168857 +24 *2919:42 *2991:67 0.000537389 +25 *2919:42 *3054:18 0.000133925 +26 *2919:42 *3148:206 0.000253434 +27 *2919:42 *3179:167 0.000257959 +28 *2919:51 *22327:C1 8.30011e-05 +29 *2919:51 *22660:C1 0.000181887 +30 *2919:51 *3111:52 0.000107063 +31 *2919:51 *3185:79 0.00059195 +32 *19801:A2 *2919:20 1.43499e-05 +33 *21450:B1 *2919:51 0.00014777 +34 *21456:A1 *21909:B1 1.41976e-05 +35 *21583:A2 *2919:51 1.27831e-06 +36 *21583:B1 *2919:51 0.000300676 +37 *21589:B1 *2919:51 8.56989e-05 +38 *21590:A *21909:B1 0.000499163 +39 *21909:B2 *21909:B1 4.42631e-05 +40 *22023:B2 *2919:20 0.000119142 +41 *22210:A1 *2919:20 0.000235684 +42 *22321:A1 *2919:51 0.000144975 +43 *22619:B1 *2919:20 2.58065e-05 +44 *1539:18 *2919:42 5.60804e-05 +45 *1552:65 *2919:51 2.45452e-05 +46 *1552:81 *2919:29 0.000595935 +47 *1552:81 *2919:42 0.000711106 +48 *1564:50 *2919:51 4.69495e-06 +49 *1584:69 *2919:20 0.000531993 +50 *1615:20 *2919:20 5.11419e-05 +51 *1620:10 *21909:B1 1.54703e-05 +52 *1620:107 *2919:20 0.0006977 +53 *1625:83 *2919:42 5.83451e-05 +54 *1648:47 *21909:B1 0.000114456 +55 *1655:77 *22605:A1 2.57847e-05 +56 *1655:77 *2919:20 0.000375995 +57 *1655:111 *2919:20 0.00105934 +58 *1741:6 *2919:20 0.000184688 +59 *1790:180 *2919:20 2.1746e-06 +60 *1802:98 *21909:B1 0.000147647 +61 *1958:92 *2919:20 3.26064e-05 +62 *1959:22 *2919:20 0.000961947 +63 *1959:40 *2919:20 0.00130794 +64 *2503:124 *2919:51 7.00554e-05 +65 *2527:34 *2919:51 0.00133981 +66 *2530:90 *2919:20 3.79662e-05 +67 *2532:18 *2919:51 1.9101e-05 +68 *2542:35 *22265:A1 2.1878e-06 +69 *2542:35 *2919:20 3.77568e-05 +70 *2542:35 *2919:29 0.000753634 +71 *2542:35 *2919:42 0.000702564 +72 *2554:56 *2919:51 0.000371402 +73 *2589:54 *2919:20 1.68435e-05 +74 *2589:54 *2919:29 4.3636e-05 +75 *2589:65 *2919:42 0.000299419 +76 *2620:37 *2919:20 7.8798e-05 +77 *2630:31 *2919:51 0.000721454 +78 *2641:68 *21909:B1 0.000546708 +79 *2764:29 *2919:20 0.00197731 +80 *2777:60 *2919:42 0.000430745 +81 *2819:38 *2919:20 0.00330923 +82 *2827:29 *2919:20 0 +83 *2857:52 *2919:20 6.23101e-05 +84 *2893:14 *2919:20 0 +*RES +1 *21905:Y *2919:20 47.8042 +2 *2919:20 *22265:A1 14.4819 +3 *2919:20 *2919:29 16.3658 +4 *2919:29 *2919:42 27.0509 +5 *2919:42 *2919:51 49.2206 +6 *2919:51 *21909:B1 38.8465 +7 *2919:29 *22605:A1 17.2456 +*END + +*D_NET *2920 0.00111836 +*CONN +*I *21908:A1 I *D sky130_fd_sc_hd__o22a_4 +*I *21906:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21908:A1 0.000288618 +2 *21906:Y 0.000288618 +3 *19641:B *21908:A1 0.000122083 +4 *1473:5 *21908:A1 0.000368568 +5 *2516:8 *21908:A1 5.04734e-05 +*RES +1 *21906:Y *21908:A1 33.7966 +*END + +*D_NET *2921 0.00154903 +*CONN +*I *21908:B1 I *D sky130_fd_sc_hd__o22a_4 +*I *21907:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21908:B1 0.000411438 +2 *21907:Y 0.000411438 +3 *21908:B1 *5754:28 0 +4 *21908:B1 *5756:24 5.04734e-05 +5 *1467:96 *21908:B1 0.000122378 +6 *1473:5 *21908:B1 0.000468325 +7 *1744:99 *21908:B1 7.48797e-05 +8 *2864:19 *21908:B1 1.00981e-05 +*RES +1 *21907:Y *21908:B1 36.7384 +*END + +*D_NET *2922 0.0771895 +*CONN +*I *21909:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *6199:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21908:X O *D sky130_fd_sc_hd__o22a_4 +*CAP +1 *21909:C1 6.10539e-05 +2 *6199:DIODE 0 +3 *21908:X 0.000151484 +4 *2922:58 0.00315847 +5 *2922:54 0.0108214 +6 *2922:33 0.0101295 +7 *2922:19 0.00400818 +8 *2922:13 0.00286631 +9 *2922:7 0.00141511 +10 *2922:13 *5812:47 0.000240111 +11 *2922:13 *5860:169 9.60216e-05 +12 *2922:13 *5860:222 0.000378685 +13 *2922:13 *5860:231 0.000720229 +14 *2922:13 *5860:247 0.000103002 +15 *2922:19 *22829:B1 4.0752e-05 +16 *2922:19 *24882:RESET_B 0.000235519 +17 *2922:19 *5748:31 5.12808e-05 +18 *2922:19 *5806:43 0.00044351 +19 *2922:19 *5807:10 0.000206063 +20 *2922:33 *21125:A1 1.89331e-05 +21 *2922:33 *22829:A2_N 0.000107496 +22 *2922:33 *22829:B1 0.00017409 +23 *2922:33 *3177:114 5.69614e-05 +24 *2922:33 *4863:128 0.000231495 +25 *2922:33 *5439:44 0.000371733 +26 *2922:33 *5439:56 4.6348e-05 +27 *2922:33 *5440:13 0.00018802 +28 *2922:33 *5476:26 0.000355157 +29 *2922:33 *5908:9 0 +30 *2922:54 *23028:A 0.00124633 +31 *2922:54 *23378:A 3.91944e-05 +32 *2922:54 *23378:B 2.08076e-05 +33 *2922:54 *2943:63 8.26385e-06 +34 *2922:54 *2963:96 0.00589976 +35 *2922:54 *2971:23 0 +36 *2922:54 *3014:72 5.22174e-05 +37 *2922:54 *3014:79 0.00485195 +38 *2922:54 *3131:41 0.000480388 +39 *2922:54 *3671:76 7.02269e-06 +40 *2922:54 *4288:29 2.04861e-05 +41 *2922:54 *4338:17 0.000459552 +42 *2922:54 *4528:17 1.10565e-05 +43 *2922:54 *5476:51 0.00495953 +44 *2922:58 *21945:B1 0.000638285 +45 *2922:58 *22751:A1 0.000161356 +46 *2922:58 *22753:B1 0.000308971 +47 *2922:58 *22761:A 7.60356e-05 +48 *2922:58 *2959:35 0.000832923 +49 *2922:58 *2964:59 2.89783e-06 +50 *2922:58 *3023:41 0.00096489 +51 *2922:58 *3060:71 0.00462295 +52 *19727:A2 *2922:13 6.29991e-05 +53 *21128:B1 *2922:33 4.95482e-05 +54 *21920:B2 *2922:58 1.25573e-05 +55 *24202:D *2922:33 4.40272e-05 +56 *24498:D *2922:54 5.08002e-05 +57 *24845:D *2922:13 0.000172691 +58 *74:35 *2922:54 0.000941967 +59 *459:31 *2922:54 0.000119197 +60 *459:85 *2922:54 0.000122623 +61 *1449:85 *21909:C1 1.47967e-05 +62 *1449:85 *2922:58 4.13992e-05 +63 *1464:17 *2922:13 0.000500106 +64 *1467:117 *2922:33 0.000402879 +65 *1502:193 *21909:C1 0.000110306 +66 *1509:28 *2922:13 2.90214e-05 +67 *1509:30 *2922:13 0.000357105 +68 *1510:99 *2922:58 0.000353163 +69 *1541:136 *2922:54 1.71787e-05 +70 *1662:40 *2922:58 0.000211375 +71 *1687:13 *2922:19 8.20522e-05 +72 *1725:17 *2922:33 3.52699e-05 +73 *1725:97 *2922:58 0.00171111 +74 *1744:99 *2922:7 5.75794e-05 +75 *1744:100 *2922:13 0.000197573 +76 *1771:47 *21909:C1 5.13902e-05 +77 *1771:47 *2922:58 0.000206027 +78 *1783:54 *2922:54 0.000266797 +79 *1783:67 *2922:54 0.000123509 +80 *1795:29 *2922:58 0.00204209 +81 *2218:42 *2922:33 0.000548562 +82 *2218:55 *2922:33 5.41227e-05 +83 *2281:13 *2922:58 0.000241677 +84 *2502:26 *2922:54 0.000123859 +85 *2561:26 *2922:54 3.89332e-06 +86 *2619:56 *2922:54 0.0007882 +87 *2623:16 *2922:19 0.000913109 +88 *2628:17 *2922:58 0.000586548 +89 *2641:68 *21909:C1 4.23858e-05 +90 *2713:27 *2922:54 0.000419304 +91 *2803:33 *2922:19 1.65872e-05 +92 *2803:41 *2922:19 0.000880291 +93 *2803:41 *2922:33 5.99691e-05 +94 *2833:73 *2922:54 0.000214158 +95 *2838:20 *2922:13 0.000128174 +96 *2844:46 *2922:54 0.000873557 +97 *2864:19 *2922:7 0.00056613 +*RES +1 *21908:X *2922:7 20.0186 +2 *2922:7 *2922:13 46.445 +3 *2922:13 *2922:19 44.7561 +4 *2922:19 *2922:33 40.7484 +5 *2922:33 *2922:54 47.8904 +6 *2922:54 *2922:58 18.0848 +7 *2922:58 *6199:DIODE 13.7491 +8 *2922:58 *21909:C1 16.0286 +*END + +*D_NET *2923 0.011992 +*CONN +*I *21947:A I *D sky130_fd_sc_hd__and4_1 +*I *21909:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21947:A 5.46445e-05 +2 *21909:X 0.000788457 +3 *2923:16 0.00189479 +4 *2923:14 0.00262861 +5 *21947:A *21947:D 3.024e-05 +6 *21947:A *3208:9 0.000154145 +7 *2923:14 *21921:C1 0.000126077 +8 *2923:14 *22054:A1 0.000147253 +9 *2923:14 *22747:A2 5.93375e-06 +10 *2923:14 *22815:B 1.23804e-05 +11 *2923:14 *3063:45 0.000140781 +12 *2923:16 *21702:A1 0.000602062 +13 *2923:16 *3018:8 0.00185351 +14 *2923:16 *3483:44 0.000792961 +15 *2923:16 *3737:8 0.000331712 +16 *2923:16 *3737:12 3.51643e-05 +17 *2923:16 *5854:489 0.000251071 +18 *21703:C *2923:16 0.000569934 +19 *21909:B1 *2923:14 2.40736e-05 +20 *22729:B1 *2923:16 7.94462e-05 +21 *22782:B1 *2923:16 1.59945e-05 +22 *22800:B1 *2923:16 9.82479e-06 +23 *22801:B1 *2923:14 0.000107968 +24 *549:23 *2923:14 1.66626e-05 +25 *1588:64 *2923:16 0.000951387 +26 *1731:136 *2923:16 7.48876e-06 +27 *2547:26 *2923:14 0.000359448 +28 *2590:36 *2923:14 0 +*RES +1 *21909:X *2923:14 32.199 +2 *2923:14 *2923:16 59.5521 +3 *2923:16 *21947:A 15.5817 +*END + +*D_NET *2924 0.0812299 +*CONN +*I *21915:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22610:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22264:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21910:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *21915:A1 7.48882e-05 +2 *22610:A1 0.00068138 +3 *22264:B1 4.32215e-05 +4 *21910:Y 0.000349224 +5 *2924:95 0.00118459 +6 *2924:89 0.00223591 +7 *2924:80 0.0019276 +8 *2924:70 0.00244995 +9 *2924:61 0.00296169 +10 *2924:57 0.00225166 +11 *2924:51 0.00235396 +12 *2924:49 0.00229011 +13 *2924:46 0.00207622 +14 *2924:15 0.00440339 +15 *2924:8 0.00522956 +16 *21915:A1 *21947:B 4.87301e-05 +17 *22264:B1 *3564:14 8.05608e-05 +18 *22610:A1 *22042:C 3.88655e-06 +19 *22610:A1 *22267:B 0.000154145 +20 *22610:A1 *22615:A1 9.46024e-05 +21 *22610:A1 *3039:55 5.481e-05 +22 *22610:A1 *3144:201 0.000343543 +23 *22610:A1 *3217:28 9.96222e-05 +24 *22610:A1 *3564:14 0.0004621 +25 *2924:8 *24464:CLK 0.000502268 +26 *2924:8 *4816:96 5.48102e-05 +27 *2924:8 *4824:122 1.70219e-05 +28 *2924:8 *5859:167 2.77564e-05 +29 *2924:8 *5859:172 0.000380469 +30 *2924:15 *21998:A1 0.00154823 +31 *2924:15 *3076:23 0.00503688 +32 *2924:15 *4813:110 0.00513357 +33 *2924:15 *5863:23 0.000301227 +34 *2924:46 *20657:B1 0.000258472 +35 *2924:46 *21998:A1 0.000604731 +36 *2924:46 *4845:252 3.29488e-05 +37 *2924:46 *4845:254 0.000428801 +38 *2924:46 *4845:262 8.62625e-06 +39 *2924:46 *5861:363 7.42178e-06 +40 *2924:46 *5863:23 0.000187652 +41 *2924:46 *5903:18 0 +42 *2924:46 *5904:44 9.95149e-05 +43 *2924:49 *2949:64 0.00174397 +44 *2924:49 *4827:100 6.46333e-05 +45 *2924:51 *20898:A1 0.000148114 +46 *2924:51 *20901:B1 0.000115993 +47 *2924:51 *21756:A 5.46234e-05 +48 *2924:51 *24275:RESET_B 0.000394819 +49 *2924:51 *2949:60 5.55593e-05 +50 *2924:51 *2949:64 0.000318179 +51 *2924:51 *3257:74 0.000913446 +52 *2924:51 *4817:97 0.000144119 +53 *2924:51 *4843:407 0.000700805 +54 *2924:51 *4843:421 0.000207242 +55 *2924:51 *5405:11 0.000169078 +56 *2924:51 *5857:168 0.000299984 +57 *2924:51 *5859:197 1.68281e-05 +58 *2924:57 *20138:A1 0.000255443 +59 *2924:57 *21414:A 1.66771e-05 +60 *2924:57 *21798:A 0.00032228 +61 *2924:57 *4813:20 0.000398395 +62 *2924:57 *4829:108 0.000247443 +63 *2924:57 *4843:432 0.000458825 +64 *2924:57 *4909:7 0.000250244 +65 *2924:57 *4938:8 0.000149282 +66 *2924:57 *5859:209 0.00111629 +67 *2924:61 *20137:A1 0.000219686 +68 *2924:61 *4866:94 0.000810794 +69 *2924:61 *4938:8 2.18732e-05 +70 *2924:61 *5857:179 0.00113242 +71 *2924:70 *21784:A 7.16893e-05 +72 *2924:70 *24742:CLK 0.00100183 +73 *2924:70 *4833:70 0.000298399 +74 *2924:70 *5551:8 6.02377e-05 +75 *2924:70 *5853:379 0.000544481 +76 *2924:80 *22113:B 1.65872e-05 +77 *2924:80 *22140:A 0.000504398 +78 *2924:80 *22140:B 1.9633e-05 +79 *2924:80 *22177:C 3.82228e-05 +80 *2924:80 *3091:20 7.66116e-05 +81 *2924:80 *3091:28 0.000632621 +82 *2924:80 *3093:10 0.000253916 +83 *2924:80 *3104:9 8.43533e-05 +84 *2924:80 *3109:8 0.000164829 +85 *2924:80 *3118:5 0.00103906 +86 *2924:80 *3118:7 0.000213725 +87 *2924:80 *4804:21 2.37827e-05 +88 *2924:89 *21370:A1_N 0.000274886 +89 *2924:89 *4868:14 5.39635e-06 +90 *2924:89 *4876:8 0.000642942 +91 *2924:89 *4876:14 3.63738e-05 +92 *2924:89 *5944:69 1.32514e-05 +93 *2924:95 *20200:B1 0.00210849 +94 *2924:95 *22063:A 5.99572e-05 +95 *2924:95 *22063:B 7.72105e-06 +96 *2924:95 *2978:99 6.18969e-05 +97 *2924:95 *2978:110 0.00074187 +98 *2924:95 *5956:57 0.000787281 +99 *20135:A2 *2924:57 0.00012315 +100 *20138:A2 *2924:57 0.000139435 +101 *20654:A2 *2924:46 7.04982e-05 +102 *20657:B2 *2924:46 0.000247443 +103 *21472:A1 *2924:95 7.58217e-06 +104 *21864:B2 *21915:A1 0.000265216 +105 *24337:D *2924:51 0.000124267 +106 *500:48 *2924:57 1.05982e-05 +107 *500:59 *2924:57 6.1096e-05 +108 *500:60 *2924:57 2.16706e-05 +109 *500:60 *2924:61 0.000734606 +110 *504:16 *2924:80 0.000361019 +111 *1439:104 *2924:51 0.000197969 +112 *1555:38 *2924:46 0.000129091 +113 *1636:68 *2924:89 0.00122862 +114 *1652:34 *2924:51 1.3813e-05 +115 *1652:41 *2924:51 3.06917e-06 +116 *1679:18 *2924:46 0.00158405 +117 *1679:61 *2924:15 0.000336928 +118 *1709:87 *2924:89 0.00255578 +119 *2088:103 *2924:89 8.97257e-05 +120 *2089:22 *2924:89 2.71837e-05 +121 *2117:297 *2924:80 0.000364616 +122 *2378:27 *2924:89 0.000461401 +123 *2391:19 *2924:49 0.000137919 +124 *2410:8 *2924:89 2.01653e-05 +125 *2467:104 *2924:49 0.00114533 +126 *2486:96 *2924:49 0.000142757 +127 *2486:96 *2924:51 7.96573e-05 +128 *2528:48 *2924:95 3.4787e-05 +129 *2610:48 *2924:46 0.000454653 +130 *2800:14 *2924:70 2.57149e-05 +131 *2815:14 *2924:95 0.000313089 +132 *2819:38 *22264:B1 8.04307e-05 +133 *2819:38 *22610:A1 0.000461842 +134 *2896:72 *2924:15 0.00202399 +135 *2896:72 *2924:46 5.5355e-06 +136 *2900:69 *2924:57 0.000127911 +*RES +1 *21910:Y *2924:8 28.2931 +2 *2924:8 *2924:15 15.5241 +3 *2924:15 *22264:B1 15.3735 +4 *2924:15 *22610:A1 40.8094 +5 *2924:8 *2924:46 43.1246 +6 *2924:46 *2924:49 34.3316 +7 *2924:49 *2924:51 51.0394 +8 *2924:51 *2924:57 49.3511 +9 *2924:57 *2924:61 49.6226 +10 *2924:61 *2924:70 48.7386 +11 *2924:70 *2924:80 41.8278 +12 *2924:80 *2924:89 28.436 +13 *2924:89 *2924:95 49.5387 +14 *2924:95 *21915:A1 12.191 +*END + +*D_NET *2925 0.0355629 +*CONN +*I *21915:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21911:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21915:B1 0.0021454 +2 *21911:Y 3.91978e-05 +3 *2925:12 0.00454401 +4 *2925:10 0.00259792 +5 *2925:8 0.00206336 +6 *2925:7 0.00190325 +7 *21915:B1 *21926:A1 0.000370258 +8 *21915:B1 *3017:26 0.00173096 +9 *21915:B1 *4830:79 0.00344455 +10 *2925:8 *24262:RESET_B 0 +11 *2925:8 *24291:CLK 2.36813e-05 +12 *2925:8 *4877:26 6.46779e-05 +13 *2925:8 *4877:28 0.0002468 +14 *2925:8 *4877:30 7.69735e-05 +15 *2925:8 *4877:32 7.69735e-05 +16 *2925:8 *4877:34 0.000169826 +17 *2925:8 *4877:36 0.000327134 +18 *2925:8 *4877:38 8.8567e-05 +19 *2925:8 *5988:8 0.000169093 +20 *2925:12 *24365:CLK 0 +21 *2925:12 *24370:CLK 0.000106825 +22 *2925:12 *4876:29 0 +23 *2925:12 *4877:13 0 +24 *2925:12 *4878:118 0.00109558 +25 *2925:12 *4878:127 0.000163692 +26 *2925:12 *4878:136 0.000717417 +27 *2925:12 *4878:157 3.85006e-05 +28 *2925:12 *5947:8 7.20119e-05 +29 *2925:12 *5947:20 4.57241e-06 +30 *20819:A1 *2925:12 0.000150366 +31 *20977:B1 *2925:12 7.83227e-05 +32 *21004:A1 *2925:8 5.05252e-05 +33 *21864:A2 *21915:B1 0.000304871 +34 *21864:B1 *21915:B1 1.15389e-05 +35 *21864:B2 *21915:B1 0.000650839 +36 *24265:D *2925:8 0.000127849 +37 *24365:D *2925:12 1.50651e-05 +38 *399:11 *2925:7 6.92705e-05 +39 *399:11 *2925:8 1.29348e-05 +40 *512:56 *2925:8 0.000353721 +41 *1557:22 *21915:B1 0.00128519 +42 *2063:34 *2925:12 0.000545483 +43 *2063:43 *2925:12 0.000144614 +44 *2063:68 *2925:12 0.00101781 +45 *2088:12 *2925:12 0.000191872 +46 *2088:17 *2925:12 0.000400417 +47 *2088:84 *2925:12 0.000909281 +48 *2164:38 *2925:8 0.000113374 +49 *2164:140 *2925:8 0 +50 *2164:140 *2925:12 0.000205614 +51 *2164:149 *2925:12 7.50722e-05 +52 *2164:208 *2925:8 0.000505373 +53 *2256:13 *21915:B1 0.00105921 +54 *2528:20 *2925:8 9.42549e-05 +55 *2528:20 *2925:12 0 +56 *2528:28 *2925:12 0.00200784 +57 *2585:29 *2925:8 0.000125689 +58 *2719:22 *21915:B1 0.00159869 +59 *2859:8 *2925:8 0.000584822 +60 *2880:20 *21915:B1 7.39264e-05 +61 *2894:8 *21915:B1 0.000208127 +62 *2899:12 *2925:8 0 +63 *2899:24 *2925:12 9.70835e-05 +64 *2904:8 *21915:B1 0.000212595 +*RES +1 *21911:Y *2925:7 14.4725 +2 *2925:7 *2925:8 61.8359 +3 *2925:8 *2925:10 2.98005 +4 *2925:10 *2925:12 89.035 +5 *2925:12 *21915:B1 48.567 +*END + +*D_NET *2926 0.0174748 +*CONN +*I *21914:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21912:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21914:A1 0.000173739 +2 *21912:Y 4.72808e-05 +3 *2926:9 0.00701068 +4 *2926:8 0.00688422 +5 *21914:A1 *5851:316 0.000118485 +6 *2926:9 *19726:A1 0.00072965 +7 *2926:9 *21504:A 1.58551e-05 +8 *2926:9 *21716:A 0.000117325 +9 *2926:9 *21914:B1 3.58208e-05 +10 *2926:9 *24220:SET_B 0.000110084 +11 *2926:9 *24605:CLK 6.02512e-05 +12 *2926:9 *24880:RESET_B 0.00015773 +13 *2926:9 *24989:A 1.65872e-05 +14 *2926:9 *4844:434 0.00050655 +15 *2926:9 *4928:7 8.97566e-06 +16 *2926:9 *5856:415 0.000207266 +17 *2926:9 *5858:308 0.000211492 +18 *2926:9 *5858:316 0.000157998 +19 *21914:A2 *21914:A1 6.08467e-05 +20 *21914:A2 *2926:9 8.07749e-05 +21 *1419:72 *21914:A1 1.55462e-05 +22 *1469:29 *2926:8 7.50872e-05 +23 *1470:40 *2926:8 0 +24 *1492:47 *21914:A1 7.92757e-06 +25 *1492:52 *21914:A1 8.01837e-05 +26 *2561:16 *2926:9 0.000107496 +27 *2561:91 *2926:9 0.000310628 +28 *2741:7 *2926:9 0.000166327 +*RES +1 *21912:Y *2926:8 19.6659 +2 *2926:8 *2926:9 103.325 +3 *2926:9 *21914:A1 22.763 +*END + +*D_NET *2927 0.000694398 +*CONN +*I *21914:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *21913:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21914:B1 0.000127416 +2 *21913:Y 0.000127416 +3 *21914:B1 *21082:A1 2.99929e-05 +4 *21914:B1 *21848:A 3.49272e-05 +5 *21914:B1 *5830:22 0.000148144 +6 *21914:B1 *5856:415 0.000111708 +7 *21914:A2 *21914:B1 7.89747e-05 +8 *2926:9 *21914:B1 3.58208e-05 +*RES +1 *21913:Y *21914:B1 32.548 +*END + +*D_NET *2928 0.0229643 +*CONN +*I *21915:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21914:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21915:C1 0 +2 *21914:X 0.000560411 +3 *2928:35 0.0010243 +4 *2928:31 0.00207196 +5 *2928:24 0.00227612 +6 *2928:14 0.00231345 +7 *2928:11 0.0016454 +8 *2928:11 *5830:22 7.86847e-05 +9 *2928:11 *5852:9 0.000470585 +10 *2928:14 *20356:B1 1.66626e-05 +11 *2928:14 *24983:A 0.000159869 +12 *2928:14 *2936:14 9.22013e-06 +13 *2928:14 *5854:351 0.000199753 +14 *2928:24 *20354:A1 6.98314e-05 +15 *2928:24 *24302:RESET_B 6.12686e-06 +16 *2928:24 *24302:CLK 4.26441e-06 +17 *2928:24 *5870:359 2.05912e-05 +18 *2928:31 *2973:10 6.04912e-06 +19 *2928:31 *2973:23 0.000397261 +20 *2928:31 *4981:9 0.000163713 +21 *2928:35 *2967:14 5.21758e-06 +22 *2928:35 *2973:27 0.00197249 +23 *2928:35 *4972:8 1.6267e-05 +24 *2928:35 *5452:10 0.000208999 +25 *20356:A2 *2928:24 0.000307037 +26 *20947:A *2928:31 8.60109e-05 +27 *21820:A2 *2928:14 0.000484626 +28 *21820:C1 *2928:11 0.000304777 +29 *21864:B2 *2928:35 6.08467e-05 +30 *24302:D *2928:24 5.0715e-05 +31 *543:12 *2928:31 0.00047462 +32 *543:12 *2928:35 0.00227044 +33 *543:21 *2928:24 0.000324793 +34 *543:21 *2928:31 9.90004e-05 +35 *1419:70 *2928:24 6.69861e-06 +36 *1419:70 *2928:31 1.25173e-05 +37 *1428:24 *2928:14 3.54411e-05 +38 *1557:22 *2928:35 1.03403e-05 +39 *1573:46 *2928:14 3.55968e-05 +40 *1671:21 *2928:31 0.000110306 +41 *1674:13 *2928:11 7.50872e-05 +42 *1710:7 *2928:24 1.82679e-05 +43 *1711:38 *2928:24 1.65872e-05 +44 *1731:20 *2928:31 0.00164595 +45 *2158:8 *2928:24 0.000362051 +46 *2270:128 *2928:31 0.000107496 +47 *2466:16 *2928:14 0 +48 *2505:16 *2928:31 0.000112013 +49 *2561:91 *2928:11 4.91225e-06 +50 *2581:18 *2928:35 1.9101e-05 +51 *2675:14 *2928:14 0.000899962 +52 *2675:28 *2928:24 0.00133193 +*RES +1 *21914:X *2928:11 33.2083 +2 *2928:11 *2928:14 39.9674 +3 *2928:14 *2928:24 37.3586 +4 *2928:24 *2928:31 46.9912 +5 *2928:31 *2928:35 48.5806 +6 *2928:35 *21915:C1 9.24915 +*END + +*D_NET *2929 0.00221335 +*CONN +*I *21947:B I *D sky130_fd_sc_hd__and4_1 +*I *21915:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21947:B 0.000525224 +2 *21915:X 0.000525224 +3 *21864:B2 *21947:B 0.000459338 +4 *21915:A1 *21947:B 4.87301e-05 +5 *1588:36 *21947:B 0.000325618 +6 *2727:8 *21947:B 0.000329216 +*RES +1 *21915:X *21947:B 40.3068 +*END + +*D_NET *2930 0.0330663 +*CONN +*I *22606:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22254:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21921:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21916:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22606:A1 0.000756918 +2 *22254:B1 2.72648e-05 +3 *21921:A1 0.00105522 +4 *21916:Y 0.000495635 +5 *2930:67 0.00143643 +6 *2930:64 0.00235991 +7 *2930:59 0.00252437 +8 *2930:26 0.00308612 +9 *2930:21 0.0022077 +10 *2930:17 0.00148915 +11 *21921:A1 *21994:A 0.000382953 +12 *21921:A1 *21994:B 0.000534202 +13 *21921:A1 *3008:18 3.31745e-05 +14 *22254:B1 *22209:B2 2.01801e-05 +15 *22254:B1 *22254:A1 3.63929e-08 +16 *22254:B1 *22254:B2 9.95922e-06 +17 *22606:A1 *22260:A1 6.08467e-05 +18 *22606:A1 *22623:A2 2.62383e-05 +19 *22606:A1 *3185:107 0.000404857 +20 *22606:A1 *3496:174 2.57465e-06 +21 *22606:A1 *3498:256 0.000367782 +22 *22606:A1 *3498:258 0.000345048 +23 *22606:A1 *3500:152 1.05272e-06 +24 *22606:A1 *3500:168 0.000111062 +25 *2930:17 *21026:B1 5.60976e-05 +26 *2930:17 *21916:A 4.6535e-05 +27 *2930:17 *2989:91 0.000503454 +28 *2930:17 *3153:50 3.04525e-06 +29 *2930:17 *5394:8 1.91391e-05 +30 *2930:17 *5859:230 2.33103e-06 +31 *2930:21 *21026:B1 4.30017e-06 +32 *2930:21 *22564:A 0.00034918 +33 *2930:26 *22564:A 0.000402248 +34 *2930:26 *3139:156 0.00113082 +35 *2930:26 *3142:32 0.000206203 +36 *2930:26 *3479:53 5.89592e-05 +37 *2930:26 *3481:77 5.83668e-05 +38 *2930:26 *3500:89 8.22553e-05 +39 *2930:26 *3513:10 0.000686658 +40 *2930:59 *3142:98 6.24293e-05 +41 *2930:59 *3142:108 1.5714e-05 +42 *2930:59 *3280:16 6.94653e-05 +43 *2930:59 *3496:104 0.000227296 +44 *2930:59 *3498:132 9.30864e-05 +45 *2930:59 *3500:89 0.000430218 +46 *2930:64 *22322:A2 5.99155e-05 +47 *2930:64 *22327:B2 8.94611e-05 +48 *2930:64 *22334:C1 0.000143717 +49 *2930:64 *22654:A2 0.000135114 +50 *2930:64 *3114:102 0.000136357 +51 *2930:64 *3280:16 0.00145781 +52 *2930:64 *4856:18 4.41811e-05 +53 *2930:67 *3052:101 0.000344173 +54 *2930:67 *3136:208 1.27142e-05 +55 *2930:67 *3185:107 0.000701101 +56 *21641:A1 *22254:B1 6.84959e-05 +57 *21641:B2 *2930:67 0.000110106 +58 *21921:A2 *21921:A1 9.50386e-05 +59 *21921:B2 *21921:A1 0 +60 *21981:A2 *2930:59 3.9321e-05 +61 *22326:B1 *2930:59 0.000177913 +62 *22331:B1 *2930:64 0.000100523 +63 *22563:C *2930:21 2.89223e-05 +64 *22624:B1 *2930:64 0.000114524 +65 *24248:D *2930:17 0.000290446 +66 *506:16 *2930:64 3.06917e-06 +67 *1542:174 *21921:A1 0.000747427 +68 *1577:74 *2930:59 0.000255545 +69 *1577:74 *2930:64 5.60364e-06 +70 *1583:53 *2930:64 0.000253043 +71 *1584:140 *2930:64 0.000129702 +72 *1592:61 *2930:64 0.00012449 +73 *1592:61 *2930:67 5.60364e-06 +74 *1592:70 *2930:67 8.97218e-06 +75 *1620:35 *22606:A1 3.33418e-05 +76 *1620:35 *2930:67 2.57465e-06 +77 *1735:132 *2930:64 0.000100889 +78 *1751:46 *2930:64 0.000218483 +79 *1788:32 *2930:26 0.000884694 +80 *2089:53 *2930:21 0.000256726 +81 *2091:60 *2930:26 0.000642113 +82 *2093:68 *2930:67 6.82968e-05 +83 *2100:68 *2930:21 1.39795e-05 +84 *2256:37 *2930:17 1.91391e-05 +85 *2278:39 *2930:17 2.82304e-05 +86 *2281:13 *2930:59 0.00029915 +87 *2313:19 *2930:21 0.000205915 +88 *2467:114 *2930:17 2.27135e-05 +89 *2503:99 *2930:64 1.33276e-05 +90 *2511:30 *2930:59 0.00029915 +91 *2576:76 *2930:26 7.86728e-05 +92 *2576:76 *2930:59 0.000195436 +93 *2577:48 *2930:26 0.000207266 +94 *2581:61 *2930:59 6.22114e-05 +95 *2636:17 *2930:21 1.90101e-05 +96 *2637:29 *2930:26 0.000217066 +97 *2675:99 *2930:64 0.000294093 +98 *2680:57 *2930:64 7.09666e-06 +99 *2772:48 *2930:67 7.60356e-05 +100 *2777:60 *22606:A1 0.000474967 +101 *2777:60 *2930:67 0.000143429 +102 *2887:66 *22606:A1 0.000154145 +103 *2894:67 *2930:64 9.83766e-05 +*RES +1 *21916:Y *2930:17 31.112 +2 *2930:17 *2930:21 23.1969 +3 *2930:21 *2930:26 48.0961 +4 *2930:26 *21921:A1 37.0425 +5 *2930:26 *2930:59 28.1401 +6 *2930:59 *2930:64 48.0683 +7 *2930:64 *2930:67 22.1116 +8 *2930:67 *22254:B1 14.8825 +9 *2930:67 *22606:A1 35.4684 +*END + +*D_NET *2931 0.00749853 +*CONN +*I *21921:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22747:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22431:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21917:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21921:B1 0 +2 *22747:B1 0.000250914 +3 *22431:B1 0.000362994 +4 *21917:Y 0.000472578 +5 *2931:26 0.00154307 +6 *2931:5 0.00212773 +7 *22431:B1 *22431:A1 5.88834e-06 +8 *22431:B1 *22431:A2 3.5534e-06 +9 *22747:B1 *22747:B2 3.15947e-05 +10 *22747:B1 *22761:B 6.31223e-05 +11 *22747:B1 *3498:112 7.92757e-06 +12 *2931:5 *3157:37 0.000169056 +13 *2931:5 *3157:43 1.38812e-06 +14 *2931:26 *21994:B 9.17372e-06 +15 *2931:26 *2991:25 1.9101e-05 +16 *2931:26 *3008:18 0.000758105 +17 *2931:26 *3117:48 1.04747e-05 +18 *2931:26 *3117:54 0.000386799 +19 *2931:26 *3136:69 1.58517e-05 +20 *2931:26 *3151:53 8.83505e-05 +21 *2931:26 *3736:31 3.79009e-05 +22 *21921:A2 *22747:B1 4.31603e-06 +23 *21921:B2 *22747:B1 0.000109378 +24 *21981:A2 *2931:26 2.01503e-05 +25 *22040:B2 *22431:B1 0.000369076 +26 *22040:B2 *2931:5 5.79057e-05 +27 *1648:45 *2931:26 0.000133992 +28 *1788:21 *2931:26 0.000179804 +29 *2547:26 *2931:26 0.00012967 +30 *2590:17 *2931:26 4.10601e-05 +31 *2590:25 *2931:26 1.68951e-06 +32 *2641:51 *2931:26 3.7516e-05 +33 *2667:93 *22431:B1 4.84017e-05 +*RES +1 *21917:Y *2931:5 16.0732 +2 *2931:5 *22431:B1 24.4109 +3 *2931:5 *2931:26 46.3495 +4 *2931:26 *22747:B1 15.5668 +5 *2931:26 *21921:B1 9.24915 +*END + +*D_NET *2932 0.0193765 +*CONN +*I *22745:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21920:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22442:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21918:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22745:A1 3.99514e-05 +2 *21920:A1 2.53613e-05 +3 *22442:B1 9.92812e-05 +4 *21918:Y 0.000219506 +5 *2932:61 0.00136645 +6 *2932:38 0.00200931 +7 *2932:15 0.00130935 +8 *2932:12 0.00318356 +9 *2932:8 0.00285044 +10 *22442:B1 *22442:C1 8.05894e-05 +11 *22442:B1 *3007:19 0.000154145 +12 *22745:A1 *22745:B2 9.30173e-05 +13 *2932:8 *21835:A 2.99929e-05 +14 *2932:8 *24498:CLK 4.9073e-05 +15 *2932:8 *3671:76 0.000334753 +16 *2932:8 *4927:40 0.000162181 +17 *2932:12 *2933:43 7.92757e-06 +18 *2932:12 *3003:57 0.000777809 +19 *2932:12 *3162:62 0.000161058 +20 *2932:15 *21993:A1 2.57847e-05 +21 *2932:15 *3003:57 1.55025e-05 +22 *2932:15 *3007:19 3.45973e-05 +23 *2932:15 *3155:53 5.481e-05 +24 *2932:38 *22442:A1 5.97981e-05 +25 *2932:38 *22442:C1 0.000437142 +26 *2932:38 *2934:22 8.28712e-05 +27 *2932:61 *22442:A1 7.88906e-05 +28 *2932:61 *22486:A2 0.000157655 +29 *2932:61 *2997:30 0.000650928 +30 *2932:61 *3198:48 1.27831e-06 +31 *2932:61 *5455:12 3.74499e-06 +32 *19971:C1 *21920:A1 9.94284e-06 +33 *21993:A2 *2932:15 2.16355e-05 +34 *21993:B2 *2932:15 7.92757e-06 +35 *22507:A1 *2932:38 0.000130352 +36 *22507:A1 *2932:61 7.01684e-05 +37 *22736:A1 *2932:61 0.000188869 +38 *522:17 *2932:61 0.000290421 +39 *1435:125 *2932:61 0.000251601 +40 *1461:249 *2932:8 1.4353e-05 +41 *1485:126 *2932:12 0.00203369 +42 *1502:177 *2932:61 0.00044694 +43 *1645:31 *2932:38 7.48761e-05 +44 *1706:87 *2932:12 0.00025175 +45 *1723:7 *2932:12 6.78439e-05 +46 *1723:24 *2932:12 2.398e-06 +47 *1727:15 *2932:12 0.000161058 +48 *1751:23 *2932:61 0.000390461 +49 *1794:118 *21920:A1 5.04829e-06 +50 *1794:118 *2932:38 4.63742e-05 +51 *1794:118 *2932:61 4.78949e-05 +52 *1798:17 *2932:12 0.000104683 +53 *2338:52 *21920:A1 2.16355e-05 +54 *2338:52 *2932:61 1.5714e-05 +55 *2548:41 *22745:A1 0.000113968 +56 *2746:43 *2932:61 5.01553e-05 +*RES +1 *21918:Y *2932:8 25.0642 +2 *2932:8 *2932:12 49.2025 +3 *2932:12 *2932:15 12.4332 +4 *2932:15 *22442:B1 13.059 +5 *2932:15 *2932:38 17.9997 +6 *2932:38 *21920:A1 14.4725 +7 *2932:38 *2932:61 48.7667 +8 *2932:61 *22745:A1 11.1059 +*END + +*D_NET *2933 0.0298723 +*CONN +*I *21920:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22435:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21919:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21920:B1 0 +2 *22435:A1 0.000271005 +3 *21919:Y 0.000791368 +4 *2933:81 0.000795579 +5 *2933:43 0.00110438 +6 *2933:40 0.00267862 +7 *2933:26 0.00392114 +8 *2933:22 0.00206882 +9 *2933:10 0.00157987 +10 *22435:A1 *22435:A2 1.37347e-05 +11 *22435:A1 *22435:B2 2.53145e-06 +12 *22435:A1 *3023:17 7.718e-05 +13 *22435:A1 *3134:47 4.52757e-05 +14 *22435:A1 *3179:103 0.000269159 +15 *22435:A1 *3428:14 0.000278728 +16 *2933:10 *20534:B1 0.000142015 +17 *2933:10 *24347:CLK 2.16355e-05 +18 *2933:10 *24555:CLK 3.13588e-05 +19 *2933:10 *24556:SET_B 6.43474e-05 +20 *2933:10 *4933:87 1.3807e-05 +21 *2933:10 *5854:67 5.74681e-05 +22 *2933:10 *5869:649 0.000389321 +23 *2933:22 *4927:151 0.000115483 +24 *2933:22 *5854:67 8.62625e-06 +25 *2933:22 *5854:100 9.12427e-06 +26 *2933:22 *5869:640 3.17266e-05 +27 *2933:22 *5869:649 0.000124712 +28 *2933:26 *20005:A 0.000101489 +29 *2933:26 *24630:CLK 0.000350607 +30 *2933:26 *24888:CLK 0.000130187 +31 *2933:26 *3671:76 0.00034386 +32 *2933:26 *4927:151 8.56503e-05 +33 *2933:26 *5227:8 0.000170162 +34 *2933:26 *5681:19 0.000135163 +35 *2933:26 *5854:100 0.000115937 +36 *2933:26 *5854:107 8.15496e-05 +37 *2933:26 *5858:128 0.000711777 +38 *2933:26 *5858:132 0.000488776 +39 *2933:40 *20608:A1 2.22198e-05 +40 *2933:40 *22735:B2 7.09666e-06 +41 *2933:40 *3208:80 0.000540927 +42 *2933:40 *3428:14 6.93903e-05 +43 *2933:40 *5297:8 0.000107098 +44 *2933:40 *5455:12 0.0021409 +45 *2933:40 *5869:421 1.37895e-05 +46 *2933:81 *21992:A1 0.000142244 +47 *2933:81 *3162:62 3.06917e-06 +48 *19971:C1 *2933:81 0 +49 *20042:A *2933:40 1.05272e-06 +50 *20420:B2 *2933:22 3.46955e-05 +51 *20421:B2 *2933:26 0.000204176 +52 *20608:A2 *2933:40 0.000339456 +53 *20889:B2 *2933:10 0.000349219 +54 *21920:B2 *2933:81 0.000796824 +55 *21920:C1 *2933:81 5.88657e-05 +56 *22734:B1 *2933:81 0.000675898 +57 *22735:A1 *2933:81 1.05272e-06 +58 *24346:D *2933:10 9.96342e-05 +59 *24627:D *2933:26 1.45944e-05 +60 *24630:D *2933:26 0.00012568 +61 *1501:70 *2933:40 6.47442e-05 +62 *1560:36 *2933:40 0.000120908 +63 *1597:20 *2933:26 1.17419e-05 +64 *1597:30 *2933:26 1.67675e-05 +65 *1716:46 *2933:40 2.12595e-05 +66 *1722:76 *2933:81 0.000724714 +67 *1723:24 *2933:43 4.2372e-05 +68 *1723:24 *2933:81 0.000186328 +69 *1727:15 *2933:81 0.00014998 +70 *1729:64 *2933:10 6.71463e-05 +71 *1729:64 *2933:22 9.10232e-06 +72 *1729:64 *2933:26 0 +73 *1761:40 *2933:40 1.45952e-05 +74 *1764:43 *2933:26 2.60698e-05 +75 *1794:118 *2933:81 7.92757e-06 +76 *1798:17 *2933:43 6.08467e-05 +77 *1798:17 *2933:81 0.00115531 +78 *1947:137 *2933:22 0.00053036 +79 *1947:149 *2933:22 0.000126465 +80 *1947:167 *2933:26 0.000166279 +81 *1953:6 *2933:26 1.41761e-05 +82 *1953:23 *2933:26 9.76605e-05 +83 *2013:54 *2933:40 0.000663318 +84 *2139:12 *2933:10 0.00013689 +85 *2338:52 *2933:81 0.000127188 +86 *2531:30 *2933:10 0.000455019 +87 *2563:26 *2933:40 6.20115e-06 +88 *2620:76 *2933:40 0.000246313 +89 *2627:37 *2933:40 3.13066e-05 +90 *2660:80 *2933:10 5.49916e-05 +91 *2680:18 *2933:10 3.67015e-05 +92 *2680:18 *2933:22 0.000794647 +93 *2840:13 *2933:10 0.000326999 +94 *2932:12 *2933:43 7.92757e-06 +*RES +1 *21919:Y *2933:10 40.7067 +2 *2933:10 *2933:22 28.2982 +3 *2933:22 *2933:26 48.3382 +4 *2933:26 *2933:40 47.7094 +5 *2933:40 *2933:43 5.778 +6 *2933:43 *22435:A1 27.296 +7 *2933:43 *2933:81 40.976 +8 *2933:81 *21920:B1 9.24915 +*END + +*D_NET *2934 0.0075328 +*CONN +*I *21921:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21920:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21921:C1 0.00042609 +2 *21920:X 0.00156671 +3 *2934:22 0.0019928 +4 *21921:C1 *22805:B1 1.55025e-05 +5 *21921:C1 *22815:C 2.25839e-05 +6 *21921:C1 *3063:45 0.000441138 +7 *21921:C1 *3751:47 9.95922e-06 +8 *2934:22 *21987:C1 0.000154145 +9 *2934:22 *22442:A1 7.94607e-05 +10 *2934:22 *2999:65 0.000573546 +11 *2934:22 *3063:45 2.02035e-05 +12 *2934:22 *5475:83 0.000630208 +13 *21456:A2 *2934:22 6.03237e-05 +14 *21987:A2 *2934:22 0.000583849 +15 *549:23 *21921:C1 6.08467e-05 +16 *1721:12 *2934:22 9.22833e-06 +17 *1722:76 *2934:22 7.51866e-05 +18 *1771:47 *2934:22 1.91391e-05 +19 *1800:8 *2934:22 3.38973e-05 +20 *2510:73 *2934:22 0.000401938 +21 *2547:26 *21921:C1 0.000112394 +22 *2590:25 *21921:C1 1.09156e-05 +23 *2590:36 *21921:C1 2.37827e-05 +24 *2923:14 *21921:C1 0.000126077 +25 *2932:38 *2934:22 8.28712e-05 +*RES +1 *21920:X *2934:22 45.8505 +2 *2934:22 *21921:C1 34.5487 +*END + +*D_NET *2935 0.00994013 +*CONN +*I *21947:C I *D sky130_fd_sc_hd__and4_1 +*I *21921:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21947:C 0 +2 *21921:X 0.00100158 +3 *2935:13 0.00137999 +4 *2935:8 0.00238157 +5 *2935:8 *22431:C1 0.000101503 +6 *2935:8 *22497:C1 0.000148997 +7 *2935:8 *3151:57 0.000484838 +8 *2935:8 *3151:59 0.000156864 +9 *2935:8 *3151:64 0.000439829 +10 *2935:13 *21947:D 1.03403e-05 +11 *2935:13 *22474:A2 0 +12 *2935:13 *22765:C1 0.000444591 +13 *2935:13 *22783:C1 0.000580718 +14 *2935:13 *2978:110 6.05583e-05 +15 *2935:13 *3071:26 6.74182e-05 +16 *2935:13 *3151:64 2.15796e-05 +17 *2935:13 *3208:9 0.000213725 +18 *2935:13 *3426:13 7.14746e-05 +19 *21472:B1 *2935:13 1.38817e-05 +20 *22409:A1 *2935:8 6.85547e-05 +21 *22474:A1 *2935:13 0 +22 *22474:B1 *2935:13 5.1493e-06 +23 *22728:A1 *2935:13 0.000366931 +24 *22782:A1 *2935:13 0 +25 *543:10 *2935:8 6.69861e-06 +26 *543:12 *2935:8 1.49011e-05 +27 *543:12 *2935:13 1.55175e-05 +28 *1636:68 *2935:8 0.00013346 +29 *2407:22 *2935:8 5.45571e-05 +30 *2590:25 *2935:8 8.08437e-05 +31 *2641:51 *2935:8 0.000189785 +32 *2650:22 *2935:13 0.000490064 +33 *2667:72 *2935:13 2.86601e-05 +34 *2667:86 *2935:13 0.000358157 +35 *2667:93 *2935:8 0.000326983 +36 *2667:93 *2935:13 0.000153384 +37 *2895:33 *2935:13 6.7034e-05 +*RES +1 *21921:X *2935:8 43.3053 +2 *2935:8 *2935:13 44.0156 +3 *2935:13 *21947:C 9.24915 +*END + +*D_NET *2936 0.0298722 +*CONN +*I *22436:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22750:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21927:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21922:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22436:B1 0.000348335 +2 *22750:B1 0.000117038 +3 *21927:A1 0.000700131 +4 *21922:Y 0.00110741 +5 *2936:67 0.00207279 +6 *2936:22 0.00286394 +7 *2936:16 0.00225861 +8 *2936:14 0.00280963 +9 *21927:A1 *21946:A 8.28144e-05 +10 *21927:A1 *2960:16 0.000832988 +11 *21927:A1 *2979:46 6.1578e-06 +12 *22436:B1 *22803:B2 9.01968e-05 +13 *22436:B1 *3005:64 0.000197615 +14 *22436:B1 *3157:43 2.23178e-05 +15 *22436:B1 *3385:19 0.000771381 +16 *22750:B1 *22743:A 6.50586e-05 +17 *22750:B1 *3364:23 0.00010217 +18 *2936:14 *20356:A1 6.08467e-05 +19 *2936:14 *20356:B1 2.65667e-05 +20 *2936:14 *24983:A 0.000380558 +21 *2936:14 *2966:23 1.3706e-05 +22 *2936:14 *3014:30 1.88778e-05 +23 *2936:14 *4876:62 0.000399902 +24 *2936:16 *24983:A 7.70944e-06 +25 *2936:16 *2966:23 1.66626e-05 +26 *2936:16 *4821:17 0.000512415 +27 *2936:16 *4876:62 7.4947e-05 +28 *2936:67 *3498:75 2.26985e-05 +29 *2936:67 *3500:65 0.000186577 +30 *2936:67 *3702:20 5.76799e-05 +31 *2936:67 *5563:45 6.23101e-05 +32 *6180:DIODE *2936:22 6.08467e-05 +33 *19671:B *2936:16 0.000252112 +34 *19962:A1 *21927:A1 0.000830957 +35 *19962:A2 *2936:22 7.60356e-05 +36 *19962:A2 *2936:67 4.78949e-05 +37 *19981:C *2936:67 7.58067e-06 +38 *19981:D *2936:67 5.57532e-05 +39 *20029:B1 *2936:16 3.55859e-05 +40 *20049:A1 *2936:67 0.000172237 +41 *20356:B2 *2936:14 8.39059e-05 +42 *21410:A2 *2936:22 7.92757e-06 +43 *21456:A2 *2936:67 0.000885766 +44 *21472:B1 *2936:67 1.84334e-05 +45 *21731:A2 *2936:67 5.60804e-05 +46 *21789:C1 *22750:B1 0.000352546 +47 *21938:A2 *21927:A1 2.87885e-05 +48 *24673:D *2936:14 0.000145506 +49 *541:28 *22436:B1 9.12416e-06 +50 *541:28 *2936:67 3.58315e-06 +51 *1424:104 *21927:A1 5.73392e-05 +52 *1424:140 *2936:16 0.000138821 +53 *1428:24 *2936:14 2.57563e-05 +54 *1428:24 *2936:16 0 +55 *1449:27 *2936:16 0.000300932 +56 *1461:117 *2936:16 0.000159484 +57 *1461:119 *2936:16 0.000467251 +58 *1461:124 *2936:16 0.000370032 +59 *1467:76 *2936:16 1.81897e-05 +60 *1496:48 *2936:22 0.000357572 +61 *1496:68 *2936:22 0.000206659 +62 *1510:64 *21927:A1 5.26782e-05 +63 *1544:51 *2936:22 0 +64 *1563:72 *21927:A1 2.43987e-05 +65 *1640:12 *21927:A1 9.69821e-05 +66 *1641:26 *21927:A1 6.01329e-05 +67 *1645:49 *2936:67 1.93857e-05 +68 *1677:49 *21927:A1 6.23875e-05 +69 *1678:69 *22436:B1 8.56016e-05 +70 *1680:8 *2936:22 0.00016012 +71 *1684:48 *2936:67 0.00117203 +72 *1684:85 *2936:67 0.000708088 +73 *1704:6 *2936:16 0.00201542 +74 *1704:6 *2936:22 0.000947448 +75 *1704:6 *2936:67 0.000238186 +76 *1706:21 *21927:A1 1.41976e-05 +77 *1711:87 *2936:67 2.27118e-06 +78 *1715:99 *21927:A1 0.000134093 +79 *1717:24 *2936:67 0.000867665 +80 *1728:10 *22436:B1 2.43247e-05 +81 *1728:10 *2936:67 2.03016e-05 +82 *1754:10 *2936:16 0.000215484 +83 *1800:8 *22436:B1 1.561e-05 +84 *1800:8 *2936:67 0.000340585 +85 *1804:64 *22436:B1 0.000107496 +86 *2469:35 *2936:22 0.000107496 +87 *2519:33 *2936:67 4.80499e-06 +88 *2675:14 *2936:14 0.000197446 +89 *2858:23 *2936:16 0.000136154 +90 *2858:27 *2936:16 0.000489291 +91 *2860:11 *2936:14 2.02035e-05 +92 *2928:14 *2936:14 9.22013e-06 +*RES +1 *21922:Y *2936:14 39.8968 +2 *2936:14 *2936:16 59.7597 +3 *2936:16 *2936:22 28.9277 +4 *2936:22 *21927:A1 37.6559 +5 *2936:22 *2936:67 30.743 +6 *2936:67 *22750:B1 18.3789 +7 *2936:67 *22436:B1 26.1821 +*END + +*D_NET *2937 0.0256659 +*CONN +*I *21927:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21923:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21927:B1 0.00258602 +2 *21923:Y 0.000288566 +3 *2937:11 0.00695294 +4 *2937:10 0.00465548 +5 *21927:B1 *20585:B1 0.00155462 +6 *21927:B1 *24057:A1 6.25838e-06 +7 *21927:B1 *2943:25 0.000202504 +8 *21927:B1 *2950:125 3.24493e-05 +9 *21927:B1 *2964:35 0 +10 *21927:B1 *2976:18 8.19081e-05 +11 *21927:B1 *2976:44 0.00013241 +12 *21927:B1 *3177:148 1.65039e-05 +13 *21927:B1 *5452:11 0.000217232 +14 *21927:B1 *5860:100 0.000197511 +15 *2937:10 *21925:A 2.95757e-05 +16 *2937:10 *5801:58 2.59398e-05 +17 *2937:10 *5926:46 2.20788e-05 +18 *2937:11 *19573:A 8.55661e-05 +19 *2937:11 *19926:A 5.24081e-05 +20 *2937:11 *21925:A 6.08467e-05 +21 *2937:11 *24065:A0 4.00959e-05 +22 *2937:11 *24065:A1 3.31745e-05 +23 *2937:11 *24065:S 0.000111708 +24 *2937:11 *2939:7 0.000489021 +25 *2937:11 *5442:13 0.000120757 +26 *19586:A2 *2937:11 0.000103234 +27 *19586:B1_N *2937:11 4.91225e-06 +28 *19778:A *2937:11 6.40381e-05 +29 *19778:B *2937:11 0.000260388 +30 *19778:C *2937:11 0.000237061 +31 *25006:A *2937:10 3.73224e-05 +32 *470:33 *21927:B1 8.93331e-05 +33 *476:45 *21927:B1 0.000415058 +34 *1421:5 *2937:11 0.000262339 +35 *1427:44 *2937:11 8.11856e-05 +36 *1432:15 *21927:B1 1.5714e-05 +37 *1433:17 *2937:11 0.000404999 +38 *1435:42 *21927:B1 2.01828e-05 +39 *1439:356 *21927:B1 7.88258e-05 +40 *1442:146 *21927:B1 6.21488e-06 +41 *1448:52 *21927:B1 0.00182501 +42 *1471:169 *2937:10 6.20492e-05 +43 *1506:98 *2937:11 0.000154145 +44 *1515:133 *21927:B1 8.16304e-05 +45 *1677:49 *21927:B1 2.77564e-05 +46 *1689:47 *21927:B1 9.81522e-05 +47 *1711:65 *21927:B1 5.039e-05 +48 *1734:26 *21927:B1 0.000711495 +49 *1764:31 *21927:B1 1.6086e-05 +50 *1804:17 *21927:B1 6.85523e-06 +51 *2274:30 *21927:B1 8.11463e-06 +52 *2283:13 *2937:10 5.65074e-05 +53 *2715:24 *2937:10 0.000111722 +54 *2719:22 *21927:B1 0.00230748 +55 *2785:30 *21927:B1 7.21329e-05 +*RES +1 *21923:Y *2937:10 24.2687 +2 *2937:10 *2937:11 95.5606 +3 *2937:11 *21927:B1 49.9791 +*END + +*D_NET *2938 0.0936217 +*CONN +*I *21926:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21924:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *21926:A1 0.00765715 +2 *21924:Y 0.00196887 +3 *2938:56 0.00811166 +4 *2938:42 0.00102811 +5 *2938:40 0.000933147 +6 *2938:34 0.00176288 +7 *2938:32 0.00143394 +8 *2938:30 0.00110852 +9 *2938:29 0.00130775 +10 *2938:26 0.00102497 +11 *2938:5 0.00276401 +12 *21926:A1 *24927:A 2.68978e-05 +13 *21926:A1 *2979:46 0.000256189 +14 *21926:A1 *3017:26 0.000200499 +15 *21926:A1 *3071:26 4.30694e-05 +16 *21926:A1 *4830:79 0.00390105 +17 *2938:5 *21924:A 0.000311249 +18 *2938:26 *4821:151 0.000100948 +19 *2938:29 *4833:172 8.91412e-05 +20 *2938:30 *2989:55 0.00173721 +21 *2938:30 *4823:69 4.90549e-05 +22 *2938:30 *4825:70 0.000188997 +23 *2938:30 *4825:72 9.27638e-05 +24 *2938:30 *4829:145 0 +25 *2938:30 *4829:147 0.00299913 +26 *2938:30 *4833:155 0.000214407 +27 *2938:30 *5913:18 0.000781188 +28 *2938:34 *2989:63 0.00155678 +29 *2938:34 *2989:67 1.05862e-05 +30 *2938:34 *3212:52 6.34651e-06 +31 *2938:34 *5913:18 4.69727e-05 +32 *2938:40 *2989:91 0.000174019 +33 *2938:40 *3212:52 0.00186543 +34 *2938:42 *3212:52 1.30377e-05 +35 *2938:42 *5898:168 0.00346866 +36 *2938:56 *2978:82 1.088e-05 +37 mgmt_gpio_oeb[14] *2938:26 1.91391e-05 +38 mgmt_gpio_oeb[4] *2938:56 0.000116391 +39 mgmt_gpio_out[14] *2938:26 4.87595e-05 +40 mgmt_gpio_out[3] *21926:A1 0.000311108 +41 *21169:C *21926:A1 2.4469e-05 +42 *21411:B1 *21926:A1 0.000403079 +43 *21915:B1 *21926:A1 0.000370258 +44 *21963:B2 *21926:A1 0.00117542 +45 *25142:A *2938:26 4.97938e-05 +46 *121:14 *2938:26 0.00110365 +47 *476:45 *21926:A1 9.47718e-05 +48 *476:100 *21926:A1 0 +49 *476:100 *2938:42 0.000200728 +50 *476:100 *2938:56 0.000159964 +51 *529:42 *2938:40 1.3813e-05 +52 *529:42 *2938:42 0.0035126 +53 *535:38 *2938:56 0.000228543 +54 *1555:70 *2938:30 0.00365156 +55 *1671:17 *21926:A1 0 +56 *1709:48 *2938:34 0.00440844 +57 *1711:65 *21926:A1 0.000340687 +58 *1742:67 *21926:A1 0.0057273 +59 *1766:13 *21926:A1 0 +60 *1766:21 *21926:A1 0 +61 *2256:13 *21926:A1 0.000547329 +62 *2267:40 *2938:56 0.00126805 +63 *2282:68 *2938:34 0.000421473 +64 *2282:78 *2938:34 1.72799e-05 +65 *2295:39 *2938:56 4.28427e-05 +66 *2320:35 *2938:26 2.01503e-05 +67 *2360:43 *2938:26 4.51619e-05 +68 *2360:43 *2938:30 0.000100822 +69 *2372:32 *2938:26 0.000349938 +70 *2372:41 *2938:26 0.000136682 +71 *2372:60 *2938:30 0.00146212 +72 *2389:56 *2938:34 3.37714e-06 +73 *2404:86 *2938:34 0.00176473 +74 *2404:86 *2938:40 1.3813e-05 +75 *2421:115 *2938:34 0.00171316 +76 *2426:89 *21926:A1 0 +77 *2433:106 *2938:34 9.39114e-06 +78 *2433:113 *2938:34 0.00192832 +79 *2469:35 *21926:A1 0 +80 *2545:28 *2938:29 0.000200794 +81 *2675:63 *21926:A1 0.000104754 +82 *2679:17 *2938:29 0.000156955 +83 *2687:30 *2938:26 0.00132807 +84 *2692:53 *2938:30 1.26179e-05 +85 *2692:53 *2938:34 0.0014755 +86 *2719:22 *21926:A1 0.00716638 +87 *2729:87 *2938:34 0.000833209 +88 *2729:95 *2938:40 0.00175623 +89 *2791:24 *2938:29 3.31745e-05 +90 *2791:46 *2938:30 0.000111319 +91 *2882:36 *2938:56 0.00138307 +92 *2882:46 *2938:34 9.00905e-06 +*RES +1 *21924:Y *2938:5 46.5764 +2 *2938:5 *2938:26 48.9131 +3 *2938:26 *2938:29 10.7694 +4 *2938:29 *2938:30 92.5646 +5 *2938:30 *2938:32 0.732798 +6 *2938:32 *2938:34 115.611 +7 *2938:34 *2938:40 31.5347 +8 *2938:40 *2938:42 57.4758 +9 *2938:42 *2938:56 32.5923 +10 *2938:56 *21926:A1 49.6289 +*END + +*D_NET *2939 0.0249804 +*CONN +*I *21926:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21925:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21926:B1 0.000961788 +2 *21925:Y 0.0013895 +3 *2939:35 0.00211276 +4 *2939:28 0.00237406 +5 *2939:21 0.0036239 +6 *2939:13 0.00349216 +7 *2939:7 0.00248083 +8 *21926:B1 *21927:C1 0.000251655 +9 *21926:B1 *21939:B1 9.82896e-06 +10 *21926:B1 *21946:B 0.00068023 +11 *21926:B1 *2976:44 0.000209312 +12 *2939:7 *21923:A 6.50727e-05 +13 *2939:7 *21925:A 8.79845e-05 +14 *2939:13 *22842:A3 0.000358623 +15 *2939:13 *24023:S 0.00011628 +16 *2939:13 *5444:21 1.28832e-05 +17 *2939:13 *5485:199 0.0005469 +18 *2939:21 *24660:CLK 7.58789e-05 +19 *2939:21 *24661:CLK 0.00014229 +20 *2939:21 *6001:72 0.000994585 +21 *2939:28 *20373:A1 9.75356e-05 +22 *2939:28 *24660:CLK 4.36958e-05 +23 *2939:35 *24446:RESET_B 1.03403e-05 +24 *2939:35 *24446:CLK 5.40122e-05 +25 *19881:B *21926:B1 0.000107496 +26 *21113:B1 *2939:13 0.000115934 +27 *21863:A1 *2939:28 6.95171e-05 +28 *21926:B2 *21926:B1 3.75603e-05 +29 *24023:A0 *2939:13 5.5951e-05 +30 *24205:RESET_B *2939:13 0 +31 *460:21 *2939:13 0.000247389 +32 *514:41 *2939:13 5.05252e-05 +33 *1435:21 *21926:B1 4.73598e-05 +34 *1435:42 *21926:B1 0.000372324 +35 *1443:9 *21926:B1 6.11872e-05 +36 *1448:213 *2939:35 0.000411815 +37 *1521:75 *2939:35 5.99529e-06 +38 *1639:20 *2939:35 3.3884e-05 +39 *1639:65 *21926:B1 0.000123688 +40 *1677:10 *2939:35 0.000258128 +41 *1714:36 *2939:35 0.00145126 +42 *1756:43 *2939:13 0.000579032 +43 *1786:34 *2939:13 0 +44 *1792:97 *21926:B1 8.15039e-05 +45 *2398:22 *2939:13 6.64392e-05 +46 *2398:22 *2939:21 4.31882e-05 +47 *2844:24 *2939:28 3.92275e-05 +48 *2877:31 *2939:28 3.98439e-05 +49 *2937:11 *2939:7 0.000489021 +*RES +1 *21925:Y *2939:7 43.3119 +2 *2939:7 *2939:13 37.1347 +3 *2939:13 *2939:21 36.435 +4 *2939:21 *2939:28 27.0843 +5 *2939:28 *2939:35 30.3344 +6 *2939:35 *21926:B1 34.9538 +*END + +*D_NET *2940 0.0014221 +*CONN +*I *21927:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21926:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21927:C1 0.000477901 +2 *21926:X 0.000477901 +3 *21926:B1 *21927:C1 0.000251655 +4 *1424:88 *21927:C1 1.41976e-05 +5 *1424:104 *21927:C1 7.60088e-05 +6 *1448:180 *21927:C1 5.88009e-05 +7 *1698:129 *21927:C1 6.56365e-05 +*RES +1 *21926:X *21927:C1 35.7873 +*END + +*D_NET *2941 0.000707575 +*CONN +*I *21946:A I *D sky130_fd_sc_hd__and4_1 +*I *21927:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21946:A 8.88139e-05 +2 *21927:X 8.88139e-05 +3 *21946:A *6200:DIODE 0.000160617 +4 *21946:A *2979:46 0.000114239 +5 *21927:A1 *21946:A 8.28144e-05 +6 *1640:12 *21946:A 1.16596e-05 +7 *1714:42 *21946:A 0.000160617 +*RES +1 *21927:X *21946:A 31.5781 +*END + +*D_NET *2942 0.0110669 +*CONN +*I *21933:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21928:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21933:A1 0 +2 *21928:Y 0.000513602 +3 *2942:15 0.00126546 +4 *2942:7 0.00177906 +5 *2942:7 *24891:RESET_B 2.69678e-05 +6 *2942:7 *5475:29 1.61631e-05 +7 *2942:7 *5917:353 7.44668e-05 +8 *2942:15 *20603:B1 0.000112149 +9 *2942:15 *21933:B1 0.000205006 +10 *2942:15 *21933:C1 3.34189e-05 +11 *2942:15 *21989:A 0.000143891 +12 *2942:15 *24891:RESET_B 8.05819e-05 +13 *2942:15 *3003:7 0.00128948 +14 *2942:15 *5189:10 2.61037e-05 +15 *21933:A2 *2942:15 2.65667e-05 +16 *21933:B2 *2942:15 0.000464119 +17 *2010:23 *2942:15 0.000111722 +18 *2398:32 *2942:7 0.00140864 +19 *2405:5 *2942:7 7.60395e-05 +20 *2623:11 *2942:7 0.00249962 +21 *2623:11 *2942:15 0.00059611 +22 *2835:13 *2942:15 0.000317693 +*RES +1 *21928:Y *2942:7 37.7268 +2 *2942:7 *2942:15 44.6094 +3 *2942:15 *21933:A1 9.24915 +*END + +*D_NET *2943 0.0645413 +*CONN +*I *22758:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6101:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22445:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21933:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21929:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22758:A1 0.00270484 +2 *6101:DIODE 0 +3 *22445:A1 0.000234005 +4 *21933:B1 0.000292964 +5 *21929:Y 0.000384804 +6 *2943:78 0.00395653 +7 *2943:68 0.00270403 +8 *2943:66 0.00226751 +9 *2943:63 0.00311804 +10 *2943:52 0.00388285 +11 *2943:32 0.000524583 +12 *2943:29 0.00225693 +13 *2943:25 0.00244044 +14 *2943:7 0.00273183 +15 *21933:B1 *21933:C1 0.000107496 +16 *21933:B1 *2992:12 0.000142625 +17 *22445:A1 *22445:B1 0.000111722 +18 *22758:A1 *22047:A1 4.83235e-05 +19 *22758:A1 *22758:B2 8.67924e-06 +20 *22758:A1 *22759:B1 6.87791e-05 +21 *22758:A1 *22759:C1 3.15947e-05 +22 *22758:A1 *3027:27 0.000866708 +23 *22758:A1 *3027:33 4.31703e-05 +24 *22758:A1 *3059:30 0.000151674 +25 *22758:A1 *3134:142 1.37552e-05 +26 *22758:A1 *3157:139 4.04556e-05 +27 *22758:A1 *3166:105 4.04556e-05 +28 *22758:A1 *3533:88 2.95752e-05 +29 *22758:A1 *5457:13 0.00477946 +30 *22758:A1 *5851:70 5.76799e-05 +31 *22758:A1 *5869:718 7.15765e-05 +32 *2943:25 *2971:41 0.000557741 +33 *2943:25 *2976:18 2.31296e-05 +34 *2943:25 *2976:44 6.14767e-05 +35 *2943:25 *5860:104 0.00186835 +36 *2943:29 *5322:14 9.7112e-06 +37 *2943:52 *2979:29 0.000796597 +38 *2943:52 *3179:103 0.000115867 +39 *2943:52 *3428:10 3.27606e-06 +40 *2943:52 *3428:14 5.08914e-05 +41 *2943:52 *5322:14 2.34697e-05 +42 *2943:63 *22435:C1 4.19864e-05 +43 *2943:63 *3131:41 0.00116797 +44 *2943:63 *3134:47 0.000258998 +45 *2943:63 *3134:62 0.000587606 +46 *2943:63 *5476:51 0 +47 *2943:66 *5851:123 0.000157794 +48 *2943:66 *5858:141 0.00114556 +49 *2943:68 *5680:23 0.000907221 +50 *2943:68 *5854:100 1.41629e-05 +51 *2943:68 *5856:160 0.000540954 +52 *2943:68 *5856:168 0.00020553 +53 *2943:68 *5860:696 0.000476897 +54 *2943:78 *4862:8 0.000148414 +55 *2943:78 *5113:10 0.000157839 +56 *2943:78 *5854:27 0.000193687 +57 *2943:78 *5858:96 0.000286495 +58 *2943:78 *5921:37 2.94753e-05 +59 *19970:B1 *2943:52 0.000138791 +60 *21345:B2 *2943:63 5.65573e-05 +61 *21927:B1 *2943:25 0.000202504 +62 *21933:B2 *21933:B1 4.31337e-05 +63 *22489:B1 *22445:A1 2.53145e-06 +64 *24498:D *2943:63 0.000838792 +65 *24555:D *2943:78 0.000101619 +66 *1424:20 *2943:25 0.000221807 +67 *1424:71 *2943:25 1.40966e-05 +68 *1467:184 *2943:66 9.92046e-06 +69 *1497:36 *21933:B1 2.53019e-05 +70 *1520:21 *2943:7 0.000629227 +71 *1587:30 *2943:7 0.00160394 +72 *1597:16 *2943:78 0.000461784 +73 *1597:18 *2943:78 2.86215e-05 +74 *1597:20 *2943:66 6.34651e-06 +75 *1597:20 *2943:68 0.00309958 +76 *1597:20 *2943:78 8.28675e-06 +77 *1597:45 *2943:63 0.000345048 +78 *1604:42 *2943:25 0 +79 *1604:42 *2943:29 4.36739e-05 +80 *1604:42 *2943:52 0.000778337 +81 *1604:68 *2943:52 0.000723376 +82 *1625:106 *22758:A1 4.47969e-05 +83 *1685:26 *2943:29 5.97299e-05 +84 *1706:37 *2943:29 0.000374875 +85 *1706:37 *2943:52 0.00111842 +86 *1715:89 *2943:25 9.72199e-05 +87 *1729:64 *2943:63 0.000366301 +88 *1732:50 *2943:78 0.00081263 +89 *1733:45 *22758:A1 0 +90 *1735:10 *21933:B1 5.56367e-05 +91 *1735:10 *2943:25 0.00113839 +92 *1735:45 *2943:25 0.00263056 +93 *1740:131 *2943:68 0.000105227 +94 *1748:19 *21933:B1 3.21733e-05 +95 *1748:19 *2943:7 8.15039e-05 +96 *1757:87 *2943:52 4.70624e-05 +97 *1764:32 *2943:63 1.6267e-05 +98 *1801:70 *2943:68 0.000117093 +99 *1947:157 *2943:68 7.10463e-05 +100 *1947:159 *2943:66 0.000585474 +101 *1947:159 *2943:68 9.94147e-05 +102 *1947:167 *2943:66 5.41377e-05 +103 *1947:181 *2943:63 0.000148852 +104 *1985:46 *2943:68 2.32955e-05 +105 *1985:46 *2943:78 2.27135e-05 +106 *2274:38 *2943:66 2.15339e-05 +107 *2274:63 *2943:66 0.000845779 +108 *2285:16 *2943:63 0.000526356 +109 *2445:23 *21933:B1 0.000264586 +110 *2445:23 *2943:7 5.18123e-05 +111 *2505:75 *2943:63 0.000447969 +112 *2523:38 *2943:78 0.00012537 +113 *2616:30 *2943:78 0 +114 *2749:11 *22445:A1 0.000147013 +115 *2749:11 *2943:32 6.1708e-05 +116 *2833:21 *2943:25 3.83274e-05 +117 *2833:57 *2943:52 0 +118 *2835:8 *21933:B1 2.71397e-05 +119 *2835:8 *2943:25 0.000216304 +120 *2835:14 *21933:B1 7.09666e-06 +121 *2851:14 *2943:52 0.000113374 +122 *2895:11 *2943:29 3.33173e-06 +123 *2922:54 *2943:63 8.26385e-06 +124 *2942:15 *21933:B1 0.000205006 +*RES +1 *21929:Y *2943:7 33.8837 +2 *2943:7 *21933:B1 32.7361 +3 *2943:7 *2943:25 75.9545 +4 *2943:25 *2943:29 9.56301 +5 *2943:29 *2943:32 8.55102 +6 *2943:32 *22445:A1 15.3498 +7 *2943:32 *6101:DIODE 9.24915 +8 *2943:29 *2943:52 49.3784 +9 *2943:52 *2943:63 36.8516 +10 *2943:63 *2943:66 31.0096 +11 *2943:66 *2943:68 58.3063 +12 *2943:68 *2943:78 43.1109 +13 *2943:78 *22758:A1 31.3322 +*END + +*D_NET *2944 0.00937208 +*CONN +*I *21932:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21930:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21932:A1 0.00123576 +2 *21930:Y 0.000587613 +3 *2944:15 0.00152095 +4 *2944:11 0.000872804 +5 *21932:A1 *19687:B1 0.000227883 +6 *21932:A1 *19697:A1 0.000514279 +7 *21932:A1 *19697:B1 6.08467e-05 +8 *21932:A1 *4845:432 0.000500282 +9 *2944:11 *24874:SET_B 0.000355354 +10 *2944:11 *4954:27 0 +11 *19687:A2 *21932:A1 0.000183826 +12 *19687:B2 *21932:A1 6.27718e-05 +13 *19697:A2 *21932:A1 2.80287e-05 +14 *21713:A1 *21932:A1 8.46327e-05 +15 *21713:A1 *2944:15 0.000291967 +16 *24869:D *21932:A1 0.000304881 +17 *1439:213 *2944:11 0.000175228 +18 *1439:217 *2944:11 0.000152702 +19 *1439:217 *2944:15 0.000197469 +20 *1497:113 *2944:11 0.000338864 +21 *1497:113 *2944:15 8.45165e-05 +22 *1744:77 *21932:A1 0.000111438 +23 *1744:77 *2944:15 0.00100886 +24 *1744:83 *21932:A1 0.000192037 +25 *2579:7 *21932:A1 0.000114271 +26 *2903:8 *2944:11 0.000164826 +*RES +1 *21930:Y *2944:11 38.1579 +2 *2944:11 *2944:15 14.8899 +3 *2944:15 *21932:A1 46.8778 +*END + +*D_NET *2945 0.0131792 +*CONN +*I *21932:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21931:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21932:B1 0.000630116 +2 *21931:Y 0 +3 *2945:5 0.00232558 +4 *2945:4 0.00169547 +5 *21932:B1 *25298:A 3.40676e-05 +6 *21932:B1 *2946:7 0.000139827 +7 *21932:B1 *5858:254 4.40807e-05 +8 *21932:B1 *5917:115 6.27782e-05 +9 *21932:B1 *5917:129 0.000677682 +10 *2945:5 *19733:A1 3.61993e-05 +11 *2945:5 *19741:A0 0.000222149 +12 *2945:5 *21662:A 6.73351e-05 +13 *2945:5 *4845:443 0.000387915 +14 *19710:B2 *2945:5 0.000174919 +15 *19733:A2 *2945:5 7.48633e-05 +16 *19733:B2 *2945:5 0.000432706 +17 *21664:A1 *21932:B1 0.00199678 +18 *21664:B2 *21932:B1 5.26755e-05 +19 *24850:D *2945:5 0.000580998 +20 *1505:72 *2945:5 0.000132414 +21 *1513:20 *2945:5 0.00052744 +22 *1514:7 *2945:5 0.00041745 +23 *1514:11 *2945:5 0.000156579 +24 *1514:30 *2945:5 0.000266832 +25 *1760:7 *2945:5 0.000370815 +26 *2516:7 *2945:5 6.92705e-05 +27 *2579:7 *21932:B1 3.00829e-05 +28 *2698:13 *21932:B1 4.19199e-05 +29 *2698:13 *2945:5 0.00102895 +30 *2700:7 *21932:B1 0.000384198 +31 *2719:7 *2945:5 6.92705e-05 +32 *2719:8 *21932:B1 4.78554e-05 +*RES +1 *21931:Y *2945:4 9.24915 +2 *2945:4 *2945:5 56.7384 +3 *2945:5 *21932:B1 49.0035 +*END + +*D_NET *2946 0.010613 +*CONN +*I *21933:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21932:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21933:C1 8.94272e-05 +2 *21932:X 0.000426356 +3 *2946:8 0.00270256 +4 *2946:7 0.00303949 +5 *2946:8 *19702:B1 0 +6 *2946:8 *20025:A 0 +7 *2946:8 *20456:A1 4.90694e-05 +8 *2946:8 *20458:A1 0.000270131 +9 *2946:8 *20460:A1 0.00021459 +10 *2946:8 *20460:B1 0.000165481 +11 *2946:8 *21822:A 0.000452365 +12 *2946:8 *21978:A 0.00013928 +13 *2946:8 *3014:39 7.08723e-06 +14 *2946:8 *5851:301 0.000242149 +15 *2946:8 *5856:293 0.000119238 +16 *2946:8 *5856:377 0 +17 *2946:8 *5870:136 0 +18 *2946:8 *5870:140 0 +19 *2946:8 *5870:144 0 +20 *20456:A2 *2946:8 8.15803e-05 +21 *21664:B2 *2946:7 0.000112361 +22 *21664:B2 *2946:8 0 +23 *21932:B1 *2946:7 0.000139827 +24 *21933:B1 *21933:C1 0.000107496 +25 *21933:B2 *21933:C1 0.00050655 +26 *1511:68 *2946:8 0 +27 *1520:28 *2946:8 4.86475e-05 +28 *1587:30 *2946:8 0.000232297 +29 *1731:32 *2946:8 0.00061088 +30 *1735:10 *2946:8 0 +31 *1964:12 *2946:8 7.66115e-05 +32 *1964:14 *2946:8 5.65074e-05 +33 *2561:16 *2946:8 0.000136798 +34 *2700:7 *2946:7 0.000211539 +35 *2835:8 *2946:8 2.77419e-05 +36 *2835:13 *21933:C1 0.000313495 +37 *2942:15 *21933:C1 3.34189e-05 +*RES +1 *21932:X *2946:7 21.1278 +2 *2946:7 *2946:8 72.4249 +3 *2946:8 *21933:C1 19.464 +*END + +*D_NET *2947 0.0129557 +*CONN +*I *21946:B I *D sky130_fd_sc_hd__and4_1 +*I *21933:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21946:B 0.000569223 +2 *21933:X 0.00111273 +3 *2947:20 0.00189288 +4 *2947:12 0.00243639 +5 *21946:B *6200:DIODE 0.000164829 +6 *21946:B *21939:B1 0.000183446 +7 *21946:B *21946:C 0.000417209 +8 *2947:12 *2971:44 0.000168295 +9 *19705:C *2947:20 1.37385e-05 +10 *19705:D *2947:20 8.28712e-05 +11 *19937:C1 *2947:12 0.000139505 +12 *21926:B1 *21946:B 0.00068023 +13 *462:22 *2947:20 1.75318e-05 +14 *464:20 *2947:20 5.07629e-06 +15 *464:26 *2947:20 0.000107304 +16 *464:31 *2947:20 0.000119182 +17 *1435:42 *21946:B 1.5613e-05 +18 *1443:9 *21946:B 0.000120282 +19 *1454:35 *2947:20 0.000834242 +20 *1461:61 *2947:20 0.000586997 +21 *1506:16 *2947:12 8.45161e-05 +22 *1506:16 *2947:20 6.1479e-05 +23 *1511:50 *2947:12 0.000422421 +24 *1515:133 *21946:B 0.000158589 +25 *1688:118 *2947:12 0.000523965 +26 *1691:17 *21946:B 0.000169745 +27 *1714:42 *21946:B 5.17273e-05 +28 *1735:67 *21946:B 0.000112551 +29 *1739:8 *2947:12 0.000137876 +30 *1739:22 *2947:12 6.22423e-05 +31 *1739:22 *2947:20 0.000392136 +32 *1739:41 *2947:20 0.000128035 +33 *1792:97 *21946:B 0.000107496 +34 *2003:71 *2947:20 0.000422097 +35 *2502:11 *2947:12 1.91246e-05 +36 *2578:8 *2947:12 0.000322607 +37 *2578:15 *2947:12 0.000102378 +38 *2753:49 *2947:12 9.12416e-06 +*RES +1 *21933:X *2947:12 48.7158 +2 *2947:12 *2947:20 45.2314 +3 *2947:20 *21946:B 38.9114 +*END + +*D_NET *2948 0.0303945 +*CONN +*I *21939:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21934:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21939:A1 3.16459e-05 +2 *21934:Y 0.000861839 +3 *2948:27 0.00104231 +4 *2948:25 0.00204876 +5 *2948:15 0.00241454 +6 *2948:14 0.00217434 +7 *2948:7 0.00165973 +8 *2948:14 *23030:A1 0.000134861 +9 *2948:15 *24662:CLK 2.16355e-05 +10 *2948:25 *24632:RESET_B 4.77168e-06 +11 *2948:25 *24662:CLK 6.7671e-06 +12 *2948:25 *5869:174 1.40953e-05 +13 *2948:27 *20688:B1 6.50727e-05 +14 *2948:27 *21939:B1 2.79977e-05 +15 *2948:27 *21939:C1 1.62258e-05 +16 *2948:27 *24632:RESET_B 0.000534066 +17 *19763:B1 *2948:7 0.000770814 +18 *19766:A2 *2948:7 0.000178863 +19 *19766:B2 *2948:7 0.000585562 +20 *20369:A *2948:25 1.41291e-05 +21 *20688:A2 *2948:27 8.41713e-05 +22 *20688:B2 *2948:27 6.08467e-05 +23 *21120:A *2948:15 0.00216288 +24 *21939:B2 *21939:A1 6.56775e-05 +25 *21939:B2 *2948:27 0.000125498 +26 *24662:D *2948:25 0.00010051 +27 *24833:RESET_B *2948:14 9.22306e-05 +28 *657:88 *2948:14 0.000105692 +29 *1427:47 *2948:15 8.99798e-05 +30 *1442:55 *2948:25 0.00017747 +31 *1442:55 *2948:27 9.97714e-06 +32 *1697:48 *2948:14 0.00105193 +33 *1706:31 *2948:27 0.00103265 +34 *1714:42 *2948:27 1.21551e-05 +35 *1715:29 *2948:27 0.00152707 +36 *1734:27 *2948:27 0.00397148 +37 *1763:26 *2948:14 0.00103691 +38 *1829:46 *2948:14 0.000203346 +39 *1936:5 *2948:25 5.08751e-05 +40 *1936:9 *2948:25 0.000762297 +41 *2036:55 *2948:27 0.000213725 +42 *2398:10 *2948:14 0.000101133 +43 *2502:23 *2948:14 2.71397e-05 +44 *2603:20 *2948:15 0.000107803 +45 *2877:11 *2948:15 0.00375754 +46 *2877:31 *2948:15 0.000482378 +47 *2895:7 *2948:27 0.000373081 +*RES +1 *21934:Y *2948:7 36.1021 +2 *2948:7 *2948:14 38.3797 +3 *2948:14 *2948:15 56.7384 +4 *2948:15 *2948:25 21.8827 +5 *2948:25 *2948:27 53.1334 +6 *2948:27 *21939:A1 10.5513 +*END + +*D_NET *2949 0.0894034 +*CONN +*I *22268:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22604:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21939:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21935:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22268:A1 0.000537077 +2 *22604:A1 0.000290118 +3 *21939:B1 0.000613253 +4 *21935:Y 0 +5 *2949:71 0.00640984 +6 *2949:64 0.0069856 +7 *2949:62 0.00144072 +8 *2949:60 0.0041081 +9 *2949:59 0.00407033 +10 *2949:57 0.00307807 +11 *2949:55 0.00449421 +12 *2949:32 0.00187408 +13 *2949:13 0.00436306 +14 *2949:10 0.00372948 +15 *2949:4 0.00204338 +16 *21939:B1 *22446:B1 0.000323491 +17 *22268:A1 *22271:C 1.4893e-05 +18 *22268:A1 *3026:18 0.000339084 +19 *22268:A1 *3044:33 6.08467e-05 +20 *22604:A1 *3243:41 6.52144e-05 +21 *22604:A1 *5457:13 0.000126151 +22 *2949:10 *20519:A1 1.82679e-05 +23 *2949:10 *5859:258 0.000538027 +24 *2949:10 *5859:262 0.00051464 +25 *2949:13 *22004:B1 0.000407867 +26 *2949:13 *22063:B 6.36477e-05 +27 *2949:13 *24900:CLK 4.65396e-05 +28 *2949:13 *2975:5 0.000400335 +29 *2949:13 *2975:33 0.000536734 +30 *2949:13 *5870:712 4.31539e-05 +31 *2949:32 *21963:B1 0.000831126 +32 *2949:32 *21968:A1 0.000200794 +33 *2949:32 *21968:B1 4.30645e-05 +34 *2949:32 *21969:B1 0.000326506 +35 *2949:32 *21969:C1 0.000502893 +36 *2949:32 *22004:A1 4.06069e-05 +37 *2949:32 *22004:B1 0.000200794 +38 *2949:32 *2975:33 0.000227987 +39 *2949:32 *3018:7 6.50586e-05 +40 *2949:55 *20519:A1 7.68538e-06 +41 *2949:55 *24561:RESET_B 2.66777e-05 +42 *2949:55 *24561:CLK 0.000190988 +43 *2949:55 *3467:7 0.00100104 +44 *2949:55 *5259:17 3.79844e-06 +45 *2949:55 *5260:10 0.000213586 +46 *2949:55 *5864:68 8.07316e-06 +47 *2949:57 *5857:196 0.00251177 +48 *2949:57 *5944:23 0.00109466 +49 *2949:60 *20312:A1 3.64956e-05 +50 *2949:60 *20901:B1 3.52414e-05 +51 *2949:60 *21489:A 0.000143032 +52 *2949:60 *21756:A 0.000141356 +53 *2949:60 *24019:A0 0.000557749 +54 *2949:60 *24698:CLK 6.64609e-05 +55 *2949:60 *3257:74 0.000618553 +56 *2949:60 *4813:31 0.000116986 +57 *2949:60 *4832:77 0.00137273 +58 *2949:60 *4832:84 0.0006755 +59 *2949:60 *4843:445 0.0011781 +60 *2949:60 *4843:457 0.000431159 +61 *2949:60 *4866:70 0.00177674 +62 *2949:60 *4909:10 1.5254e-05 +63 *2949:60 *4909:16 4.06401e-05 +64 *2949:60 *4909:91 8.50247e-05 +65 *2949:60 *4909:101 0.000125485 +66 *2949:60 *4911:39 4.33979e-05 +67 *2949:60 *4911:43 0.000148129 +68 *2949:60 *5526:21 0.000259633 +69 *2949:60 *5551:8 0.00014663 +70 *2949:60 *5857:168 0.00024579 +71 *2949:60 *5859:408 0.000385694 +72 *2949:60 *5864:441 6.28168e-05 +73 *2949:64 *3257:74 0.000101148 +74 *2949:64 *4819:14 0.000234747 +75 *2949:64 *4827:99 0.000828402 +76 *2949:64 *4827:100 2.86037e-05 +77 *2949:71 *21630:B1 2.40527e-05 +78 *2949:71 *22396:B2 0.000223601 +79 *2949:71 *22399:B 5.76996e-05 +80 *2949:71 *22724:D 0.00037669 +81 *2949:71 *3076:23 0.000324674 +82 *2949:71 *3122:115 8.18567e-05 +83 *2949:71 *3153:137 0.000109247 +84 *2949:71 *3632:19 0.000158469 +85 *2949:71 *4813:110 8.83308e-05 +86 *2949:71 *4818:23 7.43006e-06 +87 *2949:71 *5457:13 0.000177419 +88 *19617:A2 *2949:13 6.10199e-05 +89 *20139:B2 *2949:60 2.82537e-05 +90 *20254:B2 *2949:60 0.000303574 +91 *20256:B2 *2949:60 2.36813e-05 +92 *21235:A2 *22604:A1 3.51771e-05 +93 *21235:A2 *2949:71 0.000172494 +94 *21235:B1 *2949:71 0.000939217 +95 *21259:B2 *2949:71 0.000101889 +96 *21294:B2 *2949:71 5.49494e-05 +97 *21864:B1 *2949:13 0.000207266 +98 *21926:B1 *21939:B1 9.82896e-06 +99 *21939:A2 *21939:B1 1.13048e-05 +100 *21939:B2 *21939:B1 8.16614e-06 +101 *21946:B *21939:B1 0.000183446 +102 *21963:B2 *2949:32 0.000107169 +103 *21968:A2 *2949:32 2.16355e-05 +104 *21968:B2 *2949:32 1.72399e-05 +105 *22399:A *2949:71 9.1549e-05 +106 *24806:D *2949:60 0.000115448 +107 *500:41 *2949:64 4.40272e-05 +108 *1435:42 *21939:B1 0.000794977 +109 *1443:9 *21939:B1 0.000315541 +110 *1443:18 *21939:B1 0.000472964 +111 *1496:68 *2949:32 0.000264586 +112 *1510:64 *2949:32 8.62625e-06 +113 *1537:267 *2949:32 0.00026994 +114 *1551:17 *2949:32 6.50586e-05 +115 *1563:46 *2949:32 3.10924e-05 +116 *1564:171 *2949:71 0.000149822 +117 *1639:99 *2949:32 0.000251655 +118 *1641:26 *2949:32 9.60216e-05 +119 *1652:52 *2949:60 0.000430853 +120 *1652:52 *2949:64 0.000245727 +121 *1652:65 *2949:71 0.00018545 +122 *1677:64 *21939:B1 0.000294093 +123 *1714:42 *21939:B1 3.75221e-05 +124 *1740:98 *21939:B1 0.000316484 +125 *1800:119 *22268:A1 5.01835e-05 +126 *1801:17 *2949:32 0.000164815 +127 *1901:30 *2949:60 0 +128 *2028:16 *2949:71 6.28031e-05 +129 *2088:11 *2949:57 0.000453443 +130 *2093:136 *2949:71 0.000153427 +131 *2099:5 *2949:57 3.95516e-05 +132 *2099:9 *2949:55 0.000217951 +133 *2099:9 *2949:57 0.000173861 +134 *2142:28 *2949:60 0.000855903 +135 *2142:37 *2949:60 0.000135426 +136 *2142:58 *2949:60 0.000377487 +137 *2171:33 *2949:64 0.000538207 +138 *2171:49 *2949:64 0.000205879 +139 *2243:22 *2949:71 0.000182008 +140 *2252:43 *2949:71 0.000104754 +141 *2258:62 *2949:71 0.000457152 +142 *2282:53 *2949:71 0.000512791 +143 *2297:86 *2949:71 0.000104754 +144 *2300:33 *2949:60 0.00225346 +145 *2367:79 *2949:71 9.00714e-07 +146 *2393:22 *2949:10 0.00106644 +147 *2397:69 *2949:60 0.00120994 +148 *2404:60 *2949:71 0.000341823 +149 *2415:64 *2949:71 0.000244966 +150 *2441:66 *2949:60 0.00022163 +151 *2446:145 *2949:71 0.00176744 +152 *2467:87 *2949:71 4.11026e-05 +153 *2503:31 *2949:71 0.000222506 +154 *2596:11 *2949:13 2.67788e-05 +155 *2613:29 *2949:71 1.75102e-05 +156 *2636:14 *2949:60 6.87503e-05 +157 *2777:17 *22604:A1 0.000320036 +158 *2777:17 *2949:71 0.00105152 +159 *2777:28 *22604:A1 0.000160624 +160 *2881:51 *22268:A1 5.01835e-05 +161 *2884:17 *22604:A1 6.9694e-05 +162 *2924:49 *2949:64 0.00174397 +163 *2924:51 *2949:60 5.55593e-05 +164 *2924:51 *2949:64 0.000318179 +165 *2948:27 *21939:B1 2.79977e-05 +*RES +1 *21935:Y *2949:4 9.24915 +2 *2949:4 *2949:10 31.4903 +3 *2949:10 *2949:13 46.7796 +4 *2949:13 *2949:32 45.9649 +5 *2949:32 *21939:B1 41.9193 +6 *2949:4 *2949:55 29.7798 +7 *2949:55 *2949:57 51.7469 +8 *2949:57 *2949:59 4.5 +9 *2949:59 *2949:60 169.179 +10 *2949:60 *2949:62 0.732798 +11 *2949:62 *2949:64 52.2851 +12 *2949:64 *2949:71 17.2069 +13 *2949:71 *22604:A1 19.0653 +14 *2949:71 *22268:A1 28.0206 +*END + +*D_NET *2950 0.130334 +*CONN +*I *21938:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6102:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22258:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21936:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *21938:A1 2.29696e-05 +2 *6102:DIODE 9.94978e-05 +3 *22258:A1 3.50419e-05 +4 *21936:Y 0.000730303 +5 *2950:127 0.000122467 +6 *2950:125 0.00779144 +7 *2950:96 0.00986481 +8 *2950:92 0.00392636 +9 *2950:76 0.0135102 +10 *2950:34 0.0123849 +11 *2950:22 0.00228779 +12 *2950:20 0.00265708 +13 *2950:13 0.0014335 +14 *2950:9 0.00110194 +15 *6102:DIODE *21938:B1 0.00010603 +16 *22258:A1 *22258:B2 6.50727e-05 +17 *22258:A1 *3131:183 5.04829e-06 +18 *2950:9 *24586:SET_B 0.000148017 +19 *2950:9 *25364:A 5.23435e-05 +20 *2950:9 *3033:16 0.000123582 +21 *2950:13 *20553:A1 4.5355e-05 +22 *2950:13 *21545:A 2.65667e-05 +23 *2950:13 *24586:SET_B 1.84293e-05 +24 *2950:13 *25368:A 2.16355e-05 +25 *2950:13 *4843:35 0.00036437 +26 *2950:13 *5246:8 1.41976e-05 +27 *2950:20 *2963:20 0 +28 *2950:20 *4840:51 0.000184993 +29 *2950:20 *4840:55 0.00166216 +30 *2950:20 *4841:112 0.000144546 +31 *2950:20 *5013:9 3.55034e-05 +32 *2950:20 *5210:8 0 +33 *2950:20 *5853:15 0 +34 *2950:22 *20394:A1 3.84518e-05 +35 *2950:22 *20786:A1 0.00020485 +36 *2950:22 *22043:A 0.000137921 +37 *2950:22 *24386:SET_B 9.60216e-05 +38 *2950:22 *24386:CLK 0.000534392 +39 *2950:22 *24390:RESET_B 0.000359932 +40 *2950:22 *24390:CLK 0.000323418 +41 *2950:22 *2963:20 0 +42 *2950:22 *2963:22 0 +43 *2950:22 *4888:189 0.000187997 +44 *2950:22 *4889:183 1.9101e-05 +45 *2950:34 *24950:A 6.11359e-06 +46 *2950:34 *3131:183 0.000195409 +47 *2950:76 *22024:A1 0.00388304 +48 *2950:76 *3036:31 6.21488e-06 +49 *2950:76 *3146:221 0.000159594 +50 *2950:76 *4830:49 0.000119815 +51 *2950:76 *4830:55 0.00159054 +52 *2950:76 *4833:130 6.79533e-05 +53 *2950:76 *4843:345 8.22964e-06 +54 *2950:76 *5857:105 0.000837183 +55 *2950:76 *5857:113 0.000422814 +56 *2950:76 *5861:298 0.00154643 +57 *2950:76 *5861:363 2.31669e-05 +58 *2950:92 *22645:B1 0.000752916 +59 *2950:92 *3041:31 8.20833e-07 +60 *2950:92 *3076:28 0 +61 *2950:92 *3076:32 0 +62 *2950:92 *4810:29 0.000112159 +63 *2950:92 *5862:146 1.1573e-05 +64 *2950:96 *3041:31 0.00140374 +65 *2950:96 *3076:32 0 +66 *2950:96 *3091:52 0.00010511 +67 *2950:96 *3153:26 0.000513138 +68 *2950:96 *5476:69 0.000167187 +69 *2950:125 *21938:B1 3.52699e-05 +70 *2950:125 *22431:A1 0.000126204 +71 *2950:125 *3002:8 8.56016e-05 +72 *2950:125 *3003:24 9.01968e-05 +73 *2950:125 *3175:43 0.00222971 +74 *2950:125 *3185:53 0.000347718 +75 *2950:125 *3377:20 3.94661e-05 +76 *2950:125 *3432:31 7.25491e-05 +77 *2950:125 *3498:75 0.000328266 +78 *20162:B *2950:96 0.000782139 +79 *20391:A2 *2950:22 0.000158338 +80 *20391:B2 *2950:22 7.77309e-06 +81 *20398:B2 *2950:20 0.000299871 +82 *20401:A2 *2950:20 1.25301e-05 +83 *20548:A *2950:20 0 +84 *21085:A *2950:76 0.000166314 +85 *21194:B1 *2950:92 5.87774e-05 +86 *21199:B2 *2950:76 0.000574864 +87 *21927:B1 *2950:125 3.24493e-05 +88 *21938:B2 *6102:DIODE 7.08059e-05 +89 *22023:A2 *2950:76 0.000513062 +90 *22151:A *2950:125 3.29488e-05 +91 *22391:B1 *2950:76 0.000359753 +92 *24641:D *2950:20 0.000127179 +93 *24648:D *2950:22 0.000127179 +94 *489:78 *2950:76 0.00038758 +95 *504:14 *2950:92 1.87103e-05 +96 *504:14 *2950:96 0.00104745 +97 *504:16 *2950:96 0.00027254 +98 *516:19 *2950:125 0.00198467 +99 *522:17 *2950:125 0.00118961 +100 *541:28 *2950:125 0.00419633 +101 *1418:129 *2950:76 0.00103826 +102 *1419:221 *2950:96 0.000103557 +103 *1419:239 *2950:96 8.50271e-05 +104 *1424:88 *6102:DIODE 0.000301209 +105 *1424:88 *21938:A1 1.65872e-05 +106 *1439:438 *2950:34 8.11463e-06 +107 *1443:88 *2950:76 0 +108 *1448:52 *2950:125 5.18863e-05 +109 *1454:38 *2950:125 0 +110 *1545:54 *2950:92 0.000876256 +111 *1545:54 *2950:96 0.000678703 +112 *1547:66 *2950:76 0.00263519 +113 *1564:37 *2950:125 9.04347e-05 +114 *1587:137 *2950:125 0.0004881 +115 *1619:16 *2950:20 0.000111623 +116 *1619:16 *2950:22 1.16596e-05 +117 *1629:55 *2950:22 1.9101e-05 +118 *1629:55 *2950:34 6.50727e-05 +119 *1631:90 *2950:76 0.00405624 +120 *1637:42 *2950:125 0.00041021 +121 *1660:66 *2950:125 1.59941e-05 +122 *1663:15 *2950:96 0.000781742 +123 *1663:15 *2950:125 0.00700063 +124 *1663:21 *2950:76 0.00572156 +125 *1663:21 *2950:92 0.00106616 +126 *1688:91 *2950:76 0.000248963 +127 *1688:117 *2950:125 0 +128 *1735:52 *6102:DIODE 1.72202e-05 +129 *1735:67 *6102:DIODE 5.51483e-06 +130 *1735:67 *21938:A1 2.16355e-05 +131 *1742:93 *2950:76 4.30099e-05 +132 *1802:30 *2950:125 0 +133 *1802:80 *2950:125 0.000678948 +134 *1804:17 *2950:125 0.00049322 +135 *1804:64 *2950:125 0.000160303 +136 *1942:88 *2950:22 0.00034065 +137 *1943:8 *2950:22 2.19276e-05 +138 *1943:12 *2950:22 0.000357569 +139 *1943:16 *2950:22 7.86847e-05 +140 *1943:16 *2950:34 0.000167439 +141 *1945:8 *2950:20 3.1218e-05 +142 *1946:14 *2950:20 0 +143 *1946:20 *2950:20 0 +144 *1995:30 *2950:9 0.000501704 +145 *2076:20 *2950:22 7.77309e-06 +146 *2076:29 *2950:22 8.50941e-05 +147 *2076:88 *2950:22 8.01741e-05 +148 *2076:103 *2950:20 1.3813e-05 +149 *2076:103 *2950:22 0.000287433 +150 *2077:8 *2950:20 7.50872e-05 +151 *2077:67 *2950:20 0.000111311 +152 *2100:55 *2950:125 0.000129666 +153 *2115:139 *2950:125 3.35725e-05 +154 *2262:43 *2950:76 0.00166953 +155 *2262:58 *2950:76 0.000110846 +156 *2291:61 *2950:76 6.3271e-05 +157 *2298:16 *22258:A1 2.57465e-06 +158 *2298:16 *2950:34 0.000587867 +159 *2300:70 *2950:92 1.59557e-05 +160 *2367:10 *2950:20 8.68133e-05 +161 *2375:28 *2950:76 0.000175733 +162 *2380:60 *2950:76 4.13482e-05 +163 *2389:96 *2950:76 0.000463271 +164 *2428:117 *2950:125 8.56518e-05 +165 *2459:29 *2950:92 3.73224e-05 +166 *2474:14 *2950:92 2.15853e-05 +167 *2503:31 *2950:76 6.07279e-05 +168 *2520:21 *2950:76 0 +169 *2541:23 *2950:76 0.000151546 +170 *2551:8 *2950:22 0.00012653 +171 *2551:8 *2950:34 0.000260051 +172 *2602:7 *2950:13 0.000652984 +173 *2685:8 *2950:9 0.000656637 +174 *2697:8 *22258:A1 2.01653e-05 +175 *2697:8 *2950:22 0.000329405 +176 *2697:8 *2950:34 0.00020653 +177 *2697:65 *2950:125 1.51454e-05 +178 *2738:14 *2950:76 0 +179 *2738:14 *2950:92 0 +180 *2766:6 *2950:22 0.000229627 +181 *2801:19 *2950:125 7.13495e-05 +182 *2805:22 *2950:125 9.29815e-06 +183 *2818:12 *2950:9 0.000413181 +184 *2896:72 *2950:76 0.00452287 +185 *2896:72 *2950:92 0.000823929 +186 *2906:74 *2950:96 0.000199643 +*RES +1 *21936:Y *2950:9 48.0665 +2 *2950:9 *2950:13 16.8701 +3 *2950:13 *2950:20 40.6336 +4 *2950:20 *2950:22 61.4207 +5 *2950:22 *2950:34 31.1261 +6 *2950:34 *22258:A1 14.8512 +7 *2950:34 *2950:76 49.2607 +8 *2950:76 *2950:92 34.5717 +9 *2950:92 *2950:96 47.2019 +10 *2950:96 *2950:125 47.5619 +11 *2950:125 *2950:127 4.5 +12 *2950:127 *6102:DIODE 13.3243 +13 *2950:127 *21938:A1 9.97254 +*END + +*D_NET *2951 0.0377633 +*CONN +*I *21938:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21937:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21938:B1 0.000262724 +2 *21937:Y 0.00178724 +3 *2951:28 0.00396913 +4 *2951:27 0.00370641 +5 *2951:25 0.00162652 +6 *2951:23 0.00164471 +7 *2951:21 0.001553 +8 *2951:19 0.00332205 +9 *21938:B1 *22446:B1 0.000123931 +10 *2951:19 *20068:A 0 +11 *2951:19 *21098:C 4.65865e-05 +12 *2951:19 *21937:A 0.000118166 +13 *2951:19 *2955:17 1.61631e-05 +14 *2951:19 *2955:29 0.000502526 +15 *2951:19 *5446:20 7.32832e-05 +16 *2951:21 *2955:17 0.000506677 +17 *2951:21 *5804:12 0.000203322 +18 *2951:21 *5852:29 0.000727827 +19 *2951:21 *5860:163 0.000196149 +20 *2951:25 *4845:463 5.04829e-06 +21 *2951:25 *5852:29 0.00275435 +22 *2951:25 *5858:415 0.00191129 +23 *2951:28 *19882:A 2.66039e-05 +24 *2951:28 *20580:A1 0.000160384 +25 *2951:28 *21083:A1 0 +26 *2951:28 *21084:A1 4.34944e-05 +27 *2951:28 *24672:CLK 0 +28 *2951:28 *3002:8 0.000131689 +29 *2951:28 *3003:24 0.000531787 +30 *2951:28 *4845:466 0 +31 *2951:28 *4876:65 0 +32 *2951:28 *4921:14 0.000353374 +33 *2951:28 *4921:23 4.23528e-05 +34 *2951:28 *5858:415 0 +35 *2951:28 *5860:401 3.58178e-05 +36 *2951:28 *5870:253 0 +37 *2951:28 *5870:264 0 +38 *6102:DIODE *21938:B1 0.00010603 +39 *19739:B *2951:28 3.88386e-05 +40 *19846:D *2951:28 5.99876e-05 +41 *19936:A1 *2951:28 0 +42 *20072:A2 *2951:19 3.24899e-05 +43 *20072:B1 *2951:19 9.82896e-06 +44 *20072:B2 *2951:19 0.000459901 +45 *20119:A *2951:19 6.92705e-05 +46 *21084:B2 *2951:28 3.33173e-06 +47 *21099:B1 *2951:19 0.000124674 +48 *21162:A *2951:21 2.23259e-05 +49 *21938:B2 *21938:B1 4.62432e-06 +50 *24218:D *2951:28 0.000175877 +51 *24603:D *2951:25 0.000428134 +52 *24815:D *2951:19 0.000356497 +53 *466:44 *2951:28 8.35615e-06 +54 *466:52 *2951:28 0.00016609 +55 *468:54 *2951:28 1.66771e-05 +56 *1436:8 *2951:28 7.04908e-05 +57 *1436:12 *2951:28 0.000151755 +58 *1436:40 *2951:28 0.000112411 +59 *1442:14 *2951:28 8.66526e-05 +60 *1448:52 *21938:B1 0.000109522 +61 *1454:35 *21938:B1 6.01477e-05 +62 *1461:159 *2951:28 0.000287649 +63 *1472:12 *2951:28 0 +64 *1472:14 *2951:28 0 +65 *1485:8 *2951:28 0.000641841 +66 *1485:18 *2951:28 0.000226017 +67 *1485:230 *2951:28 3.55859e-05 +68 *1501:10 *2951:28 0.000723233 +69 *1509:28 *2951:21 7.92757e-06 +70 *1515:130 *2951:28 4.29817e-05 +71 *1516:17 *2951:28 7.08723e-06 +72 *1587:16 *2951:28 2.53174e-05 +73 *1587:23 *2951:28 0.000311814 +74 *1604:11 *2951:28 0.000301739 +75 *1698:129 *2951:28 2.39689e-05 +76 *1735:52 *21938:B1 3.88662e-05 +77 *1739:41 *21938:B1 0 +78 *1740:98 *2951:28 0.000152934 +79 *1804:17 *21938:B1 9.493e-05 +80 *1816:7 *2951:19 0.00027103 +81 *1818:15 *2951:21 0.0005768 +82 *2004:10 *2951:28 5.53226e-05 +83 *2205:8 *2951:28 0.0001403 +84 *2205:12 *2951:28 0.000503991 +85 *2230:18 *2951:21 1.80122e-05 +86 *2446:15 *2951:28 0 +87 *2753:49 *2951:28 0.000155364 +88 *2808:15 *2951:21 0.000266832 +89 *2808:15 *2951:23 1.09551e-05 +90 *2808:15 *2951:25 1.41853e-05 +91 *2808:19 *2951:25 0.00373681 +92 *2950:125 *21938:B1 3.52699e-05 +*RES +1 *21937:Y *2951:19 47.4445 +2 *2951:19 *2951:21 49.5285 +3 *2951:21 *2951:23 0.578717 +4 *2951:23 *2951:25 66.1666 +5 *2951:25 *2951:27 4.5 +6 *2951:27 *2951:28 102.946 +7 *2951:28 *21938:B1 25.5977 +*END + +*D_NET *2952 0.000408448 +*CONN +*I *21939:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21938:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21939:C1 0.00010558 +2 *21938:X 0.00010558 +3 *21939:C1 *22446:B1 0.000123648 +4 *1706:31 *21939:C1 3.88213e-05 +5 *1714:42 *21939:C1 2.75742e-06 +6 *1739:46 *21939:C1 1.58355e-05 +7 *2948:27 *21939:C1 1.62258e-05 +*RES +1 *21938:X *21939:C1 30.3537 +*END + +*D_NET *2953 0.00142666 +*CONN +*I *21946:C I *D sky130_fd_sc_hd__and4_1 +*I *21939:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21946:C 0.00018254 +2 *21939:X 0.00018254 +3 *21946:C *6200:DIODE 2.15348e-05 +4 *21939:B2 *21946:C 5.481e-05 +5 *21946:B *21946:C 0.000417209 +6 *1711:87 *21946:C 0.000164843 +7 *1714:42 *21946:C 6.50683e-06 +8 *1734:27 *21946:C 0.000396673 +*RES +1 *21939:X *21946:C 26.4315 +*END + +*D_NET *2954 0.0538906 +*CONN +*I *22614:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22269:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21945:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *21940:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22614:A1 3.24415e-05 +2 *22269:A1 0.00116455 +3 *21945:A1 0.000166031 +4 *21940:Y 0.000533651 +5 *2954:74 0.0023625 +6 *2954:44 0.00513799 +7 *2954:28 0.00292849 +8 *2954:27 0.00530262 +9 *2954:21 0.00583032 +10 *2954:12 0.00218704 +11 *2954:8 0.00107391 +12 *22269:A1 *22236:A2 0.000299797 +13 *22269:A1 *22236:B2 0.000118117 +14 *22269:A1 *22269:B1 0.000182245 +15 *22269:A1 *22269:B2 0.000335061 +16 *22269:A1 *22270:C1 6.08467e-05 +17 *22269:A1 *5458:13 7.91786e-05 +18 *22614:A1 *3519:179 3.82228e-05 +19 *22614:A1 *3537:136 0.000111722 +20 *2954:8 *24402:RESET_B 7.58217e-06 +21 *2954:8 *4838:40 0.000392089 +22 *2954:8 *4842:36 0.000113891 +23 *2954:8 *5868:379 8.92568e-06 +24 *2954:8 *5868:397 0.000134223 +25 *2954:12 *4838:40 0.000114157 +26 *2954:12 *4838:47 0.000337639 +27 *2954:12 *4842:36 0.000230557 +28 *2954:27 *2963:57 0.000105571 +29 *2954:27 *3131:158 0.000247381 +30 *2954:27 *5855:25 0.000275653 +31 *2954:28 *3106:206 0.000350957 +32 *2954:28 *3527:162 0.000340658 +33 *2954:28 *5908:95 0 +34 *2954:44 *2987:50 0 +35 *2954:44 *3122:198 0.000500096 +36 *2954:44 *3562:16 3.72256e-05 +37 *2954:74 *22469:B 0.000363667 +38 *2954:74 *22470:B 5.59343e-05 +39 *2954:74 *22763:B2 0.000310909 +40 *2954:74 *22763:C1 8.57859e-06 +41 *2954:74 *22773:A2 0.000120765 +42 *2954:74 *22778:B 0.000118738 +43 *2954:74 *3406:29 0.000274475 +44 *19790:A1 *22269:A1 5.01835e-05 +45 *19790:B1 *22269:A1 4.09379e-05 +46 *19790:B1 *2954:74 0.000895604 +47 *19801:A1 *22269:A1 1.27224e-05 +48 *19810:B1 *22269:A1 0.000547858 +49 *19810:B1 *2954:74 0.000207143 +50 *19810:C1 *2954:74 2.26985e-05 +51 *19822:C *2954:74 5.36085e-05 +52 *20040:B1 *2954:28 0.000576461 +53 *20040:B1 *2954:44 1.5714e-05 +54 *21753:C1 *2954:28 0 +55 *21832:A1 *2954:44 0.000573667 +56 *21832:A1 *2954:74 5.85256e-05 +57 *21838:B1 *2954:74 0.0001733 +58 *22472:B1 *2954:27 0 +59 *22565:B1 *2954:44 0.000201271 +60 *22726:B1 *2954:74 2.19922e-05 +61 *22773:A1 *2954:74 6.18138e-05 +62 *24400:D *2954:8 0.000195139 +63 *24402:D *2954:8 0.000105995 +64 *24402:D *2954:12 8.48277e-05 +65 *657:218 *2954:21 0.000311329 +66 *1547:114 *22269:A1 5.60458e-05 +67 *1565:17 *2954:74 7.82065e-06 +68 *1583:113 *22269:A1 0.000177764 +69 *1583:113 *2954:44 1.9031e-05 +70 *1586:33 *2954:74 0.000228041 +71 *1586:111 *2954:28 4.76248e-05 +72 *1593:26 *2954:28 1.51658e-05 +73 *1593:31 *2954:28 3.0769e-05 +74 *1596:65 *2954:44 0.000106086 +75 *1602:37 *22269:A1 9.18679e-06 +76 *1605:29 *2954:74 0.000115082 +77 *1641:158 *22269:A1 6.08467e-05 +78 *1643:24 *2954:44 0.00052359 +79 *1643:28 *2954:44 0.00103216 +80 *1658:43 *21945:A1 0.000784938 +81 *1658:73 *2954:27 0.000103853 +82 *1688:43 *2954:27 0.000168463 +83 *1707:39 *2954:27 3.3959e-05 +84 *1724:48 *2954:27 3.46242e-06 +85 *1771:104 *2954:28 0.000621876 +86 *1771:104 *2954:44 1.5714e-05 +87 *1773:67 *21945:A1 0.000691941 +88 *1783:38 *2954:28 2.59307e-05 +89 *1783:128 *2954:27 3.30319e-05 +90 *1788:115 *2954:44 0.000848085 +91 *1789:82 *2954:28 0.000239473 +92 *1789:84 *2954:28 0.000927305 +93 *1884:201 *2954:21 0.000695193 +94 *2057:23 *2954:12 0.000427824 +95 *2058:14 *2954:12 6.5713e-05 +96 *2117:143 *2954:44 4.36562e-05 +97 *2288:14 *2954:8 0.000109084 +98 *2298:8 *2954:21 0.000571275 +99 *2539:12 *22269:A1 0.000409969 +100 *2551:18 *2954:28 0.000117092 +101 *2552:14 *2954:21 0.000571275 +102 *2592:6 *2954:12 0 +103 *2604:6 *2954:12 0.00101639 +104 *2685:25 *2954:27 0.000152212 +105 *2764:48 *2954:27 0.000191604 +106 *2771:33 *2954:74 0.000303033 +107 *2778:28 *2954:74 0.000129091 +108 *2780:25 *2954:44 2.53397e-05 +109 *2782:10 *2954:44 1.05862e-05 +110 *2783:56 *2954:74 7.0628e-06 +111 *2807:39 *2954:74 1.91246e-05 +112 *2818:23 *2954:21 0 +113 *2843:51 *2954:44 4.39307e-05 +114 *2847:8 *2954:44 6.84784e-06 +115 *2847:8 *2954:74 1.46741e-05 +116 *2850:42 *2954:28 3.08977e-05 +117 *2853:22 *2954:21 0.000330244 +118 *2853:22 *2954:27 0.00491253 +119 *2918:16 *2954:27 0.000153517 +*RES +1 *21940:Y *2954:8 32.6675 +2 *2954:8 *2954:12 29.8426 +3 *2954:12 *2954:21 44.6319 +4 *2954:21 *2954:27 16.2366 +5 *2954:27 *2954:28 38.1666 +6 *2954:28 *2954:44 45.3094 +7 *2954:44 *2954:74 43.0472 +8 *2954:74 *21945:A1 18.2916 +9 *2954:44 *22269:A1 32.3477 +10 *2954:28 *22614:A1 15.0271 +*END + +*D_NET *2955 0.088511 +*CONN +*I *22429:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21945:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22753:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21941:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22429:B1 0.000325355 +2 *21945:B1 0.00270601 +3 *22753:B1 0.000276428 +4 *21941:Y 0 +5 *2955:48 0.00405243 +6 *2955:43 0.00619704 +7 *2955:40 0.00635762 +8 *2955:35 0.00366439 +9 *2955:30 0.00351355 +10 *2955:29 0.00278457 +11 *2955:17 0.00283422 +12 *2955:5 0.00691076 +13 *2955:4 0.00545602 +14 *21945:B1 *2959:35 0.0017173 +15 *21945:B1 *2964:59 8.79152e-06 +16 *21945:B1 *3162:102 5.12937e-05 +17 *21945:B1 *3166:36 0.00142449 +18 *21945:B1 *3175:57 0.000262424 +19 *21945:B1 *3421:32 0.00244363 +20 *21945:B1 *3519:130 0.000709118 +21 *21945:B1 *3519:159 0.000654726 +22 *21945:B1 *3537:88 0.000461025 +23 *21945:B1 *3539:70 6.03122e-05 +24 *21945:B1 *3539:94 0.000218331 +25 *21945:B1 *3699:23 9.45955e-05 +26 *22429:B1 *22429:B2 6.08467e-05 +27 *22429:B1 *3463:12 0.000195368 +28 *22429:B1 *5674:45 0.000494493 +29 *22753:B1 *22753:B2 8.65015e-05 +30 *22753:B1 *3157:62 1.5714e-05 +31 *22753:B1 *3511:109 2.26985e-05 +32 *2955:5 *20222:A1 8.82839e-05 +33 *2955:5 *24758:CLK 4.87439e-05 +34 *2955:5 *24845:RESET_B 3.22817e-05 +35 *2955:5 *24848:RESET_B 1.01044e-05 +36 *2955:5 *24848:CLK 0.00023294 +37 *2955:5 *24878:CLK 0.000377813 +38 *2955:5 *4929:25 0.000201198 +39 *2955:5 *5744:7 0.000110684 +40 *2955:5 *5744:20 2.16355e-05 +41 *2955:5 *5756:8 6.08467e-05 +42 *2955:5 *5870:126 0.000430175 +43 *2955:17 *3060:21 0.000659414 +44 *2955:17 *4937:8 5.50867e-05 +45 *2955:17 *5473:69 7.50722e-05 +46 *2955:17 *5477:26 7.14746e-05 +47 *2955:17 *5485:20 8.01741e-05 +48 *2955:29 *21937:A 8.02034e-05 +49 *2955:29 *3060:27 9.21465e-06 +50 *2955:29 *5446:20 0.000213725 +51 *2955:29 *5482:69 0 +52 *2955:30 *3060:28 0.00100281 +53 *2955:30 *4867:51 0 +54 *2955:30 *5930:14 0.000205291 +55 *2955:35 *19769:A1 0.000298318 +56 *2955:35 *4870:55 3.13462e-05 +57 *2955:35 *4870:60 0.000124914 +58 *2955:35 *5464:8 2.78249e-05 +59 *2955:40 *23366:B 0.000145599 +60 *2955:40 *23367:A 0.000108642 +61 *2955:40 *3791:185 1.91246e-05 +62 *2955:40 *4275:29 0.000258521 +63 *2955:40 *5464:8 9.19632e-06 +64 *2955:43 *20158:A1 6.00033e-05 +65 *2955:43 *2994:29 4.361e-05 +66 *2955:43 *3947:23 6.15876e-05 +67 *2955:43 *5475:59 4.20654e-06 +68 *2955:43 *5674:45 0.00581712 +69 *2955:48 *3421:17 3.72195e-05 +70 *2955:48 *3734:18 0.00184018 +71 *19758:A *2955:40 6.92705e-05 +72 *19769:A2 *2955:35 0.000131266 +73 *19769:B2 *2955:35 2.57986e-05 +74 *19902:A *22429:B1 2.65667e-05 +75 *20049:A1 *2955:43 0 +76 *20072:B2 *2955:29 3.20069e-06 +77 *20119:A *2955:29 6.50586e-05 +78 *20222:A2 *2955:5 4.31703e-05 +79 *20222:B2 *2955:5 2.23259e-05 +80 *21099:B1 *2955:29 0.000307037 +81 *21162:A *2955:17 0.000263084 +82 *21920:B2 *21945:B1 5.8257e-05 +83 *21945:A2 *21945:B1 1.47046e-05 +84 *21945:B2 *21945:B1 7.09666e-06 +85 *24760:D *2955:5 8.97732e-06 +86 *24833:D *2955:35 0.000177081 +87 *24878:D *2955:5 1.59527e-05 +88 *460:21 *2955:40 0.000539637 +89 *1522:46 *2955:17 5.29763e-05 +90 *1561:21 *21945:B1 5.83451e-05 +91 *1587:48 *2955:30 0.00528998 +92 *1587:137 *2955:43 0 +93 *1597:45 *21945:B1 3.38594e-05 +94 *1597:45 *22753:B1 7.68034e-05 +95 *1605:11 *21945:B1 5.49916e-05 +96 *1658:43 *21945:B1 1.47046e-05 +97 *1688:117 *21945:B1 4.47315e-05 +98 *1690:68 *2955:30 0.00501122 +99 *1725:115 *21945:B1 0.000170306 +100 *1732:92 *22429:B1 0.000525791 +101 *1732:92 *2955:43 0.00107092 +102 *1757:106 *2955:43 0.000984876 +103 *1791:39 *22429:B1 0.000195368 +104 *1795:29 *22753:B1 8.20799e-06 +105 *1815:34 *2955:29 0.000127194 +106 *1818:29 *2955:40 6.18667e-05 +107 *1964:33 *2955:5 0.000119695 +108 *2211:8 *2955:29 0.0002646 +109 *2283:21 *2955:40 0.000396225 +110 *2285:19 *22753:B1 0.000205101 +111 *2563:17 *2955:43 5.28414e-06 +112 *2619:30 *2955:30 0.000640022 +113 *2620:76 *21945:B1 0.000112567 +114 *2732:47 *2955:48 0.00214294 +115 *2803:58 *2955:40 7.67274e-05 +116 *2922:58 *21945:B1 0.000638285 +117 *2922:58 *22753:B1 0.000308971 +118 *2951:19 *2955:17 1.61631e-05 +119 *2951:19 *2955:29 0.000502526 +120 *2951:21 *2955:17 0.000506677 +*RES +1 *21941:Y *2955:4 9.24915 +2 *2955:4 *2955:5 81.6955 +3 *2955:5 *2955:17 47.0897 +4 *2955:17 *2955:29 49.2405 +5 *2955:29 *2955:30 117.687 +6 *2955:30 *2955:35 35.7507 +7 *2955:35 *2955:40 46.8793 +8 *2955:40 *2955:43 16.6132 +9 *2955:43 *2955:48 5.83307 +10 *2955:48 *22753:B1 20.933 +11 *2955:48 *21945:B1 34.2263 +12 *2955:43 *22429:B1 22.7338 +*END + +*D_NET *2956 0.0319368 +*CONN +*I *22253:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21944:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22612:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21942:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22253:A1 0 +2 *21944:A1 0 +3 *22612:B1 0.000600036 +4 *21942:Y 0.000881135 +5 *2956:62 0.00137067 +6 *2956:48 0.00240528 +7 *2956:42 0.00425512 +8 *2956:18 0.00422186 +9 *2956:10 0.00128245 +10 *22612:B1 *22612:B2 1.5714e-05 +11 *22612:B1 *2996:72 0.00060876 +12 *22612:B1 *3039:46 1.48618e-05 +13 *22612:B1 *3046:29 7.44795e-05 +14 *22612:B1 *3049:14 0.00022596 +15 *2956:10 *22259:B2 2.26985e-05 +16 *2956:10 *2984:20 0.00122518 +17 *2956:10 *2984:22 2.12702e-05 +18 *2956:10 *3046:14 6.04308e-05 +19 *2956:10 *3046:29 0.000209679 +20 *2956:10 *3166:147 0.000119819 +21 *2956:18 *22220:B2 1.86819e-05 +22 *2956:18 *22253:A2 2.01503e-05 +23 *2956:18 *2984:22 1.12605e-05 +24 *2956:18 *3046:29 4.0143e-05 +25 *2956:18 *3146:181 3.17436e-05 +26 *2956:42 *22217:A2 6.48991e-05 +27 *2956:42 *2963:127 7.58443e-05 +28 *2956:42 *3162:121 0.000137149 +29 *2956:42 *3162:123 0.00122568 +30 *2956:42 *3212:19 0.000232035 +31 *2956:48 *3131:133 3.71263e-05 +32 *2956:48 *3153:244 0.000158371 +33 *2956:48 *3531:163 0.000167543 +34 *2956:62 *22440:A1 7.92757e-06 +35 *2956:62 *22440:B1 6.08467e-05 +36 *2956:62 *22506:C1 0.000123662 +37 *2956:62 *3029:8 0.00019181 +38 *2956:62 *3029:10 0.000258178 +39 *2956:62 *3067:26 2.07365e-05 +40 *2956:62 *3153:260 0.000169627 +41 *2956:62 *3162:106 4.98659e-05 +42 *2956:62 *3162:115 0 +43 *2956:62 *3750:13 0.000268543 +44 *19832:A1 *2956:62 0.000114203 +45 *19848:B *2956:48 0.000228589 +46 *20673:B *2956:48 0.000200794 +47 *20674:A *2956:48 5.20546e-06 +48 *21278:B2 *22612:B1 0.000114394 +49 *21944:A2 *2956:62 3.07848e-05 +50 *21944:B2 *2956:62 4.54682e-05 +51 *21975:B2 *2956:18 1.33241e-05 +52 *22217:A1 *2956:42 4.35662e-05 +53 *1439:28 *2956:42 0.00206984 +54 *1547:34 *2956:62 0.000765113 +55 *1582:81 *2956:62 3.29488e-05 +56 *1585:19 *2956:48 0.00118883 +57 *1594:59 *2956:48 1.15099e-05 +58 *1620:107 *22612:B1 0.000933779 +59 *1620:107 *2956:18 0.000679924 +60 *1656:37 *2956:42 7.42443e-05 +61 *1733:85 *2956:42 2.8182e-06 +62 *1788:139 *2956:42 0.00019951 +63 *1788:162 *2956:42 3.72917e-05 +64 *1790:158 *2956:18 0.000316618 +65 *1790:180 *2956:18 0.000229165 +66 *1799:28 *2956:42 0.000306723 +67 *1799:31 *2956:42 7.49434e-05 +68 *2537:8 *2956:62 0.000123897 +69 *2537:21 *2956:62 0.000857241 +70 *2538:8 *2956:62 9.70894e-05 +71 *2541:23 *22612:B1 7.22707e-05 +72 *2541:23 *2956:18 3.33812e-05 +73 *2589:20 *2956:10 0.000414424 +74 *2671:26 *2956:62 2.55228e-05 +75 *2765:21 *2956:48 0 +76 *2765:39 *2956:48 0.000686721 +77 *2795:12 *2956:48 7.8756e-07 +78 *2883:20 *2956:48 0.00065404 +79 *2883:28 *2956:48 0.0002646 +*RES +1 *21942:Y *2956:10 40.1543 +2 *2956:10 *2956:18 6.24028 +3 *2956:18 *22612:B1 24.5992 +4 *2956:18 *2956:42 46.1266 +5 *2956:42 *2956:48 46.9996 +6 *2956:48 *2956:62 46.7975 +7 *2956:62 *21944:A1 9.24915 +8 *2956:10 *22253:A1 13.7491 +*END + +*D_NET *2957 0.00390836 +*CONN +*I *22434:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21944:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21943:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22434:A1 4.04225e-05 +2 *21944:B1 0.000872338 +3 *21943:Y 7.95779e-05 +4 *2957:6 0.000992338 +5 *21944:B1 *22053:A1 5.17577e-05 +6 *21944:B1 *22440:B1 0 +7 *21944:B1 *2958:7 6.08467e-05 +8 *21944:B1 *3146:116 0.000825763 +9 *21944:B1 *3157:139 7.14746e-05 +10 *21944:B1 *3164:113 0.000105985 +11 *21944:B1 *3166:105 7.50872e-05 +12 *21944:B1 *3452:6 4.03281e-05 +13 *2957:6 *3164:113 0.000128896 +14 *2957:6 *3452:6 1.98097e-05 +15 *21536:A1 *2957:6 6.85778e-05 +16 *21536:B1 *22434:A1 0.000207266 +17 *21944:B2 *21944:B1 4.84711e-05 +18 *1598:110 *21944:B1 1.21535e-05 +19 *2593:14 *22434:A1 0.000207266 +*RES +1 *21943:Y *2957:6 16.4116 +2 *2957:6 *21944:B1 40.2782 +3 *2957:6 *22434:A1 16.1364 +*END + +*D_NET *2958 0.00720679 +*CONN +*I *21945:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21944:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21945:C1 3.54746e-05 +2 *21944:X 4.51842e-05 +3 *2958:14 0.00142334 +4 *2958:7 0.00143305 +5 *2958:14 *22451:B2 3.06897e-05 +6 *2958:14 *3157:126 0.000940773 +7 *2958:14 *3157:139 0.000772158 +8 *2958:14 *3162:106 2.57831e-05 +9 *2958:14 *3164:95 0.000425409 +10 *2958:14 *3511:68 0.000177764 +11 *2958:14 *3716:10 0.000923063 +12 *21808:A *2958:14 0.000180035 +13 *21944:B1 *2958:7 6.08467e-05 +14 *21945:A2 *21945:C1 0.000154145 +15 *22459:B1 *2958:14 0.00020082 +16 *1658:43 *21945:C1 0.000156946 +17 *1764:94 *2958:14 0.000114612 +18 *1794:73 *2958:14 0.000106696 +*RES +1 *21944:X *2958:7 14.4725 +2 *2958:7 *2958:14 46.3926 +3 *2958:14 *21945:C1 15.5817 +*END + +*D_NET *2959 0.0290266 +*CONN +*I *21946:D I *D sky130_fd_sc_hd__and4_1 +*I *6200:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21945:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21946:D 0 +2 *6200:DIODE 8.63535e-05 +3 *21945:X 0.000350256 +4 *2959:48 0.000951572 +5 *2959:43 0.00234384 +6 *2959:35 0.00331675 +7 *2959:18 0.00323924 +8 *2959:7 0.00175136 +9 *2959:18 *22482:B 4.15201e-05 +10 *2959:18 *22791:B2 4.18008e-05 +11 *2959:18 *3157:113 0.000948652 +12 *2959:18 *3162:87 1.65282e-05 +13 *2959:18 *3164:76 0.000112433 +14 *2959:18 *3537:88 1.9101e-05 +15 *2959:35 *22791:A2 0.000130508 +16 *2959:35 *3155:53 5.7369e-06 +17 *2959:35 *3162:87 2.03809e-05 +18 *2959:35 *3175:57 0.000267968 +19 *2959:35 *3521:46 0.000146559 +20 *2959:35 *3523:68 0.000362374 +21 *2959:43 *22420:C1 0.000101489 +22 *2959:43 *22426:B 9.87433e-05 +23 *2959:43 *22437:A2 1.18092e-05 +24 *2959:43 *2999:38 0.000417502 +25 *2959:43 *2999:43 0.000943124 +26 *2959:43 *3128:99 3.68556e-05 +27 *2959:43 *3179:40 9.18693e-05 +28 *2959:43 *3442:14 2.65397e-05 +29 *2959:48 *2979:46 5.7958e-05 +30 *21565:D1 *2959:43 0.000296281 +31 *21920:B2 *2959:35 8.20833e-07 +32 *21945:B1 *2959:35 0.0017173 +33 *21946:A *6200:DIODE 0.000160617 +34 *21946:B *6200:DIODE 0.000164829 +35 *21946:C *6200:DIODE 2.15348e-05 +36 *22420:B1 *2959:43 0.000110296 +37 *22481:B1 *2959:35 6.01329e-05 +38 *22789:A1 *2959:18 2.57465e-06 +39 *22791:A1 *2959:18 8.13135e-05 +40 *22808:A1 *2959:35 0.000154254 +41 *22809:B1 *2959:35 2.05342e-06 +42 *23924:B *2959:35 6.22482e-05 +43 *516:19 *2959:43 6.23875e-05 +44 *1435:205 *2959:18 9.29745e-05 +45 *1510:85 *2959:48 0.000995457 +46 *1541:108 *2959:48 1.81846e-05 +47 *1560:62 *2959:43 0.000177081 +48 *1570:15 *2959:35 0.000226438 +49 *1640:12 *2959:48 0.000617419 +50 *1640:24 *2959:48 0.000863 +51 *1658:43 *2959:7 0.000489302 +52 *1660:13 *2959:48 4.42987e-06 +53 *1688:117 *2959:35 0.00198727 +54 *1697:75 *2959:43 0.000903619 +55 *1711:87 *6200:DIODE 1.41291e-05 +56 *1714:42 *6200:DIODE 3.81056e-05 +57 *1725:97 *2959:35 0.000202101 +58 *1764:94 *2959:18 0.00053019 +59 *1794:73 *2959:18 0.000422241 +60 *1794:118 *2959:35 1.15403e-05 +61 *1798:17 *2959:35 0.000104513 +62 *2407:22 *2959:35 7.35601e-06 +63 *2523:65 *2959:18 9.80242e-07 +64 *2536:28 *2959:18 0.000357532 +65 *2583:61 *2959:35 0.000155027 +66 *2649:64 *2959:18 6.647e-05 +67 *2697:65 *2959:43 7.12632e-06 +68 *2704:72 *2959:35 8.83705e-05 +69 *2750:28 *2959:18 0.000279309 +70 *2801:19 *2959:43 1.5714e-05 +71 *2851:24 *2959:43 1.1596e-05 +72 *2864:93 *2959:43 6.08467e-05 +73 *2864:93 *2959:48 0.000246119 +74 *2912:23 *2959:43 0.000355808 +75 *2915:19 *2959:43 7.92757e-06 +76 *2922:58 *2959:35 0.000832923 +*RES +1 *21945:X *2959:7 19.464 +2 *2959:7 *2959:18 47.4459 +3 *2959:18 *2959:35 32.3672 +4 *2959:35 *2959:43 49.6976 +5 *2959:43 *2959:48 38.6539 +6 *2959:48 *6200:DIODE 13.1796 +7 *2959:48 *21946:D 9.24915 +*END + +*D_NET *2960 0.00810563 +*CONN +*I *21947:D I *D sky130_fd_sc_hd__and4_1 +*I *21946:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21947:D 0.000598726 +2 *21946:X 0.00070637 +3 *2960:16 0.0013051 +4 *21947:D *2978:110 0.000757968 +5 *21947:D *3208:9 4.57289e-05 +6 *19955:B2 *2960:16 8.11083e-05 +7 *19962:A1 *2960:16 2.50646e-05 +8 *21275:A *2960:16 0.000169862 +9 *21275:B *2960:16 0.000107496 +10 *21472:B1 *2960:16 3.45987e-05 +11 *21927:A1 *2960:16 0.000832988 +12 *21947:A *21947:D 3.024e-05 +13 *1541:69 *2960:16 4.69495e-06 +14 *1563:72 *2960:16 0.000134727 +15 *1641:26 *2960:16 0 +16 *1711:87 *2960:16 0.000402457 +17 *1714:42 *2960:16 2.86827e-05 +18 *1715:99 *2960:16 0.000740214 +19 *1715:127 *2960:16 0.000914937 +20 *2338:14 *21947:D 0.000697401 +21 *2519:33 *2960:16 0.00039337 +22 *2740:27 *2960:16 8.35558e-05 +23 *2935:13 *21947:D 1.03403e-05 +*RES +1 *21946:X *2960:16 36.3549 +2 *2960:16 *21947:D 26.4901 +*END + +*D_NET *2961 0.00114688 +*CONN +*I *22063:A I *D sky130_fd_sc_hd__nand3_4 +*I *21947:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22063:A 0.000378855 +2 *21947:X 0.000378855 +3 *22063:A *22063:B 1.07248e-05 +4 *22063:A *2978:110 0.000198635 +5 *21472:A1 *22063:A 0.000119849 +6 *2924:95 *22063:A 5.99572e-05 +*RES +1 *21947:X *22063:A 35.321 +*END + +*D_NET *2962 0.0260182 +*CONN +*I *21953:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21948:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21953:A1 0.00141294 +2 *21948:Y 4.4758e-05 +3 *2962:33 0.00314744 +4 *2962:27 0.00427318 +5 *2962:8 0.00395292 +6 *2962:7 0.001459 +7 *21953:A1 *6105:DIODE 6.73186e-05 +8 *21953:A1 *21955:A 0.000111794 +9 *21953:A1 *24751:CLK 4.56667e-05 +10 *21953:A1 *5857:368 4.31539e-05 +11 *2962:7 *19938:A 1.31657e-05 +12 *2962:8 *23939:A0 0.000118873 +13 *2962:8 *23995:S 9.13916e-05 +14 *2962:8 *5794:35 0.000877201 +15 *2962:8 *5959:30 0 +16 *2962:8 *5959:40 0 +17 *2962:8 *5980:8 0.000122083 +18 *2962:27 *21009:B2 1.08178e-05 +19 *2962:27 *6027:66 3.28928e-05 +20 *2962:33 *4844:356 0.00018831 +21 *2962:33 *4844:360 1.47616e-05 +22 *2962:33 *4844:382 2.65469e-05 +23 *2962:33 *4915:123 0 +24 *2962:33 *4948:10 0.000191007 +25 *2962:33 *5199:9 4.51259e-05 +26 *20228:A *2962:33 0.000132291 +27 *21005:A2 *2962:27 8.7142e-05 +28 *21009:A1 *2962:27 2.16355e-05 +29 *21009:B1 *2962:27 3.14314e-05 +30 *24095:A0 *21953:A1 0.000167076 +31 *24751:D *21953:A1 0.000111722 +32 *24751:D *2962:33 4.0752e-05 +33 *503:13 *2962:33 1.68312e-05 +34 *1431:46 *2962:8 0 +35 *1695:11 *2962:8 0.000579419 +36 *1696:45 *21953:A1 1.64789e-05 +37 *1696:62 *21953:A1 0.000200719 +38 *1696:68 *2962:33 0.00113681 +39 *1696:72 *2962:33 0.000150581 +40 *1894:12 *2962:33 0.00063306 +41 *1894:19 *2962:33 1.51223e-05 +42 *2163:9 *2962:8 0.000357075 +43 *2181:5 *21953:A1 5.7825e-05 +44 *2181:12 *21953:A1 8.48489e-05 +45 *2278:24 *2962:8 0 +46 *2290:30 *2962:33 0.000378458 +47 *2381:17 *2962:27 0.0037218 +48 *2505:16 *21953:A1 0.000553082 +49 *2585:19 *2962:27 0.00123366 +*RES +1 *21948:Y *2962:7 14.4725 +2 *2962:7 *2962:8 46.264 +3 *2962:8 *2962:27 46.3081 +4 *2962:27 *2962:33 49.9384 +5 *2962:33 *21953:A1 44.2374 +*END + +*D_NET *2963 0.148812 +*CONN +*I *22256:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22613:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6201:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21953:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21949:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *22256:B1 0 +2 *22613:B1 0.0011171 +3 *6201:DIODE 0 +4 *21953:B1 7.86283e-05 +5 *21949:Y 0.000986117 +6 *2963:127 0.00324178 +7 *2963:102 0.00780788 +8 *2963:96 0.0137606 +9 *2963:93 0.0113923 +10 *2963:71 0.00687774 +11 *2963:64 0.00254404 +12 *2963:57 0.00545809 +13 *2963:42 0.00740931 +14 *2963:33 0.00155548 +15 *2963:22 0.00228195 +16 *2963:20 0.00243045 +17 *2963:17 0.00167827 +18 *2963:8 0.00181428 +19 *21953:B1 *21953:C1 5.98373e-05 +20 *22613:B1 *22042:C 4.25398e-05 +21 *22613:B1 *22262:A 4.12977e-05 +22 *22613:B1 *22613:B2 3.20118e-05 +23 *22613:B1 *22613:C1 5.85458e-05 +24 *22613:B1 *3038:17 1.00824e-05 +25 *22613:B1 *3177:339 0.00078531 +26 *22613:B1 *4860:17 0.000835641 +27 *22613:B1 *5908:87 0.000427486 +28 *2963:8 *21949:A 1.82696e-05 +29 *2963:8 *4838:186 0.000111358 +30 *2963:8 *4838:190 0.000675346 +31 *2963:8 *5906:38 0.000217951 +32 *2963:17 *24619:SET_B 1.41761e-05 +33 *2963:17 *24639:CLK 0.000120548 +34 *2963:17 *5866:563 0.000102348 +35 *2963:20 *4826:18 0.000885543 +36 *2963:20 *5210:8 0.000143047 +37 *2963:22 *24386:CLK 0.000147178 +38 *2963:22 *24390:RESET_B 0.000146805 +39 *2963:22 *24390:CLK 8.12844e-05 +40 *2963:22 *4826:18 0.000361993 +41 *2963:22 *4888:189 5.3381e-05 +42 *2963:22 *5157:8 0.000208915 +43 *2963:33 *24652:CLK 0.000374487 +44 *2963:33 *24950:A 1.90423e-05 +45 *2963:33 *4889:183 1.63216e-05 +46 *2963:33 *4889:186 0.000349464 +47 *2963:42 *21974:B1 4.20312e-06 +48 *2963:42 *2987:50 6.2045e-05 +49 *2963:42 *5867:147 7.14746e-05 +50 *2963:57 *20985:B1 0.000491042 +51 *2963:57 *3057:14 6.25553e-05 +52 *2963:57 *3155:139 0.00174419 +53 *2963:57 *4550:53 2.11494e-05 +54 *2963:57 *5855:39 0.000445913 +55 *2963:57 *5856:88 4.99354e-06 +56 *2963:64 *20794:B1 5.41227e-05 +57 *2963:64 *21627:A 0.000230803 +58 *2963:64 *24382:CLK 0.000156376 +59 *2963:64 *5010:6 9.23378e-05 +60 *2963:64 *5671:140 1.03607e-05 +61 *2963:64 *5671:142 5.6701e-05 +62 *2963:71 *4797:24 2.61129e-05 +63 *2963:71 *5457:13 0.000313088 +64 *2963:71 *5856:134 5.182e-05 +65 *2963:71 *5861:157 3.77354e-05 +66 *2963:93 *22968:B 3.56906e-05 +67 *2963:93 *23108:A 2.14262e-05 +68 *2963:93 *23626:A 0.000139411 +69 *2963:93 *23857:A1 0.000114171 +70 *2963:93 *23858:B1 0.000110473 +71 *2963:93 *3002:69 0 +72 *2963:93 *3781:83 0.000250775 +73 *2963:93 *3833:106 0.00010136 +74 *2963:93 *3857:70 0.00108139 +75 *2963:93 *3861:91 2.82932e-05 +76 *2963:93 *4001:126 0 +77 *2963:93 *4011:22 1.18005e-05 +78 *2963:93 *4106:162 0.000128591 +79 *2963:93 *4126:161 0.00102479 +80 *2963:93 *4550:53 2.50646e-05 +81 *2963:93 *4627:23 0.00239451 +82 *2963:93 *4676:27 0.00115325 +83 *2963:93 *4693:15 5.83513e-05 +84 *2963:93 *4713:14 0.000136768 +85 *2963:93 *4744:20 0.000367275 +86 *2963:93 *4748:19 0.00011195 +87 *2963:93 *4755:11 0.000291385 +88 *2963:93 *4801:17 0.000101812 +89 *2963:93 *4802:18 0.00104855 +90 *2963:93 *5456:41 3.28524e-05 +91 *2963:93 *5852:117 0 +92 *2963:93 *5920:19 0.000123082 +93 *2963:93 *5924:20 7.25148e-06 +94 *2963:93 *5924:37 0.000438438 +95 *2963:96 *3002:69 0.000165122 +96 *2963:96 *3900:18 0.000232981 +97 *2963:96 *3936:14 0.000104469 +98 *2963:96 *4233:64 0.00258652 +99 *2963:96 *4233:68 0.000279595 +100 *2963:96 *4233:79 0.000118725 +101 *2963:96 *4338:17 2.68956e-05 +102 *2963:96 *4618:20 0.000118725 +103 *2963:96 *4663:19 0.000114157 +104 *2963:96 *4741:18 0.00121303 +105 *2963:96 *5929:28 0.00113414 +106 *2963:102 *21952:B1 5.75116e-05 +107 *2963:102 *24241:CLK 1.91246e-05 +108 *2963:102 *2966:14 7.7093e-05 +109 *2963:102 *4828:139 3.6842e-05 +110 *2963:102 *5856:288 0.000953132 +111 *2963:102 *5856:396 0.00235464 +112 *2963:102 *5870:269 0.000112963 +113 *2963:102 *5874:79 0 +114 *2963:127 *22217:A2 0.000167447 +115 *2963:127 *22217:B2 0.000119505 +116 *2963:127 *22256:B2 0.000268033 +117 *2963:127 *22257:C1 3.31705e-05 +118 *2963:127 *2978:29 0.00139234 +119 *2963:127 *3038:17 0 +120 *2963:127 *3070:32 0.000235176 +121 *2963:127 *3114:216 0.00021498 +122 *2963:127 *3236:8 3.57463e-05 +123 *6178:DIODE *2963:102 7.46498e-05 +124 *19644:B2 *2963:102 0 +125 *19671:B *2963:102 0.00113886 +126 *19864:A1 *22613:B1 4.30975e-07 +127 *19864:A2 *22613:B1 4.70869e-06 +128 *20399:B2 *2963:20 0.000116971 +129 *20401:A2 *2963:20 5.61454e-05 +130 *20548:A *2963:20 0.000353477 +131 *20720:A *2963:71 0.000146645 +132 *20778:A *2963:22 0.000564262 +133 *21390:A1 *2963:102 0.000323989 +134 *21814:B1 *2963:42 2.41302e-05 +135 *21953:B2 *21953:B1 2.68102e-05 +136 *22208:B1 *2963:57 0.000343604 +137 *22208:B1 *2963:127 0.000275542 +138 *24090:A0 *2963:93 0.000148111 +139 *24090:A1 *2963:93 6.87762e-05 +140 *24343:D *2963:64 0.000279364 +141 *24343:D *2963:71 9.71323e-06 +142 *24619:D *2963:17 5.19347e-05 +143 *24651:D *2963:42 9.60366e-05 +144 *74:18 *2963:102 0.000165572 +145 *74:32 *2963:96 0.0067947 +146 *514:58 *2963:96 0.00012424 +147 *566:144 *2963:93 3.74476e-05 +148 *588:19 *2963:93 9.74971e-05 +149 *657:171 *2963:93 0.00104076 +150 *657:189 *2963:64 0.000988466 +151 *1439:28 *2963:127 0.000171116 +152 *1587:38 *2963:102 0.000253729 +153 *1601:8 *2963:33 0.00115387 +154 *1614:104 *22613:B1 5.38136e-06 +155 *1619:8 *2963:8 0 +156 *1619:8 *2963:17 0 +157 *1619:16 *2963:20 3.22726e-05 +158 *1658:73 *2963:57 0.000261565 +159 *1663:27 *22613:B1 3.08261e-05 +160 *1669:20 *2963:102 7.12632e-06 +161 *1696:45 *21953:B1 0.000216271 +162 *1696:62 *21953:B1 6.86622e-06 +163 *1697:48 *2963:96 0.000122137 +164 *1707:8 *2963:8 0.000331645 +165 *1707:32 *2963:42 0.000693617 +166 *1707:39 *2963:57 0.00138568 +167 *1707:39 *2963:127 0.000110597 +168 *1725:181 *2963:33 0 +169 *1725:181 *2963:42 1.55976e-05 +170 *1731:32 *2963:102 0.000347951 +171 *1748:16 *2963:102 0.000327708 +172 *1757:106 *2963:93 0.000197684 +173 *1770:31 *2963:127 0.000109796 +174 *1783:8 *2963:8 0.000765772 +175 *1783:8 *2963:17 0.000186242 +176 *1783:27 *2963:33 0.000256007 +177 *1783:38 *2963:64 0.000101147 +178 *1783:48 *2963:96 0.000815921 +179 *1783:100 *2963:102 0 +180 *1783:128 *2963:57 0 +181 *1783:128 *2963:127 0 +182 *1787:40 *2963:42 0.000267979 +183 *1799:31 *2963:127 0 +184 *1808:78 *2963:93 0.000116674 +185 *1809:89 *2963:57 8.00779e-06 +186 *1942:67 *2963:22 0.000124506 +187 *1942:84 *2963:22 0.000384729 +188 *1946:20 *2963:20 0.000308064 +189 *1947:45 *2963:57 0.000658331 +190 *1947:89 *2963:64 4.63563e-05 +191 *1947:89 *2963:71 0.000580005 +192 *1947:102 *2963:71 0.000357105 +193 *2075:31 *2963:33 0.000147927 +194 *2079:47 *2963:64 0.000403816 +195 *2259:15 *2963:102 0 +196 *2298:17 *2963:127 0.000131057 +197 *2367:10 *2963:20 4.46284e-05 +198 *2369:8 *2963:20 0.000100881 +199 *2426:28 *2963:102 0 +200 *2426:38 *2963:102 0 +201 *2448:184 *2963:102 0.000262986 +202 *2468:37 *22613:B1 0.000440418 +203 *2502:36 *2963:96 0.000103316 +204 *2505:16 *2963:102 0.000148452 +205 *2523:38 *2963:64 0.000338643 +206 *2551:8 *2963:22 0.00172234 +207 *2551:18 *2963:33 0.00023285 +208 *2552:14 *2963:57 0.000172975 +209 *2554:21 *22613:B1 0.00102476 +210 *2554:35 *2963:57 0 +211 *2564:33 *2963:127 3.38553e-05 +212 *2589:38 *22613:B1 5.78131e-05 +213 *2589:38 *2963:127 3.33173e-06 +214 *2616:28 *2963:71 0.000217499 +215 *2616:30 *2963:71 4.57745e-05 +216 *2624:33 *2963:64 0.000810885 +217 *2632:27 *2963:102 0.00202585 +218 *2654:17 *2963:57 0.000362635 +219 *2728:41 *2963:33 0.000312249 +220 *2819:38 *22613:B1 0.00084335 +221 *2845:14 *2963:42 0.000471009 +222 *2846:36 *2963:42 0 +223 *2860:11 *2963:102 0.00588542 +224 *2878:44 *2963:93 3.33546e-05 +225 *2918:16 *2963:42 1.93857e-05 +226 *2922:54 *2963:96 0.00589976 +227 *2950:20 *2963:20 0 +228 *2950:22 *2963:20 0 +229 *2950:22 *2963:22 0 +230 *2954:27 *2963:57 0.000105571 +231 *2956:42 *2963:127 7.58443e-05 +*RES +1 *21949:Y *2963:8 49.7351 +2 *2963:8 *2963:17 26.6256 +3 *2963:17 *2963:20 30.5455 +4 *2963:20 *2963:22 60.0162 +5 *2963:22 *2963:33 36.0274 +6 *2963:33 *2963:42 21.4685 +7 *2963:42 *2963:57 26.5956 +8 *2963:57 *2963:64 42.2336 +9 *2963:64 *2963:71 26.9232 +10 *2963:71 *2963:93 44.6585 +11 *2963:93 *2963:96 27.7457 +12 *2963:96 *2963:102 32.124 +13 *2963:102 *21953:B1 12.7938 +14 *2963:102 *6201:DIODE 9.24915 +15 *2963:42 *2963:127 26.3871 +16 *2963:127 *22613:B1 33.0469 +17 *2963:127 *22256:B1 9.24915 +*END + +*D_NET *2964 0.0388718 +*CONN +*I *21952:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22437:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22754:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21950:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21952:A1 0.000268695 +2 *22437:B1 7.92724e-05 +3 *22754:B1 0 +4 *21950:Y 0.000356656 +5 *2964:59 0.00130058 +6 *2964:40 0.00147393 +7 *2964:35 0.00787653 +8 *2964:25 0.0083544 +9 *2964:14 0.0025618 +10 *2964:10 0.00261521 +11 *21952:A1 *21952:B1 0.000117376 +12 *21952:A1 *24891:CLK 2.3755e-05 +13 *22437:B1 *22437:C1 4.74699e-05 +14 *2964:10 *21668:A 0.000143047 +15 *2964:10 *5671:19 0.000165455 +16 *2964:14 *24885:RESET_B 1.73057e-05 +17 *2964:14 *24891:CLK 9.37328e-05 +18 *2964:14 *25379:A 1.9101e-05 +19 *2964:14 *5917:336 8.01987e-05 +20 *2964:14 *5917:350 2.61147e-05 +21 *2964:14 *5917:380 2.5131e-05 +22 *2964:14 *5917:390 0.000226756 +23 *2964:14 *5917:399 3.45797e-05 +24 *2964:14 *5917:408 0.000101625 +25 *2964:14 *5917:431 7.06477e-05 +26 *2964:25 *20379:A1 3.54295e-05 +27 *2964:25 *20379:B1 0.000250405 +28 *2964:25 *2993:18 0.000146685 +29 *2964:25 *2993:20 3.80178e-05 +30 *2964:35 *24057:A1 1.34612e-05 +31 *2964:35 *2974:40 0.000577987 +32 *2964:35 *3168:29 0.000243388 +33 *2964:35 *3208:51 0 +34 *2964:35 *3734:18 0.000586758 +35 *2964:35 *4894:9 0.000241281 +36 *2964:35 *5082:34 1.49891e-05 +37 *2964:35 *5860:260 0.00151889 +38 *2964:59 *21992:B1 0.00016235 +39 *2964:59 *3131:41 0.000118545 +40 *2964:59 *3162:70 3.31322e-05 +41 *2964:59 *3164:55 0.000282993 +42 *2964:59 *3175:57 0.000705676 +43 *2964:59 *3421:17 9.8425e-05 +44 *2964:59 *3463:12 5.42081e-05 +45 *2964:59 *3671:95 0.000330572 +46 *2964:59 *3700:10 3.82228e-05 +47 *2964:59 *3751:14 0.000368972 +48 *20049:A1 *2964:35 0.000167419 +49 *20379:A2 *2964:25 0.00015901 +50 *21461:A2 *2964:35 2.87885e-05 +51 *21560:A1 *2964:59 0.000107496 +52 *21920:B2 *2964:59 6.03237e-05 +53 *21927:B1 *2964:35 0 +54 *21945:B1 *2964:59 8.79152e-06 +55 *22806:B1 *2964:35 0.000308661 +56 *24503:D *21952:A1 0 +57 *24658:D *2964:35 0.000324219 +58 *74:18 *2964:14 8.58375e-05 +59 *470:33 *2964:35 3.3465e-05 +60 *1438:78 *2964:35 0 +61 *1442:146 *2964:35 4.31122e-06 +62 *1502:49 *2964:10 0.000610784 +63 *1587:137 *2964:35 8.39432e-05 +64 *1689:47 *2964:35 0 +65 *1725:75 *2964:59 3.18935e-05 +66 *1734:26 *2964:35 0 +67 *1753:30 *2964:14 0.000690386 +68 *1760:8 *2964:10 0.000182664 +69 *1791:39 *2964:40 0.000158418 +70 *1791:39 *2964:59 0.00064622 +71 *1792:101 *2964:40 0.000158418 +72 *2447:9 *2964:14 3.7797e-05 +73 *2469:35 *2964:35 0.000100224 +74 *2516:8 *2964:14 0.000768968 +75 *2516:16 *2964:25 0.000400853 +76 *2620:76 *2964:59 8.91082e-05 +77 *2627:16 *2964:35 0.000364491 +78 *2632:19 *2964:10 0.000161243 +79 *2703:60 *2964:35 0 +80 *2705:33 *2964:25 0.000464127 +81 *2732:47 *2964:35 2.9169e-05 +82 *2785:30 *2964:35 0 +83 *2801:19 *22437:B1 1.5613e-05 +84 *2801:19 *2964:59 2.23124e-05 +85 *2804:24 *22437:B1 0.000207266 +86 *2804:24 *2964:59 0.000107496 +87 *2878:25 *2964:35 0.00030945 +88 *2922:58 *2964:59 2.89783e-06 +*RES +1 *21950:Y *2964:10 31.8798 +2 *2964:10 *2964:14 49.8544 +3 *2964:14 *2964:25 33.7476 +4 *2964:25 *2964:35 49.4444 +5 *2964:35 *2964:40 11.1542 +6 *2964:40 *2964:59 42.4701 +7 *2964:59 *22754:B1 9.24915 +8 *2964:40 *22437:B1 12.2151 +9 *2964:10 *21952:A1 13.7342 +*END + +*D_NET *2965 0.0100584 +*CONN +*I *21952:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21951:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21952:B1 0.00165985 +2 *21951:Y 0.000354754 +3 *2965:13 0.00302279 +4 *2965:7 0.00171769 +5 *21952:B1 *24891:CLK 2.7837e-05 +6 *21952:B1 *2966:14 0.000372191 +7 *2965:13 *4844:416 2.22342e-05 +8 *2965:13 *4844:434 4.26859e-05 +9 *2965:13 *4928:10 0 +10 *2965:13 *5860:147 0.000123582 +11 *2965:13 *5860:163 9.24241e-05 +12 *20217:B *21952:B1 4.99151e-05 +13 *21952:A1 *21952:B1 0.000117376 +14 *1502:42 *21952:B1 1.45041e-05 +15 *1502:49 *21952:B1 7.366e-06 +16 *1502:57 *2965:13 0.000635719 +17 *1512:10 *2965:7 0.000565745 +18 *1698:81 *21952:B1 7.15882e-06 +19 *2719:8 *2965:13 0.00101137 +20 *2812:11 *2965:13 5.69065e-05 +21 *2812:18 *21952:B1 0 +22 *2839:27 *2965:13 2.37383e-05 +23 *2878:11 *2965:13 7.50722e-05 +24 *2963:102 *21952:B1 5.75116e-05 +*RES +1 *21951:Y *2965:7 20.0186 +2 *2965:7 *2965:13 48.2289 +3 *2965:13 *21952:B1 25.0746 +*END + +*D_NET *2966 0.0170592 +*CONN +*I *21953:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21952:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21953:C1 0.000317902 +2 *21952:X 0.00131007 +3 *2966:28 0.000398212 +4 *2966:27 0.000406976 +5 *2966:23 0.00123681 +6 *2966:14 0.00222021 +7 *2966:23 *20583:A1 6.44576e-05 +8 *2966:23 *24983:A 7.5108e-05 +9 *2966:23 *3003:7 9.77344e-05 +10 *2966:27 *21884:A 1.03403e-05 +11 *20575:A *2966:23 9.31601e-05 +12 *20583:B2 *2966:23 0.000200794 +13 *21844:A2 *2966:23 0.000230117 +14 *21844:B1 *2966:23 0.000154145 +15 *21952:B1 *2966:14 0.000372191 +16 *21953:B1 *21953:C1 5.98373e-05 +17 *21953:B2 *21953:C1 0.00118441 +18 *74:18 *2966:14 0.00369436 +19 *1502:42 *2966:14 1.91246e-05 +20 *1696:19 *2966:23 0.000354202 +21 *1696:31 *2966:23 7.92757e-06 +22 *1696:31 *2966:27 0.000129382 +23 *1696:45 *21953:C1 3.18992e-05 +24 *1731:32 *2966:14 0.000370705 +25 *1760:8 *2966:14 1.05601e-05 +26 *1802:187 *2966:23 0.00102252 +27 *2447:26 *2966:23 0.00135976 +28 *2578:8 *2966:14 0.000179432 +29 *2753:49 *2966:14 6.64942e-05 +30 *2753:49 *2966:23 0.000557411 +31 *2860:11 *2966:14 0.000346993 +32 *2860:11 *2966:23 2.02035e-05 +33 *2900:10 *21953:C1 0.000348339 +34 *2936:14 *2966:23 1.3706e-05 +35 *2936:16 *2966:23 1.66626e-05 +36 *2963:102 *2966:14 7.7093e-05 +*RES +1 *21952:X *2966:14 34.1642 +2 *2966:14 *2966:23 45.7185 +3 *2966:23 *2966:27 13.8548 +4 *2966:27 *2966:28 104.301 +5 *2966:28 *21953:C1 32.5563 +*END + +*D_NET *2967 0.00866069 +*CONN +*I *21995:A I *D sky130_fd_sc_hd__and4_1 +*I *21953:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21995:A 9.36721e-06 +2 *21953:X 0.000475051 +3 *2967:14 0.00222764 +4 *2967:12 0.00269333 +5 *21995:A *2973:27 6.50586e-05 +6 *21995:A *2983:11 6.50586e-05 +7 *2967:12 *24239:CLK 0 +8 *2967:14 *20910:A1 0 +9 *2967:14 *21490:A 0.000138209 +10 *2967:14 *21698:A 0 +11 *2967:14 *21757:A 0.000363579 +12 *2967:14 *24331:SET_B 0.00029445 +13 *2967:14 *2973:27 0.000363112 +14 *2967:14 *2975:33 4.69495e-06 +15 *2967:14 *3071:26 3.77568e-05 +16 *2967:14 *4970:8 9.49135e-05 +17 *2967:14 *4972:8 0.000301634 +18 *21034:A *2967:14 1.86415e-05 +19 *21037:B2 *2967:14 0 +20 *21524:B1 *2967:14 6.57936e-05 +21 *21524:B2 *2967:14 0 +22 *1419:62 *2967:12 0.000200595 +23 *1419:62 *2967:14 0.000738625 +24 *1450:79 *2967:14 0 +25 *1749:26 *2967:14 0 +26 *2181:12 *2967:12 0.000124354 +27 *2181:19 *2967:12 0.000364219 +28 *2181:24 *2967:14 0 +29 *2471:14 *2967:14 0 +30 *2581:18 *2967:14 9.39114e-06 +31 *2650:14 *2967:14 0 +32 *2928:35 *2967:14 5.21758e-06 +*RES +1 *21953:X *2967:12 27.599 +2 *2967:12 *2967:14 56.6453 +3 *2967:14 *21995:A 14.4725 +*END + +*D_NET *2968 0.005747 +*CONN +*I *21959:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21954:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21959:A1 0.000764144 +2 *21954:Y 0.000138062 +3 *2968:7 0.000902207 +4 *2968:7 *5854:365 0.000215704 +5 *23988:A0 *21959:A1 8.88627e-05 +6 *541:70 *21959:A1 4.52614e-05 +7 *549:24 *21959:A1 0.00169626 +8 *2299:8 *21959:A1 0.0018965 +*RES +1 *21954:Y *2968:7 16.1364 +2 *2968:7 *21959:A1 49.2166 +*END + +*D_NET *2969 0.00139075 +*CONN +*I *21959:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21955:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21959:B1 0.000438849 +2 *21955:Y 0.000438849 +3 *21959:B1 *2973:10 4.58529e-05 +4 *21959:B2 *21959:B1 2.65831e-05 +5 *24095:A0 *21959:B1 0.000161094 +6 *24095:A1 *21959:B1 1.74531e-05 +7 *496:19 *21959:B1 3.60268e-05 +8 *526:16 *21959:B1 0.000128009 +9 *1636:20 *21959:B1 8.73097e-05 +10 *2398:55 *21959:B1 1.07248e-05 +*RES +1 *21955:Y *21959:B1 39.5784 +*END + +*D_NET *2970 0.00795863 +*CONN +*I *21958:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21956:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21958:A1 8.93188e-05 +2 *21956:Y 0.000103428 +3 *2970:8 0.0018709 +4 *2970:7 0.00188501 +5 *21958:A1 *2972:18 8.38894e-05 +6 *2970:8 *20950:A1 0.000205864 +7 *2970:8 *21621:A 5.8218e-05 +8 *2970:8 *22000:A 5.09095e-05 +9 *2970:8 *24241:CLK 1.05272e-06 +10 *2970:8 *24305:RESET_B 0 +11 *2970:8 *24875:SET_B 0 +12 *2970:8 *4917:21 0 +13 *2970:8 *4917:26 0 +14 *2970:8 *5870:341 0 +15 *2970:8 *5870:359 0 +16 *2970:8 *5870:368 0 +17 *20951:B2 *2970:8 0 +18 *24303:D *2970:8 2.18945e-05 +19 *1502:86 *2970:7 0.000169041 +20 *1573:46 *2970:8 0 +21 *1669:54 *2970:8 0.000189674 +22 *1746:8 *2970:8 0.00322943 +23 *2159:15 *2970:8 0 +24 *2159:29 *2970:8 0 +*RES +1 *21956:Y *2970:7 15.5817 +2 *2970:7 *2970:8 59.1368 +3 *2970:8 *21958:A1 16.1364 +*END + +*D_NET *2971 0.041564 +*CONN +*I *22435:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21958:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21957:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22435:B1 0.00115415 +2 *21958:B1 0 +3 *21957:Y 0.00111781 +4 *2971:47 0.00110505 +5 *2971:44 0.00152891 +6 *2971:41 0.00128266 +7 *2971:32 0.00146398 +8 *2971:24 0.00275847 +9 *2971:23 0.00318246 +10 *2971:15 0.00330113 +11 *22435:B1 *20611:B1 2.137e-05 +12 *22435:B1 *21835:A 0.000441318 +13 *22435:B1 *22435:B2 1.41976e-05 +14 *22435:B1 *22435:C1 7.10684e-05 +15 *22435:B1 *3023:17 0.000110527 +16 *22435:B1 *3146:38 4.63742e-05 +17 *2971:15 *20611:B1 9.64284e-05 +18 *2971:15 *20641:A1 0.000200794 +19 *2971:15 *21835:A 6.08467e-05 +20 *2971:15 *21918:A 1.70925e-05 +21 *2971:15 *24477:CLK 0.000270214 +22 *2971:15 *5072:29 0.000324557 +23 *2971:15 *5858:146 7.14746e-05 +24 *2971:15 *5858:571 3.31745e-05 +25 *2971:23 *20410:A1 2.20471e-05 +26 *2971:23 *20413:A1 9.2415e-05 +27 *2971:23 *21835:A 2.43314e-05 +28 *2971:23 *21991:A 0.000313505 +29 *2971:23 *3671:76 8.98119e-05 +30 *2971:23 *5869:255 0.000550739 +31 *2971:23 *5869:262 1.77472e-05 +32 *2971:24 *24445:RESET_B 9.18679e-06 +33 *2971:24 *4832:118 0.00252604 +34 *2971:24 *4931:10 6.28188e-05 +35 *2971:24 *5856:526 0.000288307 +36 *2971:24 *5858:494 0.00124679 +37 *2971:32 *5858:494 3.3239e-06 +38 *2971:41 *20601:A1 0.000100493 +39 *2971:47 *24502:RESET_B 0.000205006 +40 *2971:47 *2972:18 0.000111708 +41 *20039:B1 *22435:B1 4.63742e-05 +42 *20642:A2 *2971:15 0.000111722 +43 *21788:B2 *2971:23 0.00083167 +44 *21958:B2 *2971:47 9.95922e-06 +45 *24498:D *22435:B1 6.3657e-05 +46 *24875:D *2971:47 0.000211464 +47 *466:17 *2971:32 0.000213725 +48 *1448:19 *2971:32 5.65573e-05 +49 *1461:249 *2971:23 0.000371492 +50 *1466:62 *2971:24 2.16873e-05 +51 *1467:8 *2971:32 0.000739955 +52 *1467:22 *2971:32 0.000498762 +53 *1467:22 *2971:41 0.000539656 +54 *1467:138 *2971:15 7.50722e-05 +55 *1501:53 *2971:24 0.00082143 +56 *1511:50 *2971:41 7.89747e-05 +57 *1511:50 *2971:44 5.30254e-05 +58 *1520:29 *2971:47 0.00147949 +59 *1677:22 *2971:24 6.99053e-05 +60 *1677:139 *2971:24 0.00050057 +61 *1697:110 *2971:41 0.000699619 +62 *1730:83 *2971:24 6.98485e-05 +63 *1739:8 *2971:44 0.000820103 +64 *1753:37 *2971:41 3.17103e-05 +65 *1755:110 *2971:24 1.49935e-05 +66 *1764:129 *2971:47 7.92757e-06 +67 *2013:7 *22435:B1 6.50586e-05 +68 *2021:19 *2971:15 0.000235492 +69 *2505:23 *2971:47 0.00219596 +70 *2700:12 *2971:32 0.0011792 +71 *2703:36 *2971:24 9.22044e-05 +72 *2703:43 *2971:24 0.00114099 +73 *2713:27 *2971:23 0 +74 *2753:49 *2971:44 0.000360393 +75 *2758:35 *2971:41 0.00126545 +76 *2835:8 *2971:41 0.000561217 +77 *2851:14 *22435:B1 0.00096435 +78 *2922:54 *2971:23 0 +79 *2943:25 *2971:41 0.000557741 +80 *2947:12 *2971:44 0.000168295 +*RES +1 *21957:Y *2971:15 40.7167 +2 *2971:15 *2971:23 43.8969 +3 *2971:23 *2971:24 75.7469 +4 *2971:24 *2971:32 38.8971 +5 *2971:32 *2971:41 49.2077 +6 *2971:41 *2971:44 20.4506 +7 *2971:44 *2971:47 43.452 +8 *2971:47 *21958:B1 9.24915 +9 *2971:15 *22435:B1 46.0404 +*END + +*D_NET *2972 0.00497283 +*CONN +*I *21959:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21958:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21959:C1 0.000263936 +2 *21958:X 0.00142373 +3 *2972:18 0.00168767 +4 *21959:C1 *2973:10 7.78853e-05 +5 *21959:C1 *5851:389 0.000260388 +6 *2972:18 *21448:A 8.6297e-06 +7 *2972:18 *24241:CLK 0.000254007 +8 *2972:18 *5388:8 0.000106536 +9 *20947:A *2972:18 0.000253916 +10 *21036:A2 *2972:18 9.12416e-06 +11 *21958:A1 *2972:18 8.38894e-05 +12 *21959:B2 *21959:C1 0.000258128 +13 *24241:D *21959:C1 2.16355e-05 +14 *1488:15 *2972:18 5.04054e-06 +15 *1636:10 *2972:18 0.000104706 +16 *1764:129 *2972:18 7.98425e-06 +17 *2645:21 *2972:18 2.87657e-05 +18 *2815:8 *2972:18 5.1493e-06 +19 *2971:47 *2972:18 0.000111708 +*RES +1 *21958:X *2972:18 42.6975 +2 *2972:18 *21959:C1 17.1824 +*END + +*D_NET *2973 0.0139846 +*CONN +*I *21995:B I *D sky130_fd_sc_hd__and4_1 +*I *21959:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21995:B 0 +2 *21959:X 0.000722486 +3 *2973:27 0.00108607 +4 *2973:23 0.00215378 +5 *2973:10 0.0017902 +6 *2973:10 *5745:32 0.000111708 +7 *2973:10 *5851:389 0.000406808 +8 *2973:10 *5851:400 1.65872e-05 +9 *2973:23 *4981:9 0.000163713 +10 *2973:27 *21490:A 0.000129583 +11 *2973:27 *21757:A 0.00036008 +12 *2973:27 *24331:SET_B 0.000298047 +13 *2973:27 *2983:11 3.99839e-05 +14 *2973:27 *3071:26 4.12833e-05 +15 *2973:27 *4970:8 9.8511e-05 +16 *2973:27 *4972:8 0.000139001 +17 *21959:B1 *2973:10 4.58529e-05 +18 *21959:B2 *2973:10 0.000398089 +19 *21959:C1 *2973:10 7.78853e-05 +20 *21995:A *2973:27 6.50586e-05 +21 *543:12 *2973:23 0.000435356 +22 *1419:62 *2973:10 0.000130024 +23 *1419:62 *2973:23 0.00101373 +24 *1450:48 *2973:23 3.49777e-05 +25 *1636:20 *2973:10 0.00104764 +26 *1780:15 *2973:23 1.75615e-05 +27 *2505:16 *2973:10 3.98296e-05 +28 *2581:18 *2973:23 0.000381865 +29 *2928:31 *2973:10 6.04912e-06 +30 *2928:31 *2973:23 0.000397261 +31 *2928:35 *2973:27 0.00197249 +32 *2967:14 *2973:27 0.000363112 +*RES +1 *21959:X *2973:10 37.6259 +2 *2973:10 *2973:23 38.0918 +3 *2973:23 *2973:27 44.0839 +4 *2973:27 *21995:B 9.24915 +*END + +*D_NET *2974 0.0261173 +*CONN +*I *22432:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21969:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22749:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21960:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22432:A1 6.05078e-05 +2 *21969:A1 0.00160557 +3 *22749:B1 0 +4 *21960:Y 0.00166709 +5 *2974:40 0.00306733 +6 *2974:34 0.00273105 +7 *2974:13 0.00287587 +8 *21969:A1 *22803:B2 3.2576e-05 +9 *21969:A1 *3114:29 6.22732e-06 +10 *21969:A1 *3406:14 2.57465e-06 +11 *21969:A1 *3411:16 6.21462e-05 +12 *21969:A1 *3500:75 7.32515e-05 +13 *21969:A1 *3734:18 4.6263e-05 +14 *22432:A1 *3177:183 3.64547e-05 +15 *2974:34 *22468:B2 0 +16 *2974:34 *22468:C1 3.31882e-05 +17 *2974:34 *22476:A2 0.000109765 +18 *2974:34 *22476:B2 1.32424e-05 +19 *2974:34 *3177:183 0.000451499 +20 *2974:34 *4931:5 0.000211492 +21 *2974:40 *22437:B2 0.000377187 +22 *2974:40 *22750:C1 1.5714e-05 +23 *2974:40 *3114:29 0.00215745 +24 *2974:40 *3500:75 9.17656e-06 +25 *2974:40 *3671:95 0.000129091 +26 *2974:40 *3734:18 7.31585e-05 +27 *19980:B1 *21969:A1 0.000287764 +28 *19980:B2 *21969:A1 0.000719492 +29 *21456:A2 *21969:A1 0.0011361 +30 *21665:B2 *21969:A1 0.000370686 +31 *21683:C1 *2974:34 8.03419e-05 +32 *21899:B2 *2974:13 0.000124641 +33 *22468:A1 *2974:34 2.57847e-05 +34 *22468:B1 *2974:34 2.92925e-05 +35 *22476:A1 *2974:34 0.000114679 +36 *22728:B1 *21969:A1 0.000128575 +37 *514:28 *2974:13 0.00051283 +38 *1443:18 *21969:A1 0.000111722 +39 *1501:42 *2974:13 0.000350015 +40 *1501:53 *2974:13 5.61389e-05 +41 *1541:128 *22432:A1 0.000101472 +42 *1541:128 *2974:34 0.000213594 +43 *1587:137 *2974:40 0.000847795 +44 *1684:85 *2974:40 0.000269791 +45 *1717:24 *21969:A1 0.000413864 +46 *1729:80 *21969:A1 1.43943e-05 +47 *1730:75 *2974:34 0.000649905 +48 *1730:83 *2974:13 4.18084e-05 +49 *1800:8 *21969:A1 9.39114e-06 +50 *1800:8 *2974:40 5.35941e-05 +51 *1949:33 *2974:13 6.96979e-05 +52 *2514:37 *2974:34 8.38292e-05 +53 *2519:33 *21969:A1 3.29309e-05 +54 *2586:59 *21969:A1 1.17303e-05 +55 *2586:61 *21969:A1 1.37367e-05 +56 *2587:18 *21969:A1 0.000957654 +57 *2726:22 *21969:A1 0.00142379 +58 *2745:10 *2974:13 7.14746e-05 +59 *2745:11 *2974:13 6.30609e-05 +60 *2801:19 *2974:40 0.000121812 +61 *2833:27 *2974:34 2.19276e-05 +62 *2833:57 *2974:34 2.95757e-05 +63 *2864:82 *2974:13 1.4091e-06 +64 *2915:8 *2974:34 0.000125115 +65 *2964:35 *2974:40 0.000577987 +*RES +1 *21960:Y *2974:13 48.906 +2 *2974:13 *2974:34 43.7665 +3 *2974:34 *2974:40 12.739 +4 *2974:40 *22749:B1 13.7491 +5 *2974:40 *21969:A1 48.5844 +6 *2974:34 *22432:A1 15.7888 +*END + +*D_NET *2975 0.0539315 +*CONN +*I *22269:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22602:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21963:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21961:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22269:B1 0.00412689 +2 *22602:B1 0.000850894 +3 *21963:A1 0 +4 *21961:Y 8.57526e-05 +5 *2975:50 0.00933742 +6 *2975:33 0.00130564 +7 *2975:5 0.00575103 +8 *22269:B1 *22236:A2 6.95086e-06 +9 *22269:B1 *22236:B2 0.000206582 +10 *22269:B1 *3206:207 1.34914e-05 +11 *22269:B1 *3278:17 0.00188633 +12 *22269:B1 *3531:43 0.000159964 +13 *22602:B1 *22322:A2 0.000110297 +14 *22602:B1 *22327:A2 4.78069e-06 +15 *22602:B1 *22328:B 2.16608e-05 +16 *22602:B1 *22328:D 4.88112e-05 +17 *22602:B1 *22338:B 3.1761e-05 +18 *22602:B1 *22602:B2 3.65087e-05 +19 *22602:B1 *22603:C1 6.3657e-05 +20 *22602:B1 *24917:A 0.000144192 +21 *22602:B1 *3052:77 0.000138518 +22 *22602:B1 *3179:167 0.000109796 +23 *22602:B1 *3284:21 0.000110114 +24 *2975:5 *19617:A1 7.68538e-06 +25 *2975:33 *19617:B1 9.77336e-05 +26 *2975:33 *21963:B1 0 +27 *2975:33 *21968:B1 0.000152435 +28 *2975:33 *21995:D 0.000263064 +29 *2975:33 *22004:B1 0.000297267 +30 *2975:33 *2981:24 5.36085e-05 +31 *2975:33 *2983:11 1.55025e-05 +32 *2975:33 *5452:11 0.00154525 +33 *2975:50 *21995:D 0 +34 *2975:50 *3017:26 2.72835e-05 +35 *2975:50 *3485:90 0.000290151 +36 *2975:50 *3502:105 0.00118478 +37 *2975:50 *4819:72 0.000439134 +38 *2975:50 *5870:516 7.93531e-05 +39 *19617:A2 *2975:5 0.000205006 +40 *19617:B2 *2975:5 5.99691e-05 +41 *19617:B2 *2975:33 7.34948e-06 +42 *21193:B1 *2975:50 0 +43 *21549:B1 *22269:B1 0.000790942 +44 *21554:A2 *2975:50 0.00204415 +45 *21589:B1 *2975:50 7.13992e-06 +46 *21795:A1 *22269:B1 0.00132699 +47 *21864:B1 *2975:33 2.33638e-05 +48 *21882:A1 *22269:B1 0.000577139 +49 *21882:A1 *2975:50 0.0029193 +50 *22239:A1 *22269:B1 0.000183355 +51 *22269:A1 *22269:B1 0.000182245 +52 *22335:A1 *22269:B1 4.67154e-06 +53 *22620:B1 *22602:B1 4.31603e-06 +54 *22621:A1 *22602:B1 2.1366e-05 +55 *518:15 *22269:B1 0.00314882 +56 *1551:17 *2975:33 1.15032e-05 +57 *1551:17 *2975:50 5.60804e-05 +58 *1583:35 *22602:B1 1.55025e-05 +59 *1583:53 *22602:B1 1.65326e-05 +60 *1588:131 *22269:B1 5.16129e-05 +61 *1592:61 *22602:B1 0.000548345 +62 *1596:65 *22269:B1 1.09016e-05 +63 *1602:37 *22269:B1 0.000378744 +64 *1610:33 *22602:B1 0.000114262 +65 *1620:31 *22269:B1 0.00121597 +66 *1620:31 *2975:50 0.000123692 +67 *1627:79 *22269:B1 0.000267261 +68 *1651:25 *2975:33 7.67208e-05 +69 *1708:95 *22269:B1 0.00015524 +70 *1735:132 *22602:B1 0.000137356 +71 *1744:219 *22269:B1 0.00069642 +72 *1749:80 *2975:50 0.000868219 +73 *1749:95 *2975:50 0.000223647 +74 *1749:120 *2975:50 0.000566198 +75 *1771:24 *2975:33 6.14756e-06 +76 *2286:36 *22602:B1 0.000132395 +77 *2503:124 *22269:B1 0.000352251 +78 *2503:124 *2975:50 0.0017213 +79 *2528:48 *2975:33 0.000729656 +80 *2564:68 *22269:B1 0.000997633 +81 *2572:60 *22269:B1 2.31669e-05 +82 *2604:50 *22269:B1 1.45891e-05 +83 *2609:24 *2975:50 7.48886e-05 +84 *2650:14 *2975:33 4.20184e-06 +85 *2719:22 *2975:33 0.00178193 +86 *2772:57 *22602:B1 6.17618e-05 +87 *2777:60 *22269:B1 5.55526e-05 +88 *2827:49 *22269:B1 0.000386468 +89 *2892:21 *22269:B1 0.000319723 +90 *2894:60 *22602:B1 5.54715e-05 +91 *2949:13 *2975:5 0.000400335 +92 *2949:13 *2975:33 0.000536734 +93 *2949:32 *2975:33 0.000227987 +94 *2967:14 *2975:33 4.69495e-06 +*RES +1 *21961:Y *2975:5 13.8548 +2 *2975:5 *2975:33 46.5456 +3 *2975:33 *21963:A1 9.24915 +4 *2975:5 *2975:50 26.9522 +5 *2975:50 *22602:B1 48.9076 +6 *2975:50 *22269:B1 40.8982 +*END + +*D_NET *2976 0.0387982 +*CONN +*I *22432:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22757:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21963:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21962:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22432:B1 0 +2 *22757:B1 0 +3 *21963:B1 0.00105406 +4 *21962:Y 4.71418e-05 +5 *2976:58 0.00144611 +6 *2976:50 0.00165532 +7 *2976:45 0.00186564 +8 *2976:44 0.00196289 +9 *2976:18 0.00250368 +10 *2976:9 0.0041717 +11 *2976:8 0.00307567 +12 *21963:B1 *21968:B1 0.00029272 +13 *21963:B1 *5452:11 0.00293515 +14 *2976:8 *5858:190 2.08587e-05 +15 *2976:9 *20380:A1 0.00049786 +16 *2976:9 *4863:99 0.000902252 +17 *2976:18 *5860:104 0.000368949 +18 *2976:45 *24497:RESET_B 0.000161043 +19 *2976:45 *3177:168 0.000192671 +20 *2976:45 *3407:23 9.76309e-05 +21 *2976:45 *3428:10 0.000190611 +22 *2976:45 *5295:10 9.8511e-05 +23 *2976:50 *20610:A1 6.08467e-05 +24 *2976:50 *3179:74 9.04506e-05 +25 *2976:58 *22753:C1 1.99382e-05 +26 *2976:58 *22760:C 0.000345048 +27 *2976:58 *2998:28 0.000350077 +28 *2976:58 *3157:54 5.47915e-05 +29 *2976:58 *3157:62 4.69204e-06 +30 *2976:58 *3168:29 0.00025175 +31 *2976:58 *3177:183 6.14756e-06 +32 *2976:58 *3177:192 2.57465e-05 +33 *2976:58 *3177:208 0.000554459 +34 *2976:58 *3179:64 9.12416e-06 +35 *19830:A *21963:B1 4.0752e-05 +36 *19830:B *21963:B1 0.000104408 +37 *19970:A2 *2976:58 1.5714e-05 +38 *20036:A *21963:B1 5.85325e-05 +39 *21560:A1 *2976:58 6.49003e-05 +40 *21683:A1 *2976:45 3.55859e-05 +41 *21684:D *2976:45 0.000652885 +42 *21926:B1 *2976:44 0.000209312 +43 *21927:B1 *2976:18 8.19081e-05 +44 *21927:B1 *2976:44 0.00013241 +45 *22411:B1 *2976:58 0.000667001 +46 *22734:B1 *2976:58 0.00019316 +47 *514:16 *2976:45 9.84424e-06 +48 *1424:8 *2976:18 9.34612e-05 +49 *1424:71 *2976:18 0.000424748 +50 *1424:71 *2976:44 0.00028287 +51 *1427:165 *21963:B1 1.65872e-05 +52 *1435:21 *2976:45 1.17299e-05 +53 *1459:21 *2976:9 0.000783492 +54 *1459:26 *2976:9 9.78275e-05 +55 *1466:41 *2976:18 0.000721117 +56 *1467:117 *2976:8 1.91246e-05 +57 *1506:6 *2976:18 2.8323e-05 +58 *1506:16 *2976:18 3.38919e-05 +59 *1515:12 *2976:18 8.62625e-06 +60 *1537:267 *21963:B1 0.000394062 +61 *1541:15 *2976:18 0.000253916 +62 *1563:46 *21963:B1 5.49916e-05 +63 *1604:29 *2976:45 0.00010443 +64 *1604:42 *2976:45 0.000962324 +65 *1604:68 *2976:45 1.06825e-05 +66 *1604:68 *2976:50 3.31745e-05 +67 *1639:20 *2976:18 6.34651e-06 +68 *1661:9 *2976:45 0.000127194 +69 *1706:46 *2976:45 1.59337e-05 +70 *1725:69 *2976:58 0.000738547 +71 *1755:110 *2976:45 0.000472003 +72 *1756:105 *2976:18 7.2438e-05 +73 *1784:16 *2976:8 2.99929e-05 +74 *1792:16 *21963:B1 5.72524e-05 +75 *2469:35 *2976:18 0.000962306 +76 *2514:16 *2976:18 0 +77 *2517:13 *2976:45 0 +78 *2612:77 *2976:58 3.53797e-05 +79 *2719:22 *21963:B1 0.00298557 +80 *2801:19 *2976:50 0.000443323 +81 *2804:7 *2976:50 1.0758e-05 +82 *2804:24 *2976:50 1.00981e-05 +83 *2943:25 *2976:18 2.31296e-05 +84 *2943:25 *2976:44 6.14767e-05 +85 *2949:32 *21963:B1 0.000831126 +86 *2975:33 *21963:B1 0 +*RES +1 *21962:Y *2976:8 19.6659 +2 *2976:8 *2976:9 47.8647 +3 *2976:9 *2976:18 49.7963 +4 *2976:18 *21963:B1 41.7144 +5 *2976:18 *2976:44 17.1641 +6 *2976:44 *2976:45 48.3402 +7 *2976:45 *2976:50 11.3481 +8 *2976:50 *2976:58 49.9333 +9 *2976:58 *22757:B1 9.24915 +10 *2976:50 *22432:B1 9.24915 +*END + +*D_NET *2977 0.00127151 +*CONN +*I *21969:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *21963:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21969:B1 0.000363314 +2 *21963:X 0.000363314 +3 *19980:B2 *21969:B1 1.17096e-05 +4 *1510:45 *21969:B1 7.14746e-05 +5 *2740:27 *21969:B1 0.000135192 +6 *2949:32 *21969:B1 0.000326506 +*RES +1 *21963:X *21969:B1 34.7664 +*END + +*D_NET *2978 0.128448 +*CONN +*I *6202:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21968:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21964:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *6202:DIODE 0 +2 *21968:A1 0.000174299 +3 *21964:Y 0.000163574 +4 *2978:110 0.00124451 +5 *2978:99 0.00218812 +6 *2978:97 0.00241637 +7 *2978:93 0.00278541 +8 *2978:90 0.00254447 +9 *2978:82 0.00193907 +10 *2978:68 0.00166852 +11 *2978:57 0.00129185 +12 *2978:48 0.00187103 +13 *2978:47 0.00236691 +14 *2978:29 0.00831541 +15 *2978:20 0.00960731 +16 *2978:8 0.00608561 +17 *2978:7 0.00395653 +18 *21968:A1 *22004:A1 2.01828e-05 +19 *2978:7 *5934:25 0.00027329 +20 *2978:8 *20430:B1 5.00938e-05 +21 *2978:8 *20467:B1 0.000122083 +22 *2978:8 *20468:A1 1.90218e-05 +23 *2978:8 *4841:124 0.000354727 +24 *2978:8 *4841:131 0.00210555 +25 *2978:8 *4841:214 0 +26 *2978:8 *4842:139 0.000230693 +27 *2978:8 *4845:69 4.27003e-05 +28 *2978:8 *4888:8 0.000165481 +29 *2978:8 *4888:19 0.000479234 +30 *2978:8 *5138:10 0.00012568 +31 *2978:8 *5458:94 0.000179333 +32 *2978:29 *24441:RESET_B 0.000117093 +33 *2978:29 *4832:60 0 +34 *2978:29 *5352:18 0.000647992 +35 *2978:29 *5528:8 0.000110809 +36 *2978:29 *5864:747 6.89475e-05 +37 *2978:29 *5908:87 0.00144417 +38 *2978:47 *4817:85 0.000124083 +39 *2978:47 *4904:7 8.19374e-05 +40 *2978:47 *5528:12 0.00125416 +41 *2978:47 *5898:201 5.20417e-05 +42 *2978:48 *2989:67 8.62262e-06 +43 *2978:68 *5378:9 0.00012063 +44 *2978:68 *5475:90 0.000329672 +45 *2978:90 *4844:289 0.000154145 +46 *2978:90 *5683:27 0.00128943 +47 *2978:90 *5861:482 9.24241e-05 +48 *2978:90 *5864:344 0.000158371 +49 *2978:93 *24741:RESET_B 4.28856e-07 +50 *2978:93 *5862:8 0.00028152 +51 *2978:97 *5951:8 2.61037e-05 +52 *2978:99 *22546:D 6.50586e-05 +53 *2978:99 *22547:A 0.00035144 +54 *2978:99 *3208:9 0.00329685 +55 *2978:99 *3472:21 0.0001309 +56 *2978:99 *5956:57 0.00275373 +57 *2978:110 *3208:9 0.000233554 +58 mgmt_gpio_oeb[4] *2978:82 4.94045e-05 +59 mgmt_gpio_oeb[5] *2978:68 5.97738e-05 +60 mgmt_gpio_oeb[6] *2978:68 4.23622e-05 +61 mgmt_gpio_out[4] *2978:82 2.37478e-05 +62 mgmt_gpio_out[5] *2978:68 0.000310862 +63 *19793:B *21968:A1 0.000107496 +64 *20430:B2 *2978:8 5.95649e-05 +65 *20434:B *2978:20 0.000112488 +66 *20442:B2 *2978:20 0.000408672 +67 *20467:B2 *2978:8 1.91246e-05 +68 *20469:A2 *2978:8 0 +69 *20996:A2 *2978:48 0.000212062 +70 *21701:B2 *2978:20 0 +71 *21947:D *2978:110 0.000757968 +72 *22063:A *2978:110 0.000198635 +73 *22362:A1 *2978:29 0.00092406 +74 *22546:A *2978:99 0.000133863 +75 *24364:D *2978:97 2.65831e-05 +76 *24594:D *2978:8 0 +77 *24597:D *2978:8 0 +78 *24600:D *2978:8 0 +79 *24615:D *2978:8 9.60366e-05 +80 *508:20 *2978:29 0.00010558 +81 *520:28 *2978:82 0.000664321 +82 *535:38 *2978:82 2.98863e-05 +83 *1439:28 *2978:29 0.000103046 +84 *1551:17 *21968:A1 6.56617e-05 +85 *1557:83 *2978:93 5.10428e-05 +86 *1557:83 *2978:97 0.000641688 +87 *1614:104 *2978:29 0.000568177 +88 *1637:42 *21968:A1 3.86082e-05 +89 *1650:14 *21968:A1 7.6125e-05 +90 *1650:14 *2978:110 0.000260417 +91 *1708:116 *2978:8 0.00111181 +92 *1708:116 *2978:20 3.9199e-05 +93 *1708:124 *2978:8 0.000510828 +94 *1708:137 *2978:8 0.00049015 +95 *1709:47 *2978:47 5.73418e-05 +96 *1741:6 *2978:8 0 +97 *1741:40 *2978:29 0.014088 +98 *1770:31 *2978:20 0.000650009 +99 *1790:158 *2978:29 0.000310849 +100 *1799:21 *2978:8 0.000776396 +101 *1959:10 *2978:20 0.000662731 +102 *1959:12 *2978:20 0.000292926 +103 *1967:22 *2978:8 0.000172527 +104 *1967:42 *2978:8 0 +105 *1968:20 *2978:8 0.000525621 +106 *1968:38 *2978:8 0 +107 *2291:32 *2978:68 0.000692168 +108 *2291:32 *2978:82 0.00154096 +109 *2295:39 *2978:82 4.50847e-05 +110 *2298:17 *2978:29 6.02528e-05 +111 *2301:17 *2978:90 0.000307037 +112 *2357:50 *2978:29 0.000666047 +113 *2378:27 *2978:97 2.5798e-05 +114 *2378:27 *2978:99 1.55025e-05 +115 *2380:62 *2978:47 0.00125864 +116 *2384:32 *2978:29 0.000468647 +117 *2384:74 *2978:48 1.78765e-05 +118 *2384:74 *2978:57 0.00130196 +119 *2423:69 *2978:57 0.000100364 +120 *2425:17 *2978:97 4.19698e-05 +121 *2433:114 *2978:48 0.0034727 +122 *2433:114 *2978:57 1.02764e-05 +123 *2433:116 *2978:57 6.67835e-06 +124 *2433:118 *2978:57 0.00126357 +125 *2446:62 *2978:82 0.000326074 +126 *2446:101 *2978:48 0.0015436 +127 *2446:126 *2978:48 4.31485e-06 +128 *2448:146 *2978:47 0.000110598 +129 *2448:147 *2978:68 0.0018658 +130 *2448:147 *2978:82 0.000962405 +131 *2451:46 *2978:29 0.00566598 +132 *2468:123 *2978:90 3.42931e-05 +133 *2520:21 *2978:20 0.000109235 +134 *2566:28 *2978:20 0 +135 *2586:59 *21968:A1 0.000234508 +136 *2586:59 *2978:110 0.000253305 +137 *2635:47 *2978:29 0.00043036 +138 *2635:47 *2978:47 0.000257429 +139 *2635:48 *2978:48 0.00336193 +140 *2638:8 *2978:110 7.63284e-05 +141 *2679:37 *2978:29 1.89463e-05 +142 *2689:35 *2978:97 0.000396535 +143 *2689:46 *2978:97 0.000161234 +144 *2689:46 *2978:99 0.000310356 +145 *2729:62 *2978:48 0.00175763 +146 *2729:111 *2978:68 0.000596163 +147 *2729:121 *2978:82 0.00052787 +148 *2737:6 *2978:8 0 +149 *2737:10 *2978:8 0 +150 *2797:47 *2978:20 0.000262018 +151 *2827:13 *2978:20 0.000110846 +152 *2837:24 *2978:20 0.000419056 +153 *2837:28 *2978:20 0.00022917 +154 *2846:10 *2978:8 0.000825134 +155 *2846:14 *2978:8 9.70487e-05 +156 *2846:16 *2978:8 0.000105592 +157 *2846:16 *2978:20 0.00181374 +158 *2924:95 *2978:99 6.18969e-05 +159 *2924:95 *2978:110 0.00074187 +160 *2935:13 *2978:110 6.05583e-05 +161 *2938:56 *2978:82 1.088e-05 +162 *2949:32 *21968:A1 0.000200794 +163 *2963:127 *2978:29 0.00139234 +*RES +1 *21964:Y *2978:7 16.691 +2 *2978:7 *2978:8 136.997 +3 *2978:8 *2978:20 43.1475 +4 *2978:20 *2978:29 31.5916 +5 *2978:29 *2978:47 46.4148 +6 *2978:47 *2978:48 88.4121 +7 *2978:48 *2978:57 34.3676 +8 *2978:57 *2978:68 41.5374 +9 *2978:68 *2978:82 46.4367 +10 *2978:82 *2978:90 41.5672 +11 *2978:90 *2978:93 21.4005 +12 *2978:93 *2978:97 34.711 +13 *2978:97 *2978:99 51.1923 +14 *2978:99 *2978:110 35.4016 +15 *2978:110 *21968:A1 21.0386 +16 *2978:110 *6202:DIODE 13.7491 +*END + +*D_NET *2979 0.027794 +*CONN +*I *22756:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22429:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21968:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21965:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22756:B1 0.000165987 +2 *22429:A1 6.48481e-05 +3 *21968:B1 0.00148233 +4 *21965:Y 0.000182333 +5 *2979:46 0.00257564 +6 *2979:42 0.00192675 +7 *2979:32 0.00110041 +8 *2979:29 0.00167456 +9 *2979:20 0.00298755 +10 *2979:5 0.00186344 +11 *21968:B1 *22004:A1 0.000294093 +12 *22429:A1 *22429:A2 0.000114518 +13 *22429:A1 *22448:A 0.000156946 +14 *2979:20 *20609:B1 0.000181405 +15 *2979:20 *3179:103 9.25322e-05 +16 *2979:20 *3509:91 0.000205332 +17 *2979:20 *3511:109 0.000386981 +18 *2979:20 *3513:68 5.8518e-05 +19 *2979:29 *3157:54 5.11322e-06 +20 *2979:29 *3179:43 0.00101588 +21 *2979:29 *3179:74 1.02986e-05 +22 *2979:29 *3179:103 9.29993e-05 +23 *2979:29 *3373:48 0.000420256 +24 *2979:29 *3373:49 0.000267487 +25 *2979:32 *3002:8 0.000324973 +26 *2979:42 *3002:8 0.000276415 +27 *2979:46 *2999:38 0 +28 *19830:A *21968:B1 0.00014642 +29 *19902:A *22429:A1 3.95516e-05 +30 *19949:B *2979:46 0.000114074 +31 *19970:B1 *2979:29 0.000303208 +32 *19979:A1 *2979:46 0.000476889 +33 *19979:B1 *2979:46 0 +34 *20036:B *21968:B1 7.63284e-05 +35 *20036:B *2979:46 5.88776e-05 +36 *20608:A2 *2979:20 4.41408e-05 +37 *21560:A2 *2979:20 1.92481e-05 +38 *21560:C1 *2979:20 0.000167069 +39 *21571:A1 *2979:20 6.08467e-05 +40 *21571:A2 *2979:20 3.24424e-05 +41 *21571:B1 *2979:20 0.000114518 +42 *21571:B2 *2979:20 6.13007e-06 +43 *21926:A1 *2979:46 0.000256189 +44 *21927:A1 *2979:46 6.1578e-06 +45 *21946:A *2979:46 0.000114239 +46 *21963:B1 *21968:B1 0.00029272 +47 *21963:B2 *21968:B1 0.000107496 +48 *21992:A2 *2979:29 9.83856e-05 +49 *22739:A1 *22756:B1 0.000448325 +50 *22739:A1 *2979:5 0.000739784 +51 *1427:165 *21968:B1 0.000107496 +52 *1454:59 *2979:20 0.000361581 +53 *1502:176 *2979:20 3.82228e-05 +54 *1510:85 *2979:42 6.39754e-06 +55 *1510:85 *2979:46 0.0007827 +56 *1537:267 *21968:B1 4.55972e-05 +57 *1541:108 *2979:42 0.000186445 +58 *1640:12 *2979:46 2.64074e-05 +59 *1677:52 *2979:46 2.91747e-05 +60 *1706:21 *2979:46 0.000143708 +61 *1711:65 *2979:46 1.5254e-05 +62 *1711:87 *2979:46 6.73707e-05 +63 *1715:67 *2979:20 3.8627e-06 +64 *1716:46 *2979:20 1.80225e-05 +65 *1725:69 *2979:29 0.000256132 +66 *1732:92 *2979:42 0.000221355 +67 *1732:92 *2979:46 1.30402e-05 +68 *1733:14 *2979:32 0.000316347 +69 *1733:14 *2979:42 0.000280013 +70 *1792:16 *21968:B1 6.08467e-05 +71 *1797:20 *2979:20 1.91246e-05 +72 *2012:45 *2979:20 5.00327e-05 +73 *2504:20 *2979:29 0.000111955 +74 *2598:12 *2979:20 6.1438e-05 +75 *2632:53 *2979:5 5.19897e-05 +76 *2632:67 *22756:B1 0.000159262 +77 *2708:32 *22756:B1 0.000139109 +78 *2708:32 *2979:5 0.000839541 +79 *2709:37 *2979:5 1.65872e-05 +80 *2745:19 *2979:42 0.000286662 +81 *2833:57 *2979:29 0.000325666 +82 *2912:23 *22429:A1 5.19758e-05 +83 *2943:52 *2979:29 0.000796597 +84 *2949:32 *21968:B1 4.30645e-05 +85 *2959:48 *2979:46 5.7958e-05 +86 *2975:33 *21968:B1 0.000152435 +*RES +1 *21965:Y *2979:5 19.4008 +2 *2979:5 *2979:20 45.3575 +3 *2979:20 *2979:29 49.5779 +4 *2979:29 *2979:32 11.315 +5 *2979:32 *2979:42 27.9058 +6 *2979:42 *2979:46 36.0225 +7 *2979:46 *21968:B1 36.8105 +8 *2979:32 *22429:A1 16.691 +9 *2979:5 *22756:B1 15.5427 +*END + +*D_NET *2980 0.0056137 +*CONN +*I *21967:B1 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *21966:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21967:B1 0.00011164 +2 *21966:Y 0.000962532 +3 *2980:6 0.00107417 +4 *21967:B1 *21967:A1_N 0.000257036 +5 *21967:B1 *2981:7 0.000171288 +6 *2980:6 *4843:545 7.08723e-06 +7 *2980:6 *4915:10 3.33081e-05 +8 *20360:B2 *2980:6 0.000260938 +9 *21211:B1 *2980:6 0.000114739 +10 *21377:B1 *2980:6 0 +11 *23981:A0 *21967:B1 0.000111722 +12 *518:75 *21967:B1 2.63805e-05 +13 *542:11 *21967:B1 8.80953e-05 +14 *548:21 *2980:6 0.00124405 +15 *1696:72 *2980:6 0 +16 *1934:15 *2980:6 0.000327431 +17 *1934:26 *2980:6 0.000697423 +18 *2388:8 *2980:6 0 +19 *2428:30 *21967:B1 6.73351e-05 +20 *2560:20 *2980:6 5.85196e-05 +*RES +1 *21966:Y *2980:6 49.2166 +2 *2980:6 *21967:B1 19.464 +*END + +*D_NET *2981 0.0275592 +*CONN +*I *21968:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21967:X O *D sky130_fd_sc_hd__o2bb2a_2 +*CAP +1 *21968:C1 0 +2 *21967:X 0.000127133 +3 *2981:24 0.00218286 +4 *2981:16 0.00339093 +5 *2981:13 0.00166665 +6 *2981:10 0.00131698 +7 *2981:7 0.000985532 +8 *2981:24 *19974:A 0.000146645 +9 *2981:24 *4854:6 9.92046e-06 +10 *2981:24 *4854:16 0.0001448 +11 *2981:24 *5859:352 5.49916e-05 +12 *21234:B2 *2981:24 0.000157366 +13 *21411:A2 *2981:24 2.24648e-05 +14 *21411:B2 *2981:24 0.000199559 +15 *21524:B1 *2981:16 0.000134813 +16 *21967:B1 *2981:7 0.000171288 +17 *24895:D *2981:24 0.000119049 +18 *516:19 *2981:24 0.000608849 +19 *516:25 *2981:16 0.000167053 +20 *516:25 *2981:24 0.000258789 +21 *542:11 *2981:7 0.000508516 +22 *1651:16 *2981:24 0.00010556 +23 *1651:25 *2981:24 9.50794e-05 +24 *1671:30 *2981:16 0.000289841 +25 *1671:38 *2981:16 5.47225e-05 +26 *1695:42 *2981:16 4.23622e-05 +27 *1771:24 *2981:24 0.000111642 +28 *2299:8 *2981:16 0.000224035 +29 *2385:14 *2981:10 0.00218984 +30 *2388:8 *2981:10 0.00219494 +31 *2428:30 *2981:7 6.1708e-05 +32 *2446:33 *2981:13 0.00217025 +33 *2446:33 *2981:16 2.77564e-05 +34 *2645:21 *2981:13 0.000996657 +35 *2740:23 *2981:24 0 +36 *2756:18 *2981:16 0.00156484 +37 *2809:31 *2981:24 0.00318609 +38 *2859:15 *2981:13 0.000485459 +39 *2894:8 *2981:16 0.000218042 +40 *2894:8 *2981:24 0.00111262 +41 *2975:33 *2981:24 5.36085e-05 +*RES +1 *21967:X *2981:7 19.464 +2 *2981:7 *2981:10 45.3657 +3 *2981:10 *2981:13 29.626 +4 *2981:13 *2981:16 40.9756 +5 *2981:16 *2981:24 47.4087 +6 *2981:24 *21968:C1 13.7491 +*END + +*D_NET *2982 0.00154374 +*CONN +*I *21969:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *21968:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21969:C1 0.000416373 +2 *21968:X 0.000416373 +3 *21864:B2 *21969:C1 3.88655e-05 +4 *1443:18 *21969:C1 3.04443e-05 +5 *2740:27 *21969:C1 0.000138789 +6 *2949:32 *21969:C1 0.000502893 +*RES +1 *21968:X *21969:C1 35.8756 +*END + +*D_NET *2983 0.0042698 +*CONN +*I *21995:C I *D sky130_fd_sc_hd__and4_1 +*I *21969:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *21995:C 0 +2 *21969:X 0.000762679 +3 *2983:11 0.000762679 +4 *2983:11 *22004:B1 0.000358585 +5 *19793:B *2983:11 0.000593404 +6 *21864:B2 *2983:11 0.000153872 +7 *21995:A *2983:11 6.50586e-05 +8 *543:12 *2983:11 0.000155181 +9 *1443:18 *2983:11 0.000404547 +10 *1510:64 *2983:11 0.000207266 +11 *1557:22 *2983:11 0.000200794 +12 *1588:36 *2983:11 0.000158642 +13 *1650:13 *2983:11 0.000391603 +14 *2973:27 *2983:11 3.99839e-05 +15 *2975:33 *2983:11 1.55025e-05 +*RES +1 *21969:X *2983:11 43.8486 +2 *2983:11 *21995:C 9.24915 +*END + +*D_NET *2984 0.0347839 +*CONN +*I *22251:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21975:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *22611:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21970:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22251:A1 0.000129983 +2 *21975:A1 5.97649e-05 +3 *22611:B1 1.28414e-05 +4 *21970:Y 0.000468299 +5 *2984:24 0.00171935 +6 *2984:22 0.00187307 +7 *2984:20 0.00119042 +8 *2984:19 0.00178274 +9 *2984:8 0.00383672 +10 *2984:7 0.00347591 +11 *21975:A1 *21975:B1 0.000107496 +12 *21975:A1 *3164:158 2.16355e-05 +13 *22251:A1 *22251:B2 1.03434e-05 +14 *22251:A1 *22253:A2 5.04829e-06 +15 *22251:A1 *3157:200 2.62701e-05 +16 *22251:A1 *3168:170 0.000167061 +17 *22251:A1 *3168:190 0.000241214 +18 *22611:B1 *22611:B2 6.36477e-05 +19 *22611:B1 *22615:C1 6.08467e-05 +20 *2984:7 *20507:B1 0.000101873 +21 *2984:7 *21970:A 1.19856e-05 +22 *2984:7 *4840:284 0.000207892 +23 *2984:8 *20033:A 0.000332528 +24 *2984:8 *20464:A1 0 +25 *2984:8 *20509:B1 1.65078e-05 +26 *2984:8 *20543:B1 0.00090306 +27 *2984:8 *20670:B1 9.63895e-05 +28 *2984:8 *20707:B1 0.00056314 +29 *2984:8 *20882:B1 0.000196653 +30 *2984:8 *21195:A 7.77309e-06 +31 *2984:8 *24352:CLK 1.18802e-05 +32 *2984:8 *24545:CLK 0.000163789 +33 *2984:8 *24568:CLK 9.19809e-05 +34 *2984:8 *24597:RESET_B 0.000148144 +35 *2984:8 *24622:RESET_B 3.28493e-05 +36 *2984:8 *3070:8 8.41551e-05 +37 *2984:8 *4840:101 0.000844486 +38 *2984:8 *4840:283 0.000332528 +39 *2984:8 *4893:38 1.61116e-05 +40 *2984:8 *4893:47 0.000321523 +41 *2984:8 *5853:92 0.00042722 +42 *2984:8 *5855:547 0.0001027 +43 *2984:8 *5857:14 7.21208e-05 +44 *2984:8 *5859:20 0 +45 *2984:8 *5866:316 0.000748403 +46 *2984:8 *5866:322 7.58864e-05 +47 *2984:8 *5866:422 0 +48 *2984:19 *20706:A1 0.000154145 +49 *2984:19 *3047:14 0.00110502 +50 *2984:19 *3070:20 0.000916726 +51 *2984:20 *20443:A1 1.00004e-05 +52 *2984:20 *21942:A 0.00040645 +53 *2984:20 *2985:20 0.00118694 +54 *2984:22 *22253:A2 0.000131175 +55 *2984:22 *22253:B2 0.000172667 +56 *2984:22 *2985:20 1.69932e-05 +57 *2984:22 *3162:150 4.49912e-05 +58 *2984:24 *22253:B2 4.63742e-05 +59 *2984:24 *22256:A2 0.000101489 +60 *2984:24 *22257:A1 7.81434e-05 +61 *2984:24 *22257:A2 0.000166094 +62 *2984:24 *22257:B2 1.94327e-05 +63 *2984:24 *22611:C1 9.12416e-06 +64 *2984:24 *2985:22 0.000198978 +65 *2984:24 *2985:36 0.00143795 +66 *2984:24 *3046:29 0.000100435 +67 *2984:24 *3148:117 1.35264e-05 +68 *2984:24 *3162:150 0.000120121 +69 *2984:24 *3164:153 1.5714e-05 +70 *2984:24 *3173:26 6.60191e-06 +71 *2984:24 *3173:33 0.00147698 +72 *2984:24 *3222:8 0.000140781 +73 *20884:A2 *2984:8 7.77309e-06 +74 *20884:B2 *2984:8 0.000200251 +75 *21806:B2 *2984:20 9.79452e-05 +76 *22034:A2 *2984:20 1.91391e-05 +77 *22253:B1 *22251:A1 7.7434e-05 +78 *22317:B1 *2984:20 0.000260437 +79 *22319:A1 *21975:A1 0.000200794 +80 *22319:B1 *2984:24 1.37385e-05 +81 *24569:D *2984:7 1.4091e-06 +82 *24612:D *2984:19 0.000345051 +83 *1656:8 *2984:8 0 +84 *1789:6 *2984:8 0.000720828 +85 *1800:184 *2984:8 0 +86 *1958:20 *2984:19 0.000558338 +87 *1979:49 *2984:7 6.11359e-06 +88 *1980:33 *2984:7 7.49402e-05 +89 *2136:39 *2984:8 0.000353315 +90 *2136:41 *2984:8 0.000527766 +91 *2347:26 *2984:8 0.000440354 +92 *2541:24 *2984:24 3.19382e-05 +93 *2566:25 *2984:8 0.000263884 +94 *2589:20 *2984:20 2.00352e-05 +95 *2797:47 *2984:19 6.35852e-05 +96 *2956:10 *2984:20 0.00122518 +97 *2956:10 *2984:22 2.12702e-05 +98 *2956:18 *2984:22 1.12605e-05 +*RES +1 *21970:Y *2984:7 21.1278 +2 *2984:7 *2984:8 116.442 +3 *2984:8 *2984:19 39.5262 +4 *2984:19 *2984:20 34.014 +5 *2984:20 *2984:22 4.32351 +6 *2984:22 *2984:24 49.586 +7 *2984:24 *22611:B1 14.4725 +8 *2984:22 *21975:A1 16.1364 +9 *2984:20 *22251:A1 18.9335 +*END + +*D_NET *2985 0.0350755 +*CONN +*I *21975:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22256:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22605:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21971:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21975:B1 0.000161732 +2 *22256:A1 0 +3 *22605:B1 0.000450814 +4 *21971:Y 9.61382e-05 +5 *2985:44 0.002135 +6 *2985:36 0.00294299 +7 *2985:22 0.00172699 +8 *2985:20 0.00180989 +9 *2985:14 0.00202444 +10 *2985:8 0.00254936 +11 *2985:7 0.00180104 +12 *21975:B1 *21975:C1 4.33275e-06 +13 *22605:B1 *3222:8 0.000436031 +14 *22605:B1 *3474:139 1.5714e-05 +15 *2985:8 *20543:A1 7.59214e-05 +16 *2985:8 *20880:B1 2.3939e-05 +17 *2985:8 *24542:SET_B 0.000118268 +18 *2985:8 *24546:CLK 0.000165563 +19 *2985:8 *3070:8 0 +20 *2985:8 *4892:61 0.000166091 +21 *2985:8 *4892:80 0.000585322 +22 *2985:8 *5106:8 2.42029e-05 +23 *2985:8 *5859:28 0.000314045 +24 *2985:8 *5918:24 0.000422488 +25 *2985:8 *5918:30 0.000672016 +26 *2985:14 *24432:RESET_B 0.000156239 +27 *2985:14 *3046:14 8.56022e-05 +28 *2985:14 *5859:84 2.5323e-05 +29 *2985:20 *20443:A1 8.17829e-06 +30 *2985:20 *22024:A1 9.90599e-06 +31 *2985:20 *22253:B2 0.000196999 +32 *2985:20 *3038:8 0.000194449 +33 *2985:20 *3038:17 0.000811418 +34 *2985:22 *22253:B2 1.86178e-05 +35 *2985:22 *22256:A2 0.000107852 +36 *2985:22 *22257:A2 0.000166094 +37 *2985:22 *3038:17 0.000797805 +38 *2985:22 *3164:153 1.91391e-05 +39 *2985:36 *22256:A2 9.12416e-06 +40 *2985:36 *22611:B2 0.000104666 +41 *2985:36 *22616:A 0.000169707 +42 *2985:36 *3038:17 4.45521e-05 +43 *2985:36 *3190:144 1.51357e-06 +44 *2985:44 *22018:B 0 +45 *2985:44 *22062:A 2.56201e-05 +46 *2985:44 *22611:B2 1.38656e-05 +47 *2985:44 *3120:163 0.000130712 +48 *2985:44 *3173:23 0.000366407 +49 *2985:44 *3190:144 0.000275175 +50 *2985:44 *3222:8 6.76936e-05 +51 *2985:44 *3252:17 0.000170837 +52 *19801:A2 *2985:44 0.000197375 +53 *19801:B2 *22605:B1 1.37385e-05 +54 *19801:B2 *2985:44 9.04747e-06 +55 *21806:B2 *2985:20 0.000102511 +56 *21975:A1 *21975:B1 0.000107496 +57 *21975:B2 *21975:B1 5.28741e-05 +58 *22029:A2 *2985:44 0.000167371 +59 *22034:A2 *2985:20 1.3023e-05 +60 *22210:A1 *2985:44 0.000461763 +61 *22317:B1 *2985:20 0.000263963 +62 *24350:D *2985:8 0.000127194 +63 *24431:D *2985:14 0.000129012 +64 *24459:D *2985:8 2.68066e-05 +65 *24459:D *2985:14 7.78287e-05 +66 *24612:D *2985:20 1.91391e-05 +67 *1559:21 *2985:44 0.000136074 +68 *1607:14 *2985:8 0.000285427 +69 *1620:93 *2985:44 0 +70 *1655:77 *22605:B1 0.000276568 +71 *1655:77 *2985:44 0.000104613 +72 *1790:180 *2985:14 1.34691e-05 +73 *1790:180 *2985:20 0.00094055 +74 *1790:191 *2985:8 2.57674e-05 +75 *1790:191 *2985:14 8.07017e-05 +76 *2042:41 *2985:14 0.000521269 +77 *2135:83 *2985:8 0.000323811 +78 *2135:88 *2985:8 0.000568434 +79 *2136:29 *2985:8 0.000280436 +80 *2531:6 *2985:8 0.000810417 +81 *2531:6 *2985:14 0.000195194 +82 *2541:24 *2985:36 0.00138767 +83 *2541:24 *2985:44 0.00123785 +84 *2589:20 *2985:14 0.00056464 +85 *2589:20 *2985:20 4.82779e-06 +86 *2873:17 *2985:36 7.92757e-06 +87 *2984:20 *2985:20 0.00118694 +88 *2984:22 *2985:20 1.69932e-05 +89 *2984:24 *2985:22 0.000198978 +90 *2984:24 *2985:36 0.00143795 +*RES +1 *21971:Y *2985:7 15.0271 +2 *2985:7 *2985:8 67.8571 +3 *2985:8 *2985:14 26.6983 +4 *2985:14 *2985:20 41.3542 +5 *2985:20 *2985:22 15.9506 +6 *2985:22 *2985:36 45.8237 +7 *2985:36 *2985:44 45.8243 +8 *2985:44 *22605:B1 24.5579 +9 *2985:22 *22256:A1 13.7491 +10 *2985:20 *21975:B1 17.8122 +*END + +*D_NET *2986 0.0223702 +*CONN +*I *22251:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21974:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22608:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21972:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22251:B1 4.23152e-05 +2 *21974:A1 0 +3 *22608:A1 0.000309175 +4 *21972:Y 4.36938e-05 +5 *2986:34 0.00203744 +6 *2986:31 0.00217498 +7 *2986:25 0.000565194 +8 *2986:20 0.000605851 +9 *2986:17 0.00317718 +10 *2986:7 0.00277582 +11 *22251:B1 *3166:130 3.31745e-05 +12 *22251:B1 *3168:160 0.000158451 +13 *22608:A1 *22247:A2 0.00051817 +14 *22608:A1 *22249:C 1.6267e-05 +15 *22608:A1 *22608:B2 6.57651e-05 +16 *22608:A1 *22610:B2 0.000111802 +17 *22608:A1 *3211:10 8.91584e-05 +18 *2986:17 *20543:B1 0.000369857 +19 *2986:17 *20670:B1 0.000123263 +20 *2986:17 *20707:B1 0 +21 *2986:17 *3070:20 0 +22 *2986:17 *3134:194 5.97744e-05 +23 *2986:17 *4839:105 1.43499e-05 +24 *2986:17 *4840:101 9.22013e-06 +25 *2986:17 *5853:92 0.000183895 +26 *2986:20 *22023:A1 5.94319e-06 +27 *2986:20 *22252:C1 0.000106656 +28 *2986:25 *3236:8 6.08467e-05 +29 *2986:31 *21975:C1 0 +30 *2986:31 *3162:129 1.03403e-05 +31 *2986:31 *3168:160 9.80242e-07 +32 *2986:31 *3236:8 1.34424e-05 +33 *2986:34 *22230:A2 1.01585e-05 +34 *2986:34 *22249:B 9.6497e-05 +35 *2986:34 *22249:C 0.00158683 +36 *2986:34 *3128:166 0.000296287 +37 *2986:34 *3162:129 8.08437e-05 +38 *2986:34 *3164:153 1.91391e-05 +39 *2986:34 *3192:170 5.73369e-05 +40 *2986:34 *3211:10 6.99044e-06 +41 *2986:34 *3212:19 0.000271095 +42 *19853:A2 *2986:20 8.37728e-06 +43 *19864:B2 *2986:34 0.000507142 +44 *19906:B2 *2986:34 5.61969e-05 +45 *21701:A2 *2986:20 5.65354e-05 +46 *21806:A1 *2986:20 7.13655e-06 +47 *22023:B2 *2986:17 8.49098e-05 +48 *22275:A1 *2986:31 0.000114594 +49 *24429:D *2986:17 0.000102079 +50 *489:78 *2986:17 0.000405643 +51 *1616:195 *22608:A1 0.000170638 +52 *1616:195 *2986:34 0.000240018 +53 *1631:106 *2986:20 8.5935e-05 +54 *1631:106 *2986:25 7.77309e-06 +55 *1644:10 *2986:34 0.000139911 +56 *1644:14 *2986:34 4.82779e-06 +57 *1654:12 *2986:17 2.01535e-05 +58 *1654:29 *2986:17 9.28471e-05 +59 *1655:135 *22608:A1 1.47875e-05 +60 *1655:161 *2986:34 0.000147687 +61 *1658:86 *2986:34 0.000101216 +62 *1663:30 *2986:34 0 +63 *1725:181 *2986:17 4.9425e-05 +64 *1770:58 *2986:34 0 +65 *1800:184 *2986:17 0.00140352 +66 *2293:16 *2986:20 0.000217331 +67 *2551:44 *22608:A1 0.000250244 +68 *2551:44 *2986:34 8.17829e-06 +69 *2551:55 *22608:A1 1.30575e-05 +70 *2566:28 *2986:17 0.000137285 +71 *2601:8 *2986:17 0.00063458 +72 *2601:23 *22251:B1 6.08467e-05 +73 *2601:26 *2986:25 0.000178804 +74 *2602:32 *2986:17 2.05082e-05 +75 *2620:37 *2986:17 6.03237e-05 +76 *2738:13 *2986:17 6.22732e-06 +77 *2822:8 *2986:20 0.000415218 +78 *2822:8 *2986:25 0.000203366 +79 *2850:34 *2986:31 0.000234742 +*RES +1 *21972:Y *2986:7 14.4725 +2 *2986:7 *2986:17 47.0496 +3 *2986:17 *2986:20 15.3745 +4 *2986:20 *2986:25 9.13165 +5 *2986:25 *2986:31 11.7581 +6 *2986:31 *2986:34 49.2807 +7 *2986:34 *22608:A1 25.0213 +8 *2986:25 *21974:A1 9.24915 +9 *2986:20 *22251:B1 15.5817 +*END + +*D_NET *2987 0.030346 +*CONN +*I *22444:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *22748:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21974:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21973:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22444:A1 0.000249091 +2 *22748:A1 0 +3 *21974:B1 0.00152748 +4 *21973:Y 0.000351178 +5 *2987:69 0.00127243 +6 *2987:50 0.00422202 +7 *2987:15 0.00545213 +8 *2987:10 0.00157532 +9 *21974:B1 *22220:A2 4.65468e-05 +10 *21974:B1 *3164:131 0.000745741 +11 *21974:B1 *3164:153 0.000103674 +12 *21974:B1 *3168:150 0.000188738 +13 *21974:B1 *3168:160 7.92757e-06 +14 *21974:B1 *3236:8 0.000154145 +15 *22444:A1 *22444:B2 0.000267467 +16 *22444:A1 *3111:223 0.000107496 +17 *2987:10 *4126:68 1.83795e-06 +18 *2987:15 *20494:A1 3.1218e-05 +19 *2987:50 *3120:195 0.00061497 +20 *2987:50 *3415:14 3.15979e-05 +21 *2987:50 *3671:20 1.5714e-05 +22 *2987:69 *22060:A1 5.9257e-05 +23 *2987:69 *22748:A2 6.3657e-05 +24 *2987:69 *3026:44 1.65872e-05 +25 *2987:69 *3062:18 8.65573e-05 +26 *2987:69 *3069:42 0 +27 *21512:B2 *2987:69 0.000158451 +28 *21783:B2 *2987:50 5.63459e-06 +29 *21814:B1 *21974:B1 0.000105691 +30 *22208:B1 *2987:15 0 +31 *22275:A1 *21974:B1 6.2973e-05 +32 *22275:B1 *21974:B1 0.000524694 +33 *22730:B1 *2987:50 0.000405554 +34 *22748:B2 *2987:69 6.36477e-05 +35 *24649:D *2987:10 3.83851e-05 +36 *1565:12 *2987:15 0.000158304 +37 *1575:39 *2987:50 6.52144e-05 +38 *1598:91 *2987:69 0.00149812 +39 *1602:74 *2987:50 0.000313003 +40 *1625:146 *2987:50 0.000140927 +41 *1644:10 *21974:B1 2.27135e-05 +42 *1721:154 *2987:69 0.000175937 +43 *1725:181 *2987:50 0.00130467 +44 *1730:184 *2987:50 0.00015487 +45 *1732:10 *2987:10 4.62947e-05 +46 *1732:12 *2987:10 1.62497e-05 +47 *1732:12 *2987:15 0.00163764 +48 *1784:71 *2987:50 0.000615099 +49 *1787:40 *21974:B1 6.57145e-06 +50 *1796:10 *2987:69 4.49767e-05 +51 *2093:158 *2987:69 0.000162112 +52 *2117:143 *22444:A1 1.55025e-05 +53 *2117:143 *2987:50 1.17292e-05 +54 *2298:17 *21974:B1 0.000120515 +55 *2298:17 *2987:15 3.06313e-05 +56 *2564:8 *2987:10 3.52645e-06 +57 *2564:8 *2987:15 0 +58 *2564:18 *2987:15 0.00112926 +59 *2565:36 *2987:50 0.000201164 +60 *2565:49 *22444:A1 5.3756e-05 +61 *2602:12 *2987:10 0.000195139 +62 *2654:17 *2987:15 0.00059717 +63 *2654:22 *2987:50 0 +64 *2661:30 *2987:50 3.63991e-05 +65 *2661:30 *2987:69 6.14756e-06 +66 *2697:25 *2987:50 0.00126368 +67 *2764:42 *2987:10 0.000191541 +68 *2771:58 *22444:A1 9.95234e-05 +69 *2778:28 *2987:50 0.000528052 +70 *2778:28 *2987:69 0.000101489 +71 *2826:19 *21974:B1 2.2603e-05 +72 *2837:8 *2987:10 0.000114711 +73 *2845:14 *2987:50 0.000277098 +74 *2845:22 *2987:50 0.000108289 +75 *2850:12 *21974:B1 1.5714e-05 +76 *2850:34 *21974:B1 0.000154145 +77 *2918:16 *21974:B1 0 +78 *2918:16 *2987:15 8.14942e-05 +79 *2954:44 *2987:50 0 +80 *2963:42 *21974:B1 4.20312e-06 +81 *2963:42 *2987:50 6.2045e-05 +*RES +1 *21973:Y *2987:10 24.4508 +2 *2987:10 *2987:15 34.2254 +3 *2987:15 *21974:B1 23.5603 +4 *2987:15 *2987:50 35.7982 +5 *2987:50 *2987:69 48.6288 +6 *2987:69 *22748:A1 9.24915 +7 *2987:50 *22444:A1 21.1278 +*END + +*D_NET *2988 0.00115086 +*CONN +*I *21975:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *21974:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21975:C1 0.000328624 +2 *21974:X 0.000328624 +3 *21975:C1 *3236:8 0.000489283 +4 *21975:B1 *21975:C1 4.33275e-06 +5 *22319:A1 *21975:C1 0 +6 *2986:31 *21975:C1 0 +*RES +1 *21974:X *21975:C1 26.311 +*END + +*D_NET *2989 0.0907112 +*CONN +*I *21994:A I *D sky130_fd_sc_hd__and4_1 +*I *6203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21975:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *21994:A 0.000227715 +2 *6203:DIODE 0 +3 *21975:X 0 +4 *2989:94 0.000239354 +5 *2989:91 0.00934698 +6 *2989:80 0.00997751 +7 *2989:67 0.00161027 +8 *2989:63 0.00130486 +9 *2989:55 0.000841745 +10 *2989:47 0.00149126 +11 *2989:33 0.00483927 +12 *2989:32 0.00489629 +13 *2989:5 0.00498925 +14 *2989:4 0.00394595 +15 *21994:A *2991:54 9.66159e-05 +16 *21994:A *3008:18 0.000107496 +17 *2989:5 *22025:A 3.26015e-05 +18 *2989:5 *22297:A2 0.00010072 +19 *2989:5 *22339:A2 0.000207266 +20 *2989:5 *22361:A2 0.000544481 +21 *2989:5 *22362:C1 8.97318e-05 +22 *2989:5 *3162:156 0.000438346 +23 *2989:5 *3162:181 0.000121411 +24 *2989:5 *3162:187 2.65667e-05 +25 *2989:5 *3166:166 0.000304871 +26 *2989:5 *3320:10 0.000130296 +27 *2989:5 *4805:14 6.08467e-05 +28 *2989:5 *4815:51 0.000111722 +29 *2989:5 *5090:21 4.09681e-05 +30 *2989:32 *24764:SET_B 9.47861e-05 +31 *2989:32 *3257:20 0.000336852 +32 *2989:32 *4815:35 0.000323597 +33 *2989:32 *4815:51 0.000259124 +34 *2989:32 *5091:9 0.000766897 +35 *2989:32 *5091:22 0.000358009 +36 *2989:32 *5865:88 0.000517798 +37 *2989:32 *5865:91 1.91391e-05 +38 *2989:33 *24688:CLK 2.61955e-05 +39 *2989:33 *4827:105 6.71044e-05 +40 *2989:33 *4872:90 0.000896074 +41 *2989:33 *5092:8 2.26283e-05 +42 *2989:33 *5529:7 0.000112149 +43 *2989:47 *4814:64 0.000872263 +44 *2989:47 *4818:110 0.000131084 +45 *2989:47 *4828:50 0.000427722 +46 *2989:55 *4823:69 0.00153941 +47 *2989:55 *5902:18 1.5254e-05 +48 *2989:63 *5529:29 6.51628e-05 +49 *2989:63 *5913:18 0.00158006 +50 *2989:67 *5406:5 3.24705e-06 +51 *2989:67 *5529:29 0.000650712 +52 *2989:80 *25247:A 7.52353e-05 +53 *2989:80 *4821:125 0.000119289 +54 *2989:80 *4829:125 3.30369e-05 +55 *2989:80 *4829:127 0.00120938 +56 *2989:80 *5475:112 2.10564e-05 +57 *2989:80 *5898:194 0.000995376 +58 *2989:80 *5913:17 0.000105254 +59 *2989:91 *2990:19 0.00613418 +60 *2989:91 *3120:41 0.000264394 +61 *2989:91 *3212:52 0.000182051 +62 *2989:91 *4829:125 0.000566197 +63 *2989:91 *5475:83 0.00161375 +64 *2989:91 *5886:20 0.000265385 +65 *2989:91 *5898:191 0.000531506 +66 *2989:91 *5910:26 0.000984272 +67 *2989:94 *2991:54 7.13655e-06 +68 mgmt_gpio_oeb[7] *2989:91 2.82399e-05 +69 mgmt_gpio_out[7] *2989:91 0 +70 *20133:A2 *2989:91 0.000174445 +71 *21091:A2 *2989:5 0.000580043 +72 *21092:A2 *2989:5 2.15184e-05 +73 *21921:A1 *21994:A 0.000382953 +74 *22319:A1 *2989:5 0.000103686 +75 *22383:A1 *2989:32 0.000568322 +76 *24213:D *2989:5 0.000107496 +77 *24688:D *2989:33 7.89747e-05 +78 *25138:A *2989:47 7.67667e-05 +79 *25171:A *2989:91 6.26347e-06 +80 *490:83 *2989:47 0.000320024 +81 *506:22 *2989:91 0.000314439 +82 *527:46 *2989:91 0.000277634 +83 *539:34 *2989:67 1.55025e-05 +84 *549:23 *2989:91 0.0041341 +85 *1551:154 *2989:32 0.000123731 +86 *1596:134 *2989:32 0.000119263 +87 *1614:105 *2989:32 5.01835e-05 +88 *1634:30 *2989:32 3.88213e-05 +89 *1709:47 *2989:63 2.61955e-05 +90 *1741:40 *2989:47 0.000288257 +91 *1741:55 *2989:63 1.56384e-05 +92 *1741:64 *2989:91 0.000409857 +93 *1886:49 *2989:32 0.000148298 +94 *2257:85 *2989:80 8.18772e-06 +95 *2278:39 *2989:91 0.000146638 +96 *2282:78 *2989:91 0 +97 *2287:62 *2989:33 2.06668e-05 +98 *2291:61 *2989:80 6.61437e-06 +99 *2295:42 *2989:80 7.91475e-05 +100 *2295:42 *2989:91 0.00105282 +101 *2381:38 *2989:91 0.000254026 +102 *2384:32 *2989:47 0.000461639 +103 *2389:56 *2989:91 0.000197062 +104 *2391:34 *2989:91 0.000689164 +105 *2391:77 *2989:63 0.000108609 +106 *2404:11 *2989:5 7.70454e-05 +107 *2404:85 *2989:67 0.000352722 +108 *2404:101 *2989:91 1.83127e-05 +109 *2415:19 *2989:91 0 +110 *2421:115 *2989:67 0.000327799 +111 *2421:125 *2989:91 9.80745e-06 +112 *2433:113 *2989:67 0.00182371 +113 *2433:114 *2989:67 3.27606e-06 +114 *2446:126 *2989:67 0.00130573 +115 *2467:49 *2989:47 0.00073485 +116 *2471:56 *2989:80 0.000235581 +117 *2471:56 *2989:91 0.000194378 +118 *2480:8 *2989:32 1.5714e-05 +119 *2495:10 *2989:32 5.46889e-05 +120 *2529:24 *21994:A 0.000153516 +121 *2529:24 *2989:94 1.91391e-05 +122 *2554:21 *2989:32 4.23622e-05 +123 *2641:30 *2989:91 0.000985044 +124 *2679:37 *2989:47 4.70256e-05 +125 *2692:31 *2989:47 2.09259e-05 +126 *2692:53 *2989:55 0.000160381 +127 *2729:62 *2989:67 1.87125e-05 +128 *2791:46 *2989:67 0.000100364 +129 *2882:38 *2989:91 0.000267016 +130 *2930:17 *2989:91 0.000503454 +131 *2938:30 *2989:55 0.00173721 +132 *2938:34 *2989:63 0.00155678 +133 *2938:34 *2989:67 1.05862e-05 +134 *2938:40 *2989:91 0.000174019 +135 *2978:48 *2989:67 8.62262e-06 +*RES +1 *21975:X *2989:4 9.24915 +2 *2989:4 *2989:5 60.066 +3 *2989:5 *2989:32 45.1684 +4 *2989:32 *2989:33 55.6292 +5 *2989:33 *2989:47 22.6316 +6 *2989:47 *2989:55 40.5682 +7 *2989:55 *2989:63 36.9127 +8 *2989:63 *2989:67 47.622 +9 *2989:67 *2989:80 35.2409 +10 *2989:80 *2989:91 49.9998 +11 *2989:91 *2989:94 3.7474 +12 *2989:94 *6203:DIODE 13.7491 +13 *2989:94 *21994:A 21.4325 +*END + +*D_NET *2990 0.0521537 +*CONN +*I *22601:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22266:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21981:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21976:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22601:A1 0 +2 *22266:B1 0.00119549 +3 *21981:A1 0.00117371 +4 *21976:Y 0.000143649 +5 *2990:66 0.00146338 +6 *2990:62 0.00365343 +7 *2990:46 0.00444843 +8 *2990:36 0.00249353 +9 *2990:19 0.00391893 +10 *2990:7 0.0043195 +11 *21981:A1 *21994:B 1.37189e-05 +12 *22266:B1 *22237:A2 3.99086e-06 +13 *22266:B1 *22266:A2 4.31603e-06 +14 *22266:B1 *22266:B2 7.11901e-05 +15 *22266:B1 *22266:C1 7.44364e-06 +16 *22266:B1 *22601:A2 0.000107496 +17 *22266:B1 *22601:B1 7.68713e-05 +18 *22266:B1 *3196:209 0.000117455 +19 *22266:B1 *3471:227 0.000695381 +20 *22266:B1 *4848:30 0.000718429 +21 *2990:7 *21976:A 3.81056e-05 +22 *2990:7 *4908:19 0.000200794 +23 *2990:7 *4908:71 4.66492e-05 +24 *2990:19 *20616:B1 0.000347214 +25 *2990:19 *3139:156 0.000389493 +26 *2990:19 *4908:22 0.000346918 +27 *2990:19 *5475:83 0.00114833 +28 *2990:19 *5859:230 2.87757e-05 +29 *2990:19 *5862:101 0.000144051 +30 *2990:36 *20619:B1 5.56367e-05 +31 *2990:36 *20622:A1 0.000134176 +32 *2990:36 *4813:37 7.27261e-05 +33 *2990:36 *4819:40 0.000890494 +34 *2990:36 *4866:121 0.000172185 +35 *2990:36 *4908:22 1.72347e-05 +36 *2990:36 *5862:118 0.000298856 +37 *2990:46 *24249:CLK 2.77419e-05 +38 *2990:46 *3466:125 0.000659158 +39 *2990:46 *3466:145 0.000739593 +40 *2990:62 *22365:A2 0.000207901 +41 *2990:62 *22625:B1 0.000148852 +42 *2990:62 *3204:165 0.000438874 +43 *2990:62 *4822:80 0.000101285 +44 *2990:62 *5919:72 0.000514929 +45 *2990:66 *22601:A2 0.00016553 +46 *2990:66 *22617:A 0.000110949 +47 *2990:66 *22635:D 0.000232052 +48 *21309:B2 *2990:62 0.00016198 +49 *21372:A2 *2990:62 0.000406879 +50 *21554:A2 *21981:A1 7.02172e-06 +51 *21554:B1 *21981:A1 8.74216e-05 +52 *21554:B2 *21981:A1 0.000252987 +53 *21582:A1 *21981:A1 1.88014e-05 +54 *21582:A2 *21981:A1 1.25417e-05 +55 *21582:B1 *21981:A1 0.000340742 +56 *21658:C1 *2990:62 0.000184095 +57 *21981:A2 *21981:A1 1.47978e-05 +58 *22693:A1 *2990:62 6.22259e-05 +59 *504:14 *2990:19 0.000143419 +60 *504:14 *2990:36 0.000133075 +61 *506:22 *2990:19 0.00237819 +62 *1418:29 *2990:36 0.000190505 +63 *1418:50 *2990:36 0.000327306 +64 *1450:188 *2990:62 0.000171193 +65 *1562:39 *22266:B1 1.83048e-06 +66 *1562:39 *2990:66 4.42887e-05 +67 *1573:141 *2990:46 3.86928e-05 +68 *1577:97 *2990:62 9.16971e-05 +69 *1584:153 *2990:46 0.00110102 +70 *1616:71 *2990:62 0.00056582 +71 *1631:57 *2990:62 0.00111655 +72 *1652:28 *2990:7 4.31539e-05 +73 *1658:242 *2990:62 0.00059336 +74 *1669:181 *21981:A1 2.25279e-05 +75 *1751:145 *2990:62 0.000507567 +76 *2178:14 *2990:19 0.000205785 +77 *2256:37 *2990:19 0.000698438 +78 *2304:58 *2990:46 0.000144894 +79 *2361:54 *2990:62 0.000118459 +80 *2440:67 *2990:62 0.000387391 +81 *2492:7 *2990:46 6.52402e-05 +82 *2570:99 *2990:46 0.000228425 +83 *2570:99 *2990:62 0.000408144 +84 *2572:23 *2990:46 0.000152164 +85 *2577:48 *21981:A1 1.91246e-05 +86 *2581:42 *21981:A1 0.000138456 +87 *2613:48 *22266:B1 0.000730379 +88 *2639:19 *21981:A1 0.000154145 +89 *2642:27 *2990:36 0.000470973 +90 *2772:38 *2990:62 0.000200794 +91 *2813:47 *2990:62 0.000214696 +92 *2825:12 *21981:A1 3.88245e-05 +93 *2857:18 *2990:66 0.000219855 +94 *2908:29 *2990:62 9.88274e-05 +95 *2989:91 *2990:19 0.00613418 +*RES +1 *21976:Y *2990:7 17.8002 +2 *2990:7 *2990:19 48.1331 +3 *2990:19 *21981:A1 33.8602 +4 *2990:7 *2990:36 43.9647 +5 *2990:36 *2990:46 39.2736 +6 *2990:46 *2990:62 49.8013 +7 *2990:62 *2990:66 13.6368 +8 *2990:66 *22266:B1 47.4995 +9 *2990:66 *22601:A1 9.24915 +*END + +*D_NET *2991 0.0431309 +*CONN +*I *22260:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22606:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21981:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21977:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22260:B1 0 +2 *22606:B1 0.000201191 +3 *21981:B1 0 +4 *21977:Y 0.00914665 +5 *2991:70 0.00131052 +6 *2991:67 0.00303254 +7 *2991:54 0.00295135 +8 *2991:27 0.00106864 +9 *2991:25 0.00918715 +10 *22606:B1 *22260:A1 7.85164e-05 +11 *22606:B1 *22260:A2 6.08467e-05 +12 *22606:B1 *22260:B2 6.57406e-05 +13 *22606:B1 *22555:B2 7.90844e-05 +14 *22606:B1 *3500:168 2.764e-05 +15 *2991:25 *20237:B1 4.235e-05 +16 *2991:25 *21995:D 0.00264478 +17 *2991:25 *22496:B2 8.92474e-05 +18 *2991:25 *3071:42 0.000494698 +19 *2991:25 *3124:47 0.000416518 +20 *2991:25 *3136:48 0.000363051 +21 *2991:25 *4832:109 0 +22 *2991:25 *5851:389 2.26985e-05 +23 *2991:25 *5860:466 0 +24 *2991:27 *2994:55 0 +25 *2991:54 *21994:D 7.13655e-06 +26 *2991:54 *2994:55 0.000114584 +27 *2991:54 *3001:22 4.60221e-06 +28 *2991:67 *21987:A1 7.75162e-05 +29 *2991:67 *22337:D 8.42687e-05 +30 *2991:67 *22662:A2 0.000198942 +31 *2991:67 *3054:18 0.000790721 +32 *2991:67 *3128:60 0.00045051 +33 *2991:67 *3206:146 8.95942e-05 +34 *2991:67 *3507:34 3.57291e-06 +35 *2991:67 *3511:34 2.92771e-05 +36 *2991:67 *3511:63 8.04172e-05 +37 *2991:67 *3519:332 6.48384e-06 +38 *2991:67 *3607:10 0.000163194 +39 *2991:70 *3607:10 2.45949e-05 +40 *21476:B1 *2991:67 2.07178e-05 +41 *21576:A2 *2991:67 3.17436e-05 +42 *21590:D *2991:54 0.000136168 +43 *21801:A1 *2991:70 0.000146159 +44 *21801:A2 *2991:70 0.000113812 +45 *21981:A2 *2991:27 4.80635e-06 +46 *21981:A2 *2991:54 1.64789e-05 +47 *21981:B2 *2991:25 1.41976e-05 +48 *21981:B2 *2991:27 4.54682e-05 +49 *21994:A *2991:54 9.66159e-05 +50 *22335:B1 *2991:54 6.99044e-06 +51 *22335:B1 *2991:67 0.000664484 +52 *22497:A1 *2991:54 1.66824e-05 +53 *22662:B1 *2991:67 0.000190713 +54 *22663:B1 *2991:70 0.000109798 +55 *1553:36 *2991:25 0.000344737 +56 *1576:35 *2991:67 0.000357395 +57 *1577:26 *2991:25 0.00185074 +58 *1584:49 *2991:70 0.00089801 +59 *1641:83 *2991:67 0.000431888 +60 *1641:102 *2991:67 0.000124499 +61 *1688:91 *2991:67 8.90777e-05 +62 *1790:43 *2991:25 0.000130248 +63 *2502:74 *2991:67 0.000114337 +64 *2529:19 *2991:25 0.000151207 +65 *2529:24 *2991:54 0.000259847 +66 *2529:24 *2991:67 0.000248111 +67 *2531:91 *2991:67 7.13069e-05 +68 *2532:18 *2991:67 8.54221e-05 +69 *2539:35 *2991:67 3.1787e-05 +70 *2541:36 *2991:70 0.000322641 +71 *2541:48 *2991:70 0.00117492 +72 *2577:58 *2991:54 0.00057499 +73 *2577:58 *2991:67 8.17829e-06 +74 *2590:17 *2991:25 1.66943e-05 +75 *2590:17 *2991:54 0.000207883 +76 *2641:51 *2991:54 3.07319e-05 +77 *2645:50 *2991:25 0 +78 *2667:72 *2991:25 0 +79 *2667:93 *2991:54 0 +80 *2903:8 *2991:25 1.91246e-05 +81 *2919:42 *2991:67 0.000537389 +82 *2931:26 *2991:25 1.9101e-05 +83 *2989:94 *2991:54 7.13655e-06 +*RES +1 *21977:Y *2991:25 49.4609 +2 *2991:25 *2991:27 1.39857 +3 *2991:27 *21981:B1 9.24915 +4 *2991:27 *2991:54 32.9307 +5 *2991:54 *2991:67 40.6864 +6 *2991:67 *2991:70 36.4378 +7 *2991:70 *22606:B1 15.615 +8 *2991:70 *22260:B1 9.24915 +*END + +*D_NET *2992 0.0142981 +*CONN +*I *21980:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21978:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *21980:A1 0.000147315 +2 *21978:Y 0.000350293 +3 *2992:18 0.000191047 +4 *2992:17 0.000100514 +5 *2992:12 0.00186163 +6 *2992:10 0.00302524 +7 *2992:7 0.00157068 +8 *2992:10 *19702:B1 7.01068e-05 +9 *2992:10 *24601:CLK 5.31056e-05 +10 *2992:10 *25279:A 8.86105e-05 +11 *2992:10 *4925:20 5.49252e-05 +12 *2992:10 *5758:8 4.21995e-05 +13 *2992:12 *20582:B1 7.48744e-05 +14 *2992:12 *21704:A 6.42535e-05 +15 *2992:12 *24520:CLK 0.000815868 +16 *2992:12 *24604:RESET_B 4.42142e-05 +17 *2992:12 *4925:20 0.00012159 +18 *2992:12 *5075:8 5.20315e-05 +19 *19654:B *2992:10 0.000219686 +20 *19695:A *2992:10 4.5648e-05 +21 *19702:A2 *2992:10 5.41377e-05 +22 *19978:B *21980:A1 6.50727e-05 +23 *20453:A *2992:12 9.60684e-05 +24 *20454:A *2992:12 0.000280486 +25 *20580:B2 *2992:12 0.000261885 +26 *21523:B1 *2992:12 9.7133e-05 +27 *21933:B1 *2992:12 0.000142625 +28 *24859:D *2992:10 4.70005e-05 +29 *1424:15 *21980:A1 1.80122e-05 +30 *1485:50 *2992:17 2.65667e-05 +31 *1485:54 *21980:A1 0.000393863 +32 *1485:54 *2992:17 7.68538e-06 +33 *1497:36 *2992:12 4.34856e-05 +34 *1497:38 *2992:10 7.19e-05 +35 *1499:11 *2992:10 0.000165481 +36 *1502:65 *2992:7 0.000581012 +37 *1573:33 *2992:12 2.01653e-05 +38 *1668:34 *2992:10 0 +39 *1783:100 *2992:12 6.14051e-05 +40 *1792:37 *2992:12 3.22339e-05 +41 *2004:27 *2992:12 0.00077747 +42 *2579:8 *2992:10 0 +43 *2579:16 *2992:12 6.48689e-05 +44 *2580:16 *2992:12 0.000418514 +45 *2833:8 *2992:12 0 +46 *2835:14 *2992:10 0.00113962 +47 *2835:14 *2992:12 0.000437585 +*RES +1 *21978:Y *2992:7 20.0186 +2 *2992:7 *2992:10 37.507 +3 *2992:10 *2992:12 56.169 +4 *2992:12 *2992:17 15.0271 +5 *2992:17 *2992:18 57.9449 +6 *2992:18 *21980:A1 23.1039 +*END + +*D_NET *2993 0.0404597 +*CONN +*I *21980:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *23925:A I *D sky130_fd_sc_hd__nor2_2 +*I *21979:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *21980:B1 0.000212641 +2 *23925:A 0.000200307 +3 *21979:Y 0 +4 *2993:48 0.00108917 +5 *2993:42 0.00179201 +6 *2993:33 0.00212332 +7 *2993:29 0.00207582 +8 *2993:20 0.00250811 +9 *2993:18 0.00191814 +10 *2993:15 0.00167793 +11 *2993:4 0.00162489 +12 *21980:B1 *20347:A0 5.04829e-06 +13 *21980:B1 *5860:110 0.000145228 +14 *23925:A *5682:16 0.000252495 +15 *2993:15 *19626:A1 0.000344755 +16 *2993:15 *19626:B1 0.000205659 +17 *2993:15 *20347:A0 6.55312e-05 +18 *2993:15 *20379:A1 0.000442925 +19 *2993:15 *20379:B1 3.28463e-05 +20 *2993:15 *21457:A 2.11197e-05 +21 *2993:15 *4926:7 0.000206696 +22 *2993:20 *20372:A1 0.000199976 +23 *2993:20 *20374:A1 5.41227e-05 +24 *2993:20 *21726:A 0.000298473 +25 *2993:20 *21985:A 0.000160467 +26 *2993:20 *3177:130 0.000127402 +27 *2993:20 *5851:171 0.000276869 +28 *2993:20 *5869:21 0.000419785 +29 *2993:20 *5869:27 0.000121515 +30 *2993:20 *5869:41 0.000756156 +31 *2993:29 *24633:CLK 6.08467e-05 +32 *2993:29 *4905:98 2.52383e-05 +33 *2993:29 *4905:109 0.000145903 +34 *2993:29 *4905:114 1.65872e-05 +35 *2993:29 *5851:171 0.00072718 +36 *2993:29 *5854:578 0.000170577 +37 *2993:29 *5858:166 0.000112892 +38 *2993:29 *5858:179 0.000156075 +39 *2993:33 *3411:32 0.000602357 +40 *2993:33 *4799:22 0.00203337 +41 *2993:33 *4905:109 4.63742e-05 +42 *2993:33 *5856:194 1.01851e-05 +43 *2993:33 *5860:46 0.000171152 +44 *2993:42 *21674:A 6.71498e-05 +45 *2993:42 *21836:A 0.000115573 +46 *2993:42 *25378:A 6.7034e-05 +47 *2993:42 *3411:32 0 +48 *2993:42 *4799:22 0.000348054 +49 *2993:42 *5869:485 0.000615108 +50 *2993:42 *5869:510 8.98169e-05 +51 *2993:48 *20562:A1 0.000446985 +52 *2993:48 *2998:13 5.51483e-06 +53 *20374:B2 *2993:20 0.000118485 +54 *20379:A2 *2993:18 0.000205685 +55 *20642:A2 *2993:33 0.000169958 +56 *21345:A1 *2993:33 0.000294564 +57 *24655:D *2993:20 0.000457557 +58 *24657:D *2993:18 1.03594e-05 +59 *24888:D *2993:42 0.000153942 +60 *1422:31 *2993:20 0.000143047 +61 *1485:66 *2993:15 0.00180359 +62 *1497:27 *21980:B1 5.18062e-06 +63 *1573:18 *21980:B1 1.23546e-05 +64 *1689:71 *2993:48 0.000190806 +65 *1699:48 *2993:48 0.00203008 +66 *1700:10 *21980:B1 0.000138988 +67 *1731:107 *2993:48 8.98943e-05 +68 *1744:156 *2993:48 3.58208e-05 +69 *1753:26 *2993:20 0.000132495 +70 *1753:26 *2993:29 0.00156168 +71 *1753:30 *2993:18 1.45799e-05 +72 *1753:30 *2993:20 0.000120512 +73 *1755:15 *21980:B1 1.23668e-05 +74 *1784:32 *2993:42 0 +75 *1784:47 *2993:42 0.000294902 +76 *1939:10 *2993:20 1.3807e-05 +77 *1939:12 *2993:18 0.00018122 +78 *1939:12 *2993:20 5.56677e-05 +79 *2021:41 *2993:33 2.25583e-07 +80 *2442:17 *21980:B1 0.000146294 +81 *2442:17 *2993:15 0.00150762 +82 *2510:28 *2993:20 0.000326093 +83 *2510:54 *2993:33 0.000270316 +84 *2516:16 *2993:18 1.26246e-05 +85 *2516:16 *2993:20 2.86811e-05 +86 *2531:43 *23925:A 0.000248346 +87 *2583:9 *2993:48 0.00222666 +88 *2608:32 *2993:48 3.50759e-05 +89 *2632:47 *2993:33 0.000205101 +90 *2810:30 *2993:20 0.000891539 +91 *2810:40 *2993:42 3.21325e-05 +92 *2852:8 *2993:42 0.000703395 +93 *2964:25 *2993:18 0.000146685 +94 *2964:25 *2993:20 3.80178e-05 +*RES +1 *21979:Y *2993:4 9.24915 +2 *2993:4 *2993:15 45.9506 +3 *2993:15 *2993:18 8.87907 +4 *2993:18 *2993:20 59.1612 +5 *2993:20 *2993:29 40.97 +6 *2993:29 *2993:33 46.5072 +7 *2993:33 *2993:42 41.6339 +8 *2993:42 *2993:48 45.2441 +9 *2993:48 *23925:A 16.6278 +10 *2993:4 *21980:B1 25.7932 +*END + +*D_NET *2994 0.0344751 +*CONN +*I *21981:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21980:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *21981:C1 0 +2 *21980:X 0.00039661 +3 *2994:55 0.00436666 +4 *2994:29 0.00581862 +5 *2994:12 0.00354084 +6 *2994:10 0.00248549 +7 *2994:10 *20347:A1 1.91246e-05 +8 *2994:10 *5851:209 1.23455e-05 +9 *2994:12 *4931:44 1.64952e-05 +10 *2994:12 *5851:207 0.000944726 +11 *2994:12 *5851:209 0.000275643 +12 *2994:12 *5854:606 1.49935e-05 +13 *2994:29 *19903:A 5.04829e-06 +14 *2994:29 *20610:A1 0.000353686 +15 *2994:29 *20612:A1 0.000136314 +16 *2994:29 *21682:A1 4.15661e-05 +17 *2994:29 *4828:142 1.5714e-05 +18 *2994:29 *4832:127 0.000799629 +19 *2994:29 *5153:10 5.96936e-05 +20 *2994:29 *5854:606 2.30672e-05 +21 *2994:55 *22497:A2 3.42037e-06 +22 *2994:55 *3028:50 0.000289731 +23 *2994:55 *3131:41 4.77296e-05 +24 *2994:55 *3151:53 3.94335e-05 +25 *2994:55 *3151:57 6.3657e-05 +26 *2994:55 *3164:54 0.000157659 +27 *2994:55 *3185:68 0.000255816 +28 *2994:55 *3369:19 0.000356973 +29 *2994:55 *3407:23 2.40353e-05 +30 *2994:55 *3411:16 9.32704e-05 +31 *2994:55 *3417:29 8.22964e-06 +32 *2994:55 *3702:20 0.000554599 +33 *2994:55 *5476:51 0.000848943 +34 *20049:A1 *2994:29 6.8488e-05 +35 *20344:B *2994:10 0.000311221 +36 *20345:S *2994:10 1.84293e-05 +37 *20685:A2 *2994:12 0.000472862 +38 *20685:A2 *2994:29 0 +39 *20685:B2 *2994:12 0.000431661 +40 *20687:B2 *2994:12 1.32841e-05 +41 *21455:A2 *2994:55 0.000541335 +42 *21898:A2 *2994:29 0 +43 *21899:B2 *2994:29 8.52618e-05 +44 *21987:A2 *2994:55 0.000695678 +45 *22497:A1 *2994:55 5.57842e-05 +46 *24446:D *2994:12 3.90882e-05 +47 *476:18 *2994:12 0.000238251 +48 *1448:47 *2994:12 0.000232035 +49 *1448:213 *2994:12 4.33721e-05 +50 *1454:46 *2994:29 0.000119002 +51 *1501:34 *2994:12 0 +52 *1501:42 *2994:29 4.69529e-05 +53 *1541:10 *2994:12 0.000187498 +54 *1588:64 *2994:55 0.000309242 +55 *1642:39 *2994:55 6.51967e-06 +56 *1662:29 *2994:55 4.96023e-05 +57 *1662:102 *2994:55 6.44692e-05 +58 *1677:142 *2994:29 0 +59 *1677:187 *2994:55 0.000649448 +60 *1697:75 *2994:55 0.000235723 +61 *1698:117 *2994:12 8.20917e-05 +62 *1706:46 *2994:55 0.000167017 +63 *1714:36 *2994:12 0.000220168 +64 *1723:24 *2994:55 0.000400154 +65 *1788:21 *2994:55 0.00120597 +66 *1793:15 *2994:55 3.52699e-05 +67 *1793:37 *2994:55 0.0024209 +68 *1926:5 *2994:10 2.20702e-05 +69 *2036:21 *2994:12 9.27629e-06 +70 *2036:30 *2994:12 0 +71 *2037:34 *2994:12 0.000212946 +72 *2037:40 *2994:12 1.41376e-05 +73 *2407:22 *2994:55 0.000462736 +74 *2504:20 *2994:55 0.000160251 +75 *2504:21 *2994:55 4.81673e-05 +76 *2505:75 *2994:55 0.000138318 +77 *2510:73 *2994:55 0.000130719 +78 *2514:37 *2994:12 1.87983e-05 +79 *2514:37 *2994:29 0.000131137 +80 *2590:17 *2994:55 1.15643e-05 +81 *2667:93 *2994:55 6.20623e-05 +82 *2713:38 *2994:29 0.000306002 +83 *2808:20 *2994:10 1.81797e-05 +84 *2808:20 *2994:12 4.58096e-05 +85 *2808:32 *2994:12 0.00100816 +86 *2955:43 *2994:29 4.361e-05 +87 *2991:27 *2994:55 0 +88 *2991:54 *2994:55 0.000114584 +*RES +1 *21980:X *2994:10 20.8513 +2 *2994:10 *2994:12 63.4969 +3 *2994:12 *2994:29 45.6576 +4 *2994:29 *2994:55 42.9267 +5 *2994:55 *21981:C1 9.24915 +*END + +*D_NET *2995 0.00236281 +*CONN +*I *21994:B I *D sky130_fd_sc_hd__and4_1 +*I *21981:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21994:B 0.00049197 +2 *21981:X 0.00049197 +3 *21994:B *3151:53 2.9733e-05 +4 *21582:A2 *21994:B 0.000120926 +5 *21921:A1 *21994:B 0.000534202 +6 *21921:A2 *21994:B 6.98337e-06 +7 *21981:A1 *21994:B 1.37189e-05 +8 *21981:B2 *21994:B 3.70283e-05 +9 *1542:174 *21994:B 0.000249969 +10 *1577:44 *21994:B 1.08205e-05 +11 *2531:91 *21994:B 3.63593e-05 +12 *2532:18 *21994:B 0.000122083 +13 *2590:17 *21994:B 8.57676e-05 +14 *2639:19 *21994:B 0.000122104 +15 *2931:26 *21994:B 9.17372e-06 +*RES +1 *21981:X *21994:B 44.5426 +*END + +*D_NET *2996 0.0729007 +*CONN +*I *22600:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21987:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22257:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21982:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22600:A1 0.000384325 +2 *21987:A1 0.00517241 +3 *22257:A1 5.37602e-05 +4 *21982:Y 0.000830358 +5 *2996:72 0.00841993 +6 *2996:49 0.00310351 +7 *2996:48 0.00143257 +8 *2996:45 0.00190505 +9 *2996:38 0.00167964 +10 *2996:26 0.00203544 +11 *2996:24 0.00233766 +12 *2996:14 0.00215319 +13 *21987:A1 *21994:D 3.61651e-05 +14 *21987:A1 *3001:22 0.00102667 +15 *21987:A1 *3023:41 0.00025697 +16 *21987:A1 *3054:18 0.000140726 +17 *21987:A1 *3071:42 0.000973207 +18 *21987:A1 *3117:204 0.000104081 +19 *21987:A1 *3124:47 0.000276039 +20 *21987:A1 *3124:210 0.000109327 +21 *21987:A1 *3517:170 2.45408e-05 +22 *21987:A1 *3519:59 0.000392389 +23 *21987:A1 *3519:332 0.000539554 +24 *21987:A1 *3751:47 5.78268e-05 +25 *21987:A1 *5475:83 1.70013e-05 +26 *22257:A1 *22257:B2 5.01835e-05 +27 *22257:A1 *3046:29 1.04743e-05 +28 *22600:A1 *3044:41 3.0577e-05 +29 *22600:A1 *3106:42 0.000644406 +30 *22600:A1 *3284:10 1.63131e-05 +31 *22600:A1 *3547:17 0.000642822 +32 *22600:A1 *3552:6 7.85226e-05 +33 *2996:14 *20471:A1 0 +34 *2996:14 *4843:126 0.000167076 +35 *2996:14 *4887:57 0.000217587 +36 *2996:14 *4887:61 0.000540609 +37 *2996:24 *20748:B1 7.05891e-05 +38 *2996:24 *3036:14 2.92684e-05 +39 *2996:24 *4822:14 0.000299288 +40 *2996:24 *5866:328 3.63738e-05 +41 *2996:24 *5866:340 0.000156947 +42 *2996:26 *20632:B1 0.000290629 +43 *2996:26 *3036:14 0 +44 *2996:26 *4822:14 0.00177509 +45 *2996:26 *4840:134 8.62625e-06 +46 *2996:38 *21578:A 0.000170953 +47 *2996:38 *22032:A 0.000247231 +48 *2996:38 *3036:16 0.00143248 +49 *2996:38 *4892:20 0.000149628 +50 *2996:38 *5298:8 9.75356e-05 +51 *2996:45 *24431:RESET_B 3.22031e-05 +52 *2996:45 *3036:16 1.28354e-05 +53 *2996:45 *4822:56 0 +54 *2996:45 *4822:66 8.85439e-05 +55 *2996:48 *3036:31 3.77568e-05 +56 *2996:48 *3045:12 5.46774e-06 +57 *2996:48 *3221:6 0.00160515 +58 *2996:48 *5861:273 0.000249416 +59 *2996:49 *3212:19 0.000116756 +60 *2996:72 *22263:B2 4.12192e-05 +61 *2996:72 *3039:46 0.000928403 +62 *2996:72 *3054:18 0.00099961 +63 *2996:72 *3064:35 0.00132297 +64 *2996:72 *3507:156 0.00102018 +65 *2996:72 *3527:186 0.000214158 +66 *2996:72 *5924:37 0.000444318 +67 *20471:B2 *2996:14 0 +68 *20708:B2 *2996:45 0.000345866 +69 *20747:B2 *2996:24 0.000185293 +70 *20748:A2 *2996:24 0.000159032 +71 *20748:B2 *2996:24 6.08467e-05 +72 *21455:A2 *21987:A1 3.31745e-05 +73 *21455:B1 *21987:A1 0.000314282 +74 *21476:B1 *21987:A1 0.000749509 +75 *21476:B1 *2996:72 0.000363086 +76 *21531:C1 *21987:A1 0.000226438 +77 *21576:A2 *21987:A1 0.000115466 +78 *22210:A1 *2996:72 0.000395728 +79 *22612:B1 *2996:72 0.00060876 +80 *24407:D *2996:24 8.5985e-05 +81 *506:16 *21987:A1 0.00120178 +82 *1577:77 *21987:A1 0.00229024 +83 *1584:69 *2996:72 9.77054e-05 +84 *1620:93 *2996:72 0.000836163 +85 *1641:77 *21987:A1 0.000278833 +86 *1655:77 *2996:72 0.000437028 +87 *1663:27 *2996:49 0.000398206 +88 *1790:73 *21987:A1 5.16501e-05 +89 *1967:10 *2996:14 7.5999e-05 +90 *1968:38 *2996:14 3.22726e-05 +91 *2019:56 *2996:38 0.00028718 +92 *2043:41 *2996:45 0.000444738 +93 *2338:52 *21987:A1 0.00146027 +94 *2347:29 *2996:38 0.000200794 +95 *2441:5 *2996:24 0.00118323 +96 *2510:73 *21987:A1 6.08467e-05 +97 *2519:38 *21987:A1 9.61014e-05 +98 *2541:23 *2996:48 0.000144528 +99 *2541:23 *2996:72 0.000142227 +100 *2542:8 *2996:48 0.0023215 +101 *2589:12 *2996:26 0.000225215 +102 *2589:24 *2996:48 1.2657e-05 +103 *2613:69 *21987:A1 0.00031131 +104 *2634:29 *21987:A1 7.43088e-05 +105 *2652:28 *21987:A1 0.000100607 +106 *2776:10 *2996:38 0.000675697 +107 *2777:60 *21987:A1 2.11879e-05 +108 *2846:10 *2996:14 0.00022407 +109 *2868:12 *2996:26 0.00281937 +110 *2868:12 *2996:38 9.33936e-05 +111 *2868:12 *2996:45 0.000865564 +112 *2887:66 *21987:A1 0.00036287 +113 *2888:81 *2996:72 0.00157855 +114 *2892:21 *21987:A1 0.00016631 +115 *2892:21 *2996:72 9.61134e-05 +116 *2919:42 *21987:A1 0.00168857 +117 *2984:24 *22257:A1 7.81434e-05 +118 *2991:67 *21987:A1 7.75162e-05 +*RES +1 *21982:Y *2996:14 43.1649 +2 *2996:14 *2996:24 47.8472 +3 *2996:24 *2996:26 51.4546 +4 *2996:26 *2996:38 48.2836 +5 *2996:38 *2996:45 30.7337 +6 *2996:45 *2996:48 49.4251 +7 *2996:48 *2996:49 0.585316 +8 *2996:49 *22257:A1 18.7423 +9 *2996:49 *2996:72 13.9214 +10 *2996:72 *21987:A1 45.2127 +11 *2996:72 *22600:A1 20.1249 +*END + +*D_NET *2997 0.022759 +*CONN +*I *22744:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21987:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22433:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21983:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22744:A1 2.60228e-05 +2 *21987:B1 0.000215887 +3 *22433:A1 0.00101019 +4 *21983:Y 0.0025701 +5 *2997:42 0.00199004 +6 *2997:30 0.00227151 +7 *2997:14 0.0036719 +8 *21987:B1 *21987:C1 0.000399848 +9 *21987:B1 *3751:47 2.16608e-05 +10 *22433:A1 *22743:D 0.00103108 +11 *22744:A1 *3476:39 4.45999e-05 +12 *2997:14 *22514:A 1.17286e-05 +13 *2997:14 *22807:B2 9.33847e-05 +14 *2997:14 *22814:D 0.000663626 +15 *2997:14 *3146:65 6.03122e-05 +16 *2997:14 *3427:28 0.00106941 +17 *2997:14 *3471:51 9.10049e-05 +18 *2997:14 *4870:134 0.00022758 +19 *2997:30 *22736:A2 6.22976e-05 +20 *2997:30 *22737:B2 2.19138e-05 +21 *2997:30 *3023:41 0.000151659 +22 *2997:30 *3471:51 0.000164811 +23 *2997:30 *3722:14 6.91476e-06 +24 *2997:42 *21987:C1 0.000183532 +25 *2997:42 *22441:A1 8.23875e-05 +26 *2997:42 *3126:189 5.65354e-05 +27 *2997:42 *3134:37 0.000394507 +28 *2997:42 *3139:55 0.000324762 +29 *2997:42 *3198:48 6.07716e-05 +30 *2997:42 *3417:29 7.08723e-06 +31 *19971:B2 *2997:42 1.65872e-05 +32 *19995:B *2997:30 7.8756e-07 +33 *21542:A1 *2997:14 2.71817e-05 +34 *21565:A2 *2997:30 1.5714e-05 +35 *22499:A1 *2997:14 1.5714e-05 +36 *22507:A1 *2997:42 0.000199049 +37 *22727:A1 *2997:14 7.60356e-05 +38 *22736:B1 *2997:30 0 +39 *22737:B1 *2997:14 4.5435e-07 +40 *22737:B1 *2997:30 0.000421104 +41 *1459:87 *2997:14 0.000287623 +42 *1471:230 *22744:A1 0.000111802 +43 *1560:62 *22433:A1 9.19597e-05 +44 *1631:10 *2997:14 1.9101e-05 +45 *1645:31 *2997:42 6.52046e-06 +46 *1684:85 *2997:42 2.81864e-05 +47 *1722:76 *2997:42 9.66954e-05 +48 *1723:24 *2997:42 0.000106284 +49 *1723:26 *2997:30 4.15661e-05 +50 *1723:47 *2997:30 0.00046236 +51 *1728:10 *21987:B1 0.000343543 +52 *1751:23 *2997:30 0.000134607 +53 *1764:71 *2997:14 0.000154145 +54 *1791:39 *22433:A1 0.000216258 +55 *1792:101 *22433:A1 0.000207736 +56 *1794:44 *2997:14 3.17436e-05 +57 *2598:17 *2997:14 2.52056e-05 +58 *2599:20 *2997:14 0.00180531 +59 *2746:43 *2997:14 0.000177764 +60 *2932:61 *2997:30 0.000650928 +*RES +1 *21983:Y *2997:14 30.5242 +2 *2997:14 *2997:30 35.2042 +3 *2997:30 *2997:42 39.314 +4 *2997:42 *22433:A1 37.1611 +5 *2997:30 *21987:B1 16.7001 +6 *2997:14 *22744:A1 15.0271 +*END + +*D_NET *2998 0.0227168 +*CONN +*I *22427:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21986:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22752:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21984:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22427:B1 1.47608e-05 +2 *21986:A1 0 +3 *22752:A1 0.000166736 +4 *21984:Y 0.000113022 +5 *2998:57 0.00199509 +6 *2998:28 0.00328278 +7 *2998:18 0.00143011 +8 *2998:13 0.00190112 +9 *2998:8 0.00171976 +10 *22427:B1 *22428:C1 6.08467e-05 +11 *22427:B1 *3004:23 6.08467e-05 +12 *22752:A1 *22753:B2 0.000154145 +13 *22752:A1 *3157:54 6.34651e-06 +14 *22752:A1 *3157:62 0.000102723 +15 *22752:A1 *3511:109 0.000119171 +16 *2998:8 *5801:91 0.000273785 +17 *2998:13 *20562:A1 3.31745e-05 +18 *2998:13 *21516:A 6.50586e-05 +19 *2998:13 *5102:9 6.08467e-05 +20 *2998:18 *3452:21 0.000385149 +21 *2998:18 *3529:106 0.000442634 +22 *2998:28 *22753:C1 5.15415e-05 +23 *2998:28 *22814:C 8.96998e-05 +24 *2998:28 *22814:D 3.38973e-05 +25 *2998:28 *3004:31 0.000176634 +26 *2998:28 *3157:62 2.57314e-05 +27 *2998:28 *3177:208 1.62321e-05 +28 *2998:28 *3511:105 1.79629e-05 +29 *2998:28 *3529:106 7.51295e-05 +30 *2998:28 *3529:117 0.000437957 +31 *2998:57 *22447:A 6.34651e-06 +32 *2998:57 *22753:A2 1.03403e-05 +33 *2998:57 *22753:B2 0.000107496 +34 *2998:57 *2999:43 0.000625298 +35 *2998:57 *2999:65 1.86126e-05 +36 *2998:57 *3164:54 6.79112e-05 +37 *2998:57 *3432:32 0.000206147 +38 *2998:57 *3442:18 0.000217227 +39 *21564:A2 *2998:57 8.67924e-06 +40 *21986:B2 *2998:57 2.7405e-05 +41 *22498:B1 *2998:28 0.000296893 +42 *22503:A1 *2998:28 0.000135629 +43 *22806:B1 *2998:28 0.000293428 +44 *1459:87 *2998:28 1.131e-05 +45 *1471:219 *2998:28 0.000100741 +46 *1697:75 *2998:57 3.01683e-06 +47 *1722:76 *2998:57 0.000168485 +48 *1723:24 *2998:57 0.000403403 +49 *1731:101 *2998:13 0.00135906 +50 *1732:70 *2998:8 0.000272164 +51 *1744:156 *2998:13 0.00204514 +52 *1756:83 *2998:28 0.000390278 +53 *1953:23 *2998:13 0.000260374 +54 *2285:19 *2998:57 3.20712e-05 +55 *2285:23 *2998:57 0.000109155 +56 *2543:38 *2998:18 1.62321e-05 +57 *2543:38 *2998:28 0.000171147 +58 *2573:8 *2998:13 0.000111708 +59 *2583:9 *2998:13 0.000422304 +60 *2608:62 *2998:28 0.000318125 +61 *2632:67 *2998:28 0.000294093 +62 *2666:8 *2998:13 0.000538117 +63 *2976:58 *2998:28 0.000350077 +64 *2993:48 *2998:13 5.51483e-06 +*RES +1 *21984:Y *2998:8 23.4032 +2 *2998:8 *2998:13 46.3938 +3 *2998:13 *2998:18 14.1241 +4 *2998:18 *2998:28 48.4823 +5 *2998:28 *22752:A1 22.329 +6 *2998:28 *2998:57 47.3526 +7 *2998:57 *21986:A1 9.24915 +8 *2998:18 *22427:B1 14.4725 +*END + +*D_NET *2999 0.0333145 +*CONN +*I *22445:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22746:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21986:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21985:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22445:B1 0.000117235 +2 *22746:B1 0 +3 *21986:B1 0 +4 *21985:Y 0.000897698 +5 *2999:65 0.00115436 +6 *2999:43 0.00191233 +7 *2999:38 0.00228268 +8 *2999:31 0.00254753 +9 *2999:26 0.00271638 +10 *2999:20 0.00247403 +11 *2999:26 *5563:45 0.000241905 +12 *2999:38 *22419:B2 0.000185428 +13 *2999:38 *22420:A2 2.06387e-05 +14 *2999:38 *22420:C1 0.000101489 +15 *2999:38 *22426:C 0 +16 *2999:38 *3377:20 2.08502e-05 +17 *2999:43 *21987:C1 1.37531e-05 +18 *2999:43 *22419:B2 1.15883e-05 +19 *2999:43 *22420:B2 0 +20 *2999:43 *22464:C1 0.000111453 +21 *2999:43 *3369:19 3.57195e-05 +22 *2999:43 *3448:20 7.19791e-06 +23 *2999:65 *21987:C1 6.3657e-05 +24 *2999:65 *22761:B 0.000254532 +25 *2999:65 *3406:14 0.000274841 +26 *2999:65 *3751:47 4.23858e-05 +27 *19779:A *2999:20 1.65117e-05 +28 *19795:A *2999:38 0.000634952 +29 *19795:B *2999:38 0.00026031 +30 *19973:B *2999:38 8.87477e-05 +31 *19979:A1 *2999:38 1.29689e-05 +32 *19979:A2 *2999:38 3.26668e-05 +33 *19979:B1 *2999:38 0.000264373 +34 *20032:B *2999:65 3.15893e-05 +35 *21219:C1 *2999:65 0.000215339 +36 *21455:B2 *2999:65 0.000111726 +37 *21665:B1 *2999:38 1.5714e-05 +38 *21665:B2 *2999:38 7.53342e-05 +39 *21863:B1 *2999:20 1.91391e-05 +40 *21986:B2 *2999:65 7.70725e-05 +41 *22445:A1 *22445:B1 0.000111722 +42 *22464:B1 *2999:43 2.12575e-05 +43 *516:19 *2999:38 0.000121724 +44 *1433:17 *2999:20 0.000111722 +45 *1442:39 *2999:26 0.00254076 +46 *1442:41 *2999:26 0.00113453 +47 *1442:55 *2999:20 1.5714e-05 +48 *1442:55 *2999:26 0.000268209 +49 *1496:84 *2999:65 9.40212e-05 +50 *1496:106 *2999:65 3.82228e-05 +51 *1542:127 *2999:65 4.2266e-05 +52 *1542:150 *2999:65 0.000798341 +53 *1563:86 *2999:65 1.28832e-05 +54 *1563:94 *2999:65 7.50038e-05 +55 *1640:24 *2999:38 0 +56 *1645:31 *2999:43 0.000350015 +57 *1683:17 *2999:20 0.000184282 +58 *1722:76 *2999:43 0.000244415 +59 *1722:76 *2999:65 2.53145e-06 +60 *1732:92 *2999:38 0.00010115 +61 *1733:127 *2999:38 3.14838e-05 +62 *1757:81 *2999:26 0.000153444 +63 *1801:38 *2999:20 0.000830566 +64 *1808:23 *22445:B1 3.73224e-05 +65 *1936:22 *2999:20 1.98097e-05 +66 *1937:31 *2999:20 0.000151528 +67 *2285:23 *2999:65 0.000718241 +68 *2506:10 *2999:65 3.44264e-05 +69 *2510:73 *2999:65 8.62625e-06 +70 *2515:27 *2999:31 0.00153785 +71 *2641:68 *2999:65 0.000200794 +72 *2697:65 *2999:38 8.88477e-05 +73 *2700:26 *2999:26 0.00196459 +74 *2701:17 *2999:38 1.5714e-05 +75 *2732:29 *22445:B1 0.000115313 +76 *2732:29 *2999:26 0.000227191 +77 *2749:11 *22445:B1 0.000111722 +78 *2785:30 *2999:20 0.000158315 +79 *2804:24 *2999:43 0.000138391 +80 *2810:30 *2999:20 6.51637e-05 +81 *2834:17 *22445:B1 3.05193e-05 +82 *2834:17 *2999:26 2.05972e-05 +83 *2851:24 *2999:43 0.000463095 +84 *2934:22 *2999:65 0.000573546 +85 *2959:43 *2999:38 0.000417502 +86 *2959:43 *2999:43 0.000943124 +87 *2979:46 *2999:38 0 +88 *2998:57 *2999:43 0.000625298 +89 *2998:57 *2999:65 1.86126e-05 +*RES +1 *21985:Y *2999:20 46.8659 +2 *2999:20 *2999:26 17.1646 +3 *2999:26 *2999:31 26.3615 +4 *2999:31 *2999:38 41.6107 +5 *2999:38 *2999:43 31.9135 +6 *2999:43 *21986:B1 9.24915 +7 *2999:43 *2999:65 46.9292 +8 *2999:65 *22746:B1 9.24915 +9 *2999:26 *22445:B1 17.6896 +*END + +*D_NET *3000 0.00193221 +*CONN +*I *21987:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21986:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21987:C1 0.000289011 +2 *21986:X 0.000289011 +3 *21987:C1 *3751:47 6.98337e-06 +4 *19971:A1 *21987:C1 0.000301209 +5 *19971:B2 *21987:C1 6.08467e-05 +6 *21987:B1 *21987:C1 0.000399848 +7 *1722:76 *21987:C1 6.94764e-05 +8 *1723:24 *21987:C1 7.09666e-06 +9 *1723:26 *21987:C1 9.36441e-05 +10 *2934:22 *21987:C1 0.000154145 +11 *2997:42 *21987:C1 0.000183532 +12 *2999:43 *21987:C1 1.37531e-05 +13 *2999:65 *21987:C1 6.3657e-05 +*RES +1 *21986:X *21987:C1 38.6192 +*END + +*D_NET *3001 0.00646196 +*CONN +*I *21994:C I *D sky130_fd_sc_hd__and4_1 +*I *21987:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21994:C 0 +2 *21987:X 0.00139968 +3 *3001:22 0.00139968 +4 *3001:22 *21994:D 9.12416e-06 +5 *3001:22 *22005:C1 0.000165519 +6 *3001:22 *22805:B1 0.000157794 +7 *3001:22 *3023:41 0.000156786 +8 *3001:22 *3498:112 4.99151e-05 +9 *21455:A2 *3001:22 0.000298399 +10 *21455:B1 *3001:22 0.000244251 +11 *21987:A1 *3001:22 0.00102667 +12 *1542:150 *3001:22 5.88009e-05 +13 *1636:82 *3001:22 0.000159964 +14 *1771:47 *3001:22 4.91394e-06 +15 *2338:52 *3001:22 0.000650246 +16 *2407:22 *3001:22 0.000655288 +17 *2667:93 *3001:22 1.6006e-05 +18 *2751:8 *3001:22 4.31485e-06 +19 *2991:54 *3001:22 4.60221e-06 +*RES +1 *21987:X *3001:22 44.1029 +2 *3001:22 *21994:C 9.24915 +*END + +*D_NET *3002 0.0899689 +*CONN +*I *22608:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22270:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21993:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21988:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22608:B1 0.000742921 +2 *22270:A1 0 +3 *21993:A1 2.59893e-05 +4 *21988:Y 0.000344145 +5 *3002:85 0.000772939 +6 *3002:82 0.00198534 +7 *3002:75 0.00202635 +8 *3002:74 0.000245251 +9 *3002:69 0.0106646 +10 *3002:51 0.0125188 +11 *3002:46 0.00374556 +12 *3002:42 0.00331223 +13 *3002:33 0.00233091 +14 *3002:26 0.00184499 +15 *3002:8 0.00354213 +16 *3002:7 0.00275111 +17 *22608:B1 *22060:B1 0.000651646 +18 *22608:B1 *22061:B 7.50722e-05 +19 *22608:B1 *3111:186 4.60375e-07 +20 *22608:B1 *3186:16 0.00109983 +21 *22608:B1 *3546:26 0.000121746 +22 *3002:8 *22416:C 7.86825e-06 +23 *3002:8 *22437:A1 7.48886e-05 +24 *3002:8 *3003:24 0.000834703 +25 *3002:8 *3003:34 0 +26 *3002:8 *3162:62 4.70878e-05 +27 *3002:8 *3400:8 0.00203394 +28 *3002:8 *3401:10 6.14756e-06 +29 *3002:8 *3683:8 3.69938e-05 +30 *3002:26 *22755:A1 1.9101e-05 +31 *3002:26 *22755:A2 0.000299784 +32 *3002:26 *3155:53 7.83269e-05 +33 *3002:26 *3155:62 3.62659e-05 +34 *3002:26 *3463:27 1.41215e-05 +35 *3002:26 *3517:121 6.08467e-05 +36 *3002:26 *3521:101 0.000223175 +37 *3002:26 *3683:8 0.000331271 +38 *3002:26 *3695:14 1.77435e-05 +39 *3002:42 *20421:A1 0.000294093 +40 *3002:42 *20489:B1 1.15389e-05 +41 *3002:42 *4863:157 0.000531682 +42 *3002:46 *19996:A 1.88014e-05 +43 *3002:46 *20489:B1 0.000260388 +44 *3002:46 *23162:A 1.72971e-05 +45 *3002:46 *4797:38 0.000387391 +46 *3002:46 *5680:18 5.8973e-05 +47 *3002:51 *23160:B1 1.65872e-05 +48 *3002:51 *23162:A 2.39406e-06 +49 *3002:51 *23163:A 7.76105e-06 +50 *3002:51 *3829:157 0.00023991 +51 *3002:51 *3877:148 0.00088672 +52 *3002:51 *4008:54 0.000438252 +53 *3002:51 *4008:66 4.66492e-05 +54 *3002:51 *4066:7 0.000294093 +55 *3002:51 *4137:28 0.000145266 +56 *3002:51 *4481:13 0.000895414 +57 *3002:69 *19813:A 0.00135431 +58 *3002:69 *22413:A2 4.25482e-05 +59 *3002:69 *22740:A2 0.000110597 +60 *3002:69 *22772:B2 0.000175585 +61 *3002:69 *23241:C1 0.00118787 +62 *3002:69 *23345:B 0 +63 *3002:69 *23529:B1 0.000103673 +64 *3002:69 *23569:A2 3.848e-05 +65 *3002:69 *23841:A2 0.000113792 +66 *3002:69 *3114:180 1.80003e-05 +67 *3002:69 *3122:238 0.000203065 +68 *3002:69 *3128:155 0.000435722 +69 *3002:69 *3531:157 0.000309991 +70 *3002:69 *3537:88 6.88205e-06 +71 *3002:69 *3682:22 1.43499e-05 +72 *3002:69 *3750:22 0.000260283 +73 *3002:69 *3829:137 3.30319e-05 +74 *3002:69 *3833:106 0.000110846 +75 *3002:69 *3861:91 0 +76 *3002:69 *3891:133 0.000936455 +77 *3002:69 *3980:168 0.000306609 +78 *3002:69 *4009:72 1.90407e-06 +79 *3002:69 *4138:42 0.00160105 +80 *3002:69 *4138:59 0.000448573 +81 *3002:69 *4233:44 0.000705904 +82 *3002:69 *4538:61 3.11366e-05 +83 *3002:69 *4619:26 0.000122897 +84 *3002:69 *4663:19 0.000892137 +85 *3002:69 *4676:27 0.000269889 +86 *3002:69 *4695:22 0.000745317 +87 *3002:69 *4695:56 4.68088e-06 +88 *3002:69 *4739:51 6.44644e-05 +89 *3002:69 *5453:21 0.000290684 +90 *3002:69 *5458:13 0.000603044 +91 *3002:69 *5851:70 0.000495915 +92 *3002:69 *5918:14 0.00229485 +93 *3002:69 *5929:28 0.000153282 +94 *3002:69 *6001:38 8.34512e-06 +95 *3002:74 *3146:116 0.000771598 +96 *3002:82 *22270:A2 0.000113968 +97 *3002:82 *22270:C1 0.000675804 +98 *3002:82 *3015:26 0.00025175 +99 *3002:82 *3206:207 0.000247443 +100 *3002:85 *3186:16 5.13937e-05 +101 *3002:85 *3546:26 1.9366e-05 +102 *19860:B *3002:82 8.233e-05 +103 *21560:C1 *3002:26 0.0001329 +104 *21564:C1 *3002:26 2.34902e-05 +105 *21939:A2 *3002:8 1.5714e-05 +106 *21992:B2 *3002:8 7.12079e-05 +107 *21993:A2 *3002:8 0.000100908 +108 *22490:A1 *3002:8 1.91391e-05 +109 *1438:134 *3002:82 0.000157407 +110 *1442:14 *3002:8 0.000260826 +111 *1442:21 *3002:8 5.99373e-05 +112 *1442:39 *3002:8 4.44699e-05 +113 *1442:74 *3002:42 6.91372e-05 +114 *1448:180 *3002:8 6.41524e-05 +115 *1455:85 *3002:51 0.00076489 +116 *1459:87 *3002:42 0.00130535 +117 *1462:30 *3002:46 8.67475e-05 +118 *1471:213 *3002:42 8.93085e-05 +119 *1515:91 *3002:26 1.25417e-05 +120 *1515:91 *3002:33 0.000134073 +121 *1537:180 *3002:74 0.000767311 +122 *1538:17 *3002:82 0.00123849 +123 *1569:34 *3002:69 0.000164855 +124 *1575:19 *3002:69 6.38355e-05 +125 *1587:121 *3002:69 0.000590035 +126 *1598:11 *3002:33 9.12598e-05 +127 *1598:15 *3002:26 0.000158451 +128 *1598:15 *3002:33 0.000810736 +129 *1641:158 *3002:82 8.57101e-05 +130 *1698:129 *3002:8 4.15157e-05 +131 *1716:46 *3002:33 0.000132567 +132 *1716:68 *3002:8 8.07914e-05 +133 *1733:14 *3002:8 0.000228099 +134 *1752:10 *3002:46 0.000300565 +135 *1755:76 *3002:69 0.00334831 +136 *1761:8 *3002:33 8.62625e-06 +137 *1761:8 *3002:42 0.000200794 +138 *1794:118 *3002:26 0.000101888 +139 *1798:17 *3002:26 0.000230638 +140 *1801:17 *3002:7 0.00049786 +141 *1809:80 *3002:69 4.7419e-05 +142 *2561:47 *3002:33 0.0015844 +143 *2598:12 *3002:33 4.30161e-05 +144 *2619:76 *3002:8 3.84938e-05 +145 *2619:76 *3002:26 5.28377e-05 +146 *2619:83 *3002:26 7.14609e-05 +147 *2620:76 *3002:26 7.12632e-06 +148 *2624:54 *3002:42 0.000286722 +149 *2651:29 *3002:8 5.46097e-05 +150 *2783:56 *3002:69 1.3495e-05 +151 *2785:74 *3002:69 1.79239e-05 +152 *2932:15 *21993:A1 2.57847e-05 +153 *2950:125 *3002:8 8.56016e-05 +154 *2951:28 *3002:8 0.000131689 +155 *2963:93 *3002:69 0 +156 *2963:96 *3002:69 0.000165122 +157 *2979:32 *3002:8 0.000324973 +158 *2979:42 *3002:8 0.000276415 +*RES +1 *21988:Y *3002:7 19.464 +2 *3002:7 *3002:8 69.1029 +3 *3002:8 *21993:A1 14.4725 +4 *3002:8 *3002:26 34.2935 +5 *3002:26 *3002:33 37.3431 +6 *3002:33 *3002:42 41.6829 +7 *3002:42 *3002:46 36.5194 +8 *3002:46 *3002:51 47.8646 +9 *3002:51 *3002:69 46.1489 +10 *3002:69 *3002:74 22.7916 +11 *3002:74 *3002:75 81.1229 +12 *3002:75 *3002:82 45.6601 +13 *3002:82 *3002:85 5.50149 +14 *3002:85 *22270:A1 13.7491 +15 *3002:85 *22608:B1 36.759 +*END + +*D_NET *3003 0.0295057 +*CONN +*I *22446:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22752:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21993:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21989:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22446:A1 9.85497e-05 +2 *22752:B1 0 +3 *21993:B1 0 +4 *21989:Y 0.00149322 +5 *3003:57 0.000633363 +6 *3003:34 0.00222198 +7 *3003:30 0.00180426 +8 *3003:24 0.0015418 +9 *3003:12 0.00265019 +10 *3003:10 0.00196623 +11 *3003:7 0.00203686 +12 *22446:A1 *22446:A2 8.67924e-06 +13 *3003:10 *24522:CLK 3.0263e-05 +14 *3003:12 *4921:14 0.000390207 +15 *3003:24 *22446:B1 0 +16 *3003:24 *22490:C1 8.2117e-06 +17 *3003:24 *24515:SET_B 0.000258128 +18 *3003:24 *24515:CLK 0.000107496 +19 *3003:24 *3208:31 2.01853e-05 +20 *3003:24 *4921:26 5.73392e-05 +21 *3003:30 *22446:A2 6.50586e-05 +22 *3003:30 *22490:A2 9.12416e-06 +23 *3003:30 *3204:36 5.45571e-05 +24 *3003:34 *21993:C1 0.000138355 +25 *3003:34 *22429:A2 0.000163195 +26 *3003:34 *22438:B 7.21753e-05 +27 *3003:34 *22438:D 0.000230621 +28 *3003:34 *3162:30 4.67184e-05 +29 *3003:34 *3162:62 0.000981083 +30 *3003:34 *3175:306 5.99155e-05 +31 *3003:57 *22735:B2 1.03403e-05 +32 *3003:57 *22753:C1 2.16355e-05 +33 *3003:57 *3164:54 0.000147612 +34 *3003:57 *3179:103 0.000122247 +35 *3003:57 *3361:12 0.000147612 +36 *3003:57 *3428:14 0.0001039 +37 *19579:C *3003:12 0.000315317 +38 *19705:A *3003:12 0.000177017 +39 *19884:A1 *22446:A1 9.39245e-06 +40 *19884:A1 *3003:30 3.32913e-06 +41 *19936:A2 *3003:12 5.85466e-05 +42 *19943:B *3003:12 0.000188981 +43 *20039:A1 *3003:57 2.16355e-05 +44 *20039:A2 *3003:57 2.77625e-06 +45 *20039:B1 *3003:57 0.00010117 +46 *20039:B2 *3003:57 2.63669e-05 +47 *20582:B2 *3003:10 0.000227988 +48 *20583:B2 *3003:10 0.000377132 +49 *21938:A2 *3003:24 0.0001584 +50 *21993:B2 *3003:57 3.82228e-05 +51 *22490:B1 *3003:24 8.05608e-05 +52 *514:16 *3003:34 0.000181328 +53 *1448:56 *3003:12 0.000362954 +54 *1587:16 *3003:10 6.99044e-06 +55 *1587:16 *3003:12 0.000866249 +56 *1587:23 *3003:10 0.000938519 +57 *1587:23 *3003:12 1.02764e-05 +58 *1675:15 *3003:30 0.000100163 +59 *1675:15 *3003:34 1.88638e-05 +60 *1691:17 *3003:12 0.000439594 +61 *1698:129 *3003:12 0.000481604 +62 *1727:15 *3003:57 0.000400961 +63 *1733:14 *3003:34 3.20014e-05 +64 *1740:98 *3003:24 0.000737148 +65 *1759:13 *3003:12 8.98279e-05 +66 *1792:101 *3003:30 1.41761e-05 +67 *1792:101 *3003:34 0.000697986 +68 *1794:96 *3003:57 1.47843e-05 +69 *1798:17 *3003:57 0.000782021 +70 *2003:36 *3003:10 0 +71 *2003:48 *3003:10 0 +72 *2003:48 *3003:12 0 +73 *2447:26 *3003:10 4.82269e-05 +74 *2651:29 *3003:24 0.000156886 +75 *2932:12 *3003:57 0.000777809 +76 *2932:15 *3003:57 1.55025e-05 +77 *2942:15 *3003:7 0.00128948 +78 *2950:125 *3003:24 9.01968e-05 +79 *2951:28 *3003:24 0.000531787 +80 *2966:23 *3003:7 9.77344e-05 +81 *3002:8 *3003:24 0.000834703 +82 *3002:8 *3003:34 0 +*RES +1 *21989:Y *3003:7 37.2113 +2 *3003:7 *3003:10 18.9673 +3 *3003:10 *3003:12 45.6411 +4 *3003:12 *3003:24 49.0757 +5 *3003:24 *3003:30 9.10502 +6 *3003:30 *3003:34 48.4801 +7 *3003:34 *21993:B1 9.24915 +8 *3003:34 *3003:57 40.9793 +9 *3003:57 *22752:B1 9.24915 +10 *3003:24 *22446:A1 11.6183 +*END + +*D_NET *3004 0.0215369 +*CONN +*I *22427:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22755:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21992:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21990:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22427:A1 3.00742e-05 +2 *22755:B1 9.92004e-05 +3 *21992:A1 0.000990584 +4 *21990:Y 0.000630303 +5 *3004:31 0.00216191 +6 *3004:23 0.00152213 +7 *3004:16 0.00200831 +8 *3004:15 0.00221868 +9 *21992:A1 *21993:C1 4.84953e-05 +10 *21992:A1 *3134:37 6.50586e-05 +11 *21992:A1 *3162:62 0 +12 *21992:A1 *3175:57 0.000256895 +13 *21992:A1 *3361:12 3.94869e-05 +14 *21992:A1 *3463:12 0.000287966 +15 *21992:A1 *3699:23 3.7135e-05 +16 *21992:A1 *3751:14 0.000166977 +17 *22427:A1 *22428:C1 8.07539e-05 +18 *22427:A1 *3155:77 0 +19 *22427:A1 *3208:89 1.84698e-05 +20 *22755:B1 *22742:B 0.00013222 +21 *3004:15 *20533:B1 1.5613e-05 +22 *3004:15 *24920:A 3.15947e-05 +23 *3004:15 *3388:13 0.000133572 +24 *3004:15 *4859:8 1.61631e-05 +25 *3004:15 *5904:114 9.87648e-05 +26 *3004:16 *24580:RESET_B 0.000132606 +27 *3004:16 *3388:14 0.000303002 +28 *3004:23 *22427:B2 6.36477e-05 +29 *3004:23 *22428:C1 5.54845e-05 +30 *3004:23 *3208:89 0.000323104 +31 *3004:31 *22499:C1 0.000256917 +32 *3004:31 *22742:B 0.000107496 +33 *3004:31 *22742:C 0.000196398 +34 *3004:31 *22807:C1 0.00011393 +35 *3004:31 *3157:62 0.000817856 +36 *3004:31 *3168:30 3.69489e-05 +37 *21825:A1 *3004:15 4.15947e-05 +38 *21992:B2 *21992:A1 6.08467e-05 +39 *22427:B1 *3004:23 6.08467e-05 +40 *22734:B1 *21992:A1 0.000140307 +41 *22735:A1 *21992:A1 1.5714e-05 +42 *22739:B1 *3004:31 1.55025e-05 +43 *1427:111 *3004:16 0.000655991 +44 *1461:261 *3004:23 0.00107344 +45 *1462:25 *3004:23 0.000471915 +46 *1501:76 *3004:16 5.65074e-05 +47 *1541:150 *3004:16 0.000277453 +48 *1560:36 *3004:16 3.22564e-05 +49 *1603:48 *3004:15 8.28179e-05 +50 *1689:88 *3004:15 0 +51 *1706:87 *21992:A1 1.54479e-05 +52 *1727:15 *21992:A1 3.36767e-05 +53 *1730:113 *3004:15 0.000168575 +54 *1791:39 *21992:A1 0.000160664 +55 *1792:101 *21992:A1 0.000117975 +56 *1794:118 *21992:A1 1.09937e-05 +57 *2509:23 *21992:A1 9.07365e-05 +58 *2509:23 *22755:B1 0.000200794 +59 *2522:16 *3004:16 6.85388e-05 +60 *2583:27 *3004:31 0.000274362 +61 *2603:77 *3004:16 0.000642614 +62 *2603:96 *3004:16 0.000221886 +63 *2608:62 *3004:31 8.17829e-06 +64 *2614:38 *3004:16 0.00173871 +65 *2649:21 *3004:15 7.83643e-05 +66 *2660:94 *3004:15 0.000360712 +67 *2702:45 *3004:16 0.000542882 +68 *2933:81 *21992:A1 0.000142244 +69 *2998:28 *3004:31 0.000176634 +*RES +1 *21990:Y *3004:15 28.8178 +2 *3004:15 *3004:16 54.3614 +3 *3004:16 *3004:23 22.609 +4 *3004:23 *3004:31 37.2145 +5 *3004:31 *21992:A1 44.4251 +6 *3004:31 *22755:B1 12.7697 +7 *3004:23 *22427:A1 10.7442 +*END + +*D_NET *3005 0.0194113 +*CONN +*I *22749:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22441:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21992:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21991:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22749:A1 7.85255e-05 +2 *22441:A1 0.000244246 +3 *21992:B1 0.000113386 +4 *21991:Y 0.000854159 +5 *3005:64 0.000874109 +6 *3005:35 0.00142544 +7 *3005:21 0.00119077 +8 *3005:14 0.00154593 +9 *21992:B1 *3134:37 1.47046e-05 +10 *21992:B1 *3463:12 0.000165775 +11 *22441:A1 *3007:19 1.47046e-05 +12 *22441:A1 *3023:41 1.60561e-05 +13 *22441:A1 *3126:189 8.13612e-05 +14 *22441:A1 *3198:48 9.12416e-06 +15 *22441:A1 *3198:53 0.00030007 +16 *22749:A1 *3179:29 0.000107496 +17 *3005:14 *22009:A 0.000247443 +18 *3005:14 *3368:74 9.2932e-05 +19 *3005:14 *3373:48 0.000158371 +20 *3005:14 *3411:23 0.0006869 +21 *3005:21 *3146:38 0.00110644 +22 *3005:35 *3146:29 1.01508e-05 +23 *3005:35 *3146:38 0.00173447 +24 *3005:64 *3126:166 0.000111631 +25 *3005:64 *3126:189 4.15661e-05 +26 *3005:64 *3146:29 0.000110297 +27 *3005:64 *3146:38 1.57126e-05 +28 *3005:64 *3157:43 0.00121277 +29 *3005:64 *3385:19 4.14109e-05 +30 *3005:64 *3668:18 0.000146421 +31 *21992:A2 *21992:B1 5.22859e-06 +32 *22414:B1 *22749:A1 3.31745e-05 +33 *22436:B1 *3005:64 0.000197615 +34 *22464:B1 *3005:35 9.32983e-05 +35 *1435:79 *3005:14 0.000307954 +36 *1435:79 *3005:21 0.000387818 +37 *1435:105 *3005:21 0.000210535 +38 *1496:84 *3005:64 0.00014264 +39 *1544:98 *3005:64 3.82228e-05 +40 *1661:24 *22749:A1 0.000247443 +41 *1662:25 *22441:A1 1.47046e-05 +42 *1662:25 *3005:21 9.97514e-05 +43 *1662:25 *3005:35 0.000182145 +44 *1662:25 *3005:64 0.000157944 +45 *1677:142 *3005:14 0.000454915 +46 *1706:87 *3005:14 0.000177512 +47 *1716:57 *3005:14 3.15361e-05 +48 *1726:28 *3005:14 5.05252e-05 +49 *1804:64 *3005:64 0.000353672 +50 *2013:15 *3005:14 8.62625e-06 +51 *2514:45 *3005:14 0.000181333 +52 *2586:61 *3005:64 0.000502271 +53 *2619:57 *3005:14 0.000118949 +54 *2652:14 *3005:64 0.000192182 +55 *2652:25 *3005:64 0.00014042 +56 *2851:15 *3005:21 0.000913356 +57 *2851:15 *3005:35 0.00117039 +58 *2964:59 *21992:B1 0.00016235 +59 *2997:42 *22441:A1 8.23875e-05 +*RES +1 *21991:Y *3005:14 49.1968 +2 *3005:14 *3005:21 26.3466 +3 *3005:21 *21992:B1 21.917 +4 *3005:21 *3005:35 20.7193 +5 *3005:35 *22441:A1 25.7468 +6 *3005:35 *3005:64 47.4905 +7 *3005:64 *22749:A1 12.191 +*END + +*D_NET *3006 0.00140905 +*CONN +*I *21993:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21992:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *21993:C1 0.000420625 +2 *21992:X 0.000420625 +3 *21992:A1 *21993:C1 4.84953e-05 +4 *21992:A2 *21993:C1 0.000253916 +5 *21992:B2 *21993:C1 3.73375e-05 +6 *1792:101 *21993:C1 8.96998e-05 +7 *3003:34 *21993:C1 0.000138355 +*RES +1 *21992:X *21993:C1 36.1096 +*END + +*D_NET *3007 0.0103441 +*CONN +*I *21994:D I *D sky130_fd_sc_hd__and4_1 +*I *21993:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *21994:D 0.00139937 +2 *21993:X 0.00204189 +3 *3007:19 0.00344126 +4 *21994:D *22747:B2 0.00026122 +5 *21994:D *3071:42 0.000293349 +6 *21994:D *3498:112 0.000445463 +7 *21994:D *3719:44 9.2346e-06 +8 *21994:D *5475:83 0.000626758 +9 *3007:19 *22441:B2 5.17016e-06 +10 *3007:19 *22442:A1 3.86893e-05 +11 *3007:19 *22442:A2 0.000158451 +12 *3007:19 *22442:B2 1.65872e-05 +13 *3007:19 *22442:C1 5.51483e-06 +14 *3007:19 *22447:B 0.000154145 +15 *3007:19 *22804:C1 0.000276958 +16 *3007:19 *3023:17 5.36911e-05 +17 *3007:19 *3023:21 7.55167e-06 +18 *3007:19 *3023:41 3.40614e-05 +19 *3007:19 *3155:53 5.481e-05 +20 *3007:19 *3498:112 0.000105901 +21 *21921:B2 *21994:D 6.03122e-05 +22 *21987:A1 *21994:D 3.61651e-05 +23 *22441:A1 *3007:19 1.47046e-05 +24 *22442:B1 *3007:19 0.000154145 +25 *22803:B1 *3007:19 2.61012e-05 +26 *22804:B1 *3007:19 7.92757e-06 +27 *2338:52 *21994:D 0.00015615 +28 *2407:22 *21994:D 0.000117132 +29 *2667:93 *21994:D 2.05342e-06 +30 *2751:8 *21994:D 0.000288477 +31 *2932:15 *3007:19 3.45973e-05 +32 *2991:54 *21994:D 7.13655e-06 +33 *3001:22 *21994:D 9.12416e-06 +*RES +1 *21993:X *3007:19 44.4062 +2 *3007:19 *21994:D 39.1681 +*END + +*D_NET *3008 0.0159279 +*CONN +*I *21995:D I *D sky130_fd_sc_hd__and4_1 +*I *21994:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *21995:D 0.00256223 +2 *21994:X 0.00104143 +3 *3008:18 0.00360365 +4 *21995:D *22004:B1 0.000258838 +5 *21995:D *22764:A2 0.000315815 +6 *21995:D *3017:26 0.000218925 +7 *21995:D *3053:14 7.1152e-05 +8 *21995:D *3168:7 0.000397401 +9 *3008:18 *3071:30 6.4007e-06 +10 *3008:18 *3117:54 7.5804e-05 +11 *3008:18 *3117:56 7.37612e-05 +12 *3008:18 *3117:74 0.000304965 +13 *3008:18 *3151:53 2.33193e-05 +14 *21554:A2 *21995:D 0 +15 *21921:A1 *3008:18 3.31745e-05 +16 *21994:A *3008:18 0.000107496 +17 *22765:A1 *3008:18 7.09666e-06 +18 *1443:49 *21995:D 4.35912e-05 +19 *1551:17 *21995:D 0.000286971 +20 *1553:36 *21995:D 0.000660119 +21 *1564:37 *21995:D 6.74182e-05 +22 *1645:49 *21995:D 0.000304533 +23 *1749:80 *21995:D 0 +24 *1800:249 *21995:D 0.000136028 +25 *2428:116 *21995:D 0.000174976 +26 *2528:48 *21995:D 8.70609e-05 +27 *2529:24 *3008:18 0.00127768 +28 *2719:22 *21995:D 8.99288e-05 +29 *2815:14 *21995:D 3.21769e-05 +30 *2931:26 *3008:18 0.000758105 +31 *2975:33 *21995:D 0.000263064 +32 *2975:50 *21995:D 0 +33 *2991:25 *21995:D 0.00264478 +*RES +1 *21994:X *3008:18 49.3498 +2 *3008:18 *21995:D 44.3886 +*END + +*D_NET *3009 0.000972728 +*CONN +*I *22063:B I *D sky130_fd_sc_hd__nand3_4 +*I *21995:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22063:B 0.000271077 +2 *21995:X 0.000271077 +3 *22063:B *22004:B1 6.3657e-05 +4 *21472:A1 *22063:B 4.86061e-05 +5 *22063:A *22063:B 1.07248e-05 +6 *2528:48 *22063:B 0.000236218 +7 *2650:22 *22063:B 0 +8 *2924:95 *22063:B 7.72105e-06 +9 *2949:13 *22063:B 6.36477e-05 +*RES +1 *21995:X *22063:B 34.2062 +*END + +*D_NET *3010 0.0344508 +*CONN +*I *22261:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22612:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21999:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21996:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22261:A1 0.00025891 +2 *22612:A1 0.000166414 +3 *21999:A1 0.00104632 +4 *21996:Y 4.27376e-05 +5 *3010:76 0.000596134 +6 *3010:68 0.00225407 +7 *3010:50 0.00329785 +8 *3010:17 0.00295541 +9 *3010:8 0.00238309 +10 *3010:7 0.00173132 +11 *21999:A1 *24312:CLK 1.41976e-05 +12 *21999:A1 *3013:16 1.65872e-05 +13 *21999:A1 *3359:14 0.000788248 +14 *22261:A1 *22612:A2 0.00027955 +15 *22261:A1 *3039:15 0.000107496 +16 *22261:A1 *3128:184 0.000107496 +17 *22261:A1 *3529:200 1.96891e-05 +18 *22612:A1 *22612:A2 0.000284686 +19 *22612:A1 *22613:B2 7.01586e-06 +20 *3010:8 *24321:SET_B 3.20794e-05 +21 *3010:8 *24765:RESET_B 0.000230019 +22 *3010:8 *3263:23 3.42564e-05 +23 *3010:8 *4843:298 0.000217912 +24 *3010:8 *4906:52 2.96051e-05 +25 *3010:8 *5862:463 0.000358504 +26 *3010:8 *5862:472 0.000195797 +27 *3010:8 *5862:612 0.000490278 +28 *3010:17 *4843:312 0.000236409 +29 *3010:17 *4906:52 0.000277124 +30 *3010:50 *22349:B2 1.49935e-05 +31 *3010:50 *3305:8 5.46889e-05 +32 *3010:50 *3359:14 7.9181e-05 +33 *3010:68 *22284:B 1.91246e-05 +34 *3010:68 *22284:C 7.92757e-06 +35 *3010:68 *22284:D 1.65872e-05 +36 *3010:68 *22294:B 0.000184722 +37 *3010:68 *3527:207 0.00016585 +38 *3010:76 *22281:A2 4.49912e-05 +39 *3010:76 *22281:C1 0.00025175 +40 *3010:76 *22283:B2 0.000391697 +41 *3010:76 *3241:9 1.37531e-05 +42 *21278:B2 *3010:68 0.000720423 +43 *21402:B2 *3010:50 5.76799e-05 +44 *21999:A2 *21999:A1 6.08467e-05 +45 *22344:A1 *3010:50 0.00058046 +46 *22699:B1 *3010:68 0.000162268 +47 *477:145 *3010:8 2.03363e-06 +48 *480:183 *3010:7 6.3657e-05 +49 *502:7 *3010:50 0.00123883 +50 *502:7 *3010:68 0.000262176 +51 *502:11 *3010:17 0.000735449 +52 *1551:136 *3010:50 0.000653496 +53 *1592:140 *3010:50 0.000942812 +54 *1596:92 *22612:A1 0.000159756 +55 *1596:92 *3010:68 0.00101461 +56 *1596:102 *3010:50 5.92448e-05 +57 *1616:123 *21999:A1 7.6719e-06 +58 *1617:33 *3010:68 0.000738605 +59 *1617:33 *3010:76 0.000203604 +60 *1618:126 *3010:76 3.73375e-05 +61 *1631:72 *3010:8 0.000343374 +62 *1634:22 *3010:8 8.79181e-05 +63 *1635:97 *21999:A1 0.000515396 +64 *1635:106 *21999:A1 0.000759964 +65 *1635:106 *3010:50 8.48439e-05 +66 *2149:32 *3010:8 0.000115913 +67 *2306:21 *3010:8 0.000247963 +68 *2367:65 *3010:50 0.0009111 +69 *2370:8 *3010:68 6.68896e-05 +70 *2373:14 *3010:8 9.781e-05 +71 *2404:60 *21999:A1 2.85222e-05 +72 *2417:53 *3010:50 0.000241709 +73 *2448:82 *3010:8 0.00176791 +74 *2448:82 *3010:17 1.04192e-05 +75 *2520:27 *3010:8 0.000610984 +76 *2534:23 *21999:A1 0.000491448 +77 *2806:14 *3010:68 9.514e-05 +78 *2869:46 *3010:76 7.67825e-05 +79 *2871:8 *22261:A1 0.000331507 +80 *2871:8 *22612:A1 0.000151037 +81 *2900:111 *21999:A1 0 +82 *2906:8 *3010:76 8.72221e-06 +*RES +1 *21996:Y *3010:7 14.4725 +2 *3010:7 *3010:8 57.891 +3 *3010:8 *3010:17 23.7899 +4 *3010:17 *21999:A1 49.3779 +5 *3010:17 *3010:50 31.535 +6 *3010:50 *3010:68 48.7494 +7 *3010:68 *3010:76 19.708 +8 *3010:76 *22612:A1 19.0748 +9 *3010:76 *22261:A1 22.2574 +*END + +*D_NET *3011 0.0543778 +*CONN +*I *22615:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22267:A I *D sky130_fd_sc_hd__or2_1 +*I *21999:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21997:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22615:B1 0.000138569 +2 *22267:A 0 +3 *21999:B1 8.62275e-05 +4 *21997:Y 0.000537545 +5 *3011:55 0.00328356 +6 *3011:34 0.00338873 +7 *3011:33 0.00108168 +8 *3011:30 0.00164094 +9 *3011:24 0.00280937 +10 *3011:22 0.002402 +11 *3011:17 0.00269612 +12 *3011:10 0.00292426 +13 *21999:B1 *21998:B1 7.71503e-05 +14 *21999:B1 *21999:C1 9.12416e-06 +15 *21999:B1 *3013:16 2.57847e-05 +16 *21999:B1 *3348:33 1.01851e-05 +17 *22615:B1 *22263:A2 0.000198558 +18 *3011:10 *20730:B1 6.97239e-05 +19 *3011:10 *4814:7 6.44964e-06 +20 *3011:10 *4814:9 0.000213725 +21 *3011:10 *4816:22 2.0139e-05 +22 *3011:10 *4845:183 8.05273e-05 +23 *3011:10 *4845:205 1.9101e-05 +24 *3011:10 *5714:26 3.93117e-06 +25 *3011:17 *4824:112 0.000119538 +26 *3011:17 *4901:79 0.000110684 +27 *3011:22 *24905:CLK 0.000118485 +28 *3011:22 *4901:40 0.000575168 +29 *3011:24 *4812:14 0.00162023 +30 *3011:24 *5417:22 0.000101601 +31 *3011:30 *4873:26 0.00130103 +32 *3011:30 *5417:22 0 +33 *3011:33 *3192:92 0.00035152 +34 *3011:33 *5910:41 0.00119589 +35 *3011:34 *21998:B1 0.000315431 +36 *3011:55 *22263:A2 0.000235656 +37 *3011:55 *22610:A2 3.17436e-05 +38 *3011:55 *3146:157 0.000644406 +39 *3011:55 *3511:192 0.000281987 +40 *3011:55 *3537:215 8.5867e-06 +41 *20263:A *3011:24 0.000166346 +42 *20321:B2 *3011:17 5.97411e-05 +43 *20736:A2 *3011:10 0.000200221 +44 *20736:B2 *3011:10 0.000633417 +45 *21283:B2 *3011:55 0.00063922 +46 *21759:A2 *3011:55 0.00204968 +47 *22029:A2 *3011:55 0.000224449 +48 *22619:B1 *3011:55 0.000392389 +49 *22676:B1 *21999:B1 3.63593e-05 +50 *22676:B1 *3011:34 0.000256242 +51 *24418:D *3011:10 8.55619e-05 +52 *477:180 *3011:55 0.00136813 +53 *510:47 *3011:30 7.00554e-05 +54 *1610:115 *3011:55 0.00156089 +55 *1616:123 *21999:B1 1.03403e-05 +56 *2052:18 *3011:10 0.000143032 +57 *2262:34 *3011:22 0.000865507 +58 *2262:40 *3011:22 1.6267e-05 +59 *2262:40 *3011:24 0.00227677 +60 *2294:28 *3011:22 1.2366e-05 +61 *2294:30 *3011:22 0.000198737 +62 *2294:30 *3011:24 0.000477099 +63 *2319:26 *3011:24 0.00198321 +64 *2319:26 *3011:30 0 +65 *2319:33 *3011:24 5.09609e-05 +66 *2319:33 *3011:30 0.00122534 +67 *2320:10 *3011:17 0.000410992 +68 *2321:14 *3011:17 0.00011594 +69 *2360:13 *3011:17 7.04549e-06 +70 *2360:17 *3011:17 7.65895e-05 +71 *2433:11 *3011:17 0.00115384 +72 *2452:29 *3011:33 0.000107496 +73 *2468:63 *3011:30 1.56213e-05 +74 *2497:22 *3011:34 5.41377e-05 +75 *2499:23 *3011:17 7.24839e-05 +76 *2530:52 *3011:24 0.000643275 +77 *2542:28 *22615:B1 0.000189434 +78 *2542:28 *3011:55 0.000235226 +79 *2794:9 *3011:33 0.00363353 +80 *2819:38 *3011:55 0.000206449 +81 *2883:64 *3011:55 0.000217293 +82 *2900:111 *3011:55 0.0034299 +83 *2919:20 *3011:55 7.08804e-05 +*RES +1 *21997:Y *3011:10 35.7592 +2 *3011:10 *3011:17 47.6341 +3 *3011:17 *3011:22 21.391 +4 *3011:22 *3011:24 89.035 +5 *3011:24 *3011:30 31.7723 +6 *3011:30 *3011:33 46.2641 +7 *3011:33 *3011:34 6.19214 +8 *3011:34 *21999:B1 16.0969 +9 *3011:34 *3011:55 22.4512 +10 *3011:55 *22267:A 13.7491 +11 *3011:55 *22615:B1 18.0727 +*END + +*D_NET *3012 0.000945104 +*CONN +*I *21999:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *21998:Y O *D sky130_fd_sc_hd__a22oi_1 +*CAP +1 *21999:C1 0.000164634 +2 *21998:Y 0.000164634 +3 *21999:C1 *21998:B1 0.000218874 +4 *21999:C1 *3192:91 0.000154145 +5 *21999:C1 *3348:33 0.00018668 +6 *21999:B1 *21999:C1 9.12416e-06 +7 *1610:104 *21999:C1 4.36818e-05 +8 *2462:8 *21999:C1 3.33173e-06 +*RES +1 *21998:Y *21999:C1 33.9331 +*END + +*D_NET *3013 0.0213337 +*CONN +*I *22018:A I *D sky130_fd_sc_hd__and4_1 +*I *21999:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22018:A 0.00294387 +2 *21999:X 0.00131487 +3 *3013:16 0.00425875 +4 *22018:A *22018:C 7.49212e-05 +5 *22018:A *22018:D 0.000216467 +6 *22018:A *3046:35 0.000637338 +7 *22018:A *3122:161 1.84111e-05 +8 *22018:A *3122:173 3.51785e-06 +9 *22018:A *3173:26 0.000637188 +10 *3013:16 *22723:A2 5.36085e-05 +11 *3013:16 *3122:136 5.01835e-05 +12 *3013:16 *3316:14 0.000820822 +13 *3013:16 *3663:10 8.05411e-05 +14 *21303:A1 *22018:A 1.90709e-05 +15 *21396:A1 *22018:A 5.97396e-06 +16 *21412:C1 *22018:A 0.000733002 +17 *21412:C1 *3013:16 0.000474049 +18 *21482:A1 *22018:A 0.00157315 +19 *21999:A1 *3013:16 1.65872e-05 +20 *21999:A2 *3013:16 0.000154145 +21 *21999:B1 *3013:16 2.57847e-05 +22 *21999:B2 *3013:16 7.92757e-06 +23 *22029:A2 *22018:A 1.82146e-05 +24 *22676:B1 *3013:16 1.78704e-05 +25 *22676:B2 *3013:16 0.000469806 +26 *1564:134 *22018:A 0.00059967 +27 *1610:115 *22018:A 9.29815e-06 +28 *1616:123 *3013:16 2.67418e-06 +29 *1647:58 *22018:A 0.00207592 +30 *2093:149 *22018:A 1.09444e-05 +31 *2306:56 *3013:16 7.60356e-05 +32 *2355:42 *22018:A 1.07881e-05 +33 *2409:22 *22018:A 3.49643e-05 +34 *2446:145 *22018:A 7.54017e-06 +35 *2534:23 *22018:A 0.00308471 +36 *2883:64 *22018:A 0.000778019 +37 *2900:111 *22018:A 1.71158e-05 +*RES +1 *21999:X *3013:16 42.778 +2 *3013:16 *22018:A 44.5098 +*END + +*D_NET *3014 0.0970997 +*CONN +*I *22431:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22005:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22755:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22000:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22431:A1 0.00271927 +2 *22005:A1 0.00369021 +3 *22755:A1 7.06543e-05 +4 *22000:Y 0.000352265 +5 *3014:82 0.00490596 +6 *3014:79 0.00753327 +7 *3014:72 0.00555175 +8 *3014:71 0.00188284 +9 *3014:69 0.00127579 +10 *3014:56 0.00242313 +11 *3014:48 0.00291473 +12 *3014:39 0.00451421 +13 *3014:30 0.00378131 +14 *3014:10 0.00106045 +15 *3014:8 7.66913e-05 +16 *3014:7 0.000402994 +17 *22005:A1 *3019:23 0.000189883 +18 *22005:A1 *3071:57 0.000214166 +19 *22005:A1 *3206:88 0.000252934 +20 *22005:A1 *3206:107 0.000396551 +21 *22005:A1 *3511:63 5.60804e-05 +22 *22005:A1 *3513:57 4.23858e-05 +23 *22005:A1 *3517:36 0.000516386 +24 *22005:A1 *3517:151 2.05082e-05 +25 *22005:A1 *3736:18 0.000170643 +26 *22431:A1 *22431:A2 9.12416e-06 +27 *22431:A1 *22803:B2 0.000277674 +28 *22431:A1 *3114:66 5.60804e-05 +29 *22431:A1 *3124:76 5.01835e-05 +30 *22431:A1 *3157:43 2.16355e-05 +31 *22431:A1 *3157:54 0.00118723 +32 *22431:A1 *3175:43 1.91613e-05 +33 *22431:A1 *5476:51 0.000722977 +34 *22755:A1 *22742:B 6.08467e-05 +35 *22755:A1 *22755:B2 6.08467e-05 +36 *22755:A1 *3521:101 1.5714e-05 +37 *22755:A1 *5455:12 3.70027e-06 +38 *3014:7 *21718:B1 9.82896e-06 +39 *3014:7 *4829:23 0.000375013 +40 *3014:30 *20356:A1 8.1407e-05 +41 *3014:30 *20356:B1 1.03403e-05 +42 *3014:30 *4845:466 8.25923e-05 +43 *3014:30 *5860:433 0.000280284 +44 *3014:39 *24506:CLK 0.00016562 +45 *3014:39 *4828:129 0.000134029 +46 *3014:39 *4832:109 0 +47 *3014:39 *5076:10 3.28898e-06 +48 *3014:39 *5671:19 9.54946e-05 +49 *3014:48 *19648:B1 0.0029499 +50 *3014:48 *5475:19 0 +51 *3014:48 *5476:16 0 +52 *3014:48 *5874:79 0.000194934 +53 *3014:56 *21156:A 6.55312e-05 +54 *3014:56 *22827:A1 7.77309e-06 +55 *3014:56 *24027:S 3.06703e-05 +56 *3014:56 *24200:CLK 0 +57 *3014:56 *3757:26 2.83767e-05 +58 *3014:56 *3758:8 2.09468e-05 +59 *3014:56 *4863:114 0.000705814 +60 *3014:56 *5438:8 0 +61 *3014:56 *5438:17 0 +62 *3014:56 *5439:21 2.82537e-05 +63 *3014:56 *5476:16 0 +64 *3014:69 *24051:A0 1.41976e-05 +65 *3014:69 *24060:S 7.20648e-06 +66 *3014:69 *24201:CLK 3.31733e-05 +67 *3014:69 *4867:8 0.00167666 +68 *3014:69 *5440:50 9.54448e-05 +69 *3014:69 *5441:29 0.000148144 +70 *3014:69 *5441:38 0.00091188 +71 *3014:69 *5476:34 0.0002234 +72 *3014:72 *20155:B1 0 +73 *3014:72 *23378:A 0.000314452 +74 *3014:72 *4288:22 0.000111646 +75 *3014:72 *4288:29 1.00004e-05 +76 *3014:72 *4878:47 0 +77 *3014:72 *4878:59 0 +78 *3014:72 *5442:8 3.44169e-05 +79 *3014:72 *5442:13 0.000134668 +80 *3014:79 *22416:C 0.000638526 +81 *3014:79 *3157:54 0.0012323 +82 *3014:79 *5476:51 0.000900344 +83 *3014:82 *3398:17 0.000463364 +84 *3014:82 *3432:31 9.2243e-05 +85 *19633:B *22005:A1 3.91e-05 +86 *19855:B *22005:A1 2.94405e-05 +87 *19997:A1 *22005:A1 4.65853e-05 +88 *20356:A2 *3014:30 5.16333e-05 +89 *20356:B2 *3014:30 3.8181e-05 +90 *20453:B *3014:39 0.00153354 +91 *21518:A1 *22005:A1 9.10186e-05 +92 *21530:A2 *3014:7 6.92705e-05 +93 *21530:B2 *3014:7 0.000455422 +94 *21531:B1 *22005:A1 0.000768926 +95 *22005:A2 *22005:A1 1.41976e-05 +96 *22040:B2 *22431:A1 6.08467e-05 +97 *22335:A1 *22005:A1 3.17595e-05 +98 *22420:B1 *22431:A1 6.21488e-06 +99 *22431:B1 *22431:A1 5.88834e-06 +100 *23924:B *22005:A1 1.43499e-05 +101 *24059:A0 *3014:69 8.06262e-05 +102 *74:35 *3014:79 0.000936913 +103 *460:30 *3014:72 6.82805e-06 +104 *522:17 *22431:A1 0.000416833 +105 *1439:252 *3014:30 0.00094434 +106 *1439:260 *3014:39 2.42023e-05 +107 *1439:270 *3014:48 3.7516e-05 +108 *1439:290 *3014:48 7.75133e-06 +109 *1459:42 *3014:72 0.000164673 +110 *1459:89 *22005:A1 4.19222e-05 +111 *1459:110 *22005:A1 2.61801e-05 +112 *1462:22 *22005:A1 0.00151683 +113 *1471:111 *3014:56 0.000211098 +114 *1537:71 *22005:A1 1.22057e-05 +115 *1544:108 *22005:A1 0.000204357 +116 *1596:14 *22005:A1 0.000261728 +117 *1627:13 *22005:A1 4.24947e-05 +118 *1637:42 *22431:A1 0.00041704 +119 *1642:39 *22005:A1 6.21488e-06 +120 *1652:12 *22431:A1 0.000994458 +121 *1668:59 *3014:56 0.000319621 +122 *1668:59 *3014:69 2.53624e-06 +123 *1678:69 *22431:A1 0.000212985 +124 *1688:117 *22005:A1 0.00122082 +125 *1688:117 *3014:82 0.0011631 +126 *1690:56 *3014:56 0.000285517 +127 *1697:52 *22431:A1 5.64337e-05 +128 *1697:52 *3014:79 4.19355e-05 +129 *1699:24 *3014:72 0.000599467 +130 *1705:20 *3014:72 0.00061887 +131 *1711:38 *3014:7 0.000259671 +132 *1711:38 *3014:30 0.000198045 +133 *1714:24 *3014:69 0.000305129 +134 *1723:47 *22005:A1 0.000778798 +135 *1725:115 *22005:A1 0.00122529 +136 *1725:115 *3014:82 0.000211993 +137 *1731:39 *3014:48 0.00486186 +138 *1740:65 *3014:30 0.00142799 +139 *1753:16 *22005:A1 0.00167329 +140 *1760:8 *3014:48 0 +141 *1786:25 *3014:48 0 +142 *1802:30 *22431:A1 1.76701e-05 +143 *1802:80 *22431:A1 0.00042731 +144 *1804:64 *22431:A1 0.000165819 +145 *1889:16 *3014:39 0.000661482 +146 *1889:27 *3014:48 3.31733e-05 +147 *1930:7 *3014:30 2.16355e-05 +148 *2338:72 *22005:A1 4.1236e-05 +149 *2426:38 *3014:48 0.00029276 +150 *2429:25 *3014:72 0.000321953 +151 *2442:8 *3014:48 0.000178729 +152 *2469:19 *3014:56 9.32891e-05 +153 *2504:20 *3014:79 0.000920833 +154 *2505:43 *3014:48 0.000602747 +155 *2531:65 *22005:A1 7.92757e-06 +156 *2561:16 *3014:39 1.5714e-05 +157 *2561:26 *3014:48 1.23804e-05 +158 *2561:26 *3014:56 0.00119041 +159 *2561:26 *3014:72 0 +160 *2563:26 *22755:A1 5.60804e-05 +161 *2583:61 *22005:A1 1.68253e-05 +162 *2587:13 *3014:7 0.000304777 +163 *2632:19 *3014:39 1.06769e-05 +164 *2667:93 *22005:A1 0.000206449 +165 *2704:53 *3014:69 4.95399e-05 +166 *2746:43 *22005:A1 5.48676e-06 +167 *2753:19 *3014:39 0.000376742 +168 *2801:19 *22431:A1 8.09106e-06 +169 *2804:24 *22431:A1 5.79411e-05 +170 *2805:22 *22431:A1 0.000358976 +171 *2838:13 *3014:39 0.00041102 +172 *2839:27 *3014:56 0.00014873 +173 *2844:46 *3014:79 6.23101e-05 +174 *2878:44 *3014:69 5.91844e-05 +175 *2922:54 *3014:72 5.22174e-05 +176 *2922:54 *3014:79 0.00485195 +177 *2936:14 *3014:30 1.88778e-05 +178 *2946:8 *3014:39 7.08723e-06 +179 *2950:125 *22431:A1 0.000126204 +180 *3002:26 *22755:A1 1.9101e-05 +*RES +1 *22000:Y *3014:7 30.8684 +2 *3014:7 *3014:8 57.9449 +3 *3014:8 *3014:10 42.9474 +4 *3014:10 *3014:30 49.149 +5 *3014:30 *3014:39 47.1791 +6 *3014:39 *3014:48 34.8424 +7 *3014:48 *3014:56 48.7549 +8 *3014:56 *3014:69 48.5887 +9 *3014:69 *3014:71 3.36879 +10 *3014:71 *3014:72 56.6453 +11 *3014:72 *3014:79 16.0612 +12 *3014:79 *3014:82 4.77061 +13 *3014:82 *22755:A1 18.6847 +14 *3014:82 *22005:A1 36.2124 +15 *3014:79 *22431:A1 26.2522 +*END + +*D_NET *3015 0.0299084 +*CONN +*I *22270:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22005:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22001:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22270:B1 0 +2 *22005:B1 0.000119479 +3 *22001:Y 0.000173656 +4 *3015:28 0.00200109 +5 *3015:26 0.00303115 +6 *3015:16 0.00161789 +7 *3015:14 0.00197336 +8 *3015:10 0.00305753 +9 *3015:7 0.00172617 +10 *22005:B1 *22005:C1 6.08467e-05 +11 *3015:10 *22225:B 0.000170619 +12 *3015:10 *22225:C 8.17829e-06 +13 *3015:10 *3168:160 0.000148632 +14 *3015:10 *3220:12 9.68883e-05 +15 *3015:10 *5855:99 1.5714e-05 +16 *3015:14 *22060:B1 2.41278e-05 +17 *3015:14 *22608:B2 0.000305271 +18 *3015:14 *3513:188 7.98343e-05 +19 *3015:14 *3523:154 0.000516127 +20 *3015:14 *3546:13 6.70569e-05 +21 *3015:16 *22054:A1 0.00010242 +22 *3015:16 *3111:186 0.000258285 +23 *3015:16 *3546:13 0.000175702 +24 *3015:16 *3546:26 8.64587e-05 +25 *3015:26 *22270:B2 1.91391e-05 +26 *3015:26 *22324:A2 0.000215112 +27 *3015:26 *22529:B2 0.000164204 +28 *3015:26 *22529:C1 1.2851e-05 +29 *3015:26 *22598:C 7.70944e-06 +30 *3015:26 *3106:68 3.29488e-05 +31 *3015:26 *3200:14 0.000602393 +32 *3015:26 *3541:180 5.45571e-05 +33 *3015:26 *3546:26 1.63536e-05 +34 *3015:28 *21730:A1 8.28675e-06 +35 *3015:28 *22664:B2 7.08433e-05 +36 *3015:28 *24909:A 0.00016445 +37 *3015:28 *3502:197 0.000451074 +38 *3015:28 *3529:62 1.91391e-05 +39 *19863:B1 *3015:14 6.14756e-06 +40 *19895:A2 *3015:14 0.000328863 +41 *19899:A *3015:28 9.21233e-05 +42 *21525:B *3015:28 7.08709e-05 +43 *21531:B2 *3015:28 2.69685e-05 +44 *21618:B *3015:28 0.000234492 +45 *21623:B1 *3015:28 0.00012228 +46 *21636:B2 *3015:28 1.56117e-05 +47 *21806:C1 *3015:10 0.000118738 +48 *22005:B2 *22005:B1 0.000326068 +49 *22054:A2 *3015:16 1.48605e-05 +50 *22054:B2 *3015:16 0.000207902 +51 *22060:A2 *3015:14 0.000350684 +52 *22060:A2 *3015:16 1.05862e-05 +53 *22545:B1 *3015:16 9.78496e-06 +54 *22575:B1 *3015:14 0.000301638 +55 *22576:A1 *3015:14 1.14055e-05 +56 *1418:195 *3015:7 0.000260374 +57 *1561:154 *3015:28 0.000242958 +58 *1583:74 *3015:28 8.30416e-05 +59 *1583:78 *3015:28 7.8756e-07 +60 *1588:88 *3015:28 0.000645813 +61 *1588:107 *3015:28 0.000220378 +62 *1588:131 *3015:16 3.2029e-05 +63 *1588:131 *3015:26 7.36183e-05 +64 *1594:85 *3015:14 4.33979e-05 +65 *1598:68 *3015:26 0.000224275 +66 *1598:68 *3015:28 3.31089e-05 +67 *1602:30 *3015:28 0.000346425 +68 *1617:40 *3015:14 0.000691994 +69 *1617:50 *3015:14 0.00105869 +70 *1637:87 *3015:28 0.000592328 +71 *1644:10 *3015:10 1.04759e-05 +72 *1647:179 *22005:B1 6.08467e-05 +73 *1654:29 *3015:10 0.00134617 +74 *1655:135 *3015:14 4.17069e-06 +75 *1656:37 *3015:10 0.000724807 +76 *1658:215 *3015:28 9.99547e-05 +77 *1744:204 *3015:26 0.000158117 +78 *2117:180 *3015:16 1.69327e-05 +79 *2531:65 *22005:B1 5.07295e-05 +80 *2569:16 *3015:26 0.000324144 +81 *2569:27 *3015:26 8.55401e-05 +82 *2569:27 *3015:28 0.000726072 +83 *2569:41 *3015:28 8.66271e-06 +84 *2601:23 *3015:10 4.37898e-05 +85 *2601:36 *3015:10 0.000722096 +86 *2601:36 *3015:14 0.000198473 +87 *2601:40 *3015:14 6.1061e-06 +88 *2675:95 *3015:28 2.54542e-05 +89 *2826:19 *3015:10 0.000238623 +90 *2850:12 *3015:10 0.000195859 +91 *2850:34 *3015:10 4.10828e-05 +92 *2850:34 *3015:14 0.00011034 +93 *2850:42 *3015:14 4.42742e-06 +94 *3002:82 *3015:26 0.00025175 +*RES +1 *22001:Y *3015:7 16.691 +2 *3015:7 *3015:10 46.7892 +3 *3015:10 *3015:14 47.412 +4 *3015:14 *3015:16 12.8362 +5 *3015:16 *3015:26 38.5546 +6 *3015:26 *3015:28 53.9461 +7 *3015:28 *22005:B1 18.3789 +8 *3015:16 *22270:B1 13.7491 +*END + +*D_NET *3016 0.0157025 +*CONN +*I *22446:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22004:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22002:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22446:B1 0.00103322 +2 *22004:A1 0.00100332 +3 *22002:Y 0.000342029 +4 *3016:22 0.00321275 +5 *3016:7 0.00358467 +6 *22004:A1 *22004:B1 6.05795e-05 +7 *22004:A1 *3018:7 1.16497e-05 +8 *22446:B1 *22490:A2 0.000210077 +9 *22446:B1 *22490:C1 4.15902e-05 +10 *22446:B1 *3144:65 6.08467e-05 +11 *22446:B1 *3204:52 6.08467e-05 +12 *3016:7 *21988:A 6.08467e-05 +13 *3016:7 *5917:577 0.000480689 +14 *3016:22 *20045:A 0.000191856 +15 *3016:22 *20585:A1 0.000894796 +16 *19793:B *22004:A1 4.81015e-05 +17 *19799:B *3016:22 3.31745e-05 +18 *20015:A *3016:22 0.000123134 +19 *20585:A2 *3016:22 0.000181639 +20 *20585:B2 *3016:22 0.000107496 +21 *21938:B1 *22446:B1 0.000123931 +22 *21939:B1 *22446:B1 0.000323491 +23 *21939:C1 *22446:B1 0.000123648 +24 *21968:A1 *22004:A1 2.01828e-05 +25 *21968:A2 *22004:A1 2.16355e-05 +26 *21968:B1 *22004:A1 0.000294093 +27 *21968:B2 *22004:A1 2.92975e-06 +28 *22004:B2 *22004:A1 3.04234e-05 +29 *1435:45 *3016:22 2.71384e-05 +30 *1454:35 *22446:B1 8.45896e-06 +31 *1510:45 *3016:22 3.76925e-05 +32 *1537:267 *3016:7 0.000460113 +33 *1739:41 *22446:B1 0 +34 *1739:46 *22446:B1 0 +35 *1740:98 *22446:B1 0.000121106 +36 *1740:98 *3016:22 5.1493e-06 +37 *1757:57 *3016:7 0.000746268 +38 *1757:57 *3016:22 5.88009e-05 +39 *1771:7 *3016:22 0.000690915 +40 *1792:97 *22446:B1 0.00017138 +41 *1792:97 *3016:22 7.94462e-05 +42 *1792:101 *22446:B1 0.000335681 +43 *1801:16 *3016:22 0.000115934 +44 *1808:11 *22446:B1 5.49916e-05 +45 *2740:27 *3016:22 6.51637e-05 +46 *2949:32 *22004:A1 4.06069e-05 +47 *3003:24 *22446:B1 0 +*RES +1 *22002:Y *3016:7 28.3376 +2 *3016:7 *3016:22 47.7434 +3 *3016:22 *22004:A1 38.8421 +4 *3016:7 *22446:B1 39.6319 +*END + +*D_NET *3017 0.0417671 +*CONN +*I *22004:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22003:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22004:B1 0.000410852 +2 *22003:Y 0.000142139 +3 *3017:26 0.00477855 +4 *3017:15 0.00585858 +5 *3017:8 0.00410104 +6 *3017:7 0.0027523 +7 *3017:7 *5798:11 4.28856e-07 +8 *3017:8 *20905:B1 0.000528687 +9 *3017:8 *21172:B1 7.50872e-05 +10 *3017:8 *23937:A0 0.000476578 +11 *3017:8 *4912:12 0.00261723 +12 *3017:8 *5695:19 0.00042216 +13 *3017:8 *5796:11 0 +14 *3017:8 *5898:16 1.66771e-05 +15 *3017:8 *6023:8 1.62054e-05 +16 *3017:15 *20259:A1 3.74738e-05 +17 *3017:15 *24047:A0 5.04829e-06 +18 *3017:15 *5855:334 0.000128828 +19 *3017:15 *5861:492 0 +20 *3017:15 *5864:352 0.000283517 +21 *3017:15 *5864:356 0.000101133 +22 *3017:26 *4866:70 0.000519628 +23 *20772:B2 *3017:8 7.14746e-05 +24 *21173:B2 *3017:8 9.4477e-05 +25 *21864:B1 *22004:B1 0.000158357 +26 *21915:B1 *3017:26 0.00173096 +27 *21926:A1 *3017:26 0.000200499 +28 *21995:D *22004:B1 0.000258838 +29 *21995:D *3017:26 0.000218925 +30 *22004:A1 *22004:B1 6.05795e-05 +31 *22004:B2 *22004:B1 3.04234e-05 +32 *22063:B *22004:B1 6.3657e-05 +33 *24396:D *3017:8 0.00021918 +34 *24397:D *3017:8 0.000673573 +35 *24697:D *3017:15 0.000336155 +36 *25159:A *3017:8 0 +37 *482:136 *3017:15 0.00010239 +38 *508:28 *3017:8 0.000114211 +39 *1551:17 *3017:26 0.00035965 +40 *2060:18 *3017:8 6.63327e-05 +41 *2060:34 *3017:8 6.77949e-05 +42 *2241:8 *3017:8 0 +43 *2241:13 *3017:8 0 +44 *2241:16 *3017:8 0.000101133 +45 *2242:32 *3017:8 4.3116e-06 +46 *2256:13 *3017:26 0.00453588 +47 *2333:38 *3017:26 0 +48 *2392:24 *3017:26 0.00518805 +49 *2689:14 *3017:8 0.000125394 +50 *2689:18 *3017:8 0.000352508 +51 *2689:22 *3017:15 0.00172291 +52 *2719:22 *3017:26 7.22125e-05 +53 *2875:12 *3017:26 1.9101e-05 +54 *2876:8 *3017:26 4.69495e-06 +55 *2880:20 *3017:26 0.000249456 +56 *2882:8 *3017:8 0 +57 *2882:19 *3017:15 0 +58 *2949:13 *22004:B1 0.000407867 +59 *2949:32 *22004:B1 0.000200794 +60 *2975:33 *22004:B1 0.000297267 +61 *2975:50 *3017:26 2.72835e-05 +62 *2983:11 *22004:B1 0.000358585 +*RES +1 *22003:Y *3017:7 15.5817 +2 *3017:7 *3017:8 95.7645 +3 *3017:8 *3017:15 46.1986 +4 *3017:15 *3017:26 41.2077 +5 *3017:26 *22004:B1 26.6348 +*END + +*D_NET *3018 0.0180964 +*CONN +*I *22005:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22004:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22005:C1 0.00230556 +2 *22004:X 1.45459e-05 +3 *3018:8 0.00379935 +4 *3018:7 0.00150833 +5 *22005:C1 *22054:A1 0.000771119 +6 *22005:C1 *3196:41 6.03237e-05 +7 *22005:C1 *3502:21 5.60804e-05 +8 *22005:C1 *3719:44 6.00033e-05 +9 *22005:C1 *4870:134 7.67158e-05 +10 *3018:8 *3204:26 0.000161282 +11 *3018:8 *3406:10 0.000239404 +12 *3018:8 *3498:35 8.3506e-05 +13 *3018:8 *5854:489 0.000330244 +14 *21492:A1 *22005:C1 8.4653e-05 +15 *21517:A2 *22005:C1 2.35682e-05 +16 *21525:C *22005:C1 1.51357e-05 +17 *21537:A2 *22005:C1 4.0919e-05 +18 *21537:B2 *22005:C1 0.000632524 +19 *21550:B *22005:C1 6.56365e-05 +20 *21909:A1 *22005:C1 0.000659191 +21 *22004:A1 *3018:7 1.16497e-05 +22 *22005:B1 *22005:C1 6.08467e-05 +23 *22783:B1 *3018:8 0.000114107 +24 *522:17 *22005:C1 6.03237e-05 +25 *547:21 *3018:8 4.12833e-05 +26 *1584:20 *22005:C1 0.00022187 +27 *1588:64 *3018:8 8.83958e-05 +28 *1614:11 *22005:C1 0.000212538 +29 *1636:82 *22005:C1 0.000434627 +30 *1637:42 *22005:C1 0.000539116 +31 *1639:99 *3018:8 0.00101362 +32 *1648:47 *22005:C1 0.00015643 +33 *1660:85 *22005:C1 0.000814042 +34 *2338:41 *22005:C1 7.00275e-05 +35 *2338:72 *22005:C1 1.93367e-05 +36 *2407:22 *22005:C1 0.000307128 +37 *2531:65 *22005:C1 1.03403e-05 +38 *2547:12 *3018:8 0.00024027 +39 *2590:36 *22005:C1 0.000269797 +40 *2667:99 *22005:C1 0.000252563 +41 *2763:20 *22005:C1 8.59507e-05 +42 *2923:16 *3018:8 0.00185351 +43 *2949:32 *3018:7 6.50586e-05 +44 *3001:22 *22005:C1 0.000165519 +*RES +1 *22004:X *3018:7 14.4725 +2 *3018:7 *3018:8 48.5479 +3 *3018:8 *22005:C1 47.999 +*END + +*D_NET *3019 0.0146813 +*CONN +*I *22018:B I *D sky130_fd_sc_hd__and4_1 +*I *22005:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22018:B 0.000568768 +2 *22005:X 0.00135618 +3 *3019:28 0.00187651 +4 *3019:23 0.00266393 +5 *22018:B *22018:D 0.000114594 +6 *22018:B *22054:B1 3.83492e-06 +7 *22018:B *3064:44 2.48087e-05 +8 *22018:B *3252:17 0 +9 *22018:B *5904:64 1.81263e-05 +10 *3019:23 *3071:57 2.38104e-05 +11 *3019:23 *3071:67 0.000384807 +12 *3019:23 *3128:60 0.000103963 +13 *3019:23 *3148:226 0.000106353 +14 *3019:23 *3507:34 0.000734522 +15 *3019:23 *3507:135 0.000196994 +16 *3019:23 *3509:175 5.83451e-05 +17 *3019:23 *3511:63 0.000252934 +18 *3019:23 *3513:57 2.02832e-05 +19 *3019:23 *3517:151 0.000258478 +20 *3019:23 *3539:60 6.08467e-05 +21 *3019:23 *3539:70 1.65872e-05 +22 *3019:28 *3071:67 0.000515255 +23 *3019:28 *3073:16 1.76936e-05 +24 *3019:28 *3172:6 0.00151007 +25 *3019:28 *3613:10 1.25395e-05 +26 *21807:C *3019:23 0.000184654 +27 *22005:A1 *3019:23 0.000189883 +28 *22324:A1 *22018:B 1.66771e-05 +29 *22325:B1 *22018:B 3.63593e-05 +30 *22335:A1 *3019:23 5.46889e-05 +31 *520:17 *3019:23 0.000111938 +32 *1443:60 *3019:23 5.86296e-05 +33 *1539:30 *3019:23 0.000110306 +34 *1554:48 *22018:B 8.43846e-05 +35 *1554:48 *3019:28 2.55661e-06 +36 *1576:59 *3019:23 0.000888969 +37 *1614:55 *22018:B 2.08643e-05 +38 *1614:55 *3019:28 0.00117968 +39 *1614:75 *22018:B 0.000358682 +40 *1655:29 *3019:23 0.000188202 +41 *2244:36 *3019:23 3.55859e-05 +42 *2338:72 *3019:23 2.66623e-05 +43 *2557:20 *3019:23 5.62522e-05 +44 *2601:58 *22018:B 0.000128304 +45 *2825:92 *3019:28 4.77578e-05 +46 *2985:44 *22018:B 0 +*RES +1 *22005:X *3019:23 46.0316 +2 *3019:23 *3019:28 43.3383 +3 *3019:28 *22018:B 28.0709 +*END + +*D_NET *3020 0.0371419 +*CONN +*I *22615:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22263:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22011:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22006:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22615:A1 0.000789894 +2 *22263:B1 1.47608e-05 +3 *22011:A1 0.000954183 +4 *22006:Y 0.00284675 +5 *3020:56 0.00144621 +6 *3020:31 0.00271821 +7 *3020:26 0.0038204 +8 *3020:21 0.00366072 +9 *3020:14 0.00380955 +10 *22011:A1 *22011:B1 7.03364e-05 +11 *22011:A1 *22265:B1 0.000380304 +12 *22011:A1 *22265:B2 0.000154695 +13 *22011:A1 *22600:B2 0.000126593 +14 *22011:A1 *3025:11 0.000398901 +15 *22011:A1 *3050:52 7.75133e-06 +16 *22011:A1 *3222:8 6.1578e-06 +17 *22011:A1 *3476:154 0.000189389 +18 *22011:A1 *3493:73 7.01586e-06 +19 *22011:A1 *5904:64 0.000347839 +20 *22263:B1 *22263:B2 6.08467e-05 +21 *22263:B1 *3026:44 6.08467e-05 +22 *22615:A1 *22042:C 0.000414392 +23 *22615:A1 *22042:D 0.000177123 +24 *22615:A1 *22062:B 6.85778e-05 +25 *22615:A1 *22611:A2 2.16355e-05 +26 *22615:A1 *3046:35 9.17656e-06 +27 *22615:A1 *3122:161 0.000374729 +28 *22615:A1 *3217:28 9.69987e-05 +29 *22615:A1 *3537:215 6.08467e-05 +30 *22615:A1 *3564:14 3.26582e-06 +31 *22615:A1 *4857:12 0.000184932 +32 *3020:14 *3306:29 0.000240881 +33 *3020:14 *4825:95 0 +34 *3020:14 *5592:102 0 +35 *3020:14 *5924:37 9.00714e-07 +36 *3020:21 *22353:B2 1.09551e-05 +37 *3020:26 *22353:B2 0.000640039 +38 *3020:26 *3126:51 0.000245462 +39 *3020:26 *3206:193 0.00196334 +40 *3020:26 *3581:29 0.000144946 +41 *3020:31 *5904:64 0.00118603 +42 *3020:56 *22042:D 5.81031e-05 +43 *3020:56 *3026:35 3.17436e-05 +44 *3020:56 *3146:157 1.66771e-05 +45 *3020:56 *3217:28 2.57465e-06 +46 *3020:56 *3232:25 0.000106762 +47 *3020:56 *4813:110 4.16959e-05 +48 *3020:56 *4848:30 0.000256228 +49 *3020:56 *4857:12 0.000206692 +50 *20938:B2 *3020:21 0.000307037 +51 *21261:D *3020:21 6.50586e-05 +52 *21482:A1 *22615:A1 1.9101e-05 +53 *21759:A2 *22615:A1 0.000376702 +54 *22287:B1 *3020:56 6.51652e-05 +55 *22291:A1 *22011:A1 0.000104151 +56 *22292:B1 *22615:A1 0.000171825 +57 *22353:A1 *3020:21 0.00043404 +58 *22610:A1 *22615:A1 9.46024e-05 +59 *1543:15 *3020:21 1.5613e-05 +60 *1543:17 *3020:21 9.79047e-05 +61 *1543:17 *3020:26 2.40505e-05 +62 *1575:81 *22615:A1 0.000165587 +63 *1620:60 *3020:56 0.000134468 +64 *1635:69 *3020:26 0.000235966 +65 *1679:20 *3020:14 0.000466179 +66 *1721:118 *22011:A1 6.53312e-05 +67 *1800:119 *3020:26 0.000148544 +68 *2262:102 *3020:14 0 +69 *2319:55 *3020:21 0.000123736 +70 *2379:63 *3020:14 7.65454e-05 +71 *2380:62 *3020:14 0.000478755 +72 *2542:28 *3020:56 8.14141e-05 +73 *2589:53 *3020:56 8.04172e-05 +74 *2589:54 *22011:A1 1.71416e-05 +75 *2589:54 *3020:56 4.15661e-05 +76 *2631:28 *3020:14 1.26031e-05 +77 *2676:22 *3020:14 0.000248176 +78 *2819:38 *3020:56 8.3506e-05 +79 *2882:74 *3020:14 0.00442639 +80 *2888:81 *22011:A1 4.42142e-05 +*RES +1 *22006:Y *3020:14 45.0918 +2 *3020:14 *3020:21 19.2423 +3 *3020:21 *3020:26 49.3418 +4 *3020:26 *3020:31 22.4402 +5 *3020:31 *22011:A1 42.6481 +6 *3020:31 *3020:56 24.0542 +7 *3020:56 *22263:B1 14.4725 +8 *3020:56 *22615:A1 39.1627 +*END + +*D_NET *3021 0.0343898 +*CONN +*I *22011:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22260:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22603:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22007:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22011:B1 0.00107135 +2 *22260:A1 0.000127063 +3 *22603:A1 0.00015033 +4 *22007:Y 0.00134936 +5 *3021:43 0.00168419 +6 *3021:39 0.00177557 +7 *3021:13 0.00594853 +8 *3021:10 0.00585777 +9 *22011:B1 *22600:B2 4.9392e-05 +10 *22011:B1 *3050:52 0.000609925 +11 *22011:B1 *3466:228 1.55025e-05 +12 *22011:B1 *4848:30 0.000241404 +13 *22260:A1 *22555:B2 2.77625e-06 +14 *22260:A1 *22606:A2 6.08467e-05 +15 *22260:A1 *3498:258 2.81262e-05 +16 *22260:A1 *3500:168 0.000391697 +17 *22603:A1 *22621:A2 3.77568e-05 +18 *22603:A1 *22621:B2 0.000107496 +19 *22603:A1 *3481:153 1.65872e-05 +20 *22603:A1 *3481:155 8.93134e-05 +21 *3021:10 *24336:CLK 2.79702e-05 +22 *3021:10 *3257:80 0.000927042 +23 *3021:10 *5593:65 0.000154124 +24 *3021:13 *22312:A1 0.000138769 +25 *3021:13 *3041:61 0.00354723 +26 *3021:13 *3111:125 0.000118531 +27 *3021:13 *3122:64 2.18836e-05 +28 *3021:13 *3198:154 0.000428875 +29 *3021:13 *3509:42 0.000518479 +30 *3021:13 *3541:13 0.000184013 +31 *3021:13 *4810:22 0.000113468 +32 *3021:13 *4815:116 0.00055214 +33 *3021:13 *4819:28 0.000382678 +34 *3021:13 *4828:169 9.48378e-05 +35 *3021:13 *5857:138 0.000105742 +36 *3021:39 *22621:A2 8.61737e-06 +37 *3021:39 *22625:C1 0.00025456 +38 *3021:39 *3142:190 0.000398804 +39 *3021:39 *3243:30 0.000104202 +40 *3021:39 *3537:35 9.23856e-05 +41 *3021:43 *22623:B2 3.7516e-05 +42 *3021:43 *22624:C1 0.000107496 +43 *3021:43 *22635:C 1.07795e-05 +44 *3021:43 *3185:116 0.000205101 +45 *3021:43 *3496:174 0.000391697 +46 *3021:43 *4848:30 0.000163041 +47 *22011:A1 *22011:B1 7.03364e-05 +48 *22011:A2 *22011:B1 8.46935e-05 +49 *22314:B1 *3021:13 2.18904e-05 +50 *22605:A1 *22011:B1 2.29454e-05 +51 *22606:A1 *22260:A1 6.08467e-05 +52 *22606:B1 *22260:A1 7.85164e-05 +53 *22623:A1 *3021:43 9.96947e-05 +54 *22625:A1 *3021:43 1.74911e-05 +55 *324:19 *3021:10 0.000145445 +56 *1608:30 *22603:A1 3.77568e-05 +57 *1608:30 *3021:39 0.000278727 +58 *1625:83 *3021:39 0.000222439 +59 *1641:130 *3021:39 0.00036089 +60 *1655:61 *22011:B1 7.09666e-06 +61 *1688:91 *3021:13 8.4145e-05 +62 *1721:118 *22011:B1 1.83151e-05 +63 *1800:95 *3021:39 0.000167017 +64 *1802:136 *3021:13 0.000415139 +65 *2286:53 *3021:39 0.000100741 +66 *2313:56 *3021:13 0.000166243 +67 *2394:47 *3021:13 0.00153135 +68 *2503:67 *22603:A1 8.70992e-05 +69 *2541:36 *22011:B1 2.13529e-05 +70 *2613:48 *22011:B1 0.000248501 +71 *2613:48 *3021:43 0.000159631 +72 *2631:70 *22603:A1 0.000154145 +73 *2679:46 *3021:39 0.000280046 +74 *2887:41 *3021:39 0.000692186 +75 *2892:21 *22011:B1 5.01835e-05 +*RES +1 *22007:Y *3021:10 39.0134 +2 *3021:10 *3021:13 15.2746 +3 *3021:13 *22603:A1 19.1486 +4 *3021:13 *3021:39 49.1152 +5 *3021:39 *3021:43 18.6993 +6 *3021:43 *22260:A1 18.9335 +7 *3021:43 *22011:B1 45.9684 +*END + +*D_NET *3022 0.0566374 +*CONN +*I *22252:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22601:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22010:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22008:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22252:A1 9.19421e-06 +2 *22601:B1 0.000946065 +3 *22010:A1 6.63395e-05 +4 *22008:Y 0 +5 *3022:45 0.00583103 +6 *3022:27 0.0052069 +7 *3022:24 0.00298761 +8 *3022:20 0.00305282 +9 *3022:12 0.00152743 +10 *3022:6 0.00368835 +11 *3022:5 0.00260521 +12 *22010:A1 *22554:A2 5.8973e-05 +13 *22010:A1 *3502:197 0.000154145 +14 *22010:A1 *3613:10 1.5714e-05 +15 *22252:A1 *22317:B2 2.02035e-05 +16 *22252:A1 *3038:17 2.02035e-05 +17 *22601:B1 *3471:227 6.26816e-05 +18 *22601:B1 *5919:40 0.00187937 +19 *3022:6 *20629:B1 3.55432e-05 +20 *3022:6 *20804:A1 8.86331e-05 +21 *3022:6 *24484:RESET_B 0.000110133 +22 *3022:6 *4825:42 0.00156532 +23 *3022:6 *4834:46 0.000127194 +24 *3022:6 *4843:212 2.39519e-05 +25 *3022:6 *5865:258 6.04514e-05 +26 *3022:6 *5867:645 4.55455e-05 +27 *3022:6 *5893:8 0.00100163 +28 *3022:12 *3347:9 0.000478528 +29 *3022:12 *4844:151 0.000820178 +30 *3022:12 *5888:14 0.00134816 +31 *3022:20 *21611:A1 2.41274e-06 +32 *3022:20 *4831:8 0.000347829 +33 *3022:24 *3155:178 0.000440512 +34 *3022:24 *3155:193 0.00181574 +35 *3022:24 *4860:18 3.29488e-05 +36 *3022:24 *5855:134 0.00136208 +37 *3022:27 *22220:B2 1.94055e-05 +38 *3022:27 *3146:181 4.28256e-05 +39 *3022:27 *3166:147 0.000308173 +40 *3022:27 *5855:108 0.000754961 +41 *3022:45 *22220:B2 1.97958e-05 +42 *3022:45 *22325:A2 5.84963e-05 +43 *3022:45 *3134:194 2.3348e-05 +44 *3022:45 *3166:147 0.000280648 +45 *3022:45 *3190:144 0.000826332 +46 *3022:45 *3509:175 0.000658884 +47 *3022:45 *3511:147 0.00150809 +48 *3022:45 *5457:13 0.000231974 +49 *3022:45 *5855:108 0.000371518 +50 *3022:45 *5919:40 0.000262202 +51 *20625:A *3022:6 0.000277287 +52 *20738:B *3022:12 3.88358e-05 +53 *21482:A2 *3022:45 7.57371e-05 +54 *22266:B1 *22601:B1 7.68713e-05 +55 *22288:A1 *22601:B1 8.86583e-05 +56 *22318:B1 *3022:27 0.000141482 +57 *24484:D *3022:6 0.00012568 +58 *24486:D *3022:6 0.000127179 +59 *480:196 *3022:12 0.00106411 +60 *518:15 *22601:B1 0.00190732 +61 *518:15 *3022:45 0.000250775 +62 *1439:421 *3022:6 2.36813e-05 +63 *1439:424 *3022:12 4.97617e-05 +64 *1576:59 *3022:45 0.000976878 +65 *1620:35 *22601:B1 0.000141277 +66 *1627:128 *3022:20 0.000351304 +67 *1884:71 *3022:6 0 +68 *1884:86 *3022:6 0.000242107 +69 *2018:104 *3022:6 0.000740227 +70 *2018:111 *3022:6 0.000359837 +71 *2250:16 *3022:12 0.000498035 +72 *2250:31 *3022:12 0.000125077 +73 *2258:12 *3022:6 0 +74 *2452:14 *3022:27 1.22685e-05 +75 *2485:8 *3022:6 0.000148562 +76 *2485:15 *3022:6 0.000521954 +77 *2498:11 *3022:6 0.000193745 +78 *2601:58 *3022:45 0.00140659 +79 *2620:31 *3022:6 0.000117711 +80 *2871:8 *3022:24 3.63593e-05 +81 *2918:16 *3022:45 0.000167672 +82 *2918:20 *3022:45 0.00314472 +*RES +1 *22008:Y *3022:5 13.7491 +2 *3022:5 *3022:6 95.2637 +3 *3022:6 *3022:12 48.9477 +4 *3022:12 *3022:20 24.7813 +5 *3022:20 *3022:24 47.6125 +6 *3022:24 *3022:27 4.64251 +7 *3022:27 *3022:45 18.1337 +8 *3022:45 *22010:A1 19.3291 +9 *3022:45 *22601:B1 24.4877 +10 *3022:27 *22252:A1 17.4965 +*END + +*D_NET *3023 0.0323777 +*CONN +*I *22010:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22441:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22009:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22010:B1 3.59148e-05 +2 *22441:B1 0 +3 *22009:Y 0.00139985 +4 *3023:48 0.000106936 +5 *3023:47 0.000153058 +6 *3023:42 0.00313335 +7 *3023:41 0.00534204 +8 *3023:21 0.00233055 +9 *3023:17 0.00143968 +10 *22010:B1 *3502:197 0.00015647 +11 *3023:17 *22433:B1 7.58372e-05 +12 *3023:17 *22435:A2 9.94284e-06 +13 *3023:17 *22435:B2 3.10949e-05 +14 *3023:17 *22435:C1 0.000206464 +15 *3023:17 *22438:C 0.000487161 +16 *3023:17 *22442:A1 5.04829e-06 +17 *3023:17 *3131:41 0.000280835 +18 *3023:17 *3134:47 0.000487161 +19 *3023:17 *3177:208 8.03915e-05 +20 *3023:41 *22441:B2 3.04234e-05 +21 *3023:41 *22442:A1 0.000110306 +22 *3023:42 *22223:B2 9.85369e-05 +23 *3023:42 *22539:A2 9.03922e-05 +24 *3023:42 *3071:57 1.77152e-05 +25 *3023:42 *3071:67 0 +26 *3023:42 *3073:16 5.95249e-06 +27 *3023:42 *3471:24 0.000104441 +28 *3023:42 *3489:17 5.15273e-05 +29 *3023:42 *3517:36 2.06136e-05 +30 *3023:42 *3531:63 0.000226192 +31 *3023:42 *3736:31 5.7054e-05 +32 *3023:47 *22554:A2 0.000105515 +33 *19995:B *3023:41 8.68747e-05 +34 *21455:B1 *3023:41 0.000743725 +35 *21456:A1 *3023:42 0.000321347 +36 *21531:C1 *3023:41 0.000228037 +37 *21590:A *3023:42 0.000117235 +38 *21591:B *3023:42 0.000632485 +39 *21623:B1 *3023:42 5.84166e-05 +40 *21807:C *3023:42 6.08295e-05 +41 *21909:B1 *3023:42 0.000110844 +42 *21987:A1 *3023:41 0.00025697 +43 *21993:A2 *3023:17 0.00115591 +44 *22010:A2 *3023:42 8.62625e-06 +45 *22209:A1 *3023:42 0.00104264 +46 *22335:B1 *3023:42 1.46723e-05 +47 *22435:A1 *3023:17 7.718e-05 +48 *22435:B1 *3023:17 0.000110527 +49 *22441:A1 *3023:41 1.60561e-05 +50 *22507:A1 *3023:17 0.00023257 +51 *1496:84 *3023:41 0.00012635 +52 *1637:46 *3023:42 0.00031879 +53 *1637:53 *3023:42 0.000411589 +54 *1637:69 *3023:42 0.0001096 +55 *1645:31 *3023:17 8.19572e-05 +56 *1648:47 *3023:42 0.000213288 +57 *1662:87 *22010:B1 0.00015647 +58 *1684:85 *3023:41 0.000102764 +59 *1723:24 *3023:41 1.27402e-05 +60 *1723:26 *3023:41 2.8328e-05 +61 *1725:97 *3023:41 9.27741e-05 +62 *1798:17 *3023:41 0.000531038 +63 *2338:52 *3023:41 0.000960891 +64 *2504:21 *3023:17 0.00201671 +65 *2504:41 *3023:17 8.91154e-05 +66 *2606:8 *3023:42 0.00265374 +67 *2628:17 *3023:41 2.18809e-05 +68 *2670:11 *3023:42 7.39991e-05 +69 *2805:22 *3023:42 4.12833e-05 +70 *2811:26 *3023:42 0.000107128 +71 *2822:12 *3023:42 4.49353e-05 +72 *2825:92 *3023:42 0.000129394 +73 *2851:14 *3023:17 0.000728925 +74 *2922:58 *3023:41 0.00096489 +75 *2997:30 *3023:41 0.000151659 +76 *3001:22 *3023:41 0.000156786 +77 *3007:19 *3023:17 5.36911e-05 +78 *3007:19 *3023:21 7.55167e-06 +79 *3007:19 *3023:41 3.40614e-05 +*RES +1 *22009:Y *3023:17 49.7938 +2 *3023:17 *3023:21 1.26594 +3 *3023:21 *22441:B1 9.24915 +4 *3023:21 *3023:41 29.5982 +5 *3023:41 *3023:42 88.4121 +6 *3023:42 *3023:47 15.0271 +7 *3023:47 *3023:48 81.1229 +8 *3023:48 *22010:B1 20.3309 +*END + +*D_NET *3024 0.00203987 +*CONN +*I *22011:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22010:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22011:C1 0.000369899 +2 *22010:X 0.000369899 +3 *22011:C1 *3106:68 0.000146746 +4 *22011:C1 *3186:14 2.6987e-05 +5 *22011:C1 *3489:17 0.000135958 +6 *22011:C1 *3547:17 0.000154145 +7 *22011:A2 *22011:C1 4.64942e-05 +8 *1721:118 *22011:C1 0.000539203 +9 *2825:92 *22011:C1 0.000250538 +*RES +1 *22010:X *22011:C1 39.9157 +*END + +*D_NET *3025 0.00607972 +*CONN +*I *22018:C I *D sky130_fd_sc_hd__and4_1 +*I *22011:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22018:C 0.000516641 +2 *22011:X 0.000735559 +3 *3025:11 0.0012522 +4 *22018:C *22018:D 6.64392e-05 +5 *22018:C *22054:B1 3.29488e-05 +6 *22018:C *22062:A 0.000114584 +7 *22018:C *22292:C1 0.000145551 +8 *22018:C *22325:C1 7.92757e-06 +9 *22018:C *3064:44 0.000151477 +10 *22018:C *3252:17 0.000359868 +11 *3025:11 *22265:B1 0.000492582 +12 *3025:11 *3064:44 0.000347594 +13 *3025:11 *3222:8 0.000898829 +14 *3025:11 *3232:25 0.000344793 +15 *22011:A1 *3025:11 0.000398901 +16 *22018:A *22018:C 7.49212e-05 +17 *2541:24 *22018:C 0.0001389 +*RES +1 *22011:X *3025:11 46.0728 +2 *3025:11 *22018:C 36.0581 +*END + +*D_NET *3026 0.0326083 +*CONN +*I *22263:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22017:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22604:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22012:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22263:A1 0 +2 *22017:A1 8.55981e-05 +3 *22604:B1 0.000110512 +4 *22012:Y 0 +5 *3026:47 0.000156619 +6 *3026:46 7.10213e-05 +7 *3026:44 0.00244982 +8 *3026:35 0.00292131 +9 *3026:18 0.000958868 +10 *3026:5 0.00322553 +11 *3026:4 0.00284867 +12 *22604:B1 *3249:15 0.000144075 +13 *3026:5 *20692:B1 0.0017941 +14 *3026:5 *3179:212 0.000296893 +15 *3026:5 *3519:279 0.00043404 +16 *3026:5 *5863:29 0.000592608 +17 *3026:18 *3042:25 0.0003177 +18 *3026:18 *3044:33 7.92757e-06 +19 *3026:18 *3249:15 5.99155e-05 +20 *3026:35 *22271:C 0.000292995 +21 *3026:35 *3051:54 5.83451e-05 +22 *3026:35 *4813:110 0.000787501 +23 *3026:35 *4857:12 2.01503e-05 +24 *3026:35 *5457:13 1.1475e-05 +25 *3026:44 *22060:A1 0.000800636 +26 *3026:44 *22062:A 2.16355e-05 +27 *3026:44 *22062:C 1.84457e-05 +28 *3026:44 *22263:B2 0.000128845 +29 *3026:44 *22264:B2 0.000838873 +30 *3026:44 *22264:C1 4.40473e-05 +31 *3026:44 *22748:A2 0.000111802 +32 *3026:44 *3031:18 0.000260536 +33 *3026:44 *3069:42 0.000678064 +34 *3026:44 *3190:144 9.73858e-05 +35 *21511:A2 *3026:44 1.85355e-05 +36 *21512:C1 *3026:44 0.000107496 +37 *22017:A2 *22017:A1 6.829e-05 +38 *22017:B2 *22017:A1 0.000197803 +39 *22263:B1 *3026:44 6.08467e-05 +40 *22268:A1 *3026:18 0.000339084 +41 *22268:A2 *3026:18 3.12828e-05 +42 *22748:B2 *3026:44 9.95542e-06 +43 *1555:38 *3026:5 0.0020911 +44 *1564:119 *22604:B1 2.16355e-05 +45 *1620:60 *3026:35 1.47322e-05 +46 *1645:118 *3026:18 0.000340742 +47 *1647:68 *3026:5 0.00101106 +48 *1800:119 *3026:18 0.000137356 +49 *2320:59 *3026:5 0.00265804 +50 *2472:15 *3026:5 0.000107496 +51 *2777:28 *22604:B1 0.000112225 +52 *2777:28 *3026:35 8.04172e-05 +53 *2819:38 *3026:35 1.66626e-05 +54 *2827:30 *22604:B1 1.30981e-05 +55 *2827:30 *3026:18 8.34211e-06 +56 *2881:51 *3026:18 0.000140897 +57 *2888:17 *3026:5 7.82327e-05 +58 *2888:19 *3026:5 0.00424869 +59 *2987:69 *3026:44 1.65872e-05 +60 *3020:56 *3026:35 3.17436e-05 +*RES +1 *22012:Y *3026:4 9.24915 +2 *3026:4 *3026:5 103.88 +3 *3026:5 *3026:18 26.8775 +4 *3026:18 *22604:B1 17.3427 +5 *3026:18 *3026:35 12.8134 +6 *3026:35 *3026:44 44.7782 +7 *3026:44 *3026:46 9.24915 +8 *3026:46 *3026:47 81.1229 +9 *3026:47 *22017:A1 21.5848 +10 *3026:35 *22263:A1 9.24915 +*END + +*D_NET *3027 0.0225527 +*CONN +*I *22439:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22017:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22759:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22013:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22439:B1 0 +2 *22017:B1 0.000690028 +3 *22759:A1 0 +4 *22013:Y 7.98254e-05 +5 *3027:33 0.000973589 +6 *3027:27 0.00101922 +7 *3027:17 0.00179075 +8 *3027:8 0.00234141 +9 *3027:7 0.00136614 +10 *22017:B1 *22017:C1 0 +11 *22017:B1 *3069:37 1.03403e-05 +12 *22017:B1 *3120:168 3.88655e-06 +13 *22017:B1 *3192:211 6.1438e-05 +14 *22017:B1 *5457:13 0.00086373 +15 *3027:7 *21020:B1 0.000107496 +16 *3027:8 *20985:B1 4.47123e-05 +17 *3027:8 *25205:A 0.00054261 +18 *3027:8 *3533:95 0.00101198 +19 *3027:8 *4003:42 0.00134328 +20 *3027:8 *4003:54 3.31194e-06 +21 *3027:8 *4538:31 8.15708e-05 +22 *3027:8 *4873:17 0.00016698 +23 *3027:8 *4932:71 3.56251e-05 +24 *3027:8 *4932:73 1.13219e-05 +25 *3027:8 *5856:108 4.86582e-05 +26 *3027:17 *3533:95 0.000308027 +27 *3027:17 *3671:20 0.000125295 +28 *3027:17 *5856:108 0.000199218 +29 *3027:27 *22047:A1 0.000701745 +30 *3027:27 *3059:30 0.00013899 +31 *3027:27 *3065:27 2.94176e-05 +32 *3027:27 *3134:142 2.57847e-05 +33 *3027:27 *3164:113 6.37456e-05 +34 *3027:27 *3168:113 5.49916e-05 +35 *3027:27 *3537:103 9.12416e-06 +36 *3027:27 *3537:110 5.8518e-05 +37 *3027:33 *22439:B2 0.000393863 +38 *3027:33 *3134:142 2.81262e-05 +39 *19832:A1 *3027:33 4.97617e-05 +40 *20932:A2 *3027:8 0.000208263 +41 *21014:A *3027:8 2.57465e-06 +42 *21014:A *3027:17 0.000228679 +43 *21629:A2 *22017:B1 3.17436e-05 +44 *22017:A2 *22017:B1 4.31603e-06 +45 *22048:A2 *22017:B1 6.08467e-05 +46 *22053:A2 *3027:33 0.000818012 +47 *22500:A1 *3027:27 9.10158e-05 +48 *22758:A1 *3027:27 0.000866708 +49 *22758:A1 *3027:33 4.31703e-05 +50 *22776:B1 *3027:17 0.00022826 +51 *24318:D *3027:17 7.86847e-05 +52 *1538:25 *22017:B1 0.000240121 +53 *1574:20 *3027:8 0.000247372 +54 *1582:81 *22017:B1 0.000281904 +55 *1582:101 *22017:B1 0.000620058 +56 *1603:25 *3027:8 0.000177245 +57 *1625:106 *3027:17 0.000830848 +58 *2168:28 *3027:8 0.000436091 +59 *2603:104 *3027:17 0.00135271 +60 *2615:13 *3027:8 1.15951e-05 +61 *2767:17 *3027:17 0.000136442 +62 *2778:28 *22017:B1 0.000238515 +63 *2795:20 *22017:B1 6.74182e-05 +64 *2807:19 *3027:27 0.000465558 +*RES +1 *22013:Y *3027:7 15.0271 +2 *3027:7 *3027:8 49.3784 +3 *3027:8 *3027:17 46.1649 +4 *3027:17 *3027:27 23.958 +5 *3027:27 *22759:A1 9.24915 +6 *3027:27 *3027:33 10.7063 +7 *3027:33 *22017:B1 34.2098 +8 *3027:33 *22439:B1 9.24915 +*END + +*D_NET *3028 0.0450991 +*CONN +*I *22016:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22756:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22437:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22014:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22016:A1 0.00175454 +2 *22756:A1 9.36721e-06 +3 *22437:A1 0.000730607 +4 *22014:Y 0.000753399 +5 *3028:50 0.0021756 +6 *3028:30 0.0049572 +7 *3028:20 0.00595476 +8 *3028:16 0.00145078 +9 *22016:A1 *22017:C1 0.000107496 +10 *22016:A1 *22048:B1 0.000107496 +11 *22016:A1 *22053:B1 0.000445575 +12 *22016:A1 *22440:A1 4.92289e-06 +13 *22016:A1 *22757:A1 7.20572e-06 +14 *22016:A1 *3029:33 3.99281e-05 +15 *22016:A1 *3120:168 0.00019142 +16 *22016:A1 *3122:238 4.15661e-05 +17 *22016:A1 *3128:155 2.57465e-06 +18 *22016:A1 *3134:107 0.000520344 +19 *22016:A1 *3190:77 0.000264272 +20 *22016:A1 *3192:211 6.74605e-06 +21 *22016:A1 *3541:148 0.000208059 +22 *22016:A1 *3699:23 0.000206512 +23 *22437:A1 *22437:A2 6.08467e-05 +24 *22437:A1 *22437:C1 6.50586e-05 +25 *22437:A1 *3162:62 1.02986e-05 +26 *22437:A1 *3175:43 0.000267333 +27 *22437:A1 *3175:57 6.77459e-05 +28 *22756:A1 *22756:A2 6.50586e-05 +29 *3028:16 *24358:CLK 9.38708e-05 +30 *3028:16 *3069:14 0.000507484 +31 *3028:16 *3531:157 0.000204591 +32 *3028:20 *3069:14 0.00014866 +33 *3028:20 *5855:60 7.85871e-06 +34 *3028:30 *22053:B1 8.16778e-05 +35 *3028:30 *22435:C1 0.000333564 +36 *3028:30 *22738:A2 2.43314e-05 +37 *3028:30 *3134:62 2.92788e-05 +38 *3028:30 *3531:92 0.000129401 +39 *3028:30 *3533:235 0.000140525 +40 *3028:50 *22738:A2 0.00021428 +41 *3028:50 *22757:A2 2.83972e-05 +42 *3028:50 *22757:B2 4.6012e-05 +43 *3028:50 *22757:C1 1.07529e-05 +44 *3028:50 *3146:65 0.000183884 +45 *3028:50 *3407:23 1.12314e-05 +46 *3028:50 *3411:16 5.01835e-05 +47 *3028:50 *3428:14 6.31317e-05 +48 *3028:50 *3533:235 3.5534e-06 +49 *19832:A1 *22016:A1 0.000314574 +50 *20039:B1 *3028:50 0.000389109 +51 *20042:A *3028:50 4.49767e-05 +52 *20864:B2 *3028:16 1.27402e-05 +53 *20865:B2 *3028:16 0.000199748 +54 *20885:B *3028:20 0.000143231 +55 *20928:A *3028:16 0.000135182 +56 *22410:B1 *3028:50 0.000113153 +57 *22498:B1 *3028:50 1.5714e-05 +58 *22739:A1 *22756:A1 6.50586e-05 +59 *22798:B1 *3028:30 0.000324475 +60 *24358:D *3028:16 0.000118277 +61 *24359:D *3028:16 2.48583e-05 +62 *24550:D *3028:20 0.000145506 +63 *1435:105 *3028:50 0.000167672 +64 *1541:128 *22437:A1 0.00120621 +65 *1560:35 *3028:30 0.000766688 +66 *1566:55 *22016:A1 7.27023e-06 +67 *1581:21 *22016:A1 0.00020881 +68 *1581:21 *3028:30 0.000126646 +69 *1582:81 *22016:A1 0.000446159 +70 *1587:137 *22437:A1 2.4469e-05 +71 *1594:27 *3028:30 0.00290754 +72 *1597:45 *3028:50 0.000140424 +73 *1598:110 *3028:20 0.000460878 +74 *1605:46 *22016:A1 0.000108997 +75 *1624:62 *3028:20 0 +76 *1677:172 *3028:50 3.08636e-06 +77 *1697:52 *22437:A1 0.000165716 +78 *1732:92 *3028:50 8.71423e-05 +79 *1733:14 *22437:A1 0.00202147 +80 *1740:110 *3028:30 0.000280109 +81 *1756:83 *3028:50 0.000867576 +82 *1761:40 *3028:50 0.000581768 +83 *1762:63 *3028:30 0.00177578 +84 *1794:96 *3028:50 2.09442e-05 +85 *1795:29 *3028:50 0.000108997 +86 *1797:20 *3028:30 0.00111732 +87 *2274:63 *3028:30 0.000930949 +88 *2407:22 *3028:50 0.000120653 +89 *2536:28 *3028:30 0.00406185 +90 *2543:28 *3028:30 3.03405e-05 +91 *2597:29 *3028:30 2.97235e-05 +92 *2662:14 *3028:16 2.37478e-05 +93 *2671:38 *22016:A1 1.67575e-05 +94 *2672:40 *3028:20 0.000134339 +95 *2672:40 *3028:30 0.000109796 +96 *2704:72 *3028:30 2.96646e-05 +97 *2708:32 *3028:30 0.000253455 +98 *2767:27 *3028:20 1.85692e-05 +99 *2783:24 *3028:16 0.000283569 +100 *2783:24 *3028:20 9.80721e-05 +101 *2807:8 *3028:16 0.000147982 +102 *2812:18 *3028:30 7.34717e-06 +103 *2994:55 *3028:50 0.000289731 +104 *3002:8 *22437:A1 7.48886e-05 +*RES +1 *22014:Y *3028:16 39.0917 +2 *3028:16 *3028:20 22.6414 +3 *3028:20 *3028:30 23.7579 +4 *3028:30 *3028:50 48.7473 +5 *3028:50 *22437:A1 22.4222 +6 *3028:30 *22756:A1 14.4725 +7 *3028:20 *22016:A1 28.3095 +*END + +*D_NET *3029 0.0349554 +*CONN +*I *22016:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22440:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22754:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22015:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22016:B1 0 +2 *22440:B1 7.57694e-05 +3 *22754:A1 0 +4 *22015:Y 0.000142268 +5 *3029:33 0.00481362 +6 *3029:10 0.00511284 +7 *3029:8 0.00141535 +8 *3029:7 0.00133417 +9 *22440:B1 *22440:A1 0.00023494 +10 *3029:7 *22015:A 7.92757e-06 +11 *3029:8 *3153:260 0.00111241 +12 *3029:10 *3153:260 0.000127147 +13 *3029:10 *3153:264 0.000219023 +14 *3029:33 *22047:B1 0.000642434 +15 *3029:33 *22440:A1 1.9101e-05 +16 *3029:33 *3040:22 1.088e-05 +17 *3029:33 *3114:180 0.000113478 +18 *3029:33 *3131:93 0.000863191 +19 *3029:33 *3153:264 3.55968e-05 +20 *3029:33 *3394:26 0.000274146 +21 *3029:33 *3432:32 0.000269682 +22 *3029:33 *3519:97 0.000151032 +23 *3029:33 *3705:17 0.00598183 +24 *19796:A *3029:33 6.72308e-05 +25 *19820:A2 *3029:33 5.60804e-05 +26 *20673:B *3029:8 1.8411e-05 +27 *21560:A1 *3029:33 0.000298399 +28 *21560:C1 *3029:33 0.000250254 +29 *21764:B1 *3029:8 1.66771e-05 +30 *21851:B2 *3029:33 0.000267432 +31 *21944:A2 *22440:B1 2.99978e-05 +32 *21944:B1 *22440:B1 0 +33 *22016:A1 *3029:33 3.99281e-05 +34 *22726:B1 *3029:33 0.000112569 +35 *22784:B1 *3029:33 0.000277838 +36 *1435:125 *3029:33 0.000683631 +37 *1435:281 *3029:33 5.94018e-05 +38 *1550:101 *3029:33 0.000746223 +39 *1569:34 *3029:33 4.54758e-06 +40 *1582:81 *3029:10 2.65451e-05 +41 *1582:81 *3029:33 1.2693e-05 +42 *1605:46 *3029:33 0.000215939 +43 *1730:150 *3029:33 0.000197695 +44 *1732:34 *3029:33 1.50936e-05 +45 *2033:5 *3029:7 5.04829e-06 +46 *2274:63 *3029:33 0.00122183 +47 *2537:8 *3029:8 0.000458792 +48 *2537:21 *3029:8 0.000862049 +49 *2538:8 *3029:8 9.35069e-05 +50 *2563:26 *3029:33 3.59313e-05 +51 *2654:22 *3029:33 0.00247888 +52 *2671:38 *3029:33 0.00016008 +53 *2746:43 *3029:33 6.03122e-05 +54 *2779:14 *3029:8 0.000753113 +55 *2866:21 *3029:33 0.00196166 +56 *2956:62 *22440:B1 6.08467e-05 +57 *2956:62 *3029:8 0.00019181 +58 *2956:62 *3029:10 0.000258178 +*RES +1 *22015:Y *3029:7 15.5817 +2 *3029:7 *3029:8 39.2047 +3 *3029:8 *3029:10 7.23027 +4 *3029:10 *3029:33 41.8232 +5 *3029:33 *22754:A1 9.24915 +6 *3029:10 *22440:B1 16.7151 +7 *3029:8 *22016:B1 13.7491 +*END + +*D_NET *3030 0.002329 +*CONN +*I *22017:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22016:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22017:C1 0.000529068 +2 *22016:X 0.000529068 +3 *22017:C1 *22048:B1 0.000304638 +4 *22017:C1 *3069:37 0.000522476 +5 *22017:C1 *3122:238 0.000200794 +6 *22016:A1 *22017:C1 0.000107496 +7 *22016:B2 *22017:C1 6.3657e-05 +8 *22017:A2 *22017:C1 0 +9 *22017:B1 *22017:C1 0 +10 *22048:A2 *22017:C1 6.78112e-05 +11 *22048:B2 *22017:C1 3.99086e-06 +*RES +1 *22016:X *22017:C1 34.3889 +*END + +*D_NET *3031 0.00683128 +*CONN +*I *22018:D I *D sky130_fd_sc_hd__and4_1 +*I *22017:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22018:D 0.000819272 +2 *22017:X 0.00121345 +3 *3031:18 0.00203272 +4 *22018:D *22061:A 0.000438346 +5 *22018:D *22061:C 5.42853e-05 +6 *22018:D *3111:186 5.33185e-05 +7 *3031:18 *6212:DIODE 6.26522e-05 +8 *3031:18 *22060:A1 0.000320144 +9 *3031:18 *3069:42 8.79579e-05 +10 *3031:18 *3202:14 6.85742e-05 +11 *3031:18 *3688:22 2.57986e-05 +12 *21629:A1 *3031:18 9.21475e-05 +13 *21629:A2 *3031:18 7.92757e-06 +14 *21629:B2 *3031:18 7.96595e-05 +15 *22017:B2 *3031:18 0.000151057 +16 *22018:A *22018:D 0.000216467 +17 *22018:B *22018:D 0.000114594 +18 *22018:C *22018:D 6.64392e-05 +19 *22048:A2 *3031:18 6.08467e-05 +20 *1564:134 *22018:D 0.00033204 +21 *2093:181 *22018:D 0.000207883 +22 *2539:10 *3031:18 6.51637e-05 +23 *3026:44 *3031:18 0.000260536 +*RES +1 *22017:X *3031:18 41.0061 +2 *3031:18 *22018:D 26.6589 +*END + +*D_NET *3032 0.000645176 +*CONN +*I *22062:A I *D sky130_fd_sc_hd__and3_2 +*I *22018:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22062:A 0.000177061 +2 *22018:X 0.000177061 +3 *22062:A *22062:C 6.08467e-05 +4 *22062:A *3120:163 6.83668e-05 +5 *22018:C *22062:A 0.000114584 +6 *2985:44 *22062:A 2.56201e-05 +7 *3026:44 *22062:A 2.16355e-05 +*RES +1 *22018:X *22062:A 31.3317 +*END + +*D_NET *3033 0.0678917 +*CONN +*I *22024:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22444:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *22744:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22019:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22024:A1 0.00153431 +2 *22444:B1 0.000175399 +3 *22744:B1 2.4158e-05 +4 *22019:Y 0.00074436 +5 *3033:50 0.00399888 +6 *3033:36 0.00663125 +7 *3033:32 0.00467563 +8 *3033:24 0.00241401 +9 *3033:22 0.00274471 +10 *3033:16 0.00173524 +11 *22024:A1 *20388:B1 0.00176962 +12 *22024:A1 *22023:B1 0.000180931 +13 *22024:A1 *22024:B1 0 +14 *22024:A1 *22259:C1 7.41262e-05 +15 *22024:A1 *3038:8 3.31882e-05 +16 *22444:B1 *22029:B1 0.00021334 +17 *22444:B1 *22444:B2 4.31603e-06 +18 *22444:B1 *22444:C1 1.61631e-05 +19 *22444:B1 *3122:215 2.37478e-05 +20 *22444:B1 *3192:211 7.13655e-06 +21 *22744:B1 *3476:52 0.000110297 +22 *3033:16 *20148:A1 0.00031994 +23 *3033:16 *20551:A1 2.652e-05 +24 *3033:16 *24642:CLK 5.39608e-05 +25 *3033:16 *24948:A 2.652e-05 +26 *3033:16 *4838:186 0.000553213 +27 *3033:16 *4845:55 0.000211272 +28 *3033:22 *21220:A 5.0459e-05 +29 *3033:22 *21388:A 8.01837e-05 +30 *3033:22 *21545:A 0.000240318 +31 *3033:22 *4840:49 0.000256584 +32 *3033:22 *4843:35 0.000180487 +33 *3033:22 *4886:80 0.000165377 +34 *3033:22 *5853:8 0.00104904 +35 *3033:22 *5853:15 0 +36 *3033:22 *5868:575 0.000298107 +37 *3033:24 *3057:8 0.000413394 +38 *3033:24 *4840:90 0.000332543 +39 *3033:24 *4843:87 0.00233602 +40 *3033:24 *5853:8 0.000126012 +41 *3033:24 *5853:15 0.00220338 +42 *3033:24 *5861:66 0.00031195 +43 *3033:24 *5861:72 0.000208147 +44 *3033:24 *5868:575 4.87445e-05 +45 *3033:24 *5868:577 0.000252342 +46 *3033:24 *5868:579 0.000426549 +47 *3033:24 *5868:581 0.000510684 +48 *3033:24 *5868:593 0.000235037 +49 *3033:32 *4843:87 0.000134557 +50 *3033:36 *3192:211 5.51746e-06 +51 *3033:36 *3457:20 0.00105171 +52 *3033:50 *22727:C1 5.60754e-05 +53 *3033:50 *3148:226 0.000572229 +54 *3033:50 *3208:89 1.31079e-05 +55 *3033:50 *3377:20 0.00135069 +56 *3033:50 *3394:26 2.05082e-05 +57 *3033:50 *3457:20 0.00343717 +58 *3033:50 *3461:26 0.00134501 +59 *3033:50 *3474:79 0.000778259 +60 *3033:50 *3476:52 0.00014261 +61 *3033:50 *3476:56 3.0041e-05 +62 *3033:50 *3509:60 0.000558966 +63 *3033:50 *3519:159 0.00030536 +64 *3033:50 *5453:21 0.000707457 +65 *20388:A2 *22024:A1 0.000142302 +66 *22024:A2 *22024:A1 4.2845e-05 +67 *22418:A1 *3033:36 0.000292581 +68 *24642:D *3033:16 9.60216e-05 +69 *1471:230 *22744:B1 0.000107496 +70 *1547:66 *22024:A1 7.68163e-05 +71 *1547:66 *3033:36 0.000220413 +72 *1565:12 *3033:36 0.00514691 +73 *1565:12 *3033:50 0.00118915 +74 *1565:17 *3033:50 0.000376737 +75 *1566:31 *3033:50 0.000388999 +76 *1575:39 *22444:B1 0.000213602 +77 *1654:29 *22024:A1 0.000165819 +78 *1724:48 *3033:36 0 +79 *1742:93 *22024:A1 6.49138e-06 +80 *1770:31 *22024:A1 3.51918e-05 +81 *1790:180 *22024:A1 8.53382e-05 +82 *1994:28 *3033:16 0 +83 *1994:35 *3033:16 0 +84 *1995:38 *3033:16 0.000164843 +85 *2448:20 *3033:24 1.62321e-05 +86 *2448:24 *3033:24 0.0018479 +87 *2531:54 *3033:50 7.01586e-06 +88 *2541:23 *22024:A1 7.40684e-06 +89 *2571:67 *3033:50 1.49935e-05 +90 *2685:8 *3033:16 0.000127194 +91 *2728:41 *22024:A1 3.21263e-05 +92 *2750:56 *3033:50 0.000107775 +93 *2766:6 *3033:24 0.0001254 +94 *2766:6 *3033:32 0.000134084 +95 *2771:15 *22444:B1 2.99018e-05 +96 *2818:24 *3033:24 0.000149217 +97 *2818:24 *3033:32 0.00110563 +98 *2853:8 *3033:16 3.06839e-05 +99 *2853:22 *3033:36 0.00285121 +100 *2950:9 *3033:16 0.000123582 +101 *2950:76 *22024:A1 0.00388304 +102 *2985:20 *22024:A1 9.90599e-06 +*RES +1 *22019:Y *3033:16 47.8832 +2 *3033:16 *3033:22 39.6999 +3 *3033:22 *3033:24 91.5265 +4 *3033:24 *3033:32 6.54669 +5 *3033:32 *3033:36 12.7109 +6 *3033:36 *3033:50 49.3766 +7 *3033:50 *22744:B1 10.5271 +8 *3033:36 *22444:B1 20.6805 +9 *3033:32 *22024:A1 27.1151 +*END + +*D_NET *3034 0.0342736 +*CONN +*I *22024:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22020:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22024:B1 0.000554173 +2 *22020:Y 0 +3 *3034:25 0.010759 +4 *3034:6 0.011385 +5 *3034:5 0.00118016 +6 *22024:B1 *22023:B1 1.03403e-05 +7 *22024:B1 *3047:16 0.000721549 +8 *22024:B1 *4844:681 0 +9 *22024:B1 *4890:66 0 +10 *3034:6 *19871:A 0.000325354 +11 *3034:6 *25217:A 0.000127179 +12 *3034:6 *25218:A 0.000127179 +13 *3034:6 *4818:110 0.000137129 +14 *3034:25 *21178:A 1.40268e-05 +15 *3034:25 *24689:CLK 0.00116288 +16 *3034:25 *3036:31 9.77038e-05 +17 *3034:25 *4828:50 0.000547895 +18 *3034:25 *4839:119 0 +19 *3034:25 *5853:137 0.000194749 +20 *3034:25 *5865:190 0 +21 *22024:A1 *22024:B1 0 +22 *22024:B2 *22024:B1 7.09666e-06 +23 *489:78 *22024:B1 5.72235e-05 +24 *1629:55 *3034:25 0 +25 *1790:180 *22024:B1 0 +26 *1800:183 *3034:25 0.00010657 +27 *1958:20 *3034:25 8.5743e-05 +28 *2018:25 *3034:25 0 +29 *2372:32 *3034:6 0.00345509 +30 *2372:41 *3034:6 1.26298e-05 +31 *2467:28 *3034:6 0.00141507 +32 *2467:28 *3034:25 0.000584431 +33 *2541:11 *3034:25 8.10643e-06 +34 *2584:16 *3034:25 1.13978e-06 +35 *2620:31 *3034:25 0 +36 *2687:30 *3034:6 0.000257342 +37 *2687:30 *3034:25 1.23804e-05 +38 *2827:13 *3034:25 0.000173434 +39 *2893:14 *3034:25 0 +40 *2919:20 *3034:25 0.000753048 +*RES +1 *22020:Y *3034:5 13.7491 +2 *3034:5 *3034:6 64.3275 +3 *3034:6 *3034:25 41.3527 +4 *3034:25 *22024:B1 28.5545 +*END + +*D_NET *3035 0.0598216 +*CONN +*I *22023:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22428:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22021:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22023:A1 0.000966167 +2 *22428:B1 0.00552871 +3 *22021:Y 0.000185362 +4 *3035:40 0.00223827 +5 *3035:23 0.00744107 +6 *3035:13 0.00270892 +7 *3035:10 0.00299902 +8 *3035:7 0.00111572 +9 *22023:A1 *19851:A 3.5534e-06 +10 *22428:B1 *22814:D 2.99649e-05 +11 *22428:B1 *3157:62 9.21233e-05 +12 *22428:B1 *3157:75 3.0577e-05 +13 *22428:B1 *3511:104 2.54678e-05 +14 *22428:B1 *4798:8 0.000114141 +15 *22428:B1 *6021:14 0.00916936 +16 *3035:10 *4106:69 0.000208218 +17 *3035:10 *4889:33 0.000134212 +18 *3035:10 *4889:37 0.000614575 +19 *3035:10 *5853:46 0.00087101 +20 *3035:13 *4739:36 0.00128742 +21 *3035:23 *4003:54 4.82219e-06 +22 *3035:23 *4869:59 0.000177266 +23 *3035:23 *4873:17 0.000746118 +24 *3035:23 *4932:127 3.93589e-05 +25 *3035:23 *5866:45 8.20569e-05 +26 *3035:23 *5866:54 0.000314577 +27 *3035:40 *21392:A 4.31539e-05 +28 *3035:40 *4739:36 0.000619141 +29 *3035:40 *5855:25 0.000156946 +30 *19853:A2 *22023:A1 8.78262e-05 +31 *20384:A *3035:40 4.99151e-05 +32 *20434:B *3035:40 0.000181221 +33 *20494:B2 *3035:10 0.00037657 +34 *21542:A1 *22428:B1 0.000856182 +35 *21701:A2 *22023:A1 7.14746e-05 +36 *21806:B2 *22023:A1 0.000158371 +37 *21825:A1 *22428:B1 0.00160107 +38 *22023:B2 *22023:A1 0.000187417 +39 *489:78 *22023:A1 3.88655e-06 +40 *1418:203 *3035:40 0.000223144 +41 *1418:205 *3035:40 0.000258079 +42 *1459:87 *22428:B1 0.000576661 +43 *1550:41 *3035:40 0.00248804 +44 *1566:95 *22023:A1 8.86277e-05 +45 *1569:23 *3035:23 1.80208e-05 +46 *1585:8 *3035:10 0.000112679 +47 *1688:17 *3035:13 0.000400335 +48 *1721:164 *3035:40 7.26959e-06 +49 *1721:167 *3035:40 0.000113968 +50 *1721:184 *3035:40 5.36171e-05 +51 *1724:39 *3035:10 0.00018911 +52 *1741:21 *3035:40 1.8886e-05 +53 *1752:14 *22428:B1 4.70202e-05 +54 *1753:16 *22428:B1 0.00109397 +55 *1762:63 *22428:B1 4.5054e-05 +56 *1764:94 *22428:B1 0.00011195 +57 *1783:38 *22428:B1 0.000924904 +58 *1783:38 *3035:23 0.00182534 +59 *1801:70 *22428:B1 0.00592265 +60 *1977:10 *3035:10 0.000287551 +61 *1977:12 *3035:10 0.000377492 +62 *2166:8 *3035:23 0.000164652 +63 *2293:16 *22023:A1 1.13111e-05 +64 *2523:44 *22428:B1 0.000105407 +65 *2535:8 *3035:23 0.00153826 +66 *2597:15 *22428:B1 0.000635797 +67 *2654:17 *3035:23 0.000511129 +68 *2819:58 *22023:A1 5.57839e-05 +69 *2826:19 *22023:A1 8.61774e-05 +70 *2843:16 *3035:40 1.50389e-06 +71 *2986:20 *22023:A1 5.94319e-06 +*RES +1 *22021:Y *3035:7 16.1364 +2 *3035:7 *3035:10 44.1199 +3 *3035:10 *3035:13 25.1891 +4 *3035:13 *3035:23 36.443 +5 *3035:23 *22428:B1 45.7136 +6 *3035:10 *3035:40 47.6752 +7 *3035:40 *22023:A1 43.8768 +*END + +*D_NET *3036 0.0251381 +*CONN +*I *22023:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22259:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22022:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22023:B1 0.00113254 +2 *22259:B1 3.60476e-05 +3 *22022:Y 0.000811486 +4 *3036:31 0.00289441 +5 *3036:16 0.00301725 +6 *3036:14 0.00249359 +7 *3036:10 0.00201364 +8 *22023:B1 *22024:C1 0.000122732 +9 *22023:B1 *22259:C1 0.000581812 +10 *22023:B1 *3047:16 1.5714e-05 +11 *22023:B1 *3070:32 1.91246e-05 +12 *22259:B1 *22259:A2 4.00775e-06 +13 *22259:B1 *22259:C1 1.71261e-08 +14 *3036:10 *24405:CLK 3.73055e-05 +15 *3036:10 *4822:14 3.47066e-05 +16 *3036:10 *4822:22 0.00025922 +17 *3036:10 *4822:27 9.92046e-06 +18 *3036:10 *4845:80 0.000143875 +19 *3036:10 *4895:53 0.000327431 +20 *3036:10 *5337:10 0 +21 *3036:10 *5504:26 0.000360145 +22 *3036:14 *24405:CLK 0.000182434 +23 *3036:14 *4893:10 0.000140365 +24 *3036:14 *4893:89 0.000901422 +25 *3036:14 *5866:328 1.22858e-05 +26 *3036:14 *5866:340 6.402e-05 +27 *3036:16 *21578:A 0.000170953 +28 *3036:16 *4822:56 0.000713384 +29 *3036:16 *5853:119 0 +30 *3036:31 *22259:A2 1.03403e-05 +31 *3036:31 *22259:B2 1.72399e-05 +32 *3036:31 *3045:12 3.88655e-06 +33 *3036:31 *3146:221 6.77316e-05 +34 *20630:B2 *3036:16 0.000382844 +35 *20634:A2 *3036:16 0.000215979 +36 *20634:B2 *3036:14 0.000123139 +37 *20634:B2 *3036:16 0.000278906 +38 *20747:B2 *3036:10 0.000719617 +39 *20747:B2 *3036:14 1.93511e-06 +40 *21701:B1 *22023:B1 6.13004e-05 +41 *21712:B2 *22023:B1 4.3648e-05 +42 *22024:A1 *22023:B1 0.000180931 +43 *22024:A2 *22023:B1 0.000110297 +44 *22024:B1 *22023:B1 1.03403e-05 +45 *24404:D *3036:10 3.90891e-05 +46 *24485:D *3036:16 0.000104731 +47 *24621:D *3036:14 0.000171289 +48 *1609:22 *22023:B1 4.50231e-05 +49 *1629:55 *3036:31 0.00013624 +50 *1654:29 *22023:B1 0.000168763 +51 *1742:93 *22023:B1 0.000276597 +52 *1770:31 *22023:B1 0.000143185 +53 *1790:180 *3036:31 3.53285e-06 +54 *1991:43 *3036:16 7.03504e-05 +55 *2018:63 *3036:16 9.1692e-05 +56 *2019:56 *3036:16 4.09467e-05 +57 *2019:83 *3036:16 7.0954e-05 +58 *2077:19 *3036:14 2.652e-05 +59 *2531:14 *3036:31 0.000108147 +60 *2566:18 *3036:14 0.000773524 +61 *2566:18 *3036:16 0.000146714 +62 *2570:10 *3036:10 9.24241e-05 +63 *2589:24 *3036:31 0.000227774 +64 *2620:37 *3036:31 0.000130581 +65 *2728:44 *22023:B1 0.000163063 +66 *2738:13 *22023:B1 1.00816e-05 +67 *2850:12 *22023:B1 0 +68 *2868:12 *3036:14 0.000237791 +69 *2886:8 *3036:10 0 +70 *2919:20 *3036:31 0.00151483 +71 *2950:76 *3036:31 6.21488e-06 +72 *2996:24 *3036:14 2.92684e-05 +73 *2996:26 *3036:14 0 +74 *2996:38 *3036:16 0.00143248 +75 *2996:45 *3036:16 1.28354e-05 +76 *2996:48 *3036:31 3.77568e-05 +77 *3034:25 *3036:31 9.77038e-05 +*RES +1 *22022:Y *3036:10 41.4818 +2 *3036:10 *3036:14 43.2107 +3 *3036:14 *3036:16 51.7111 +4 *3036:16 *3036:31 21.312 +5 *3036:31 *22259:B1 10.2257 +6 *3036:31 *22023:B1 39.176 +*END + +*D_NET *3037 0.00117135 +*CONN +*I *22024:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22023:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22024:C1 0.000485706 +2 *22023:X 0.000485706 +3 *22024:C1 *19851:A 2.57847e-05 +4 *22023:B1 *22024:C1 0.000122732 +5 *22023:B2 *22024:C1 3.40329e-05 +6 *1609:7 *22024:C1 6.46242e-06 +7 *1609:22 *22024:C1 1.09283e-05 +*RES +1 *22023:X *22024:C1 27.6493 +*END + +*D_NET *3038 0.014071 +*CONN +*I *22042:A I *D sky130_fd_sc_hd__and4_1 +*I *22024:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22042:A 0.000120367 +2 *22024:X 0.000182257 +3 *3038:26 0.000171096 +4 *3038:25 5.07295e-05 +5 *3038:23 0.00125833 +6 *3038:17 0.00304343 +7 *3038:8 0.00196736 +8 *22042:A *22029:A1 2.57986e-05 +9 *22042:A *22029:C1 3.09153e-05 +10 *22042:A *22042:B 2.16355e-05 +11 *22042:A *3111:186 0.000497858 +12 *3038:17 *22217:A2 0 +13 *3038:17 *22252:B1 0 +14 *3038:17 *22253:C1 0.00010029 +15 *3038:17 *22317:B2 2.0688e-05 +16 *3038:17 *22631:A2 4.42742e-06 +17 *3038:17 *3157:200 6.50023e-06 +18 *3038:23 *22609:C1 0.000130532 +19 *3038:23 *3190:144 0.000139382 +20 *3038:23 *3519:217 0.000289127 +21 *3038:23 *3537:164 5.74984e-05 +22 *3038:23 *3575:17 0.000118854 +23 *19863:B2 *3038:17 8.82964e-05 +24 *19864:C1 *3038:17 0.00016553 +25 *19864:C1 *3038:23 0.000285868 +26 *22024:A1 *3038:8 3.31882e-05 +27 *22024:A2 *3038:8 4.59538e-05 +28 *22024:A2 *3038:17 6.36021e-05 +29 *22029:B2 *22042:A 0.000214587 +30 *22029:B2 *3038:23 6.17618e-05 +31 *22252:A1 *3038:17 2.02035e-05 +32 *22253:B1 *3038:17 1.91246e-05 +33 *22319:A1 *3038:17 0.000135973 +34 *22609:B1 *3038:23 3.91944e-05 +35 *22613:B1 *3038:17 1.00824e-05 +36 *1617:40 *3038:17 0.000315549 +37 *1618:104 *3038:23 1.38715e-05 +38 *1620:93 *3038:23 0.00066457 +39 *1627:160 *3038:17 0 +40 *2541:24 *3038:17 0.000152743 +41 *2541:24 *3038:23 0 +42 *2589:38 *3038:17 0 +43 *2589:46 *3038:17 0 +44 *2589:46 *3038:23 0.00105124 +45 *2589:53 *3038:23 0.000519266 +46 *2770:46 *3038:17 8.50796e-05 +47 *2963:127 *3038:17 0 +48 *2985:20 *3038:8 0.000194449 +49 *2985:20 *3038:17 0.000811418 +50 *2985:22 *3038:17 0.000797805 +51 *2985:36 *3038:17 4.45521e-05 +*RES +1 *22024:X *3038:8 18.3902 +2 *3038:8 *3038:17 49.7659 +3 *3038:17 *3038:23 48.9281 +4 *3038:23 *3038:25 9.24915 +5 *3038:25 *3038:26 57.9449 +6 *3038:26 *22042:A 24.2131 +*END + +*D_NET *3039 0.014543 +*CONN +*I *22261:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22610:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22029:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22025:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22261:B1 0 +2 *22610:B1 0 +3 *22029:A1 0.000317645 +4 *22025:Y 0.000721275 +5 *3039:55 0.000613951 +6 *3039:46 0.00202723 +7 *3039:15 0.0024522 +8 *22029:A1 *22029:C1 6.08467e-05 +9 *22029:A1 *22247:A2 1.65872e-05 +10 *22029:A1 *22292:C1 0.00043447 +11 *22029:A1 *22610:B2 6.3657e-05 +12 *22029:A1 *3222:8 6.60191e-06 +13 *22029:A1 *3511:192 1.5714e-05 +14 *3039:15 *22025:A 6.08467e-05 +15 *3039:15 *22261:B2 4.81452e-05 +16 *3039:15 *22262:D 1.03079e-05 +17 *3039:15 *22279:C1 8.3506e-05 +18 *3039:15 *3114:230 8.89729e-05 +19 *3039:15 *3128:176 1.15389e-05 +20 *3039:15 *3128:184 0.000132688 +21 *3039:15 *3222:41 6.36477e-05 +22 *3039:15 *3278:17 0.000359447 +23 *3039:15 *3529:200 8.51781e-05 +24 *3039:15 *4860:18 7.73881e-05 +25 *3039:46 *22261:B2 6.3657e-05 +26 *3039:46 *22611:A2 3.01558e-05 +27 *3039:46 *22634:D 0.000156955 +28 *3039:46 *3046:35 3.29488e-05 +29 *3039:46 *3049:14 7.53378e-05 +30 *3039:46 *3122:161 0.000143559 +31 *3039:46 *3153:193 5.60804e-05 +32 *3039:46 *3173:33 1.48943e-05 +33 *3039:46 *3190:169 0.000590895 +34 *3039:46 *3192:135 3.50561e-05 +35 *3039:46 *3222:41 8.37998e-05 +36 *3039:46 *3233:8 1.49176e-05 +37 *3039:46 *3564:14 0.000238586 +38 *3039:55 *22247:A2 0.000758224 +39 *3039:55 *22267:B 1.58551e-05 +40 *3039:55 *22268:C1 1.07745e-05 +41 *3039:55 *22292:C1 8.09406e-05 +42 *3039:55 *22610:B2 2.87606e-05 +43 *22042:A *22029:A1 2.57986e-05 +44 *22210:A1 *3039:46 0.00066794 +45 *22261:A1 *3039:15 0.000107496 +46 *22292:B1 *3039:46 0.000295066 +47 *22292:B1 *3039:55 2.16355e-05 +48 *22610:A1 *3039:55 5.481e-05 +49 *22612:B1 *3039:46 1.48618e-05 +50 *1591:39 *3039:46 0.000158569 +51 *1615:20 *3039:46 0.000145011 +52 *1620:107 *3039:46 0.000214158 +53 *1655:199 *3039:46 4.72039e-05 +54 *1784:71 *3039:46 6.39957e-05 +55 *2541:24 *22029:A1 0.000466014 +56 *2868:12 *3039:15 0.000388825 +57 *2868:16 *3039:15 8.91845e-05 +58 *2868:24 *3039:15 1.8284e-05 +59 *2871:8 *3039:15 0 +60 *2873:10 *3039:46 0.000320719 +61 *2919:20 *3039:46 0.000331751 +62 *2996:72 *3039:46 0.000928403 +*RES +1 *22025:Y *3039:15 40.0003 +2 *3039:15 *3039:46 48.9668 +3 *3039:46 *3039:55 14.8687 +4 *3039:55 *22029:A1 29.4177 +5 *3039:55 *22610:B1 9.24915 +6 *3039:15 *22261:B1 9.24915 +*END + +*D_NET *3040 0.0513473 +*CONN +*I *22029:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22440:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22757:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22026:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22029:B1 0.00303726 +2 *22440:A1 0.000464086 +3 *22757:A1 0.00399106 +4 *22026:Y 0.000739509 +5 *3040:22 0.0048701 +6 *3040:18 0.00567755 +7 *3040:10 0.00296485 +8 *22029:B1 *3120:163 0.000161216 +9 *22029:B1 *3493:130 0.000157659 +10 *22029:B1 *3523:127 0.000860144 +11 *22029:B1 *3705:17 0.000109247 +12 *22440:A1 *22047:B1 2.78041e-05 +13 *22440:A1 *22440:A2 6.64392e-05 +14 *22440:A1 *3153:264 1.02841e-05 +15 *22440:A1 *3153:266 9.34612e-05 +16 *22440:A1 *3394:7 4.45999e-05 +17 *22757:A1 *22047:B1 0.000169948 +18 *22757:A1 *22053:B1 3.65053e-05 +19 *22757:A1 *22498:B2 8.72221e-06 +20 *22757:A1 *22757:B2 6.23101e-05 +21 *22757:A1 *3066:26 0.00312629 +22 *22757:A1 *3146:65 6.98396e-06 +23 *22757:A1 *3168:78 2.38736e-05 +24 *22757:A1 *3407:23 0.000269744 +25 *22757:A1 *3428:14 7.53342e-05 +26 *22757:A1 *3513:58 0.00110821 +27 *22757:A1 *3513:68 0.000496625 +28 *22757:A1 *3513:98 0.00113217 +29 *22757:A1 *3513:121 0.000243109 +30 *22757:A1 *3513:143 0.000263012 +31 *22757:A1 *3527:91 0.0013616 +32 *22757:A1 *3527:121 0.000800679 +33 *22757:A1 *3527:156 0.00103921 +34 *22757:A1 *3533:217 0.000153443 +35 *22757:A1 *3699:23 1.2797e-05 +36 *3040:10 *20863:B1 4.40531e-05 +37 *3040:10 *24453:CLK 0.000245534 +38 *3040:10 *4739:36 0.000680291 +39 *3040:10 *4932:14 4.72704e-05 +40 *3040:10 *4932:49 2.94506e-05 +41 *3040:18 *3114:180 4.6569e-05 +42 *3040:18 *3131:125 0.000225488 +43 *3040:18 *3531:157 5.60804e-05 +44 *3040:18 *5855:60 0.0007797 +45 *3040:18 *5867:66 0.000408481 +46 *3040:22 *3114:180 2.6825e-05 +47 *20863:B2 *3040:10 0.000235977 +48 *21144:B *3040:10 2.26289e-05 +49 *21548:B1 *22757:A1 0.00065506 +50 *21944:A2 *22440:A1 4.95421e-05 +51 *22016:A1 *22440:A1 4.92289e-06 +52 *22016:A1 *22757:A1 7.20572e-06 +53 *22029:A2 *22029:B1 4.31485e-06 +54 *22247:A1 *22029:B1 0 +55 *22440:B1 *22440:A1 0.00023494 +56 *22444:B1 *22029:B1 0.00021334 +57 *24360:D *3040:10 0.000463295 +58 *1419:249 *22029:B1 0.00460842 +59 *1435:105 *22757:A1 4.84017e-05 +60 *1537:171 *22757:A1 0.000150353 +61 *1561:111 *22029:B1 6.23101e-05 +62 *1566:55 *22757:A1 3.52909e-05 +63 *1569:34 *3040:18 0.00114331 +64 *1569:34 *3040:22 0.0010316 +65 *1575:39 *22029:B1 0.000310767 +66 *1582:81 *22440:A1 0.000146523 +67 *1620:93 *22029:B1 3.29923e-05 +68 *1724:48 *3040:18 0.000173213 +69 *1724:61 *3040:18 0.000263933 +70 *1729:51 *3040:10 1.25173e-05 +71 *1801:75 *22029:B1 0 +72 *1947:70 *3040:18 0.000252443 +73 *2117:143 *22029:B1 0.000227379 +74 *2127:6 *3040:10 8.99936e-06 +75 *2127:6 *3040:18 1.62892e-05 +76 *2468:8 *3040:10 1.73182e-05 +77 *2502:42 *22757:A1 5.76913e-05 +78 *2553:24 *3040:10 4.60716e-05 +79 *2553:24 *3040:18 0.000237996 +80 *2604:28 *22757:A1 0.00119698 +81 *2620:47 *3040:10 0.000160617 +82 *2662:14 *22757:A1 7.13992e-06 +83 *2671:26 *3040:18 0.00121373 +84 *2671:26 *3040:22 0.000535218 +85 *2671:38 *22440:A1 2.72989e-05 +86 *2671:38 *3040:22 0.000385231 +87 *2685:25 *3040:18 7.17882e-05 +88 *2779:41 *22757:A1 7.99559e-05 +89 *2837:49 *22757:A1 0.00021586 +90 *2873:17 *22029:B1 0.000324456 +91 *2883:64 *22029:B1 6.05204e-05 +92 *2956:62 *22440:A1 7.92757e-06 +93 *3029:33 *22440:A1 1.9101e-05 +94 *3029:33 *3040:22 1.088e-05 +*RES +1 *22026:Y *3040:10 37.4558 +2 *3040:10 *3040:18 25.2624 +3 *3040:18 *3040:22 2.71314 +4 *3040:22 *22757:A1 36.3793 +5 *3040:22 *22440:A1 26.5468 +6 *3040:18 *22029:B1 28.9922 +*END + +*D_NET *3041 0.0520827 +*CONN +*I *22028:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *22602:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22254:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22027:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22028:B1 0.000971325 +2 *22602:A1 0.00017638 +3 *22254:A1 0.000506091 +4 *22027:Y 0.000366534 +5 *3041:118 0.00220546 +6 *3041:110 0.00283923 +7 *3041:71 0.00177847 +8 *3041:61 0.00471226 +9 *3041:42 0.00559001 +10 *3041:31 0.00375828 +11 *3041:10 0.00340341 +12 *22028:B1 *22028:A1_N 0.000154145 +13 *22254:A1 *22254:A2 9.09979e-05 +14 *22254:A1 *22254:B2 2.76987e-06 +15 *22254:A1 *3238:15 0.000111227 +16 *22254:A1 *4817:145 0.00059669 +17 *22602:A1 *22602:A2 2.4667e-06 +18 *22602:A1 *22621:B2 6.61215e-06 +19 *22602:A1 *22621:C1 2.67744e-05 +20 *22602:A1 *3052:78 2.19949e-05 +21 *22602:A1 *3052:101 3.88655e-06 +22 *3041:10 *20172:B1 3.31736e-05 +23 *3041:10 *3076:42 0.000264529 +24 *3041:10 *5859:230 4.28856e-07 +25 *3041:10 *5859:408 4.58102e-06 +26 *3041:31 *20620:A1 4.0999e-05 +27 *3041:31 *22645:B1 1.28462e-05 +28 *3041:31 *3076:32 0 +29 *3041:31 *4830:71 0.000871208 +30 *3041:42 *3076:28 0.000345936 +31 *3041:42 *3257:80 0 +32 *3041:61 *22312:A1 0.000504996 +33 *3041:61 *24247:RESET_B 0.000119035 +34 *3041:61 *3122:64 0.000114262 +35 *3041:61 *3198:154 0.000122328 +36 *3041:61 *3204:150 5.48491e-05 +37 *3041:61 *3257:80 0.000264612 +38 *3041:61 *3509:42 1.75197e-05 +39 *3041:61 *4828:169 0.00266353 +40 *3041:61 *5857:138 0.000414286 +41 *3041:71 *22338:A 1.80225e-05 +42 *3041:71 *3052:101 0.000139647 +43 *3041:71 *3124:174 0.00120475 +44 *3041:71 *3238:15 0.000818656 +45 *3041:71 *3243:22 0.000382035 +46 *3041:71 *3483:149 6.76686e-05 +47 *3041:71 *3539:27 0.000140018 +48 *3041:71 *4817:145 3.03403e-05 +49 *3041:110 *3076:26 3.45581e-05 +50 *3041:110 *3076:28 0.00172174 +51 *3041:118 *24310:CLK 1.66771e-05 +52 *3041:118 *3076:26 0.00108709 +53 *3041:118 *4816:96 6.1661e-06 +54 *3041:118 *4816:124 0.000154004 +55 *3041:118 *4843:345 8.2532e-05 +56 *3041:118 *5924:40 9.43185e-05 +57 *20620:A2 *3041:31 6.34305e-05 +58 *21259:A2 *22028:B1 0.000233015 +59 *21372:A2 *3041:71 8.42687e-05 +60 *21443:C *22254:A1 0 +61 *21443:D *3041:71 0.000110306 +62 *21641:A1 *22254:A1 4.9531e-05 +63 *22254:B1 *22254:A1 3.63929e-08 +64 *22323:A1 *3041:61 0.000131355 +65 *22401:B1 *3041:61 0.000609601 +66 *22621:A1 *22602:A1 6.9605e-05 +67 *22696:A1 *3041:71 5.61111e-05 +68 *24243:D *3041:31 6.7034e-05 +69 *24492:D *3041:31 0.000102633 +70 *24495:D *3041:118 0 +71 *24784:D *3041:10 0.000124145 +72 *504:14 *3041:42 7.46711e-05 +73 *504:16 *3041:10 5.54137e-05 +74 *531:30 *3041:31 0.000189516 +75 *539:14 *3041:110 6.75685e-05 +76 *1418:50 *3041:31 0.000103542 +77 *1418:50 *3041:42 1.05272e-06 +78 *1418:50 *3041:61 5.49916e-05 +79 *1418:50 *3041:110 0 +80 *1418:63 *3041:110 0 +81 *1418:79 *3041:110 0 +82 *1418:79 *3041:118 0 +83 *1419:221 *3041:10 0.000364865 +84 *1419:221 *3041:31 1.41689e-05 +85 *1545:54 *3041:31 5.60804e-05 +86 *1545:66 *3041:31 0.000171747 +87 *1576:35 *3041:61 0.00037669 +88 *1625:83 *22254:A1 0.000156955 +89 *1788:77 *22602:A1 5.49916e-05 +90 *2177:90 *3041:110 0 +91 *2177:103 *3041:110 0 +92 *2280:6 *3041:71 0.000248271 +93 *2282:9 *22028:B1 0.000369989 +94 *2282:53 *22028:B1 6.08467e-05 +95 *2286:36 *3041:71 1.0452e-05 +96 *2310:10 *3041:61 0.000407361 +97 *2319:55 *3041:118 0.000101987 +98 *2380:84 *3041:31 6.23101e-05 +99 *2397:10 *3041:61 5.84021e-05 +100 *2452:36 *22028:B1 0.00011182 +101 *2474:14 *3041:31 2.9077e-05 +102 *2479:8 *3041:110 9.80784e-05 +103 *2503:48 *3041:61 5.69065e-05 +104 *2503:48 *3041:118 0.000674957 +105 *2570:80 *3041:110 0 +106 *2570:103 *3041:61 0.00181351 +107 *2570:138 *22602:A1 8.94611e-05 +108 *2631:70 *22602:A1 1.91246e-05 +109 *2631:70 *3041:71 0.000129116 +110 *2642:27 *3041:31 0.00010801 +111 *2682:19 *3041:71 4.49767e-05 +112 *2814:24 *3041:61 2.05722e-05 +113 *2906:52 *22028:B1 0 +114 *2950:92 *3041:31 8.20833e-07 +115 *2950:96 *3041:31 0.00140374 +116 *3021:13 *3041:61 0.00354723 +*RES +1 *22027:Y *3041:10 25.2741 +2 *3041:10 *3041:31 49.5121 +3 *3041:31 *3041:42 19.1071 +4 *3041:42 *3041:61 31.3597 +5 *3041:61 *3041:71 49.9875 +6 *3041:71 *22254:A1 24.7178 +7 *3041:61 *22602:A1 18.4372 +8 *3041:42 *3041:110 38.423 +9 *3041:110 *3041:118 40.456 +10 *3041:118 *22028:B1 36.2207 +*END + +*D_NET *3042 0.0145812 +*CONN +*I *22029:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22028:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *22029:C1 0.000627809 +2 *22028:X 0.00116674 +3 *3042:26 0.00069883 +4 *3042:25 0.00142525 +5 *3042:11 0.00252097 +6 *22029:C1 *22042:B 0.000154145 +7 *22029:C1 *22042:C 6.3657e-05 +8 *22029:C1 *3111:186 3.13254e-05 +9 *3042:11 *22723:A2 1.71251e-05 +10 *3042:11 *22723:B2 0.000110306 +11 *3042:11 *22724:B 1.0758e-05 +12 *3042:11 *22724:C 6.08467e-05 +13 *3042:11 *3666:7 2.95884e-05 +14 *3042:25 *22268:B2 2.57847e-05 +15 *3042:25 *3044:33 1.25417e-05 +16 *3042:25 *3111:186 5.88657e-05 +17 *21294:A2 *3042:11 0.000256056 +18 *21294:B2 *3042:11 0.00110728 +19 *21868:B1 *3042:25 0.000254551 +20 *21868:B2 *22029:C1 0.000376235 +21 *21868:B2 *3042:25 1.37669e-05 +22 *22029:A1 *22029:C1 6.08467e-05 +23 *22029:B2 *22029:C1 7.16754e-05 +24 *22042:A *22029:C1 3.09153e-05 +25 *22723:A1 *3042:11 6.63489e-05 +26 *22723:B1 *3042:11 2.35078e-05 +27 *1645:118 *3042:25 0.00144166 +28 *2093:136 *3042:11 2.07503e-05 +29 *2320:81 *3042:11 0.000972962 +30 *2777:7 *3042:11 0.00243484 +31 *2827:30 *3042:25 5.88009e-05 +32 *2869:51 *3042:25 5.88009e-05 +33 *3026:18 *3042:25 0.0003177 +*RES +1 *22028:X *3042:11 49.5664 +2 *3042:11 *3042:25 46.8174 +3 *3042:25 *3042:26 81.1229 +4 *3042:26 *22029:C1 33.3038 +*END + +*D_NET *3043 0.000307524 +*CONN +*I *22042:B I *D sky130_fd_sc_hd__and4_1 +*I *22029:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22042:B 5.22966e-05 +2 *22029:X 5.22966e-05 +3 *22042:B *3111:186 2.71504e-05 +4 *22029:C1 *22042:B 0.000154145 +5 *22042:A *22042:B 2.16355e-05 +*RES +1 *22029:X *22042:B 20.3309 +*END + +*D_NET *3044 0.0239672 +*CONN +*I *22035:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22268:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22600:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22030:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22035:A1 0.000223396 +2 *22268:B1 0 +3 *22600:B1 0 +4 *22030:Y 7.61328e-05 +5 *3044:41 0.00102254 +6 *3044:33 0.00242812 +7 *3044:23 0.00332014 +8 *3044:6 0.00221408 +9 *22035:A1 *5859:115 0.000873934 +10 *3044:23 *22283:A2 5.01835e-05 +11 *3044:23 *3128:209 7.46097e-05 +12 *3044:33 *22268:B2 2.16355e-05 +13 *3044:33 *22268:C1 5.2409e-06 +14 *3044:33 *22271:C 0.00025175 +15 *3044:33 *22293:C 0.000100106 +16 *3044:33 *22699:A2 1.04965e-05 +17 *3044:33 *3064:20 7.70895e-05 +18 *3044:33 *3120:130 9.0891e-05 +19 *3044:33 *3120:143 4.37678e-05 +20 *3044:33 *3146:157 2.74381e-05 +21 *3044:33 *3243:41 2.27057e-05 +22 *3044:33 *3249:15 0.000828028 +23 *3044:33 *3507:227 0.000188499 +24 *3044:41 *22600:B2 4.12699e-05 +25 *3044:41 *3284:10 0.00110922 +26 *3044:41 *3466:228 1.58551e-05 +27 *3044:41 *3493:73 5.1493e-06 +28 *3044:41 *3552:6 0.000147978 +29 *19864:A1 *3044:23 0.000467067 +30 *21856:A1 *3044:33 0.000138069 +31 *21875:A1 *3044:41 0.000215557 +32 *22035:A2 *22035:A1 0.00101539 +33 *22268:A1 *3044:33 6.08467e-05 +34 *22287:A1 *3044:41 9.2569e-05 +35 *22600:A1 *3044:41 3.0577e-05 +36 *22695:B1 *3044:23 0.000798663 +37 *1591:39 *3044:23 0.000426056 +38 *1614:104 *3044:23 8.57421e-05 +39 *1620:60 *3044:41 2.27118e-06 +40 *1627:149 *22035:A1 5.19897e-05 +41 *1647:87 *3044:33 9.03546e-05 +42 *1647:121 *3044:33 0.000142625 +43 *1647:126 *3044:6 0.000128881 +44 *1647:126 *3044:23 0.00149973 +45 *1655:199 *3044:23 8.59857e-05 +46 *1721:118 *3044:41 0.000156955 +47 *1784:91 *3044:23 0.000163033 +48 *2208:41 *3044:23 0.00038831 +49 *2208:65 *3044:6 0.000118308 +50 *2208:65 *3044:23 6.62712e-05 +51 *2468:37 *3044:23 0.000461128 +52 *2554:21 *3044:23 6.22732e-06 +53 *2764:82 *3044:33 0.000333933 +54 *2806:30 *3044:33 0.000601348 +55 *2827:30 *3044:41 0.000718157 +56 *2869:51 *3044:41 0.000333446 +57 *2870:40 *3044:33 0.000113855 +58 *2870:55 *3044:33 0.000150276 +59 *2881:51 *3044:23 0.000539554 +60 *2886:8 *3044:23 0.00119333 +61 *3026:18 *3044:33 7.92757e-06 +62 *3042:25 *3044:33 1.25417e-05 +*RES +1 *22030:Y *3044:6 16.4116 +2 *3044:6 *3044:23 46.5186 +3 *3044:23 *3044:33 45.0146 +4 *3044:33 *3044:41 41.4805 +5 *3044:41 *22600:B1 9.24915 +6 *3044:33 *22268:B1 9.24915 +7 *3044:6 *22035:A1 25.5646 +*END + +*D_NET *3045 0.0181168 +*CONN +*I *22259:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22035:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22031:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22259:A1 0 +2 *22035:B1 0.000142033 +3 *22031:Y 0.000181362 +4 *3045:12 0.0029325 +5 *3045:10 0.00404352 +6 *3045:7 0.00143441 +7 *22035:B1 *22034:A1 8.70622e-06 +8 *22035:B1 *22035:C1 1.04818e-05 +9 *22035:B1 *3046:14 7.72394e-06 +10 *22035:B1 *3134:194 7.22263e-05 +11 *22035:B1 *3134:214 2.77564e-05 +12 *3045:10 *19849:A 0.000136838 +13 *3045:10 *20748:A1 0.00012884 +14 *3045:10 *21485:A 7.52542e-05 +15 *3045:10 *4989:9 0 +16 *3045:10 *5918:30 0 +17 *3045:12 *20668:A1 0.000258852 +18 *3045:12 *20708:B1 6.71868e-05 +19 *3045:12 *24545:RESET_B 8.61022e-05 +20 *3045:12 *3046:11 0.000196321 +21 *3045:12 *3046:14 7.10616e-05 +22 *3045:12 *3134:214 0.000137069 +23 *3045:12 *5859:84 0.00108436 +24 *3045:12 *5859:95 0.000202183 +25 *3045:12 *5861:273 6.70887e-06 +26 *20443:A2 *3045:12 2.29201e-05 +27 *20499:B *3045:10 5.4224e-06 +28 *20499:B *3045:12 0.000833843 +29 *20500:A *3045:10 0.00190413 +30 *20744:B2 *3045:10 3.49364e-05 +31 *22035:A2 *22035:B1 5.04829e-06 +32 *24432:D *3045:12 7.14746e-05 +33 *24482:D *3045:12 0.000118485 +34 *489:78 *3045:12 0.000526689 +35 *1550:8 *3045:12 2.56404e-05 +36 *1550:10 *3045:12 0.000424065 +37 *1627:149 *22035:B1 0.000111802 +38 *1631:97 *22035:B1 2.16608e-05 +39 *1789:23 *3045:12 0.000137921 +40 *1790:188 *3045:12 0.000152313 +41 *1790:191 *3045:12 0.000829834 +42 *1979:11 *3045:7 4.69808e-06 +43 *1979:35 *3045:7 3.58951e-06 +44 *2135:74 *3045:10 8.19494e-05 +45 *2369:23 *3045:12 6.31809e-05 +46 *2448:41 *3045:12 0.000204713 +47 *2541:23 *3045:12 0.000825651 +48 *2542:8 *3045:12 0 +49 *2589:10 *3045:10 0 +50 *2589:12 *3045:10 0 +51 *2589:12 *3045:12 0 +52 *2589:24 *22035:B1 0.000131248 +53 *2589:24 *3045:12 6.97834e-05 +54 *2869:14 *3045:10 0.000184931 +55 *2996:48 *3045:12 5.46774e-06 +56 *3036:31 *3045:12 3.88655e-06 +*RES +1 *22031:Y *3045:7 16.1364 +2 *3045:7 *3045:10 40.7069 +3 *3045:10 *3045:12 96.3019 +4 *3045:12 *22035:B1 18.2684 +5 *3045:12 *22259:A1 13.7491 +*END + +*D_NET *3046 0.0253903 +*CONN +*I *22265:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22034:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22032:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22265:B1 0.000369422 +2 *22034:A1 0.000100811 +3 *22032:Y 0.00113866 +4 *3046:35 0.00177381 +5 *3046:29 0.00324595 +6 *3046:14 0.00293021 +7 *3046:11 0.0021265 +8 *22034:A1 *22035:C1 4.1554e-05 +9 *22265:B1 *22266:C1 6.36477e-05 +10 *22265:B1 *3196:209 2.16355e-05 +11 *22265:B1 *3222:8 8.7039e-06 +12 *3046:11 *20668:A1 0.000260473 +13 *3046:14 *22259:B2 1.5714e-05 +14 *3046:14 *3134:194 7.22263e-05 +15 *3046:14 *3134:214 0.000161326 +16 *3046:14 *5859:84 0.000731823 +17 *3046:14 *5859:95 0.000205766 +18 *3046:29 *22042:C 8.91595e-05 +19 *3046:29 *22253:A2 4.48436e-05 +20 *3046:29 *22257:B2 9.96332e-05 +21 *3046:29 *22612:B2 1.5714e-05 +22 *3046:29 *22634:C 0.000673168 +23 *3046:29 *3049:14 0.0018369 +24 *3046:29 *3148:117 4.42033e-05 +25 *3046:29 *3162:150 1.99266e-05 +26 *3046:29 *3166:147 0.000115313 +27 *3046:29 *3173:33 0.000105722 +28 *3046:35 *22042:C 0.00126301 +29 *3046:35 *22042:D 0.000289796 +30 *3046:35 *22267:B 3.55968e-05 +31 *3046:35 *22610:A2 5.35941e-05 +32 *3046:35 *22634:C 1.54854e-05 +33 *3046:35 *3173:26 0.000193755 +34 *3046:35 *3173:33 3.35879e-05 +35 *3046:35 *3190:169 5.1493e-06 +36 *3046:35 *3222:8 6.46519e-06 +37 *3046:35 *3507:165 3.29488e-05 +38 *19864:A1 *3046:29 9.6577e-05 +39 *22011:A1 *22265:B1 0.000380304 +40 *22018:A *3046:35 0.000637338 +41 *22034:B2 *22034:A1 7.3421e-06 +42 *22035:B1 *22034:A1 8.70622e-06 +43 *22035:B1 *3046:14 7.72394e-06 +44 *22035:B2 *3046:14 9.12416e-06 +45 *22035:B2 *3046:29 4.78996e-05 +46 *22257:A1 *3046:29 1.04743e-05 +47 *22319:B1 *3046:29 0.000152473 +48 *22325:A1 *3046:35 2.02035e-05 +49 *22612:B1 *3046:29 7.44795e-05 +50 *22615:A1 *3046:35 9.17656e-06 +51 *24432:D *3046:11 7.14746e-05 +52 *489:78 *3046:14 0.000530301 +53 *1550:10 *3046:11 0.000968295 +54 *1550:16 *3046:11 2.30636e-05 +55 *1627:149 *22034:A1 1.1718e-05 +56 *1631:97 *22034:A1 0.000110306 +57 *1647:46 *3046:35 0.000215131 +58 *1725:210 *3046:11 1.71266e-05 +59 *1790:180 *3046:11 0.000154145 +60 *1790:188 *3046:11 0.000131996 +61 *2030:50 *3046:11 7.13972e-05 +62 *2589:20 *3046:14 0.00153226 +63 *2589:24 *3046:14 2.27118e-06 +64 *2589:54 *3046:35 5.67796e-06 +65 *2888:81 *22265:B1 5.13194e-05 +66 *2888:81 *3046:35 0.000500602 +67 *2956:10 *3046:14 6.04308e-05 +68 *2956:10 *3046:29 0.000209679 +69 *2956:18 *3046:29 4.0143e-05 +70 *2984:24 *3046:29 0.000100435 +71 *2985:14 *3046:14 8.56022e-05 +72 *3025:11 *22265:B1 0.000492582 +73 *3039:46 *3046:35 3.29488e-05 +74 *3045:12 *3046:11 0.000196321 +75 *3045:12 *3046:14 7.10616e-05 +*RES +1 *22032:Y *3046:11 46.0418 +2 *3046:11 *3046:14 42.0437 +3 *3046:14 *22034:A1 16.9174 +4 *3046:14 *3046:29 52.2851 +5 *3046:29 *3046:35 42.3557 +6 *3046:35 *22265:B1 25.208 +*END + +*D_NET *3047 0.0106951 +*CONN +*I *22034:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22252:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22033:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22034:B1 5.53643e-05 +2 *22252:B1 0.000453452 +3 *22033:Y 0.000330833 +4 *3047:16 0.0012092 +5 *3047:14 0.00199134 +6 *3047:11 0.00162179 +7 *22034:B1 *21712:B1 0.000161262 +8 *22034:B1 *22035:C1 0.000110297 +9 *22252:B1 *3155:168 2.99287e-05 +10 *22252:B1 *3157:180 2.57986e-05 +11 *3047:11 *24545:CLK 2.32881e-05 +12 *3047:11 *5859:47 6.46499e-05 +13 *3047:14 *24431:CLK 0 +14 *3047:14 *24432:CLK 0 +15 *3047:14 *3070:8 0.000720481 +16 *3047:14 *3070:20 2.43932e-05 +17 *3047:14 *4839:105 4.75721e-06 +18 *3047:14 *5327:10 0.000135376 +19 *3047:16 *22001:A 4.70582e-05 +20 *3047:16 *24431:CLK 0 +21 *3047:16 *3070:20 8.9381e-05 +22 *3047:16 *3070:32 0.000119991 +23 *3047:16 *4890:66 0 +24 *3047:16 *5324:8 6.74667e-05 +25 *20706:A2 *3047:14 0.000198387 +26 *22023:B1 *3047:16 1.5714e-05 +27 *22024:A2 *22252:B1 0.000161452 +28 *22024:B1 *3047:16 0.000721549 +29 *22024:B2 *22252:B1 7.26959e-06 +30 *22024:B2 *3047:16 4.62492e-05 +31 *22034:B2 *22034:B1 6.08467e-05 +32 *24545:D *3047:11 8.65358e-05 +33 *24612:D *3047:14 1.64859e-05 +34 *1627:160 *22034:B1 1.5962e-05 +35 *1627:160 *22252:B1 0.000523178 +36 *1627:160 *3047:16 3.58525e-05 +37 *1631:97 *22034:B1 0.000130556 +38 *1631:106 *22034:B1 0.000111802 +39 *1789:58 *3047:14 0.00017215 +40 *1790:180 *3047:14 0 +41 *2984:19 *3047:14 0.00110502 +42 *3038:17 *22252:B1 0 +*RES +1 *22033:Y *3047:11 19.6569 +2 *3047:11 *3047:14 39.3146 +3 *3047:14 *3047:16 20.3107 +4 *3047:16 *22252:B1 25.7576 +5 *3047:16 *22034:B1 17.2456 +*END + +*D_NET *3048 0.000776222 +*CONN +*I *22035:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22034:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22035:C1 0.000111139 +2 *22034:X 0.000111139 +3 *22034:A1 *22035:C1 4.1554e-05 +4 *22034:B1 *22035:C1 0.000110297 +5 *22034:B2 *22035:C1 1.65872e-05 +6 *22035:B1 *22035:C1 1.04818e-05 +7 *1627:149 *22035:C1 0.000284201 +8 *1627:160 *22035:C1 6.36477e-05 +9 *1631:97 *22035:C1 2.71757e-05 +*RES +1 *22034:X *22035:C1 23.128 +*END + +*D_NET *3049 0.0125839 +*CONN +*I *22042:C I *D sky130_fd_sc_hd__and4_1 +*I *22035:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22042:C 0.00127835 +2 *22035:X 0.000183749 +3 *3049:14 0.0028024 +4 *3049:7 0.0017078 +5 *22042:C *22262:A 2.9187e-05 +6 *22042:C *22575:A2 2.44914e-05 +7 *22042:C *22615:B2 0.000317748 +8 *22042:C *22634:D 0.000126755 +9 *22042:C *3217:28 3.40948e-05 +10 *22042:C *3539:177 0.000140134 +11 *3049:14 *22262:A 0.000124582 +12 *3049:14 *22318:C1 0.000103044 +13 *3049:14 *3166:147 5.8518e-05 +14 *3049:14 *3221:6 1.22547e-05 +15 *3049:14 *3222:41 7.94061e-05 +16 *19864:A1 *22042:C 9.72199e-05 +17 *22029:C1 *22042:C 6.3657e-05 +18 *22035:B2 *3049:14 6.51527e-05 +19 *22610:A1 *22042:C 3.88655e-06 +20 *22612:B1 *3049:14 0.00022596 +21 *22613:B1 *22042:C 4.25398e-05 +22 *22615:A1 *22042:C 0.000414392 +23 *1614:75 *22042:C 0.00019301 +24 *1631:97 *3049:7 0.000247443 +25 *2542:8 *3049:14 0 +26 *2589:24 *3049:14 0.000118211 +27 *2589:38 *3049:14 0.00082554 +28 *3039:46 *3049:14 7.53378e-05 +29 *3046:29 *22042:C 8.91595e-05 +30 *3046:29 *3049:14 0.0018369 +31 *3046:35 *22042:C 0.00126301 +*RES +1 *22035:X *3049:7 16.691 +2 *3049:7 *3049:14 45.5556 +3 *3049:14 *22042:C 49.1095 +*END + +*D_NET *3050 0.0278568 +*CONN +*I *22607:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22041:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22266:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22036:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22607:A1 2.61765e-05 +2 *22041:A1 0.000584816 +3 *22266:A1 2.50285e-05 +4 *22036:Y 0.000607181 +5 *3050:52 0.00184886 +6 *3050:41 0.0023453 +7 *3050:35 0.00182387 +8 *3050:28 0.00189187 +9 *3050:13 0.00175529 +10 *22041:A1 *22041:B1 0.000110297 +11 *22041:A1 *22271:B 0.000452205 +12 *22041:A1 *3064:44 9.92046e-06 +13 *22041:A1 *3476:154 4.25507e-05 +14 *22041:A1 *3493:73 3.82228e-05 +15 *22041:A1 *3564:28 0.000814746 +16 *22266:A1 *3139:200 2.16355e-05 +17 *22266:A1 *3196:187 2.16355e-05 +18 *22607:A1 *22607:A2 9.25033e-06 +19 *3050:13 *21075:B1 6.08467e-05 +20 *3050:13 *4805:47 2.16355e-05 +21 *3050:13 *5853:260 0.00031054 +22 *3050:28 *24491:CLK 2.58106e-05 +23 *3050:28 *3072:20 0.000999612 +24 *3050:28 *3120:84 0.000198113 +25 *3050:28 *3348:27 0.00019453 +26 *3050:28 *4814:64 8.04172e-05 +27 *3050:35 *22713:B2 2.53145e-06 +28 *3050:35 *3177:288 0.000161542 +29 *3050:35 *3474:218 0.000484995 +30 *3050:35 *3498:231 8.51503e-05 +31 *3050:35 *3634:14 0.000247443 +32 *3050:35 *5592:76 0.000303342 +33 *3050:41 *22607:A2 7.92757e-06 +34 *3050:41 *22617:C 6.54752e-05 +35 *3050:41 *22617:D 4.16913e-05 +36 *3050:41 *22695:A2 3.82228e-05 +37 *3050:41 *22696:C1 7.77744e-05 +38 *3050:41 *22713:B2 0.000315469 +39 *3050:41 *22714:C1 1.41976e-05 +40 *3050:41 *3500:127 0.000139854 +41 *3050:41 *3502:157 0.000192548 +42 *3050:41 *3502:169 0.000591908 +43 *3050:41 *5919:40 7.41735e-05 +44 *3050:52 *22556:A2 4.03123e-05 +45 *3050:52 *22600:B2 1.27597e-05 +46 *3050:52 *22607:A2 5.57839e-05 +47 *3050:52 *3466:228 8.61131e-05 +48 *3050:52 *3476:154 0.000159477 +49 *3050:52 *3502:169 0.0003455 +50 *3050:52 *3502:179 2.13804e-05 +51 *3050:52 *3564:28 9.80784e-05 +52 *20621:B2 *3050:28 1.59723e-05 +53 *21395:B2 *3050:35 0.000730325 +54 *21875:C1 *3050:52 0.000487806 +55 *22011:A1 *3050:52 7.75133e-06 +56 *22011:B1 *3050:52 0.000609925 +57 *22041:B2 *22041:A1 0.000110297 +58 *22287:B1 *22041:A1 0.000301343 +59 *22605:A1 *3050:52 0.000156946 +60 *22695:A1 *3050:41 0.000261032 +61 *22695:B1 *3050:41 0.000390192 +62 *22712:B2 *3050:35 9.47944e-05 +63 *24491:D *3050:28 2.57847e-05 +64 *529:35 *3050:13 1.9101e-05 +65 *1557:107 *3050:13 0.000820813 +66 *1562:21 *3050:35 8.6493e-05 +67 *1610:54 *3050:28 0.000136497 +68 *1641:141 *22041:A1 0.000107496 +69 *1649:189 *3050:28 0.000118855 +70 *1655:61 *3050:52 7.58067e-06 +71 *1742:76 *3050:13 4.95589e-05 +72 *2093:101 *3050:35 0.000727524 +73 *2258:64 *3050:35 0.000165472 +74 *2274:95 *3050:28 0.00026753 +75 *2293:65 *3050:41 0.000724027 +76 *2295:78 *3050:13 0.000152665 +77 *2301:57 *3050:28 0.000176999 +78 *2311:13 *3050:13 0.000557313 +79 *2312:11 *3050:13 0.00165038 +80 *2379:63 *3050:28 0.000173588 +81 *2527:24 *3050:52 6.39718e-05 +82 *2589:54 *3050:52 0.000637501 +83 *2631:38 *3050:35 3.72251e-05 +*RES +1 *22036:Y *3050:13 48.8976 +2 *3050:13 *3050:28 37.9346 +3 *3050:28 *3050:35 36.5339 +4 *3050:35 *3050:41 35.7117 +5 *3050:41 *3050:52 44.9334 +6 *3050:52 *22266:A1 14.4725 +7 *3050:52 *22041:A1 42.6725 +8 *3050:41 *22607:A1 9.82786 +*END + +*D_NET *3051 0.0275816 +*CONN +*I *22264:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22041:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22613:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22037:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22264:A1 9.61744e-05 +2 *22041:B1 0.000186623 +3 *22613:A1 0.000119295 +4 *22037:Y 0.0026188 +5 *3051:57 0.000396721 +6 *3051:54 0.0015242 +7 *3051:21 0.00175739 +8 *3051:20 0.0014557 +9 *3051:14 0.00384668 +10 *22041:B1 *22042:D 0.000296903 +11 *22041:B1 *22271:A 6.3657e-05 +12 *22264:A1 *22264:A2 0.00014945 +13 *22264:A1 *22271:A 9.96222e-05 +14 *22264:A1 *3564:14 5.37221e-05 +15 *22264:A1 *3564:28 6.34651e-06 +16 *22613:A1 *22613:A2 0.000307653 +17 *22613:A1 *22613:B2 0.00021498 +18 *22613:A1 *3527:207 0.000217381 +19 *3051:14 *20699:B1 0.000500237 +20 *3051:14 *3663:10 2.01503e-05 +21 *3051:14 *4873:17 0.000360724 +22 *3051:14 *5903:6 0.000100645 +23 *3051:20 *22721:A2 0.000200794 +24 *3051:20 *3533:148 0.00076093 +25 *3051:21 *3527:207 0.000936458 +26 *3051:21 *3541:71 0.00101601 +27 *3051:54 *22268:C1 0.000436798 +28 *3051:54 *22271:C 5.09839e-05 +29 *3051:54 *22293:D 0.000109954 +30 *3051:54 *3233:8 0.000386328 +31 *3051:54 *3517:197 0.000307541 +32 *3051:54 *3527:207 1.99184e-05 +33 *3051:54 *3537:215 4.25507e-05 +34 *3051:54 *5457:13 4.79809e-06 +35 *3051:57 *22271:A 2.29454e-05 +36 *3051:57 *3233:8 6.08467e-05 +37 *21436:B2 *3051:14 0.0001446 +38 *22041:A1 *22041:B1 0.000110297 +39 *22041:B2 *22041:B1 0.000154148 +40 *22292:B1 *3051:54 0.000357379 +41 *22699:B1 *3051:20 0.000338954 +42 *476:172 *3051:14 0.000100645 +43 *510:16 *3051:20 0.000407299 +44 *1418:108 *3051:14 8.43209e-05 +45 *1551:136 *3051:14 3.54157e-05 +46 *1564:119 *3051:57 4.79321e-06 +47 *1564:134 *22041:B1 7.92757e-06 +48 *1596:102 *3051:14 0.000147845 +49 *1614:104 *22613:A1 2.07503e-05 +50 *1614:104 *3051:21 4.58907e-05 +51 *1617:21 *3051:14 0.00159234 +52 *1617:21 *3051:20 3.13128e-05 +53 *1618:137 *3051:21 6.2497e-05 +54 *1645:183 *3051:20 5.04829e-06 +55 *1655:199 *3051:20 0.000492074 +56 *1689:135 *3051:54 5.60804e-05 +57 *1800:128 *3051:20 0.000343456 +58 *2257:46 *3051:14 0.00101049 +59 *2257:46 *3051:20 0.000390192 +60 *2288:24 *3051:54 5.60804e-05 +61 *2306:48 *3051:14 1.66626e-05 +62 *2374:48 *3051:20 6.34651e-06 +63 *2377:13 *3051:20 0.000162739 +64 *2417:53 *3051:14 0.000354312 +65 *2794:58 *3051:54 0.00020355 +66 *2869:46 *3051:54 0.00017036 +67 *2869:51 *3051:54 0.00114154 +68 *2871:18 *22613:A1 0.000231135 +69 *2871:18 *3051:54 0.000178538 +70 *2893:20 *3051:54 0.000299419 +71 *2906:12 *3051:54 8.85729e-06 +72 *3026:35 *3051:54 5.83451e-05 +*RES +1 *22037:Y *3051:14 34.9509 +2 *3051:14 *3051:20 46.3477 +3 *3051:20 *3051:21 12.9247 +4 *3051:21 *22613:A1 15.5186 +5 *3051:21 *3051:54 49.9998 +6 *3051:54 *3051:57 6.3326 +7 *3051:57 *22041:B1 15.5668 +8 *3051:57 *22264:A1 21.2198 +*END + +*D_NET *3052 0.0387311 +*CONN +*I *22255:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22603:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22040:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *22038:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22255:B1 0 +2 *22603:B1 4.94059e-05 +3 *22040:A1 0.000135592 +4 *22038:Y 0.00122216 +5 *3052:101 0.00109727 +6 *3052:78 0.00131334 +7 *3052:77 0.00170913 +8 *3052:66 0.00282987 +9 *3052:41 0.00151497 +10 *3052:38 0.00140129 +11 *3052:28 0.00292163 +12 *3052:13 0.00283448 +13 *22040:A1 *21917:A 0.000157503 +14 *22040:A1 *3157:37 2.65441e-05 +15 *22603:B1 *22621:B2 7.18018e-05 +16 *22603:B1 *3483:173 1.65872e-05 +17 *3052:13 *22038:A 1.03403e-05 +18 *3052:13 *24789:CLK 0.000347601 +19 *3052:13 *4844:267 0.000345048 +20 *3052:28 *20172:B1 2.16355e-05 +21 *3052:28 *22152:A 7.80757e-05 +22 *3052:28 *22163:B 0.000850345 +23 *3052:28 *22191:A 1.9101e-05 +24 *3052:28 *3108:68 3.024e-05 +25 *3052:28 *3151:37 9.67131e-06 +26 *3052:28 *3175:19 0.0010472 +27 *3052:28 *5944:69 6.34564e-05 +28 *3052:38 *22190:C 1.65872e-05 +29 *3052:38 *3139:22 5.24081e-05 +30 *3052:38 *3502:105 0.00031266 +31 *3052:38 *4856:23 0.00144375 +32 *3052:38 *5476:60 0.00124433 +33 *3052:41 *21917:A 0.000155641 +34 *3052:66 *22326:B2 1.66771e-05 +35 *3052:66 *22659:A2 0.000153683 +36 *3052:66 *3117:48 0.000771119 +37 *3052:66 *3142:98 6.74182e-05 +38 *3052:66 *3280:16 4.91396e-05 +39 *3052:66 *3498:132 0.000106353 +40 *3052:66 *3500:91 0.000121156 +41 *3052:66 *4920:74 0.000122265 +42 *3052:66 *5476:51 0.000121812 +43 *3052:66 *5956:58 3.29488e-05 +44 *3052:77 *22327:A2 6.17795e-05 +45 *3052:77 *22331:A2 0.000121936 +46 *3052:77 *22331:B2 0.000172436 +47 *3052:77 *22333:B 6.48631e-05 +48 *3052:77 *22338:B 7.5909e-06 +49 *3052:77 *3198:29 7.00554e-05 +50 *3052:77 *3280:16 3.6195e-05 +51 *3052:77 *3284:21 4.15661e-05 +52 *3052:77 *4825:146 0.000177888 +53 *3052:78 *22338:A 0.000248694 +54 *3052:78 *22602:A2 5.17042e-05 +55 *3052:78 *22621:C1 7.02358e-06 +56 *3052:78 *3485:163 7.09666e-06 +57 *3052:101 *22255:B2 0.000197934 +58 *3052:101 *22338:A 0.000130274 +59 *3052:101 *3124:191 8.60109e-05 +60 *3052:101 *3124:210 4.04861e-05 +61 *3052:101 *3151:187 6.83813e-05 +62 *3052:101 *3243:22 0.000111586 +63 *3052:101 *3537:41 3.58315e-06 +64 *3052:101 *3539:48 6.14756e-06 +65 *3052:101 *4853:18 8.6297e-06 +66 *20172:A2 *3052:13 1.62258e-05 +67 *20172:A2 *3052:28 4.29606e-05 +68 *20172:B2 *3052:28 2.05525e-05 +69 *20250:B *3052:13 8.49652e-06 +70 *20251:B *3052:13 2.38608e-05 +71 *21065:A2 *3052:38 1.05631e-05 +72 *21518:A1 *3052:77 7.01586e-06 +73 *21554:B1 *3052:66 0.000417316 +74 *21589:B1 *3052:66 0.000106353 +75 *21641:A1 *3052:101 1.50389e-06 +76 *21800:A1 *3052:101 0.000109503 +77 *21882:A1 *3052:66 0.000236612 +78 *22190:A *3052:38 0.00020502 +79 *22331:A1 *3052:66 8.79404e-05 +80 *22331:A1 *3052:77 2.5811e-05 +81 *22331:B1 *3052:66 0.000625423 +82 *22332:B1 *3052:77 4.72874e-05 +83 *22334:A1 *3052:77 0.000485134 +84 *22602:A1 *3052:78 2.19949e-05 +85 *22602:A1 *3052:101 3.88655e-06 +86 *22602:B1 *3052:77 0.000138518 +87 *22659:A1 *3052:66 3.6549e-05 +88 *24231:D *3052:38 0.000114449 +89 *24784:D *3052:28 0.000258753 +90 *482:28 *3052:28 0.00140584 +91 *531:41 *3052:13 3.31745e-05 +92 *541:28 *3052:28 0.00112446 +93 *1450:132 *3052:13 0.000111082 +94 *1553:36 *3052:66 8.18738e-05 +95 *1553:64 *3052:66 0.000183204 +96 *1583:35 *3052:77 0.000156955 +97 *1592:61 *3052:77 1.02986e-05 +98 *1592:70 *3052:101 0.000201487 +99 *1616:42 *3052:77 2.11287e-05 +100 *1636:68 *3052:28 0.000163315 +101 *1645:68 *3052:38 1.0758e-05 +102 *1648:29 *22040:A1 0.000446985 +103 *1648:29 *3052:41 0.000402457 +104 *1730:240 *3052:77 2.65818e-05 +105 *1788:77 *3052:78 0.000100087 +106 *1853:11 *3052:13 0.000172794 +107 *1864:13 *3052:13 0.000350793 +108 *1864:89 *3052:13 0.000105509 +109 *1884:19 *3052:28 0.00016551 +110 *1899:5 *3052:13 1.71806e-05 +111 *2100:55 *3052:28 5.99155e-05 +112 *2244:36 *3052:77 5.4678e-05 +113 *2256:14 *3052:13 0.000114694 +114 *2313:11 *3052:13 0.000442586 +115 *2425:21 *3052:13 0.000210067 +116 *2503:67 *22603:B1 0.000107496 +117 *2508:26 *3052:77 0.000334298 +118 *2508:31 *3052:77 0.000264489 +119 *2527:24 *3052:101 1.13008e-05 +120 *2570:138 *3052:78 3.80436e-07 +121 *2675:99 *3052:101 0.000247443 +122 *2678:17 *3052:101 0.00052731 +123 *2680:57 *3052:101 0.000283583 +124 *2814:38 *3052:101 5.481e-05 +125 *2894:39 *3052:38 0.000309062 +126 *2894:60 *3052:77 0.000247916 +127 *2930:67 *3052:101 0.000344173 +128 *3041:71 *3052:101 0.000139647 +*RES +1 *22038:Y *3052:13 46.394 +2 *3052:13 *3052:28 47.159 +3 *3052:28 *3052:38 46.8193 +4 *3052:38 *3052:41 9.10562 +5 *3052:41 *22040:A1 14.9881 +6 *3052:41 *3052:66 25.3714 +7 *3052:66 *3052:77 46.1368 +8 *3052:77 *3052:78 5.15401 +9 *3052:78 *22603:B1 15.6059 +10 *3052:78 *3052:101 46.9624 +11 *3052:101 *22255:B1 13.7491 +*END + +*D_NET *3053 0.0206518 +*CONN +*I *22040:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *22430:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22750:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22039:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22040:B1 0.000133581 +2 *22430:B1 7.80073e-05 +3 *22750:A1 0.000121587 +4 *22039:Y 0 +5 *3053:22 0.000170828 +6 *3053:21 0.00123779 +7 *3053:17 0.00170345 +8 *3053:14 0.00167983 +9 *3053:6 0.00307861 +10 *3053:5 0.00196926 +11 *22430:B1 *3124:76 5.83609e-05 +12 *22750:A1 *3364:23 0.000404855 +13 *3053:6 *20200:B1 0.000145447 +14 *3053:6 *20202:A1 0.000217716 +15 *3053:6 *21849:A 0.000262396 +16 *3053:6 *4920:35 0.000300937 +17 *3053:14 *21849:A 1.79629e-05 +18 *3053:17 *22409:A2 2.99287e-05 +19 *3053:17 *22430:A2 0.000154145 +20 *3053:17 *22430:B2 3.99086e-06 +21 *3053:17 *3124:93 4.69276e-05 +22 *3053:17 *3175:27 0.000154145 +23 *3053:21 *22409:A2 0.000144396 +24 *3053:21 *22409:B2 7.51385e-06 +25 *3053:21 *3364:23 3.92097e-06 +26 *19905:A1 *3053:21 0.000208213 +27 *19905:A2 *3053:21 7.92757e-06 +28 *19905:B2 *3053:21 0.000493536 +29 *20202:B2 *3053:6 6.01842e-05 +30 *21789:B1 *22750:A1 5.70749e-05 +31 *21789:B1 *3053:21 7.98171e-06 +32 *21789:C1 *22750:A1 0.000482917 +33 *21789:C1 *3053:21 1.94584e-05 +34 *21864:B1 *3053:6 0.000165057 +35 *21881:A1 *3053:14 0.000180735 +36 *21881:A2 *3053:14 1.5714e-05 +37 *21881:B1 *3053:14 6.36646e-05 +38 *21900:A *3053:14 8.43701e-05 +39 *21995:D *3053:14 7.1152e-05 +40 *22409:B1 *3053:21 3.52386e-07 +41 *22452:B1 *3053:14 0.000187118 +42 *1552:35 *3053:14 0.000200524 +43 *1552:37 *3053:14 0.000451693 +44 *1552:52 *22040:B1 0.000190313 +45 *1552:52 *3053:14 0.000132855 +46 *1564:37 *3053:14 4.20175e-05 +47 *1641:77 *22040:B1 0.00020493 +48 *1641:77 *3053:14 0.000334445 +49 *1691:71 *3053:6 0.00170539 +50 *1691:72 *3053:6 1.44974e-05 +51 *1800:249 *3053:14 1.73119e-05 +52 *1882:18 *3053:6 4.66947e-05 +53 *1882:22 *3053:6 0.000173 +54 *2428:117 *3053:14 0.000142678 +55 *2638:8 *3053:6 2.91863e-05 +56 *2638:35 *3053:6 1.62206e-05 +57 *2801:26 *3053:22 5.84834e-05 +58 *2875:12 *3053:6 0.00219738 +59 *2876:34 *3053:6 0.000186253 +60 *2876:34 *3053:14 0.00027694 +*RES +1 *22039:Y *3053:5 13.7491 +2 *3053:5 *3053:6 65.3656 +3 *3053:6 *3053:14 31.437 +4 *3053:14 *3053:17 11.324 +5 *3053:17 *3053:21 27.1653 +6 *3053:21 *3053:22 81.1229 +7 *3053:22 *22750:A1 24.2131 +8 *3053:17 *22430:B1 19.8294 +9 *3053:14 *22040:B1 18.0727 +*END + +*D_NET *3054 0.0235215 +*CONN +*I *22041:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22040:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *22041:C1 0 +2 *22040:X 0.00440026 +3 *3054:18 0.00440026 +4 *3054:18 *21630:B1 6.03237e-05 +5 *3054:18 *3071:42 0.00115402 +6 *3054:18 *3124:47 2.63743e-05 +7 *3054:18 *3507:156 0.000296869 +8 *3054:18 *3519:59 4.11569e-05 +9 *3054:18 *3519:332 7.07018e-05 +10 *21476:B1 *3054:18 0.00321149 +11 *21576:A2 *3054:18 0.0006909 +12 *21987:A1 *3054:18 0.000140726 +13 *522:17 *3054:18 6.77316e-05 +14 *1552:52 *3054:18 3.63738e-05 +15 *1584:49 *3054:18 0.000549043 +16 *1584:69 *3054:18 0.00109673 +17 *1641:77 *3054:18 0.000829473 +18 *1649:67 *3054:18 4.0919e-05 +19 *1652:12 *3054:18 5.60804e-05 +20 *2531:91 *3054:18 0.00183586 +21 *2589:54 *3054:18 0.000278078 +22 *2589:65 *3054:18 0.000319019 +23 *2634:29 *3054:18 0.000539181 +24 *2777:60 *3054:18 0.000556752 +25 *2815:32 *3054:18 0.000375016 +26 *2887:66 *3054:18 0.000119443 +27 *2888:81 *3054:18 0.000279817 +28 *2892:21 *3054:18 8.62904e-05 +29 *2919:20 *3054:18 3.83192e-05 +30 *2919:42 *3054:18 0.000133925 +31 *2991:67 *3054:18 0.000790721 +32 *2996:72 *3054:18 0.00099961 +*RES +1 *22040:X *3054:18 47.1262 +2 *3054:18 *22041:C1 13.7491 +*END + +*D_NET *3055 0.0019035 +*CONN +*I *22042:D I *D sky130_fd_sc_hd__and4_1 +*I *22041:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22042:D 0.00052731 +2 *22041:X 0.00052731 +3 *22041:B1 *22042:D 0.000296903 +4 *22615:A1 *22042:D 0.000177123 +5 *1564:134 *22042:D 1.19726e-05 +6 *2589:54 *22042:D 1.4979e-05 +7 *3020:56 *22042:D 5.81031e-05 +8 *3046:35 *22042:D 0.000289796 +*RES +1 *22041:X *22042:D 38.643 +*END + +*D_NET *3056 0.00152656 +*CONN +*I *22062:B I *D sky130_fd_sc_hd__and3_2 +*I *22042:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22062:B 0.000428227 +2 *22042:X 0.000428227 +3 *22062:B *22264:B2 0.00053295 +4 *22062:B *3217:28 6.85778e-05 +5 *22615:A1 *22062:B 6.85778e-05 +*RES +1 *22042:X *22062:B 35.1845 +*END + +*D_NET *3057 0.0234832 +*CONN +*I *22258:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22614:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22048:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22043:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22258:B1 4.94669e-05 +2 *22614:B1 5.39173e-05 +3 *22048:A1 0.000569669 +4 *22043:Y 9.40142e-05 +5 *3057:29 0.00125894 +6 *3057:14 0.0023028 +7 *3057:12 0.0017542 +8 *3057:8 0.00126188 +9 *3057:7 0.0013186 +10 *22048:A1 *3415:14 0.000379555 +11 *22048:A1 *3415:22 0.000553958 +12 *22258:B1 *3220:12 4.45999e-05 +13 *22614:B1 *22614:B2 0.000305889 +14 *22614:B1 *3537:136 0.000291692 +15 *3057:7 *20783:A1 7.92757e-06 +16 *3057:7 *20783:B1 1.03403e-05 +17 *3057:8 *24648:RESET_B 0.000937311 +18 *3057:8 *5853:22 5.68225e-06 +19 *3057:8 *5853:26 0.000226881 +20 *3057:8 *5861:66 0.000308421 +21 *3057:8 *5861:72 0.000923048 +22 *3057:8 *5866:153 0.000738103 +23 *3057:8 *5866:159 9.18769e-05 +24 *3057:14 *3131:158 0.000525392 +25 *3057:14 *3241:8 8.95272e-05 +26 *3057:14 *3543:156 7.71503e-05 +27 *3057:14 *3579:23 0.000131689 +28 *3057:29 *22614:B2 0.000107496 +29 *3057:29 *3120:195 0.000210246 +30 *3057:29 *3415:14 0.000286677 +31 *3057:29 *3537:136 0.000111708 +32 *3057:29 *3543:156 0.00029158 +33 *19594:S *3057:14 5.38073e-05 +34 *20389:B2 *3057:14 0.000374472 +35 *20783:A2 *3057:7 0.000107496 +36 *20783:B2 *3057:8 2.71542e-05 +37 *22418:A1 *3057:29 0.000208193 +38 *22509:A *3057:29 1.1246e-05 +39 *22632:B1 *3057:14 0.00068003 +40 *24390:D *3057:7 2.77625e-06 +41 *24390:D *3057:8 9.60216e-05 +42 *1435:306 *22048:A1 0.000950198 +43 *1585:22 *3057:29 0.00031274 +44 *1602:74 *22048:A1 1.69932e-05 +45 *1629:58 *3057:14 0.00046579 +46 *1688:43 *3057:29 0.000209897 +47 *1725:220 *3057:7 0.000294093 +48 *1784:71 *3057:29 0.00020494 +49 *1795:62 *22048:A1 1.9101e-05 +50 *1943:20 *3057:8 5.18394e-05 +51 *1943:25 *3057:8 0.000147248 +52 *1943:25 *3057:12 1.3023e-05 +53 *1943:25 *3057:14 0.000213422 +54 *2076:9 *3057:7 6.08467e-05 +55 *2448:24 *3057:8 0.000865895 +56 *2552:14 *3057:14 3.38289e-05 +57 *2552:31 *3057:14 0.000181965 +58 *2565:10 *3057:8 0.000131527 +59 *2565:10 *3057:12 3.88655e-06 +60 *2565:10 *3057:14 0.000271865 +61 *2565:22 *3057:14 0.00115778 +62 *2565:36 *3057:29 7.72394e-06 +63 *2766:14 *3057:14 4.58785e-05 +64 *2818:24 *3057:8 0.000164834 +65 *2818:30 *3057:29 0.00030052 +66 *2963:57 *3057:14 6.25553e-05 +67 *3033:24 *3057:8 0.000413394 +*RES +1 *22043:Y *3057:7 17.2456 +2 *3057:7 *3057:8 56.8529 +3 *3057:8 *3057:12 1.11141 +4 *3057:12 *3057:14 58.0987 +5 *3057:14 *3057:29 21.362 +6 *3057:29 *22048:A1 38.3522 +7 *3057:29 *22614:B1 12.7456 +8 *3057:12 *22258:B1 15.0271 +*END + +*D_NET *3058 0.025902 +*CONN +*I *22048:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22745:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22428:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22044:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22048:B1 0.000800941 +2 *22745:B1 0.000381458 +3 *22428:A1 0 +4 *22044:Y 0.000260704 +5 *3058:49 0.00187313 +6 *3058:22 0.00236272 +7 *3058:8 0.0035042 +8 *3058:6 0.0036948 +9 *22048:B1 *3539:129 5.481e-05 +10 *22745:B1 *22486:A2 4.76283e-05 +11 *22745:B1 *22745:C1 3.5534e-06 +12 *22745:B1 *3198:93 7.14073e-06 +13 *3058:6 *3164:113 0.000420994 +14 *3058:6 *3175:112 0.000426592 +15 *3058:8 *20018:A 0.000136548 +16 *3058:8 *22771:B2 0.00117168 +17 *3058:8 *22771:C1 0.000274052 +18 *3058:8 *22775:A2 1.84334e-05 +19 *3058:8 *3164:113 0.00123666 +20 *3058:8 *3175:87 8.38511e-06 +21 *3058:8 *3175:110 4.48518e-05 +22 *3058:8 *3175:112 0.00140059 +23 *3058:8 *3513:121 9.18711e-05 +24 *3058:8 *3513:143 2.46902e-05 +25 *3058:8 *3531:84 0.000124243 +26 *3058:8 *5592:40 1.5714e-05 +27 *3058:22 *22796:C 3.82228e-05 +28 *3058:22 *3155:104 7.82239e-06 +29 *3058:22 *3157:75 6.03105e-05 +30 *3058:22 *3166:51 0.000133043 +31 *3058:22 *3175:74 0.000114455 +32 *3058:22 *3507:75 8.03699e-06 +33 *3058:22 *3509:74 0.000107496 +34 *3058:49 *22495:C1 6.47359e-05 +35 *3058:49 *22503:A2 6.60095e-06 +36 *3058:49 *22504:A 2.77625e-06 +37 *3058:49 *22504:B 3.25683e-05 +38 *3058:49 *22504:C 0.00025175 +39 *3058:49 *22514:A 3.38973e-05 +40 *3058:49 *22514:B 0.000160867 +41 *3058:49 *3128:87 5.93521e-05 +42 *3058:49 *3155:62 1.58551e-05 +43 *3058:49 *3155:63 0.000250244 +44 *3058:49 *3164:55 5.60291e-06 +45 *3058:49 *3196:108 0.000203804 +46 *3058:49 *3208:89 3.31745e-05 +47 *3058:49 *3463:27 2.54579e-05 +48 *3058:49 *3751:14 6.85778e-05 +49 *19828:A *3058:8 0.000158452 +50 *21777:A1 *3058:8 2.57465e-06 +51 *21945:A2 *3058:8 1.35239e-05 +52 *22016:A1 *22048:B1 0.000107496 +53 *22016:A2 *22048:B1 0.000114523 +54 *22016:B2 *22048:B1 6.08467e-05 +55 *22017:C1 *22048:B1 0.000304638 +56 *22048:B2 *22048:B1 9.95922e-06 +57 *22406:B1 *3058:22 0.000168614 +58 *22495:B1 *3058:49 0.000110297 +59 *22503:A1 *3058:49 2.53145e-06 +60 *1461:262 *3058:22 0.000127536 +61 *1462:25 *3058:49 0.000127536 +62 *1546:42 *3058:8 4.76248e-05 +63 *1561:88 *22048:B1 0.000623756 +64 *1566:67 *22048:B1 0.000117326 +65 *1625:23 *3058:8 5.49916e-05 +66 *1773:52 *3058:8 0.000160678 +67 *1794:67 *3058:8 0.000750404 +68 *1794:67 *3058:22 0.000852746 +69 *2543:38 *3058:8 0.000168523 +70 *2548:41 *22745:B1 0.000347839 +71 *2567:35 *22048:B1 4.7168e-05 +72 *2571:40 *3058:22 0.000108144 +73 *2583:12 *3058:22 0.000284769 +74 *2583:27 *3058:22 6.61114e-05 +75 *2708:44 *3058:49 0.000290111 +76 *2732:47 *3058:8 0.00014163 +77 *2785:75 *22048:B1 0.000177609 +78 *2828:25 *3058:8 0.000250829 +79 *2856:15 *3058:8 8.03699e-06 +80 *2862:11 *3058:8 2.40776e-05 +*RES +1 *22044:Y *3058:6 22.6404 +2 *3058:6 *3058:8 76.5774 +3 *3058:8 *3058:22 48.1031 +4 *3058:22 *22428:A1 9.24915 +5 *3058:22 *3058:49 49.2182 +6 *3058:49 *22745:B1 24.5649 +7 *3058:6 *22048:B1 37.2354 +*END + +*D_NET *3059 0.018548 +*CONN +*I *22047:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22758:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22434:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22045:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22047:A1 0.00164415 +2 *22758:B1 0.00016914 +3 *22434:B1 0.000286786 +4 *22045:Y 0.001185 +5 *3059:30 0.0022063 +6 *3059:18 0.00133929 +7 *3059:11 0.00184449 +8 *22047:A1 *3067:26 6.08467e-05 +9 *22047:A1 *3120:168 0.000766888 +10 *22047:A1 *3190:77 0.000171457 +11 *22047:A1 *3398:17 0.000404603 +12 *22047:A1 *3415:22 0.000256943 +13 *22047:A1 *5457:13 3.85683e-05 +14 *22434:B1 *22434:B2 4.81452e-05 +15 *22434:B1 *22501:C1 3.30078e-05 +16 *22434:B1 *3106:149 4.49912e-05 +17 *22434:B1 *3131:109 0.000156955 +18 *22434:B1 *3131:112 2.26459e-05 +19 *22434:B1 *5280:8 8.04174e-05 +20 *22434:B1 *5855:60 7.05924e-05 +21 *22758:B1 *22758:B2 5.04829e-06 +22 *22758:B1 *22759:C1 0.000107496 +23 *22758:B1 *3134:142 3.81056e-05 +24 *22758:B1 *3164:113 9.4385e-05 +25 *3059:11 *20931:A1 0.000670247 +26 *3059:11 *3069:14 0.000440512 +27 *3059:11 *3533:95 0.000329047 +28 *3059:11 *4138:124 0.000280755 +29 *3059:11 *5854:719 0.000107496 +30 *3059:11 *5858:40 0.000325637 +31 *3059:18 *22501:C1 4.69495e-06 +32 *3059:18 *24358:RESET_B 0.000615737 +33 *3059:18 *3131:112 6.93349e-06 +34 *3059:18 *3131:125 0.000490997 +35 *3059:18 *5280:8 1.3023e-05 +36 *3059:18 *5855:60 0.00118988 +37 *19831:A1 *22047:A1 6.3657e-05 +38 *20928:A *3059:11 1.15389e-05 +39 *21536:A1 *22758:B1 4.49767e-05 +40 *21536:B1 *22434:B1 0.000207901 +41 *21630:A1 *22047:A1 0.000137566 +42 *22500:A1 *22758:B1 5.01835e-05 +43 *22500:B1 *3059:18 2.6411e-05 +44 *22758:A1 *22047:A1 4.83235e-05 +45 *22758:A1 *3059:30 0.000151674 +46 *24318:D *3059:11 6.50586e-05 +47 *1435:306 *22047:A1 0.000256943 +48 *1561:125 *3059:11 0.000390353 +49 *1598:110 *22434:B1 8.03699e-06 +50 *1625:106 *3059:30 2.88802e-05 +51 *1625:115 *22047:A1 0.000503747 +52 *1625:115 *3059:30 0.000160818 +53 *3027:27 *22047:A1 0.000701745 +54 *3027:27 *3059:30 0.00013899 +*RES +1 *22045:Y *3059:11 47.1706 +2 *3059:11 *3059:18 29.4518 +3 *3059:18 *22434:B1 21.9132 +4 *3059:18 *3059:30 4.33655 +5 *3059:30 *22758:B1 21.5448 +6 *3059:30 *22047:A1 27.2631 +*END + +*D_NET *3060 0.122209 +*CONN +*I *22751:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22442:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22047:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22046:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22751:A1 0.000496934 +2 *22442:A1 0.000692644 +3 *22047:B1 0.00841832 +4 *22046:Y 0.000108302 +5 *3060:71 0.00357909 +6 *3060:43 0.0155084 +7 *3060:28 0.00692756 +8 *3060:27 0.00299287 +9 *3060:21 0.00312813 +10 *3060:19 0.00355922 +11 *3060:11 0.00466046 +12 *3060:8 0.00357184 +13 *22047:B1 *19813:A 1.50804e-05 +14 *22047:B1 *20536:B1 3.24865e-05 +15 *22047:B1 *22053:B1 0 +16 *22047:B1 *3066:11 0 +17 *22047:B1 *3067:26 0.000107496 +18 *22047:B1 *3114:180 6.23101e-05 +19 *22047:B1 *3131:93 0.00195961 +20 *22047:B1 *3192:230 3.43085e-05 +21 *22047:B1 *3717:14 0.00445868 +22 *22047:B1 *5458:13 9.17511e-05 +23 *22047:B1 *6021:14 0 +24 *22442:A1 *22442:A2 6.08467e-05 +25 *22442:A1 *22442:C1 0.000154145 +26 *22442:A1 *3198:48 0.000518341 +27 *22751:A1 *22761:D 0.00129095 +28 *22751:A1 *3691:7 2.61955e-05 +29 *22751:A1 *3751:27 0.000535951 +30 *3060:8 *4845:466 4.73545e-05 +31 *3060:11 *21083:A1 7.02172e-06 +32 *3060:19 *19723:A1 0.000630222 +33 *3060:21 *5852:41 0.000818765 +34 *3060:27 *21796:A 4.69808e-06 +35 *3060:28 *4867:51 0 +36 *3060:28 *5785:30 0.000744697 +37 *3060:43 *3671:76 6.91422e-06 +38 *3060:43 *3791:161 4.01682e-05 +39 *3060:43 *4525:14 0.000351593 +40 *3060:43 *5456:72 2.23124e-05 +41 *3060:43 *5869:386 0.000755264 +42 *3060:43 *6021:14 0.000120804 +43 *19723:A2 *3060:19 3.62822e-05 +44 *19723:B2 *3060:19 2.81717e-05 +45 *19831:A1 *22047:B1 0.000139559 +46 *20529:A *22047:B1 0.000223496 +47 *21083:A2 *3060:11 1.84293e-05 +48 *21084:B2 *3060:11 5.47093e-05 +49 *21676:B1 *3060:43 8.30878e-05 +50 *21777:A1 *22047:B1 0.000336023 +51 *21944:A2 *22047:B1 1.77894e-05 +52 *22440:A1 *22047:B1 2.78041e-05 +53 *22507:A1 *22442:A1 2.21116e-05 +54 *22736:B1 *22751:A1 7.08433e-05 +55 *22757:A1 *22047:B1 0.000169948 +56 *514:69 *3060:19 0.000138827 +57 *514:69 *3060:21 0.004583 +58 *514:85 *3060:11 3.12913e-05 +59 *514:85 *3060:19 0.00167658 +60 *514:93 *3060:11 0.000266666 +61 *657:143 *3060:43 0.000346894 +62 *1455:74 *3060:28 0.00139643 +63 *1502:177 *22751:A1 0.000188837 +64 *1508:50 *3060:19 1.00846e-05 +65 *1550:101 *22047:B1 8.92434e-06 +66 *1605:46 *22047:B1 2.32542e-05 +67 *1645:31 *22442:A1 0.000150837 +68 *1690:68 *3060:28 0.00856164 +69 *1723:47 *22751:A1 2.01428e-05 +70 *1724:61 *22047:B1 0.00249528 +71 *1732:34 *22047:B1 0.000151018 +72 *1733:45 *22047:B1 3.89721e-05 +73 *1773:41 *22047:B1 0.000516159 +74 *1795:29 *22047:B1 0.00115425 +75 *1795:29 *3060:43 6.07534e-05 +76 *1795:29 *3060:71 0.000270923 +77 *1815:34 *3060:21 1.92336e-05 +78 *2205:22 *3060:8 7.12207e-05 +79 *2205:22 *3060:11 0.000118669 +80 *2206:43 *3060:11 7.13972e-05 +81 *2281:13 *22751:A1 0.000187664 +82 *2281:13 *3060:43 0.00963445 +83 *2281:13 *3060:71 0.00548939 +84 *2338:52 *22442:A1 1.5714e-05 +85 *2502:42 *3060:43 0 +86 *2604:28 *22047:B1 0.00127934 +87 *2632:8 *3060:8 4.78012e-05 +88 *2632:28 *3060:28 0.00599794 +89 *2671:38 *22047:B1 0.000103893 +90 *2771:33 *22047:B1 9.69589e-05 +91 *2779:41 *22047:B1 1.86819e-05 +92 *2836:20 *3060:43 0.00172518 +93 *2922:58 *22751:A1 0.000161356 +94 *2922:58 *3060:71 0.00462295 +95 *2932:38 *22442:A1 5.97981e-05 +96 *2932:61 *22442:A1 7.88906e-05 +97 *2934:22 *22442:A1 7.94607e-05 +98 *2955:17 *3060:21 0.000659414 +99 *2955:29 *3060:27 9.21465e-06 +100 *2955:30 *3060:28 0.00100281 +101 *3007:19 *22442:A1 3.86893e-05 +102 *3023:17 *22442:A1 5.04829e-06 +103 *3023:41 *22442:A1 0.000110306 +104 *3029:33 *22047:B1 0.000642434 +*RES +1 *22046:Y *3060:8 21.7421 +2 *3060:8 *3060:11 49.8299 +3 *3060:11 *3060:19 30.6117 +4 *3060:19 *3060:21 70.8808 +5 *3060:21 *3060:27 15.5077 +6 *3060:27 *3060:28 174.992 +7 *3060:28 *3060:43 41.3562 +8 *3060:43 *22047:B1 46.9407 +9 *3060:43 *3060:71 8.27258 +10 *3060:71 *22442:A1 42.8636 +11 *3060:71 *22751:A1 34.1647 +*END + +*D_NET *3061 0.00106079 +*CONN +*I *22048:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22047:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22048:C1 0.000244899 +2 *22047:X 0.000244899 +3 *22048:C1 *3067:26 6.5874e-05 +4 *1605:46 *22048:C1 0.000254266 +5 *2771:33 *22048:C1 0.000250856 +*RES +1 *22047:X *22048:C1 34.5385 +*END + +*D_NET *3062 0.00851683 +*CONN +*I *22061:A I *D sky130_fd_sc_hd__and3_1 +*I *22048:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22061:A 0.00101086 +2 *22048:X 0.000724799 +3 *3062:18 0.00173566 +4 *22061:A *22248:B 1.70746e-05 +5 *22061:A *3111:186 0.000296893 +6 *22061:A *3200:14 0.000274038 +7 *22061:A *3202:14 0.00016635 +8 *3062:18 *3069:37 0.000578294 +9 *21512:A1 *22061:A 3.83126e-05 +10 *21512:A2 *22061:A 7.92757e-06 +11 *21512:B2 *22061:A 0.000271856 +12 *22018:D *22061:A 0.000438346 +13 *22029:B2 *22061:A 4.78069e-06 +14 *22048:A2 *3062:18 0.000111722 +15 *1538:25 *3062:18 0.000105599 +16 *1564:134 *22061:A 5.8353e-05 +17 *1575:39 *3062:18 3.31745e-05 +18 *1575:81 *3062:18 0.000252553 +19 *1598:91 *22061:A 0.000441147 +20 *1721:154 *3062:18 8.3506e-05 +21 *1796:10 *3062:18 2.58814e-05 +22 *2569:16 *22061:A 0.000167831 +23 *2661:30 *3062:18 0.000107491 +24 *2771:58 *3062:18 0.00133977 +25 *2778:28 *3062:18 0.000138064 +26 *2987:69 *3062:18 8.65573e-05 +*RES +1 *22048:X *3062:18 47.6146 +2 *3062:18 *22061:A 46.1951 +*END + +*D_NET *3063 0.0419826 +*CONN +*I *22054:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22747:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22436:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22049:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22054:A1 0.00390932 +2 *22747:A1 0 +3 *22436:A1 8.26606e-05 +4 *22049:Y 0.000574046 +5 *3063:45 0.0046824 +6 *3063:30 0.00216649 +7 *3063:29 0.00249551 +8 *3063:16 0.00212753 +9 *3063:13 0.00151681 +10 *22054:A1 *21630:B1 6.03122e-05 +11 *22054:A1 *22324:A2 0.000806355 +12 *22054:A1 *22761:B 0.000140018 +13 *22054:A1 *3166:36 0.000214339 +14 *22054:A1 *3185:79 1.92564e-05 +15 *22054:A1 *3498:112 1.02986e-05 +16 *22054:A1 *3509:175 0.00142886 +17 *22054:A1 *3546:26 9.79259e-05 +18 *22436:A1 *3385:19 0.000340742 +19 *3063:13 *20912:A1 6.27718e-05 +20 *3063:13 *4918:16 6.40849e-05 +21 *3063:13 *4981:9 1.65872e-05 +22 *3063:13 *5870:425 0.000202719 +23 *3063:29 *4854:6 0.000385005 +24 *3063:29 *4854:16 8.51012e-06 +25 *3063:30 *22480:A2 9.34919e-05 +26 *3063:30 *22480:B2 3.88358e-05 +27 *3063:30 *22787:C1 0.000106645 +28 *3063:30 *3722:29 0.000143988 +29 *3063:45 *22747:A2 4.71315e-05 +30 *3063:45 *22805:B1 7.09666e-06 +31 *3063:45 *3498:112 0.000221881 +32 *19787:B *3063:29 0.000127199 +33 *19980:B1 *3063:29 0.000111724 +34 *19990:A1 *3063:16 0.000147403 +35 *20912:B2 *3063:13 6.40849e-05 +36 *21279:B1 *22054:A1 0.000752161 +37 *21411:A2 *3063:16 0.000143713 +38 *21411:A2 *3063:29 0.000165199 +39 *21537:B2 *22054:A1 3.17436e-05 +40 *21549:B1 *22054:A1 6.03122e-05 +41 *21598:A1 *3063:30 6.14756e-06 +42 *21665:B2 *3063:29 0.000116268 +43 *21702:B1 *3063:30 0.000154097 +44 *21737:A1 *3063:13 1.65872e-05 +45 *21744:A *3063:29 0.000294031 +46 *21744:A *3063:30 0.000285056 +47 *21745:B *3063:30 5.94811e-05 +48 *21909:A1 *22054:A1 0.00215342 +49 *21921:B2 *3063:45 1.5714e-05 +50 *21921:C1 *3063:45 0.000441138 +51 *21968:A2 *3063:29 0.00019178 +52 *21968:B2 *3063:29 0.00030195 +53 *22005:C1 *22054:A1 0.000771119 +54 *22728:B1 *3063:29 0.000109235 +55 *22786:A1 *3063:30 5.88045e-05 +56 *22787:A1 *3063:30 0.000139973 +57 *1443:49 *3063:29 4.96191e-05 +58 *1488:8 *3063:13 0.000247443 +59 *1492:16 *3063:16 7.28578e-05 +60 *1492:112 *3063:16 5.18369e-05 +61 *1576:59 *22054:A1 1.18189e-05 +62 *1584:49 *22054:A1 0.00101826 +63 *1651:59 *22436:A1 0.000340742 +64 *1717:38 *3063:30 7.48744e-05 +65 *1740:36 *3063:16 3.92279e-05 +66 *1740:38 *3063:16 4.37588e-05 +67 *1771:31 *3063:30 0.000810748 +68 *1771:31 *3063:45 0.000606066 +69 *1771:47 *3063:45 2.08353e-05 +70 *1790:19 *3063:29 0.000116391 +71 *2270:8 *3063:16 1.05982e-05 +72 *2338:41 *3063:29 3.62802e-05 +73 *2338:72 *22054:A1 0.00402926 +74 *2450:14 *3063:16 6.24914e-06 +75 *2450:29 *3063:16 0.00107528 +76 *2539:35 *22054:A1 0.000740912 +77 *2586:31 *3063:16 8.36586e-06 +78 *2586:59 *3063:29 7.14746e-05 +79 *2590:36 *22054:A1 3.82577e-05 +80 *2602:32 *22054:A1 6.69933e-05 +81 *2727:14 *3063:30 9.39556e-05 +82 *2729:121 *3063:30 2.26985e-05 +83 *2751:8 *3063:30 0.000333021 +84 *2751:8 *3063:45 0.000190838 +85 *2757:8 *3063:16 0.0018778 +86 *2757:8 *3063:29 0.000373265 +87 *2763:20 *3063:29 4.80499e-06 +88 *2800:38 *3063:30 0.00027829 +89 *2805:22 *3063:30 1.91246e-05 +90 *2923:14 *22054:A1 0.000147253 +91 *2923:14 *3063:45 0.000140781 +92 *2934:22 *3063:45 2.02035e-05 +93 *3015:16 *22054:A1 0.00010242 +*RES +1 *22049:Y *3063:13 32.2679 +2 *3063:13 *3063:16 38.0689 +3 *3063:16 *3063:29 38.3969 +4 *3063:29 *3063:30 36.9208 +5 *3063:30 *22436:A1 17.8002 +6 *3063:30 *3063:45 31.5275 +7 *3063:45 *22747:A1 13.7491 +8 *3063:45 *22054:A1 48.106 +*END + +*D_NET *3064 0.036691 +*CONN +*I *22611:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22054:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22255:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22050:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22611:A1 4.17106e-05 +2 *22054:B1 0.000462985 +3 *22255:A1 0.00109427 +4 *22050:Y 0.00195213 +5 *3064:44 0.00222799 +6 *3064:35 0.00269596 +7 *3064:23 0.00177304 +8 *3064:20 0.00275943 +9 *3064:13 0.00407949 +10 *22054:B1 *22325:C1 0.000451228 +11 *22255:A1 *22255:C1 6.08467e-05 +12 *22255:A1 *22601:C1 0.00011393 +13 *22255:A1 *22623:B2 6.46192e-05 +14 *22255:A1 *22624:B2 0.0003036 +15 *22255:A1 *22624:C1 2.52776e-05 +16 *22255:A1 *3142:154 0.000180005 +17 *22255:A1 *3185:116 5.01835e-05 +18 *22255:A1 *3491:226 2.52866e-05 +19 *22255:A1 *3527:54 5.88009e-05 +20 *22255:A1 *3529:39 2.35827e-05 +21 *22255:A1 *5456:11 0.000158451 +22 *22611:A1 *22611:A2 2.79645e-05 +23 *22611:A1 *22615:B2 7.9371e-05 +24 *22611:A1 *22615:C1 0.000107496 +25 *22611:A1 *3217:28 1.5714e-05 +26 *3064:13 *21283:A1 0.00185143 +27 *3064:13 *21415:A 4.97617e-05 +28 *3064:13 *22359:A 9.29875e-05 +29 *3064:13 *22718:B2 5.83513e-05 +30 *3064:13 *24308:CLK 0.000154145 +31 *3064:13 *3120:109 1.58126e-05 +32 *3064:13 *3153:137 3.17436e-05 +33 *3064:13 *3190:189 0 +34 *3064:13 *3537:224 0.000320518 +35 *3064:20 *3146:157 0.000259794 +36 *3064:20 *3507:227 0.000133006 +37 *3064:20 *3509:259 0.000165437 +38 *3064:20 *3519:260 0.00012682 +39 *3064:20 *3539:198 0.00216145 +40 *3064:23 *22611:A2 3.18935e-05 +41 *3064:23 *3511:192 0.00109191 +42 *3064:23 *3537:215 0.000430405 +43 *3064:35 *21630:B1 0.000209297 +44 *3064:35 *22263:B2 0.0011223 +45 *3064:35 *22325:A2 0.000209297 +46 *3064:35 *3511:192 0.000381966 +47 *3064:44 *22270:A2 0.00114948 +48 *3064:44 *22271:B 0.000384138 +49 *3064:44 *3217:25 0.000483076 +50 *3064:44 *3232:25 7.17274e-05 +51 *3064:44 *3252:17 6.84074e-06 +52 *3064:44 *3476:154 0.000173056 +53 *3064:44 *3493:73 0.000578294 +54 *3064:44 *3564:28 1.11285e-05 +55 *21235:B2 *3064:35 0.000113478 +56 *21641:A1 *22255:A1 6.36477e-05 +57 *21759:A2 *3064:35 2.10863e-06 +58 *21876:C *3064:44 0.000152743 +59 *22018:B *22054:B1 3.83492e-06 +60 *22018:B *3064:44 2.48087e-05 +61 *22018:C *22054:B1 3.29488e-05 +62 *22018:C *3064:44 0.000151477 +63 *22041:A1 *3064:44 9.92046e-06 +64 *22054:B2 *22054:B1 0.00012643 +65 *22210:A1 *3064:35 0.000139243 +66 *22324:A1 *3064:44 5.39635e-06 +67 *22325:B1 *3064:44 9.84424e-06 +68 *22351:B1 *3064:13 6.26584e-07 +69 *22352:B1 *3064:13 9.51779e-05 +70 *22355:A *3064:13 8.94611e-05 +71 *22619:B1 *3064:23 0.000113987 +72 *22619:B1 *3064:35 5.05421e-05 +73 *22624:B1 *22255:A1 0.000133947 +74 *22687:A1 *3064:13 3.41252e-06 +75 *1584:69 *3064:44 3.55968e-05 +76 *1620:60 *3064:44 4.17837e-05 +77 *1647:46 *3064:35 0.000204662 +78 *1790:100 *3064:23 9.52484e-06 +79 *2155:13 *3064:13 0.000493634 +80 *2298:30 *3064:20 0.000188053 +81 *2355:17 *3064:13 0.000470435 +82 *2454:18 *3064:13 3.04002e-05 +83 *2460:51 *3064:13 3.21156e-06 +84 *2464:51 *3064:20 1.88579e-05 +85 *2475:18 *3064:13 0.000442558 +86 *2527:24 *22255:A1 6.46041e-05 +87 *2527:24 *3064:44 0.000106696 +88 *2551:55 *22054:B1 0.000110297 +89 *2794:58 *3064:23 4.92599e-06 +90 *2827:61 *22255:A1 0.000156946 +91 *2880:51 *3064:44 8.45896e-06 +92 *2887:66 *22255:A1 0.000436095 +93 *2887:66 *3064:44 3.36602e-05 +94 *2892:21 *3064:44 0.000170532 +95 *2996:72 *3064:35 0.00132297 +96 *3025:11 *3064:44 0.000347594 +97 *3044:33 *3064:20 7.70895e-05 +*RES +1 *22050:Y *3064:13 46.8495 +2 *3064:13 *3064:20 48.1797 +3 *3064:20 *3064:23 5.10145 +4 *3064:23 *3064:35 8.63353 +5 *3064:35 *3064:44 46.6005 +6 *3064:44 *22255:A1 49.805 +7 *3064:35 *22054:B1 25.9968 +8 *3064:23 *22611:A1 18.7745 +*END + +*D_NET *3065 0.0215327 +*CONN +*I *22443:A I *D sky130_fd_sc_hd__or2_1 +*I *22759:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22053:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22051:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22443:A 0.000320933 +2 *22759:B1 3.84631e-05 +3 *22053:A1 0.00025796 +4 *22051:Y 9.18022e-05 +5 *3065:30 0.000595485 +6 *3065:27 0.00200198 +7 *3065:19 0.00203784 +8 *3065:8 0.00299468 +9 *3065:7 0.00243063 +10 *22053:A1 *22053:B1 0.000110297 +11 *22053:A1 *3537:103 0.00015587 +12 *22053:A1 *3537:110 1.86178e-05 +13 *22053:A1 *3539:109 0.000126497 +14 *22053:A1 *3539:129 6.70195e-05 +15 *22443:A *22443:B 0.000110297 +16 *22443:A *3543:171 0.00010559 +17 *22759:B1 *22759:B2 2.16355e-05 +18 *22759:B1 *22759:C1 4.31603e-06 +19 *3065:8 *21557:A 4.12833e-05 +20 *3065:8 *24473:SET_B 0.000272805 +21 *3065:8 *3164:121 0.000614591 +22 *3065:8 *5866:103 3.48089e-05 +23 *3065:8 *5866:167 7.77141e-05 +24 *3065:19 *3175:125 8.39223e-05 +25 *3065:19 *4932:31 0.000107496 +26 *3065:27 *20865:A1 0.000161117 +27 *3065:27 *22044:A 0.000216545 +28 *3065:27 *22776:A2 6.08467e-05 +29 *3065:27 *3164:113 0.00073094 +30 *3065:27 *3543:171 1.30449e-05 +31 *3065:27 *3543:176 2.29454e-05 +32 *3065:30 *3155:127 3.16792e-05 +33 *3065:30 *3537:110 0.0004087 +34 *3065:30 *3539:129 8.88218e-05 +35 *20406:A *3065:8 0.000122098 +36 *20749:B *3065:8 0.000118485 +37 *21944:B1 *22053:A1 5.17577e-05 +38 *21944:B2 *22053:A1 0.000238033 +39 *22758:A1 *22759:B1 6.87791e-05 +40 *22776:A1 *22443:A 7.57974e-06 +41 *22776:A1 *3065:27 2.16355e-05 +42 *22776:A1 *3065:30 0.000231288 +43 *22776:B1 *3065:27 0.000347858 +44 *24357:D *3065:19 0.000132046 +45 *24453:D *3065:8 4.26859e-05 +46 *24472:D *3065:8 0.00010182 +47 *1547:43 *3065:8 0.000582422 +48 *1547:49 *3065:8 0.000353774 +49 *1566:68 *3065:19 0.000594089 +50 *1585:8 *3065:8 0 +51 *1598:110 *22053:A1 2.20362e-05 +52 *1729:35 *3065:19 3.79253e-05 +53 *2056:8 *3065:8 0.00105472 +54 *2288:14 *3065:8 0.000107245 +55 *2468:34 *3065:19 0.000109806 +56 *2592:6 *3065:19 0.000130433 +57 *2603:104 *22443:A 6.3314e-05 +58 *2604:18 *3065:19 1.19351e-05 +59 *2604:18 *3065:27 0.000934319 +60 *2614:18 *3065:19 5.05252e-05 +61 *2614:18 *3065:27 0.00128121 +62 *2614:28 *3065:27 1.25395e-05 +63 *2660:10 *3065:8 0 +64 *2771:15 *22443:A 0.000124716 +65 *2807:19 *3065:27 2.04164e-05 +66 *2889:10 *3065:8 0 +67 *2889:20 *3065:8 7.06183e-05 +68 *3027:27 *3065:27 2.94176e-05 +*RES +1 *22051:Y *3065:7 15.0271 +2 *3065:7 *3065:8 74.5011 +3 *3065:8 *3065:19 35.2664 +4 *3065:19 *3065:27 49.3563 +5 *3065:27 *3065:30 13.3913 +6 *3065:30 *22053:A1 22.1425 +7 *3065:30 *22759:B1 15.0513 +8 *3065:27 *22443:A 17.9299 +*END + +*D_NET *3066 0.0351447 +*CONN +*I *22053:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22753:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22433:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22052:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22053:B1 0.00193723 +2 *22753:A1 0 +3 *22433:B1 0.000934964 +4 *22052:Y 0.00040055 +5 *3066:26 0.00590391 +6 *3066:11 0.00730672 +7 *22053:B1 *3134:107 0.000515787 +8 *22053:B1 *3513:143 6.84755e-05 +9 *22053:B1 *3537:103 1.91246e-05 +10 *22053:B1 *3539:109 2.02035e-05 +11 *22433:B1 *22411:A2 3.12828e-05 +12 *22433:B1 *22416:B 5.481e-05 +13 *22433:B1 *22429:C1 1.45101e-05 +14 *22433:B1 *22433:B2 0.000313311 +15 *22433:B1 *22735:A2 0.000267489 +16 *22433:B1 *3177:208 0.000166568 +17 *22433:B1 *3382:8 0.0009504 +18 *3066:11 *5457:13 6.97609e-06 +19 *3066:11 *5858:73 0.000127196 +20 *3066:26 *22735:A2 1.98263e-05 +21 *3066:26 *3146:65 5.90231e-05 +22 *3066:26 *3175:71 0.000169181 +23 *3066:26 *3382:8 0.000733648 +24 *3066:26 *3507:78 0.000705507 +25 *3066:26 *3533:217 0.000772422 +26 *3066:26 *5455:12 0.000765738 +27 *3066:26 *5593:46 1.55691e-05 +28 *3066:26 *5851:70 0.000716025 +29 *20021:A1 *3066:26 0.000212407 +30 *21536:B1 *22053:B1 0 +31 *21825:A1 *3066:26 0.000871072 +32 *22016:A1 *22053:B1 0.000445575 +33 *22047:B1 *22053:B1 0 +34 *22047:B1 *3066:11 0 +35 *22053:A1 *22053:B1 0.000110297 +36 *22411:A1 *22433:B1 0.000114222 +37 *22757:A1 *22053:B1 3.65053e-05 +38 *22757:A1 *3066:26 0.00312629 +39 *22792:A1 *3066:26 4.45853e-05 +40 *1537:125 *3066:26 6.66052e-05 +41 *1537:171 *22053:B1 3.57218e-06 +42 *1566:55 *22053:B1 7.14698e-05 +43 *1569:71 *3066:26 0.000406497 +44 *1581:21 *22053:B1 3.79237e-05 +45 *1581:21 *3066:11 3.57218e-06 +46 *1587:121 *3066:26 3.48056e-05 +47 *1598:110 *22053:B1 5.52143e-05 +48 *1603:48 *3066:26 0.000921104 +49 *1733:45 *3066:11 1.9101e-05 +50 *1764:94 *3066:26 0.000373154 +51 *1776:15 *3066:26 9.13925e-05 +52 *1795:29 *22433:B1 0.000120211 +53 *2274:63 *3066:26 0.000763712 +54 *2543:28 *3066:26 0.000901535 +55 *2592:34 *3066:11 1.5714e-05 +56 *2604:28 *22053:B1 5.25863e-05 +57 *2619:71 *22433:B1 0.000123072 +58 *2672:40 *22053:B1 0.000107413 +59 *2704:72 *3066:26 0.0013633 +60 *2779:41 *22053:B1 6.42311e-06 +61 *2784:42 *3066:26 0.000730441 +62 *2860:20 *3066:26 0.000760931 +63 *3023:17 *22433:B1 7.58372e-05 +64 *3028:30 *22053:B1 8.16778e-05 +*RES +1 *22052:Y *3066:11 20.756 +2 *3066:11 *3066:26 37.6802 +3 *3066:26 *22433:B1 41.2494 +4 *3066:26 *22753:A1 13.7491 +5 *3066:11 *22053:B1 23.1728 +*END + +*D_NET *3067 0.0127122 +*CONN +*I *22054:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22053:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22054:C1 0.00176392 +2 *22053:X 0.00156485 +3 *3067:26 0.00332877 +4 *22054:C1 *3111:192 1.65872e-05 +5 *22054:C1 *3134:142 6.03122e-05 +6 *22054:C1 *3493:88 0.00146072 +7 *22054:C1 *3493:101 4.15661e-05 +8 *22054:C1 *3493:130 7.60356e-05 +9 *22054:C1 *3523:98 1.79239e-05 +10 *22054:C1 *5457:13 1.43499e-05 +11 *22054:C1 *5458:13 4.43994e-05 +12 *3067:26 *3523:127 5.48977e-05 +13 *19820:B2 *22054:C1 1.57664e-05 +14 *19831:A1 *3067:26 0.000229387 +15 *21944:A2 *3067:26 0.000589531 +16 *21944:B2 *3067:26 0.000531645 +17 *22047:A1 *3067:26 6.08467e-05 +18 *22047:A2 *3067:26 0.000108735 +19 *22047:B1 *3067:26 0.000107496 +20 *22048:C1 *3067:26 6.5874e-05 +21 *22054:B2 *22054:C1 8.42265e-05 +22 *1496:141 *22054:C1 3.95034e-05 +23 *1543:40 *22054:C1 1.91246e-05 +24 *1586:36 *3067:26 7.67208e-05 +25 *1588:136 *22054:C1 9.2259e-06 +26 *1596:65 *22054:C1 6.25753e-05 +27 *1602:45 *22054:C1 1.5714e-05 +28 *1618:18 *22054:C1 0 +29 *1618:18 *3067:26 2.17685e-05 +30 *1634:66 *22054:C1 2.05082e-05 +31 *1641:158 *22054:C1 1.5714e-05 +32 *1641:158 *3067:26 0.000187652 +33 *1647:35 *22054:C1 6.23927e-05 +34 *1708:95 *22054:C1 6.23101e-05 +35 *1725:144 *22054:C1 0.0014198 +36 *1771:83 *22054:C1 9.18679e-06 +37 *1771:83 *3067:26 1.91246e-05 +38 *2117:200 *22054:C1 0.000156946 +39 *2697:26 *22054:C1 0.000178113 +40 *2697:26 *3067:26 4.60375e-07 +41 *2799:8 *3067:26 7.67208e-05 +42 *2956:62 *3067:26 2.07365e-05 +*RES +1 *22053:X *3067:26 49.7312 +2 *3067:26 *22054:C1 38.0936 +*END + +*D_NET *3068 0.000593791 +*CONN +*I *22061:B I *D sky130_fd_sc_hd__and3_1 +*I *22054:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22061:B 0.000142945 +2 *22054:X 0.000142945 +3 *22061:B *3546:26 7.86847e-05 +4 *22608:B1 *22061:B 7.50722e-05 +5 *1584:95 *22061:B 0.000154145 +*RES +1 *22054:X *22061:B 30.7476 +*END + +*D_NET *3069 0.0333922 +*CONN +*I *22748:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22060:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22439:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22055:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22748:B1 0 +2 *22060:A1 0.000581931 +3 *22439:A1 8.91465e-05 +4 *22055:Y 0 +5 *3069:42 0.000825767 +6 *3069:37 0.00147579 +7 *3069:18 0.00346692 +8 *3069:14 0.00306371 +9 *3069:6 0.00283528 +10 *3069:5 0.00191739 +11 *22060:A1 *22060:C1 7.92757e-06 +12 *22060:A1 *22748:A2 2.74733e-05 +13 *3069:6 *20984:A1 0.000131625 +14 *3069:6 *21603:A 7.62739e-05 +15 *3069:6 *3529:155 1.01851e-05 +16 *3069:6 *4138:127 0.00380409 +17 *3069:6 *4374:48 0.000110477 +18 *3069:6 *4932:66 2.57674e-05 +19 *3069:6 *4932:156 5.16056e-05 +20 *3069:6 *4932:163 9.73022e-05 +21 *3069:6 *5851:646 1.69932e-05 +22 *3069:6 *5866:33 0.000127194 +23 *3069:6 *5866:45 0.000655304 +24 *3069:14 *24358:CLK 0.000473091 +25 *3069:18 *3398:17 0.000219836 +26 *3069:18 *3519:174 4.97444e-05 +27 *3069:42 *3688:22 2.16355e-05 +28 *21511:A1 *22060:A1 2.77625e-06 +29 *21511:A2 *22060:A1 0.000255409 +30 *21511:B2 *22060:A1 7.98606e-05 +31 *21512:C1 *22060:A1 0.000111708 +32 *21629:A1 *3069:42 4.97617e-05 +33 *21629:A2 *3069:42 0.000154145 +34 *22017:A2 *3069:37 1.41976e-05 +35 *22017:B1 *3069:37 1.03403e-05 +36 *22017:B2 *3069:37 7.92757e-06 +37 *22017:C1 *3069:37 0.000522476 +38 *22048:A2 *3069:37 5.5525e-06 +39 *22060:A2 *22060:A1 0.000154145 +40 *22060:B2 *22060:A1 5.48962e-05 +41 *22748:B2 *22060:A1 0.000111802 +42 *24280:D *3069:6 7.48876e-06 +43 *1550:82 *22439:A1 0.00010503 +44 *1550:82 *3069:18 0.000166112 +45 *1575:39 *3069:37 0.000340742 +46 *1575:81 *3069:37 0.000233246 +47 *1582:43 *3069:6 5.07542e-05 +48 *1598:91 *3069:18 0.000919923 +49 *1605:46 *22439:A1 3.37785e-05 +50 *1605:46 *3069:18 7.72394e-06 +51 *1619:44 *3069:6 1.2366e-05 +52 *1619:51 *3069:6 0.00150882 +53 *1624:23 *3069:6 0.00022793 +54 *1624:62 *3069:14 0.000164028 +55 *1643:28 *3069:37 0.000260661 +56 *1771:94 *3069:37 0.000152445 +57 *1809:142 *3069:6 0.000428376 +58 *1947:70 *3069:6 0.000102079 +59 *2167:33 *3069:6 0.00037218 +60 *2604:28 *3069:6 0.000107856 +61 *2608:26 *3069:6 0.000367142 +62 *2654:10 *3069:6 2.12995e-05 +63 *2661:30 *3069:37 0.000350253 +64 *2672:64 *3069:18 3.07561e-05 +65 *2771:12 *3069:18 0.00173293 +66 *2799:8 *3069:37 0.000337046 +67 *2847:8 *3069:37 3.27623e-05 +68 *2987:69 *22060:A1 5.9257e-05 +69 *2987:69 *3069:42 0 +70 *3026:44 *22060:A1 0.000800636 +71 *3026:44 *3069:42 0.000678064 +72 *3028:16 *3069:14 0.000507484 +73 *3028:20 *3069:14 0.00014866 +74 *3031:18 *22060:A1 0.000320144 +75 *3031:18 *3069:42 8.79579e-05 +76 *3059:11 *3069:14 0.000440512 +77 *3062:18 *3069:37 0.000578294 +*RES +1 *22055:Y *3069:5 13.7491 +2 *3069:5 *3069:6 86.5434 +3 *3069:6 *3069:14 36.6871 +4 *3069:14 *3069:18 41.8505 +5 *3069:18 *22439:A1 15.9964 +6 *3069:18 *3069:37 47.4965 +7 *3069:37 *3069:42 13.4219 +8 *3069:42 *22060:A1 30.083 +9 *3069:42 *22748:B1 9.24915 +*END + +*D_NET *3070 0.0289905 +*CONN +*I *22257:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22609:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22060:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22056:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22257:B1 0.000174824 +2 *22609:A1 0.000285262 +3 *22060:B1 0.000770546 +4 *22056:Y 0.000270492 +5 *3070:36 0.00231245 +6 *3070:32 0.00275255 +7 *3070:20 0.00262206 +8 *3070:8 0.00260106 +9 *3070:7 0.00157058 +10 *22060:B1 *22608:B2 0.000248875 +11 *22060:B1 *22615:C1 0.000296903 +12 *22060:B1 *3111:186 8.6297e-06 +13 *22060:B1 *3186:16 1.8121e-05 +14 *22257:B1 *22257:C1 0.000161243 +15 *22257:B1 *3173:33 0.000124683 +16 *22257:B1 *3179:251 7.27261e-05 +17 *22609:A1 *22611:B2 4.54683e-05 +18 *22609:A1 *22615:C1 0.000397499 +19 *22609:A1 *3190:144 4.85862e-05 +20 *22609:A1 *3507:165 2.99287e-05 +21 *3070:7 *20883:B1 0.000114594 +22 *3070:7 *22056:A 0.000184975 +23 *3070:7 *4986:11 1.5962e-05 +24 *3070:8 *20707:B1 0.000145818 +25 *3070:8 *24350:CLK 0.00010836 +26 *3070:8 *4892:86 0.000247231 +27 *3070:8 *5855:547 4.90673e-05 +28 *3070:8 *5859:28 0.000148144 +29 *3070:20 *20707:B1 0.000274772 +30 *3070:20 *22001:A 0.000130315 +31 *3070:20 *25375:A 0.000256835 +32 *3070:20 *4844:681 5.62528e-05 +33 *3070:20 *5320:10 2.74235e-05 +34 *3070:20 *5324:8 0.000160467 +35 *3070:20 *5327:10 0.000138988 +36 *3070:20 *5861:273 0.00011818 +37 *3070:32 *19886:A 0.000354956 +38 *3070:32 *22217:B2 3.82228e-05 +39 *3070:32 *3236:8 0.000679332 +40 *3070:32 *4844:681 8.74356e-06 +41 *3070:36 *22225:D 1.73636e-05 +42 *3070:36 *22597:B 8.42542e-05 +43 *3070:36 *22630:A2 6.22114e-05 +44 *3070:36 *3236:8 0.000619808 +45 *3070:36 *3533:119 3.22794e-05 +46 *3070:36 *3579:23 0.000373497 +47 *20443:B2 *3070:20 0.000173995 +48 *20884:A2 *3070:8 0.000196638 +49 *20884:B2 *3070:8 9.22013e-06 +50 *22023:B1 *3070:32 1.91246e-05 +51 *22060:A2 *22060:B1 0.000486495 +52 *22608:B1 *22060:B1 0.000651646 +53 *22629:B1 *3070:36 0.000106696 +54 *24429:D *3070:20 0 +55 *24545:D *3070:8 0.000320858 +56 *1594:85 *22060:B1 5.41227e-05 +57 *1627:160 *3070:32 0.000885304 +58 *1631:106 *3070:32 0.000749919 +59 *1635:131 *3070:32 0.000122333 +60 *1635:131 *3070:36 8.83196e-05 +61 *1646:11 *3070:20 2.00098e-05 +62 *1655:135 *22060:B1 0.000156394 +63 *1725:218 *3070:8 0 +64 *1789:58 *3070:20 0.000113968 +65 *1991:30 *3070:8 0.000343934 +66 *2042:10 *3070:20 9.99386e-06 +67 *2042:28 *3070:20 2.29142e-05 +68 *2136:41 *3070:8 0.000132847 +69 *2293:16 *3070:32 1.3706e-05 +70 *2503:9 *3070:7 1.19856e-05 +71 *2566:25 *3070:8 0.00125151 +72 *2589:38 *22257:B1 0.000213676 +73 *2589:46 *3070:36 0.00042625 +74 *2601:8 *3070:20 0 +75 *2601:23 *3070:20 4.46284e-06 +76 *2764:72 *3070:36 8.17288e-05 +77 *2764:82 *3070:36 4.42709e-05 +78 *2770:68 *3070:36 0.000474264 +79 *2822:8 *3070:32 2.30438e-05 +80 *2822:8 *3070:36 1.93398e-05 +81 *2822:12 *3070:36 0.000286609 +82 *2963:127 *3070:32 0.000235176 +83 *2984:8 *3070:8 8.41551e-05 +84 *2984:19 *3070:20 0.000916726 +85 *2985:8 *3070:8 0 +86 *2986:17 *3070:20 0 +87 *3015:14 *22060:B1 2.41278e-05 +88 *3047:14 *3070:8 0.000720481 +89 *3047:14 *3070:20 2.43932e-05 +90 *3047:16 *3070:20 8.9381e-05 +91 *3047:16 *3070:32 0.000119991 +*RES +1 *22056:Y *3070:7 18.3548 +2 *3070:7 *3070:8 49.3784 +3 *3070:8 *3070:20 49.6781 +4 *3070:20 *3070:32 49.7147 +5 *3070:32 *3070:36 40.3827 +6 *3070:36 *22060:B1 39.7724 +7 *3070:36 *22609:A1 24.0251 +8 *3070:32 *22257:B1 20.0427 +*END + +*D_NET *3071 0.0352445 +*CONN +*I *22430:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22059:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22746:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22057:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22430:A1 8.52778e-05 +2 *22059:A1 2.40738e-05 +3 *22746:A1 3.73941e-05 +4 *22057:Y 0.00312362 +5 *3071:67 0.001985 +6 *3071:57 0.00367339 +7 *3071:42 0.00337703 +8 *3071:30 0.00220453 +9 *3071:26 0.0036157 +10 *22059:A1 *22555:A2 5.41483e-05 +11 *22430:A1 *22430:B2 0.000107496 +12 *22430:A1 *3124:76 4.84017e-05 +13 *22430:A1 *3124:93 0.000107496 +14 *22430:A1 *3385:15 7.22263e-05 +15 *22746:A1 *22746:A2 0.000154145 +16 *22746:A1 *22746:B2 0.000154145 +17 *3071:26 *3151:64 7.08433e-05 +18 *3071:26 *3206:88 0.000186081 +19 *3071:30 *3117:74 7.09666e-06 +20 *3071:30 *3139:25 0.000402749 +21 *3071:30 *3168:7 0.000400291 +22 *3071:30 *3385:15 0.000261776 +23 *3071:42 *3124:47 0.000523253 +24 *3071:42 *5475:83 2.67173e-05 +25 *3071:57 *22335:A2 0.000147168 +26 *3071:57 *22336:C1 4.31485e-06 +27 *3071:57 *3208:250 0.000107063 +28 *3071:57 *3513:57 3.29488e-05 +29 *3071:57 *3517:36 4.90403e-05 +30 *3071:57 *3736:31 1.24272e-05 +31 *3071:57 *5455:12 7.12632e-06 +32 *3071:67 *24914:A 0.00011884 +33 *3071:67 *3072:20 0.000177764 +34 *3071:67 *3073:16 5.46889e-05 +35 *3071:67 *3148:226 4.12977e-05 +36 *3071:67 *3483:163 0.000149754 +37 *3071:67 *3509:151 0.000458593 +38 *3071:67 *3509:175 7.2784e-05 +39 *3071:67 *3527:70 3.29488e-05 +40 *3071:67 *3529:62 0.000154707 +41 *3071:67 *3613:10 6.61711e-06 +42 *19801:B2 *3071:67 4.4196e-06 +43 *19885:B2 *3071:67 0.000120121 +44 *20204:B2 *3071:26 4.42113e-05 +45 *21279:B1 *3071:67 0.00010546 +46 *21411:B1 *3071:26 0.000284068 +47 *21487:B1 *3071:67 0.000244476 +48 *21537:A2 *3071:57 0.000255566 +49 *21592:C *3071:57 6.64883e-06 +50 *21623:A1 *3071:57 1.66626e-05 +51 *21623:B1 *3071:57 1.84334e-05 +52 *21746:A *3071:67 1.17614e-05 +53 *21807:C *3071:67 0.000239787 +54 *21926:A1 *3071:26 4.30694e-05 +55 *21987:A1 *3071:42 0.000973207 +56 *21994:D *3071:42 0.000293349 +57 *22005:A1 *3071:57 0.000214166 +58 *22059:A2 *22059:A1 6.89706e-05 +59 *22059:B2 *22059:A1 4.31603e-06 +60 *22335:A1 *3071:57 2.54499e-05 +61 *22765:A1 *3071:30 1.02986e-05 +62 *24771:D *3071:26 3.21973e-05 +63 *324:27 *3071:67 5.4278e-05 +64 *541:28 *3071:42 0.000178742 +65 *547:21 *3071:26 0.000165508 +66 *1577:26 *3071:42 0.000212005 +67 *1592:10 *3071:67 0.000129383 +68 *1614:55 *3071:67 6.74182e-05 +69 *1637:42 *3071:26 2.61028e-05 +70 *1637:53 *3071:57 0.00110963 +71 *1637:69 *3071:57 3.35012e-05 +72 *1637:90 *3071:67 0.000171825 +73 *1645:49 *3071:26 0.000211332 +74 *1655:29 *3071:67 5.11436e-05 +75 *1663:15 *3071:42 0.000564484 +76 *1790:43 *3071:42 4.47494e-06 +77 *1800:249 *3071:30 7.09666e-06 +78 *1883:5 *3071:26 0.000253916 +79 *2244:36 *3071:57 3.91685e-05 +80 *2338:41 *3071:26 1.70059e-05 +81 *2471:14 *3071:26 4.24449e-05 +82 *2529:24 *3071:30 1.54705e-05 +83 *2531:91 *3071:42 6.91182e-06 +84 *2557:20 *3071:57 0.00018154 +85 *2613:109 *3071:57 0.000380412 +86 *2634:29 *3071:57 0 +87 *2641:51 *3071:42 8.91475e-05 +88 *2641:51 *3071:57 0.000308313 +89 *2667:93 *22430:A1 0 +90 *2667:93 *3071:30 0 +91 *2667:93 *3071:42 2.52921e-05 +92 *2667:93 *3071:57 7.38541e-05 +93 *2670:11 *3071:67 9.14918e-05 +94 *2675:63 *3071:26 0.00238252 +95 *2815:32 *3071:42 3.46962e-05 +96 *2825:57 *3071:67 1.56949e-05 +97 *2865:32 *3071:26 0.000208955 +98 *2935:13 *3071:26 6.74182e-05 +99 *2967:14 *3071:26 3.77568e-05 +100 *2973:27 *3071:26 4.12833e-05 +101 *2991:25 *3071:42 0.000494698 +102 *3008:18 *3071:30 6.4007e-06 +103 *3019:23 *3071:57 2.38104e-05 +104 *3019:23 *3071:67 0.000384807 +105 *3019:28 *3071:67 0.000515255 +106 *3023:42 *3071:57 1.77152e-05 +107 *3023:42 *3071:67 0 +108 *3054:18 *3071:42 0.00115402 +*RES +1 *22057:Y *3071:26 47.3466 +2 *3071:26 *3071:30 17.7893 +3 *3071:30 *3071:42 14.9851 +4 *3071:42 *22746:A1 15.5817 +5 *3071:42 *3071:57 46.1785 +6 *3071:57 *3071:67 49.9759 +7 *3071:67 *22059:A1 15.0513 +8 *3071:30 *22430:A1 16.6515 +*END + +*D_NET *3072 0.0313667 +*CONN +*I *22059:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22058:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22059:B1 0 +2 *22058:Y 0.00756839 +3 *3072:20 0.00756839 +4 *3072:20 *22058:A 1.61631e-05 +5 *3072:20 *22714:A2 0.000810593 +6 *3072:20 *22715:C1 0.000837211 +7 *3072:20 *3613:10 6.08112e-05 +8 *3072:20 *4814:64 0.00135086 +9 *3072:20 *5475:112 9.93974e-05 +10 *3072:20 *5898:201 0.000102995 +11 *20994:B2 *3072:20 2.90373e-05 +12 *21279:B1 *3072:20 2.21269e-06 +13 *21814:A2 *3072:20 0.000473264 +14 *22623:B1 *3072:20 0.000203712 +15 *25175:A *3072:20 4.424e-06 +16 *324:27 *3072:20 0.00674776 +17 *529:35 *3072:20 0 +18 *1627:49 *3072:20 5.84021e-05 +19 *1645:94 *3072:20 1.09595e-05 +20 *2093:98 *3072:20 0.00187833 +21 *2171:30 *3072:20 3.23075e-05 +22 *2274:95 *3072:20 4.11778e-05 +23 *2295:78 *3072:20 6.65802e-05 +24 *2384:73 *3072:20 4.31745e-06 +25 *2570:80 *3072:20 0 +26 *2827:49 *3072:20 0.000111938 +27 *2887:66 *3072:20 0.000401039 +28 *2908:29 *3072:20 0.00170909 +29 *3050:28 *3072:20 0.000999612 +30 *3071:67 *3072:20 0.000177764 +*RES +1 *22058:Y *3072:20 48.6867 +2 *3072:20 *22059:B1 9.24915 +*END + +*D_NET *3073 0.00783471 +*CONN +*I *22060:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22059:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22060:C1 0.000992401 +2 *22059:X 0.000599014 +3 *3073:16 0.00159141 +4 *22060:C1 *22325:C1 0.000151894 +5 *22060:C1 *3186:16 0.00135258 +6 *3073:16 *22223:A2 1.65872e-05 +7 *3073:16 *22555:A2 0.000154145 +8 *3073:16 *3186:16 8.72537e-06 +9 *3073:16 *3502:197 0.000110297 +10 *19790:A2 *22060:C1 6.29351e-05 +11 *21511:A2 *22060:C1 0.000285896 +12 *21807:C *3073:16 9.12416e-06 +13 *21808:D *3073:16 0.000111708 +14 *21874:A1 *22060:C1 0.000415632 +15 *22011:A2 *22060:C1 9.76797e-05 +16 *22060:A1 *22060:C1 7.92757e-06 +17 *22060:A2 *22060:C1 3.95516e-05 +18 *22060:B2 *22060:C1 0.000107496 +19 *1545:138 *22060:C1 7.12677e-05 +20 *1614:55 *3073:16 0.000274541 +21 *2551:55 *22060:C1 0.000264338 +22 *2822:12 *22060:C1 9.66789e-05 +23 *2822:12 *3073:16 0.000652582 +24 *2825:92 *3073:16 0.000281962 +25 *3019:28 *3073:16 1.76936e-05 +26 *3023:42 *3073:16 5.95249e-06 +27 *3071:67 *3073:16 5.46889e-05 +*RES +1 *22059:X *3073:16 41.0531 +2 *3073:16 *22060:C1 45.6778 +*END + +*D_NET *3074 0.000504898 +*CONN +*I *22061:C I *D sky130_fd_sc_hd__and3_1 +*I *22060:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22061:C 0.000163081 +2 *22060:X 0.000163081 +3 *22061:C *22062:C 6.22259e-05 +4 *22018:D *22061:C 5.42853e-05 +5 *2822:12 *22061:C 6.22259e-05 +*RES +1 *22060:X *22061:C 30.5909 +*END + +*D_NET *3075 0.00157448 +*CONN +*I *22062:C I *D sky130_fd_sc_hd__and3_2 +*I *22061:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *22062:C 0.000424475 +2 *22061:X 0.000424475 +3 *22062:C *3190:144 4.36956e-05 +4 *21511:A2 *22062:C 0.000158451 +5 *22061:C *22062:C 6.22259e-05 +6 *22062:A *22062:C 6.08467e-05 +7 *1564:134 *22062:C 0.000111802 +8 *1584:95 *22062:C 0.000114594 +9 *1614:75 *22062:C 0.000128854 +10 *2601:58 *22062:C 2.57465e-06 +11 *2822:12 *22062:C 2.40371e-05 +12 *3026:44 *22062:C 1.84457e-05 +*RES +1 *22061:X *22062:C 36.4597 +*END + +*D_NET *3076 0.0674253 +*CONN +*I *22063:C I *D sky130_fd_sc_hd__nand3_4 +*I *22062:X O *D sky130_fd_sc_hd__and3_2 +*CAP +1 *22063:C 0 +2 *22062:X 0.00502846 +3 *3076:67 0.00206525 +4 *3076:58 0.00468058 +5 *3076:46 0.00347258 +6 *3076:42 0.00138927 +7 *3076:32 0.00251841 +8 *3076:30 0.00201125 +9 *3076:28 0.00211988 +10 *3076:26 0.00262773 +11 *3076:23 0.00556117 +12 *3076:23 *22292:C1 0.000112769 +13 *3076:23 *22396:B2 0.000209253 +14 *3076:23 *3343:19 0.000159964 +15 *3076:23 *3632:19 3.17436e-05 +16 *3076:23 *4813:110 0.0019758 +17 *3076:23 *4843:345 0.000689381 +18 *3076:26 *4843:345 0.000958867 +19 *3076:28 *19781:A 0.000147887 +20 *3076:28 *21032:A1 2.95537e-05 +21 *3076:28 *21032:B1 8.79582e-05 +22 *3076:28 *4908:169 0.000140621 +23 *3076:28 *4908:181 0.00017812 +24 *3076:32 *19890:A 0.000159766 +25 *3076:32 *5862:57 0.000192965 +26 *3076:32 *5862:66 4.05847e-05 +27 *3076:32 *5862:75 4.62323e-05 +28 *3076:32 *5862:146 4.75721e-06 +29 *3076:42 *19890:A 0 +30 *3076:42 *20172:A1 0.000150741 +31 *3076:42 *20172:B1 7.79856e-05 +32 *3076:46 *20171:A1 1.2693e-05 +33 *3076:46 *20171:B1 7.11521e-05 +34 *3076:46 *22027:A 1.68812e-05 +35 *3076:46 *5859:233 0.000279825 +36 *3076:58 *21370:A1_N 0.000287855 +37 *3076:58 *4844:303 0.000296186 +38 *3076:58 *5683:16 1.34264e-05 +39 *3076:58 *5794:45 6.17567e-05 +40 *3076:67 *19613:A1 6.50727e-05 +41 *3076:67 *19613:B1 3.99086e-06 +42 *3076:67 *5860:504 8.35425e-05 +43 *19613:B2 *3076:67 8.03036e-05 +44 *20856:B2 *3076:46 0.000133119 +45 *21472:A1 *3076:67 0.000107496 +46 *21658:B1 *3076:23 0.00029458 +47 *21864:A2 *3076:67 3.55126e-05 +48 *21864:B1 *3076:67 3.84031e-05 +49 *21864:B2 *3076:67 1.33562e-05 +50 *21864:C1 *3076:67 2.16355e-05 +51 *24242:D *3076:28 0.000113096 +52 *24490:D *3076:32 0.000122633 +53 *24784:D *3076:42 4.13746e-05 +54 *24900:D *3076:58 1.5714e-05 +55 *504:16 *3076:42 5.67796e-06 +56 *504:16 *3076:46 0.00227907 +57 *531:30 *3076:32 0 +58 *1419:239 *3076:23 0.000226357 +59 *1450:164 *3076:28 1.81789e-05 +60 *1545:54 *3076:32 0 +61 *1557:22 *3076:67 5.37817e-06 +62 *1631:57 *3076:23 0.000227401 +63 *1636:52 *3076:46 2.95757e-05 +64 *1636:68 *3076:58 0.00129855 +65 *1680:34 *3076:67 1.41976e-05 +66 *1680:52 *3076:67 0.00157596 +67 *1709:78 *3076:46 0.00139743 +68 *1864:22 *3076:42 0 +69 *1864:27 *3076:46 0 +70 *2085:50 *3076:58 1.69821e-05 +71 *2088:84 *3076:58 5.74949e-05 +72 *2288:24 *3076:23 0.00234632 +73 *2301:45 *3076:28 0 +74 *2304:14 *3076:30 8.96465e-06 +75 *2304:14 *3076:32 0.0016071 +76 *2304:19 *3076:28 0.00102939 +77 *2304:19 *3076:32 1.30557e-05 +78 *2311:13 *3076:26 0.000154872 +79 *2311:13 *3076:28 2.86152e-05 +80 *2313:11 *3076:32 1.7464e-05 +81 *2368:19 *3076:58 6.23101e-05 +82 *2379:17 *3076:58 3.64246e-05 +83 *2404:60 *3076:23 0.000330613 +84 *2423:93 *3076:23 0.000129928 +85 *2424:8 *3076:58 1.9101e-05 +86 *2428:96 *3076:58 0.000707436 +87 *2446:145 *3076:23 2.7162e-05 +88 *2468:79 *3076:28 1.41194e-05 +89 *2479:52 *3076:23 0.000159964 +90 *2530:90 *3076:23 0.00132022 +91 *2541:24 *3076:23 0.000117233 +92 *2642:14 *3076:28 2.85189e-05 +93 *2642:21 *3076:28 8.71567e-05 +94 *2815:14 *3076:67 8.6953e-05 +95 *2880:20 *3076:58 0.00246145 +96 *2880:20 *3076:67 1.88152e-05 +97 *2882:74 *3076:23 0.000998037 +98 *2896:72 *3076:23 0.00056732 +99 *2906:56 *3076:28 0 +100 *2924:15 *3076:23 0.00503688 +101 *2949:71 *3076:23 0.000324674 +102 *2950:92 *3076:28 0 +103 *2950:92 *3076:32 0 +104 *2950:96 *3076:32 0 +105 *3041:10 *3076:42 0.000264529 +106 *3041:31 *3076:32 0 +107 *3041:42 *3076:28 0.000345936 +108 *3041:110 *3076:26 3.45581e-05 +109 *3041:110 *3076:28 0.00172174 +110 *3041:118 *3076:26 0.00108709 +*RES +1 *22062:X *3076:23 40.3301 +2 *3076:23 *3076:26 22.8511 +3 *3076:26 *3076:28 58.5139 +4 *3076:28 *3076:30 0.732798 +5 *3076:30 *3076:32 51.0394 +6 *3076:32 *3076:42 14.546 +7 *3076:42 *3076:46 45.9886 +8 *3076:46 *3076:58 40.7986 +9 *3076:58 *3076:67 44.4699 +10 *3076:67 *22063:C 9.24915 +*END + +*D_NET *3077 0.00475029 +*CONN +*I *22106:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *22067:B I *D sky130_fd_sc_hd__or2_1 +*I *22066:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22106:B1 0.000101736 +2 *22067:B 0.00109522 +3 *22066:Y 0.000107441 +4 *3077:8 0.0013044 +5 *22067:B *21041:A 6.50727e-05 +6 *22067:B *21054:A 7.39264e-05 +7 *22067:B *21057:A1 4.58003e-05 +8 *22067:B *21263:A 7.72175e-05 +9 *22067:B *24180:CLK 0.000207266 +10 *22067:B *3089:74 0.00025442 +11 *22106:B1 *3089:74 0.000248298 +12 *22106:B1 *3089:86 0.000113968 +13 *3077:8 *22104:B1 6.23715e-06 +14 *3077:8 *6015:6 1.80134e-05 +15 *21054:B *22067:B 0.000158357 +16 *21055:B *22067:B 0.000158357 +17 *21056:B *22067:B 0.000129297 +18 *22106:A1 *22067:B 0.00010761 +19 *22106:A1 *22106:B1 5.01883e-05 +20 *23929:A *3077:8 0 +21 *24180:D *22067:B 3.14978e-05 +22 *2182:10 *22067:B 6.50586e-05 +23 *2194:15 *22067:B 1.96472e-05 +24 *2195:5 *22067:B 9.97706e-05 +25 *2327:5 *22067:B 0.000211478 +*RES +1 *22066:Y *3077:8 20.4964 +2 *3077:8 *22067:B 37.4134 +3 *3077:8 *22106:B1 13.3002 +*END + +*D_NET *3078 0.0117114 +*CONN +*I *22068:A I *D sky130_fd_sc_hd__buf_2 +*I *22067:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22068:A 0 +2 *22067:X 0.00138325 +3 *3078:19 0.00253731 +4 *3078:14 0.00392055 +5 *3078:14 *23492:C 1.5714e-05 +6 *3078:14 *23497:B 2.71376e-05 +7 *3078:14 *23497:D 0.000431403 +8 *3078:14 *23795:B 1.9101e-05 +9 *3078:14 *4301:50 0 +10 *3078:14 *4396:35 2.62983e-05 +11 *3078:14 *5923:23 0 +12 *3078:19 *23457:A_N 0.000406808 +13 *3078:19 *23457:D 9.81123e-06 +14 *3078:19 *23492:D 0.000132235 +15 *3078:19 *23698:A1 5.99691e-05 +16 *3078:19 *23699:A 7.92757e-06 +17 *3078:19 *23699:B 0.000307037 +18 *3078:19 *3079:5 3.16131e-05 +19 *3078:19 *3789:114 0.000335809 +20 *3078:19 *3866:30 0.00115611 +21 *3078:19 *4225:11 4.45999e-05 +22 *3078:19 *4225:20 7.54269e-06 +23 *3078:19 *4227:18 0.000264666 +24 *3078:19 *5833:9 0.000526426 +25 *657:228 *3078:14 0 +26 *1829:122 *3078:14 6.01329e-05 +*RES +1 *22067:X *3078:14 30.2194 +2 *3078:14 *3078:19 45.6945 +3 *3078:19 *22068:A 9.24915 +*END + +*D_NET *3079 0.00925889 +*CONN +*I *22070:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22069:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22074:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22075:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22076:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22077:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22073:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *22071:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22072:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22068:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *22070:A2 0 +2 *22069:A 6.95219e-05 +3 *22074:A2 0.000147097 +4 *22075:A2 0.000265969 +5 *22076:A2 0.000283767 +6 *22077:A2 0 +7 *22073:A2 0.000152633 +8 *22071:A2 0.000157874 +9 *22072:A2 0 +10 *22068:X 0.000251461 +11 *3079:51 0.000318067 +12 *3079:47 0.000726605 +13 *3079:41 0.00069668 +14 *3079:24 0.0005954 +15 *3079:23 0.000389043 +16 *3079:9 0.000203024 +17 *3079:7 0.000441148 +18 *3079:5 0.000647459 +19 *22069:A *22072:A1 1.63986e-05 +20 *22069:A *4880:60 8.01987e-05 +21 *22069:A *5929:10 0 +22 *22071:A2 *22071:B2 0.000264586 +23 *22071:A2 *3080:5 0.000102567 +24 *22073:A2 *22073:A1 6.92705e-05 +25 *22073:A2 *22073:B2 1.92172e-05 +26 *22073:A2 *24148:CLK 1.09551e-05 +27 *22073:A2 *4880:45 4.13166e-05 +28 *22073:A2 *4880:60 1.37189e-05 +29 *22073:A2 *5827:10 7.26067e-05 +30 *22074:A2 *22073:B2 0.000213739 +31 *22074:A2 *22074:A1 1.58551e-05 +32 *22075:A2 *22075:A1 4.31703e-05 +33 *22075:A2 *22075:B2 9.81123e-06 +34 *22075:A2 *22077:B1 1.03403e-05 +35 *22075:A2 *3080:33 7.76341e-05 +36 *22076:A2 *22075:B2 0 +37 *22076:A2 *22076:B2 6.69055e-05 +38 *22076:A2 *3080:33 0.000225608 +39 *22076:A2 *5452:57 0.000485128 +40 *22076:A2 *5452:65 9.73139e-06 +41 *22076:A2 *5825:13 0.000158371 +42 *3079:5 *5458:122 2.61028e-05 +43 *3079:5 *5833:9 2.2662e-05 +44 *3079:7 *22070:B2 4.97617e-05 +45 *3079:7 *3080:5 7.13972e-05 +46 *3079:7 *3080:21 1.65872e-05 +47 *3079:7 *5833:9 7.98171e-06 +48 *3079:9 *3080:5 1.88014e-05 +49 *3079:24 *4880:60 0.000288665 +50 *3079:24 *5835:16 1.2819e-05 +51 *3079:24 *5926:19 0.000112923 +52 *3079:24 *5929:10 0.00021186 +53 *3079:41 *5827:10 2.32176e-05 +54 *3079:41 *5835:16 9.2346e-06 +55 *3079:41 *5929:10 0 +56 *3079:47 *3087:8 0.000646708 +57 *3079:47 *5827:10 1.36691e-05 +58 *3079:47 *5835:16 3.25394e-05 +59 *3079:47 *5926:19 0.000106048 +60 *3079:47 *5929:10 2.66305e-06 +61 *3079:51 *3087:8 1.16596e-05 +62 *3079:51 *5929:10 1.82696e-05 +63 *22077:A1 *3079:51 1.9101e-05 +64 *24148:D *3079:41 0.000151726 +65 *3078:19 *3079:5 3.16131e-05 +*RES +1 *22068:X *3079:5 13.3002 +2 *3079:5 *3079:7 5.71483 +3 *3079:7 *3079:9 0.723396 +4 *3079:9 *22072:A2 9.24915 +5 *3079:9 *22071:A2 13.8789 +6 *3079:7 *3079:23 4.5 +7 *3079:23 *3079:24 12.2133 +8 *3079:24 *22073:A2 18.5718 +9 *3079:24 *3079:41 3.81055 +10 *3079:41 *3079:47 13.9476 +11 *3079:47 *3079:51 5.50149 +12 *3079:51 *22077:A2 9.24915 +13 *3079:51 *22076:A2 19.8831 +14 *3079:47 *22075:A2 17.8002 +15 *3079:41 *22074:A2 17.2456 +16 *3079:23 *22069:A 15.8987 +17 *3079:5 *22070:A2 9.24915 +*END + +*D_NET *3080 0.00930336 +*CONN +*I *22070:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22073:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *22074:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22076:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22077:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22075:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22072:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22071:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22069:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22070:B2 7.86773e-05 +2 *22073:B2 0.000258627 +3 *22074:B2 0 +4 *22076:B2 0.000228591 +5 *22077:B2 0 +6 *22075:B2 0.000175092 +7 *22072:B2 1.03162e-05 +8 *22071:B2 9.39799e-05 +9 *22069:Y 0 +10 *3080:61 0.000258627 +11 *3080:33 0.000340865 +12 *3080:28 0.00071046 +13 *3080:24 0.000624157 +14 *3080:21 0.000408849 +15 *3080:5 0.000209084 +16 *3080:4 0.000126897 +17 *22070:B2 *22070:B1 1.09551e-05 +18 *22070:B2 *5833:9 1.65872e-05 +19 *22070:B2 *5833:13 3.82228e-05 +20 *22071:B2 *22071:A1 0.000174815 +21 *22071:B2 *22072:B1 0.000118166 +22 *22071:B2 *5833:13 4.73598e-05 +23 *22073:B2 *22073:A1 0.000169041 +24 *22073:B2 *22074:A1 2.2234e-05 +25 *22073:B2 *22074:B1 0.000313385 +26 *22073:B2 *4880:45 0.000217937 +27 *22073:B2 *5827:20 0.000111708 +28 *22073:B2 *5831:14 5.7995e-05 +29 *22073:B2 *5929:10 0.000196653 +30 *22075:B2 *22075:A1 0.000199 +31 *22075:B2 *22075:B1 0.000101025 +32 *22075:B2 *22077:B1 6.08467e-05 +33 *22076:B2 *3779:24 2.53145e-06 +34 *22076:B2 *5825:13 5.481e-05 +35 *3080:5 *5833:13 0.000141455 +36 *3080:21 *5833:13 2.16355e-05 +37 *3080:24 *25352:A 0.0003637 +38 *3080:24 *5835:16 0.000264983 +39 *3080:24 *5836:27 0.000972624 +40 *3080:24 *5926:19 0.00014074 +41 *3080:28 *3087:8 0.000144747 +42 *3080:28 *5834:14 0.000154169 +43 *3080:28 *5835:16 9.28527e-05 +44 *3080:28 *5836:27 0.000367283 +45 *3080:33 *22075:A1 0 +46 *22071:A2 *22071:B2 0.000264586 +47 *22071:A2 *3080:5 0.000102567 +48 *22073:A2 *22073:B2 1.92172e-05 +49 *22074:A2 *22073:B2 0.000213739 +50 *22075:A2 *22075:B2 9.81123e-06 +51 *22075:A2 *3080:33 7.76341e-05 +52 *22076:A2 *22075:B2 0 +53 *22076:A2 *22076:B2 6.69055e-05 +54 *22076:A2 *3080:33 0.000225608 +55 *24146:D *22076:B2 6.50586e-05 +56 *3079:7 *22070:B2 4.97617e-05 +57 *3079:7 *3080:5 7.13972e-05 +58 *3079:7 *3080:21 1.65872e-05 +59 *3079:9 *3080:5 1.88014e-05 +*RES +1 *22069:Y *3080:4 9.24915 +2 *3080:4 *3080:5 4.05102 +3 *3080:5 *22071:B2 13.8789 +4 *3080:5 *22072:B2 9.82786 +5 *3080:4 *3080:21 0.723396 +6 *3080:21 *3080:24 21.2811 +7 *3080:24 *3080:28 17.1286 +8 *3080:28 *3080:33 5.20845 +9 *3080:33 *22075:B2 14.7952 +10 *3080:33 *22077:B2 9.24915 +11 *3080:28 *22076:B2 14.8434 +12 *3080:24 *3080:61 4.5 +13 *3080:61 *22074:B2 9.24915 +14 *3080:61 *22073:B2 29.8683 +15 *3080:21 *22070:B2 11.6605 +*END + +*D_NET *3081 0.0104527 +*CONN +*I *22105:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *22079:B I *D sky130_fd_sc_hd__or2_2 +*I *22078:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22105:B1 0 +2 *22079:B 0 +3 *22078:Y 9.60262e-05 +4 *3081:38 0.000980169 +5 *3081:25 0.0014365 +6 *3081:8 0.00251269 +7 *3081:8 *3082:8 9.24241e-05 +8 *3081:8 *3085:8 0.000107496 +9 *3081:8 *4146:26 9.24241e-05 +10 *3081:25 *22091:A 0.000212417 +11 *3081:25 *3082:7 0.00192139 +12 *3081:25 *3086:14 5.22654e-06 +13 *3081:25 *4137:83 0 +14 *3081:38 *3082:8 1.83423e-05 +15 *3081:38 *3089:86 0.000546586 +16 *3081:38 *3089:100 0.000165521 +17 *3081:38 *4003:34 0 +18 *3081:38 *4003:36 0 +19 *3081:38 *4146:26 0.000239883 +20 *3081:38 *4845:11 8.57967e-05 +21 *3081:38 *5671:280 8.39222e-06 +22 *3081:38 *5671:291 0.000181028 +23 *3081:38 *6012:12 0.000737314 +24 *22091:B *3081:25 0.000409739 +25 *24176:D *3081:25 0.000183448 +26 *24184:D *3081:38 0.000122026 +27 *24185:D *3081:38 0.000122098 +28 *566:110 *3081:25 6.40861e-05 +29 *2327:13 *3081:25 0.000111708 +*RES +1 *22078:Y *3081:8 16.8591 +2 *3081:8 *3081:25 45.5887 +3 *3081:25 *22079:B 9.24915 +4 *3081:8 *3081:38 40.7697 +5 *3081:38 *22105:B1 9.24915 +*END + +*D_NET *3082 0.0284986 +*CONN +*I *22080:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *22079:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *22080:A 0 +2 *22079:X 0.000529462 +3 *3082:39 0.00191532 +4 *3082:31 0.0034765 +5 *3082:22 0.00267937 +6 *3082:8 0.00215837 +7 *3082:7 0.00156964 +8 *3082:7 *22091:A 0.00181198 +9 *3082:8 *20226:A1 0.000127179 +10 *3082:8 *22090:A 0.00015324 +11 *3082:8 *23964:A1 0.000383136 +12 *3082:8 *4146:26 0.000403489 +13 *3082:8 *4550:19 0.000890722 +14 *3082:8 *4665:58 0.000385815 +15 *3082:8 *4665:68 0.000652209 +16 *3082:8 *6012:12 0.000324824 +17 *3082:8 *6012:17 0.000191541 +18 *3082:22 *3857:18 0.000217407 +19 *3082:22 *5458:88 0.000157969 +20 *3082:31 *22933:A 6.27718e-05 +21 *3082:31 *23057:A2 3.54295e-05 +22 *3082:31 *23058:B 0.000122516 +23 *3082:31 *3835:27 0.000250405 +24 *3082:31 *3835:36 0.000203756 +25 *3082:31 *4547:9 0.00314106 +26 *3082:39 *6106:DIODE 2.16355e-05 +27 *3082:39 *22933:A 4.43544e-05 +28 *3082:39 *22933:B 0.00136621 +29 *3082:39 *23057:A2 0.000346074 +30 *3082:39 *23128:A 0.000205687 +31 *3082:39 *23128:C 4.95821e-05 +32 *3082:39 *3083:15 6.27782e-05 +33 *3082:39 *3996:31 0.000166497 +34 *3082:39 *3996:33 0.000112396 +35 *3082:39 *4010:7 0.000107496 +36 *3082:39 *4037:7 0.000302956 +37 *3082:39 *4547:9 0.000168923 +38 *19667:A *3082:31 0.000250405 +39 *1482:16 *3082:31 0.000437002 +40 *1891:11 *3082:8 0.000781029 +41 *2045:41 *3082:22 0.000147294 +42 *2046:14 *3082:22 0 +43 *2523:31 *3082:31 5.20218e-05 +44 *3081:8 *3082:8 9.24241e-05 +45 *3081:25 *3082:7 0.00192139 +46 *3081:38 *3082:8 1.83423e-05 +*RES +1 *22079:X *3082:7 39.9843 +2 *3082:7 *3082:8 50.6241 +3 *3082:8 *3082:22 16.7274 +4 *3082:22 *3082:31 49.9143 +5 *3082:31 *3082:39 49.7455 +6 *3082:39 *22080:A 9.24915 +*END + +*D_NET *3083 0.0263049 +*CONN +*I *22082:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22084:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22085:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *22086:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22087:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22081:A I *D sky130_fd_sc_hd__inv_2 +*I *22089:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22088:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22083:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22080:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22082:A2 0.000206564 +2 *22084:A2 5.0318e-05 +3 *22085:A2 0 +4 *22086:A2 3.97739e-05 +5 *22087:A2 0.000143033 +6 *22081:A 2.85849e-05 +7 *22089:A2 0.000134081 +8 *22088:A2 0.000250538 +9 *22083:A2 4.97252e-05 +10 *22080:X 0.000925339 +11 *3083:50 0.000489871 +12 *3083:48 0.000443479 +13 *3083:46 0.000641478 +14 *3083:44 0.000439077 +15 *3083:42 0.000420371 +16 *3083:40 0.000544837 +17 *3083:30 0.000561759 +18 *3083:25 0.00101188 +19 *3083:19 0.00160161 +20 *3083:15 0.00194901 +21 *22081:A *3084:7 1.03403e-05 +22 *22081:A *5453:29 2.16355e-05 +23 *22082:A2 *25361:A 0.00029284 +24 *22083:A2 *4879:7 2.16355e-05 +25 *22084:A2 *22084:A1 2.16355e-05 +26 *22086:A2 *22086:A1 2.65831e-05 +27 *22086:A2 *3084:54 6.50727e-05 +28 *22086:A2 *5929:28 7.92757e-06 +29 *22087:A2 *22087:A1 3.99086e-06 +30 *22087:A2 *23329:A2 2.8182e-06 +31 *22087:A2 *3084:35 2.41274e-06 +32 *22088:A2 *5455:32 0.000359397 +33 *22088:A2 *5828:13 1.87146e-05 +34 *22088:A2 *5921:20 0.000364493 +35 *22089:A2 *22089:B1 4.91225e-06 +36 *22089:A2 *22089:B2 2.41274e-06 +37 *3083:15 *24133:CLK 5.13763e-06 +38 *3083:15 *5820:13 0.000302804 +39 *3083:19 *24129:CLK 0.000343601 +40 *3083:19 *4373:20 0.00011788 +41 *3083:19 *5454:75 0 +42 *3083:19 *5455:47 5.28075e-05 +43 *3083:19 *5820:20 0.00172754 +44 *3083:19 *5927:16 0.000236223 +45 *3083:19 *5927:24 0.000324657 +46 *3083:25 *25361:A 0.000209312 +47 *3083:25 *4373:22 0.000935217 +48 *3083:25 *4879:59 0 +49 *3083:30 *3084:76 5.36209e-05 +50 *3083:30 *5457:82 0.000430875 +51 *3083:30 *5927:26 0.000687587 +52 *3083:40 *3084:63 6.54001e-05 +53 *3083:40 *3084:76 0.000711555 +54 *3083:40 *3902:23 0.000106976 +55 *3083:40 *4879:7 3.82228e-05 +56 *3083:40 *5455:39 0.000773373 +57 *3083:42 *3084:54 6.73439e-05 +58 *3083:42 *3084:63 0.000697064 +59 *3083:42 *5455:32 5.64017e-05 +60 *3083:42 *5455:39 0.000711728 +61 *3083:42 *5800:49 0.000100485 +62 *3083:44 *25331:A 4.57241e-06 +63 *3083:44 *3084:54 0.000888811 +64 *3083:44 *5455:32 0.000914857 +65 *3083:44 *5800:49 0.000130292 +66 *3083:46 *25331:A 2.97007e-05 +67 *3083:46 *5455:32 0.000655881 +68 *3083:46 *5929:28 0.000574198 +69 *3083:48 *3084:35 1.62321e-05 +70 *3083:48 *5455:32 0.000873168 +71 *3083:48 *5921:20 0.000252147 +72 *3083:48 *5929:28 0.000568015 +73 *3083:50 *5455:32 0.000305004 +74 *3083:50 *5921:20 0.000296377 +75 wb_dat_o[10] *3083:25 2.36701e-05 +76 wb_dat_o[11] *3083:19 9.85369e-05 +77 wb_dat_o[11] *3083:25 5.38612e-06 +78 wb_dat_o[12] *3083:19 0.000113077 +79 wb_dat_o[13] *3083:19 2.02035e-05 +80 wb_dat_o[14] *3083:19 0.000113066 +81 wb_dat_o[15] *3083:19 1.91391e-05 +82 wb_dat_o[16] *3083:19 1.91391e-05 +83 wb_dat_o[17] *3083:19 1.91246e-05 +84 wb_dat_o[9] *3083:25 2.36701e-05 +85 *22089:A1 *22089:A2 1.82679e-05 +86 *24131:D *3083:19 1.87611e-05 +87 *24131:D *3083:25 0.000149628 +88 *24132:D *3083:19 1.58247e-05 +89 *24133:D *3083:15 6.54102e-05 +90 *24144:D *3083:30 9.60366e-05 +91 *3082:39 *3083:15 6.27782e-05 +*RES +1 *22080:X *3083:15 30.3873 +2 *3083:15 *3083:19 48.0288 +3 *3083:19 *3083:25 27.753 +4 *3083:25 *3083:30 21.2133 +5 *3083:30 *22083:A2 9.97254 +6 *3083:30 *3083:40 19.2371 +7 *3083:40 *3083:42 13.4591 +8 *3083:42 *3083:44 15.5353 +9 *3083:44 *3083:46 11.3828 +10 *3083:46 *3083:48 15.9506 +11 *3083:48 *3083:50 5.56926 +12 *3083:50 *22088:A2 21.8422 +13 *3083:50 *22089:A2 15.5817 +14 *3083:48 *22081:A 14.4725 +15 *3083:46 *22087:A2 15.5817 +16 *3083:44 *22086:A2 15.0271 +17 *3083:42 *22085:A2 13.7491 +18 *3083:40 *22084:A2 14.4725 +19 *3083:25 *22082:A2 12.7456 +*END + +*D_NET *3084 0.0157154 +*CONN +*I *22087:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22082:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22083:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22084:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22085:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *22086:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22089:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22088:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22081:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22087:B2 0 +2 *22082:B2 0.000215011 +3 *22083:B2 0.000134575 +4 *22084:B2 0 +5 *22085:B2 3.37551e-05 +6 *22086:B2 4.73127e-05 +7 *22089:B2 4.81829e-05 +8 *22088:B2 0.00019511 +9 *22081:Y 9.77634e-05 +10 *3084:76 0.000615499 +11 *3084:63 0.000479813 +12 *3084:54 0.000536281 +13 *3084:41 0.000570651 +14 *3084:35 0.000624004 +15 *3084:10 0.000446831 +16 *3084:7 0.000690595 +17 *22082:B2 *4879:7 3.8122e-05 +18 *22082:B2 *5455:39 0.000524152 +19 *22082:B2 *5929:10 0.000524152 +20 *22083:B2 *4879:7 3.54024e-05 +21 *22083:B2 *5457:67 0.000179298 +22 *22083:B2 *5457:82 6.08467e-05 +23 *22085:B2 *3860:100 2.16355e-05 +24 *22086:B2 *22086:A1 6.56531e-05 +25 *22088:B2 *22088:A1 2.1203e-06 +26 *22088:B2 *5828:13 6.3657e-05 +27 *22088:B2 *5921:20 0.000145979 +28 *22088:B2 *5927:30 0.000375702 +29 *22089:B2 *22089:B1 0.000107496 +30 *3084:7 *5453:29 1.4091e-06 +31 *3084:10 *5918:14 2.02035e-05 +32 *3084:10 *5921:20 0.000444624 +33 *3084:10 *5927:30 0.000189792 +34 *3084:35 *22087:A1 0.000107496 +35 *3084:35 *25331:A 0.000148129 +36 *3084:35 *5840:19 5.04829e-06 +37 *3084:35 *5921:6 0.000148129 +38 *3084:35 *5921:20 0.000359574 +39 *3084:35 *5927:30 0.000473824 +40 *3084:35 *5929:28 0.00038086 +41 *3084:41 *22086:A1 5.51483e-06 +42 *3084:41 *22086:B1 5.04829e-06 +43 *3084:41 *25342:A 0.000595659 +44 *3084:41 *5800:49 0.000106281 +45 *3084:41 *5927:30 0.000598692 +46 *3084:54 *22086:A1 5.51483e-06 +47 *3084:54 *25331:A 0.000342736 +48 *3084:54 *3840:85 0.000593858 +49 *3084:54 *5800:49 0.000131125 +50 *3084:63 *22084:B1 1.07248e-05 +51 *3084:63 *3840:85 0.000722001 +52 *3084:63 *5800:49 0.000105917 +53 *3084:63 *5927:26 9.92046e-06 +54 *3084:76 *22084:B1 0.000241603 +55 *3084:76 *25358:A 0.000149628 +56 *3084:76 *5455:39 7.95411e-05 +57 *3084:76 *5457:82 2.95757e-05 +58 *3084:76 *5800:49 0.000105917 +59 *3084:76 *5927:26 0.000137238 +60 *22081:A *3084:7 1.03403e-05 +61 *22086:A2 *3084:54 6.50727e-05 +62 *22087:A2 *3084:35 2.41274e-06 +63 *22089:A2 *22089:B2 2.41274e-06 +64 *3083:30 *3084:76 5.36209e-05 +65 *3083:40 *3084:63 6.54001e-05 +66 *3083:40 *3084:76 0.000711555 +67 *3083:42 *3084:54 6.73439e-05 +68 *3083:42 *3084:63 0.000697064 +69 *3083:44 *3084:54 0.000888811 +70 *3083:48 *3084:35 1.62321e-05 +*RES +1 *22081:Y *3084:7 15.0271 +2 *3084:7 *3084:10 8.79358 +3 *3084:10 *22088:B2 21.2876 +4 *3084:10 *22089:B2 15.0271 +5 *3084:7 *3084:35 22.6324 +6 *3084:35 *3084:41 20.8303 +7 *3084:41 *22086:B2 10.9612 +8 *3084:41 *3084:54 22.1438 +9 *3084:54 *22085:B2 14.4725 +10 *3084:54 *3084:63 13.4591 +11 *3084:63 *22084:B2 13.7491 +12 *3084:63 *3084:76 19.2781 +13 *3084:76 *22083:B2 12.7697 +14 *3084:76 *22082:B2 28.8337 +15 *3084:35 *22087:B2 9.24915 +*END + +*D_NET *3085 0.00712804 +*CONN +*I *22104:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *22091:A I *D sky130_fd_sc_hd__or2_1 +*I *22090:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22104:B1 0.000819661 +2 *22091:A 0.000513559 +3 *22090:Y 0.000293604 +4 *3085:8 0.00162682 +5 *22091:A *22118:A 9.57735e-05 +6 *22091:A *22119:A 0.000169041 +7 *22104:B1 *20225:C 0.000571648 +8 *22104:B1 *22066:A 0 +9 *22104:B1 *3089:100 7.01068e-05 +10 *22104:B1 *6012:12 0.000522744 +11 *22104:B1 *6015:6 0.000151726 +12 *3085:8 *20225:C 0.000107052 +13 *3085:8 *4665:82 5.04829e-06 +14 *3085:8 *6012:12 3.76047e-05 +15 *23927:A *22104:B1 0 +16 *23929:A *22104:B1 0 +17 *24176:D *22091:A 5.51483e-06 +18 *1478:34 *22104:B1 0 +19 *3077:8 *22104:B1 6.23715e-06 +20 *3081:8 *3085:8 0.000107496 +21 *3081:25 *22091:A 0.000212417 +22 *3082:7 *22091:A 0.00181198 +*RES +1 *22090:Y *3085:8 18.9382 +2 *3085:8 *22091:A 34.4624 +3 *3085:8 *22104:B1 39.0551 +*END + +*D_NET *3086 0.013059 +*CONN +*I *22092:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *22091:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22092:A 0 +2 *22091:X 0.00286634 +3 *3086:20 0.00223713 +4 *3086:14 0.00510347 +5 *3086:14 *23406:A2 2.57465e-06 +6 *3086:14 *23406:B1 9.45294e-05 +7 *3086:14 *4137:83 8.04608e-05 +8 *3086:14 *4227:24 3.93117e-06 +9 *3086:14 *4409:8 5.74949e-05 +10 *3086:14 *5920:28 0 +11 *3086:20 *22876:B 8.458e-05 +12 *3086:20 *22876:D 0.000235393 +13 *3086:20 *22904:A 2.81262e-05 +14 *3086:20 *22904:B 0.000260388 +15 *3086:20 *23070:B 0.000510843 +16 *3086:20 *23405:A1 6.08467e-05 +17 *3086:20 *23405:A3 2.65831e-05 +18 *3086:20 *23405:B1 1.28832e-05 +19 *3086:20 *23406:A3 0.000169872 +20 *3086:20 *3786:17 0.00101735 +21 *3086:20 *4134:28 3.77804e-05 +22 *3086:20 *5628:14 9.96342e-05 +23 *566:110 *3086:14 6.35608e-05 +24 *3081:25 *3086:14 5.22654e-06 +*RES +1 *22091:X *3086:14 35.9891 +2 *3086:14 *3086:20 46.4954 +3 *3086:20 *22092:A 9.24915 +*END + +*D_NET *3087 0.0171626 +*CONN +*I *22096:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22093:A I *D sky130_fd_sc_hd__inv_2 +*I *22094:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22100:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22098:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22101:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22099:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22097:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *22095:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22092:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22096:A2 9.36721e-06 +2 *22093:A 4.852e-05 +3 *22094:A2 0 +4 *22100:A2 4.51842e-05 +5 *22098:A2 0.00020369 +6 *22101:A2 0 +7 *22099:A2 0.000300566 +8 *22097:A2 0.000143613 +9 *22095:A2 0.000171482 +10 *22092:X 0.000369463 +11 *3087:50 0.000533742 +12 *3087:48 0.000637806 +13 *3087:42 0.000564753 +14 *3087:31 0.000718239 +15 *3087:24 0.000693864 +16 *3087:14 0.000723886 +17 *3087:10 0.000252744 +18 *3087:8 0.00124452 +19 *3087:7 0.00151493 +20 *22093:A *3088:7 2.65667e-05 +21 *22095:A2 *22095:A1 0.000158371 +22 *22095:A2 *22095:B1 0.000111708 +23 *22095:A2 *22095:B2 0.000108164 +24 *22095:A2 *5457:93 9.55447e-05 +25 *22096:A2 *22096:B2 6.50586e-05 +26 *22096:A2 *5456:119 6.50586e-05 +27 *22097:A2 *22097:B2 1.65872e-05 +28 *22097:A2 *3088:15 3.64198e-05 +29 *22097:A2 *5455:42 4.66492e-05 +30 *22097:A2 *5455:46 6.50586e-05 +31 *22098:A2 *22098:B2 0.000154145 +32 *22099:A2 *5453:54 6.50586e-05 +33 *22099:A2 *5453:68 0.000355299 +34 *22099:A2 *5817:11 7.50872e-05 +35 *22099:A2 *5929:10 0.000398425 +36 *22100:A2 *22100:B1 6.08467e-05 +37 *3087:7 *22074:A1 0.000300565 +38 *3087:7 *4336:7 2.60729e-05 +39 *3087:8 *22096:B2 2.95757e-05 +40 *3087:8 *5452:54 0 +41 *3087:8 *5456:119 7.77309e-06 +42 *3087:8 *5456:130 0.000281497 +43 *3087:8 *5821:10 0 +44 *3087:8 *5834:14 0 +45 *3087:8 *5835:16 0.000175674 +46 *3087:8 *5926:19 0.000105932 +47 *3087:8 *5929:10 0 +48 *3087:10 *22096:B2 0.000170577 +49 *3087:10 *5929:10 0 +50 *3087:14 *22096:B2 4.70104e-05 +51 *3087:14 *3088:8 8.89094e-05 +52 *3087:14 *3088:10 5.56367e-05 +53 *3087:14 *5929:10 0 +54 *3087:24 *22095:B2 2.16355e-05 +55 *3087:24 *3088:10 0.000271206 +56 *3087:24 *3796:82 0.000216669 +57 *3087:24 *3931:8 8.98903e-05 +58 *3087:24 *5452:54 0.000668239 +59 *3087:31 *22094:A1 4.80972e-05 +60 *3087:31 *25337:A 4.3116e-06 +61 *3087:31 *3088:10 4.17223e-05 +62 *3087:31 *3088:15 0.000317434 +63 *3087:31 *3931:8 9.51565e-05 +64 *3087:31 *4134:54 0.00011818 +65 *3087:42 *25337:A 0.00018304 +66 *3087:42 *4374:73 0.000116821 +67 *3087:42 *5457:93 0.000866424 +68 *3087:42 *5926:19 0.000104559 +69 *3087:48 *4374:73 6.9745e-05 +70 *3087:48 *5453:68 8.50796e-05 +71 *3087:48 *5457:93 0.000102632 +72 *3087:48 *5929:10 0.000253801 +73 *3087:50 *5453:68 0.000363709 +74 *3087:50 *5926:19 0.000128282 +75 *3087:50 *5929:10 0.000784065 +76 *22077:A1 *3087:8 3.91685e-05 +77 *3079:47 *3087:8 0.000646708 +78 *3079:51 *3087:8 1.16596e-05 +79 *3080:28 *3087:8 0.000144747 +*RES +1 *22092:X *3087:7 19.464 +2 *3087:7 *3087:8 36.2979 +3 *3087:8 *3087:10 3.07775 +4 *3087:10 *3087:14 7.993 +5 *3087:14 *22095:A2 14.964 +6 *3087:14 *3087:24 21.8679 +7 *3087:24 *3087:31 13.5912 +8 *3087:31 *22097:A2 12.4683 +9 *3087:31 *3087:42 20.0662 +10 *3087:42 *3087:48 7.71881 +11 *3087:48 *3087:50 13.4591 +12 *3087:50 *22099:A2 23.506 +13 *3087:50 *22101:A2 13.7491 +14 *3087:48 *22098:A2 16.691 +15 *3087:42 *22100:A2 14.4725 +16 *3087:24 *22094:A2 13.7491 +17 *3087:10 *22093:A 15.0271 +18 *3087:8 *22096:A2 14.4725 +*END + +*D_NET *3088 0.0139958 +*CONN +*I *22096:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22095:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22094:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22100:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22098:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22101:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22099:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22097:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *22093:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22096:B2 0.000171534 +2 *22095:B2 0.000331122 +3 *22094:B2 0 +4 *22100:B2 0 +5 *22098:B2 0.000144879 +6 *22101:B2 0 +7 *22099:B2 0.000288085 +8 *22097:B2 8.50372e-05 +9 *22093:Y 8.97965e-05 +10 *3088:28 0.000477845 +11 *3088:26 0.000443555 +12 *3088:24 0.000364526 +13 *3088:15 0.000552311 +14 *3088:10 0.000691293 +15 *3088:8 0.000862118 +16 *3088:7 0.000312696 +17 *22095:B2 *22095:A1 0.000154145 +18 *22095:B2 *22095:B1 2.89808e-05 +19 *22095:B2 *5457:93 0.000107496 +20 *22096:B2 *22096:A1 6.08467e-05 +21 *22096:B2 *5452:54 5.75768e-05 +22 *22096:B2 *5456:119 0.000118536 +23 *22096:B2 *5821:15 3.75603e-05 +24 *22097:B2 *5455:42 6.08467e-05 +25 *22097:B2 *5455:46 0.000160617 +26 *22097:B2 *5820:13 0.000111722 +27 *22098:B2 *22098:A1 1.09199e-05 +28 *22098:B2 *25336:A 7.29862e-05 +29 *22099:B2 *3902:23 5.05252e-05 +30 *22099:B2 *5453:54 3.82228e-05 +31 *22099:B2 *5455:39 0.000440324 +32 *22099:B2 *5457:89 5.41227e-05 +33 *22099:B2 *5817:11 0.000261641 +34 *22099:B2 *5929:10 0.000440324 +35 *3088:8 *5452:54 2.36494e-05 +36 *3088:10 *23011:B 7.14746e-05 +37 *3088:10 *23011:C 3.22617e-05 +38 *3088:10 *3796:82 0.000220281 +39 *3088:10 *5452:54 1.32509e-05 +40 *3088:10 *5457:93 0 +41 *3088:10 *5631:86 0.000191541 +42 *3088:10 *5823:8 0.000137777 +43 *3088:15 *25337:A 0.000171217 +44 *3088:15 *5823:8 1.03594e-05 +45 *3088:24 *5455:39 0.000961929 +46 *3088:24 *5822:16 7.77309e-06 +47 *3088:24 *5926:19 0.000149131 +48 *3088:24 *5929:10 0.000998715 +49 *3088:26 *5455:39 0.000322418 +50 *3088:26 *5929:10 0.000331044 +51 *3088:28 *5455:39 0.000831075 +52 *3088:28 *5926:19 0.000123923 +53 *3088:28 *5929:10 0.0008418 +54 *22093:A *3088:7 2.65667e-05 +55 *22095:A2 *22095:B2 0.000108164 +56 *22096:A2 *22096:B2 6.50586e-05 +57 *22097:A2 *22097:B2 1.65872e-05 +58 *22097:A2 *3088:15 3.64198e-05 +59 *22098:A2 *22098:B2 0.000154145 +60 *24136:D *3088:10 2.33566e-05 +61 *3087:8 *22096:B2 2.95757e-05 +62 *3087:10 *22096:B2 0.000170577 +63 *3087:14 *22096:B2 4.70104e-05 +64 *3087:14 *3088:8 8.89094e-05 +65 *3087:14 *3088:10 5.56367e-05 +66 *3087:24 *22095:B2 2.16355e-05 +67 *3087:24 *3088:10 0.000271206 +68 *3087:31 *3088:10 4.17223e-05 +69 *3087:31 *3088:15 0.000317434 +*RES +1 *22093:Y *3088:7 15.0271 +2 *3088:7 *3088:8 1.832 +3 *3088:8 *3088:10 17.6116 +4 *3088:10 *3088:15 11.6288 +5 *3088:15 *22097:B2 12.191 +6 *3088:15 *3088:24 21.6963 +7 *3088:24 *3088:26 5.98452 +8 *3088:26 *3088:28 14.2896 +9 *3088:28 *22099:B2 34.5068 +10 *3088:28 *22101:B2 13.7491 +11 *3088:26 *22098:B2 17.8484 +12 *3088:24 *22100:B2 13.7491 +13 *3088:10 *22094:B2 13.7491 +14 *3088:8 *22095:B2 21.7065 +15 *3088:7 *22096:B2 21.0386 +*END + +*D_NET *3089 0.0691399 +*CONN +*I *22103:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *22105:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *22104:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *22106:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23926:A I *D sky130_fd_sc_hd__inv_2 +*I *22102:Y O *D sky130_fd_sc_hd__o21ai_4 +*CAP +1 *22103:A2 0.000656036 +2 *22105:A2 0 +3 *22104:A2 0.000154818 +4 *22106:A2 0 +5 *23926:A 7.72598e-05 +6 *22102:Y 3.73941e-05 +7 *3089:100 0.0010479 +8 *3089:86 0.00100923 +9 *3089:74 0.00116833 +10 *3089:58 0.00151233 +11 *3089:54 0.00193249 +12 *3089:42 0.00202988 +13 *3089:39 0.00117893 +14 *3089:36 0.00145672 +15 *3089:33 0.0018938 +16 *3089:30 0.00134168 +17 *3089:24 0.00200768 +18 *3089:22 0.00246898 +19 *3089:18 0.00213971 +20 *3089:12 0.00311564 +21 *3089:10 0.00291841 +22 *3089:7 0.000966222 +23 *22103:A2 *4845:11 0.000115577 +24 *22104:A2 *24183:CLK 1.75e-05 +25 *23926:A *4700:15 0.00027329 +26 *3089:7 *24057:A0 0.000154145 +27 *3089:10 *24662:CLK 4.51619e-05 +28 *3089:10 *4863:136 0.00198639 +29 *3089:10 *4905:16 0.000173289 +30 *3089:10 *4905:33 7.88279e-05 +31 *3089:10 *5854:158 0.00100446 +32 *3089:12 *20450:B1 0.000137936 +33 *3089:12 *20571:A1 9.07931e-05 +34 *3089:12 *24476:CLK 0.000575335 +35 *3089:12 *24524:RESET_B 2.02035e-05 +36 *3089:12 *24608:CLK 9.04083e-05 +37 *3089:12 *24610:RESET_B 9.70704e-05 +38 *3089:12 *24997:A 4.20662e-05 +39 *3089:12 *4797:45 0.000975976 +40 *3089:12 *4863:136 0.000210031 +41 *3089:12 *4863:145 9.57348e-05 +42 *3089:12 *4905:12 0.000376078 +43 *3089:12 *4905:14 0.000367296 +44 *3089:12 *4905:16 4.85923e-05 +45 *3089:12 *5851:153 0.00022417 +46 *3089:12 *5860:31 0.000414849 +47 *3089:18 *4797:38 0.000560222 +48 *3089:18 *4797:45 0.000209899 +49 *3089:18 *4799:21 2.91383e-06 +50 *3089:18 *4855:13 8.59033e-05 +51 *3089:18 *5868:6 1.2687e-05 +52 *3089:22 *19965:A 0.000458138 +53 *3089:22 *20563:A1 0.000169093 +54 *3089:22 *4799:10 0.000191858 +55 *3089:22 *5801:92 0.000676666 +56 *3089:22 *5868:6 0 +57 *3089:24 *4799:6 0.000466035 +58 *3089:24 *4799:10 0.000684945 +59 *3089:24 *5801:92 8.35615e-06 +60 *3089:30 *4656:10 0.000183917 +61 *3089:30 *4799:6 0.00149801 +62 *3089:30 *5925:17 0.000128423 +63 *3089:33 *24381:RESET_B 3.91317e-05 +64 *3089:33 *4538:31 3.75221e-05 +65 *3089:33 *4538:41 0.000514566 +66 *3089:33 *4547:19 6.23875e-05 +67 *3089:33 *5868:123 0.000166964 +68 *3089:33 *6001:26 0.000107496 +69 *3089:36 *21017:A1 7.14746e-05 +70 *3089:36 *24252:SET_B 3.31882e-05 +71 *3089:36 *4126:79 0.000587921 +72 *3089:36 *4665:57 0.000178366 +73 *3089:36 *5856:74 0.000887023 +74 *3089:36 *5856:88 4.51619e-05 +75 *3089:36 *5868:183 6.01266e-05 +76 *3089:39 *24278:CLK 8.68521e-05 +77 *3089:42 *4550:25 0.00229113 +78 *3089:42 *4665:58 2.93736e-05 +79 *3089:54 *4665:58 0.000442221 +80 *3089:54 *4665:68 0.000812618 +81 *3089:54 *4874:20 0 +82 *3089:54 *5671:189 0.00026635 +83 *3089:58 *4138:143 0.000151622 +84 *3089:86 *3860:15 3.58951e-06 +85 *3089:86 *4003:34 0.000116942 +86 *3089:86 *5671:280 0.000144531 +87 *3089:100 *4845:11 0.000267394 +88 *20226:A2 *3089:54 0 +89 *20448:A2 *3089:12 3.16982e-05 +90 *20561:A *3089:22 0.000155272 +91 *20726:A2 *3089:24 0.000226298 +92 *22067:B *3089:74 0.00025442 +93 *22103:A1 *22103:A2 0.000281857 +94 *22103:B1 *22103:A2 6.08467e-05 +95 *22104:B1 *3089:100 7.01068e-05 +96 *22105:A1 *22103:A2 4.23858e-05 +97 *22106:B1 *3089:74 0.000248298 +98 *22106:B1 *3089:86 0.000113968 +99 *24178:D *3089:58 0.000104731 +100 *24183:D *22104:A2 6.08467e-05 +101 *24183:D *3089:86 0.000171288 +102 *24184:D *3089:100 1.37189e-05 +103 *24381:D *3089:30 0.000101133 +104 *24419:D *3089:24 0.000122083 +105 *24427:D *3089:54 0.00013511 +106 *24532:D *3089:18 0.000437546 +107 *24609:D *3089:12 0.000190316 +108 *495:8 *3089:42 0.00235326 +109 *495:8 *3089:54 0.000828887 +110 *1438:152 *3089:24 9.33478e-05 +111 *1438:152 *3089:30 1.46426e-05 +112 *1438:159 *3089:30 0.000956396 +113 *1438:168 *3089:33 4.75654e-05 +114 *1448:221 *3089:10 0 +115 *1448:222 *3089:12 8.66691e-05 +116 *1448:232 *3089:18 6.57953e-05 +117 *1455:59 *3089:7 0.000154145 +118 *1477:12 *3089:58 0 +119 *1477:12 *3089:74 0 +120 *1478:34 *3089:100 0 +121 *1483:20 *3089:24 0.00299736 +122 *1483:139 *3089:58 0.000936155 +123 *1483:139 *3089:74 0.000515473 +124 *1574:12 *3089:36 0 +125 *1732:67 *3089:22 0.00111607 +126 *1732:74 *3089:12 0.000169269 +127 *1784:18 *3089:10 0 +128 *1795:44 *3089:24 0.000236619 +129 *1808:40 *3089:12 5.45571e-05 +130 *1947:45 *3089:36 0 +131 *1947:56 *3089:33 0.000251655 +132 *1961:31 *3089:12 1.23455e-05 +133 *1961:50 *3089:12 7.10112e-05 +134 *2048:10 *3089:24 0.000134421 +135 *2048:14 *3089:24 0.000895919 +136 *2173:9 *3089:36 0.000873765 +137 *2407:22 *3089:12 2.37478e-05 +138 *2624:21 *3089:36 0.000102098 +139 *2660:26 *3089:24 7.50722e-05 +140 *2684:8 *3089:36 0.000453195 +141 *2785:102 *3089:22 1.66771e-05 +142 *2810:40 *3089:12 0.000548241 +143 *2810:40 *3089:18 0.00194316 +144 *2810:60 *3089:22 0.000108729 +145 *3081:38 *3089:86 0.000546586 +146 *3081:38 *3089:100 0.000165521 +*RES +1 *22102:Y *3089:7 15.5817 +2 *3089:7 *3089:10 37.5559 +3 *3089:10 *3089:12 68.1624 +4 *3089:12 *3089:18 40.6092 +5 *3089:18 *3089:22 38.2765 +6 *3089:22 *3089:24 62.6664 +7 *3089:24 *3089:30 32.3952 +8 *3089:30 *3089:33 26.2983 +9 *3089:33 *3089:36 45.3657 +10 *3089:36 *3089:39 9.66022 +11 *3089:39 *3089:42 43.4671 +12 *3089:42 *3089:54 46.2817 +13 *3089:54 *3089:58 27.5505 +14 *3089:58 *23926:A 16.691 +15 *3089:58 *3089:74 22.4873 +16 *3089:74 *22106:A2 9.24915 +17 *3089:74 *3089:86 23.4962 +18 *3089:86 *22104:A2 12.0704 +19 *3089:86 *3089:100 19.5873 +20 *3089:100 *22105:A2 9.24915 +21 *3089:100 *22103:A2 25.3809 +*END + +*D_NET *3090 0.00127483 +*CONN +*I *22109:B2 I *D sky130_fd_sc_hd__a2bb2o_1 +*I *22115:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *22108:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22109:B2 4.91107e-05 +2 *22115:A2 0.000237791 +3 *22108:Y 4.5638e-05 +4 *3090:7 0.00033254 +5 *22109:B2 *5855:343 3.58321e-05 +6 *22115:A2 *22115:B2 0.000111722 +7 *22115:A2 *22117:B1 1.65872e-05 +8 *22115:A2 *22117:B2 3.25618e-05 +9 *22115:A2 *5855:343 4.43323e-05 +10 *3090:7 *20925:A1 2.58757e-05 +11 *22115:A1 *22115:A2 6.08467e-05 +12 *502:30 *22115:A2 0.000129202 +13 *531:51 *22109:B2 0.000120052 +14 *531:51 *22115:A2 3.27437e-05 +15 *2759:19 *22115:A2 0 +*RES +1 *22108:Y *3090:7 14.4725 +2 *3090:7 *22115:A2 20.6697 +3 *3090:7 *22109:B2 15.9964 +*END + +*D_NET *3091 0.0262595 +*CONN +*I *22113:A I *D sky130_fd_sc_hd__nor2_2 +*I *22161:A I *D sky130_fd_sc_hd__or2_1 +*I *22181:A I *D sky130_fd_sc_hd__or2_1 +*I *22169:A I *D sky130_fd_sc_hd__or2_1 +*I *22192:A I *D sky130_fd_sc_hd__or2_1 +*I *22179:A I *D sky130_fd_sc_hd__or2_1 +*I *22141:D I *D sky130_fd_sc_hd__or4_1 +*I *22111:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *22113:A 0 +2 *22161:A 0 +3 *22181:A 0 +4 *22169:A 0 +5 *22192:A 8.17369e-06 +6 *22179:A 0.000885498 +7 *22141:D 3.07124e-05 +8 *22111:X 0.000989442 +9 *3091:73 0.00114214 +10 *3091:68 0.000593723 +11 *3091:66 0.000907448 +12 *3091:52 0.00184858 +13 *3091:28 0.00254824 +14 *3091:20 0.00166681 +15 *3091:10 0.0014251 +16 *22141:D *22141:A 6.08467e-05 +17 *22179:A *22192:B 6.08467e-05 +18 *22179:A *22193:A 0.000138063 +19 *22179:A *3114:15 1.65872e-05 +20 *22179:A *4827:32 0.000825332 +21 *22179:A *5870:779 0.000292603 +22 *22192:A *22192:B 6.3657e-05 +23 *22192:A *22193:A 5.09367e-05 +24 *3091:10 *20166:B1 0.000510184 +25 *3091:10 *22111:B 9.98615e-06 +26 *3091:10 *4844:303 0.000275448 +27 *3091:10 *5857:189 6.44318e-05 +28 *3091:10 *5953:32 6.51637e-05 +29 *3091:20 *20170:A1 0.000311781 +30 *3091:20 *3093:10 0.000107496 +31 *3091:20 *3108:30 7.50722e-05 +32 *3091:20 *4804:21 1.90076e-05 +33 *3091:20 *5857:184 0.000158451 +34 *3091:28 *22113:B 0.000411716 +35 *3091:28 *22140:A 9.97112e-05 +36 *3091:28 *22140:B 0 +37 *3091:28 *22156:A 0.000323158 +38 *3091:28 *22188:B 3.91966e-05 +39 *3091:28 *22235:A 5.03168e-05 +40 *3091:28 *3177:16 1.4789e-05 +41 *3091:28 *3177:229 1.92652e-05 +42 *3091:28 *3198:18 0.000403384 +43 *3091:28 *4804:21 0.000395712 +44 *3091:28 *4804:24 0.00119641 +45 *3091:28 *5949:74 9.2346e-06 +46 *3091:28 *5950:81 0.000521228 +47 *3091:52 *22156:A 0.000112692 +48 *3091:52 *3136:24 1.19261e-05 +49 *3091:52 *3198:18 0.000116854 +50 *3091:52 *5476:69 0.00010511 +51 *3091:66 *22151:B 0.00011818 +52 *3091:66 *22161:B 0.000298734 +53 *3091:66 *22226:B 0.000398089 +54 *3091:66 *22227:A 1.03403e-05 +55 *3091:66 *3126:10 0.000167641 +56 *3091:68 *22226:B 0.000107496 +57 *3091:68 *3106:11 0.000540269 +58 *3091:68 *3106:13 0.00025175 +59 *3091:68 *3160:20 0.000535951 +60 *3091:73 *22157:C 0.000192735 +61 *3091:73 *4827:32 0.000305742 +62 *20170:A2 *3091:20 2.16355e-05 +63 *20170:B2 *3091:20 8.12657e-06 +64 *20839:A *3091:28 0.000116986 +65 *20849:A2 *3091:10 0.000509293 +66 *22168:B *3091:28 6.85778e-05 +67 *22226:A *3091:66 0 +68 *24366:D *3091:10 1.4091e-06 +69 *506:27 *3091:73 3.70433e-05 +70 *1450:122 *3091:10 0.0002187 +71 *1450:126 *3091:10 0.000660791 +72 *1551:67 *3091:52 2.99978e-05 +73 *1551:67 *3091:66 0.000154145 +74 *1865:38 *3091:10 0.000131793 +75 *1884:19 *3091:52 0.000113889 +76 *2093:10 *22179:A 0.000239865 +77 *2115:14 *3091:20 7.14746e-05 +78 *2115:110 *22179:A 0.000131147 +79 *2115:161 *3091:66 4.86182e-05 +80 *2120:12 *3091:28 0 +81 *2123:17 *3091:28 6.27762e-05 +82 *2123:47 *22179:A 0.000281993 +83 *2313:19 *3091:52 0.000468134 +84 *2467:114 *3091:52 8.62625e-06 +85 *2467:123 *3091:52 0.000150725 +86 *2924:80 *3091:20 7.66116e-05 +87 *2924:80 *3091:28 0.000632621 +88 *2950:96 *3091:52 0.00010511 +*RES +1 *22111:X *3091:10 47.3919 +2 *3091:10 *3091:20 20.2067 +3 *3091:20 *3091:28 49.3449 +4 *3091:28 *22141:D 14.4725 +5 *3091:28 *3091:52 37.8893 +6 *3091:52 *3091:66 25.372 +7 *3091:66 *3091:68 11.8155 +8 *3091:68 *3091:73 15.815 +9 *3091:73 *22179:A 44.0909 +10 *3091:73 *22192:A 9.97254 +11 *3091:68 *22169:A 9.24915 +12 *3091:66 *22181:A 9.24915 +13 *3091:52 *22161:A 9.24915 +14 *3091:20 *22113:A 9.24915 +*END + +*D_NET *3092 0.00724037 +*CONN +*I *22211:B I *D sky130_fd_sc_hd__or2_1 +*I *22171:A I *D sky130_fd_sc_hd__or2_1 +*I *22113:B I *D sky130_fd_sc_hd__nor2_2 +*I *22112:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22211:B 0.000316899 +2 *22171:A 0.000134247 +3 *22113:B 0.000182179 +4 *22112:X 0.000134094 +5 *3092:23 0.000927951 +6 *3092:7 0.000793076 +7 *22113:B *4804:21 0.000462037 +8 *22171:A *22172:A 0.000111352 +9 *22171:A *3108:33 0.000442903 +10 *22171:A *3136:24 3.21102e-05 +11 *22211:B *22212:A 0.000264586 +12 *22211:B *22234:A 0.000111802 +13 *22211:B *3122:11 3.02448e-05 +14 *22211:B *4823:124 0.000213871 +15 *3092:7 *4823:142 7.98171e-06 +16 *3092:23 *4823:124 0.000753107 +17 *20130:A *22211:B 6.50586e-05 +18 *20840:A *22113:B 1.45944e-05 +19 *20840:A *3092:23 3.49052e-05 +20 *20857:A *22113:B 0.000207394 +21 *20857:A *3092:23 0.000140502 +22 *22158:A *22211:B 2.77564e-05 +23 *22158:A *3092:23 0.000130776 +24 *22211:A *22211:B 0.000125849 +25 *518:46 *3092:23 5.27775e-05 +26 *1419:196 *22171:A 1.82314e-05 +27 *1419:221 *22211:B 0.000107496 +28 *2112:22 *22113:B 0.000163982 +29 *2112:22 *3092:7 2.16355e-05 +30 *2112:40 *3092:23 0.000690403 +31 *2800:14 *22211:B 9.22623e-05 +32 *2924:80 *22113:B 1.65872e-05 +33 *3091:28 *22113:B 0.000411716 +*RES +1 *22112:X *3092:7 15.5817 +2 *3092:7 *22113:B 23.3963 +3 *3092:7 *3092:23 19.3459 +4 *3092:23 *22171:A 18.9094 +5 *3092:23 *22211:B 24.2027 +*END + +*D_NET *3093 0.0146837 +*CONN +*I *22117:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *22115:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *22113:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *22117:B1 0.000148342 +2 *22115:B1 0 +3 *22113:Y 0.000552681 +4 *3093:17 0.00164071 +5 *3093:12 0.00336909 +6 *3093:10 0.0024294 +7 *22117:B1 *22115:B2 4.86338e-05 +8 *3093:10 *20856:A1 7.12049e-06 +9 *3093:10 *3108:30 0.000299552 +10 *3093:10 *5950:18 0.000119553 +11 *3093:12 *22143:A 3.3452e-05 +12 *3093:12 *24369:SET_B 0.000162584 +13 *3093:12 *3177:50 0.00112127 +14 *3093:12 *5945:11 0.000116728 +15 *3093:12 *5954:24 3.49122e-05 +16 *3093:12 *6028:93 0.000366395 +17 *3093:17 *22115:B2 4.80635e-06 +18 *20843:A *3093:10 5.1315e-05 +19 *20843:A *3093:12 9.1376e-05 +20 *20858:B1 *3093:12 6.42671e-05 +21 *22115:A1 *22117:B1 0.000154145 +22 *22115:A2 *22117:B1 1.65872e-05 +23 *24320:D *3093:17 1.06769e-05 +24 *24362:D *3093:12 6.31665e-05 +25 *1418:311 *3093:10 0.000159674 +26 *1418:311 *3093:12 0.00091675 +27 *1419:6 *3093:12 0.000237416 +28 *1419:179 *3093:12 4.91618e-05 +29 *1695:26 *3093:12 1.44467e-05 +30 *1701:20 *3093:17 0.000557738 +31 *2106:8 *3093:10 3.10924e-05 +32 *2107:20 *3093:10 0 +33 *2115:14 *3093:10 1.64943e-05 +34 *2119:10 *3093:12 0.000105549 +35 *2129:27 *22117:B1 0.000397127 +36 *2428:63 *3093:12 8.05338e-06 +37 *2439:24 *3093:12 0.000922034 +38 *2924:80 *3093:10 0.000253916 +39 *3091:20 *3093:10 0.000107496 +*RES +1 *22113:Y *3093:10 29.6371 +2 *3093:10 *3093:12 61.6283 +3 *3093:12 *3093:17 27.4317 +4 *3093:17 *22115:B1 9.24915 +5 *3093:17 *22117:B1 15.2533 +*END + +*D_NET *3094 0.00408554 +*CONN +*I *22116:A I *D sky130_fd_sc_hd__inv_2 +*I *22115:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *22114:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22116:A 0 +2 *22115:B2 0.000175235 +3 *22114:X 0.000446763 +4 *3094:11 0.000621998 +5 *22115:B2 *22117:B2 7.77309e-06 +6 *22115:B2 *5855:343 0.000162884 +7 *3094:11 *6027:31 2.65831e-05 +8 *3094:11 *6028:8 0.00018688 +9 *3094:11 *6028:10 0.000115451 +10 *3094:11 *6028:43 0.000764385 +11 *3094:11 *6029:90 0.000111708 +12 *22115:A1 *22115:B2 2.15184e-05 +13 *22115:A2 *22115:B2 0.000111722 +14 *22117:B1 *22115:B2 4.86338e-05 +15 *24172:D *22115:B2 0.000398412 +16 *324:11 *3094:11 0.000825823 +17 *510:59 *3094:11 2.55661e-06 +18 *527:71 *3094:11 5.24081e-05 +19 *3093:17 *22115:B2 4.80635e-06 +*RES +1 *22114:X *3094:11 37.4497 +2 *3094:11 *22115:B2 25.402 +3 *3094:11 *22116:A 9.24915 +*END + +*D_NET *3095 0.000711836 +*CONN +*I *22117:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *22116:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22117:B2 0.000192739 +2 *22116:Y 0.000192739 +3 *22117:B2 *5855:343 1.61116e-05 +4 *22115:A2 *22117:B2 3.25618e-05 +5 *22115:B2 *22117:B2 7.77309e-06 +6 *24172:D *22117:B2 7.14746e-05 +7 *502:30 *22117:B2 3.56648e-05 +8 *527:71 *22117:B2 0.000154145 +9 *2759:19 *22117:B2 8.62625e-06 +*RES +1 *22116:Y *22117:B2 31.9934 +*END + +*D_NET *3096 0.00037472 +*CONN +*I *22119:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22118:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22119:A 1.83187e-05 +2 *22118:X 1.83187e-05 +3 *22119:A *22118:A 0.000169041 +4 *22091:A *22119:A 0.000169041 +*RES +1 *22118:X *22119:A 20.3309 +*END + +*D_NET *3097 0.0052819 +*CONN +*I *22121:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *22120:X O *D sky130_fd_sc_hd__and4bb_1 +*CAP +1 *22121:B2 0.000122864 +2 *22120:X 0.000714389 +3 *3097:6 0.000837253 +4 *22121:B2 *20076:A 0.000208242 +5 *3097:6 *20152:C 0.00034709 +6 *3097:6 *5482:24 0.000571325 +7 *3097:6 *5482:37 0.000362626 +8 *3097:6 *5482:41 0.000731874 +9 *3097:6 *5484:10 5.77352e-05 +10 *3097:6 *5484:35 0.000463835 +11 *3097:6 *5484:47 4.53418e-05 +12 *3097:6 *5929:42 2.18741e-05 +13 *20107:A3 *3097:6 1.5254e-05 +14 *21110:C *22121:B2 4.78069e-06 +15 *21111:B1 *22121:B2 3.82228e-05 +16 *22121:A2 *22121:B2 1.69466e-05 +17 *22121:B1 *22121:B2 2.16355e-05 +18 *484:26 *3097:6 0 +19 *1731:59 *3097:6 1.07529e-05 +20 *2426:28 *3097:6 0.000689853 +*RES +1 *22120:X *3097:6 46.3098 +2 *3097:6 *22121:B2 18.0232 +*END + +*D_NET *3098 0.00318071 +*CONN +*I *22122:A I *D sky130_fd_sc_hd__inv_2 +*I *22121:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *22122:A 0 +2 *22121:X 0.000764204 +3 *3098:16 0.000764204 +4 *3098:16 *20076:A 1.82679e-05 +5 *19751:B *3098:16 0.000187671 +6 *20128:A *3098:16 5.48238e-05 +7 *20129:B2 *3098:16 6.01162e-05 +8 *22121:A1 *3098:16 0.000199667 +9 *459:21 *3098:16 0.00045153 +10 *1524:10 *3098:16 0.000120868 +11 *1755:21 *3098:16 0.000459722 +12 *1756:31 *3098:16 9.96342e-05 +*RES +1 *22121:X *3098:16 49.3993 +2 *3098:16 *22122:A 9.24915 +*END + +*D_NET *3099 0.000148852 +*CONN +*I *22126:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *22125:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22126:B2 9.36721e-06 +2 *22125:X 9.36721e-06 +3 *22126:B2 *20152:B 6.50586e-05 +4 *22126:B2 *24167:CLK 6.50586e-05 +*RES +1 *22125:X *22126:B2 19.2217 +*END + +*D_NET *3100 0.000789961 +*CONN +*I *22130:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22129:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *22130:A 0.000148585 +2 *22129:X 0.000148585 +3 *22130:A *22127:B 7.48633e-05 +4 *22130:A *22128:A 8.28112e-05 +5 *22130:A *25255:A 0.000111722 +6 *22130:A *25257:A 6.50727e-05 +7 *22130:A *25322:A 3.59437e-05 +8 *22130:A *5736:7 0.000122378 +*RES +1 *22129:X *22130:A 24.816 +*END + +*D_NET *3101 0.000478729 +*CONN +*I *22133:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22132:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22133:A 0.00014133 +2 *22132:X 0.00014133 +3 *22133:A *5473:11 4.31539e-05 +4 *22133:A *5474:15 5.53789e-05 +5 *22133:A *5929:42 9.75356e-05 +6 *22133:A *5930:20 0 +*RES +1 *22132:X *22133:A 31.0235 +*END + +*D_NET *3102 0.00178041 +*CONN +*I *22136:A I *D sky130_fd_sc_hd__buf_6 +*I *22135:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22136:A 0.000636509 +2 *22135:X 0.000636509 +3 *22136:A *22128:A 0 +4 *22136:A *5916:12 0 +5 *22136:A *5930:20 0.000507392 +*RES +1 *22135:X *22136:A 46.4075 +*END + +*D_NET *3103 0.00434776 +*CONN +*I *22138:A I *D sky130_fd_sc_hd__buf_4 +*I *22137:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22138:A 0.000179248 +2 *22137:X 0.000825759 +3 *3103:8 0.00100501 +4 *22138:A *21453:A 2.41274e-06 +5 *22138:A *5801:12 4.31539e-05 +6 *3103:8 *5473:86 0.000255962 +7 *3103:8 *5591:10 0.00110822 +8 *3103:8 *5735:24 3.00073e-05 +9 *3103:8 *5926:56 0.000251205 +10 *20110:A *3103:8 0.000127179 +11 *24817:RESET_B *3103:8 8.34606e-05 +12 *25201:A *3103:8 2.78666e-05 +13 *657:39 *3103:8 0.000157033 +14 *657:51 *3103:8 0.000206935 +15 *1690:67 *3103:8 2.41483e-05 +16 *2705:16 *3103:8 2.01653e-05 +*RES +1 *22137:X *3103:8 46.0689 +2 *3103:8 *22138:A 17.2456 +*END + +*D_NET *3104 0.0116138 +*CONN +*I *22141:C I *D sky130_fd_sc_hd__or4_1 +*I *22151:B I *D sky130_fd_sc_hd__or2_1 +*I *22228:C I *D sky130_fd_sc_hd__or4_1 +*I *22148:B I *D sky130_fd_sc_hd__or2_1 +*I *22145:B I *D sky130_fd_sc_hd__or2_1 +*I *22140:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22141:C 0.000273542 +2 *22151:B 0.000296737 +3 *22228:C 5.01334e-05 +4 *22148:B 0.000129732 +5 *22145:B 5.10412e-05 +6 *22140:X 0.000544759 +7 *3104:40 0.000599837 +8 *3104:37 0.000943328 +9 *3104:22 0.00138908 +10 *3104:9 0.00143832 +11 *22141:C *22141:A 8.79845e-05 +12 *22141:C *22141:B 0.000154145 +13 *22145:B *3109:8 0.000215785 +14 *22148:B *3108:62 0.000112361 +15 *22148:B *3112:8 3.4123e-05 +16 *22148:B *3148:20 0.000346643 +17 *22151:B *22157:D 1.24189e-05 +18 *22151:B *22232:B 0.000111802 +19 *22228:C *3120:11 5.04829e-06 +20 *3104:9 *22140:B 0.000214279 +21 *3104:9 *22177:C 0.000107496 +22 *3104:22 *22531:A 2.57465e-06 +23 *3104:22 *22532:A 0.00011594 +24 *3104:22 *24363:CLK 1.05982e-05 +25 *3104:22 *3142:21 0.000145962 +26 *3104:22 *3185:18 0.000308993 +27 *3104:22 *4876:8 5.96125e-05 +28 *3104:22 *5948:81 3.3239e-06 +29 *3104:22 *5948:95 3.33173e-06 +30 *3104:37 *3108:62 0.000247443 +31 *3104:37 *3148:20 9.32983e-05 +32 *3104:37 *3491:8 4.87047e-05 +33 *3104:40 *22146:A 0.000155106 +34 *3104:40 *22157:D 4.85418e-05 +35 *3104:40 *3111:16 5.60364e-06 +36 *20162:B *3104:40 1.91391e-05 +37 *22151:A *22151:B 6.50727e-05 +38 *22221:A *22145:B 0.000111802 +39 *22228:D *22151:B 0.000316282 +40 *22228:D *22228:C 6.50727e-05 +41 *482:28 *3104:37 0.00018195 +42 *482:34 *3104:37 3.54295e-05 +43 *541:35 *3104:22 0.00128717 +44 *1652:12 *22151:B 3.58525e-05 +45 *1652:12 *3104:40 0.000174558 +46 *2108:45 *22145:B 4.314e-05 +47 *2108:45 *3104:9 0.000107496 +48 *2115:39 *3104:22 2.29364e-05 +49 *2115:100 *3104:22 8.15234e-05 +50 *2115:139 *3104:22 2.63218e-05 +51 *2115:144 *22151:B 6.11103e-05 +52 *2117:13 *3104:37 0.000352403 +53 *2379:26 *3104:40 5.04145e-05 +54 *2906:82 *3104:37 5.93547e-06 +55 *2924:80 *3104:9 8.43533e-05 +56 *3091:66 *22151:B 0.00011818 +*RES +1 *22140:X *3104:9 19.5937 +2 *3104:9 *22145:B 12.2151 +3 *3104:9 *3104:22 34.9166 +4 *3104:22 *22148:B 13.8789 +5 *3104:22 *3104:37 21.2834 +6 *3104:37 *3104:40 11.7303 +7 *3104:40 *22228:C 15.0271 +8 *3104:40 *22151:B 22.1293 +9 *3104:37 *22141:C 15.5427 +*END + +*D_NET *3105 0.0021279 +*CONN +*I *22142:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *22141:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22142:A 0.000245226 +2 *22141:X 0.000245226 +3 *22142:A *22146:A 6.08467e-05 +4 *22142:A *3136:24 8.69165e-05 +5 *22142:A *3153:26 0.000777821 +6 *22142:A *3175:19 8.69165e-05 +7 *20162:B *22142:A 0.000624943 +*RES +1 *22141:X *22142:A 38.3727 +*END + +*D_NET *3106 0.110765 +*CONN +*I *6103:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22196:B I *D sky130_fd_sc_hd__and4_2 +*I *22324:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22434:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22219:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22390:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22346:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22302:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22368:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22258:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22280:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22500:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22412:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22456:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22478:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22142:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *6103:DIODE 0 +2 *22196:B 1.1517e-05 +3 *22324:A2 0.00115612 +4 *22434:A2 2.7552e-05 +5 *22219:A2 0.00011606 +6 *22390:A2 9.59526e-05 +7 *22346:A2 0 +8 *22302:A2 0.000393527 +9 *22368:A2 1.04986e-05 +10 *22258:A2 0.000450775 +11 *22280:A2 5.42027e-05 +12 *22500:A2 1.96141e-05 +13 *22412:A2 9.0724e-05 +14 *22456:A2 0.000103538 +15 *22478:A2 2.09548e-05 +16 *22142:X 0.00159324 +17 *3106:248 0.00039935 +18 *3106:247 0.000539199 +19 *3106:235 0.000662106 +20 *3106:229 0.00189399 +21 *3106:227 0.00264613 +22 *3106:209 0.00188282 +23 *3106:206 0.00161024 +24 *3106:187 0.00135781 +25 *3106:180 0.00190024 +26 *3106:169 0.00278606 +27 *3106:152 0.00139662 +28 *3106:149 0.000866969 +29 *3106:129 0.00129988 +30 *3106:110 0.000744748 +31 *3106:100 0.00173477 +32 *3106:81 0.00530367 +33 *3106:68 0.00539314 +34 *3106:48 0.000787624 +35 *3106:47 0.000263328 +36 *3106:42 0.00537874 +37 *3106:13 0.00544891 +38 *3106:11 0.00183021 +39 *22219:A2 *22219:B2 2.16355e-05 +40 *22219:A2 *3155:140 2.77625e-06 +41 *22258:A2 *3220:12 0.000633581 +42 *22280:A2 *3529:159 3.53886e-05 +43 *22302:A2 *22302:B2 0.000430487 +44 *22302:A2 *3131:207 2.77564e-05 +45 *22324:A2 *22270:A2 6.08467e-05 +46 *22324:A2 *22529:C1 0.000140789 +47 *22324:A2 *22773:A2 0.000206732 +48 *22324:A2 *3131:97 3.91944e-05 +49 *22324:A2 *3232:25 6.08467e-05 +50 *22324:A2 *3494:25 0.000264978 +51 *22324:A2 *3509:175 0.000796865 +52 *22324:A2 *3511:147 3.0388e-05 +53 *22324:A2 *3546:26 0.000129091 +54 *22324:A2 *5904:64 4.35654e-05 +55 *22324:A2 *5924:37 9.95036e-05 +56 *22390:A2 *22390:B2 7.09666e-06 +57 *22390:A2 *3131:207 0.00010356 +58 *22412:A2 *22413:C1 3.99086e-06 +59 *22456:A2 *22456:B2 2.53145e-06 +60 *3106:11 *22157:D 0.00144598 +61 *3106:11 *3117:15 0.00056369 +62 *3106:11 *4807:54 2.55661e-06 +63 *3106:11 *5909:24 0.000107496 +64 *3106:13 *22157:C 0.000154145 +65 *3106:13 *22196:C 0.000154145 +66 *3106:13 *22196:D 2.6446e-05 +67 *3106:13 *3117:15 6.08467e-05 +68 *3106:13 *3160:7 0.000156464 +69 *3106:13 *3160:20 4.75845e-05 +70 *3106:42 *22165:B 0.000111708 +71 *3106:42 *22165:D 6.08467e-05 +72 *3106:42 *22195:A 0.000107496 +73 *3106:42 *24232:RESET_B 6.88731e-05 +74 *3106:42 *3126:142 2.137e-05 +75 *3106:42 *3126:148 0.000150455 +76 *3106:42 *3278:17 1.68104e-05 +77 *3106:42 *3496:29 1.43499e-05 +78 *3106:42 *3519:47 1.60156e-05 +79 *3106:42 *3547:17 0.000261675 +80 *3106:42 *4856:18 0.0023716 +81 *3106:68 *22598:B 0 +82 *3106:68 *22598:C 2.187e-05 +83 *3106:68 *3186:14 1.91391e-05 +84 *3106:68 *3471:227 2.17771e-05 +85 *3106:68 *3474:139 0.000385607 +86 *3106:68 *3541:180 0.000129091 +87 *3106:68 *3547:17 0.000154145 +88 *3106:81 *22598:C 6.08467e-05 +89 *3106:81 *22771:C1 0.000177764 +90 *3106:81 *3114:160 0.000109235 +91 *3106:81 *3164:112 0.000741404 +92 *3106:81 *3411:57 0.00158047 +93 *3106:81 *3474:130 0.000393863 +94 *3106:81 *5453:21 0.00214401 +95 *3106:81 *5919:40 8.44854e-05 +96 *3106:100 *3131:45 1.91391e-05 +97 *3106:100 *3131:66 3.12828e-05 +98 *3106:100 *3134:84 5.44641e-05 +99 *3106:100 *3146:67 3.77568e-05 +100 *3106:100 *3388:13 0.00032029 +101 *3106:100 *3411:57 0.000480054 +102 *3106:110 *22771:A2 1.97166e-05 +103 *3106:110 *3122:256 0.00010092 +104 *3106:129 *22771:A2 4.87175e-05 +105 *3106:129 *3122:256 0.000502565 +106 *3106:129 *3531:128 0.000225798 +107 *3106:129 *3531:157 4.89183e-05 +108 *3106:129 *5453:21 0.00023067 +109 *3106:149 *22434:B2 0.000107496 +110 *3106:149 *25365:A 5.98698e-05 +111 *3106:149 *3131:109 0.000107496 +112 *3106:149 *3146:92 1.62539e-05 +113 *3106:149 *3750:22 0 +114 *3106:149 *5855:60 7.41027e-06 +115 *3106:152 *22501:B2 0.000114186 +116 *3106:152 *3134:107 0.000110645 +117 *3106:152 *3750:22 9.33486e-05 +118 *3106:169 *3750:22 9.81091e-05 +119 *3106:180 *3114:190 0.000362873 +120 *3106:180 *3128:162 1.15389e-05 +121 *3106:180 *3131:133 0.0003038 +122 *3106:187 *3128:162 0.000642194 +123 *3106:187 *5908:95 0.000220688 +124 *3106:206 *22219:B2 0.000136298 +125 *3106:206 *3529:159 0.000126087 +126 *3106:227 *24652:RESET_B 4.48479e-05 +127 *3106:227 *3131:184 3.40183e-05 +128 *3106:227 *5867:176 9.75243e-05 +129 *3106:229 *24211:SET_B 5.98634e-05 +130 *3106:229 *24211:CLK 0.000158357 +131 *3106:229 *3131:184 0.00140107 +132 *3106:229 *4844:681 0.000234456 +133 *3106:229 *5350:11 6.37652e-06 +134 *3106:235 *5861:298 0.000173346 +135 *3106:247 *5861:298 0.000308639 +136 *3106:248 *3131:207 1.10793e-05 +137 *19801:B2 *3106:42 5.4678e-05 +138 *19824:A *3106:149 7.45623e-05 +139 *19848:B *3106:180 1.81083e-05 +140 *20700:B *3106:229 0.000137305 +141 *20981:B *3106:169 0.000755731 +142 *21429:A1 *22390:A2 6.08467e-05 +143 *21429:B2 *3106:229 6.89265e-05 +144 *21430:C1 *3106:247 0.000764596 +145 *21536:B1 *3106:149 5.51483e-06 +146 *21549:B1 *22324:A2 9.5146e-06 +147 *21589:B1 *3106:42 0.000214172 +148 *21753:C1 *3106:206 0.0001853 +149 *21771:B1 *3106:100 0.00017309 +150 *21777:B1 *22456:A2 2.16355e-05 +151 *21777:B1 *3106:110 5.99155e-05 +152 *22011:A2 *3106:68 0.000301167 +153 *22011:C1 *3106:68 0.000146746 +154 *22054:A1 *22324:A2 0.000806355 +155 *22196:A *3106:13 0.000122601 +156 *22196:A *3106:42 3.82228e-05 +157 *22324:A1 *22324:A2 9.86282e-05 +158 *22335:A1 *3106:42 7.40684e-06 +159 *22368:A1 *22368:A2 2.02035e-05 +160 *22369:B1 *3106:247 0.000981654 +161 *22412:A1 *22412:A2 2.54453e-05 +162 *22434:B1 *3106:149 4.49912e-05 +163 *22500:A1 *22500:A2 5.04829e-06 +164 *22501:A1 *3106:152 0.000107576 +165 *22506:A1 *3106:169 3.54295e-05 +166 *22600:A1 *3106:42 0.000644406 +167 *22669:A1 *3106:42 1.06728e-05 +168 *24232:D *3106:42 1.07248e-05 +169 *489:78 *3106:229 5.76501e-05 +170 *491:8 *22302:A2 0.000526298 +171 *491:8 *22390:A2 0.000112684 +172 *491:8 *3106:248 2.02035e-05 +173 *1435:318 *3106:187 0.000153676 +174 *1454:59 *3106:100 0.000482897 +175 *1535:8 *3106:187 0.000502152 +176 *1546:42 *22478:A2 2.58616e-05 +177 *1554:17 *3106:129 0.000161406 +178 *1554:17 *3106:149 0.000241102 +179 *1561:21 *3106:100 0.000215725 +180 *1566:68 *3106:169 0.000556287 +181 *1586:117 *3106:206 0.000765399 +182 *1587:121 *3106:129 0.00023067 +183 *1594:30 *3106:169 0.000852999 +184 *1595:60 *22219:A2 6.08467e-05 +185 *1598:82 *22324:A2 2.19168e-05 +186 *1598:82 *3106:68 7.36804e-06 +187 *1598:110 *3106:149 0.000136882 +188 *1601:8 *3106:209 0.000996934 +189 *1601:8 *3106:227 0.000158577 +190 *1601:16 *3106:209 7.65861e-05 +191 *1610:195 *22302:A2 0 +192 *1614:75 *22324:A2 3.55968e-05 +193 *1620:31 *3106:42 0.000123388 +194 *1627:79 *3106:42 0.0018593 +195 *1627:140 *22302:A2 3.20069e-06 +196 *1631:10 *3106:100 0.000555455 +197 *1647:148 *3106:229 0.000727333 +198 *1648:10 *3106:11 0.00013251 +199 *1652:12 *3106:42 1.87091e-06 +200 *1655:77 *3106:42 5.01835e-05 +201 *1658:73 *3106:206 0.000138979 +202 *1680:71 *3106:42 4.04447e-05 +203 *1689:135 *3106:169 0.000266316 +204 *1716:45 *3106:100 0.000397126 +205 *1717:133 *3106:68 3.08628e-05 +206 *1721:118 *3106:47 0.000252072 +207 *1721:118 *3106:68 0.000273572 +208 *1735:100 *3106:42 0.00192572 +209 *1735:132 *3106:42 0.000597019 +210 *1744:204 *3106:81 0.000146867 +211 *1775:12 *3106:100 0.000659017 +212 *1783:28 *3106:206 0.000634821 +213 *1783:38 *3106:206 0.000423134 +214 *1787:17 *3106:227 1.47364e-05 +215 *1790:73 *3106:42 0.00197774 +216 *1796:12 *3106:81 0.00023699 +217 *2088:113 *3106:11 0.000103002 +218 *2258:32 *22390:A2 6.08467e-05 +219 *2340:15 *3106:235 1.66771e-05 +220 *2343:25 *3106:235 8.11463e-06 +221 *2375:28 *22368:A2 4.75721e-06 +222 *2380:41 *3106:247 0.000487866 +223 *2520:21 *3106:235 8.76257e-05 +224 *2520:21 *3106:247 4.7923e-05 +225 *2534:44 *3106:42 0.00364075 +226 *2541:36 *3106:42 0.000236326 +227 *2554:35 *3106:206 1.43648e-05 +228 *2567:12 *3106:152 8.77983e-05 +229 *2567:12 *3106:169 8.98279e-05 +230 *2572:60 *3106:42 0.00142348 +231 *2577:48 *3106:42 1.80266e-05 +232 *2593:14 *22434:A2 4.88955e-05 +233 *2593:14 *3106:149 1.61631e-05 +234 *2604:50 *3106:81 0.000525152 +235 *2608:14 *3106:169 2.93111e-05 +236 *2608:25 *3106:169 7.01935e-06 +237 *2614:18 *3106:169 0.000336936 +238 *2672:40 *3106:100 9.47789e-06 +239 *2674:8 *3106:81 0.000233515 +240 *2724:13 *22478:A2 2.16355e-05 +241 *2764:55 *22280:A2 5.481e-05 +242 *2764:55 *3106:206 5.49209e-05 +243 *2766:14 *22280:A2 6.3657e-05 +244 *2767:27 *3106:129 0.000160804 +245 *2767:27 *3106:149 0.000462526 +246 *2783:86 *3106:180 0.00163476 +247 *2784:42 *3106:100 1.5714e-05 +248 *2785:75 *22500:A2 6.50586e-05 +249 *2790:7 *22456:A2 3.99086e-06 +250 *2796:26 *3106:180 0.000345048 +251 *2807:19 *3106:152 7.49659e-06 +252 *2807:39 *3106:81 0.000575012 +253 *2812:18 *3106:100 0.000385773 +254 *2825:92 *3106:68 7.62042e-05 +255 *2837:49 *3106:81 7.8938e-05 +256 *2840:24 *3106:81 6.29967e-05 +257 *2840:24 *3106:100 0.000136719 +258 *2844:74 *3106:129 7.8756e-07 +259 *2845:14 *3106:209 0.00106489 +260 *2845:14 *3106:227 0.000163591 +261 *2954:28 *3106:206 0.000350957 +262 *3015:26 *22324:A2 0.000215112 +263 *3015:26 *3106:68 3.29488e-05 +264 *3091:68 *3106:11 0.000540269 +265 *3091:68 *3106:13 0.00025175 +*RES +1 *22142:X *3106:11 49.1188 +2 *3106:11 *3106:13 8.48785 +3 *3106:13 *3106:42 48.9014 +4 *3106:42 *3106:47 16.691 +5 *3106:47 *3106:48 104.301 +6 *3106:48 *3106:68 30.2209 +7 *3106:68 *3106:81 34.5372 +8 *3106:81 *3106:100 47.1539 +9 *3106:100 *22478:A2 14.4725 +10 *3106:81 *3106:110 5.40841 +11 *3106:110 *22456:A2 15.7747 +12 *3106:110 *3106:129 21.1387 +13 *3106:129 *22412:A2 15.0271 +14 *3106:129 *3106:149 22.2932 +15 *3106:149 *3106:152 11.315 +16 *3106:152 *22500:A2 14.4725 +17 *3106:152 *3106:169 46.234 +18 *3106:169 *3106:180 44.5247 +19 *3106:180 *3106:187 20.7021 +20 *3106:187 *22280:A2 11.0817 +21 *3106:187 *3106:206 45.8382 +22 *3106:206 *3106:209 24.6031 +23 *3106:209 *22258:A2 21.1278 +24 *3106:209 *3106:227 21.2673 +25 *3106:227 *3106:229 49.8058 +26 *3106:229 *3106:235 8.9092 +27 *3106:235 *22368:A2 17.4965 +28 *3106:235 *3106:247 5.71338 +29 *3106:247 *3106:248 0.378612 +30 *3106:248 *22302:A2 25.1319 +31 *3106:248 *22346:A2 13.7491 +32 *3106:247 *22390:A2 16.9274 +33 *3106:206 *22219:A2 11.0817 +34 *3106:149 *22434:A2 9.97254 +35 *3106:68 *22324:A2 30.5678 +36 *3106:13 *22196:B 9.82786 +37 *3106:11 *6103:DIODE 9.24915 +*END + +*D_NET *3107 0.00256991 +*CONN +*I *22144:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *22143:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22144:A 0.000371422 +2 *22143:X 0.000371422 +3 *22144:A *22177:C 6.08467e-05 +4 *22144:A *3108:5 6.08467e-05 +5 *20836:A *22144:A 3.31745e-05 +6 *20836:B *22144:A 4.66492e-05 +7 *20855:B *22144:A 0.000216545 +8 *504:16 *22144:A 0.000663035 +9 *2108:7 *22144:A 8.29362e-05 +10 *2117:297 *22144:A 0.000663035 +*RES +1 *22143:X *22144:A 44.3413 +*END + +*D_NET *3108 0.0244406 +*CONN +*I *22158:B I *D sky130_fd_sc_hd__or2_4 +*I *22171:B I *D sky130_fd_sc_hd__or2_1 +*I *22188:B I *D sky130_fd_sc_hd__or2_1 +*I *22155:D I *D sky130_fd_sc_hd__or4_1 +*I *22146:A I *D sky130_fd_sc_hd__or2_1 +*I *22183:D I *D sky130_fd_sc_hd__or4_1 +*I *22152:B I *D sky130_fd_sc_hd__or2_1 +*I *22149:A I *D sky130_fd_sc_hd__or2_1 +*I *22163:C I *D sky130_fd_sc_hd__or3_1 +*I *22166:C I *D sky130_fd_sc_hd__or3_1 +*I *22190:C I *D sky130_fd_sc_hd__or3_1 +*I *22159:C I *D sky130_fd_sc_hd__or3_1 +*I *22186:A I *D sky130_fd_sc_hd__or2_1 +*I *22174:A I *D sky130_fd_sc_hd__or2_1 +*I *22177:C I *D sky130_fd_sc_hd__or3_1 +*I *22144:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22158:B 3.72016e-05 +2 *22171:B 0 +3 *22188:B 0.000445118 +4 *22155:D 5.49952e-05 +5 *22146:A 0.000566808 +6 *22183:D 0 +7 *22152:B 0 +8 *22149:A 0 +9 *22163:C 0 +10 *22166:C 0 +11 *22190:C 0.000109535 +12 *22159:C 6.95683e-05 +13 *22186:A 0.000142332 +14 *22174:A 0.000129157 +15 *22177:C 0.000795083 +16 *22144:X 1.16004e-05 +17 *3108:131 0.000680141 +18 *3108:103 0.000315113 +19 *3108:96 0.000233706 +20 *3108:79 0.00063762 +21 *3108:76 0.000753743 +22 *3108:68 0.000689359 +23 *3108:62 0.000529344 +24 *3108:58 0.000210864 +25 *3108:51 0.000298933 +26 *3108:39 0.000555293 +27 *3108:35 0.000781379 +28 *3108:33 0.000667341 +29 *3108:30 0.00140639 +30 *3108:5 0.00158827 +31 *22146:A *22152:A 7.99494e-05 +32 *22146:A *22163:B 4.38713e-05 +33 *22146:A *22184:A 8.86331e-05 +34 *22146:A *3111:16 0.000136473 +35 *22146:A *3153:26 4.31337e-05 +36 *22155:D *22163:B 1.5714e-05 +37 *22155:D *22183:A 6.08467e-05 +38 *22155:D *22183:B 9.95542e-06 +39 *22155:D *3118:39 5.41049e-06 +40 *22155:D *3118:44 5.04829e-06 +41 *22159:C *3481:9 0.000206256 +42 *22159:C *4866:110 7.89747e-05 +43 *22174:A *22174:B 6.27718e-05 +44 *22177:C *3177:27 1.55462e-05 +45 *22177:C *5948:76 0.000351795 +46 *22186:A *3481:9 0.000544509 +47 *22186:A *4827:29 6.08467e-05 +48 *22186:A *4866:110 0.000181027 +49 *22188:B *22156:A 6.51527e-05 +50 *22188:B *22189:A 8.79845e-05 +51 *22188:B *22235:A 5.36603e-05 +52 *22188:B *4804:24 6.3609e-05 +53 *22188:B *4830:71 0.000298704 +54 *3108:30 *22234:A 0.000466919 +55 *3108:30 *4823:142 0.000347264 +56 *3108:30 *5950:18 3.61466e-05 +57 *3108:30 *5950:55 0.000210465 +58 *3108:35 *5950:81 5.481e-05 +59 *3108:39 *22175:A 6.08467e-05 +60 *3108:39 *3139:10 2.81262e-05 +61 *3108:39 *4804:37 1.56049e-05 +62 *3108:39 *5950:81 5.9036e-05 +63 *3108:51 *22163:B 0 +64 *3108:58 *22152:A 0.000111812 +65 *3108:58 *22183:A 8.67924e-06 +66 *3108:62 *22152:A 0.000231721 +67 *3108:68 *22150:A 0.000107496 +68 *3108:68 *22163:B 2.48665e-05 +69 *3108:76 *22149:B 2.33246e-05 +70 *3108:76 *22163:B 2.76481e-05 +71 *3108:79 *3109:17 0.000118882 +72 *3108:79 *3164:15 0.000210181 +73 *3108:79 *4807:54 8.56016e-05 +74 *3108:96 *4807:54 4.63742e-05 +75 *3108:103 *3130:7 3.60384e-05 +76 *3108:131 *22152:A 1.24518e-05 +77 *20130:B *3108:30 0.000481329 +78 *20850:B *22177:C 0.000207266 +79 *20855:B *22177:C 0.000263648 +80 *22142:A *22146:A 6.08467e-05 +81 *22144:A *22177:C 6.08467e-05 +82 *22144:A *3108:5 6.08467e-05 +83 *22148:B *3108:62 0.000112361 +84 *22158:A *22158:B 0.000112228 +85 *22158:A *3108:33 3.54024e-05 +86 *22163:A *3108:76 7.92757e-06 +87 *22163:A *3108:103 9.02101e-05 +88 *22166:B *3108:103 1.07038e-05 +89 *22171:A *3108:33 0.000442903 +90 *22183:C *3108:51 5.32263e-05 +91 *22183:C *3108:58 5.04829e-06 +92 *22190:A *22190:C 0.00020502 +93 *22190:A *3108:103 4.74497e-05 +94 *541:28 *3108:68 0.000109112 +95 *1419:196 *3108:33 5.82686e-06 +96 *1648:10 *22146:A 7.28612e-05 +97 *1884:257 *3108:30 0.000611563 +98 *2106:8 *3108:30 6.51527e-05 +99 *2106:19 *3108:30 0.000101074 +100 *2108:7 *22177:C 0.000495694 +101 *2108:7 *3108:5 4.88955e-05 +102 *2108:33 *22177:C 0.000371245 +103 *2108:45 *22177:C 3.31733e-05 +104 *2110:7 *22177:C 0.000247443 +105 *2111:20 *22174:A 5.84813e-05 +106 *2111:20 *3108:51 7.26959e-06 +107 *2111:23 *3108:39 0.000110458 +108 *2115:14 *3108:30 9.71537e-05 +109 *2115:139 *3108:68 0.000104188 +110 *2117:13 *22174:A 0.000105296 +111 *2122:46 *3108:103 6.08467e-05 +112 *2379:26 *22146:A 1.17425e-05 +113 *2411:5 *22177:C 5.70291e-05 +114 *2425:14 *22177:C 0.000837415 +115 *2690:23 *3108:79 0.000626318 +116 *2690:23 *3108:96 3.92776e-05 +117 *2906:82 *22146:A 4.59574e-05 +118 *2906:82 *22174:A 4.12977e-05 +119 *2906:82 *3108:51 0.000207941 +120 *2906:82 *3108:58 8.61737e-06 +121 *2924:80 *22177:C 3.82228e-05 +122 *3052:28 *3108:68 3.024e-05 +123 *3052:38 *22190:C 1.65872e-05 +124 *3091:20 *3108:30 7.50722e-05 +125 *3091:28 *22188:B 3.91966e-05 +126 *3093:10 *3108:30 0.000299552 +127 *3104:9 *22177:C 0.000107496 +128 *3104:37 *3108:62 0.000247443 +129 *3104:40 *22146:A 0.000155106 +*RES +1 *22144:X *3108:5 9.97254 +2 *3108:5 *22177:C 46.198 +3 *3108:5 *3108:30 40.4615 +4 *3108:30 *3108:33 9.62117 +5 *3108:33 *3108:35 1.8326 +6 *3108:35 *3108:39 10.2148 +7 *3108:39 *22174:A 17.8678 +8 *3108:39 *3108:51 4.53113 +9 *3108:51 *3108:58 6.73533 +10 *3108:58 *3108:62 5.18434 +11 *3108:62 *3108:68 17.5167 +12 *3108:68 *3108:76 9.85313 +13 *3108:76 *3108:79 17.5438 +14 *3108:79 *22186:A 15.5186 +15 *3108:79 *22159:C 12.2151 +16 *3108:76 *3108:96 5.50149 +17 *3108:96 *3108:103 5.37724 +18 *3108:103 *22190:C 12.191 +19 *3108:103 *22166:C 9.24915 +20 *3108:96 *22163:C 9.24915 +21 *3108:68 *22149:A 9.24915 +22 *3108:62 *22152:B 9.24915 +23 *3108:58 *22183:D 9.24915 +24 *3108:51 *3108:131 1.29461 +25 *3108:131 *22146:A 28.1351 +26 *3108:131 *22155:D 15.584 +27 *3108:35 *22188:B 28.1694 +28 *3108:33 *22171:B 9.24915 +29 *3108:30 *22158:B 11.1059 +*END + +*D_NET *3109 0.0120732 +*CONN +*I *22146:B I *D sky130_fd_sc_hd__or2_1 +*I *22192:B I *D sky130_fd_sc_hd__or2_1 +*I *22240:B I *D sky130_fd_sc_hd__or2_1 +*I *22145:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22146:B 0.00078294 +2 *22192:B 0.000308254 +3 *22240:B 0.000365493 +4 *22145:X 0.000302296 +5 *3109:17 0.00217313 +6 *3109:8 0.00174972 +7 *22146:B *22157:D 2.77459e-05 +8 *22146:B *3117:15 0.000670087 +9 *22146:B *4807:54 7.98343e-05 +10 *22192:B *22193:A 3.53886e-05 +11 *22192:B *3114:15 0.00025175 +12 *22192:B *3128:11 0.000251655 +13 *22192:B *3155:11 4.25005e-05 +14 *22240:B *3204:19 5.51483e-06 +15 *22240:B *4865:24 6.08467e-05 +16 *3109:8 *3118:7 0.000183557 +17 *3109:8 *3118:77 0.000306917 +18 *3109:8 *3472:30 5.05252e-05 +19 *3109:8 *3479:24 5.41227e-05 +20 *3109:17 *22551:A 0.000206542 +21 *3109:17 *22553:A 0.000129893 +22 *3109:17 *3164:15 0.000210181 +23 *3109:17 *3472:30 0.000205243 +24 *3109:17 *3472:43 1.91246e-05 +25 *3109:17 *3479:24 8.89094e-05 +26 *3109:17 *4807:54 0.000326423 +27 *22145:B *3109:8 0.000215785 +28 *22151:A *22192:B 8.79845e-05 +29 *22179:A *22192:B 6.08467e-05 +30 *22192:A *22192:B 6.3657e-05 +31 *22221:A *3109:8 2.15184e-05 +32 *22240:A *22240:B 0.000107496 +33 *547:33 *3109:17 0.000101483 +34 *2088:113 *22146:B 4.58373e-05 +35 *2088:113 *3109:17 0.00126215 +36 *2100:12 *3109:17 2.57465e-06 +37 *2100:23 *3109:17 3.5455e-05 +38 *2115:59 *22240:B 0.000507206 +39 *2115:59 *3109:8 0.00025456 +40 *2115:61 *22240:B 6.08467e-05 +41 *2123:47 *22240:B 6.50727e-05 +42 *2690:23 *3109:17 8.43032e-06 +43 *2924:80 *3109:8 0.000164829 +44 *3108:79 *3109:17 0.000118882 +*RES +1 *22145:X *3109:8 25.4569 +2 *3109:8 *22240:B 23.3462 +3 *3109:8 *3109:17 33.3912 +4 *3109:17 *22192:B 22.7916 +5 *3109:17 *22146:B 26.8661 +*END + +*D_NET *3110 0.000301595 +*CONN +*I *22147:A I *D sky130_fd_sc_hd__buf_8 +*I *22146:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22147:A 7.68234e-05 +2 *22146:X 7.68234e-05 +3 *22147:A *3153:26 3.53857e-05 +4 *22147:A *3491:8 0.000109954 +5 *2906:82 *22147:A 2.60879e-06 +*RES +1 *22146:X *22147:A 29.7455 +*END + +*D_NET *3111 0.0996852 +*CONN +*I *22157:A I *D sky130_fd_sc_hd__and4_1 +*I *22356:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22378:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22290:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22239:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22510:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22466:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22444:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22422:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22268:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22400:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22312:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22334:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22488:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22147:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22157:A 0.000294688 +2 *22356:B2 0.000247576 +3 *22378:B2 0 +4 *22290:B2 0.000155289 +5 *22239:B2 0 +6 *22510:B2 0.000394809 +7 *22466:B2 0 +8 *22444:B2 9.9043e-05 +9 *22422:B2 0.000450898 +10 *22268:B2 0.0003556 +11 *22400:B2 6.69141e-05 +12 *22312:B2 6.51689e-05 +13 *22334:B2 0 +14 *22488:B2 0.00126953 +15 *22147:X 0.001162 +16 *3111:234 0.000677103 +17 *3111:223 0.000847891 +18 *3111:204 0.00210726 +19 *3111:192 0.00141815 +20 *3111:186 0.00178457 +21 *3111:160 0.00258012 +22 *3111:155 0.00198218 +23 *3111:149 0.00157172 +24 *3111:142 0.00130339 +25 *3111:125 0.00362422 +26 *3111:94 0.0051884 +27 *3111:63 0.00327165 +28 *3111:52 0.00285788 +29 *3111:39 0.00234085 +30 *3111:28 0.00529236 +31 *3111:16 0.00305536 +32 *22157:A *22157:B 0.000110297 +33 *22157:A *22157:C 2.79645e-05 +34 *22157:A *22157:D 0.000166675 +35 *22157:A *22195:D 3.82203e-05 +36 *22157:A *22196:C 6.3657e-05 +37 *22157:A *3157:21 0 +38 *22268:B2 *22264:A2 0.000102714 +39 *22268:B2 *22271:A 0.000107496 +40 *22268:B2 *22271:C 1.30642e-05 +41 *22268:B2 *3233:8 2.05972e-05 +42 *22290:B2 *3243:41 0.000160678 +43 *22312:B2 *22310:C1 6.08467e-05 +44 *22312:B2 *22312:B1 4.09478e-05 +45 *22312:B2 *22312:C1 6.50586e-05 +46 *22312:B2 *3471:108 5.61389e-05 +47 *22356:B2 *22356:C1 5.88657e-05 +48 *22356:B2 *3122:136 3.63412e-05 +49 *22356:B2 *3316:14 9.89172e-05 +50 *22356:B2 *3632:19 0.000154591 +51 *22422:B2 *3192:230 1.273e-05 +52 *22422:B2 *3415:22 0.000154591 +53 *22422:B2 *3419:12 0.000168328 +54 *22488:B2 *22485:A2 6.87762e-05 +55 *22488:B2 *22486:A2 6.75957e-05 +56 *22488:B2 *22491:C 0.000200794 +57 *22488:B2 *22784:A2 9.7112e-06 +58 *22488:B2 *3461:26 5.33881e-06 +59 *22488:B2 *3722:8 1.92588e-05 +60 *22510:B2 *3419:12 6.71354e-05 +61 *3111:16 *22165:A 4.57321e-06 +62 *3111:16 *3132:29 5.60804e-05 +63 *3111:16 *3157:21 0.000129616 +64 *3111:16 *4827:32 1.5714e-05 +65 *3111:16 *5476:69 0.00105927 +66 *3111:28 *22202:B 0.000314237 +67 *3111:28 *3132:29 7.53378e-05 +68 *3111:28 *3148:46 0.00118769 +69 *3111:28 *3151:37 0.000240366 +70 *3111:28 *3179:134 0.000130248 +71 *3111:28 *3483:124 0.00108705 +72 *3111:28 *3496:142 0.000789178 +73 *3111:28 *4824:180 8.3051e-06 +74 *3111:28 *4827:32 2.50127e-05 +75 *3111:28 *4827:51 4.12192e-05 +76 *3111:28 *5475:83 0.000224102 +77 *3111:39 *22330:C1 9.39505e-05 +78 *3111:39 *22337:A 1.78704e-05 +79 *3111:39 *22338:C 8.03699e-06 +80 *3111:39 *3509:24 0.000206333 +81 *3111:39 *3533:12 0.000531752 +82 *3111:52 *22327:C1 0.000110473 +83 *3111:52 *22662:A2 2.7636e-05 +84 *3111:52 *3507:34 1.5714e-05 +85 *3111:52 *3513:39 1.55001e-05 +86 *3111:63 *3128:60 1.69394e-06 +87 *3111:63 *3128:64 0.000337015 +88 *3111:63 *3175:243 0.000394498 +89 *3111:63 *3190:45 0.000879732 +90 *3111:94 *21658:A1 1.02256e-05 +91 *3111:94 *22305:A1 7.16607e-05 +92 *3111:94 *22308:C1 0.0001267 +93 *3111:94 *22310:B2 0.000157017 +94 *3111:94 *22310:C1 0.000113916 +95 *3111:94 *22590:A 5.20615e-05 +96 *3111:94 *22642:B1 0.00064532 +97 *3111:94 *3153:65 0.000194202 +98 *3111:94 *3179:136 0.000260661 +99 *3111:94 *3190:10 1.27831e-06 +100 *3111:94 *3198:123 1.90063e-05 +101 *3111:94 *3466:21 0.000279529 +102 *3111:94 *3471:15 0.00168873 +103 *3111:94 *3533:12 0.000301048 +104 *3111:94 *4804:62 0.000260661 +105 *3111:94 *4806:24 7.94966e-05 +106 *3111:125 *21658:A1 0.000224903 +107 *3111:125 *22403:B 0.000128304 +108 *3111:125 *3196:152 0.00103127 +109 *3111:125 *3471:139 0.000990775 +110 *3111:125 *3471:162 0.000252934 +111 *3111:125 *3521:165 0.00174023 +112 *3111:125 *3620:14 2.08413e-05 +113 *3111:125 *3632:25 0.000182688 +114 *3111:125 *3653:8 1.5714e-05 +115 *3111:142 *22403:B 0.000227247 +116 *3111:142 *3620:14 7.49659e-06 +117 *3111:142 *3632:19 0.000542043 +118 *3111:149 *22676:A2 0.00118637 +119 *3111:155 *22378:C1 0.000156946 +120 *3111:160 *22264:A2 0.000194581 +121 *3111:160 *3233:8 2.57465e-06 +122 *3111:160 *3564:14 3.69274e-05 +123 *3111:186 *3546:26 0.000120086 +124 *3111:192 *3202:14 4.15888e-05 +125 *3111:204 *22238:B 8.17544e-05 +126 *3111:204 *22239:C1 6.08467e-05 +127 *3111:223 *3415:22 0.000642321 +128 *3111:223 *3419:12 0.000641698 +129 *3111:234 *3419:12 0.000348081 +130 *3111:234 *3519:174 0 +131 *19831:A1 *3111:204 0.000992709 +132 *19831:B2 *3111:204 0.000351133 +133 *19897:A *3111:63 0.000194166 +134 *19897:B *3111:63 0.000154145 +135 *21328:C *3111:125 0.000518901 +136 *21352:A1 *3111:28 0 +137 *21577:A2 *3111:52 0.000167242 +138 *21623:A1 *3111:63 5.8973e-05 +139 *21623:B1 *3111:63 8.19236e-05 +140 *21707:B1 *22488:B2 0.000264206 +141 *21851:A2 *22488:B2 0.000187677 +142 *21868:B2 *3111:186 0.000484708 +143 *22018:D *3111:186 5.33185e-05 +144 *22029:B2 *3111:186 0.000160824 +145 *22029:C1 *3111:186 3.13254e-05 +146 *22042:A *3111:186 0.000497858 +147 *22042:B *3111:186 2.71504e-05 +148 *22054:C1 *3111:192 1.65872e-05 +149 *22060:A2 *3111:186 0.000110473 +150 *22060:B1 *3111:186 8.6297e-06 +151 *22061:A *3111:186 0.000296893 +152 *22146:A *3111:16 0.000136473 +153 *22238:A *3111:204 1.88014e-05 +154 *22239:A2 *3111:192 0.000118693 +155 *22290:A2 *22290:B2 5.36185e-05 +156 *22329:B1 *3111:39 4.35662e-05 +157 *22334:A2 *3111:39 0.000135476 +158 *22334:B1 *3111:39 1.15389e-05 +159 *22334:B1 *3111:52 2.16355e-05 +160 *22356:A2 *22356:B2 1.5714e-05 +161 *22356:B1 *22356:B2 6.3657e-05 +162 *22378:A2 *3111:149 3.01683e-06 +163 *22378:B1 *3111:149 1.41976e-05 +164 *22378:B1 *3111:155 6.08467e-05 +165 *22400:B1 *22400:B2 1.41976e-05 +166 *22444:A1 *22444:B2 0.000267467 +167 *22444:A1 *3111:223 0.000107496 +168 *22444:B1 *22444:B2 4.31603e-06 +169 *22466:A2 *22510:B2 0.000364328 +170 *22466:B1 *22510:B2 3.21591e-05 +171 *22466:B1 *3111:234 9.12416e-06 +172 *22485:A1 *22488:B2 0.000191913 +173 *22486:A1 *22488:B2 9.21574e-05 +174 *22488:A1 *22488:B2 7.77744e-05 +175 *22488:A2 *22488:B2 1.9633e-05 +176 *22488:B1 *22488:B2 8.78172e-06 +177 *22510:A1 *22510:B2 3.99086e-06 +178 *22510:A2 *22510:B2 7.92757e-06 +179 *22510:B1 *22510:B2 2.26277e-05 +180 *22587:A *3111:94 0.000129091 +181 *22608:B1 *3111:186 4.60375e-07 +182 *22718:A1 *22400:B2 7.92757e-06 +183 *22726:B1 *22488:B2 3.63593e-05 +184 *22780:B1 *22488:B2 3.01087e-05 +185 *22781:A1 *22488:B2 5.10223e-05 +186 *324:27 *3111:125 0.000106389 +187 *482:86 *3111:149 0.00023131 +188 *482:86 *3111:155 0.000964406 +189 *504:11 *3111:94 9.82974e-06 +190 *1435:197 *22488:B2 8.4653e-05 +191 *1438:134 *22422:B2 0.000207911 +192 *1564:62 *3111:28 0.000159964 +193 *1564:134 *3111:186 0.000394507 +194 *1566:55 *22422:B2 2.77419e-05 +195 *1584:95 *3111:186 6.63489e-05 +196 *1588:136 *3111:192 1.95022e-05 +197 *1588:136 *3111:204 4.34402e-05 +198 *1603:81 *3111:63 4.2372e-05 +199 *1610:104 *3111:149 0.00110283 +200 *1614:11 *3111:63 6.36477e-05 +201 *1614:19 *3111:63 4.62549e-05 +202 *1616:123 *22356:B2 0.000393017 +203 *1616:157 *3111:155 0.00164834 +204 *1616:157 *3111:160 0.000624943 +205 *1634:69 *3111:204 0.000152164 +206 *1637:69 *3111:63 5.51483e-06 +207 *1637:87 *3111:63 7.92757e-06 +208 *1648:25 *22157:A 4.17531e-06 +209 *1648:25 *3111:16 0.00128278 +210 *1652:12 *3111:16 0.00139602 +211 *1717:68 *3111:125 4.86554e-05 +212 *1717:102 *3111:125 0.000304305 +213 *1732:12 *22510:B2 6.4674e-06 +214 *1732:18 *3111:234 5.45361e-05 +215 *1732:34 *22422:B2 6.22259e-05 +216 *1732:34 *3111:234 1.5714e-05 +217 *1762:86 *22488:B2 0.000120121 +218 *1771:60 *3111:63 0.00049536 +219 *1772:71 *22488:B2 9.25713e-05 +220 *1777:15 *22488:B2 6.00782e-06 +221 *2093:10 *3111:28 0.000725416 +222 *2093:181 *3111:186 0.000154145 +223 *2117:55 *3111:94 8.8078e-05 +224 *2117:55 *3111:125 0.000193066 +225 *2117:77 *22356:B2 3.44695e-05 +226 *2117:77 *3111:142 0.0005997 +227 *2117:84 *3111:149 0.000228364 +228 *2117:102 *3111:149 5.51483e-06 +229 *2117:102 *3111:155 3.64553e-05 +230 *2117:143 *22444:B2 3.95516e-05 +231 *2117:180 *3111:192 3.29488e-05 +232 *2117:200 *3111:192 0.000298399 +233 *2117:200 *3111:204 0.000298399 +234 *2243:14 *3111:142 2.00353e-05 +235 *2243:22 *3111:142 0.000194023 +236 *2243:28 *22400:B2 4.46277e-05 +237 *2286:36 *3111:39 0.000116114 +238 *2313:25 *3111:94 0.000363965 +239 *2313:33 *3111:94 0.00042375 +240 *2357:87 *3111:155 0.000203595 +241 *2383:25 *3111:125 0.000165508 +242 *2389:96 *3111:125 0.000532763 +243 *2394:47 *3111:125 0.000109247 +244 *2404:101 *3111:28 0.000219937 +245 *2417:82 *3111:125 0.00154799 +246 *2472:27 *3111:125 0.000331332 +247 *2474:37 *3111:125 8.3051e-06 +248 *2531:91 *3111:52 7.33044e-05 +249 *2534:44 *3111:39 0.000163327 +250 *2539:12 *3111:192 0.000162061 +251 *2554:56 *3111:52 1.21461e-06 +252 *2572:67 *3111:39 0.000442653 +253 *2572:67 *3111:52 0.000438346 +254 *2584:77 *3111:39 0.00180251 +255 *2601:58 *3111:186 0.000107496 +256 *2630:31 *3111:52 5.04829e-06 +257 *2642:39 *3111:39 0.000930463 +258 *2642:39 *3111:94 0.000272746 +259 *2642:41 *3111:39 8.42528e-05 +260 *2679:41 *3111:125 0.000848831 +261 *2697:39 *22488:B2 0.000142657 +262 *2708:47 *22488:B2 0.000202162 +263 *2724:24 *22488:B2 4.49161e-05 +264 *2750:56 *22488:B2 0.000115919 +265 *2771:15 *22444:B2 0.000360119 +266 *2771:33 *22422:B2 2.05972e-05 +267 *2771:58 *22444:B2 6.3657e-05 +268 *2771:58 *3111:223 9.47944e-05 +269 *2806:19 *22290:B2 3.61784e-05 +270 *2806:30 *22290:B2 0.000225568 +271 *2880:20 *3111:125 2.05082e-05 +272 *2883:64 *22268:B2 7.09666e-06 +273 *2883:64 *3111:160 0.00029671 +274 *2906:82 *3111:16 0.000193817 +275 *2919:51 *3111:52 0.000107063 +276 *3015:16 *3111:186 0.000258285 +277 *3021:13 *3111:125 0.000118531 +278 *3042:25 *22268:B2 2.57847e-05 +279 *3042:25 *3111:186 5.88657e-05 +280 *3044:33 *22268:B2 2.16355e-05 +281 *3104:40 *3111:16 5.60364e-06 +*RES +1 *22147:X *3111:16 28.6095 +2 *3111:16 *3111:28 25.237 +3 *3111:28 *3111:39 19.3034 +4 *3111:39 *3111:52 35.1699 +5 *3111:52 *3111:63 47.2045 +6 *3111:63 *22488:B2 46.8195 +7 *3111:39 *22334:B2 9.24915 +8 *3111:28 *3111:94 45.8184 +9 *3111:94 *22312:B2 16.1364 +10 *3111:94 *3111:125 27.0904 +11 *3111:125 *22400:B2 15.63 +12 *3111:125 *3111:142 18.9306 +13 *3111:142 *3111:149 23.7182 +14 *3111:149 *3111:155 27.3926 +15 *3111:155 *3111:160 18.2784 +16 *3111:160 *22268:B2 20.7896 +17 *3111:160 *3111:186 47.8845 +18 *3111:186 *3111:192 16.544 +19 *3111:192 *3111:204 29.3125 +20 *3111:204 *22422:B2 32.1712 +21 *3111:204 *3111:223 19.6523 +22 *3111:223 *22444:B2 14.4335 +23 *3111:223 *3111:234 11.7303 +24 *3111:234 *22466:B2 13.7491 +25 *3111:234 *22510:B2 21.3148 +26 *3111:192 *22239:B2 9.24915 +27 *3111:155 *22290:B2 23.6591 +28 *3111:149 *22378:B2 9.24915 +29 *3111:142 *22356:B2 21.8478 +30 *3111:16 *22157:A 21.5618 +*END + +*D_NET *3112 0.00528193 +*CONN +*I *22226:B I *D sky130_fd_sc_hd__or2_1 +*I *22181:B I *D sky130_fd_sc_hd__or2_1 +*I *22149:B I *D sky130_fd_sc_hd__or2_1 +*I *22148:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22226:B 0.000433244 +2 *22181:B 0 +3 *22149:B 8.98957e-06 +4 *22148:X 0.000567045 +5 *3112:19 0.000736549 +6 *3112:8 0.00087934 +7 *22149:B *22163:B 3.96379e-06 +8 *22226:B *5853:334 3.63738e-05 +9 *22226:B *5944:69 0.000263795 +10 *22226:B *5944:80 6.22259e-05 +11 *3112:8 *3139:10 7.09666e-06 +12 *3112:8 *3148:20 1.47488e-05 +13 *3112:8 *3479:53 6.91696e-05 +14 *3112:8 *5476:69 0.000203595 +15 *3112:19 *3479:53 0.000529347 +16 *22148:B *3112:8 3.4123e-05 +17 *2098:36 *3112:8 9.80784e-05 +18 *2098:36 *3112:19 0.00053152 +19 *2100:55 *22226:B 0.000273822 +20 *3091:66 *22226:B 0.000398089 +21 *3091:68 *22226:B 0.000107496 +22 *3108:76 *22149:B 2.33246e-05 +*RES +1 *22148:X *3112:8 22.8204 +2 *3112:8 *22149:B 14.0264 +3 *3112:8 *3112:19 15.4675 +4 *3112:19 *22181:B 9.24915 +5 *3112:19 *22226:B 30.3637 +*END + +*D_NET *3113 0.000208624 +*CONN +*I *22150:A I *D sky130_fd_sc_hd__buf_8 +*I *22149:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22150:A 4.14302e-05 +2 *22149:X 4.14302e-05 +3 *22150:A *22163:B 1.82679e-05 +4 *3108:68 *22150:A 0.000107496 +*RES +1 *22149:X *22150:A 19.7763 +*END + +*D_NET *3114 0.124126 +*CONN +*I *22157:B I *D sky130_fd_sc_hd__and4_1 +*I *22415:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22393:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22349:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22371:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22283:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22261:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22224:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22459:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22481:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22327:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22305:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22503:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22437:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22150:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22157:B 0.00021294 +2 *22415:B2 0 +3 *22393:B2 0 +4 *22349:B2 0.000613417 +5 *22371:B2 0.000246115 +6 *22283:B2 0.000559726 +7 *22261:B2 0.000104411 +8 *22224:B2 0 +9 *22459:B2 3.00134e-05 +10 *22481:B2 5.59646e-05 +11 *22327:B2 0.000245979 +12 *22305:B2 0.00047446 +13 *22503:B2 0.000395342 +14 *22437:B2 0.000150176 +15 *22150:X 0.00137327 +16 *3114:275 0.0010048 +17 *3114:269 0.000475696 +18 *3114:268 0.00112221 +19 *3114:251 0.0026632 +20 *3114:230 0.00231057 +21 *3114:216 0.000906445 +22 *3114:200 0.00127681 +23 *3114:190 0.00133629 +24 *3114:180 0.00271829 +25 *3114:160 0.00386292 +26 *3114:137 0.00187946 +27 *3114:102 0.00154764 +28 *3114:96 0.00451126 +29 *3114:83 0.00407948 +30 *3114:66 0.00256579 +31 *3114:51 0.00180263 +32 *3114:29 0.00256089 +33 *3114:22 0.00415947 +34 *3114:15 0.00363551 +35 *22157:B *3157:21 2.41274e-06 +36 *22157:B *3481:77 6.22259e-05 +37 *22261:B2 *22256:A2 3.3267e-05 +38 *22261:B2 *3128:176 0.000349354 +39 *22261:B2 *3222:41 1.65872e-05 +40 *22283:B2 *22294:A 3.31745e-05 +41 *22283:B2 *3233:22 0.000121386 +42 *22283:B2 *3541:71 0.000166348 +43 *22305:B2 *22306:A 8.41713e-05 +44 *22305:B2 *22306:B 7.83273e-05 +45 *22305:B2 *22306:C 2.92556e-05 +46 *22305:B2 *22306:D 0.000158451 +47 *22327:B2 *22327:A2 0.000192597 +48 *22349:B2 *22349:C1 0.00011557 +49 *22349:B2 *22393:C1 0.000343331 +50 *22349:B2 *3305:8 5.50442e-05 +51 *22371:B2 *22371:A2 9.95922e-06 +52 *22371:B2 *22371:C1 0.000114725 +53 *22371:B2 *22372:D 1.09551e-05 +54 *22371:B2 *3128:209 2.65667e-05 +55 *22371:B2 *3128:227 2.07503e-05 +56 *22371:B2 *3148:100 6.098e-05 +57 *22371:B2 *3326:15 0.000246369 +58 *22437:B2 *3162:62 0.000139371 +59 *22437:B2 *3734:18 0.000359176 +60 *22459:B2 *22459:A2 6.08467e-05 +61 *22481:B2 *22791:A2 0 +62 *22481:B2 *3128:133 4.17531e-06 +63 *22481:B2 *3128:140 1.41307e-05 +64 *22503:B2 *22503:A2 3.41459e-05 +65 *22503:B2 *3453:8 1.66771e-05 +66 *22503:B2 *3457:20 0.000137279 +67 *22503:B2 *3521:101 2.37478e-05 +68 *3114:15 *21702:A1 0.0005726 +69 *3114:15 *22191:A 0.000230615 +70 *3114:15 *22193:A 7.92757e-06 +71 *3114:15 *3116:17 7.94712e-05 +72 *3114:15 *3148:20 0.000107496 +73 *3114:15 *3151:37 1.38817e-05 +74 *3114:15 *3155:11 1.86666e-05 +75 *3114:15 *3175:19 0.00161651 +76 *3114:15 *3204:107 0.000310407 +77 *3114:15 *4807:28 0.00110159 +78 *3114:22 *22194:B 0.000200794 +79 *3114:22 *3155:11 4.15216e-06 +80 *3114:22 *3175:27 2.88574e-05 +81 *3114:22 *4920:60 0.00014634 +82 *3114:22 *4920:74 0.000277036 +83 *3114:22 *5956:58 0.000145085 +84 *3114:29 *19901:A 2.16355e-05 +85 *3114:29 *22803:B2 0.000403649 +86 *3114:29 *3179:29 0.000182522 +87 *3114:29 *3385:15 0.00104342 +88 *3114:29 *3411:16 2.22897e-06 +89 *3114:29 *3496:52 0.000188618 +90 *3114:29 *3502:21 0.000286825 +91 *3114:29 *3734:18 0.00208689 +92 *3114:51 *22415:C1 2.49624e-05 +93 *3114:51 *22416:A 0.000203118 +94 *3114:51 *22416:D 2.71653e-05 +95 *3114:51 *3392:10 0.000289037 +96 *3114:51 *3401:10 0.000292462 +97 *3114:66 *3175:43 1.10565e-05 +98 *3114:66 *3377:20 7.12501e-05 +99 *3114:66 *3398:17 0.000170404 +100 *3114:66 *3432:31 0.000473395 +101 *3114:66 *3442:14 6.16319e-05 +102 *3114:66 *3457:20 1.48618e-05 +103 *3114:83 *3427:28 0.00117429 +104 *3114:83 *3457:20 0.00147395 +105 *3114:96 *3128:60 0.000447381 +106 *3114:96 *3179:167 0.000831458 +107 *3114:96 *3507:34 0.000523014 +108 *3114:96 *3511:63 8.84761e-06 +109 *3114:96 *3523:74 0.00144819 +110 *3114:102 *22301:B1 1.44237e-05 +111 *3114:102 *22301:B2 0.000200785 +112 *3114:102 *22306:A 3.96379e-06 +113 *3114:102 *22306:B 2.77625e-06 +114 *3114:102 *3148:72 0.000300565 +115 *3114:102 *3148:183 0.000593957 +116 *3114:102 *3204:299 0.00145834 +117 *3114:102 *3511:29 0.00070835 +118 *3114:102 *3511:34 0.0011596 +119 *3114:102 *3533:30 0.000454029 +120 *3114:102 *4814:77 5.22859e-06 +121 *3114:102 *4814:83 0.00111293 +122 *3114:137 *3128:140 2.01503e-05 +123 *3114:137 *3175:219 0.000308722 +124 *3114:137 *3427:28 0.000159964 +125 *3114:137 *3457:20 0.000141609 +126 *3114:160 *22482:C 7.40684e-06 +127 *3114:160 *22763:A2 0.001274 +128 *3114:160 *3128:140 6.62879e-05 +129 *3114:160 *3128:142 7.79461e-05 +130 *3114:160 *3153:266 9.55103e-05 +131 *3114:160 *3394:8 0.000203569 +132 *3114:160 *3398:17 0.000171851 +133 *3114:160 *3509:60 0.000122792 +134 *3114:160 *3519:159 2.71817e-05 +135 *3114:160 *3521:64 9.10158e-05 +136 *3114:160 *3537:88 9.39849e-05 +137 *3114:160 *5919:40 1.97655e-05 +138 *3114:180 *22772:B2 0.000223265 +139 *3114:180 *3122:238 0.000217674 +140 *3114:180 *3128:155 4.50529e-05 +141 *3114:180 *3153:266 0.00114048 +142 *3114:180 *3190:77 0.00160945 +143 *3114:180 *3398:17 8.52166e-05 +144 *3114:180 *3521:64 0.000397523 +145 *3114:190 *3128:159 7.77387e-05 +146 *3114:190 *3128:162 0.000517344 +147 *3114:190 *3131:133 2.47663e-05 +148 *3114:190 *3153:244 6.11074e-05 +149 *3114:190 *3533:100 0.000216068 +150 *3114:190 *5867:99 0.00040098 +151 *3114:200 *22224:C1 0.000129809 +152 *3114:200 *22249:C 0.000161234 +153 *3114:200 *3128:162 0.00253597 +154 *3114:200 *3128:166 0.000207911 +155 *3114:200 *3241:9 0.00248577 +156 *3114:200 *3531:174 8.93134e-05 +157 *3114:200 *3533:100 1.36444e-05 +158 *3114:216 *22224:A2 1.81606e-05 +159 *3114:216 *22224:C1 0.000144368 +160 *3114:216 *22225:D 1.58551e-05 +161 *3114:216 *22256:A2 4.6902e-05 +162 *3114:216 *22256:B2 5.78202e-05 +163 *3114:216 *3128:166 9.94284e-06 +164 *3114:216 *3128:176 0.000815544 +165 *3114:230 *3222:41 0.000162739 +166 *3114:251 *3212:19 0.00306102 +167 *3114:251 *4860:17 0.000176896 +168 *3114:268 *22339:A2 2.99978e-05 +169 *3114:268 *22388:B2 1.55995e-05 +170 *3114:268 *22389:C1 0.000396284 +171 *3114:268 *3177:327 9.80242e-07 +172 *3114:268 *3326:15 0.000122281 +173 *3114:275 *22389:A2 6.50586e-05 +174 *3114:275 *22389:B2 0.000205387 +175 *19848:B *3114:190 3.24903e-05 +176 *19895:B2 *3114:200 0.00015587 +177 *21436:B1 *22349:B2 0.000486972 +178 *21436:B2 *22349:B2 6.78777e-05 +179 *21969:A1 *3114:29 6.22732e-06 +180 *22047:B1 *3114:180 6.23101e-05 +181 *22157:A *22157:B 0.000110297 +182 *22179:A *3114:15 1.65872e-05 +183 *22192:B *3114:15 0.00025175 +184 *22224:A1 *3114:216 6.08467e-05 +185 *22224:B1 *3114:200 6.08467e-05 +186 *22305:B1 *22305:B2 1.07257e-05 +187 *22327:A1 *22327:B2 7.92757e-06 +188 *22327:B1 *22327:B2 3.41459e-05 +189 *22339:A1 *3114:268 6.11872e-05 +190 *22339:B1 *3114:268 7.13972e-05 +191 *22366:B1 *3114:251 0.000845071 +192 *22388:B1 *3114:268 1.65872e-05 +193 *22389:A1 *3114:275 4.39732e-05 +194 *22418:A1 *3114:180 8.34321e-06 +195 *22420:B1 *3114:66 0.000299419 +196 *22431:A1 *3114:66 5.60804e-05 +197 *22459:A1 *22459:B2 0.000107496 +198 *22481:A1 *22481:B2 4.80635e-06 +199 *22481:A1 *3114:137 1.66626e-05 +200 *22481:A1 *3114:160 0.000208153 +201 *22503:A1 *22503:B2 7.13972e-05 +202 *22703:B1 *3114:251 5.60804e-05 +203 *22727:A1 *3114:66 0.00193722 +204 *23924:B *3114:96 0.0012899 +205 *24910:A *3114:96 3.39456e-05 +206 *24910:A *3114:137 0.000307262 +207 *506:16 *3114:102 0.000210693 +208 *520:17 *3114:96 9.89376e-05 +209 *520:27 *3114:96 1.11706e-06 +210 *541:28 *3114:15 7.94712e-05 +211 *1459:110 *3114:96 6.22732e-06 +212 *1544:133 *3114:96 0.000104754 +213 *1570:15 *3114:96 0.00116296 +214 *1587:137 *3114:29 6.99135e-05 +215 *1593:20 *3114:190 0.000479701 +216 *1593:26 *3114:180 1.47014e-05 +217 *1596:92 *22283:B2 9.81694e-05 +218 *1605:46 *3114:180 2.31045e-05 +219 *1610:33 *3114:96 0.00098252 +220 *1617:33 *22283:B2 3.31745e-05 +221 *1618:126 *22283:B2 0.000487796 +222 *1635:116 *3114:251 0.000199622 +223 *1641:60 *3114:29 0.00231525 +224 *1648:25 *22157:B 8.78407e-06 +225 *1649:67 *3114:22 0.000544589 +226 *1651:52 *3114:29 5.84021e-05 +227 *1660:40 *3114:22 2.77564e-05 +228 *1661:24 *3114:29 0.000773605 +229 *1663:27 *3114:251 0.000588767 +230 *1697:52 *3114:66 1.07248e-05 +231 *1697:75 *3114:66 6.9694e-05 +232 *1724:48 *3114:180 6.86213e-05 +233 *1724:76 *3114:180 0.000267831 +234 *1725:75 *3114:66 0.000670445 +235 *1725:115 *3114:66 0.000455857 +236 *1725:115 *3114:160 0.00174614 +237 *1725:144 *3114:160 0.000262424 +238 *1730:240 *22503:B2 0.000445469 +239 *1731:107 *3114:160 0.000163377 +240 *1733:14 *22437:B2 0.000134826 +241 *1735:132 *22327:B2 8.23644e-05 +242 *1735:132 *3114:102 0.000139768 +243 *1770:58 *3114:200 0.000281455 +244 *1788:77 *3114:96 8.00506e-05 +245 *2246:14 *3114:275 0.000107496 +246 *2305:16 *22349:B2 3.5534e-06 +247 *2305:16 *3114:275 4.05967e-05 +248 *2305:20 *22349:B2 2.27901e-06 +249 *2360:57 *22349:B2 8.03951e-06 +250 *2411:26 *22157:B 5.88009e-05 +251 *2416:19 *3114:268 7.77744e-05 +252 *2448:92 *22349:B2 4.42033e-05 +253 *2468:37 *3114:180 0 +254 *2488:14 *22371:B2 6.08143e-05 +255 *2488:14 *3114:268 4.93926e-05 +256 *2495:10 *22349:B2 0.000488707 +257 *2495:10 *3114:275 0.000120643 +258 *2523:84 *3114:96 0.00105516 +259 *2539:35 *3114:96 0.000146777 +260 *2542:8 *22283:B2 0.000397259 +261 *2542:8 *3114:251 0.000216364 +262 *2543:79 *3114:160 1.5714e-05 +263 *2548:41 *22503:B2 8.86968e-05 +264 *2551:44 *3114:200 3.96199e-05 +265 *2593:47 *3114:66 2.46904e-05 +266 *2593:47 *3114:83 0.000110313 +267 *2667:122 *22481:B2 0 +268 *2671:26 *3114:180 0.00175584 +269 *2671:38 *3114:180 0.000422723 +270 *2676:29 *22305:B2 7.41056e-06 +271 *2676:45 *22305:B2 2.37827e-05 +272 *2773:28 *3114:29 1.84334e-05 +273 *2800:25 *3114:22 0.000153037 +274 *2800:38 *3114:22 1.78704e-05 +275 *2810:61 *22459:B2 1.65872e-05 +276 *2837:49 *3114:160 3.52699e-05 +277 *2869:46 *22283:B2 7.19128e-05 +278 *2871:8 *22283:B2 0.000393733 +279 *2871:8 *3114:251 0.000218895 +280 *2883:28 *3114:190 1.69932e-05 +281 *2906:8 *22283:B2 0 +282 *2930:64 *22327:B2 8.94611e-05 +283 *2930:64 *3114:102 0.000136357 +284 *2963:127 *3114:216 0.00021498 +285 *2974:40 *22437:B2 0.000377187 +286 *2974:40 *3114:29 0.00215745 +287 *3002:69 *3114:180 1.80003e-05 +288 *3010:50 *22349:B2 1.49935e-05 +289 *3010:76 *22283:B2 0.000391697 +290 *3029:33 *3114:180 0.000113478 +291 *3039:15 *22261:B2 4.81452e-05 +292 *3039:15 *3114:230 8.89729e-05 +293 *3039:46 *22261:B2 6.3657e-05 +294 *3040:18 *3114:180 4.6569e-05 +295 *3040:22 *3114:180 2.6825e-05 +296 *3106:81 *3114:160 0.000109235 +297 *3106:180 *3114:190 0.000362873 +*RES +1 *22150:X *3114:15 45.1601 +2 *3114:15 *3114:22 42.602 +3 *3114:22 *3114:29 46.2252 +4 *3114:29 *22437:B2 20.4969 +5 *3114:29 *3114:51 17.3885 +6 *3114:51 *3114:66 15.7689 +7 *3114:66 *22503:B2 21.5392 +8 *3114:66 *3114:83 3.47955 +9 *3114:83 *3114:96 12.7097 +10 *3114:96 *3114:102 49.7062 +11 *3114:102 *22305:B2 18.798 +12 *3114:96 *22327:B2 19.1646 +13 *3114:83 *3114:137 4.98795 +14 *3114:137 *22481:B2 15.0513 +15 *3114:137 *3114:160 23.8863 +16 *3114:160 *22459:B2 15.0271 +17 *3114:160 *3114:180 37.6724 +18 *3114:180 *3114:190 25.6439 +19 *3114:190 *3114:200 49.7136 +20 *3114:200 *22224:B2 9.24915 +21 *3114:200 *3114:216 15.6736 +22 *3114:216 *22261:B2 14.2888 +23 *3114:216 *3114:230 10.7935 +24 *3114:230 *22283:B2 42.5443 +25 *3114:230 *3114:251 15.755 +26 *3114:251 *22371:B2 20.9675 +27 *3114:251 *3114:268 30.7925 +28 *3114:268 *3114:269 104.301 +29 *3114:269 *3114:275 23.0963 +30 *3114:275 *22349:B2 33.437 +31 *3114:275 *22393:B2 13.7491 +32 *3114:51 *22415:B2 9.24915 +33 *3114:15 *22157:B 22.0531 +*END + +*D_NET *3115 0.00508017 +*CONN +*I *22161:B I *D sky130_fd_sc_hd__or2_1 +*I *22232:B I *D sky130_fd_sc_hd__or2_1 +*I *22152:A I *D sky130_fd_sc_hd__or2_1 +*I *22151:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22161:B 0.000146487 +2 *22232:B 2.01759e-05 +3 *22152:A 0.000849531 +4 *22151:X 5.0318e-05 +5 *3115:33 0.000225736 +6 *3115:7 0.000958923 +7 *22152:A *22183:A 1.61631e-05 +8 *22152:A *22184:A 0.000247443 +9 *22152:A *3116:17 0.000245509 +10 *22152:A *3148:10 1.65872e-05 +11 *22161:B *3126:10 9.82479e-06 +12 *22146:A *22152:A 7.99494e-05 +13 *22151:A *3115:33 2.0762e-05 +14 *22151:B *22232:B 0.000111802 +15 *22183:C *22152:A 7.67734e-06 +16 *482:28 *22152:A 0.000200794 +17 *541:28 *22152:A 1.91246e-05 +18 *1648:10 *22152:A 8.80635e-05 +19 *2115:139 *22152:A 0.000382603 +20 *2115:144 *22232:B 0.000111802 +21 *2115:144 *3115:7 2.16355e-05 +22 *2115:161 *22161:B 8.20492e-06 +23 *2117:20 *22152:A 5.65383e-05 +24 *2117:20 *22161:B 3.88655e-06 +25 *2117:20 *3115:33 2.28823e-05 +26 *2379:26 *22161:B 0.000357087 +27 *2906:82 *22152:A 6.78672e-05 +28 *3052:28 *22152:A 7.80757e-05 +29 *3091:66 *22161:B 0.000298734 +30 *3108:58 *22152:A 0.000111812 +31 *3108:62 *22152:A 0.000231721 +32 *3108:131 *22152:A 1.24518e-05 +*RES +1 *22151:X *3115:7 14.4725 +2 *3115:7 *22152:A 45.8835 +3 *3115:7 *3115:33 1.41674 +4 *3115:33 *22232:B 15.0271 +5 *3115:33 *22161:B 20.5642 +*END + +*D_NET *3116 0.00223344 +*CONN +*I *22153:A I *D sky130_fd_sc_hd__buf_6 +*I *22152:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22153:A 0 +2 *22152:X 0.000515041 +3 *3116:17 0.000515041 +4 *3116:17 *22157:D 5.481e-05 +5 *3116:17 *3117:15 0.000154145 +6 *3116:17 *3148:10 6.85778e-05 +7 *3116:17 *3491:8 7.05638e-05 +8 *3116:17 *5944:69 0.000138621 +9 *22151:A *3116:17 0.000158371 +10 *22152:A *3116:17 0.000245509 +11 *541:28 *3116:17 2.32792e-05 +12 *2100:55 *3116:17 0.00013521 +13 *2117:20 *3116:17 7.48033e-05 +14 *3114:15 *3116:17 7.94712e-05 +*RES +1 *22152:X *3116:17 42.3638 +2 *3116:17 *22153:A 9.24915 +*END + +*D_NET *3117 0.0578451 +*CONN +*I *22386:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22342:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22254:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22209:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22276:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22364:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22298:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22320:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22496:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22430:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22408:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22452:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22474:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22157:C I *D sky130_fd_sc_hd__and4_1 +*I *22153:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22386:A2 0.000315782 +2 *22342:A2 8.80976e-05 +3 *22254:A2 0.000791398 +4 *22209:A2 0 +5 *22276:A2 0.000114467 +6 *22364:A2 0 +7 *22298:A2 0.000208266 +8 *22320:A2 5.17006e-05 +9 *22496:A2 3.27399e-05 +10 *22430:A2 3.73941e-05 +11 *22408:A2 0.000212217 +12 *22452:A2 0.000174987 +13 *22474:A2 0.000135493 +14 *22157:C 0.000501611 +15 *22153:X 0.000617297 +16 *3117:245 0.000909977 +17 *3117:204 0.00146719 +18 *3117:164 0.00189635 +19 *3117:162 0.00198566 +20 *3117:150 0.00124228 +21 *3117:149 0.00208132 +22 *3117:132 0.00263119 +23 *3117:74 0.00128271 +24 *3117:56 0.00124518 +25 *3117:54 0.000471289 +26 *3117:48 0.00111243 +27 *3117:37 0.00225163 +28 *3117:34 0.00333773 +29 *3117:15 0.00175283 +30 *22157:C *22157:D 0.000186086 +31 *22254:A2 *3243:22 2.71273e-05 +32 *22254:A2 *3243:30 2.25532e-05 +33 *22254:A2 *3537:35 0.000286481 +34 *22254:A2 *3551:16 0.000309771 +35 *22254:A2 *4817:145 1.20598e-05 +36 *22298:A2 *22299:C1 6.08467e-05 +37 *22298:A2 *3179:136 0.000221384 +38 *22298:A2 *4804:62 0.000221384 +39 *22320:A2 *22746:A2 2.20583e-05 +40 *22320:A2 *3142:98 9.22013e-06 +41 *22386:A2 *22386:B2 0.000214541 +42 *22386:A2 *3136:248 2.32928e-05 +43 *22386:A2 *5592:76 9.95126e-05 +44 *22408:A2 *3175:37 0.000301181 +45 *22430:A2 *3124:93 0.000154145 +46 *22474:A2 *22765:C1 6.64392e-05 +47 *22496:A2 *3134:20 0.000107496 +48 *22496:A2 *3142:46 7.92757e-06 +49 *3117:15 *22157:D 8.72033e-05 +50 *3117:15 *4807:54 0.000113173 +51 *3117:34 *22203:A 0.000279997 +52 *3117:34 *3139:156 1.9101e-05 +53 *3117:34 *3204:122 0.000459879 +54 *3117:34 *3509:24 9.54639e-05 +55 *3117:34 *4807:58 7.48065e-05 +56 *3117:37 *22638:B2 1.36227e-05 +57 *3117:37 *22746:B2 0.000857265 +58 *3117:37 *3487:85 0.000387883 +59 *3117:48 *22496:B2 8.49098e-05 +60 *3117:48 *22746:B2 5.83513e-05 +61 *3117:48 *3131:41 0.000947993 +62 *3117:48 *3136:42 4.92144e-06 +63 *3117:48 *3136:48 0.000448654 +64 *3117:48 *3136:69 1.5714e-05 +65 *3117:54 *3124:93 2.43314e-05 +66 *3117:54 *3136:69 0.000455635 +67 *3117:54 *3136:71 2.5015e-05 +68 *3117:56 *3124:93 8.23875e-05 +69 *3117:74 *22453:C1 9.32704e-05 +70 *3117:74 *22475:A2 3.53539e-05 +71 *3117:74 *22765:A2 9.54463e-05 +72 *3117:74 *22765:B2 1.17955e-05 +73 *3117:74 *22765:C1 0 +74 *3117:74 *3124:93 0.000372153 +75 *3117:74 *3139:25 0.000160778 +76 *3117:74 *3168:7 0.000157987 +77 *3117:132 *22329:A2 0.000107496 +78 *3117:132 *22637:A1 0.000183853 +79 *3117:132 *22637:A2 8.6297e-06 +80 *3117:132 *22640:A1 3.29488e-05 +81 *3117:132 *22643:B1 2.87136e-06 +82 *3117:132 *22643:C1 2.17814e-05 +83 *3117:132 *22653:B 2.13294e-05 +84 *3117:132 *3476:20 0.000163208 +85 *3117:132 *3509:24 0.000608501 +86 *3117:132 *3511:17 0.000461904 +87 *3117:132 *4804:46 0.000132077 +88 *3117:132 *4804:62 0.00051414 +89 *3117:132 *4807:58 6.25848e-05 +90 *3117:132 *4824:154 0.000289939 +91 *3117:149 *22329:A2 0.000284201 +92 *3117:149 *3124:131 0.00026154 +93 *3117:149 *3124:146 0.00108107 +94 *3117:149 *3136:169 0.00117431 +95 *3117:149 *3136:248 2.58521e-05 +96 *3117:149 *3175:267 3.60451e-05 +97 *3117:150 *3136:178 6.85769e-05 +98 *3117:150 *4811:21 0.000681642 +99 *3117:162 *22365:C1 6.3657e-05 +100 *3117:162 *3136:178 1.58551e-05 +101 *3117:162 *3136:180 4.40381e-05 +102 *3117:204 *21696:B1 6.77459e-05 +103 *3117:204 *21730:A1 6.03237e-05 +104 *3117:204 *22209:B2 0.000534427 +105 *3117:204 *22276:B2 8.14362e-05 +106 *3117:204 *22277:C1 8.97566e-06 +107 *3117:204 *3517:170 0.000448364 +108 *3117:204 *4817:161 0.000191032 +109 *3117:245 *22650:B1 3.4548e-05 +110 *3117:245 *22678:A2 2.57465e-06 +111 *3117:245 *3136:248 0.000155845 +112 *3117:245 *3531:43 1.5714e-05 +113 *3117:245 *4811:21 0.000298399 +114 *21219:C1 *22320:A2 0.000113968 +115 *21321:A1 *3117:37 5.83513e-05 +116 *21321:B1 *3117:37 0.00128944 +117 *21422:B1 *22386:A2 0.000158389 +118 *21635:A1 *3117:162 5.89592e-05 +119 *21635:A2 *3117:162 2.57365e-05 +120 *21641:A1 *22254:A2 1.67255e-05 +121 *21900:D *3117:74 3.3239e-06 +122 *21987:A1 *3117:204 0.000104081 +123 *22146:B *3117:15 0.000670087 +124 *22157:A *22157:C 2.79645e-05 +125 *22196:A *22157:C 0.000107496 +126 *22196:A *3117:34 0.000286628 +127 *22202:A *3117:34 9.4385e-05 +128 *22209:A1 *3117:204 6.90163e-05 +129 *22254:A1 *22254:A2 9.09979e-05 +130 *22276:A1 *3117:204 0.000110306 +131 *22276:B1 *22276:A2 0.000154145 +132 *22276:B1 *3117:162 0.000188093 +133 *22276:B1 *3117:164 0.000426959 +134 *22276:B1 *3117:204 0.000277817 +135 *22320:A1 *22320:A2 2.02035e-05 +136 *22342:B1 *22342:A2 3.82228e-05 +137 *22364:B1 *3117:162 5.901e-05 +138 *22452:B1 *22452:A2 0.000161234 +139 *22496:A1 *22496:A2 6.08467e-05 +140 *22624:B1 *3117:204 5.36085e-05 +141 *22664:A1 *3117:204 1.55025e-05 +142 *22765:A1 *3117:74 0.00010676 +143 *506:27 *22157:C 0.00018926 +144 *1502:201 *22320:A2 4.15201e-05 +145 *1539:18 *3117:204 0.000117376 +146 *1542:201 *3117:37 0.000180423 +147 *1552:70 *3117:204 7.22263e-05 +148 *1553:36 *3117:48 2.97235e-05 +149 *1553:64 *3117:48 0.000684106 +150 *1553:64 *3117:204 0.000132646 +151 *1592:61 *3117:204 8.95814e-06 +152 *1592:70 *3117:204 4.15661e-05 +153 *1631:34 *3117:204 0.000420055 +154 *1641:130 *22254:A2 0.000255115 +155 *1649:123 *3117:204 0.000411488 +156 *1658:242 *3117:245 8.94611e-05 +157 *1691:87 *3117:37 0.000543962 +158 *1691:87 *3117:48 1.79334e-05 +159 *1750:45 *22298:A2 6.08467e-05 +160 *1790:73 *3117:204 2.3367e-05 +161 *1800:249 *3117:74 7.72394e-06 +162 *2088:113 *3117:15 7.33696e-05 +163 *2286:36 *3117:204 4.15661e-05 +164 *2378:41 *3117:37 0.000110067 +165 *2418:38 *3117:245 4.05019e-05 +166 *2440:67 *3117:245 1.86084e-05 +167 *2481:28 *22386:A2 4.63742e-05 +168 *2481:28 *3117:245 3.43356e-05 +169 *2508:23 *3117:204 0.000321882 +170 *2564:68 *3117:204 7.54269e-06 +171 *2589:54 *3117:204 7.70093e-05 +172 *2610:83 *3117:37 0.000514526 +173 *2611:16 *3117:48 0.000248204 +174 *2613:69 *3117:204 0.000218931 +175 *2631:70 *22254:A2 4.71234e-05 +176 *2680:57 *3117:204 5.36085e-05 +177 *2690:40 *3117:132 1.74558e-05 +178 *2693:25 *22452:A2 7.04406e-05 +179 *2814:38 *22254:A2 0.000100741 +180 *2815:14 *22474:A2 0 +181 *2815:14 *3117:74 0 +182 *2887:11 *3117:150 0.000958755 +183 *2887:11 *3117:245 0.000286993 +184 *2887:23 *3117:162 0.000391697 +185 *2895:33 *22474:A2 6.64392e-05 +186 *2931:26 *3117:48 1.04747e-05 +187 *2931:26 *3117:54 0.000386799 +188 *2935:13 *22474:A2 0 +189 *3008:18 *3117:54 7.5804e-05 +190 *3008:18 *3117:56 7.37612e-05 +191 *3008:18 *3117:74 0.000304965 +192 *3052:66 *3117:48 0.000771119 +193 *3053:17 *22430:A2 0.000154145 +194 *3071:30 *3117:74 7.09666e-06 +195 *3091:73 *22157:C 0.000192735 +196 *3106:11 *3117:15 0.00056369 +197 *3106:13 *22157:C 0.000154145 +198 *3106:13 *3117:15 6.08467e-05 +199 *3116:17 *3117:15 0.000154145 +*RES +1 *22153:X *3117:15 36.8486 +2 *3117:15 *22157:C 29.1502 +3 *3117:15 *3117:34 22.3925 +4 *3117:34 *3117:37 8.00808 +5 *3117:37 *3117:48 7.74225 +6 *3117:48 *3117:54 11.8713 +7 *3117:54 *3117:56 1.832 +8 *3117:56 *3117:74 32.4013 +9 *3117:74 *22474:A2 17.0373 +10 *3117:74 *22452:A2 16.691 +11 *3117:56 *22408:A2 17.2456 +12 *3117:54 *22430:A2 15.5817 +13 *3117:48 *22496:A2 15.0271 +14 *3117:37 *22320:A2 19.1898 +15 *3117:34 *3117:132 38.2043 +16 *3117:132 *22298:A2 24.1266 +17 *3117:132 *3117:149 41.7351 +18 *3117:149 *3117:150 13.4793 +19 *3117:150 *22364:A2 9.24915 +20 *3117:150 *3117:162 16.4693 +21 *3117:162 *3117:164 5.16022 +22 *3117:164 *22276:A2 11.0817 +23 *3117:164 *3117:204 46.7163 +24 *3117:204 *22209:A2 9.24915 +25 *3117:162 *22254:A2 46.6864 +26 *3117:149 *3117:245 17.7915 +27 *3117:245 *22342:A2 15.0271 +28 *3117:245 *22386:A2 22.7626 +*END + +*D_NET *3118 0.0195417 +*CONN +*I *22177:B I *D sky130_fd_sc_hd__or3_1 +*I *22242:B I *D sky130_fd_sc_hd__or3_1 +*I *22244:B I *D sky130_fd_sc_hd__or3_1 +*I *22163:B I *D sky130_fd_sc_hd__or3_1 +*I *22234:C I *D sky130_fd_sc_hd__or4_1 +*I *22155:C I *D sky130_fd_sc_hd__or4_1 +*I *22159:B I *D sky130_fd_sc_hd__or3_1 +*I *22221:B I *D sky130_fd_sc_hd__or3_1 +*I *22154:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *22177:B 0 +2 *22242:B 0 +3 *22244:B 0.000219172 +4 *22163:B 0.00130047 +5 *22234:C 0.000389143 +6 *22155:C 0 +7 *22159:B 0.000390541 +8 *22221:B 0 +9 *22154:X 0.000692718 +10 *3118:77 0.000632908 +11 *3118:44 0.000441937 +12 *3118:39 0.00222756 +13 *3118:27 0.00176997 +14 *3118:10 0.000645918 +15 *3118:7 0.00105307 +16 *3118:5 0.00119126 +17 *22159:B *4866:110 0.000177298 +18 *22163:B *22184:A 8.86331e-05 +19 *22234:C *22156:A 1.82679e-05 +20 *22234:C *22183:A 6.08467e-05 +21 *22234:C *22183:B 1.65872e-05 +22 *22234:C *22234:B 5.20308e-05 +23 *22234:C *22235:A 0.000427395 +24 *22234:C *3198:10 6.08467e-05 +25 *22234:C *5949:90 2.16355e-05 +26 *22244:B *3204:19 1.41976e-05 +27 *3118:27 *3483:8 0.000150271 +28 *3118:27 *3498:11 0.000393863 +29 *3118:27 *3502:11 0.000275776 +30 *3118:27 *5944:69 0.000220277 +31 *3118:39 *22175:A 0.000112821 +32 *3118:39 *4866:110 4.47134e-05 +33 *3118:44 *22183:A 2.21021e-05 +34 *3118:44 *22183:B 0.000110297 +35 *3118:77 *22243:A 0.000167076 +36 *3118:77 *3204:19 4.31603e-06 +37 *22146:A *22163:B 4.38713e-05 +38 *22149:B *22163:B 3.96379e-06 +39 *22150:A *22163:B 1.82679e-05 +40 *22155:D *22163:B 1.5714e-05 +41 *22155:D *3118:39 5.41049e-06 +42 *22155:D *3118:44 5.04829e-06 +43 *22183:C *22163:B 8.70662e-06 +44 *22183:C *3118:39 0.000133359 +45 *22211:A *22234:C 4.66492e-05 +46 *22240:A *22244:B 7.92757e-06 +47 *22244:C *22244:B 7.51191e-05 +48 *2089:37 *3118:10 0.000299632 +49 *2089:37 *3118:27 0.000434273 +50 *2098:34 *3118:10 2.67922e-05 +51 *2098:34 *3118:27 3.62829e-05 +52 *2100:55 *3118:27 0.000220277 +53 *2111:20 *3118:39 0.000270184 +54 *2115:31 *3118:39 0.000667946 +55 *2115:48 *3118:10 6.97218e-05 +56 *2115:48 *3118:27 5.30873e-05 +57 *2115:59 *3118:10 0.000170592 +58 *2115:59 *3118:77 0.000320801 +59 *2115:61 *22244:B 5.51483e-06 +60 *2115:210 *22234:C 0.000107496 +61 *2378:27 *22244:B 2.57986e-05 +62 *2378:33 *22244:B 6.87762e-05 +63 *2379:26 *22163:B 0.000264223 +64 *2379:26 *3118:39 9.62058e-05 +65 *2924:80 *3118:5 0.00103906 +66 *2924:80 *3118:7 0.000213725 +67 *3052:28 *22163:B 0.000850345 +68 *3108:51 *22163:B 0 +69 *3108:68 *22163:B 2.48665e-05 +70 *3108:76 *22163:B 2.76481e-05 +71 *3109:8 *3118:7 0.000183557 +72 *3109:8 *3118:77 0.000306917 +*RES +1 *22154:X *3118:5 21.0646 +2 *3118:5 *3118:7 7.93324 +3 *3118:7 *3118:10 10.0693 +4 *3118:10 *22221:B 13.7491 +5 *3118:10 *3118:27 31.8991 +6 *3118:27 *22159:B 14.964 +7 *3118:27 *3118:39 22.7513 +8 *3118:39 *3118:44 6.35672 +9 *3118:44 *22155:C 9.24915 +10 *3118:44 *22234:C 20.8958 +11 *3118:39 *22163:B 36.2647 +12 *3118:7 *3118:77 11.3091 +13 *3118:77 *22244:B 22.2219 +14 *3118:77 *22242:B 9.24915 +15 *3118:5 *22177:B 9.24915 +*END + +*D_NET *3119 0.00210885 +*CONN +*I *22156:A I *D sky130_fd_sc_hd__buf_8 +*I *22155:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22156:A 0.000440069 +2 *22155:X 0.000440069 +3 *22156:A *22189:A 0.000528766 +4 *22156:A *3198:18 1.76936e-05 +5 *22156:A *4830:71 1.79629e-05 +6 *22156:A *5909:24 0.000145013 +7 *22188:B *22156:A 6.51527e-05 +8 *22234:C *22156:A 1.82679e-05 +9 *3091:28 *22156:A 0.000323158 +10 *3091:52 *22156:A 0.000112692 +*RES +1 *22155:X *22156:A 41.4048 +*END + +*D_NET *3120 0.105719 +*CONN +*I *22374:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22286:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22264:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22231:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22418:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22506:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22462:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22484:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22440:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22352:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22396:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22308:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22330:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22157:D I *D sky130_fd_sc_hd__and4_1 +*I *22156:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22374:A2 1.16387e-05 +2 *22286:A2 0.000215289 +3 *22264:A2 0.000366618 +4 *22231:A2 0.00110571 +5 *22418:A2 5.18818e-05 +6 *22506:A2 0.000338766 +7 *22462:A2 0.000103603 +8 *22484:A2 0 +9 *22440:A2 9.00764e-06 +10 *22352:A2 0 +11 *22396:A2 0.00017389 +12 *22308:A2 0.000130087 +13 *22330:A2 0.00240288 +14 *22157:D 0.00129084 +15 *22156:X 0.000269541 +16 *3120:198 0.000479764 +17 *3120:195 0.000785763 +18 *3120:189 0.00276268 +19 *3120:179 0.00198813 +20 *3120:177 0.00203418 +21 *3120:168 0.00101598 +22 *3120:163 0.00421001 +23 *3120:143 0.00349739 +24 *3120:130 0.00259801 +25 *3120:125 0.00321698 +26 *3120:109 0.00277389 +27 *3120:84 0.0027446 +28 *3120:82 0.00205879 +29 *3120:74 0.00184583 +30 *3120:55 0.00153375 +31 *3120:41 0.00479045 +32 *3120:11 0.00379293 +33 *22157:D *22167:A 7.92757e-06 +34 *22157:D *22196:C 2.99287e-05 +35 *22157:D *3131:13 0.000284611 +36 *22157:D *3157:21 9.95542e-06 +37 *22231:A2 *22597:D 0.000102903 +38 *22231:A2 *3190:107 0.000542002 +39 *22231:A2 *3537:164 6.74182e-05 +40 *22231:A2 *4942:22 0.000194269 +41 *22264:A2 *22264:C1 0 +42 *22264:A2 *22268:C1 5.2409e-06 +43 *22264:A2 *22271:A 7.45852e-05 +44 *22264:A2 *22271:C 0.000156946 +45 *22264:A2 *3233:8 3.06917e-06 +46 *22264:A2 *3564:14 0.00036243 +47 *22286:A2 *3190:169 0.00023494 +48 *22286:A2 *3190:185 4.83889e-05 +49 *22308:A2 *4810:29 0.000383972 +50 *22308:A2 *4810:49 0.000107496 +51 *22308:A2 *4813:37 0.000123688 +52 *22330:A2 *21690:A1 0.000109796 +53 *22330:A2 *22305:A1 0.00238798 +54 *22330:A2 *3190:34 2.02035e-05 +55 *22330:A2 *3192:15 2.74735e-05 +56 *22330:A2 *3192:25 0.000118377 +57 *22330:A2 *3485:133 0.000358976 +58 *22330:A2 *3521:26 0.00139731 +59 *22330:A2 *3521:33 0.000776166 +60 *22330:A2 *3533:30 1.57664e-05 +61 *22330:A2 *4812:38 6.23101e-05 +62 *22330:A2 *4831:25 0.00012888 +63 *22374:A2 *22374:B2 1.91391e-05 +64 *22396:A2 *22396:B2 0.000141642 +65 *22418:A2 *22418:B2 6.08467e-05 +66 *22418:A2 *3192:185 9.32983e-05 +67 *22462:A2 *22462:B2 2.77419e-05 +68 *22462:A2 *3190:89 9.32704e-05 +69 *22506:A2 *22418:B2 5.04829e-06 +70 *22506:A2 *22461:B2 3.95326e-05 +71 *22506:A2 *22506:B2 0.000153673 +72 *22506:A2 *3190:86 2.34394e-05 +73 *22506:A2 *3190:89 1.72347e-05 +74 *3120:11 *22228:A 6.08467e-05 +75 *3120:11 *22228:B 7.82454e-06 +76 *3120:11 *22229:A 0.000164829 +77 *3120:41 *22229:A 0.000414154 +78 *3120:41 *3196:12 3.69704e-05 +79 *3120:41 *3547:26 0.00100074 +80 *3120:41 *4833:77 0.000114991 +81 *3120:55 *3192:15 4.38143e-05 +82 *3120:55 *3466:125 0.000137324 +83 *3120:55 *5909:26 0.000132349 +84 *3120:74 *21398:A 0.000181069 +85 *3120:74 *3466:125 0.00056345 +86 *3120:74 *3466:145 0.00030205 +87 *3120:74 *4845:575 6.3657e-05 +88 *3120:74 *5909:26 0.000488039 +89 *3120:74 *5909:30 0.0008071 +90 *3120:84 *3192:69 2.64057e-05 +91 *3120:84 *3192:73 4.87997e-06 +92 *3120:84 *3192:91 6.9274e-05 +93 *3120:84 *3348:27 0.000132503 +94 *3120:84 *3348:33 0.000815502 +95 *3120:84 *3466:172 9.52716e-05 +96 *3120:109 *22352:B2 3.12828e-05 +97 *3120:109 *22359:A 0.000264353 +98 *3120:109 *22359:B 0.000198209 +99 *3120:109 *22359:C 8.6297e-06 +100 *3120:109 *3153:162 9.17656e-06 +101 *3120:109 *3192:91 0.000124979 +102 *3120:109 *3192:104 1.22966e-05 +103 *3120:109 *3348:33 0.000360814 +104 *3120:125 *22352:B2 4.31485e-06 +105 *3120:125 *3153:162 4.87997e-06 +106 *3120:125 *3192:104 2.7072e-05 +107 *3120:125 *3517:281 2.62973e-05 +108 *3120:130 *3153:193 0.000610058 +109 *3120:130 *3238:44 4.51918e-05 +110 *3120:130 *3517:254 2.99649e-05 +111 *3120:143 *3190:169 0.000158451 +112 *3120:143 *3539:198 1.91246e-05 +113 *3120:163 *3190:144 4.15661e-05 +114 *3120:163 *4813:110 3.31713e-05 +115 *3120:163 *5457:13 0.000169351 +116 *3120:168 *3190:58 3.5534e-06 +117 *3120:168 *3190:77 4.31485e-06 +118 *3120:168 *3192:211 9.84822e-05 +119 *3120:179 *22773:C1 0.000101489 +120 *3120:179 *3190:58 1.05676e-05 +121 *3120:179 *3394:8 0.000572391 +122 *3120:179 *5904:77 5.88009e-05 +123 *3120:189 *3493:130 0.000493069 +124 *3120:189 *3705:17 2.97235e-05 +125 *3120:198 *22418:B2 0.000154145 +126 *3120:198 *3192:185 0.000154145 +127 *6079:DIODE *3120:143 5.04829e-06 +128 *19820:A2 *3120:168 0.000110804 +129 *19895:A2 *3120:130 0.000104754 +130 *20651:A *3120:125 5.60804e-05 +131 *20651:B *3120:125 5.72676e-05 +132 *21025:B2 *3120:74 3.31745e-05 +133 *21235:B2 *3120:163 0.00146988 +134 *21242:A2 *3120:84 7.58372e-05 +135 *21242:B1 *3120:84 2.16057e-05 +136 *21247:A2 *3120:82 1.58101e-05 +137 *21248:C1 *3120:84 0.000114074 +138 *21260:B1 *3120:84 3.11514e-05 +139 *21272:B1 *3120:130 1.43499e-05 +140 *21279:B1 *3120:130 8.09106e-06 +141 *21402:B2 *3120:125 1.54479e-05 +142 *21436:B1 *3120:125 0.000831424 +143 *21511:A1 *3120:163 6.77424e-05 +144 *21512:A2 *3120:163 1.97655e-05 +145 *21630:A1 *3120:163 0.0008747 +146 *21630:A1 *3120:168 0.00150242 +147 *21782:A1 *22231:A2 0.000118009 +148 *21782:A1 *3120:195 0.000315171 +149 *21944:A2 *22440:A2 6.36477e-05 +150 *22016:A1 *3120:168 0.00019142 +151 *22017:B1 *3120:168 3.88655e-06 +152 *22029:A2 *3120:163 0.000159423 +153 *22029:B1 *3120:163 0.000161216 +154 *22047:A1 *3120:168 0.000766888 +155 *22054:B2 *3120:163 0.000290712 +156 *22062:A *3120:163 6.83668e-05 +157 *22146:B *22157:D 2.77459e-05 +158 *22151:B *22157:D 1.24189e-05 +159 *22157:A *22157:D 0.000166675 +160 *22157:C *22157:D 0.000186086 +161 *22228:C *3120:11 5.04829e-06 +162 *22264:A1 *22264:A2 0.00014945 +163 *22268:B2 *22264:A2 0.000102714 +164 *22285:A1 *3120:130 0.000506533 +165 *22286:A1 *22286:A2 0 +166 *22351:B1 *3120:109 0.000116515 +167 *22354:B1 *3120:84 0.000349628 +168 *22356:A1 *3120:109 0.000180208 +169 *22356:A2 *3120:109 9.55484e-05 +170 *22418:B1 *22418:A2 1.78868e-05 +171 *22440:A1 *22440:A2 6.64392e-05 +172 *22461:B1 *22506:A2 0.000110306 +173 *22481:A1 *3120:179 0.000277896 +174 *22506:A1 *22506:A2 8.73932e-05 +175 *22596:B1 *22231:A2 0.000375002 +176 *22627:B1 *22231:A2 8.17829e-06 +177 *22709:B1 *3120:84 0.000893742 +178 *482:79 *3120:109 0.000258838 +179 *512:56 *3120:41 0 +180 *529:35 *3120:82 0.000492103 +181 *531:30 *3120:11 5.04829e-06 +182 *1573:120 *3120:41 6.33138e-05 +183 *1573:141 *3120:41 8.68239e-05 +184 *1573:141 *3120:82 2.45488e-05 +185 *1573:155 *3120:82 2.33103e-06 +186 *1575:81 *3120:163 0.000573987 +187 *1585:34 *3120:168 2.57465e-06 +188 *1585:34 *3120:179 0.000362885 +189 *1595:101 *3120:163 5.76913e-05 +190 *1596:65 *3120:189 0.00112827 +191 *1602:45 *3120:163 0.00146604 +192 *1602:45 *3120:168 0.000161018 +193 *1610:44 *3120:74 3.85273e-06 +194 *1610:54 *3120:82 0.000634593 +195 *1614:75 *3120:163 0.000299448 +196 *1618:61 *22231:A2 5.96052e-05 +197 *1620:60 *3120:163 0.000197413 +198 *1620:93 *3120:163 6.49725e-06 +199 *1625:115 *3120:168 2.07553e-05 +200 *1625:146 *3120:189 0.000295493 +201 *1627:118 *22374:A2 7.13655e-06 +202 *1634:45 *22231:A2 0.000250775 +203 *1634:45 *3120:125 4.31463e-05 +204 *1634:45 *3120:130 2.78141e-05 +205 *1645:156 *3120:130 0.000376255 +206 *1647:58 *3120:143 1.28732e-05 +207 *1647:87 *3120:130 8.75919e-05 +208 *1647:87 *3120:143 0.000114821 +209 *1648:10 *22157:D 0.000551659 +210 *1652:12 *3120:41 8.66121e-05 +211 *1732:12 *3120:195 0.000190292 +212 *1735:132 *22330:A2 0.000412797 +213 *1750:15 *22308:A2 0.000154145 +214 *1750:45 *22330:A2 0.000688733 +215 *1784:71 *22231:A2 0.00017292 +216 *1784:71 *3120:195 7.26677e-05 +217 *1787:40 *22231:A2 0.000380608 +218 *1884:19 *3120:11 0.000294093 +219 *1884:45 *3120:41 0.000171229 +220 *2093:153 *3120:143 5.30803e-05 +221 *2093:153 *3120:163 0.000155662 +222 *2267:80 *3120:82 0.00049639 +223 *2278:39 *3120:41 1.97773e-05 +224 *2304:22 *3120:74 0.000400071 +225 *2304:58 *3120:74 0.000163424 +226 *2304:58 *3120:82 0 +227 *2320:81 *22396:A2 0.000135114 +228 *2334:15 *3120:125 0.000203542 +229 *2334:17 *3120:125 1.24546e-05 +230 *2334:17 *3120:130 0.000143056 +231 *2344:15 *3120:143 0.000343543 +232 *2344:15 *3120:163 0.000361086 +233 *2354:11 *3120:125 0.00114336 +234 *2354:11 *3120:130 0.000198937 +235 *2354:24 *3120:130 0.000158469 +236 *2359:27 *3120:130 2.37375e-05 +237 *2379:26 *22157:D 0.000161228 +238 *2381:38 *3120:41 2.06302e-05 +239 *2391:95 *3120:125 1.15862e-05 +240 *2415:64 *3120:84 1.91246e-05 +241 *2416:34 *3120:130 0.000129091 +242 *2440:55 *22157:D 2.57465e-06 +243 *2440:55 *3120:41 7.39022e-06 +244 *2453:20 *3120:82 1.49403e-05 +245 *2453:20 *3120:84 0.000817996 +246 *2454:18 *3120:109 9.73255e-05 +247 *2454:23 *3120:109 4.87445e-05 +248 *2458:21 *3120:74 7.98171e-06 +249 *2459:29 *3120:41 0.000158409 +250 *2459:56 *3120:74 9.80242e-07 +251 *2462:8 *3120:84 2.66008e-05 +252 *2464:51 *3120:125 0.000292041 +253 *2464:51 *3120:130 0.000153427 +254 *2474:14 *22330:A2 0.000320446 +255 *2474:14 *3120:55 5.63759e-05 +256 *2530:90 *3120:125 0.000141165 +257 *2556:19 *3120:189 0.00011355 +258 *2570:94 *3120:74 5.17533e-05 +259 *2570:94 *3120:82 0.000341026 +260 *2584:77 *22330:A2 0.00017786 +261 *2589:53 *3120:163 1.75197e-05 +262 *2601:58 *3120:163 0 +263 *2629:37 *22330:A2 4.17927e-05 +264 *2661:13 *3120:195 0.000186752 +265 *2662:37 *3120:179 0.000190838 +266 *2671:38 *3120:179 0.00207492 +267 *2671:42 *3120:179 9.35442e-05 +268 *2765:39 *22506:A2 0.000113107 +269 *2795:12 *22506:A2 2.37419e-05 +270 *2795:20 *3120:168 1.05861e-05 +271 *2795:20 *3120:179 7.70172e-06 +272 *2796:26 *22462:A2 0.000113916 +273 *2796:26 *22506:A2 6.89506e-05 +274 *2825:22 *22330:A2 2.37478e-05 +275 *2827:30 *3120:143 0.000680209 +276 *2845:22 *3120:189 0.000238694 +277 *2869:51 *3120:143 0.000938434 +278 *2888:51 *3120:143 0.000161262 +279 *2906:39 *3120:130 0.00160626 +280 *2985:44 *3120:163 0.000130712 +281 *2987:50 *3120:195 0.00061497 +282 *2989:91 *3120:41 0.000264394 +283 *3044:33 *3120:130 9.0891e-05 +284 *3044:33 *3120:143 4.37678e-05 +285 *3050:28 *3120:84 0.000198113 +286 *3057:29 *3120:195 0.000210246 +287 *3064:13 *3120:109 1.58126e-05 +288 *3104:40 *22157:D 4.85418e-05 +289 *3106:11 *22157:D 0.00144598 +290 *3111:160 *22264:A2 0.000194581 +291 *3116:17 *22157:D 5.481e-05 +292 *3117:15 *22157:D 8.72033e-05 +*RES +1 *22156:X *3120:11 21.176 +2 *3120:11 *22157:D 49.8673 +3 *3120:11 *3120:41 18.5502 +4 *3120:41 *22330:A2 24.5453 +5 *3120:41 *3120:55 6.48002 +6 *3120:55 *22308:A2 19.464 +7 *3120:55 *3120:74 46.0025 +8 *3120:74 *3120:82 28.4914 +9 *3120:82 *3120:84 45.4335 +10 *3120:84 *22396:A2 18.3789 +11 *3120:84 *3120:109 38.176 +12 *3120:109 *22352:A2 13.7491 +13 *3120:109 *3120:125 13.0915 +14 *3120:125 *3120:130 9.43578 +15 *3120:130 *3120:143 40.6517 +16 *3120:143 *3120:163 36.1534 +17 *3120:163 *3120:168 10.2063 +18 *3120:168 *22440:A2 14.4725 +19 *3120:168 *3120:177 0.732798 +20 *3120:177 *3120:179 53.3233 +21 *3120:179 *22484:A2 13.7491 +22 *3120:163 *3120:189 4.57573 +23 *3120:189 *3120:195 13.6026 +24 *3120:195 *3120:198 6.3326 +25 *3120:198 *22462:A2 16.4116 +26 *3120:198 *22506:A2 22.9156 +27 *3120:195 *22418:A2 11.1059 +28 *3120:189 *22231:A2 24.0319 +29 *3120:143 *22264:A2 30.5485 +30 *3120:130 *22286:A2 17.6796 +31 *3120:125 *22374:A2 17.4965 +*END + +*D_NET *3121 0.000920299 +*CONN +*I *22196:C I *D sky130_fd_sc_hd__and4_2 +*I *22157:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22196:C 0.000214707 +2 *22157:X 0.000214707 +3 *22196:C *22195:D 0.000129145 +4 *22196:C *22196:D 3.82228e-05 +5 *22196:C *3148:24 1.70194e-05 +6 *22157:A *22196:C 6.3657e-05 +7 *22157:D *22196:C 2.99287e-05 +8 *2093:10 *22196:C 5.87664e-05 +9 *3106:13 *22196:C 0.000154145 +*RES +1 *22157:X *22196:C 33.5473 +*END + +*D_NET *3122 0.113419 +*CONN +*I *22311:B I *D sky130_fd_sc_hd__or2_1 +*I *22355:B I *D sky130_fd_sc_hd__or2_1 +*I *22377:B I *D sky130_fd_sc_hd__or2_1 +*I *22289:B I *D sky130_fd_sc_hd__or2_1 +*I *22267:B I *D sky130_fd_sc_hd__or2_1 +*I *22465:B I *D sky130_fd_sc_hd__or2_1 +*I *22421:B I *D sky130_fd_sc_hd__or2_1 +*I *22487:B I *D sky130_fd_sc_hd__or2_1 +*I *22443:B I *D sky130_fd_sc_hd__or2_1 +*I *22509:B I *D sky130_fd_sc_hd__or2_1 +*I *22238:B I *D sky130_fd_sc_hd__or2_1 +*I *22399:B I *D sky130_fd_sc_hd__or2_1 +*I *22333:B I *D sky130_fd_sc_hd__or2_1 +*I *6104:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22165:A I *D sky130_fd_sc_hd__and4_1 +*I *22158:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *22311:B 8.51794e-05 +2 *22355:B 0.000206945 +3 *22377:B 0.000128926 +4 *22289:B 0 +5 *22267:B 9.81626e-05 +6 *22465:B 0 +7 *22421:B 4.55503e-05 +8 *22487:B 0.00170881 +9 *22443:B 8.97547e-05 +10 *22509:B 0.000246958 +11 *22238:B 0.000875584 +12 *22399:B 0.000152855 +13 *22333:B 4.63634e-05 +14 *6104:DIODE 4.46635e-05 +15 *22165:A 0.00155481 +16 *22158:X 0.00178631 +17 *3122:298 0.000193648 +18 *3122:297 6.47229e-05 +19 *3122:256 0.00312239 +20 *3122:248 0.00254219 +21 *3122:238 0.00223649 +22 *3122:215 0.00138902 +23 *3122:211 0.000419476 +24 *3122:198 0.00159213 +25 *3122:173 0.00404356 +26 *3122:161 0.00291447 +27 *3122:153 0.0024905 +28 *3122:145 0.00317946 +29 *3122:136 0.00239705 +30 *3122:115 0.00231657 +31 *3122:105 0.0021417 +32 *3122:88 0.00190783 +33 *3122:64 0.0025864 +34 *3122:39 0.00263046 +35 *3122:32 0.00542168 +36 *3122:11 0.00496181 +37 *6104:DIODE *22334:C1 6.08467e-05 +38 *22165:A *22195:A 1.33433e-05 +39 *22165:A *3126:142 8.23923e-05 +40 *22165:A *3136:24 0.000805611 +41 *22165:A *3136:29 3.63307e-05 +42 *22165:A *3139:96 4.40531e-05 +43 *22165:A *3144:103 0.000215848 +44 *22165:A *3148:46 0.000571055 +45 *22165:A *3157:21 0.00103645 +46 *22165:A *3483:86 1.24546e-05 +47 *22165:A *5384:13 2.27135e-05 +48 *22165:A *5853:334 0 +49 *22238:B *3523:127 0.000111938 +50 *22238:B *3688:22 0.000353241 +51 *22267:B *22292:C1 0.000247443 +52 *22267:B *3173:26 3.91944e-05 +53 *22311:B *22312:C1 0.000161262 +54 *22355:B *22683:C1 8.41511e-06 +55 *22355:B *22723:A2 7.71721e-05 +56 *22355:B *3521:191 8.51781e-05 +57 *22355:B *3632:19 5.94052e-06 +58 *22377:B *22358:A2 0.000245456 +59 *22377:B *22378:C1 2.16355e-05 +60 *22399:B *3358:11 6.27353e-05 +61 *22399:B *3620:14 7.02358e-06 +62 *22443:B *22444:C1 0.000154145 +63 *22487:B *20018:A 0.000154145 +64 *22487:B *22484:B2 7.5301e-06 +65 *22487:B *22795:A2 2.16355e-05 +66 *22487:B *22795:B2 1.17054e-05 +67 *22487:B *22795:C1 5.12492e-05 +68 *22487:B *3190:55 5.16323e-05 +69 *22487:B *3537:67 2.53147e-05 +70 *22509:B *3541:119 1.58551e-05 +71 *3122:11 *22212:A 7.79673e-05 +72 *3122:11 *22234:A 4.64983e-05 +73 *3122:11 *3126:142 0.000433525 +74 *3122:11 *3136:24 0.000130111 +75 *3122:11 *4823:124 4.25526e-05 +76 *3122:11 *4830:71 3.72997e-05 +77 *3122:32 *3198:18 6.7673e-05 +78 *3122:32 *3521:14 0.000363081 +79 *3122:39 *22334:C1 0.000205101 +80 *3122:39 *3175:257 8.72065e-05 +81 *3122:39 *3198:23 1.54194e-05 +82 *3122:39 *3513:26 5.77973e-05 +83 *3122:39 *4808:58 0.000261935 +84 *3122:39 *4819:72 0.000181996 +85 *3122:64 *3192:57 4.04556e-05 +86 *3122:64 *3196:135 0.00133386 +87 *3122:64 *3196:152 0.000545109 +88 *3122:64 *3198:154 3.2576e-05 +89 *3122:64 *3493:8 7.72394e-06 +90 *3122:64 *3547:26 0.00186429 +91 *3122:88 *3192:57 0.000120183 +92 *3122:88 *3192:69 0.000465192 +93 *3122:88 *3306:15 0.000161234 +94 *3122:88 *3474:218 0.000261827 +95 *3122:88 *3493:8 0.000204845 +96 *3122:88 *4826:117 0.000191352 +97 *3122:105 *3192:69 0.000346061 +98 *3122:105 *3474:218 0.000140511 +99 *3122:105 *3493:15 2.14323e-05 +100 *3122:115 *22354:A2 6.39307e-06 +101 *3122:115 *22398:B2 1.5714e-05 +102 *3122:115 *22403:B 0 +103 *3122:115 *3198:154 4.69495e-06 +104 *3122:115 *3471:162 2.57465e-06 +105 *3122:115 *5904:45 0.000110306 +106 *3122:136 *22723:A2 7.74353e-05 +107 *3122:136 *3632:19 1.61885e-05 +108 *3122:145 *22358:A2 7.15515e-05 +109 *3122:145 *22381:A 5.61389e-05 +110 *3122:145 *22716:A2 5.88009e-05 +111 *3122:145 *3519:260 0.000727064 +112 *3122:145 *3519:279 0.000285679 +113 *3122:153 *22290:C1 6.22259e-05 +114 *3122:153 *22293:C 0.000260343 +115 *3122:153 *22293:D 4.66492e-05 +116 *3122:153 *22358:A2 8.58001e-05 +117 *3122:153 *3204:202 5.04829e-06 +118 *3122:153 *3249:15 1.99266e-05 +119 *3122:153 *3581:25 9.80242e-07 +120 *3122:161 *22292:B2 6.52404e-05 +121 *3122:161 *22293:D 0.000154145 +122 *3122:161 *22611:A2 5.4325e-05 +123 *3122:161 *3233:8 2.54982e-05 +124 *3122:161 *3537:215 0.000203604 +125 *3122:161 *3564:14 1.24195e-05 +126 *3122:173 *24909:A 2.22897e-06 +127 *3122:173 *3190:144 8.78149e-05 +128 *3122:173 *3511:151 0.00015426 +129 *3122:173 *3511:192 0.000621537 +130 *3122:198 *3562:16 0.000563558 +131 *3122:211 *3519:174 0.000392126 +132 *3122:215 *3192:211 2.41079e-05 +133 *3122:238 *22440:C1 0.000127946 +134 *3122:238 *3128:155 0.000350992 +135 *3122:238 *3153:260 3.04433e-05 +136 *3122:238 *3153:264 8.5544e-05 +137 *3122:238 *3153:266 1.0927e-05 +138 *3122:238 *3192:211 9.89797e-05 +139 *3122:248 *3146:78 1.83806e-05 +140 *3122:248 *3146:92 0.000235405 +141 *3122:248 *3750:22 0.000271258 +142 *3122:256 *3146:67 0.0010556 +143 *3122:256 *3146:78 0.000806467 +144 *3122:256 *3531:157 1.39403e-05 +145 *3122:256 *3750:22 4.31485e-06 +146 *19841:B1 *3122:198 4.08192e-05 +147 *19847:A *3122:256 0.000331897 +148 *20021:A1 *3122:256 2.27135e-05 +149 *20021:A2 *22487:B 3.26905e-05 +150 *20021:A3 *3122:256 1.15258e-05 +151 *20021:B1 *22487:B 0.000306974 +152 *20040:B1 *3122:198 1.5714e-05 +153 *21194:B1 *3122:39 0.00237155 +154 *21194:B1 *3122:64 0.00103746 +155 *21259:B2 *22399:B 0.000209297 +156 *21259:B2 *3122:115 0.000427283 +157 *21284:A1 *3122:153 3.03403e-05 +158 *21284:A2 *3122:153 0.000589072 +159 *21372:C1 *3122:88 9.95542e-06 +160 *21403:B2 *3122:88 0.000336331 +161 *21412:C1 *3122:136 0.000104754 +162 *21476:A2 *3122:39 0.00300407 +163 *21476:A2 *3122:64 0.00103634 +164 *21482:A1 *3122:161 0.000117852 +165 *21482:A2 *3122:173 0.000153427 +166 *21548:A1 *3122:256 0.000171986 +167 *21604:B1 *22487:B 4.15125e-05 +168 *21629:A2 *22238:B 0.000104754 +169 *21759:A2 *3122:161 9.3124e-05 +170 *21759:A2 *3122:173 0.000539641 +171 *21777:B1 *3122:256 0.000192506 +172 *21814:B1 *22238:B 0.000265543 +173 *22016:A1 *3122:238 4.15661e-05 +174 *22017:C1 *3122:238 0.000200794 +175 *22018:A *3122:161 1.84111e-05 +176 *22018:A *3122:173 3.51785e-06 +177 *22211:B *3122:11 3.02448e-05 +178 *22247:A1 *3122:173 0.000748447 +179 *22290:A1 *3122:153 1.68951e-06 +180 *22292:A1 *3122:153 6.94204e-06 +181 *22353:B1 *3122:115 0.000197627 +182 *22354:B1 *3122:115 0.000118854 +183 *22356:A2 *3122:136 1.04747e-05 +184 *22356:B2 *3122:136 3.63412e-05 +185 *22380:B1 *3122:153 0.000107496 +186 *22392:A1 *3122:105 0.000156955 +187 *22398:A1 *3122:115 4.23944e-05 +188 *22443:A *22443:B 0.000110297 +189 *22444:B1 *3122:215 2.37478e-05 +190 *22484:B1 *22487:B 4.23858e-05 +191 *22509:A *22509:B 0.000200794 +192 *22509:A *3122:198 0.000233246 +193 *22610:A1 *22267:B 0.000154145 +194 *22615:A1 *3122:161 0.000374729 +195 *22658:A1 *3122:39 9.44068e-05 +196 *22658:B1 *3122:39 4.64052e-05 +197 *22680:B1 *3122:145 6.40063e-05 +198 *22711:A1 *22311:B 0.00010072 +199 *22712:A1 *3122:105 7.13972e-05 +200 *22718:A1 *3122:115 0.00013388 +201 *22719:A1 *22399:B 7.75093e-05 +202 *22741:B1 *22421:B 0.000188843 +203 *22741:B1 *3122:248 0.000686597 +204 *22777:B1 *3122:215 0.000347858 +205 *22794:B1 *22487:B 6.23875e-05 +206 *22795:A1 *22487:B 0.000241076 +207 *22812:B1 *22509:B 1.64789e-05 +208 *482:20 *3122:11 0.000445281 +209 *1418:8 *3122:11 9.13543e-05 +210 *1418:311 *3122:11 5.99155e-05 +211 *1419:196 *3122:11 0.000210844 +212 *1443:60 *3122:88 3.21156e-06 +213 *1554:17 *3122:248 0.000204321 +214 *1554:36 *22421:B 0.000200794 +215 *1554:36 *3122:248 0.00151411 +216 *1561:111 *3122:215 5.60705e-05 +217 *1561:111 *3122:238 7.03807e-05 +218 *1584:156 *3122:88 0.000504408 +219 *1586:77 *3122:198 1.61631e-05 +220 *1588:136 *22238:B 0.000105515 +221 *1594:85 *22238:B 0.000174976 +222 *1594:85 *3122:198 0.000270111 +223 *1605:50 *3122:215 8.34211e-06 +224 *1634:69 *22238:B 0.000105515 +225 *1647:35 *3122:173 0.000113408 +226 *1648:25 *22165:A 1.97788e-05 +227 *1652:12 *22165:A 6.39772e-05 +228 *1658:242 *3122:88 0.000534652 +229 *1658:242 *3122:105 0.000113789 +230 *1680:111 *3122:39 8.09551e-05 +231 *1688:91 *3122:88 0.000129091 +232 *1733:52 *22238:B 0.000687791 +233 *1733:52 *3122:198 0.000242288 +234 *1750:45 *3122:39 0.000602366 +235 *1771:104 *3122:198 1.9101e-05 +236 *1776:35 *3122:256 0 +237 *1777:8 *3122:256 9.12416e-06 +238 *1800:119 *3122:153 7.13972e-05 +239 *1801:75 *3122:173 7.7326e-06 +240 *1884:257 *3122:11 0 +241 *2117:63 *3122:115 0.00126993 +242 *2117:77 *3122:136 7.00663e-05 +243 *2117:143 *3122:173 0.00102459 +244 *2243:22 *22399:B 7.40684e-06 +245 *2243:22 *3122:115 0.000182008 +246 *2256:37 *3122:11 0.000452219 +247 *2312:26 *3122:115 1.90084e-05 +248 *2344:21 *3122:153 4.78069e-06 +249 *2355:17 *22355:B 6.89789e-05 +250 *2355:17 *3122:145 4.97617e-05 +251 *2355:42 *3122:145 0.00022198 +252 *2379:26 *3122:11 0.000127313 +253 *2381:38 *3122:11 1.80771e-05 +254 *2408:10 *22165:A 1.2693e-05 +255 *2415:19 *3122:11 0.00166925 +256 *2457:16 *3122:32 0.000356117 +257 *2479:52 *3122:105 0.000346349 +258 *2479:52 *3122:136 0.000808136 +259 *2480:25 *3122:105 9.75148e-06 +260 *2480:25 *3122:115 0.000410023 +261 *2501:22 *3122:136 0.000805983 +262 *2508:11 *3122:153 0.00025175 +263 *2534:23 *3122:136 0.000104754 +264 *2534:44 *3122:39 7.12632e-06 +265 *2538:13 *3122:215 0.000345048 +266 *2546:28 *3122:39 0.000293774 +267 *2556:19 *22238:B 0.000175461 +268 *2570:138 *3122:39 4.04934e-05 +269 *2596:18 *3122:39 0.000163672 +270 *2603:104 *22443:B 6.7671e-06 +271 *2603:104 *3122:215 0.000124221 +272 *2631:34 *3122:115 0.000398705 +273 *2631:83 *3122:39 2.78219e-06 +274 *2641:30 *3122:32 0.000143327 +275 *2655:64 *22487:B 2.57986e-05 +276 *2660:97 *22487:B 6.18571e-06 +277 *2660:113 *22487:B 9.10924e-06 +278 *2694:36 *22165:A 4.66142e-06 +279 *2771:15 *22443:B 0.000347769 +280 *2778:19 *3122:198 0.000132244 +281 *2782:10 *22238:B 5.8518e-05 +282 *2796:30 *3122:211 0.0003971 +283 *2800:14 *3122:11 5.11466e-05 +284 *2806:19 *3122:153 1.88152e-05 +285 *2819:38 *3122:161 0.000104754 +286 *2827:30 *3122:153 0.000123648 +287 *2843:51 *3122:198 8.09106e-06 +288 *2873:29 *22238:B 5.49916e-05 +289 *2880:20 *3122:32 0.000592445 +290 *2883:32 *3122:215 6.1449e-05 +291 *2883:64 *3122:173 0.000133082 +292 *2894:60 *22333:B 6.48631e-05 +293 *2900:140 *3122:161 0.000134556 +294 *2949:71 *22399:B 5.76996e-05 +295 *2949:71 *3122:115 8.18567e-05 +296 *2954:44 *3122:198 0.000500096 +297 *3002:69 *3122:238 0.000203065 +298 *3013:16 *3122:136 5.01835e-05 +299 *3021:13 *3122:64 2.18836e-05 +300 *3039:46 *3122:161 0.000143559 +301 *3039:55 *22267:B 1.58551e-05 +302 *3041:61 *3122:64 0.000114262 +303 *3046:35 *22267:B 3.55968e-05 +304 *3052:77 *22333:B 6.48631e-05 +305 *3106:110 *3122:256 0.00010092 +306 *3106:129 *3122:256 0.000502565 +307 *3111:16 *22165:A 4.57321e-06 +308 *3111:204 *22238:B 8.17544e-05 +309 *3114:180 *3122:238 0.000217674 +*RES +1 *22158:X *3122:11 41.5321 +2 *3122:11 *22165:A 22.0805 +3 *3122:11 *3122:32 5.60446 +4 *3122:32 *3122:39 36.5641 +5 *3122:39 *6104:DIODE 9.97254 +6 *3122:39 *22333:B 19.6659 +7 *3122:32 *3122:64 10.9399 +8 *3122:64 *3122:88 47.9441 +9 *3122:88 *3122:105 34.3993 +10 *3122:105 *3122:115 46.4556 +11 *3122:115 *22399:B 18.9528 +12 *3122:115 *3122:136 12.3581 +13 *3122:136 *3122:145 37.4385 +14 *3122:145 *3122:153 36.4026 +15 *3122:153 *3122:161 17.9869 +16 *3122:161 *3122:173 5.40337 +17 *3122:173 *22238:B 25.713 +18 *3122:173 *3122:198 21.9145 +19 *3122:198 *22509:B 13.3243 +20 *3122:198 *3122:211 16.6455 +21 *3122:211 *3122:215 12.8745 +22 *3122:215 *22443:B 17.8002 +23 *3122:215 *3122:238 37.7979 +24 *3122:238 *3122:248 32.0993 +25 *3122:248 *3122:256 46.2695 +26 *3122:256 *22487:B 37.558 +27 *3122:238 *22421:B 11.6364 +28 *3122:211 *22465:B 9.24915 +29 *3122:161 *22267:B 20.8536 +30 *3122:153 *22289:B 9.24915 +31 *3122:145 *3122:297 9.24915 +32 *3122:297 *3122:298 81.1229 +33 *3122:298 *22377:B 22.0188 +34 *3122:136 *22355:B 18.523 +35 *3122:64 *22311:B 16.691 +*END + +*D_NET *3123 0.00102061 +*CONN +*I *22160:A I *D sky130_fd_sc_hd__buf_6 +*I *22159:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22160:A 0.000171822 +2 *22159:X 0.000171822 +3 *22160:A *3124:15 0.000158357 +4 *22160:A *3483:8 0.000302611 +5 *22160:A *3498:11 6.03019e-05 +6 *2089:37 *22160:A 0.000104168 +7 *2098:34 *22160:A 5.15316e-05 +*RES +1 *22159:X *22160:A 34.1457 +*END + +*D_NET *3124 0.074256 +*CONN +*I *22165:B I *D sky130_fd_sc_hd__and4_1 +*I *22387:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22343:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22210:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22255:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22277:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22365:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22299:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22321:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22453:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22475:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22409:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22431:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22497:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22160:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22165:B 0.000197495 +2 *22387:A2 0.000108349 +3 *22343:A2 0.000217646 +4 *22210:A2 0.000568032 +5 *22255:A2 7.57067e-05 +6 *22277:A2 0 +7 *22365:A2 0.000187619 +8 *22299:A2 0 +9 *22321:A2 0 +10 *22453:A2 1.70441e-05 +11 *22475:A2 0.000364438 +12 *22409:A2 0.000134638 +13 *22431:A2 0.000152032 +14 *22497:A2 0.000156998 +15 *22160:X 0.000517178 +16 *3124:242 0.00331503 +17 *3124:210 0.00469939 +18 *3124:191 0.0015724 +19 *3124:174 0.00135236 +20 *3124:146 0.00260148 +21 *3124:131 0.0019984 +22 *3124:127 0.00165317 +23 *3124:93 0.00124217 +24 *3124:76 0.00124687 +25 *3124:62 0.000851599 +26 *3124:47 0.00174651 +27 *3124:35 0.00179337 +28 *3124:24 0.00251687 +29 *3124:18 0.00181234 +30 *3124:15 0.00133768 +31 *22165:B *3126:148 0.000107496 +32 *22165:B *3134:9 3.24105e-05 +33 *22165:B *3139:96 9.24241e-05 +34 *22210:A2 *22210:B2 0.000962744 +35 *22210:A2 *22237:A2 3.06919e-05 +36 *22210:A2 *22773:A2 0.00095909 +37 *22210:A2 *3196:209 7.10054e-05 +38 *22255:A2 *22255:B2 4.88844e-05 +39 *22255:A2 *22255:C1 7.66906e-06 +40 *22343:A2 *22387:B2 0.000192597 +41 *22343:A2 *3301:7 6.08467e-05 +42 *22343:A2 *3666:13 6.56365e-05 +43 *22365:A2 *3539:27 0.00016999 +44 *22387:A2 *22387:B2 9.49926e-05 +45 *22409:A2 *22409:B2 1.26359e-05 +46 *22409:A2 *22430:B2 5.04829e-06 +47 *22409:A2 *22431:C1 1.37189e-05 +48 *22409:A2 *3364:23 6.44879e-05 +49 *22453:A2 *3406:10 1.41181e-05 +50 *22475:A2 *22453:C1 2.58361e-05 +51 *22475:A2 *22475:B2 5.61527e-05 +52 *22497:A2 *22496:B2 2.84686e-05 +53 *22497:A2 *3151:53 8.03393e-06 +54 *3124:15 *3151:8 6.08467e-05 +55 *3124:15 *3483:8 0.000689218 +56 *3124:15 *3498:11 0.00146667 +57 *3124:15 *3498:24 6.99058e-05 +58 *3124:24 *3134:9 3.24105e-05 +59 *3124:35 *3151:40 0.000604049 +60 *3124:35 *3280:13 0.000113107 +61 *3124:47 *22496:B2 5.48836e-05 +62 *3124:47 *22746:B2 3.43136e-06 +63 *3124:47 *3500:89 1.5714e-05 +64 *3124:47 *5475:83 0 +65 *3124:62 *22496:B2 2.33103e-06 +66 *3124:62 *3448:8 0.000315011 +67 *3124:76 *3385:15 4.80741e-05 +68 *3124:93 *22430:B2 6.63489e-05 +69 *3124:93 *3136:71 0.000138018 +70 *3124:93 *3136:84 0.000336761 +71 *3124:93 *5860:511 1.89874e-05 +72 *3124:127 *22642:B1 0.000345903 +73 *3124:127 *3139:122 0 +74 *3124:127 *3485:133 3.07634e-05 +75 *3124:127 *3498:132 8.62625e-06 +76 *3124:127 *3498:163 1.1539e-05 +77 *3124:127 *3500:99 0.000115282 +78 *3124:127 *3511:28 0.000216535 +79 *3124:127 *3587:8 0.000640694 +80 *3124:127 *4807:82 0.000255705 +81 *3124:127 *4808:58 0.000267064 +82 *3124:131 *22329:A2 0.00125825 +83 *3124:131 *3151:141 5.84166e-05 +84 *3124:131 *3151:153 3.12828e-05 +85 *3124:131 *3175:267 2.32904e-05 +86 *3124:131 *3204:140 0.000441138 +87 *3124:131 *4807:107 7.09623e-05 +88 *3124:146 *3136:248 1.02986e-05 +89 *3124:146 *3151:153 0.00101062 +90 *3124:146 *3636:11 2.10515e-05 +91 *3124:146 *4811:21 0.000584056 +92 *3124:146 *4811:29 5.35941e-05 +93 *3124:146 *4815:98 5.46889e-05 +94 *3124:174 *3136:208 0.000110297 +95 *3124:174 *3151:176 0.000234195 +96 *3124:174 *3208:150 0.000432773 +97 *3124:174 *3238:15 2.81262e-05 +98 *3124:174 *3543:33 0 +99 *3124:174 *3636:11 0.000140171 +100 *3124:174 *4804:96 0.000110949 +101 *3124:191 *3142:154 1.9101e-05 +102 *3124:191 *3151:176 2.77625e-06 +103 *3124:191 *3151:187 9.77936e-05 +104 *3124:191 *3185:107 0.000144942 +105 *3124:191 *3284:21 0.000148353 +106 *3124:191 *3539:48 6.36477e-05 +107 *3124:210 *3142:154 0.000138994 +108 *3124:210 *3517:170 0.00160644 +109 *3124:210 *3517:197 4.64851e-05 +110 *3124:242 *22210:B2 0.000226438 +111 *3124:242 *22387:B2 3.99086e-06 +112 *3124:242 *22773:A2 0.000100778 +113 *3124:242 *3139:192 0.000509228 +114 *3124:242 *3139:200 0.000591524 +115 *3124:242 *3151:216 0.00203507 +116 *3124:242 *3196:185 1.80892e-05 +117 *3124:242 *3666:13 9.72888e-05 +118 *3124:242 *4812:38 9.58249e-05 +119 *6171:DIODE *3124:35 1.92336e-05 +120 *19801:A2 *22210:A2 0.000101888 +121 *19801:A2 *3124:242 0.00152884 +122 *21212:B1 *3124:127 8.56161e-05 +123 *21236:D *3124:242 0.000255816 +124 *21241:A2 *22343:A2 7.21706e-05 +125 *21241:A2 *3124:242 9.80784e-05 +126 *21241:B1 *22343:A2 0.000274435 +127 *21241:B2 *22343:A2 1.1718e-05 +128 *21262:B *3124:242 9.3002e-05 +129 *21262:D *3124:242 0.000431986 +130 *21272:B1 *3124:242 0.000278126 +131 *21320:B2 *3124:35 0 +132 *21417:A1 *3124:242 1.01861e-05 +133 *21417:B2 *3124:242 6.36477e-05 +134 *21455:B1 *3124:47 2.1862e-05 +135 *21476:B1 *3124:210 0.00129877 +136 *21641:A1 *22255:A2 3.41459e-05 +137 *21641:A1 *3124:191 3.67156e-05 +138 *21653:B2 *3124:174 3.20069e-06 +139 *21658:B1 *3124:242 5.60804e-05 +140 *21658:B2 *3124:242 1.43499e-05 +141 *21746:C *3124:191 0.00025175 +142 *21981:A2 *3124:47 0.000416957 +143 *21987:A1 *3124:47 0.000276039 +144 *21987:A1 *3124:210 0.000109327 +145 *22160:A *3124:15 0.000158357 +146 *22210:A1 *22210:A2 5.93806e-05 +147 *22277:A1 *3124:174 6.08467e-05 +148 *22321:A1 *3124:35 0.000107496 +149 *22365:B1 *22365:A2 4.45517e-05 +150 *22387:B1 *22387:A2 4.82213e-05 +151 *22430:A1 *3124:76 4.84017e-05 +152 *22430:A1 *3124:93 0.000107496 +153 *22430:A2 *3124:93 0.000154145 +154 *22430:B1 *3124:76 5.83609e-05 +155 *22431:A1 *22431:A2 9.12416e-06 +156 *22431:A1 *3124:76 5.01835e-05 +157 *22431:B1 *22431:A2 3.5534e-06 +158 *22453:B1 *22453:A2 6.36477e-05 +159 *22475:A1 *22475:A2 6.36477e-05 +160 *22765:A1 *3124:93 7.74978e-05 +161 *22765:B1 *3124:93 3.18079e-05 +162 *506:16 *3124:47 0.000118683 +163 *549:23 *22497:A2 4.78554e-06 +164 *1539:18 *3124:210 0.00022626 +165 *1552:65 *3124:47 0.000389304 +166 *1557:126 *3124:242 0.000258866 +167 *1558:24 *3124:242 1.2128e-05 +168 *1558:42 *3124:242 3.60679e-05 +169 *1559:21 *22210:A2 0.000122458 +170 *1573:183 *3124:242 0.000146093 +171 *1577:103 *3124:242 5.57258e-05 +172 *1584:141 *3124:131 0.000323636 +173 *1625:83 *3124:210 0.000210515 +174 *1641:77 *3124:47 0.00022817 +175 *1645:69 *3124:24 0.000118548 +176 *1669:181 *3124:24 0.000561055 +177 *1691:105 *3124:24 1.23455e-05 +178 *1691:105 *3124:127 0.000533713 +179 *1788:57 *3124:127 0 +180 *1790:43 *3124:47 2.14184e-05 +181 *1790:45 *3124:47 3.93783e-05 +182 *2243:28 *3124:242 5.35941e-05 +183 *2258:64 *3124:146 2.57465e-05 +184 *2273:17 *3124:15 0.000110297 +185 *2281:13 *3124:47 0.000679499 +186 *2293:44 *3124:242 3.11366e-05 +187 *2336:21 *3124:242 6.32703e-05 +188 *2354:55 *22365:A2 6.36477e-05 +189 *2361:29 *3124:174 1.78704e-05 +190 *2378:33 *3124:15 4.49233e-05 +191 *2378:41 *3124:18 0.001387 +192 *2378:41 *3124:24 9.49945e-05 +193 *2381:38 *3124:24 0.000629276 +194 *2403:17 *3124:35 0.000110306 +195 *2403:18 *3124:24 2.95757e-05 +196 *2403:18 *3124:127 6.75337e-05 +197 *2408:10 *22165:B 3.77659e-05 +198 *2408:10 *3124:18 0.00142566 +199 *2415:19 *3124:18 2.52364e-05 +200 *2472:27 *3124:242 5.01835e-05 +201 *2477:7 *3124:242 0.000200794 +202 *2527:24 *3124:210 0 +203 *2529:24 *3124:62 3.05823e-05 +204 *2529:24 *3124:76 5.09256e-06 +205 *2570:99 *22365:A2 0.000207911 +206 *2572:33 *22365:A2 3.67688e-05 +207 *2636:40 *3124:35 0.000188354 +208 *2641:30 *22497:A2 4.09765e-05 +209 *2641:30 *3124:47 6.73132e-05 +210 *2667:93 *22431:A2 9.04635e-06 +211 *2667:93 *3124:62 0 +212 *2682:19 *3124:174 0.000438346 +213 *2690:40 *3124:146 2.41581e-05 +214 *2690:40 *3124:174 1.09233e-05 +215 *2693:19 *3124:15 0.000122689 +216 *2693:25 *22475:A2 0.000458325 +217 *2772:38 *3124:146 9.12416e-06 +218 *2772:38 *3124:174 1.80225e-05 +219 *2777:60 *3124:191 2.5798e-05 +220 *2815:14 *22475:A2 5.0546e-05 +221 *2815:14 *3124:93 7.09666e-06 +222 *2815:32 *3124:93 0.000115761 +223 *2825:92 *22210:A2 7.41655e-06 +224 *2865:32 *3124:93 6.47302e-06 +225 *2882:74 *3124:242 7.99666e-06 +226 *2990:62 *22365:A2 0.000207901 +227 *2991:25 *3124:47 0.000416518 +228 *2994:55 *22497:A2 3.42037e-06 +229 *3041:71 *3124:174 0.00120475 +230 *3052:101 *3124:191 8.60109e-05 +231 *3052:101 *3124:210 4.04861e-05 +232 *3053:17 *22409:A2 2.99287e-05 +233 *3053:17 *3124:93 4.69276e-05 +234 *3053:21 *22409:A2 0.000144396 +235 *3054:18 *3124:47 2.63743e-05 +236 *3071:42 *3124:47 0.000523253 +237 *3106:42 *22165:B 0.000111708 +238 *3117:54 *3124:93 2.43314e-05 +239 *3117:56 *3124:93 8.23875e-05 +240 *3117:74 *22475:A2 3.53539e-05 +241 *3117:74 *3124:93 0.000372153 +242 *3117:149 *3124:131 0.00026154 +243 *3117:149 *3124:146 0.00108107 +*RES +1 *22160:X *3124:15 37.5971 +2 *3124:15 *3124:18 33.7386 +3 *3124:18 *3124:24 25.9227 +4 *3124:24 *3124:35 23.2118 +5 *3124:35 *3124:47 12.892 +6 *3124:47 *22497:A2 17.7759 +7 *3124:47 *3124:62 12.7852 +8 *3124:62 *22431:A2 16.4605 +9 *3124:62 *3124:76 10.2327 +10 *3124:76 *22409:A2 13.8548 +11 *3124:76 *3124:93 26.9759 +12 *3124:93 *22475:A2 23.697 +13 *3124:93 *22453:A2 14.4725 +14 *3124:35 *22321:A2 9.24915 +15 *3124:24 *3124:127 45.4659 +16 *3124:127 *3124:131 25.9035 +17 *3124:131 *22299:A2 13.7491 +18 *3124:131 *3124:146 43.8902 +19 *3124:146 *22365:A2 20.959 +20 *3124:146 *3124:174 36.4171 +21 *3124:174 *22277:A2 9.24915 +22 *3124:174 *3124:191 23.6182 +23 *3124:191 *22255:A2 15.4612 +24 *3124:191 *3124:210 11.7761 +25 *3124:210 *22210:A2 22.8704 +26 *3124:210 *3124:242 40.6922 +27 *3124:242 *22343:A2 20.9289 +28 *3124:242 *22387:A2 16.0158 +29 *3124:18 *22165:B 23.1917 +*END + +*D_NET *3125 0.000380246 +*CONN +*I *22162:A I *D sky130_fd_sc_hd__buf_8 +*I *22161:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22162:A 5.77506e-05 +2 *22161:X 5.77506e-05 +3 *22162:A *22233:A 1.37385e-05 +4 *22162:A *3491:8 0.000149009 +5 *1551:67 *22162:A 0.000101998 +*RES +1 *22161:X *22162:A 30.1608 +*END + +*D_NET *3126 0.0875455 +*CONN +*I *22165:C I *D sky130_fd_sc_hd__and4_1 +*I *22331:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22441:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22485:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22507:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22463:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22419:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22309:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22375:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22287:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22236:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22265:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22353:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22397:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22162:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22165:C 0 +2 *22331:B2 0.000272309 +3 *22441:B2 5.68655e-05 +4 *22485:B2 0 +5 *22507:B2 0 +6 *22463:B2 2.26838e-05 +7 *22419:B2 0.000370071 +8 *22309:B2 4.45897e-05 +9 *22375:B2 3.35425e-05 +10 *22287:B2 0 +11 *22236:B2 0.00163311 +12 *22265:B2 0.000105627 +13 *22353:B2 0.000167536 +14 *22397:B2 2.3034e-05 +15 *22162:X 0.000213147 +16 *3126:228 0.00134439 +17 *3126:206 0.00118031 +18 *3126:194 0.0020819 +19 *3126:189 0.00131069 +20 *3126:166 0.00078441 +21 *3126:156 0.00074389 +22 *3126:154 0.00107535 +23 *3126:152 0.00129196 +24 *3126:148 0.00238121 +25 *3126:142 0.00256703 +26 *3126:82 0.00197058 +27 *3126:75 0.00183538 +28 *3126:51 0.00027104 +29 *3126:48 0.000145193 +30 *3126:47 0.00048497 +31 *3126:42 0.00253607 +32 *3126:36 0.000665006 +33 *3126:34 0.00200239 +34 *3126:25 0.00293399 +35 *3126:12 0.00231548 +36 *3126:10 0.00235304 +37 *3126:7 0.00282058 +38 *22236:B2 *22236:A2 0.000313717 +39 *22236:B2 *22237:C1 7.23277e-06 +40 *22236:B2 *5458:13 0.00247339 +41 *22265:B2 *22236:A2 4.0143e-05 +42 *22265:B2 *3198:197 7.86852e-05 +43 *22309:B2 *22310:C1 3.32196e-05 +44 *22309:B2 *4826:141 1.49644e-05 +45 *22331:B2 *22331:A2 2.57847e-05 +46 *22331:B2 *22332:A2 1.2851e-05 +47 *22331:B2 *22332:C1 2.37827e-05 +48 *22353:B2 *22354:C1 0.000158371 +49 *22375:B2 *22707:D 4.49912e-05 +50 *22419:B2 *22416:A 1.82679e-05 +51 *22419:B2 *22419:A2 0 +52 *22419:B2 *22420:A2 2.6266e-05 +53 *22419:B2 *22420:B2 5.83537e-05 +54 *22419:B2 *22437:C1 7.21868e-05 +55 *22441:B2 *3198:48 4.07765e-05 +56 *22441:B2 *3198:53 3.63738e-05 +57 *22463:B2 *22416:D 0 +58 *3126:10 *22227:A 4.84392e-05 +59 *3126:10 *22572:A 2.88905e-05 +60 *3126:10 *3469:52 5.46314e-05 +61 *3126:10 *3491:140 5.06488e-05 +62 *3126:10 *4806:24 2.96264e-05 +63 *3126:10 *5853:334 3.72037e-05 +64 *3126:10 *5944:80 0 +65 *3126:10 *5948:140 0.000143996 +66 *3126:12 *22309:B1 9.12416e-06 +67 *3126:12 *22525:A 2.51379e-05 +68 *3126:12 *22590:A 0.000286741 +69 *3126:12 *3153:65 0.00015618 +70 *3126:12 *3177:250 7.94462e-05 +71 *3126:12 *3198:123 9.91875e-06 +72 *3126:12 *3198:154 8.6297e-06 +73 *3126:12 *3537:14 0.000520591 +74 *3126:12 *4806:24 0.000116646 +75 *3126:12 *4820:14 0.000138961 +76 *3126:12 *4821:76 8.05301e-05 +77 *3126:12 *4824:153 7.83643e-05 +78 *3126:12 *5944:90 1.99266e-05 +79 *3126:12 *5944:102 0.000327675 +80 *3126:25 *22304:B2 0.000234699 +81 *3126:25 *22309:B1 0.000554455 +82 *3126:25 *22678:B2 6.78998e-05 +83 *3126:25 *22679:C1 0.000105515 +84 *3126:25 *3198:154 1.35239e-05 +85 *3126:25 *3471:139 0.00045203 +86 *3126:25 *3498:179 0.000167017 +87 *3126:25 *3498:211 5.65123e-05 +88 *3126:25 *3622:7 0.000105509 +89 *3126:25 *4809:13 7.31946e-06 +90 *3126:34 *22386:B2 4.28518e-05 +91 *3126:34 *22707:D 2.97737e-05 +92 *3126:34 *3136:248 0.000145937 +93 *3126:34 *3179:202 0.000549361 +94 *3126:34 *3322:11 2.7272e-05 +95 *3126:34 *3637:18 0.000457481 +96 *3126:36 *22707:D 0.000118724 +97 *3126:42 *22691:C1 7.13264e-06 +98 *3126:42 *3196:185 0.000573575 +99 *3126:47 *3198:190 0.0005826 +100 *3126:75 *3284:10 0.000103044 +101 *3126:82 *3198:197 0.000729674 +102 *3126:82 *3206:197 0.000182539 +103 *3126:82 *3206:207 3.41459e-05 +104 *3126:142 *22165:D 2.16355e-05 +105 *3126:142 *3136:29 0.00120271 +106 *3126:142 *3142:21 0.000210725 +107 *3126:142 *3481:77 0.000181783 +108 *3126:142 *5853:334 0.000192123 +109 *3126:148 *24232:RESET_B 0.000240345 +110 *3126:148 *24232:CLK 1.85357e-05 +111 *3126:148 *5870:576 0.000205285 +112 *3126:152 *21061:B1 4.03231e-05 +113 *3126:152 *21475:A1_N 2.60273e-07 +114 *3126:152 *5870:572 4.98682e-05 +115 *3126:154 *21475:A1_N 0.000397279 +116 *3126:154 *3146:29 0.00388599 +117 *3126:154 *3155:31 7.28335e-05 +118 *3126:154 *3448:9 0.00331478 +119 *3126:166 *22416:A 1.34424e-05 +120 *3126:166 *22420:B2 3.18099e-05 +121 *3126:166 *22743:D 0.000431125 +122 *3126:166 *3139:48 7.97572e-05 +123 *3126:166 *3139:55 3.12828e-05 +124 *3126:166 *3157:43 1.65872e-05 +125 *3126:166 *3198:53 2.13736e-05 +126 *3126:166 *3385:19 5.65711e-05 +127 *3126:189 *3131:41 2.36441e-05 +128 *3126:189 *3198:53 4.83562e-06 +129 *3126:194 *3139:55 5.4678e-05 +130 *3126:194 *3139:75 0.000351689 +131 *3126:194 *3196:60 2.77115e-05 +132 *3126:194 *3198:38 3.92776e-05 +133 *3126:194 *3198:48 0.000149231 +134 *3126:206 *22727:C1 3.61472e-05 +135 *3126:206 *3198:38 0.000219116 +136 *3126:206 *3198:93 5.60364e-06 +137 *3126:206 *3208:89 0.000188178 +138 *3126:206 *3471:60 1.40709e-05 +139 *3126:206 *3471:73 0.000479787 +140 *3126:206 *3476:52 2.85937e-05 +141 *3126:206 *3476:56 0.000252125 +142 *3126:206 *3476:71 0.000268515 +143 *3126:228 *22332:A2 0.000156946 +144 *3126:228 *22671:D 0.000254551 +145 *19801:A2 *22236:B2 0.000372458 +146 *21061:A2 *3126:148 0.000127969 +147 *21061:A2 *3126:152 6.3657e-05 +148 *21061:B2 *3126:152 6.17774e-05 +149 *21262:C *3126:42 0.000107971 +150 *21272:B1 *3126:42 0.00063723 +151 *21272:B1 *3126:75 0.000118347 +152 *21396:B1 *3126:42 1.00981e-05 +153 *21424:B *3126:34 6.22114e-05 +154 *21424:C *3126:34 8.42651e-05 +155 *21467:A1 *3126:206 0.00025175 +156 *21560:B2 *3126:194 8.49586e-06 +157 *21624:B1 *3126:206 1.78868e-05 +158 *21658:B2 *3126:34 5.45571e-05 +159 *21992:B2 *3126:194 0.000280153 +160 *22011:A1 *22265:B2 0.000154695 +161 *22161:B *3126:10 9.82479e-06 +162 *22165:A *3126:142 8.23923e-05 +163 *22165:B *3126:148 0.000107496 +164 *22236:A1 *22236:B2 1.06706e-05 +165 *22236:B1 *22236:B2 8.68321e-05 +166 *22269:A1 *22236:B2 0.000118117 +167 *22269:B1 *22236:B2 0.000206582 +168 *22287:B1 *3126:82 0.000340742 +169 *22331:A1 *22331:B2 2.4167e-05 +170 *22332:B1 *22331:B2 7.88929e-05 +171 *22441:A1 *3126:189 8.13612e-05 +172 *22463:B1 *22419:B2 3.3238e-05 +173 *22464:A1 *3126:166 0.000304763 +174 *22507:A1 *3126:194 0.000798504 +175 *22508:B1 *3126:206 0.000426777 +176 *22516:A *3126:10 5.31569e-06 +177 *22524:A *3126:10 0 +178 *22524:A *3126:12 5.81031e-05 +179 *22678:A1 *3126:25 2.57986e-05 +180 *22678:B1 *3126:25 0.00025175 +181 *22690:A1 *3126:42 4.41652e-05 +182 *22690:A1 *3126:75 4.71538e-05 +183 *22690:B1 *3126:42 4.6012e-05 +184 *22799:A1 *3126:206 0.000497302 +185 *24235:D *3126:148 6.08467e-05 +186 *24235:D *3126:152 0.000203595 +187 *518:31 *3126:34 4.20596e-05 +188 *522:17 *3126:206 0.00028979 +189 *1435:125 *3126:194 0.000118738 +190 *1435:125 *3126:206 5.43178e-05 +191 *1496:84 *3126:166 6.1578e-06 +192 *1496:84 *3126:189 5.0385e-05 +193 *1535:31 *22236:B2 2.53145e-06 +194 *1543:17 *22353:B2 0.000184953 +195 *1543:17 *3126:47 0.00171973 +196 *1543:17 *3126:51 9.21845e-05 +197 *1543:29 *3126:82 1.65872e-05 +198 *1547:114 *22236:B2 1.66771e-05 +199 *1551:72 *3126:7 0.000114523 +200 *1552:52 *3126:152 6.97611e-05 +201 *1552:52 *3126:154 1.63604e-05 +202 *1554:49 *3126:75 0.00195263 +203 *1577:44 *3126:154 0.000154145 +204 *1616:42 *22331:B2 6.61114e-05 +205 *1620:60 *3126:75 0.000110675 +206 *1645:31 *22441:B2 8.06912e-05 +207 *1645:31 *3126:166 1.03079e-05 +208 *1684:85 *3126:189 0.000130457 +209 *1716:35 *22236:B2 9.08427e-05 +210 *1720:36 *22236:B2 0.000272122 +211 *2089:53 *3126:10 0.000367905 +212 *2089:62 *3126:10 0.000200395 +213 *2100:55 *3126:10 0.000130543 +214 *2100:68 *3126:10 0.000994999 +215 *2100:72 *3126:10 0.000209993 +216 *2100:72 *3126:12 0 +217 *2115:161 *3126:10 6.81706e-05 +218 *2115:161 *3126:142 0.000130883 +219 *2115:165 *3126:142 0.000699792 +220 *2313:25 *3126:12 0 +221 *2313:33 *3126:12 0.000154522 +222 *2326:16 *3126:47 0.000962891 +223 *2336:15 *3126:42 4.31485e-06 +224 *2354:50 *3126:42 4.69204e-06 +225 *2361:54 *3126:34 3.0482e-05 +226 *2361:74 *22375:B2 3.44695e-05 +227 *2361:74 *3126:36 0.000145973 +228 *2379:26 *3126:10 2.2637e-05 +229 *2381:38 *3126:142 5.74352e-06 +230 *2384:59 *3126:42 3.33173e-06 +231 *2411:26 *3126:142 0.000170967 +232 *2418:38 *3126:34 0.00033281 +233 *2455:7 *3126:42 0.00035787 +234 *2459:56 *3126:25 5.88009e-05 +235 *2463:11 *3126:34 6.08467e-05 +236 *2481:17 *3126:25 5.39109e-05 +237 *2501:32 *3126:34 0.000129197 +238 *2505:75 *3126:189 0.000228703 +239 *2505:75 *3126:194 0.000282306 +240 *2572:33 *3126:25 0.000480689 +241 *2589:54 *22265:B2 1.65175e-05 +242 *2609:28 *3126:228 0.000312985 +243 *2611:19 *3126:154 8.51503e-05 +244 *2630:31 *3126:228 3.54024e-05 +245 *2637:11 *3126:7 6.53432e-05 +246 *2641:80 *3126:194 0.00011836 +247 *2641:80 *3126:206 2.31496e-05 +248 *2666:11 *3126:206 0.000205101 +249 *2674:8 *22236:B2 3.9132e-05 +250 *2686:40 *3126:34 0.000782776 +251 *2800:46 *3126:228 0.000136672 +252 *2800:53 *3126:228 0.000888757 +253 *2801:25 *22419:B2 3.73224e-05 +254 *2804:24 *22419:B2 0.000134741 +255 *2894:39 *3126:228 0.00159444 +256 *2906:82 *3126:7 4.31703e-05 +257 *2997:42 *3126:189 5.65354e-05 +258 *2999:38 *22419:B2 0.000185428 +259 *2999:43 *22419:B2 1.15883e-05 +260 *3005:64 *3126:166 0.000111631 +261 *3005:64 *3126:189 4.15661e-05 +262 *3007:19 *22441:B2 5.17016e-06 +263 *3020:21 *22353:B2 1.09551e-05 +264 *3020:26 *22353:B2 0.000640039 +265 *3020:26 *3126:51 0.000245462 +266 *3023:41 *22441:B2 3.04234e-05 +267 *3052:77 *22331:B2 0.000172436 +268 *3091:66 *3126:10 0.000167641 +269 *3106:42 *3126:142 2.137e-05 +270 *3106:42 *3126:148 0.000150455 +271 *3122:11 *3126:142 0.000433525 +*RES +1 *22162:X *3126:7 18.9094 +2 *3126:7 *3126:10 33.7942 +3 *3126:10 *3126:12 31.5225 +4 *3126:12 *3126:25 45.6878 +5 *3126:25 *3126:34 49.1065 +6 *3126:34 *3126:36 3.28538 +7 *3126:36 *3126:42 26.5101 +8 *3126:42 *3126:47 33.8837 +9 *3126:47 *3126:48 81.1229 +10 *3126:48 *3126:51 12.191 +11 *3126:51 *22397:B2 9.82786 +12 *3126:51 *22353:B2 17.2065 +13 *3126:42 *3126:75 41.7325 +14 *3126:75 *3126:82 14.6999 +15 *3126:82 *22265:B2 17.0345 +16 *3126:82 *22236:B2 30.7412 +17 *3126:75 *22287:B2 9.24915 +18 *3126:36 *22375:B2 14.7506 +19 *3126:12 *22309:B2 14.8436 +20 *3126:7 *3126:142 21.0302 +21 *3126:142 *3126:148 17.5544 +22 *3126:148 *3126:152 6.59496 +23 *3126:152 *3126:154 51.1562 +24 *3126:154 *3126:156 4.5 +25 *3126:156 *3126:166 25.6785 +26 *3126:166 *22419:B2 27.4902 +27 *3126:166 *22463:B2 9.82786 +28 *3126:156 *3126:189 9.91677 +29 *3126:189 *3126:194 25.3576 +30 *3126:194 *22507:B2 13.7491 +31 *3126:194 *3126:206 40.9964 +32 *3126:206 *22485:B2 9.24915 +33 *3126:189 *22441:B2 19.104 +34 *3126:148 *3126:228 49.4177 +35 *3126:228 *22331:B2 20.7627 +36 *3126:142 *22165:C 9.24915 +*END + +*D_NET *3127 0.000468437 +*CONN +*I *22164:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *22163:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22164:A 8.239e-05 +2 *22163:X 8.239e-05 +3 *22164:A *3479:53 0.000151828 +4 *2088:113 *22164:A 0.000151828 +*RES +1 *22163:X *22164:A 30.576 +*END + +*D_NET *3128 0.106121 +*CONN +*I *22327:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22481:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22393:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22349:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22371:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22283:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22261:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22224:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22459:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22503:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22415:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22437:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22305:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22165:D I *D sky130_fd_sc_hd__and4_1 +*I *22164:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *22327:A2 0.000353054 +2 *22481:A2 1.58386e-05 +3 *22393:A2 0.000212925 +4 *22349:A2 0.000584754 +5 *22371:A2 1.6785e-05 +6 *22283:A2 0.000507851 +7 *22261:A2 0 +8 *22224:A2 2.36422e-05 +9 *22459:A2 4.71627e-05 +10 *22503:A2 0.000186944 +11 *22415:A2 1.99244e-05 +12 *22437:A2 0.000286644 +13 *22305:A2 0.00108825 +14 *22165:D 0.000620745 +15 *22164:X 0.000851366 +16 *3128:227 0.00158667 +17 *3128:209 0.00162011 +18 *3128:184 0.00163255 +19 *3128:176 0.000622027 +20 *3128:166 0.000623915 +21 *3128:162 0.00118939 +22 *3128:159 0.00211572 +23 *3128:155 0.00247742 +24 *3128:142 0.00288757 +25 *3128:140 0.00174985 +26 *3128:133 0.000494568 +27 *3128:99 0.00126262 +28 *3128:98 0.00179398 +29 *3128:87 0.00213425 +30 *3128:64 0.00299468 +31 *3128:60 0.00300506 +32 *3128:46 0.00383673 +33 *3128:26 0.00481884 +34 *3128:11 0.0031456 +35 *22165:D *22194:C 5.4678e-05 +36 *22165:D *22195:A 5.05252e-05 +37 *22165:D *3153:26 0.00114567 +38 *22165:D *3481:77 0.000282061 +39 *22283:A2 *22294:A 9.24649e-05 +40 *22305:A2 *22306:D 4.12977e-05 +41 *22305:A2 *22588:A 0.000344332 +42 *22305:A2 *3142:233 0.000144632 +43 *22305:A2 *3471:15 0.00123586 +44 *22305:A2 *3491:140 0.000266837 +45 *22305:A2 *3517:15 0.000553527 +46 *22305:A2 *3539:12 7.88078e-05 +47 *22305:A2 *4819:53 0.000157541 +48 *22327:A2 *22322:A2 1.1718e-05 +49 *22327:A2 *22328:D 0.000143192 +50 *22327:A2 *4825:146 7.86728e-05 +51 *22349:A2 *22345:A2 0.000620271 +52 *22349:A2 *22349:C1 2.16608e-05 +53 *22349:A2 *22350:B 0.000101998 +54 *22349:A2 *3305:8 0.000763758 +55 *22393:A2 *22345:A2 0.000160162 +56 *22393:A2 *22389:A2 4.99151e-05 +57 *22393:A2 *22393:C1 9.40863e-05 +58 *22393:A2 *3305:8 0.000201728 +59 *22415:A2 *22416:A 6.50586e-05 +60 *22415:A2 *22416:D 1.29759e-05 +61 *22437:A2 *22426:B 0.000104719 +62 *22437:A2 *22437:C1 0.000173466 +63 *22437:A2 *3442:14 2.68104e-05 +64 *22459:A2 *3410:13 1.05631e-05 +65 *22503:A2 *22504:D 1.58551e-05 +66 *3128:11 *3153:26 0.00019914 +67 *3128:11 *3160:22 1.5714e-05 +68 *3128:26 *3139:156 0.000521024 +69 *3128:26 *3148:46 0.000539554 +70 *3128:26 *3483:124 2.05082e-05 +71 *3128:26 *3496:142 2.63575e-05 +72 *3128:26 *4824:180 0.00275267 +73 *3128:46 *22645:B1 0.000209167 +74 *3128:46 *3139:156 5.9447e-05 +75 *3128:46 *3148:46 0.000733203 +76 *3128:46 *3206:146 1.82433e-05 +77 *3128:46 *3483:124 0.000898636 +78 *3128:46 *4814:77 0.00027161 +79 *3128:46 *5874:133 5.44901e-05 +80 *3128:60 *3206:146 1.68253e-05 +81 *3128:60 *3511:63 7.63325e-05 +82 *3128:64 *22485:A2 0.000627109 +83 *3128:64 *3190:45 0.00177254 +84 *3128:87 *22504:A 0.000187919 +85 *3128:87 *22514:A 9.76262e-05 +86 *3128:87 *3196:108 0.000141267 +87 *3128:87 *3394:26 5.35941e-05 +88 *3128:87 *3432:32 0.000132548 +89 *3128:87 *3442:20 0.000349786 +90 *3128:87 *3457:20 1.91391e-05 +91 *3128:87 *3517:83 0.000483532 +92 *3128:87 *3523:68 2.1203e-06 +93 *3128:98 *22504:A 4.85108e-05 +94 *3128:98 *22508:C1 2.46319e-05 +95 *3128:98 *22513:B 5.87852e-05 +96 *3128:98 *22755:B2 8.04524e-06 +97 *3128:98 *3196:108 8.56804e-05 +98 *3128:98 *3519:113 0.000277899 +99 *3128:98 *3678:21 0.000208624 +100 *3128:99 *3442:14 0.000501917 +101 *3128:99 *3442:18 0.00011782 +102 *3128:99 *3448:20 0.00016294 +103 *3128:133 *22790:B2 4.69495e-06 +104 *3128:133 *3432:32 7.22263e-05 +105 *3128:133 *3442:20 3.38896e-05 +106 *3128:133 *3521:59 0 +107 *3128:133 *3523:68 4.54995e-05 +108 *3128:140 *3521:59 0.000105915 +109 *3128:142 *22460:B 5.88009e-05 +110 *3128:142 *22482:C 0.00030011 +111 *3128:142 *22484:C1 0.000218506 +112 *3128:142 *3190:58 0.000181778 +113 *3128:142 *3394:8 2.12653e-05 +114 *3128:142 *3427:28 1.66626e-05 +115 *3128:142 *3509:60 0.000131527 +116 *3128:142 *3521:59 4.42689e-05 +117 *3128:142 *3521:64 0.00166026 +118 *3128:155 *22772:B2 5.65931e-05 +119 *3128:155 *3153:260 7.12521e-06 +120 *3128:155 *3190:58 0.00126479 +121 *3128:155 *3190:77 0.000266227 +122 *3128:155 *3521:64 6.82895e-05 +123 *3128:159 *22506:B2 9.72888e-05 +124 *3128:159 *3153:244 2.25583e-07 +125 *3128:159 *3190:77 0.00012842 +126 *3128:159 *3457:20 2.59431e-05 +127 *3128:162 *3241:9 8.51503e-05 +128 *3128:162 *3527:166 0.00131674 +129 *3128:162 *5867:99 3.31745e-05 +130 *3128:166 *3531:174 0.00025175 +131 *3128:176 *22256:A2 0.000111802 +132 *3128:176 *3531:174 0.000326544 +133 *3128:176 *3531:184 0.00107912 +134 *3128:184 *22272:A 0.000161252 +135 *3128:184 *22272:B 1.88152e-05 +136 *3128:184 *3529:200 7.67564e-05 +137 *3128:184 *3531:184 8.29362e-05 +138 *3128:184 *3531:196 8.05178e-05 +139 *3128:209 *22371:C1 2.93209e-05 +140 *3128:209 *3179:233 0.00127603 +141 *3128:209 *3324:11 1.22756e-05 +142 *3128:209 *3529:212 0.000160617 +143 *3128:227 *22372:D 1.44029e-05 +144 *3128:227 *22721:B2 7.92757e-06 +145 *3128:227 *3529:212 0.000303914 +146 *3128:227 *3646:23 3.5876e-05 +147 *3128:227 *3663:7 6.08467e-05 +148 *19897:A *3128:64 8.41516e-05 +149 *19997:B1 *3128:87 1.56949e-05 +150 *20162:B *22165:D 0.00114169 +151 *20162:B *3128:11 0.000224377 +152 *20673:B *3128:159 0.000488086 +153 *21467:A1 *3128:87 6.69861e-06 +154 *21565:D1 *3128:98 6.91143e-05 +155 *21565:D1 *3128:99 0.000337564 +156 *21576:A2 *3128:60 2.09544e-05 +157 *21623:B1 *3128:64 7.77744e-05 +158 *21624:C1 *3128:64 6.3657e-05 +159 *21826:A2 *3128:142 1.66771e-05 +160 *21875:A1 *22283:A2 0.000101619 +161 *22016:A1 *3128:155 2.57465e-06 +162 *22016:B2 *3128:155 7.72394e-06 +163 *22016:B2 *3128:159 0.000133663 +164 *22151:A *3128:11 0.000370956 +165 *22192:B *3128:11 0.000251655 +166 *22261:A1 *3128:184 0.000107496 +167 *22261:B2 *3128:176 0.000349354 +168 *22283:A1 *22283:A2 0.000127723 +169 *22327:A1 *22327:A2 4.8916e-05 +170 *22327:B2 *22327:A2 0.000192597 +171 *22341:B1 *22393:A2 0.000250254 +172 *22349:B1 *22349:A2 7.87458e-05 +173 *22371:A1 *3128:227 0.000110297 +174 *22371:B2 *22371:A2 9.95922e-06 +175 *22371:B2 *3128:209 2.65667e-05 +176 *22371:B2 *3128:227 2.07503e-05 +177 *22393:B1 *22393:A2 6.08467e-05 +178 *22420:B1 *22437:A2 0.000112916 +179 *22437:A1 *22437:A2 6.08467e-05 +180 *22459:A1 *22459:A2 1.65872e-05 +181 *22459:B2 *22459:A2 6.08467e-05 +182 *22481:A1 *22481:A2 9.95922e-06 +183 *22481:A1 *3128:133 1.55025e-05 +184 *22481:A1 *3128:140 6.34651e-06 +185 *22481:B1 *22481:A2 1.47978e-05 +186 *22481:B2 *3128:133 4.17531e-06 +187 *22481:B2 *3128:140 1.41307e-05 +188 *22503:A1 *22503:A2 4.25388e-05 +189 *22503:B2 *22503:A2 3.41459e-05 +190 *22602:B1 *22327:A2 4.78069e-06 +191 *22695:B1 *3128:209 0.00012883 +192 *22721:B1 *3128:227 0.000352136 +193 *22799:B1 *3128:87 0.000158451 +194 *1502:177 *3128:98 0.000158451 +195 *1535:8 *3128:162 5.87688e-05 +196 *1538:38 *3128:159 0.000484125 +197 *1538:45 *3128:159 0.000464568 +198 *1560:62 *3128:99 0.00017197 +199 *1561:21 *3128:142 0.000136063 +200 *1561:111 *3128:159 2.46822e-05 +201 *1576:28 *22305:A2 8.6297e-06 +202 *1592:61 *22327:A2 0.000165921 +203 *1592:61 *3128:60 0.000663195 +204 *1593:20 *3128:159 0.000454597 +205 *1596:92 *22283:A2 2.49911e-05 +206 *1605:29 *3128:155 9.4385e-05 +207 *1605:50 *3128:159 0.000593477 +208 *1605:57 *3128:159 0.000391339 +209 *1605:73 *3128:159 5.51293e-05 +210 *1610:33 *3128:46 0.00177821 +211 *1610:33 *3128:60 0.00117194 +212 *1614:19 *3128:60 0.000503468 +213 *1627:128 *3128:227 9.54357e-06 +214 *1644:14 *3128:166 2.01206e-05 +215 *1648:25 *3128:26 0.000119825 +216 *1655:161 *3128:166 0.000229576 +217 *1655:213 *3128:227 0.000198188 +218 *1663:27 *3128:209 3.1068e-05 +219 *1697:75 *3128:99 0.000581423 +220 *1722:76 *3128:99 0.000215708 +221 *1757:120 *3128:155 5.88009e-05 +222 *1772:33 *3128:87 0.000156946 +223 *1772:71 *3128:64 0.000161252 +224 *1784:91 *22283:A2 8.4653e-05 +225 *1784:91 *3128:209 7.71503e-05 +226 *1798:92 *3128:142 7.82901e-05 +227 *2091:48 *3128:11 1.91246e-05 +228 *2244:36 *3128:46 0.00299304 +229 *2249:27 *3128:227 2.65667e-05 +230 *2249:35 *3128:227 6.13007e-05 +231 *2254:11 *3128:227 0.000154145 +232 *2306:48 *22349:A2 1.87125e-05 +233 *2337:22 *3128:209 3.29488e-05 +234 *2368:23 *22165:D 7.13037e-05 +235 *2456:30 *3128:26 0 +236 *2523:65 *3128:133 5.09367e-05 +237 *2523:65 *3128:140 6.64392e-05 +238 *2531:54 *3128:64 5.19897e-05 +239 *2531:54 *3128:87 1.49713e-05 +240 *2543:79 *3128:142 0.000138621 +241 *2545:67 *22305:A2 8.37195e-05 +242 *2554:21 *3128:209 0.00193941 +243 *2557:20 *3128:60 9.93974e-05 +244 *2599:20 *3128:87 5.94811e-05 +245 *2605:13 *3128:142 0.000122834 +246 *2667:122 *3128:133 8.58125e-05 +247 *2667:122 *3128:140 0 +248 *2770:18 *22283:A2 4.85285e-05 +249 *2779:14 *3128:159 1.3807e-05 +250 *2792:27 *3128:142 1.85244e-05 +251 *2796:26 *3128:162 0.000491468 +252 *2804:24 *22437:A2 0.000346967 +253 *2810:61 *22459:A2 0.000154145 +254 *2820:62 *3128:184 0.000396003 +255 *2842:13 *3128:142 0.000101503 +256 *2851:24 *3128:98 0.000383927 +257 *2854:21 *3128:142 7.08433e-05 +258 *2868:24 *3128:184 0.000107496 +259 *2883:28 *3128:159 0.000545788 +260 *2883:32 *3128:159 0 +261 *2886:8 *22283:A2 6.04785e-05 +262 *2886:19 *22283:A2 8.75545e-06 +263 *2906:8 *22283:A2 0 +264 *2959:43 *22437:A2 1.18092e-05 +265 *2959:43 *3128:99 3.68556e-05 +266 *2986:34 *3128:166 0.000296287 +267 *2991:67 *3128:60 0.00045051 +268 *3002:69 *3128:155 0.000435722 +269 *3019:23 *3128:60 0.000103963 +270 *3039:15 *3128:176 1.15389e-05 +271 *3039:15 *3128:184 0.000132688 +272 *3044:23 *22283:A2 5.01835e-05 +273 *3044:23 *3128:209 7.46097e-05 +274 *3052:77 *22327:A2 6.17795e-05 +275 *3058:49 *22503:A2 6.60095e-06 +276 *3058:49 *3128:87 5.93521e-05 +277 *3106:42 *22165:D 6.08467e-05 +278 *3106:180 *3128:162 1.15389e-05 +279 *3106:187 *3128:162 0.000642194 +280 *3111:63 *3128:60 1.69394e-06 +281 *3111:63 *3128:64 0.000337015 +282 *3114:96 *3128:60 0.000447381 +283 *3114:137 *3128:140 2.01503e-05 +284 *3114:160 *3128:140 6.62879e-05 +285 *3114:160 *3128:142 7.79461e-05 +286 *3114:180 *3128:155 4.50529e-05 +287 *3114:190 *3128:159 7.77387e-05 +288 *3114:190 *3128:162 0.000517344 +289 *3114:200 *3128:162 0.00253597 +290 *3114:200 *3128:166 0.000207911 +291 *3114:216 *22224:A2 1.81606e-05 +292 *3114:216 *3128:166 9.94284e-06 +293 *3114:216 *3128:176 0.000815544 +294 *3122:238 *3128:155 0.000350992 +295 *3126:142 *22165:D 2.16355e-05 +*RES +1 *22164:X *3128:11 29.6296 +2 *3128:11 *22165:D 25.3126 +3 *3128:11 *3128:26 7.05669 +4 *3128:26 *22305:A2 33.9124 +5 *3128:26 *3128:46 7.27638 +6 *3128:46 *3128:60 19.5435 +7 *3128:60 *3128:64 45.1549 +8 *3128:64 *3128:87 46.3116 +9 *3128:87 *3128:98 29.6411 +10 *3128:98 *3128:99 25.9166 +11 *3128:99 *22437:A2 23.0963 +12 *3128:99 *22415:A2 14.4725 +13 *3128:87 *22503:A2 17.8484 +14 *3128:64 *3128:133 11.5255 +15 *3128:133 *3128:140 8.82632 +16 *3128:140 *3128:142 44.8106 +17 *3128:142 *22459:A2 15.5817 +18 *3128:142 *3128:155 35.0644 +19 *3128:155 *3128:159 47.2343 +20 *3128:159 *3128:162 44.6003 +21 *3128:162 *3128:166 13.8416 +22 *3128:166 *22224:A2 9.82786 +23 *3128:166 *3128:176 16.831 +24 *3128:176 *22261:A2 9.24915 +25 *3128:176 *3128:184 15.7609 +26 *3128:184 *22283:A2 25.6599 +27 *3128:184 *3128:209 18.2919 +28 *3128:209 *22371:A2 9.82786 +29 *3128:209 *3128:227 25.4303 +30 *3128:227 *22349:A2 32.805 +31 *3128:227 *22393:A2 21.0145 +32 *3128:133 *22481:A2 9.82786 +33 *3128:46 *22327:A2 26.1958 +*END + +*D_NET *3129 0.00209358 +*CONN +*I *22195:A I *D sky130_fd_sc_hd__and4_1 +*I *22165:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22195:A 0.000445871 +2 *22165:X 0.000445871 +3 *22195:A *22185:C 7.09666e-06 +4 *22195:A *22195:B 0.000113107 +5 *22195:A *3134:5 0.000110306 +6 *22195:A *3136:29 0.000387246 +7 *22195:A *3144:103 5.17138e-05 +8 *22195:A *3481:77 0.000121774 +9 *22195:A *3483:86 8.04172e-05 +10 *22195:A *3483:87 1.5714e-05 +11 *22165:A *22195:A 1.33433e-05 +12 *22165:D *22195:A 5.05252e-05 +13 *2368:23 *22195:A 0.000141046 +14 *2694:36 *22195:A 2.05342e-06 +15 *3106:42 *22195:A 0.000107496 +*RES +1 *22165:X *22195:A 42.3602 +*END + +*D_NET *3130 0.00162543 +*CONN +*I *22167:A I *D sky130_fd_sc_hd__buf_8 +*I *22166:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22167:A 0.000325457 +2 *22166:X 9.24345e-05 +3 *3130:8 0.000423766 +4 *3130:7 0.000190743 +5 *22167:A *3131:13 4.31539e-05 +6 *22167:A *4807:54 0.000240732 +7 *22157:D *22167:A 7.92757e-06 +8 *22163:A *22167:A 2.4442e-05 +9 *2690:23 *22167:A 0.000240732 +10 *3108:103 *3130:7 3.60384e-05 +*RES +1 *22166:X *3130:7 19.7763 +2 *3130:7 *3130:8 127.479 +3 *3130:8 *22167:A 35.787 +*END + +*D_NET *3131 0.118913 +*CONN +*I *22456:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22434:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22280:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22219:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22390:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22346:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22302:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22368:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22258:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22500:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22324:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22412:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22478:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22176:A I *D sky130_fd_sc_hd__and4_1 +*I *22167:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22456:B2 3.62294e-05 +2 *22434:B2 4.28603e-05 +3 *22280:B2 7.47573e-05 +4 *22219:B2 0.000239992 +5 *22390:B2 3.70026e-05 +6 *22346:B2 2.7855e-05 +7 *22302:B2 0.000356988 +8 *22368:B2 8.05621e-05 +9 *22258:B2 0.000102359 +10 *22500:B2 0 +11 *22324:B2 0 +12 *22412:B2 0 +13 *22478:B2 0 +14 *22176:A 9.81557e-06 +15 *22167:X 0.00142841 +16 *3131:207 0.000480975 +17 *3131:206 0.000971372 +18 *3131:184 0.00563036 +19 *3131:183 0.00486578 +20 *3131:167 0.000820933 +21 *3131:158 0.00171621 +22 *3131:142 0.00124921 +23 *3131:133 0.00100092 +24 *3131:125 0.00184338 +25 *3131:112 0.00131322 +26 *3131:109 0.000705612 +27 *3131:97 0.00250454 +28 *3131:93 0.00411969 +29 *3131:77 0.00228051 +30 *3131:70 0.000767769 +31 *3131:66 0.0016273 +32 *3131:45 0.00190637 +33 *3131:41 0.0119406 +34 *3131:16 0.011173 +35 *3131:13 0.00152328 +36 *22176:A *3139:105 7.86825e-06 +37 *22219:B2 *3155:140 0.000205176 +38 *22258:B2 *3220:12 0.000253916 +39 *22280:B2 *3529:159 3.31745e-05 +40 *22302:B2 *22302:A1 6.50586e-05 +41 *22302:B2 *22302:B1 1.273e-05 +42 *22302:B2 *22303:C1 6.92705e-05 +43 *22346:B2 *22347:C1 6.08467e-05 +44 *3131:13 *3151:37 0.000108658 +45 *3131:13 *3157:21 0.000133368 +46 *3131:13 *3204:122 0.000410211 +47 *3131:16 *3139:105 5.03093e-05 +48 *3131:41 *22496:B2 0.000246676 +49 *3131:41 *3134:20 0.000451645 +50 *3131:41 *3136:42 1.33191e-05 +51 *3131:41 *3398:17 0.000225762 +52 *3131:41 *3407:23 2.90636e-05 +53 *3131:41 *3496:29 0.00130244 +54 *3131:41 *3496:31 0.00308852 +55 *3131:41 *3496:36 0.000741414 +56 *3131:41 *3717:14 0.000216434 +57 *3131:41 *5476:51 0.000291551 +58 *3131:41 *5853:334 0.000233819 +59 *3131:41 *5854:122 0 +60 *3131:45 *22479:C1 0.000225079 +61 *3131:45 *3146:67 7.37035e-05 +62 *3131:66 *22814:D 0.00186366 +63 *3131:66 *3134:84 4.88112e-06 +64 *3131:66 *3146:67 1.3706e-05 +65 *3131:66 *3411:57 6.21462e-05 +66 *3131:66 *5909:38 5.60804e-05 +67 *3131:70 *22774:B2 0.000124237 +68 *3131:70 *3134:84 0.000410012 +69 *3131:70 *3388:13 0.000137763 +70 *3131:77 *3388:13 2.73563e-05 +71 *3131:93 *19813:A 0.000636771 +72 *3131:93 *3415:22 4.69495e-06 +73 *3131:93 *3419:12 7.12632e-06 +74 *3131:97 *3493:78 0.000261552 +75 *3131:97 *3493:88 6.08467e-05 +76 *3131:97 *5904:70 0.00279656 +77 *3131:109 *3388:13 0.00013158 +78 *3131:112 *22501:C1 0.000104151 +79 *3131:112 *3750:22 0.000320111 +80 *3131:125 *21762:A 0.000180199 +81 *3131:125 *3750:22 0.000684115 +82 *3131:125 *4996:8 0.000109126 +83 *3131:125 *5855:60 8.29746e-05 +84 *3131:133 *3527:162 0.00117248 +85 *3131:142 *24450:RESET_B 4.55962e-05 +86 *3131:142 *3529:159 0.000279745 +87 *3131:158 *19746:C 5.41377e-05 +88 *3131:158 *3155:140 6.23875e-05 +89 *3131:158 *5861:72 0.000444794 +90 *3131:158 *5861:79 7.63592e-05 +91 *3131:167 *24650:RESET_B 0.000155272 +92 *3131:184 *24652:CLK 3.82228e-05 +93 *3131:206 *21361:A 5.97411e-05 +94 *3131:206 *4823:24 0.000504384 +95 *19796:A *3131:93 0.00212082 +96 *19817:B *3131:41 0.000450399 +97 *19848:A *3131:133 0.0011563 +98 *19848:B *3131:133 8.62625e-06 +99 *20777:B *3131:167 0.00040157 +100 *21429:A1 *3131:206 0.000307037 +101 *21429:A2 *3131:206 0.000353672 +102 *21429:B2 *22368:B2 1.65872e-05 +103 *21429:B2 *3131:184 1.28807e-05 +104 *21449:A1 *3131:41 1.35515e-05 +105 *21536:B1 *22434:B2 1.65872e-05 +106 *21536:B1 *3131:109 9.78994e-05 +107 *21554:A2 *3131:41 7.67972e-05 +108 *21992:B2 *3131:41 0.000267058 +109 *21993:A2 *3131:41 0.00129968 +110 *22047:B1 *3131:93 0.00195961 +111 *22157:D *3131:13 0.000284611 +112 *22167:A *3131:13 4.31539e-05 +113 *22219:A2 *22219:B2 2.16355e-05 +114 *22219:B1 *22219:B2 6.08467e-05 +115 *22258:A1 *22258:B2 6.50727e-05 +116 *22258:A1 *3131:183 5.04829e-06 +117 *22302:A2 *22302:B2 0.000430487 +118 *22302:A2 *3131:207 2.77564e-05 +119 *22324:A2 *3131:97 3.91944e-05 +120 *22346:B1 *22390:B2 3.68282e-05 +121 *22346:B1 *3131:207 6.14756e-06 +122 *22368:B1 *22368:B2 2.24576e-05 +123 *22368:B1 *3131:184 1.57729e-05 +124 *22390:A2 *22390:B2 7.09666e-06 +125 *22390:A2 *3131:207 0.00010356 +126 *22412:B1 *3131:70 1.07248e-05 +127 *22412:B1 *3131:77 0.000200197 +128 *22434:B1 *22434:B2 4.81452e-05 +129 *22434:B1 *3131:109 0.000156955 +130 *22434:B1 *3131:112 2.26459e-05 +131 *22456:A2 *22456:B2 2.53145e-06 +132 *22456:B1 *22456:B2 9.43828e-06 +133 *22456:B1 *3131:70 0.000158365 +134 *22479:B1 *3131:45 0.000900532 +135 *22500:B1 *3131:112 3.20069e-06 +136 *22500:B1 *3131:125 0.000489714 +137 *22545:A1 *3131:97 1.37669e-05 +138 *22668:B1 *3131:97 0.0001329 +139 *22792:A1 *3131:66 4.13072e-05 +140 *24498:D *3131:41 4.54881e-05 +141 *24650:D *3131:167 0.000123582 +142 *491:8 *22390:B2 1.27402e-05 +143 *1435:105 *3131:41 0.000109235 +144 *1510:99 *3131:41 1.85963e-05 +145 *1544:108 *3131:41 6.14629e-06 +146 *1551:67 *3131:13 0.000634421 +147 *1554:17 *3131:66 1.66626e-05 +148 *1588:64 *3131:41 2.86621e-05 +149 *1593:20 *3131:133 4.09433e-05 +150 *1594:27 *3131:125 2.61955e-05 +151 *1597:92 *3131:125 5.481e-05 +152 *1598:110 *3131:109 9.34626e-05 +153 *1598:110 *3131:112 4.42142e-05 +154 *1603:25 *3131:133 0.000964351 +155 *1614:75 *3131:97 3.4976e-05 +156 *1620:136 *3131:206 0.000294093 +157 *1624:62 *3131:109 0 +158 *1625:14 *3131:66 0.000983103 +159 *1625:91 *3131:66 0.000381743 +160 *1642:39 *3131:41 0.000739598 +161 *1647:148 *3131:184 0.000727333 +162 *1652:12 *3131:41 0 +163 *1658:73 *3131:158 4.09596e-05 +164 *1662:29 *3131:41 4.1953e-05 +165 *1662:102 *3131:41 0.000112688 +166 *1689:71 *3131:41 0.000244742 +167 *1689:107 *3131:125 0.000224314 +168 *1724:61 *3131:41 0.00369805 +169 *1725:75 *3131:41 0.000137598 +170 *1731:107 *3131:41 0.000248167 +171 *1764:94 *3131:66 0.000368659 +172 *1771:167 *3131:206 7.50872e-05 +173 *1772:22 *3131:41 1.36414e-05 +174 *1776:15 *3131:45 1.02986e-05 +175 *1776:15 *3131:66 4.4479e-05 +176 *1787:17 *3131:184 0.000253916 +177 *1793:15 *3131:41 5.67836e-05 +178 *1793:37 *3131:41 4.88843e-05 +179 *1795:29 *3131:41 0.000410542 +180 *2258:32 *22302:B2 0.000391209 +181 *2258:32 *3131:206 6.08467e-05 +182 *2258:32 *3131:207 0.000118064 +183 *2298:16 *3131:167 0.000573138 +184 *2339:8 *3131:206 7.14746e-05 +185 *2408:10 *22176:A 2.02035e-05 +186 *2408:10 *3131:16 0.000134246 +187 *2504:21 *3131:41 4.15947e-05 +188 *2504:41 *3131:41 0.000232789 +189 *2505:75 *3131:41 1.71e-05 +190 *2531:20 *3131:167 9.40965e-05 +191 *2543:28 *3131:45 3.85679e-05 +192 *2551:55 *3131:97 9.12416e-06 +193 *2552:14 *3131:158 0.000128879 +194 *2552:31 *3131:158 0.000198751 +195 *2553:24 *3131:125 0.000873345 +196 *2565:22 *3131:158 4.70701e-05 +197 *2592:20 *3131:133 0.000387391 +198 *2593:14 *22434:B2 3.41459e-05 +199 *2672:40 *3131:109 7.13655e-06 +200 *2680:27 *3131:66 6.00033e-05 +201 *2697:8 *3131:167 5.48846e-05 +202 *2697:8 *3131:183 0.000202417 +203 *2713:27 *3131:41 0.000632684 +204 *2723:19 *3131:45 7.09666e-06 +205 *2724:13 *3131:66 5.45571e-05 +206 *2753:21 *3131:41 0.000963346 +207 *2764:55 *22280:B2 5.23916e-05 +208 *2764:55 *3131:142 0.000116379 +209 *2765:39 *3131:133 0.000430428 +210 *2766:6 *3131:158 0.000511383 +211 *2766:6 *3131:167 0.00022255 +212 *2766:14 *22280:B2 6.08467e-05 +213 *2767:27 *3131:70 3.80771e-05 +214 *2767:27 *3131:77 6.71265e-05 +215 *2767:27 *3131:109 0.000104311 +216 *2783:86 *3131:133 0.000204222 +217 *2790:7 *22456:B2 1.96994e-06 +218 *2790:7 *3131:70 1.1718e-05 +219 *2810:60 *3131:66 1.64944e-05 +220 *2888:81 *3131:97 5.481e-05 +221 *2922:54 *3131:41 0.000480388 +222 *2943:63 *3131:41 0.00116797 +223 *2950:34 *3131:183 0.000195409 +224 *2954:27 *3131:158 0.000247381 +225 *2956:48 *3131:133 3.71263e-05 +226 *2964:59 *3131:41 0.000118545 +227 *2994:55 *3131:41 4.77296e-05 +228 *3023:17 *3131:41 0.000280835 +229 *3029:33 *3131:93 0.000863191 +230 *3040:18 *3131:125 0.000225488 +231 *3057:14 *3131:158 0.000525392 +232 *3059:18 *3131:112 6.93349e-06 +233 *3059:18 *3131:125 0.000490997 +234 *3106:100 *3131:45 1.91391e-05 +235 *3106:100 *3131:66 3.12828e-05 +236 *3106:149 *22434:B2 0.000107496 +237 *3106:149 *3131:109 0.000107496 +238 *3106:180 *3131:133 0.0003038 +239 *3106:206 *22219:B2 0.000136298 +240 *3106:227 *3131:184 3.40183e-05 +241 *3106:229 *3131:184 0.00140107 +242 *3106:248 *3131:207 1.10793e-05 +243 *3114:190 *3131:133 2.47663e-05 +244 *3117:48 *3131:41 0.000947993 +245 *3126:189 *3131:41 2.36441e-05 +*RES +1 *22167:X *3131:13 45.9434 +2 *3131:13 *3131:16 7.37013 +3 *3131:16 *22176:A 14.1278 +4 *3131:16 *3131:41 45.9828 +5 *3131:41 *3131:45 20.7755 +6 *3131:45 *22478:B2 13.7491 +7 *3131:45 *3131:66 19.3946 +8 *3131:66 *3131:70 19.5158 +9 *3131:70 *22412:B2 13.7491 +10 *3131:70 *3131:77 5.77689 +11 *3131:77 *3131:93 16.8707 +12 *3131:93 *3131:97 46.1535 +13 *3131:97 *22324:B2 13.7491 +14 *3131:77 *3131:109 17.5375 +15 *3131:109 *3131:112 10.8998 +16 *3131:112 *22500:B2 13.7491 +17 *3131:112 *3131:125 48.132 +18 *3131:125 *3131:133 48.2084 +19 *3131:133 *3131:142 8.31905 +20 *3131:142 *3131:158 42.0106 +21 *3131:158 *3131:167 30.0069 +22 *3131:167 *22258:B2 12.7697 +23 *3131:167 *3131:183 14.615 +24 *3131:183 *3131:184 70.0488 +25 *3131:184 *22368:B2 11.5399 +26 *3131:184 *3131:206 33.5804 +27 *3131:206 *3131:207 3.07775 +28 *3131:207 *22302:B2 24.1943 +29 *3131:207 *22346:B2 14.4725 +30 *3131:206 *22390:B2 14.7506 +31 *3131:158 *22219:B2 16.6519 +32 *3131:142 *22280:B2 11.6605 +33 *3131:109 *22434:B2 11.1059 +34 *3131:66 *22456:B2 10.2378 +*END + +*D_NET *3132 0.0136447 +*CONN +*I *22202:B I *D sky130_fd_sc_hd__or2_1 +*I *22169:B I *D sky130_fd_sc_hd__or2_1 +*I *22186:B I *D sky130_fd_sc_hd__or2_1 +*I *22168:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22202:B 0.000232319 +2 *22169:B 0 +3 *22186:B 1.47608e-05 +4 *22168:X 0.00187732 +5 *3132:29 0.00105577 +6 *3132:8 0.00108935 +7 *3132:7 0.00212845 +8 *22186:B *3481:9 6.08467e-05 +9 *22186:B *4827:29 6.08467e-05 +10 *22202:B *3151:37 5.04829e-06 +11 *22202:B *4827:32 0.000328442 +12 *3132:29 *3157:21 5.83451e-05 +13 *3132:29 *3179:134 0.000504933 +14 *3132:29 *4827:32 7.56514e-05 +15 *22190:B *3132:29 0.000549492 +16 *506:27 *3132:8 0.000407437 +17 *506:27 *3132:29 0.000687445 +18 *541:28 *3132:29 0.000153427 +19 *549:23 *3132:29 0.00048536 +20 *1551:67 *22202:B 2.16355e-05 +21 *1636:68 *3132:29 0.000160612 +22 *2123:47 *3132:8 4.37017e-05 +23 *2123:47 *3132:29 0.000138069 +24 *2378:33 *3132:8 0.000342212 +25 *2397:85 *3132:7 0.0027176 +26 *3111:16 *3132:29 5.60804e-05 +27 *3111:28 *22202:B 0.000314237 +28 *3111:28 *3132:29 7.53378e-05 +*RES +1 *22168:X *3132:7 44.9757 +2 *3132:7 *3132:8 8.47603 +3 *3132:8 *22186:B 14.4725 +4 *3132:8 *3132:29 25.1351 +5 *3132:29 *22169:B 13.7491 +6 *3132:29 *22202:B 21.2876 +*END + +*D_NET *3133 0.000489414 +*CONN +*I *22170:A I *D sky130_fd_sc_hd__buf_8 +*I *22169:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22170:A 6.64695e-05 +2 *22169:X 6.64695e-05 +3 *22170:A *3134:5 6.08467e-05 +4 *22196:A *22170:A 0.000115585 +5 *506:22 *22170:A 0.000119197 +6 *2637:21 *22170:A 6.08467e-05 +*RES +1 *22169:X *22170:A 30.4689 +*END + +*D_NET *3134 0.104128 +*CONN +*I *22176:B I *D sky130_fd_sc_hd__and4_1 +*I *22435:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22479:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22457:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22325:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22347:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22391:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22303:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22369:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22259:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22220:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22281:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22501:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22413:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22170:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22176:B 2.06324e-05 +2 *22435:A2 6.86188e-05 +3 *22479:A2 2.17551e-05 +4 *22457:A2 7.95806e-05 +5 *22325:A2 0.000805964 +6 *22347:A2 9.15497e-05 +7 *22391:A2 1.47608e-05 +8 *22303:A2 0.000174222 +9 *22369:A2 0.000167361 +10 *22259:A2 6.10756e-05 +11 *22220:A2 0.000325128 +12 *22281:A2 0.0013406 +13 *22501:A2 5.41668e-05 +14 *22413:A2 0.000384627 +15 *22170:X 0.000345324 +16 *3134:238 0.000196525 +17 *3134:228 0.00050159 +18 *3134:214 0.00150575 +19 *3134:194 0.00267599 +20 *3134:168 0.00246646 +21 *3134:145 0.0034802 +22 *3134:142 0.00488269 +23 *3134:107 0.00319657 +24 *3134:84 0.00171962 +25 *3134:74 0.000836952 +26 *3134:72 0.00127322 +27 *3134:62 0.00386358 +28 *3134:47 0.00310187 +29 *3134:37 0.00190001 +30 *3134:20 0.00283146 +31 *3134:9 0.00207041 +32 *3134:5 0.00104025 +33 *22220:A2 *22220:B2 1.03403e-05 +34 *22220:A2 *3164:153 1.10612e-05 +35 *22220:A2 *3166:130 0.000158451 +36 *22281:A2 *22281:B2 3.75666e-05 +37 *22281:A2 *22281:C1 6.08467e-05 +38 *22281:A2 *3527:186 7.90348e-05 +39 *22281:A2 *3533:126 9.91483e-05 +40 *22303:A2 *22303:B2 9.95922e-06 +41 *22303:A2 *4956:24 5.01835e-05 +42 *22325:A2 *21630:B1 7.37861e-05 +43 *22325:A2 *22325:B2 1.05106e-05 +44 *22325:A2 *3146:128 5.04829e-06 +45 *22325:A2 *3146:157 1.65872e-05 +46 *22325:A2 *3222:8 4.04068e-05 +47 *22325:A2 *5457:13 8.04547e-05 +48 *22347:A2 *4956:24 0.000126324 +49 *22413:A2 *3531:157 0.000364405 +50 *22413:A2 *3750:22 1.1e-05 +51 *22413:A2 *5458:13 1.27941e-05 +52 *22435:A2 *22435:B2 3.59435e-05 +53 *22435:A2 *3146:38 9.12416e-06 +54 *22435:A2 *3146:65 4.12833e-05 +55 *22457:A2 *22457:B2 0.000110306 +56 *22479:A2 *22477:C1 3.81793e-05 +57 *22501:A2 *21943:A 7.92757e-06 +58 *22501:A2 *22501:B2 3.41459e-05 +59 *3134:5 *22176:C 0.000107496 +60 *3134:5 *22176:D 5.51483e-06 +61 *3134:5 *22185:C 6.08467e-05 +62 *3134:5 *22195:B 0.000117088 +63 *3134:5 *22195:C 0.000107496 +64 *3134:5 *22195:D 7.92757e-06 +65 *3134:5 *3142:21 9.61086e-05 +66 *3134:5 *3142:32 0.000300565 +67 *3134:5 *3146:7 0.000776313 +68 *3134:5 *3146:26 3.03403e-05 +69 *3134:9 *21533:A 5.04952e-05 +70 *3134:9 *22176:D 2.41274e-06 +71 *3134:9 *24235:RESET_B 1.91114e-05 +72 *3134:9 *3142:32 0.00098371 +73 *3134:9 *3146:26 0.000291969 +74 *3134:9 *5375:5 0.000128293 +75 *3134:20 *21533:A 4.52041e-06 +76 *3134:20 *22496:B2 0.000209388 +77 *3134:20 *22497:C1 0.000261648 +78 *3134:20 *3142:46 6.7671e-06 +79 *3134:20 *3146:26 0.000579558 +80 *3134:20 *3690:14 1.66626e-05 +81 *3134:37 *22447:B 0.000443999 +82 *3134:37 *22447:D 2.57365e-05 +83 *3134:37 *3401:10 7.70516e-05 +84 *3134:47 *22438:C 8.29362e-05 +85 *3134:47 *3179:103 1.91246e-05 +86 *3134:62 *22435:C1 0.0019127 +87 *3134:62 *22814:D 0.00112211 +88 *3134:62 *3146:65 0.000325226 +89 *3134:62 *3509:81 2.27901e-06 +90 *3134:62 *3533:227 0.000259085 +91 *3134:72 *22479:B2 1.32328e-05 +92 *3134:72 *3146:67 0.000532545 +93 *3134:72 *5592:33 0.000154145 +94 *3134:84 *3388:13 1.11717e-05 +95 *3134:84 *3529:92 0.000118513 +96 *3134:84 *3531:157 7.25378e-05 +97 *3134:84 *3750:22 0.000266199 +98 *3134:107 *22501:B2 9.17656e-06 +99 *3134:107 *3531:157 0.000669788 +100 *3134:142 *21630:B1 9.98366e-05 +101 *3134:142 *21943:A 3.16037e-05 +102 *3134:142 *22440:C1 0.000247443 +103 *3134:142 *22758:A2 0.000110297 +104 *3134:142 *22759:B2 5.07032e-05 +105 *3134:142 *22759:C1 0.000300451 +106 *3134:142 *3192:211 0.000200794 +107 *3134:142 *3192:230 6.08467e-05 +108 *3134:142 *3523:127 0.00108935 +109 *3134:142 *3699:23 0.000154145 +110 *3134:142 *5457:13 0.000965136 +111 *3134:194 *22220:B2 2.79054e-05 +112 *3134:194 *3164:153 3.28812e-05 +113 *3134:194 *4834:69 0.000586521 +114 *3134:214 *19851:A 0.000298399 +115 *3134:214 *4890:7 0.000937185 +116 *3134:214 *5086:24 5.5525e-06 +117 *3134:228 *4834:46 0.00102187 +118 *3134:238 *4956:24 0.000106696 +119 *19832:A2 *3134:142 0.000807233 +120 *19842:A2 *22281:A2 9.00714e-07 +121 *19863:B1 *3134:145 2.05082e-05 +122 *19863:B1 *3134:168 0.0015162 +123 *19895:A2 *22281:A2 2.37371e-05 +124 *19970:B1 *3134:47 1.91246e-05 +125 *21085:A *3134:214 0.00101489 +126 *21199:B1 *22369:A2 0.000303677 +127 *21199:C1 *22369:A2 3.31745e-05 +128 *21199:C1 *3134:214 2.41274e-06 +129 *21235:B2 *22325:A2 5.39707e-05 +130 *21278:B2 *22281:A2 0.00225494 +131 *21449:A2 *3134:37 0.000491468 +132 *21629:A2 *3134:142 0.000809397 +133 *21772:A1 *3134:84 0.000425403 +134 *21772:A1 *3134:107 4.96282e-05 +135 *21875:A1 *22281:A2 4.67382e-06 +136 *21909:A1 *3134:145 0.00136153 +137 *21974:B1 *22220:A2 4.65468e-05 +138 *21992:A1 *3134:37 6.50586e-05 +139 *21992:A2 *3134:37 1.88711e-05 +140 *21992:A2 *3134:47 0.000238368 +141 *21992:B1 *3134:37 1.47046e-05 +142 *21992:B2 *3134:37 0.000245037 +143 *22016:A1 *3134:107 0.000520344 +144 *22035:B1 *3134:194 7.22263e-05 +145 *22035:B1 *3134:214 2.77564e-05 +146 *22053:A2 *3134:142 0.00185289 +147 *22053:B1 *3134:107 0.000515787 +148 *22054:C1 *3134:142 6.03122e-05 +149 *22165:B *3134:9 3.24105e-05 +150 *22170:A *3134:5 6.08467e-05 +151 *22195:A *3134:5 0.000110306 +152 *22259:B1 *22259:A2 4.00775e-06 +153 *22281:A1 *22281:A2 1.39289e-05 +154 *22281:B1 *22281:A2 1.57158e-05 +155 *22325:B1 *22325:A2 0.000664275 +156 *22325:B1 *3134:142 0.000238676 +157 *22413:A1 *22413:A2 6.3657e-05 +158 *22435:A1 *22435:A2 1.37347e-05 +159 *22435:A1 *3134:47 4.52757e-05 +160 *22456:B1 *3134:84 2.00832e-05 +161 *22479:A1 *22479:A2 5.04829e-06 +162 *22479:A1 *3134:72 0.000356283 +163 *22496:A1 *3134:20 3.07726e-05 +164 *22496:A2 *3134:20 0.000107496 +165 *22496:B1 *3134:20 0.000651829 +166 *22501:A1 *22501:A2 5.04829e-06 +167 *22501:A1 *3134:142 2.57986e-05 +168 *22758:A1 *3134:142 1.37552e-05 +169 *22758:B1 *3134:142 3.81056e-05 +170 *22770:B1 *22457:A2 0.000251818 +171 *22770:B1 *3134:84 0.000161234 +172 *22792:A1 *3134:62 5.84021e-05 +173 *22793:A1 *22479:A2 1.5714e-05 +174 *22793:A1 *3134:62 8.61071e-05 +175 *22793:A1 *3134:72 1.3706e-05 +176 *22794:A1 *3134:62 1.06297e-05 +177 *22798:B1 *3134:62 0.000146969 +178 *22803:A1 *3134:20 1.9101e-05 +179 *23924:B *3134:62 8.12259e-06 +180 *489:78 *3134:194 2.05082e-05 +181 *1427:111 *3134:72 0.000563292 +182 *1455:108 *3134:72 7.81381e-05 +183 *1554:17 *3134:72 0.000482957 +184 *1554:17 *3134:84 6.15894e-05 +185 *1569:54 *3134:84 0.000107413 +186 *1570:15 *3134:62 0.000328827 +187 *1581:26 *3134:107 0.000128843 +188 *1586:47 *3134:142 3.29488e-05 +189 *1603:48 *3134:62 0.000153853 +190 *1604:68 *22435:A2 3.77568e-05 +191 *1604:91 *3134:62 0.001357 +192 *1610:195 *22391:A2 6.08467e-05 +193 *1615:20 *22281:A2 0.000907858 +194 *1618:126 *22281:A2 3.99086e-06 +195 *1625:91 *3134:84 2.95649e-05 +196 *1627:198 *22369:A2 9.72199e-05 +197 *1631:90 *3134:214 6.28948e-05 +198 *1631:90 *3134:228 9.44388e-05 +199 *1634:66 *3134:142 0.00047948 +200 *1644:10 *22220:A2 1.84334e-05 +201 *1655:161 *3134:145 0.000358506 +202 *1655:220 *22303:A2 6.56365e-05 +203 *1658:86 *22220:A2 6.31996e-05 +204 *1662:29 *3134:20 6.77316e-05 +205 *1662:102 *3134:20 0.000383913 +206 *1684:85 *3134:37 0.000151513 +207 *1740:110 *3134:62 0 +208 *1742:93 *3134:194 8.51621e-05 +209 *1742:93 *3134:214 0.000107574 +210 *1772:22 *3134:62 2.69702e-06 +211 *1794:44 *3134:62 0.000245079 +212 *1797:20 *3134:62 0.000114189 +213 *2117:180 *3134:142 0.000522722 +214 *2250:31 *22303:A2 1.77657e-05 +215 *2250:31 *22347:A2 8.6297e-06 +216 *2253:10 *22303:A2 0.000166619 +217 *2253:10 *22347:A2 0.000136463 +218 *2253:10 *3134:238 9.47911e-05 +219 *2263:43 *22391:A2 6.08467e-05 +220 *2340:15 *3134:214 4.58003e-05 +221 *2343:25 *3134:214 8.27688e-05 +222 *2348:8 *3134:214 7.00663e-05 +223 *2349:15 *22369:A2 0.000300565 +224 *2350:20 *3134:214 0.00192543 +225 *2350:30 *3134:214 0.000200794 +226 *2488:14 *22369:A2 9.72199e-05 +227 *2505:75 *3134:37 0.000588992 +228 *2505:75 *3134:47 8.27233e-05 +229 *2505:75 *3134:62 3.96363e-05 +230 *2506:10 *3134:20 1.5714e-05 +231 *2519:38 *3134:20 2.02035e-05 +232 *2541:24 *22325:A2 1.05456e-05 +233 *2547:18 *3134:20 0.000296903 +234 *2566:28 *3134:145 0.00045291 +235 *2590:17 *3134:20 0.000825128 +236 *2593:14 *3134:107 1.91391e-05 +237 *2601:58 *22325:A2 5.76799e-05 +238 *2602:32 *3134:145 0.00288814 +239 *2602:32 *3134:168 0.00153797 +240 *2602:32 *3134:194 0.00024753 +241 *2637:21 *3134:5 0.000107836 +242 *2655:38 *3134:72 0.000185173 +243 *2703:60 *3134:62 0.000361157 +244 *2723:19 *22479:A2 3.96379e-06 +245 *2724:13 *3134:72 0.00109492 +246 *2729:38 *3134:214 0.0008538 +247 *2729:38 *3134:228 0.00122378 +248 *2738:13 *3134:194 0.000864524 +249 *2767:27 *3134:84 0.000117966 +250 *2770:46 *22281:A2 0.000247786 +251 *2783:24 *3134:107 0.000628509 +252 *2783:56 *22413:A2 0.000402552 +253 *2799:8 *3134:142 3.74433e-05 +254 *2807:19 *3134:107 1.04624e-05 +255 *2807:26 *22413:A2 0.000103042 +256 *2826:19 *22220:A2 0.000350781 +257 *2826:19 *3134:194 0.000107025 +258 *2852:27 *22457:A2 1.37563e-05 +259 *2852:27 *3134:84 1.89618e-05 +260 *2852:49 *22457:A2 2.87606e-05 +261 *2869:46 *22281:A2 3.26582e-06 +262 *2886:19 *22281:A2 5.00766e-06 +263 *2906:8 *22281:A2 5.6056e-05 +264 *2918:16 *3134:194 0.000154601 +265 *2918:20 *3134:194 7.25491e-05 +266 *2943:63 *3134:47 0.000258998 +267 *2943:63 *3134:62 0.000587606 +268 *2986:17 *3134:194 5.97744e-05 +269 *2997:42 *3134:37 0.000394507 +270 *3002:69 *22413:A2 4.25482e-05 +271 *3010:76 *22281:A2 4.49912e-05 +272 *3022:45 *22325:A2 5.84963e-05 +273 *3022:45 *3134:194 2.3348e-05 +274 *3023:17 *22435:A2 9.94284e-06 +275 *3023:17 *3134:47 0.000487161 +276 *3027:27 *3134:142 2.57847e-05 +277 *3027:33 *3134:142 2.81262e-05 +278 *3028:30 *3134:62 2.92788e-05 +279 *3036:31 *22259:A2 1.03403e-05 +280 *3045:12 *3134:214 0.000137069 +281 *3046:14 *3134:194 7.22263e-05 +282 *3046:14 *3134:214 0.000161326 +283 *3064:35 *22325:A2 0.000209297 +284 *3106:100 *3134:84 5.44641e-05 +285 *3106:152 *3134:107 0.000110645 +286 *3124:24 *3134:9 3.24105e-05 +287 *3131:41 *3134:20 0.000451645 +288 *3131:66 *3134:84 4.88112e-06 +289 *3131:70 *3134:84 0.000410012 +*RES +1 *22170:X *3134:5 23.8376 +2 *3134:5 *3134:9 20.7494 +3 *3134:9 *3134:20 47.7293 +4 *3134:20 *3134:37 41.8755 +5 *3134:37 *3134:47 19.1208 +6 *3134:47 *3134:62 22.7251 +7 *3134:62 *3134:72 48.2419 +8 *3134:72 *3134:74 4.5 +9 *3134:74 *3134:84 24.0437 +10 *3134:84 *22413:A2 22.0668 +11 *3134:84 *3134:107 13.8437 +12 *3134:107 *22501:A2 10.5513 +13 *3134:107 *3134:142 49.8144 +14 *3134:142 *3134:145 7.17099 +15 *3134:145 *22281:A2 25.9631 +16 *3134:145 *3134:168 3.97048 +17 *3134:168 *22220:A2 20.7067 +18 *3134:168 *3134:194 10.0449 +19 *3134:194 *22259:A2 15.0513 +20 *3134:194 *3134:214 43.7137 +21 *3134:214 *22369:A2 23.7632 +22 *3134:214 *3134:228 5.10145 +23 *3134:228 *22303:A2 18.4384 +24 *3134:228 *3134:238 2.45487 +25 *3134:238 *22391:A2 14.4725 +26 *3134:238 *22347:A2 16.8269 +27 *3134:142 *22325:A2 21.5735 +28 *3134:74 *22457:A2 12.7697 +29 *3134:62 *22479:A2 14.5739 +30 *3134:47 *22435:A2 19.2139 +31 *3134:5 *22176:B 9.82786 +*END + +*D_NET *3135 0.000205501 +*CONN +*I *22172:A I *D sky130_fd_sc_hd__buf_6 +*I *22171:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22172:A 2.373e-05 +2 *22171:X 2.373e-05 +3 *22172:A *3136:24 4.66889e-05 +4 *22171:A *22172:A 0.000111352 +*RES +1 *22171:X *22172:A 19.7763 +*END + +*D_NET *3136 0.0626222 +*CONN +*I *22386:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22342:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22364:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22209:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22254:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22276:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22298:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22320:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22430:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22408:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22452:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22474:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22496:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22176:C I *D sky130_fd_sc_hd__and4_1 +*I *22172:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22386:B2 0.0003421 +2 *22342:B2 0 +3 *22364:B2 2.3034e-05 +4 *22209:B2 0.000577087 +5 *22254:B2 1.97248e-05 +6 *22276:B2 0.000102508 +7 *22298:B2 0.000161079 +8 *22320:B2 0.000433412 +9 *22430:B2 0.000218746 +10 *22408:B2 0.000141218 +11 *22452:B2 0.000110429 +12 *22474:B2 0.000276986 +13 *22496:B2 0.000443903 +14 *22176:C 6.88123e-05 +15 *22172:X 0.00167734 +16 *3136:248 0.00107164 +17 *3136:208 0.00119712 +18 *3136:180 0.00129623 +19 *3136:178 0.00117356 +20 *3136:169 0.0022224 +21 *3136:153 0.00150517 +22 *3136:149 0.00189285 +23 *3136:84 0.00121488 +24 *3136:71 0.0010952 +25 *3136:69 0.000693777 +26 *3136:48 0.00120105 +27 *3136:42 0.00199968 +28 *3136:29 0.00197776 +29 *3136:24 0.00391315 +30 *22176:C *22176:D 2.16355e-05 +31 *22176:C *3481:77 1.17108e-05 +32 *22209:B2 *22255:C1 0.000482292 +33 *22209:B2 *4817:161 0.000705986 +34 *22276:B2 *3243:22 0.000110306 +35 *22298:B2 *22299:A1 6.08467e-05 +36 *22298:B2 *22299:C1 6.08467e-05 +37 *22298:B2 *22648:A2 0.000200794 +38 *22298:B2 *4804:62 0.000140069 +39 *22298:B2 *4819:57 0.000203595 +40 *22298:B2 *4824:154 0.00014348 +41 *22320:B2 *21475:A1_N 0.0001584 +42 *22320:B2 *22746:A2 4.90699e-05 +43 *22320:B2 *22746:B2 4.04556e-05 +44 *22386:B2 *3342:12 2.16355e-05 +45 *22386:B2 *5592:76 8.72285e-06 +46 *22408:B2 *3175:37 0.000496353 +47 *22474:B2 *5860:511 9.2346e-06 +48 *22496:B2 *3142:46 7.92757e-06 +49 *3136:24 *3160:20 5.01835e-05 +50 *3136:24 *3175:19 0.000127895 +51 *3136:24 *3177:229 0.000291304 +52 *3136:24 *4830:71 0.000359753 +53 *3136:24 *5476:69 0.000289914 +54 *3136:29 *3148:46 6.17493e-05 +55 *3136:29 *3483:86 1.24546e-05 +56 *3136:29 *5853:334 5.5685e-05 +57 *3136:42 *3481:77 4.24821e-05 +58 *3136:42 *5853:334 0.00181378 +59 *3136:71 *3175:37 0.000109262 +60 *3136:84 *3185:25 0.000116391 +61 *3136:84 *5860:511 3.63738e-05 +62 *3136:149 *22580:A 9.54074e-05 +63 *3136:149 *22591:B 6.22114e-05 +64 *3136:149 *22593:C 3.24624e-05 +65 *3136:149 *22653:A 0.000175352 +66 *3136:149 *3179:136 6.60191e-06 +67 *3136:149 *3196:23 0.000160617 +68 *3136:149 *3204:122 0.000217051 +69 *3136:149 *3469:24 0.000197049 +70 *3136:149 *3469:33 1.94327e-05 +71 *3136:149 *3469:46 1.28326e-05 +72 *3136:149 *3519:24 6.098e-05 +73 *3136:149 *4804:62 0.00028423 +74 *3136:149 *4807:54 1.59109e-05 +75 *3136:149 *4808:27 0.000230448 +76 *3136:153 *22593:C 2.60879e-06 +77 *3136:153 *3179:136 0.000488374 +78 *3136:153 *4807:107 0.000457714 +79 *3136:169 *22648:A2 0.00025175 +80 *3136:169 *3148:72 0.000889084 +81 *3136:169 *3175:267 0.000248235 +82 *3136:169 *3543:15 6.69861e-06 +83 *3136:169 *4819:57 0.000240362 +84 *3136:178 *3151:153 0.000566906 +85 *3136:178 *3531:43 0.000357697 +86 *3136:178 *3636:11 1.85244e-05 +87 *3136:178 *4804:96 0.000158469 +88 *3136:178 *4815:98 4.69495e-06 +89 *3136:178 *4817:120 0.000783156 +90 *3136:178 *4827:79 8.46743e-05 +91 *3136:180 *3151:153 1.41976e-05 +92 *3136:180 *3243:22 4.81452e-05 +93 *3136:208 *3238:15 6.08467e-05 +94 *3136:208 *3243:22 0.000361375 +95 *3136:208 *4817:161 1.65872e-05 +96 *3136:248 *22678:A2 4.99151e-05 +97 *3136:248 *22678:B2 3.13154e-05 +98 *3136:248 *3139:176 4.65075e-05 +99 *3136:248 *3148:72 0.000116276 +100 *21424:B *22386:B2 0.000125698 +101 *21554:A2 *3136:42 7.12406e-05 +102 *21554:B1 *3136:42 6.23101e-05 +103 *21582:A2 *3136:69 0.000106419 +104 *21582:B2 *3136:42 5.93953e-05 +105 *21635:A1 *3136:180 0.000156946 +106 *21635:A2 *3136:180 8.53046e-05 +107 *21635:B1 *3136:180 0.000110306 +108 *21636:C1 *3136:180 6.08467e-05 +109 *21641:A1 *22209:B2 1.10297e-05 +110 *21641:A1 *3136:208 2.16355e-05 +111 *21641:B2 *3136:208 9.35138e-06 +112 *21881:A1 *22474:B2 5.19758e-05 +113 *21881:A2 *3136:84 3.18935e-05 +114 *21981:A2 *3136:42 0.000143328 +115 *21981:A2 *3136:48 0.000174979 +116 *22142:A *3136:24 8.69165e-05 +117 *22165:A *3136:24 0.000805611 +118 *22165:A *3136:29 3.63307e-05 +119 *22171:A *3136:24 3.21102e-05 +120 *22172:A *3136:24 4.66889e-05 +121 *22188:A *3136:24 0.000270466 +122 *22195:A *3136:29 0.000387246 +123 *22209:A1 *22209:B2 6.3657e-05 +124 *22254:A1 *22254:B2 2.76987e-06 +125 *22254:B1 *22209:B2 2.01801e-05 +126 *22254:B1 *22254:B2 9.95922e-06 +127 *22276:A1 *22276:B2 0.000205101 +128 *22276:A1 *3136:180 0.000345048 +129 *22276:B1 *3136:180 1.92481e-05 +130 *22320:B1 *22320:B2 6.08467e-05 +131 *22364:B1 *3136:180 0.00015647 +132 *22386:A1 *22386:B2 3.74433e-05 +133 *22386:A2 *22386:B2 0.000214541 +134 *22386:A2 *3136:248 2.32928e-05 +135 *22386:B1 *22386:B2 6.08467e-05 +136 *22408:A1 *22408:B2 0.000343543 +137 *22408:B1 *22408:B2 9.95922e-06 +138 *22409:A2 *22430:B2 5.04829e-06 +139 *22430:A1 *22430:B2 0.000107496 +140 *22452:B1 *22452:B2 0.000141953 +141 *22474:A1 *22474:B2 6.36477e-05 +142 *22496:B1 *22496:B2 0.000165521 +143 *22497:A2 *22496:B2 2.84686e-05 +144 *22579:C *3136:149 0.000417718 +145 *22591:D *3136:149 2.01428e-05 +146 *22678:B1 *3136:248 1.4091e-06 +147 *490:114 *22386:B2 3.29488e-05 +148 *1419:196 *3136:24 0.000255876 +149 *1539:18 *22209:B2 4.32797e-05 +150 *1539:25 *22209:B2 6.3657e-05 +151 *1545:32 *3136:84 0.00016514 +152 *1552:37 *3136:84 3.18581e-05 +153 *1552:52 *3136:71 7.48876e-06 +154 *1564:37 *22320:B2 0 +155 *1592:61 *22276:B2 0.000170371 +156 *1620:35 *3136:208 0.000149366 +157 *1641:117 *3136:180 0.000249762 +158 *1641:130 *3136:180 7.55901e-05 +159 *1645:49 *3136:84 5.83451e-05 +160 *1648:25 *3136:24 0.00134304 +161 *1649:67 *3136:69 0.000235791 +162 *1649:67 *3136:71 7.09666e-06 +163 *1691:87 *22320:B2 0.000474406 +164 *1800:249 *3136:84 6.03122e-05 +165 *1884:19 *3136:24 0.000126583 +166 *2091:48 *3136:24 0.000226431 +167 *2091:48 *3136:149 0.000143685 +168 *2091:57 *3136:149 0.00021141 +169 *2093:68 *3136:208 7.57527e-05 +170 *2286:36 *3136:208 0.000565491 +171 *2313:19 *3136:24 9.28716e-05 +172 *2361:29 *3136:248 1.63924e-05 +173 *2368:23 *22176:C 4.00504e-05 +174 *2368:23 *3136:42 0.00011969 +175 *2379:26 *3136:24 0.000694899 +176 *2381:38 *3136:42 0.000534915 +177 *2383:25 *3136:149 1.9101e-05 +178 *2403:21 *3136:180 0.000298399 +179 *2403:37 *3136:180 0.000152158 +180 *2418:38 *3136:248 1.01851e-05 +181 *2467:123 *3136:24 0.000336991 +182 *2529:19 *3136:84 0.000644399 +183 *2554:51 *22209:B2 0.000667286 +184 *2564:68 *22209:B2 2.07365e-05 +185 *2577:58 *22320:B2 2.72638e-05 +186 *2590:17 *3136:69 5.84021e-05 +187 *2611:16 *3136:42 6.02799e-05 +188 *2635:95 *3136:149 0.000163418 +189 *2641:30 *3136:42 0.000550786 +190 *2667:93 *22496:B2 6.30541e-06 +191 *2690:23 *3136:24 7.26284e-05 +192 *2690:23 *3136:149 1.2977e-05 +193 *2690:34 *3136:149 1.00532e-05 +194 *2693:25 *22452:B2 4.2372e-05 +195 *2777:60 *3136:208 9.82202e-06 +196 *2800:38 *3136:71 1.3779e-05 +197 *2800:38 *3136:84 3.73617e-05 +198 *2815:14 *22452:B2 8.79507e-05 +199 *2815:14 *22474:B2 0.000103922 +200 *2815:32 *3136:84 0.000215252 +201 *2865:14 *22452:B2 9.21233e-05 +202 *2865:14 *22474:B2 7.8446e-05 +203 *2887:11 *3136:178 0.000296893 +204 *2895:33 *22474:B2 0.000112532 +205 *2930:67 *3136:208 1.27142e-05 +206 *2931:26 *3136:69 1.58517e-05 +207 *2991:25 *22496:B2 8.92474e-05 +208 *2991:25 *3136:48 0.000363051 +209 *3053:17 *22430:B2 3.99086e-06 +210 *3091:52 *3136:24 1.19261e-05 +211 *3117:48 *22496:B2 8.49098e-05 +212 *3117:48 *3136:42 4.92144e-06 +213 *3117:48 *3136:48 0.000448654 +214 *3117:48 *3136:69 1.5714e-05 +215 *3117:54 *3136:69 0.000455635 +216 *3117:54 *3136:71 2.5015e-05 +217 *3117:149 *3136:169 0.00117431 +218 *3117:149 *3136:248 2.58521e-05 +219 *3117:150 *3136:178 6.85769e-05 +220 *3117:162 *3136:178 1.58551e-05 +221 *3117:162 *3136:180 4.40381e-05 +222 *3117:204 *22209:B2 0.000534427 +223 *3117:204 *22276:B2 8.14362e-05 +224 *3117:245 *3136:248 0.000155845 +225 *3122:11 *3136:24 0.000130111 +226 *3124:47 *22496:B2 5.48836e-05 +227 *3124:62 *22496:B2 2.33103e-06 +228 *3124:93 *22430:B2 6.63489e-05 +229 *3124:93 *3136:71 0.000138018 +230 *3124:93 *3136:84 0.000336761 +231 *3124:146 *3136:248 1.02986e-05 +232 *3124:174 *3136:208 0.000110297 +233 *3126:34 *22386:B2 4.28518e-05 +234 *3126:34 *3136:248 0.000145937 +235 *3126:142 *3136:29 0.00120271 +236 *3131:41 *22496:B2 0.000246676 +237 *3131:41 *3136:42 1.33191e-05 +238 *3134:5 *22176:C 0.000107496 +239 *3134:20 *22496:B2 0.000209388 +*RES +1 *22172:X *3136:24 49.5262 +2 *3136:24 *3136:29 8.7762 +3 *3136:29 *22176:C 15.821 +4 *3136:29 *3136:42 9.67621 +5 *3136:42 *3136:48 1.21719 +6 *3136:48 *22496:B2 21.4136 +7 *3136:48 *3136:69 14.1287 +8 *3136:69 *3136:71 3.90826 +9 *3136:71 *3136:84 16.8322 +10 *3136:84 *22474:B2 21.5277 +11 *3136:84 *22452:B2 17.6455 +12 *3136:71 *22408:B2 20.0427 +13 *3136:69 *22430:B2 18.3789 +14 *3136:42 *22320:B2 28.9041 +15 *3136:24 *3136:149 49.0847 +16 *3136:149 *3136:153 15.6752 +17 *3136:153 *22298:B2 24.4375 +18 *3136:153 *3136:169 33.1508 +19 *3136:169 *3136:178 19.6375 +20 *3136:178 *3136:180 17.9161 +21 *3136:180 *22276:B2 13.5172 +22 *3136:180 *3136:208 35.3657 +23 *3136:208 *22254:B2 9.82786 +24 *3136:208 *22209:B2 39.9266 +25 *3136:178 *22364:B2 9.82786 +26 *3136:169 *3136:248 23.6055 +27 *3136:248 *22342:B2 13.7491 +28 *3136:248 *22386:B2 22.7532 +*END + +*D_NET *3137 0.0113373 +*CONN +*I *22174:B I *D sky130_fd_sc_hd__or2_1 +*I *22179:B I *D sky130_fd_sc_hd__or2_1 +*I *22204:B I *D sky130_fd_sc_hd__or2_1 +*I *22173:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *22174:B 0.000458299 +2 *22179:B 8.96294e-05 +3 *22204:B 0.000432931 +4 *22173:X 0.000153188 +5 *3137:9 0.00149574 +6 *3137:6 0.00158467 +7 *22174:B *5949:87 0.000425315 +8 *22179:B *4856:30 4.23622e-05 +9 *22204:B *3144:92 0.000473999 +10 *22204:B *3500:18 0.000256743 +11 *22204:B *4856:30 9.47275e-05 +12 *3137:6 *4804:24 0 +13 *3137:6 *5949:74 6.73739e-06 +14 *3137:9 *22531:A 0.000240085 +15 *3137:9 *3185:18 9.59618e-06 +16 *3137:9 *3500:18 0.00125893 +17 *21893:B1 *22204:B 0.000262179 +18 *21893:B1 *3137:9 0.00289589 +19 *22174:A *22174:B 6.27718e-05 +20 *2093:10 *22179:B 3.88358e-05 +21 *2117:13 *22174:B 0.000110127 +22 *2123:25 *22174:B 1.99503e-05 +23 *2123:25 *3137:6 4.65293e-05 +24 *2440:55 *22174:B 0.000511933 +25 *2440:55 *3137:6 0.00025947 +26 *2729:121 *3137:9 0.00010664 +*RES +1 *22173:X *3137:6 18.6955 +2 *3137:6 *3137:9 7.54914 +3 *3137:9 *22204:B 27.4032 +4 *3137:9 *22179:B 19.1898 +5 *3137:6 *22174:B 28.1448 +*END + +*D_NET *3138 0.000492413 +*CONN +*I *22175:A I *D sky130_fd_sc_hd__buf_8 +*I *22174:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22175:A 7.25386e-05 +2 *22174:X 7.25386e-05 +3 *22175:A *3139:10 6.08467e-05 +4 *2379:26 *22175:A 0.000112821 +5 *3108:39 *22175:A 6.08467e-05 +6 *3118:39 *22175:A 0.000112821 +*RES +1 *22174:X *22175:A 30.4689 +*END + +*D_NET *3139 0.0961369 +*CONN +*I *22354:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22398:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22376:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22288:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22266:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22237:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22310:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22332:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22176:D I *D sky130_fd_sc_hd__and4_1 +*I *22486:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22508:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22442:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22464:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22420:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22175:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22354:A2 0.000282758 +2 *22398:A2 0 +3 *22376:A2 1.98947e-05 +4 *22288:A2 0.000257757 +5 *22266:A2 3.5276e-05 +6 *22237:A2 0.00166686 +7 *22310:A2 0.000308196 +8 *22332:A2 0.000555658 +9 *22176:D 9.16217e-05 +10 *22486:A2 0.001209 +11 *22508:A2 0.000143225 +12 *22442:A2 3.31123e-05 +13 *22464:A2 0 +14 *22420:A2 0.000332427 +15 *22175:X 0.000463025 +16 *3139:254 0.000936756 +17 *3139:244 0.000858489 +18 *3139:200 0.00240214 +19 *3139:192 0.00284387 +20 *3139:177 0.00280601 +21 *3139:176 0.00192174 +22 *3139:156 0.0043506 +23 *3139:122 0.00168503 +24 *3139:105 0.00431143 +25 *3139:96 0.000845004 +26 *3139:75 0.00227969 +27 *3139:55 0.00128034 +28 *3139:48 0.000875116 +29 *3139:27 0.00136169 +30 *3139:25 0.00141971 +31 *3139:22 0.00147517 +32 *3139:14 0.00231941 +33 *3139:10 0.00182576 +34 *22237:A2 *22237:B2 0.000521196 +35 *22237:A2 *22266:B2 1.03403e-05 +36 *22237:A2 *22266:C1 0.000400291 +37 *22237:A2 *3196:209 1.36993e-05 +38 *22266:A2 *22266:C1 6.1478e-06 +39 *22288:A2 *22619:C1 8.90266e-05 +40 *22288:A2 *3196:185 0.000131181 +41 *22288:A2 *3243:30 8.90266e-05 +42 *22288:A2 *3249:7 1.88014e-05 +43 *22310:A2 *22310:B1 0.000175048 +44 *22310:A2 *22310:C1 2.58144e-05 +45 *22310:A2 *4820:18 5.19758e-05 +46 *22332:A2 *22332:B2 1.69262e-05 +47 *22332:A2 *22671:D 0.000116111 +48 *22332:A2 *3196:26 8.20978e-05 +49 *22332:A2 *4807:101 1.41181e-05 +50 *22354:A2 *22354:B2 6.08467e-05 +51 *22354:A2 *22403:B 0.000120237 +52 *22354:A2 *3632:25 1.3706e-05 +53 *22376:A2 *3196:163 6.08467e-05 +54 *22420:A2 *22419:A2 0.000191394 +55 *22420:A2 *22420:B2 1.65117e-05 +56 *22420:A2 *22425:B 6.53173e-05 +57 *22420:A2 *22437:C1 6.87762e-05 +58 *22420:A2 *3168:7 0.000205101 +59 *22420:A2 *3168:29 3.20264e-05 +60 *22442:A2 *22442:B2 6.3657e-05 +61 *22442:A2 *22442:C1 1.37531e-05 +62 *22486:A2 *22485:A2 6.87762e-05 +63 *22486:A2 *22514:C 0.000168711 +64 *22486:A2 *22745:C1 1.13237e-05 +65 *22486:A2 *3198:93 0 +66 *22486:A2 *3461:26 0.00135172 +67 *22486:A2 *3474:58 4.99267e-05 +68 *22486:A2 *3476:52 0.00027105 +69 *22486:A2 *3517:121 7.03991e-05 +70 *22508:A2 *3517:121 0.000118649 +71 *3139:10 *3479:53 0.000198729 +72 *3139:10 *4804:37 0.00139902 +73 *3139:14 *3151:17 3.29841e-05 +74 *3139:14 *3179:27 0.000351426 +75 *3139:14 *5476:69 0.0013907 +76 *3139:22 *3485:90 0.000341506 +77 *3139:22 *5476:60 0.000817903 +78 *3139:25 *3168:7 0.000509128 +79 *3139:25 *3406:13 0.000817463 +80 *3139:25 *3483:11 0.00201823 +81 *3139:25 *3483:15 0.000535782 +82 *3139:25 *5860:511 0.000953418 +83 *3139:27 *22797:D 5.51483e-06 +84 *3139:27 *3168:7 0.00188378 +85 *3139:27 *3406:13 4.69684e-05 +86 *3139:27 *3722:29 0.000586888 +87 *3139:48 *22419:A2 4.88552e-05 +88 *3139:48 *22420:B2 4.84017e-05 +89 *3139:48 *22425:B 0.000316607 +90 *3139:48 *3385:19 0.000160617 +91 *3139:55 *22420:B2 2.21587e-05 +92 *3139:55 *3196:71 0.000458787 +93 *3139:75 *3196:60 0.000333073 +94 *3139:75 *3196:71 1.72347e-05 +95 *3139:75 *3417:29 0.00102123 +96 *3139:75 *5455:12 0.000160292 +97 *3139:96 *22194:B 0.00012967 +98 *3139:96 *5384:13 9.68101e-05 +99 *3139:122 *22671:A 0.000276639 +100 *3139:122 *3523:16 7.95172e-05 +101 *3139:156 *3148:46 0.00125403 +102 *3139:156 *3148:72 9.55484e-05 +103 *3139:156 *3151:141 0.00106592 +104 *3139:156 *3483:124 4.47494e-06 +105 *3139:156 *3507:19 0.000447557 +106 *3139:156 *4830:111 0.000276924 +107 *3139:156 *5475:83 0.000376264 +108 *3139:176 *21659:A1 2.02035e-05 +109 *3139:176 *3148:72 0.000671528 +110 *3139:176 *3179:190 0.000110949 +111 *3139:176 *3300:8 7.94462e-05 +112 *3139:176 *3621:10 0.00016329 +113 *3139:177 *3208:150 4.83562e-06 +114 *3139:177 *3208:165 0.000133841 +115 *3139:177 *3543:33 0.000136818 +116 *3139:192 *22713:B2 0.000582581 +117 *3139:192 *3196:185 4.33205e-06 +118 *3139:192 *3502:157 0.000449031 +119 *3139:192 *4822:80 1.14957e-05 +120 *3139:200 *22266:B2 1.03403e-05 +121 *3139:200 *3196:185 4.54865e-05 +122 *3139:200 *3196:187 2.27305e-05 +123 *3139:200 *3217:25 1.78444e-05 +124 *3139:200 *3284:10 9.05255e-05 +125 *3139:200 *5924:37 4.32862e-06 +126 *3139:254 *3196:163 0.000848297 +127 *3139:254 *3632:25 0.00034028 +128 *21193:A2 *22332:A2 2.77625e-06 +129 *21193:A2 *3139:122 2.16355e-05 +130 *21193:B2 *22332:A2 5.8973e-05 +131 *21193:B2 *3139:122 3.58531e-05 +132 *21213:C *22332:A2 1.41976e-05 +133 *21213:C *3139:122 1.99131e-05 +134 *21242:A1 *22354:A2 1.9633e-05 +135 *21272:B2 *3139:192 5.93953e-05 +136 *21320:B2 *3139:122 0.000112148 +137 *21321:A1 *3139:122 3.29488e-05 +138 *21321:B1 *3139:122 3.63593e-05 +139 *21395:A2 *3139:254 0.000156955 +140 *21395:B2 *3139:192 1.38538e-05 +141 *21424:C *3139:176 7.55464e-05 +142 *21443:C *3139:176 0.000585401 +143 *21653:B2 *3139:177 5.94306e-05 +144 *21659:A2 *3139:176 5.11321e-05 +145 *21869:A1 *22288:A2 0 +146 *21869:A2 *22288:A2 1.04638e-05 +147 *21874:B2 *22237:A2 0.000627753 +148 *22165:A *3139:96 4.40531e-05 +149 *22165:B *3139:96 9.24241e-05 +150 *22175:A *3139:10 6.08467e-05 +151 *22176:A *3139:105 7.86825e-06 +152 *22176:C *22176:D 2.16355e-05 +153 *22210:A1 *22237:A2 0.000206473 +154 *22210:A2 *22237:A2 3.06919e-05 +155 *22210:B1 *22237:A2 3.95122e-05 +156 *22266:A1 *3139:200 2.16355e-05 +157 *22266:B1 *22237:A2 3.99086e-06 +158 *22266:B1 *22266:A2 4.31603e-06 +159 *22288:A1 *22288:A2 0.000151994 +160 *22288:B1 *22288:A2 3.54024e-05 +161 *22331:B2 *22332:A2 1.2851e-05 +162 *22332:A1 *22332:A2 2.53145e-06 +163 *22332:B1 *22332:A2 2.74998e-05 +164 *22354:B1 *22354:A2 4.13694e-05 +165 *22357:A1 *3139:177 0.000112673 +166 *22376:A1 *3139:254 0.000111802 +167 *22398:A1 *22354:A2 9.12416e-06 +168 *22398:A1 *3139:254 0.000217517 +169 *22419:B2 *22420:A2 2.6266e-05 +170 *22442:A1 *22442:A2 6.08467e-05 +171 *22458:B1 *3139:27 0.000491233 +172 *22488:B2 *22486:A2 6.75957e-05 +173 *22507:A1 *3139:55 1.3857e-05 +174 *22508:B1 *22508:A2 6.08467e-05 +175 *22694:B2 *3139:244 0.000110505 +176 *22695:B1 *3139:192 0.000815356 +177 *22726:B1 *22486:A2 0.000361956 +178 *22745:B1 *22486:A2 4.76283e-05 +179 *520:27 *3139:156 9.13389e-05 +180 *522:17 *3139:75 1.97661e-05 +181 *533:7 *3139:122 0.000258222 +182 *533:8 *3139:14 4.59797e-05 +183 *533:8 *3139:96 0.000330245 +184 *533:8 *3139:105 0.000438164 +185 *533:8 *3139:122 0.000192881 +186 *1537:36 *22508:A2 0.000400272 +187 *1537:42 *22486:A2 3.14432e-05 +188 *1537:42 *22508:A2 1.32841e-05 +189 *1558:42 *3139:200 0.000342557 +190 *1559:21 *22237:A2 0.000209566 +191 *1573:183 *3139:192 0.000462886 +192 *1583:28 *22310:A2 0.000444819 +193 *1583:35 *22310:A2 0.000790754 +194 *1588:64 *3139:25 0.000110306 +195 *1602:37 *22237:A2 3.05196e-05 +196 *1616:42 *22332:A2 3.91685e-05 +197 *1620:60 *3139:200 5.88009e-05 +198 *1627:79 *3139:192 8.76978e-05 +199 *1640:24 *22420:A2 3.55719e-05 +200 *1642:11 *22420:A2 2.41274e-06 +201 *1642:11 *3139:27 0.000106742 +202 *1645:31 *3139:48 0.000396651 +203 *1645:31 *3139:55 0 +204 *1645:68 *3139:22 0.000219234 +205 *1645:69 *3139:122 0.000315967 +206 *1649:80 *3139:14 0.000120605 +207 *1649:80 *3139:96 0.000263279 +208 *1675:32 *3139:48 0.000155058 +209 *1691:105 *3139:122 0 +210 *1744:219 *3139:192 0.000107025 +211 *1750:73 *22237:A2 3.31882e-05 +212 *1788:57 *3139:122 3.01683e-06 +213 *1790:76 *3139:192 0.000494849 +214 *1794:118 *3139:75 0.000177764 +215 *1798:17 *3139:75 7.62302e-06 +216 *1800:95 *3139:192 0.0001673 +217 *1802:143 *3139:192 0 +218 *2093:98 *3139:177 0.000261646 +219 *2093:98 *3139:244 0.000142627 +220 *2098:36 *3139:10 6.27072e-05 +221 *2111:23 *3139:10 0.00125754 +222 *2244:73 *3139:156 0.000159964 +223 *2273:18 *3139:122 1.37385e-05 +224 *2280:6 *3139:192 5.01835e-05 +225 *2295:82 *3139:192 0.000160909 +226 *2332:17 *22376:A2 2.16355e-05 +227 *2332:17 *3139:254 0.00011744 +228 *2333:60 *3139:192 9.18353e-05 +229 *2338:52 *3139:75 8.20799e-06 +230 *2368:23 *3139:122 2.55661e-06 +231 *2368:64 *3139:192 7.09666e-06 +232 *2378:41 *3139:122 0.000127288 +233 *2384:59 *3139:254 0.00115964 +234 *2403:17 *3139:122 7.47199e-05 +235 *2407:22 *3139:75 0.000257971 +236 *2408:10 *3139:96 2.73786e-05 +237 *2408:10 *3139:105 5.51001e-05 +238 *2408:10 *3139:122 1.99996e-05 +239 *2416:53 *3139:192 0.00019409 +240 *2418:28 *3139:156 3.05764e-05 +241 *2418:28 *3139:176 8.34588e-05 +242 *2418:38 *3139:176 0.000822088 +243 *2455:7 *3139:254 0.00021696 +244 *2456:30 *3139:156 0.000159661 +245 *2501:32 *3139:177 0.000511402 +246 *2501:32 *3139:244 0.000254662 +247 *2503:61 *22310:A2 0.000695209 +248 *2521:41 *22508:A2 7.54269e-06 +249 *2593:54 *22486:A2 2.29475e-05 +250 *2630:31 *22332:A2 0.000345048 +251 *2632:67 *22508:A2 1.88014e-05 +252 *2632:83 *22508:A2 8.41325e-05 +253 *2635:95 *3139:122 0.000444819 +254 *2686:40 *3139:176 1.54703e-05 +255 *2708:47 *22486:A2 0.000179713 +256 *2746:43 *22486:A2 1.24793e-06 +257 *2746:43 *22508:A2 2.55661e-06 +258 *2773:15 *3139:25 0.000629361 +259 *2804:24 *3139:48 8.3538e-06 +260 *2825:12 *3139:22 0.000345047 +261 *2866:21 *3139:75 0.00102336 +262 *2884:17 *22288:A2 2.02822e-05 +263 *2930:26 *3139:156 0.00113082 +264 *2932:61 *22486:A2 0.000157655 +265 *2990:19 *3139:156 0.000389493 +266 *2997:42 *3139:55 0.000324762 +267 *2999:38 *22420:A2 2.06387e-05 +268 *3007:19 *22442:A2 0.000158451 +269 *3052:38 *3139:22 5.24081e-05 +270 *3071:30 *3139:25 0.000402749 +271 *3108:39 *3139:10 2.81262e-05 +272 *3112:8 *3139:10 7.09666e-06 +273 *3117:34 *3139:156 1.9101e-05 +274 *3117:74 *3139:25 0.000160778 +275 *3122:115 *22354:A2 6.39307e-06 +276 *3124:127 *3139:122 0 +277 *3124:242 *3139:192 0.000509228 +278 *3124:242 *3139:200 0.000591524 +279 *3126:166 *3139:48 7.97572e-05 +280 *3126:166 *3139:55 3.12828e-05 +281 *3126:194 *3139:55 5.4678e-05 +282 *3126:194 *3139:75 0.000351689 +283 *3126:228 *22332:A2 0.000156946 +284 *3128:26 *3139:156 0.000521024 +285 *3128:46 *3139:156 5.9447e-05 +286 *3131:16 *3139:105 5.03093e-05 +287 *3134:5 *22176:D 5.51483e-06 +288 *3134:9 *22176:D 2.41274e-06 +289 *3136:248 *3139:176 4.65075e-05 +*RES +1 *22175:X *3139:10 38.825 +2 *3139:10 *3139:14 27.991 +3 *3139:14 *3139:22 31.5759 +4 *3139:22 *3139:25 42.8974 +5 *3139:25 *3139:27 21.7983 +6 *3139:27 *22420:A2 27.7689 +7 *3139:27 *3139:48 28.5474 +8 *3139:48 *22464:A2 13.7491 +9 *3139:48 *3139:55 10.137 +10 *3139:55 *22442:A2 15.5817 +11 *3139:55 *3139:75 18.0148 +12 *3139:75 *22508:A2 19.5639 +13 *3139:75 *22486:A2 49.0089 +14 *3139:14 *3139:96 13.5323 +15 *3139:96 *22176:D 15.0271 +16 *3139:96 *3139:105 8.89128 +17 *3139:105 *3139:122 44.1735 +18 *3139:122 *22332:A2 32.6682 +19 *3139:105 *3139:156 39.7979 +20 *3139:156 *22310:A2 28.3617 +21 *3139:156 *3139:176 42.2292 +22 *3139:176 *3139:177 18.4421 +23 *3139:177 *3139:192 29.8858 +24 *3139:192 *3139:200 15.4053 +25 *3139:200 *22237:A2 48.2266 +26 *3139:200 *22266:A2 10.2378 +27 *3139:192 *22288:A2 24.9447 +28 *3139:177 *3139:244 10.0693 +29 *3139:244 *22376:A2 9.97254 +30 *3139:244 *3139:254 30.201 +31 *3139:254 *22398:A2 13.7491 +32 *3139:254 *22354:A2 20.5403 +*END + +*D_NET *3140 0.00100272 +*CONN +*I *22195:B I *D sky130_fd_sc_hd__and4_1 +*I *22176:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22195:B 0.00020307 +2 *22176:X 0.00020307 +3 *22195:B *22185:B 6.08467e-05 +4 *22195:B *22185:C 6.64392e-05 +5 *22195:B *22185:D 6.36477e-05 +6 *22195:B *22195:C 6.36477e-05 +7 *22195:B *22195:D 0.000111802 +8 *22195:A *22195:B 0.000113107 +9 *3134:5 *22195:B 0.000117088 +*RES +1 *22176:X *22195:B 24.7677 +*END + +*D_NET *3141 0.00104231 +*CONN +*I *22178:A I *D sky130_fd_sc_hd__buf_8 +*I *22177:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22178:A 0.000181381 +2 *22177:X 0.000181381 +3 *22178:A *3177:16 0.000122083 +4 *22178:A *4876:7 2.57847e-05 +5 *22178:A *5948:76 0.000122083 +6 *2122:23 *22178:A 0.000409595 +*RES +1 *22177:X *22178:A 34.3512 +*END + +*D_NET *3142 0.0769417 +*CONN +*I *22185:A I *D sky130_fd_sc_hd__and4_1 +*I *22326:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22304:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22348:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22392:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22370:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22260:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22223:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22282:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22502:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22414:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22458:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *22480:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22436:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22178:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22185:A 0 +2 *22326:A2 1.30387e-05 +3 *22304:A2 0 +4 *22348:A2 1.28414e-05 +5 *22392:A2 0.000131765 +6 *22370:A2 0 +7 *22260:A2 0.000195981 +8 *22223:A2 0.000506997 +9 *22282:A2 0.000104603 +10 *22502:A2 0.00159608 +11 *22414:A2 3.00134e-05 +12 *22458:A2 0 +13 *22480:A2 0.000672337 +14 *22436:A2 0.000149108 +15 *22178:X 0.00253459 +16 *3142:233 0.00136641 +17 *3142:211 0.00187072 +18 *3142:196 0.0010147 +19 *3142:190 0.00124336 +20 *3142:158 0.00130328 +21 *3142:154 0.00254765 +22 *3142:133 0.00251724 +23 *3142:108 0.00328851 +24 *3142:98 0.00107936 +25 *3142:63 0.00099611 +26 *3142:58 0.000597955 +27 *3142:49 0.000673553 +28 *3142:46 0.00245421 +29 *3142:32 0.00371574 +30 *3142:21 0.00338533 +31 *22223:A2 *22223:B2 0.000703245 +32 *22223:A2 *22555:A2 3.40024e-05 +33 *22223:A2 *3185:116 0.000300565 +34 *22223:A2 *3186:14 0.000138744 +35 *22260:A2 *22555:B2 6.08467e-05 +36 *22260:A2 *22606:C1 4.49767e-05 +37 *22282:A2 *22603:C1 5.38612e-06 +38 *22282:A2 *3483:173 2.14842e-06 +39 *22326:A2 *3280:13 4.66492e-05 +40 *22348:A2 *3306:15 6.36477e-05 +41 *22348:A2 *3498:211 6.08467e-05 +42 *22392:A2 *3342:12 9.32704e-05 +43 *22414:A2 *22414:B2 1.65872e-05 +44 *22414:A2 *3175:37 0.000107496 +45 *22436:A2 *3157:43 0.000200794 +46 *22480:A2 *22786:A2 3.53254e-05 +47 *22480:A2 *22786:C1 4.78118e-05 +48 *22480:A2 *3185:30 5.65467e-05 +49 *22480:A2 *3491:70 3.40931e-05 +50 *22480:A2 *3498:35 0.000158357 +51 *22480:A2 *4854:6 3.12611e-05 +52 *22502:A2 *22502:B2 1.55025e-05 +53 *22502:A2 *3196:41 9.71895e-06 +54 *22502:A2 *3198:29 0.00118476 +55 *22502:A2 *3466:47 0.00135207 +56 *22502:A2 *3719:26 5.88009e-05 +57 *3142:21 *21690:A1 0.000175229 +58 *3142:21 *24363:CLK 0.000120342 +59 *3142:21 *3146:26 0.000107496 +60 *3142:21 *3179:12 0.000126021 +61 *3142:21 *3179:134 4.15424e-05 +62 *3142:21 *4804:46 0.000878967 +63 *3142:21 *4876:8 2.77419e-05 +64 *3142:21 *5853:334 0.000207637 +65 *3142:32 *3146:26 0.00126586 +66 *3142:32 *3485:94 0.000298988 +67 *3142:32 *3500:89 3.53886e-05 +68 *3142:46 *3500:89 1.28501e-05 +69 *3142:46 *3719:44 0.000219982 +70 *3142:49 *3157:43 0.00038031 +71 *3142:58 *4854:6 0.000342289 +72 *3142:63 *3168:7 0.000202726 +73 *3142:63 *4854:6 0.00028493 +74 *3142:98 *22660:B2 7.68538e-06 +75 *3142:98 *22660:C1 2.16355e-05 +76 *3142:98 *3280:16 2.43314e-05 +77 *3142:98 *3500:89 7.22263e-05 +78 *3142:98 *3500:91 8.17829e-06 +79 *3142:108 *22326:B2 0.000101005 +80 *3142:108 *3185:79 0.00011195 +81 *3142:108 *3185:87 0.000401879 +82 *3142:108 *3280:13 6.08467e-05 +83 *3142:108 *3498:132 0.000223132 +84 *3142:133 *3185:87 0.0007148 +85 *3142:154 *22624:B2 4.15661e-05 +86 *3142:154 *3217:14 5.60364e-06 +87 *3142:154 *3217:25 3.29488e-05 +88 *3142:158 *22667:A2 6.85769e-05 +89 *3142:158 *3496:179 0.000444819 +90 *3142:158 *3529:39 2.77625e-06 +91 *3142:158 *3529:62 6.36477e-05 +92 *3142:190 *22624:A2 7.56452e-06 +93 *3142:190 *22625:C1 7.49541e-05 +94 *3142:190 *3185:155 0.000358437 +95 *3142:190 *3185:163 3.82228e-05 +96 *3142:190 *3496:174 2.02822e-05 +97 *3142:190 *3496:179 0.000180512 +98 *3142:196 *3185:163 0.000142732 +99 *3142:196 *3185:184 3.95516e-05 +100 *3142:211 *22304:B2 7.75133e-06 +101 *3142:211 *3185:184 7.63144e-05 +102 *3142:211 *3498:211 9.12416e-06 +103 *3142:233 *22306:C 0.000117427 +104 *3142:233 *22711:A2 0.000151898 +105 *3142:233 *3491:140 0.000649838 +106 *3142:233 *3498:211 3.83731e-05 +107 *3142:233 *4809:40 0.000334188 +108 *3142:233 *4810:49 7.13972e-05 +109 *3142:233 *4819:53 7.09666e-06 +110 *21352:A1 *3142:21 0.00181402 +111 *21359:A1 *3142:190 0.000107496 +112 *21359:A1 *3142:196 0.00102032 +113 *21423:A1 *3142:211 2.94032e-05 +114 *21537:A2 *22502:A2 0.00104457 +115 *21549:B2 *22223:A2 1.13223e-05 +116 *21582:A1 *3142:32 8.50666e-05 +117 *21582:A1 *3142:46 1.69599e-05 +118 *21582:A1 *3142:98 0.000136527 +119 *21582:B1 *3142:46 7.86728e-05 +120 *21582:B2 *3142:46 5.49916e-05 +121 *21641:A1 *3142:154 1.5714e-05 +122 *21808:D *22223:A2 0.000527673 +123 *21882:A1 *3142:133 0.00182201 +124 *21882:A1 *3142:154 0.00106544 +125 *21893:B1 *3142:21 0.00015615 +126 *21981:A2 *3142:46 0.000202408 +127 *21981:A2 *3142:98 0.000514534 +128 *21981:A2 *3142:108 2.26985e-05 +129 *22059:A2 *22223:A2 0.000161234 +130 *22059:B2 *22223:A2 6.3657e-05 +131 *22223:A1 *22223:A2 4.8407e-05 +132 *22255:A1 *3142:154 0.000180005 +133 *22305:A2 *3142:233 0.000144632 +134 *22320:A1 *3142:98 0.000133598 +135 *22320:A2 *3142:98 9.22013e-06 +136 *22323:A1 *22282:A2 0.000155698 +137 *22326:B1 *3142:98 6.09184e-05 +138 *22331:B1 *22502:A2 0.000157659 +139 *22331:B1 *3142:108 0.000446583 +140 *22331:B1 *3142:133 6.69933e-05 +141 *22370:A1 *3142:196 0.000154145 +142 *22414:A1 *22414:A2 6.08467e-05 +143 *22496:A1 *3142:46 0.000201463 +144 *22496:A2 *3142:46 7.92757e-06 +145 *22496:B1 *3142:46 0.000466818 +146 *22496:B2 *3142:46 7.92757e-06 +147 *22502:A1 *22502:A2 0.000200794 +148 *22541:A *3142:21 5.2942e-05 +149 *22606:B1 *22260:A2 6.08467e-05 +150 *22624:B1 *3142:154 0.000125842 +151 *22768:A1 *22480:A2 9.97167e-05 +152 *22786:A1 *22480:A2 0.000101133 +153 *22786:B1 *22480:A2 6.08467e-05 +154 *490:114 *22392:A2 9.66954e-05 +155 *506:16 *22502:A2 1.86819e-05 +156 *529:14 *3142:190 1.41689e-05 +157 *529:14 *3142:196 3.95516e-05 +158 *529:26 *22392:A2 6.08467e-05 +159 *549:23 *3142:21 5.24444e-05 +160 *1449:84 *22480:A2 0.000226297 +161 *1449:85 *3142:58 0.000354425 +162 *1449:85 *3142:63 0.000289739 +163 *1502:201 *3142:98 1.77134e-05 +164 *1542:101 *3142:211 0.000316857 +165 *1553:64 *22223:A2 1.15389e-05 +166 *1553:64 *22260:A2 4.15661e-05 +167 *1553:64 *3142:108 2.33296e-05 +168 *1553:64 *3142:158 8.08437e-05 +169 *1564:84 *3142:196 0.000484995 +170 *1564:84 *3142:211 0.000298399 +171 *1576:28 *3142:233 4.82779e-06 +172 *1576:35 *22282:A2 0.000157671 +173 *1588:107 *22223:A2 9.12416e-06 +174 *1588:131 *22223:A2 2.4269e-05 +175 *1616:17 *22502:A2 1.51352e-05 +176 *1631:34 *3142:154 0.000207944 +177 *1649:18 *3142:46 5.65354e-05 +178 *1649:31 *3142:46 3.20069e-06 +179 *1649:57 *3142:46 3.80436e-07 +180 *1649:123 *3142:154 0.000202933 +181 *1651:52 *22480:A2 0.000283349 +182 *1655:61 *22260:A2 0.00021038 +183 *1655:61 *3142:158 8.42542e-05 +184 *1662:87 *22223:A2 5.94319e-06 +185 *1669:156 *3142:46 0.000113689 +186 *1679:37 *3142:21 0.00219413 +187 *1691:80 *3142:46 6.84784e-06 +188 *1691:87 *3142:46 5.60364e-06 +189 *1717:76 *3142:211 1.5714e-05 +190 *1750:15 *3142:233 0.000203604 +191 *1788:32 *3142:32 5.33711e-05 +192 *2088:104 *3142:21 0.00021077 +193 *2088:113 *3142:21 3.66153e-05 +194 *2304:65 *3142:211 0.000381673 +195 *2361:54 *3142:196 0.000107496 +196 *2407:41 *3142:32 0.000161252 +197 *2411:26 *3142:21 7.19237e-05 +198 *2440:67 *3142:233 6.42991e-06 +199 *2463:11 *3142:211 3.2698e-05 +200 *2468:80 *3142:211 1.58551e-05 +201 *2477:34 *3142:196 0.000438346 +202 *2478:18 *3142:211 1.27402e-05 +203 *2478:18 *3142:233 0.000586778 +204 *2481:17 *3142:233 0.000344134 +205 *2501:32 *3142:196 0.000442653 +206 *2503:48 *3142:233 0.000176693 +207 *2508:23 *3142:133 0.000779688 +208 *2508:23 *3142:154 0.00105259 +209 *2527:24 *3142:154 0 +210 *2539:35 *22502:A2 3.38973e-05 +211 *2557:20 *22502:A2 0 +212 *2576:85 *3142:98 6.36477e-05 +213 *2577:48 *3142:32 0.000452336 +214 *2589:54 *22260:A2 4.26925e-05 +215 *2590:17 *3142:46 3.17103e-05 +216 *2606:7 *22223:A2 6.08467e-05 +217 *2611:16 *3142:46 8.74489e-05 +218 *2631:47 *3142:190 0.00068011 +219 *2635:95 *22326:A2 6.08467e-05 +220 *2635:95 *3142:108 0.000200794 +221 *2636:40 *3142:98 1.42932e-05 +222 *2694:36 *3142:21 3.29488e-05 +223 *2694:38 *3142:21 5.11322e-06 +224 *2751:8 *22502:A2 6.48631e-05 +225 *2751:8 *3142:46 6.02341e-05 +226 *2772:50 *22282:A2 2.77564e-05 +227 *2772:57 *22282:A2 1.66626e-05 +228 *2773:28 *22480:A2 4.37678e-05 +229 *2887:41 *3142:190 0.000729731 +230 *2887:66 *3142:154 3.29488e-05 +231 *2887:66 *3142:190 8.77154e-06 +232 *2930:26 *3142:32 0.000206203 +233 *2930:59 *3142:98 6.24293e-05 +234 *2930:59 *3142:108 1.5714e-05 +235 *3021:39 *3142:190 0.000398804 +236 *3052:66 *3142:98 6.74182e-05 +237 *3063:30 *22480:A2 9.34919e-05 +238 *3073:16 *22223:A2 1.65872e-05 +239 *3104:22 *3142:21 0.000145962 +240 *3124:191 *3142:154 1.9101e-05 +241 *3124:210 *3142:154 0.000138994 +242 *3126:142 *3142:21 0.000210725 +243 *3134:5 *3142:21 9.61086e-05 +244 *3134:5 *3142:32 0.000300565 +245 *3134:9 *3142:32 0.00098371 +246 *3134:20 *3142:46 6.7671e-06 +*RES +1 *22178:X *3142:21 40.4005 +2 *3142:21 *3142:32 46.9582 +3 *3142:32 *3142:46 48.491 +4 *3142:46 *3142:49 9.10562 +5 *3142:49 *22436:A2 11.6364 +6 *3142:49 *3142:58 12.1455 +7 *3142:58 *3142:63 12.8717 +8 *3142:63 *22480:A2 44.9302 +9 *3142:63 *22458:A2 9.24915 +10 *3142:58 *22414:A2 15.0271 +11 *3142:32 *3142:98 30.9706 +12 *3142:98 *3142:108 12.408 +13 *3142:108 *22502:A2 47.1266 +14 *3142:108 *3142:133 5.221 +15 *3142:133 *22282:A2 18.5295 +16 *3142:133 *3142:154 20.3413 +17 *3142:154 *3142:158 14.8198 +18 *3142:158 *22223:A2 41.0505 +19 *3142:158 *22260:A2 19.2113 +20 *3142:154 *3142:190 25.7288 +21 *3142:190 *22370:A2 9.24915 +22 *3142:190 *3142:196 19.0253 +23 *3142:196 *22392:A2 21.2198 +24 *3142:196 *3142:211 18.964 +25 *3142:211 *22348:A2 14.4725 +26 *3142:211 *3142:233 42.4035 +27 *3142:233 *22304:A2 9.24915 +28 *3142:98 *22326:A2 9.97254 +29 *3142:21 *22185:A 9.24915 +*END + +*D_NET *3143 0.0014811 +*CONN +*I *22180:A I *D sky130_fd_sc_hd__buf_8 +*I *22179:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22180:A 0.000305676 +2 *22179:X 0.000305676 +3 *22180:A *3144:5 0.000110306 +4 *22180:A *4827:29 0.000225382 +5 *2122:34 *22180:A 0.000225382 +6 *2397:85 *22180:A 0.00025175 +7 *2690:11 *22180:A 5.69234e-05 +*RES +1 *22179:X *22180:A 36.5962 +*END + +*D_NET *3144 0.0917539 +*CONN +*I *22185:B I *D sky130_fd_sc_hd__and4_1 +*I *22380:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22292:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22247:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22270:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22358:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22402:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22314:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22336:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22446:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22468:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22424:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22512:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22490:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22180:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22185:B 8.02522e-05 +2 *22380:B2 0 +3 *22292:B2 8.10111e-05 +4 *22247:B2 0.00024778 +5 *22270:B2 0.00149417 +6 *22358:B2 0.000424618 +7 *22402:B2 0.000489845 +8 *22314:B2 3.21425e-05 +9 *22336:B2 0.000230229 +10 *22446:B2 0 +11 *22468:B2 0.000700059 +12 *22424:B2 0 +13 *22512:B2 0.000392613 +14 *22490:B2 1.62045e-05 +15 *22180:X 0.000112259 +16 *3144:201 0.00274411 +17 *3144:197 0.00161731 +18 *3144:187 0.000735467 +19 *3144:171 0.00105165 +20 *3144:158 0.00193256 +21 *3144:152 0.00270382 +22 *3144:129 0.00180165 +23 *3144:116 0.00228202 +24 *3144:103 0.00478378 +25 *3144:92 0.00400085 +26 *3144:65 0.000849671 +27 *3144:64 0.000245909 +28 *3144:45 0.000608885 +29 *3144:35 0.00115342 +30 *3144:23 0.0027717 +31 *3144:16 0.00275739 +32 *3144:5 0.00238651 +33 *22185:B *22185:D 3.88655e-06 +34 *22185:B *3483:86 4.70202e-05 +35 *22270:B2 *3493:88 6.15576e-05 +36 *22270:B2 *3546:26 1.91391e-05 +37 *22292:B2 *3233:8 1.71045e-05 +38 *22292:B2 *3564:14 0.000134531 +39 *22314:B2 *22314:A2 2.66778e-05 +40 *22314:B2 *22314:C1 1.57187e-05 +41 *22336:B2 *22336:A2 3.84478e-05 +42 *22358:B2 *22716:A2 0.000321727 +43 *22358:B2 *3315:18 5.1493e-06 +44 *22358:B2 *3327:33 0.00137659 +45 *22402:B2 *22402:A2 0.000118146 +46 *22468:B2 *22424:A2 1.47978e-05 +47 *22468:B2 *22424:C1 0.000116 +48 *22468:B2 *22467:A2 0.000155833 +49 *22468:B2 *22512:A2 0.000267923 +50 *22490:B2 *22490:A2 2.16355e-05 +51 *22512:B2 *22490:A2 1.03403e-05 +52 *22512:B2 *3463:11 0.00015095 +53 *3144:23 *21725:A1 0.000167617 +54 *3144:23 *21849:A 6.08467e-05 +55 *3144:23 *3500:33 0.00150758 +56 *3144:35 *3442:7 5.481e-05 +57 *3144:45 *22490:A2 3.37866e-05 +58 *3144:64 *22490:A2 0.000126772 +59 *3144:65 *22424:A2 6.08467e-05 +60 *3144:65 *22446:A2 1.65872e-05 +61 *3144:65 *22446:C1 6.08467e-05 +62 *3144:65 *22512:A2 5.51483e-06 +63 *3144:65 *3204:52 6.38299e-05 +64 *3144:92 *22205:A 8.96596e-05 +65 *3144:92 *3148:20 0.000388646 +66 *3144:92 *3483:86 0.000375016 +67 *3144:92 *4856:30 0 +68 *3144:92 *5476:60 0.000145095 +69 *3144:103 *21690:A1 0.00292168 +70 *3144:103 *3485:133 5.60804e-05 +71 *3144:103 *3529:39 0.0006713 +72 *3144:103 *4821:76 0.000449701 +73 *3144:116 *21624:A1 0.00110869 +74 *3144:116 *22337:C 1.91391e-05 +75 *3144:116 *22338:B 0.00012015 +76 *3144:116 *3509:42 0.000291322 +77 *3144:116 *3521:33 0.00114547 +78 *3144:116 *3521:41 0.000129091 +79 *3144:129 *3509:42 0.000114203 +80 *3144:152 *22696:C1 4.04705e-05 +81 *3144:152 *3204:165 6.41319e-05 +82 *3144:152 *3238:15 0.000211103 +83 *3144:152 *3327:8 0.000497875 +84 *3144:152 *3509:42 0.0001746 +85 *3144:152 *4804:96 2.40371e-05 +86 *3144:152 *4810:66 0 +87 *3144:158 *3327:8 0.000442445 +88 *3144:158 *3476:172 0.000185483 +89 *3144:171 *3327:8 0.000600457 +90 *3144:187 *3204:232 2.99287e-05 +91 *3144:187 *3238:16 0 +92 *3144:187 *3327:8 2.13165e-05 +93 *3144:197 *22380:C1 0.000294093 +94 *3144:197 *3204:202 0.000451857 +95 *3144:197 *3204:232 7.18218e-05 +96 *6091:DIODE *22402:B2 6.08467e-05 +97 *19841:B2 *22247:B2 1.4091e-06 +98 *19884:A1 *22468:B2 2.77625e-06 +99 *19884:A1 *3144:65 0.000161911 +100 *19929:A2 *3144:35 0.000117325 +101 *19929:B1 *3144:23 0.00177883 +102 *19929:B1 *3144:35 4.46284e-06 +103 *19929:B2 *3144:35 0.000167813 +104 *19979:B2 *3144:35 2.57986e-05 +105 *20044:B *3144:35 3.46188e-05 +106 *21273:C1 *3144:158 0.000305057 +107 *21303:A1 *22402:B2 0.000107496 +108 *21303:A2 *22402:B2 6.95335e-05 +109 *21303:B2 *22402:B2 0.000531645 +110 *21328:B *3144:158 0.000206099 +111 *21328:B *3144:171 0.000193177 +112 *21340:A1 *3144:16 0.000133269 +113 *21340:B1 *3144:16 1.13206e-05 +114 *21340:C1 *3144:16 0.000393863 +115 *21340:C1 *3144:23 2.35405e-05 +116 *21351:A1 *3144:16 0.000305497 +117 *21351:A2 *3144:16 0.000173165 +118 *21351:B2 *3144:16 0.000107496 +119 *21352:A1 *3144:92 0.000162326 +120 *21352:A1 *3144:103 4.12192e-05 +121 *21372:D1 *3144:152 0.000111302 +122 *21482:A1 *3144:201 0.00116385 +123 *21482:A2 *3144:201 3.31745e-05 +124 *21482:B1 *3144:201 2.97488e-05 +125 *21537:A1 *3144:116 1.66771e-05 +126 *21549:B1 *22270:B2 0.000616776 +127 *21577:A2 *22336:B2 5.04829e-06 +128 *21577:C1 *3144:116 2.01653e-05 +129 *21636:A2 *3144:152 8.7824e-06 +130 *21665:B2 *3144:35 3.26582e-06 +131 *21893:A1 *3144:23 6.50586e-05 +132 *21893:B1 *3144:23 0.000355408 +133 *21893:B2 *3144:23 1.88014e-05 +134 *21900:B *3144:23 1.58551e-05 +135 *22165:A *3144:103 0.000215848 +136 *22180:A *3144:5 0.000110306 +137 *22195:A *3144:103 5.17138e-05 +138 *22195:B *22185:B 6.08467e-05 +139 *22204:B *3144:92 0.000473999 +140 *22247:A1 *22247:B2 2.53145e-06 +141 *22247:A1 *22270:B2 2.574e-05 +142 *22314:B1 *22314:B2 5.66491e-05 +143 *22334:A2 *3144:116 0.000310433 +144 *22336:B1 *22336:B2 4.67604e-05 +145 *22379:A1 *22402:B2 7.92757e-06 +146 *22402:B1 *22402:B2 2.16355e-05 +147 *22423:A1 *22512:B2 4.53552e-05 +148 *22423:B1 *22512:B2 9.67478e-05 +149 *22424:B1 *22468:B2 3.58531e-05 +150 *22446:B1 *3144:65 6.08467e-05 +151 *22467:A1 *22468:B2 9.10377e-05 +152 *22476:A1 *22468:B2 3.11573e-05 +153 *22490:A1 *3144:35 6.08467e-05 +154 *22490:B1 *3144:64 1.32841e-05 +155 *22512:A1 *22512:B2 0.000211537 +156 *22512:B1 *22512:B2 8.67924e-06 +157 *22610:A1 *3144:201 0.000343543 +158 *22716:B1 *22402:B2 0.000156946 +159 *520:27 *3144:103 3.62017e-05 +160 *1542:86 *3144:158 8.08437e-05 +161 *1543:39 *22270:B2 0.000202947 +162 *1564:134 *22270:B2 0.000169513 +163 *1577:13 *3144:23 2.71395e-05 +164 *1577:13 *3144:35 0 +165 *1584:140 *3144:116 0.000815839 +166 *1594:85 *3144:201 0.00102399 +167 *1596:65 *22270:B2 0.00043917 +168 *1616:157 *3144:187 0.000196686 +169 *1616:157 *3144:197 0.000986977 +170 *1616:157 *3144:201 7.71538e-05 +171 *1638:11 *22468:B2 1.58551e-05 +172 *1641:102 *22336:B2 0.000156955 +173 *1645:69 *3144:152 8.33917e-06 +174 *1645:94 *3144:152 0.00117762 +175 *1646:35 *22247:B2 9.95922e-06 +176 *1647:35 *22270:B2 0.000207143 +177 *1648:25 *3144:92 1.66626e-05 +178 *1649:143 *3144:152 0.000203756 +179 *1650:14 *3144:23 0.000212957 +180 *1671:126 *3144:23 1.47488e-05 +181 *1675:15 *3144:64 2.01503e-05 +182 *1677:108 *3144:35 0.000730748 +183 *1679:68 *3144:187 3.23571e-05 +184 *1683:38 *22468:B2 3.32797e-05 +185 *1715:151 *3144:16 0.000183942 +186 *1716:68 *3144:35 2.92975e-05 +187 *1717:38 *3144:23 0.00212255 +188 *1720:20 *22247:B2 8.23984e-05 +189 *1720:20 *22270:B2 1.5714e-05 +190 *1729:80 *3144:35 0.00161083 +191 *1735:78 *3144:35 4.25398e-05 +192 *1735:91 *3144:23 0.00161396 +193 *1750:49 *3144:116 4.86079e-05 +194 *1770:59 *22270:B2 0.00124529 +195 *1799:34 *22270:B2 0.00123326 +196 *1802:136 *3144:103 0.00208305 +197 *1802:143 *3144:152 4.56465e-05 +198 *1802:154 *3144:158 3.90689e-06 +199 *1802:154 *3144:171 0.000145357 +200 *1802:154 *3144:187 5.38465e-05 +201 *2093:149 *22358:B2 0.00107129 +202 *2093:181 *22270:B2 0.000488567 +203 *2117:102 *3144:187 5.213e-05 +204 *2286:36 *3144:116 3.9461e-05 +205 *2357:95 *22402:B2 0.000110297 +206 *2367:79 *22402:B2 3.82228e-05 +207 *2368:64 *3144:152 1.21169e-05 +208 *2368:64 *3144:158 0.00116393 +209 *2378:46 *3144:103 0.000184359 +210 *2397:85 *3144:5 7.01226e-05 +211 *2397:85 *3144:16 0.000119898 +212 *2403:18 *3144:116 0.000295786 +213 *2403:18 *3144:129 0.000112347 +214 *2403:18 *3144:152 0.000277966 +215 *2411:17 *3144:5 0.00026403 +216 *2411:17 *3144:16 9.90819e-05 +217 *2411:26 *3144:92 0.000403188 +218 *2429:25 *3144:35 0.000183767 +219 *2431:23 *3144:103 0 +220 *2535:40 *22247:B2 7.66848e-05 +221 *2535:40 *22270:B2 1.91391e-05 +222 *2538:13 *3144:201 0.000886839 +223 *2539:10 *3144:201 7.5913e-06 +224 *2572:67 *22336:B2 9.9241e-05 +225 *2581:42 *3144:16 8.34808e-05 +226 *2584:77 *3144:116 0.000254038 +227 *2609:24 *3144:16 3.15043e-05 +228 *2651:13 *22512:B2 0.000164344 +229 *2651:29 *3144:64 2.44897e-05 +230 *2675:99 *3144:152 4.15661e-05 +231 *2690:11 *3144:5 0.00050092 +232 *2694:36 *22185:B 4.94496e-05 +233 *2694:36 *3144:92 0.000143149 +234 *2700:26 *3144:35 3.77568e-05 +235 *2751:8 *3144:23 0.000216382 +236 *2771:58 *22247:B2 0.000158451 +237 *2814:24 *3144:103 0.000654024 +238 *2834:17 *22468:B2 8.08883e-05 +239 *2883:64 *22270:B2 0.000411345 +240 *2887:23 *3144:152 5.49916e-05 +241 *2894:60 *3144:116 9.487e-05 +242 *2909:17 *3144:23 6.50586e-05 +243 *2974:34 *22468:B2 0 +244 *3015:26 *22270:B2 1.91391e-05 +245 *3122:161 *22292:B2 6.52404e-05 +*RES +1 *22180:X *3144:5 15.5186 +2 *3144:5 *3144:16 31.5693 +3 *3144:16 *3144:23 48.4955 +4 *3144:23 *3144:35 28.5344 +5 *3144:35 *22490:B2 9.97254 +6 *3144:35 *3144:45 2.96592 +7 *3144:45 *22512:B2 20.3412 +8 *3144:45 *3144:64 11.6625 +9 *3144:64 *3144:65 5.16022 +10 *3144:65 *22424:B2 9.24915 +11 *3144:65 *22468:B2 36.2737 +12 *3144:64 *22446:B2 9.24915 +13 *3144:5 *3144:92 39.3445 +14 *3144:92 *3144:103 17.6663 +15 *3144:103 *3144:116 47.1246 +16 *3144:116 *22336:B2 20.2115 +17 *3144:103 *3144:129 2.45487 +18 *3144:129 *22314:B2 15.1115 +19 *3144:129 *3144:152 43.2229 +20 *3144:152 *3144:158 29.9104 +21 *3144:158 *22402:B2 28.5305 +22 *3144:158 *3144:171 12.6286 +23 *3144:171 *22358:B2 41.9342 +24 *3144:171 *3144:187 10.3802 +25 *3144:187 *3144:197 18.8565 +26 *3144:197 *3144:201 36.2812 +27 *3144:201 *22270:B2 27.6822 +28 *3144:201 *22247:B2 18.957 +29 *3144:197 *22292:B2 20.9116 +30 *3144:187 *22380:B2 9.24915 +31 *3144:92 *22185:B 15.6817 +*END + +*D_NET *3145 0.000549205 +*CONN +*I *22182:A I *D sky130_fd_sc_hd__buf_8 +*I *22181:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22182:A 8.02825e-05 +2 *22181:X 8.02825e-05 +3 *22182:A *3146:7 4.15663e-05 +4 *22182:A *3467:28 0.000116971 +5 *2089:37 *22182:A 0.000120584 +6 *2637:21 *22182:A 0.000109519 +*RES +1 *22181:X *22182:A 31.0235 +*END + +*D_NET *3146 0.101006 +*CONN +*I *22479:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22347:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22391:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22303:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22369:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22259:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22220:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22281:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22325:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22501:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22413:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22457:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22435:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22185:C I *D sky130_fd_sc_hd__and4_1 +*I *22182:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22479:B2 3.89821e-05 +2 *22347:B2 0.000272821 +3 *22391:B2 2.40228e-05 +4 *22303:B2 0.000204291 +5 *22369:B2 0.000158292 +6 *22259:B2 8.79092e-05 +7 *22220:B2 0.00101023 +8 *22281:B2 0.000147906 +9 *22325:B2 1.204e-05 +10 *22501:B2 0.000211567 +11 *22413:B2 4.11286e-05 +12 *22457:B2 2.88883e-05 +13 *22435:B2 5.64041e-05 +14 *22185:C 5.69169e-05 +15 *22182:X 0.00091646 +16 *3146:245 0.000457921 +17 *3146:233 0.00066587 +18 *3146:221 0.00141502 +19 *3146:200 0.00150653 +20 *3146:181 0.00335205 +21 *3146:159 0.00209582 +22 *3146:157 0.00243871 +23 *3146:128 0.00450549 +24 *3146:116 0.00366511 +25 *3146:92 0.00221816 +26 *3146:78 0.00106819 +27 *3146:67 0.00177446 +28 *3146:65 0.00353219 +29 *3146:38 0.00340229 +30 *3146:29 0.00193164 +31 *3146:26 0.00309459 +32 *3146:7 0.00317224 +33 *22185:C *3483:87 1.02986e-05 +34 *22220:B2 *22220:C1 1.767e-05 +35 *22220:B2 *3164:153 0 +36 *22220:B2 *3166:130 2.77625e-06 +37 *22220:B2 *3166:147 0.000599119 +38 *22220:B2 *3168:160 0.000298318 +39 *22220:B2 *5855:108 7.80754e-06 +40 *22281:B2 *22284:C 1.41976e-05 +41 *22303:B2 *21611:A1 2.95757e-05 +42 *22303:B2 *22303:B1 9.36386e-05 +43 *22303:B2 *22303:C1 1.41976e-05 +44 *22303:B2 *4831:8 1.88638e-05 +45 *22303:B2 *4835:50 0.000116175 +46 *22347:B2 *22347:C1 2.03454e-05 +47 *22347:B2 *4831:8 1.9031e-05 +48 *22413:B2 *22413:C1 6.46463e-07 +49 *22435:B2 *22435:C1 2.53145e-06 +50 *22479:B2 *22477:C1 7.75273e-06 +51 *22501:B2 *21943:A 1.96267e-05 +52 *22501:B2 *3750:22 0.000263701 +53 *3146:7 *22195:C 0.000111802 +54 *3146:29 *3155:36 4.77678e-05 +55 *3146:29 *3448:9 1.37531e-05 +56 *3146:38 *3369:19 0.000442634 +57 *3146:38 *3407:23 0.00010063 +58 *3146:38 *3448:9 0.000589679 +59 *3146:65 *22477:C1 4.0605e-06 +60 *3146:65 *22811:C1 5.01835e-05 +61 *3146:65 *3175:71 0.000378121 +62 *3146:65 *3407:23 7.82517e-05 +63 *3146:65 *3428:23 0.000177791 +64 *3146:65 *3533:217 1.35239e-05 +65 *3146:65 *3533:227 5.42506e-06 +66 *3146:65 *4870:134 1.89527e-06 +67 *3146:78 *22457:C1 0.000109126 +68 *3146:92 *3750:22 9.49448e-05 +69 *3146:128 *22325:C1 0.000442653 +70 *3146:128 *3252:17 0.000126587 +71 *3146:157 *21630:B1 2.09544e-05 +72 *3146:157 *22284:A 9.17656e-06 +73 *3146:157 *22293:C 3.77568e-05 +74 *3146:157 *22699:A2 0.000349692 +75 *3146:157 *3232:25 1.55025e-05 +76 *3146:157 *3243:41 0.00101069 +77 *3146:157 *3252:17 1.51352e-05 +78 *3146:157 *3254:10 5.92415e-05 +79 *3146:157 *3284:7 3.96379e-05 +80 *3146:157 *3507:227 0.000162529 +81 *3146:157 *3511:192 0.000640163 +82 *3146:157 *3517:197 0.00127656 +83 *3146:157 *4857:12 5.04829e-06 +84 *3146:159 *22284:A 0.000106427 +85 *3146:181 *22284:A 9.12416e-06 +86 *3146:181 *5890:36 0.00164811 +87 *3146:221 *4956:27 0.00125723 +88 *3146:221 *5350:11 3.39118e-05 +89 *3146:233 *4834:69 0.000105652 +90 *3146:233 *4956:27 0.00131254 +91 *3146:245 *4831:8 4.33147e-05 +92 *19824:A *3146:92 0.000187212 +93 *19824:B *3146:92 4.2372e-05 +94 *19860:B *3146:116 0.000109731 +95 *20039:B1 *3146:38 1.2851e-05 +96 *20039:B1 *3146:65 2.14624e-05 +97 *21199:A1 *22369:B2 0 +98 *21235:B2 *3146:157 9.22225e-05 +99 *21278:B2 *3146:181 0.000358345 +100 *21875:A1 *22281:B2 0 +101 *21944:B1 *3146:116 0.000825763 +102 *21975:B2 *22220:B2 1.37123e-05 +103 *21975:B2 *3146:181 8.74668e-05 +104 *22023:B2 *3146:200 0.000298241 +105 *22041:B2 *3146:157 6.03122e-05 +106 *22182:A *3146:7 4.15663e-05 +107 *22195:A *22185:C 7.09666e-06 +108 *22195:B *22185:C 6.64392e-05 +109 *22220:A1 *22220:B2 1.9101e-05 +110 *22220:A2 *22220:B2 1.03403e-05 +111 *22253:B1 *22220:B2 0.00013422 +112 *22281:A1 *22281:B2 3.31745e-05 +113 *22281:A2 *22281:B2 3.75666e-05 +114 *22303:A2 *22303:B2 9.95922e-06 +115 *22318:B1 *3146:181 0.00020454 +116 *22325:A1 *3146:157 0.000202101 +117 *22325:A2 *22325:B2 1.05106e-05 +118 *22325:A2 *3146:128 5.04829e-06 +119 *22325:A2 *3146:157 1.65872e-05 +120 *22325:B1 *22325:B2 1.05106e-05 +121 *22325:B1 *3146:128 0.00033154 +122 *22346:A1 *22347:B2 1.19856e-05 +123 *22347:B1 *22347:B2 1.17972e-05 +124 *22391:B1 *22347:B2 5.35941e-05 +125 *22412:A1 *22413:B2 0 +126 *22413:B1 *22413:B2 5.59737e-06 +127 *22435:A1 *22435:B2 2.53145e-06 +128 *22435:A2 *22435:B2 3.59435e-05 +129 *22435:A2 *3146:38 9.12416e-06 +130 *22435:A2 *3146:65 4.12833e-05 +131 *22435:B1 *22435:B2 1.41976e-05 +132 *22435:B1 *3146:38 4.63742e-05 +133 *22457:A2 *22457:B2 0.000110306 +134 *22479:A1 *22479:B2 1.48217e-07 +135 *22479:B1 *22479:B2 9.01119e-06 +136 *22501:A2 *22501:B2 3.41459e-05 +137 *22501:B1 *22501:B2 5.83326e-05 +138 *22619:B1 *3146:157 0.00143142 +139 *22757:A1 *3146:65 6.98396e-06 +140 *22792:A1 *3146:65 6.3196e-05 +141 *23924:B *3146:65 4.3663e-05 +142 *491:8 *22303:B2 0.000190795 +143 *491:8 *22347:B2 0.000108217 +144 *491:8 *3146:245 0.000141303 +145 *1435:105 *3146:38 1.7373e-05 +146 *1435:105 *3146:65 0.000318384 +147 *1496:141 *3146:116 0.000158042 +148 *1537:180 *3146:92 5.04829e-06 +149 *1537:180 *3146:116 0.000630381 +150 *1538:7 *3146:116 6.08467e-05 +151 *1538:17 *3146:116 1.64458e-05 +152 *1551:172 *3146:233 0.000533811 +153 *1554:17 *3146:67 4.42742e-06 +154 *1554:17 *3146:78 0.000707444 +155 *1554:17 *3146:92 8.36691e-05 +156 *1564:37 *3146:26 0.000215019 +157 *1585:34 *3146:116 0.000107496 +158 *1598:110 *3146:92 1.42903e-05 +159 *1603:48 *3146:65 0.000150047 +160 *1604:68 *3146:38 0.000153749 +161 *1604:68 *3146:65 1.02986e-05 +162 *1604:91 *3146:65 0.000233697 +163 *1608:148 *22303:B2 0.000158357 +164 *1610:195 *22391:B2 4.58003e-05 +165 *1614:75 *3146:128 3.06477e-05 +166 *1615:20 *3146:181 0.000358951 +167 *1618:18 *3146:116 1.41976e-05 +168 *1618:18 *3146:128 1.41853e-05 +169 *1618:126 *3146:157 5.1493e-06 +170 *1620:107 *3146:200 0.000413363 +171 *1627:148 *3146:221 0.00126423 +172 *1627:148 *3146:233 0.000381162 +173 *1641:158 *3146:128 0.00252384 +174 *1647:121 *3146:157 0.000237953 +175 *1647:121 *3146:159 9.08241e-05 +176 *1647:121 *3146:181 1.00004e-05 +177 *1662:25 *3146:29 0.000211555 +178 *1699:48 *3146:65 4.08077e-05 +179 *1742:93 *22259:B2 0.000108986 +180 *1742:93 *3146:221 0.00163724 +181 *1764:71 *3146:65 0.000106413 +182 *1764:94 *3146:65 5.01835e-05 +183 *1772:22 *3146:65 0.000208289 +184 *1776:26 *3146:67 0 +185 *1777:8 *3146:67 6.85778e-05 +186 *1790:180 *22259:B2 7.40684e-06 +187 *1790:180 *3146:200 0.000954906 +188 *2263:45 *22391:B2 0.000113968 +189 *2266:13 *22369:B2 3.81654e-05 +190 *2331:8 *22369:B2 0.000153324 +191 *2428:117 *3146:26 0.000211594 +192 *2452:14 *3146:181 0 +193 *2505:75 *3146:65 0.000215962 +194 *2541:23 *22259:B2 2.49093e-05 +195 *2541:23 *3146:221 5.05032e-05 +196 *2542:28 *3146:157 2.01653e-05 +197 *2543:28 *3146:67 3.51505e-05 +198 *2551:55 *3146:128 9.07365e-05 +199 *2571:15 *3146:65 0.000376519 +200 *2593:14 *22501:B2 0.000129108 +201 *2598:17 *3146:65 5.71321e-06 +202 *2611:19 *3146:29 0.00302183 +203 *2614:55 *3146:65 3.36054e-05 +204 *2619:71 *3146:38 0.00106849 +205 *2637:11 *3146:7 1.19726e-05 +206 *2637:21 *3146:7 0.000160653 +207 *2690:23 *3146:7 0.000164829 +208 *2694:36 *22185:C 4.49912e-05 +209 *2703:60 *3146:65 0.0021505 +210 *2723:19 *22479:B2 3.70528e-06 +211 *2723:19 *3146:65 9.58129e-05 +212 *2723:19 *3146:67 7.00536e-05 +213 *2724:13 *3146:67 0.00119082 +214 *2770:46 *22281:B2 1.92481e-05 +215 *2806:8 *3146:221 3.39118e-05 +216 *2806:14 *3146:181 3.57291e-06 +217 *2807:19 *3146:116 0.000110306 +218 *2819:38 *3146:181 4.20449e-05 +219 *2822:8 *22220:B2 1.5714e-05 +220 *2827:29 *3146:181 0.000206594 +221 *2852:27 *22457:B2 7.92757e-06 +222 *2852:49 *22457:B2 6.3657e-05 +223 *2893:14 *3146:181 0.000400628 +224 *2893:20 *3146:181 0.000482392 +225 *2918:16 *22220:B2 0.000260662 +226 *2919:20 *3146:200 4.0039e-05 +227 *2950:76 *3146:221 0.000159594 +228 *2956:10 *22259:B2 2.26985e-05 +229 *2956:18 *22220:B2 1.86819e-05 +230 *2956:18 *3146:181 3.17436e-05 +231 *2997:14 *3146:65 6.03122e-05 +232 *3002:74 *3146:116 0.000771598 +233 *3005:21 *3146:38 0.00110644 +234 *3005:35 *3146:29 1.01508e-05 +235 *3005:35 *3146:38 0.00173447 +236 *3005:64 *3146:29 0.000110297 +237 *3005:64 *3146:38 1.57126e-05 +238 *3011:55 *3146:157 0.000644406 +239 *3020:56 *3146:157 1.66771e-05 +240 *3022:27 *22220:B2 1.94055e-05 +241 *3022:27 *3146:181 4.28256e-05 +242 *3022:45 *22220:B2 1.97958e-05 +243 *3023:17 *22435:B2 3.10949e-05 +244 *3028:50 *3146:65 0.000183884 +245 *3036:31 *22259:B2 1.72399e-05 +246 *3036:31 *3146:221 6.77316e-05 +247 *3044:33 *3146:157 2.74381e-05 +248 *3046:14 *22259:B2 1.5714e-05 +249 *3064:20 *3146:157 0.000259794 +250 *3066:26 *3146:65 5.90231e-05 +251 *3106:100 *3146:67 3.77568e-05 +252 *3106:149 *3146:92 1.62539e-05 +253 *3106:152 *22501:B2 0.000114186 +254 *3122:248 *3146:78 1.83806e-05 +255 *3122:248 *3146:92 0.000235405 +256 *3122:256 *3146:67 0.0010556 +257 *3122:256 *3146:78 0.000806467 +258 *3126:154 *3146:29 0.00388599 +259 *3131:45 *3146:67 7.37035e-05 +260 *3131:66 *3146:67 1.3706e-05 +261 *3134:5 *22185:C 6.08467e-05 +262 *3134:5 *3146:7 0.000776313 +263 *3134:5 *3146:26 3.03403e-05 +264 *3134:9 *3146:26 0.000291969 +265 *3134:20 *3146:26 0.000579558 +266 *3134:62 *3146:65 0.000325226 +267 *3134:72 *22479:B2 1.32328e-05 +268 *3134:72 *3146:67 0.000532545 +269 *3134:107 *22501:B2 9.17656e-06 +270 *3134:194 *22220:B2 2.79054e-05 +271 *3142:21 *3146:26 0.000107496 +272 *3142:32 *3146:26 0.00126586 +*RES +1 *22182:X *3146:7 31.0716 +2 *3146:7 *22185:C 19.974 +3 *3146:7 *3146:26 46.6533 +4 *3146:26 *3146:29 46.225 +5 *3146:29 *3146:38 43.5876 +6 *3146:38 *22435:B2 15.8349 +7 *3146:38 *3146:65 42.8946 +8 *3146:65 *3146:67 36.9208 +9 *3146:67 *22457:B2 15.0271 +10 *3146:67 *3146:78 18.3444 +11 *3146:78 *22413:B2 14.7378 +12 *3146:78 *3146:92 16.6478 +13 *3146:92 *22501:B2 25.012 +14 *3146:92 *3146:116 39.401 +15 *3146:116 *3146:128 48.9309 +16 *3146:128 *22325:B2 9.82786 +17 *3146:128 *3146:157 49.6665 +18 *3146:157 *3146:159 2.24725 +19 *3146:159 *22281:B2 17.2154 +20 *3146:159 *3146:181 10.7658 +21 *3146:181 *22220:B2 24.7087 +22 *3146:181 *3146:200 2.97547 +23 *3146:200 *22259:B2 18.0554 +24 *3146:200 *3146:221 25.634 +25 *3146:221 *22369:B2 21.7421 +26 *3146:221 *3146:233 19.6431 +27 *3146:233 *22303:B2 20.4786 +28 *3146:233 *3146:245 3.493 +29 *3146:245 *22391:B2 15.0271 +30 *3146:245 *22347:B2 19.2329 +31 *3146:65 *22479:B2 14.78 +*END + +*D_NET *3147 0.00117401 +*CONN +*I *22184:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *22183:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22184:A 0.000152287 +2 *22183:X 0.000152287 +3 *22184:A *3148:10 0.000387391 +4 *22146:A *22184:A 8.86331e-05 +5 *22152:A *22184:A 0.000247443 +6 *22163:B *22184:A 8.86331e-05 +7 *482:28 *22184:A 5.73392e-05 +*RES +1 *22183:X *22184:A 33.9359 +*END + +*D_NET *3148 0.119901 +*CONN +*I *22185:D I *D sky130_fd_sc_hd__and4_1 +*I *22323:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22455:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22477:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22499:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22411:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22433:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22389:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22367:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22279:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22218:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22257:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22345:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22301:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22184:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *22185:D 9.21823e-05 +2 *22323:B2 9.84008e-05 +3 *22455:B2 0 +4 *22477:B2 4.5489e-05 +5 *22499:B2 0 +6 *22411:B2 0 +7 *22433:B2 0.000161067 +8 *22389:B2 0.000478731 +9 *22367:B2 0 +10 *22279:B2 0.00024586 +11 *22218:B2 0.00109187 +12 *22257:B2 0.000141134 +13 *22345:B2 0.00057788 +14 *22301:B2 8.11811e-05 +15 *22184:X 0.000671955 +16 *3148:289 0.00134068 +17 *3148:275 0.00146199 +18 *3148:254 0.000478586 +19 *3148:253 0.0017313 +20 *3148:250 0.00229809 +21 *3148:239 0.0019778 +22 *3148:226 0.00508862 +23 *3148:206 0.00535191 +24 *3148:183 0.00268132 +25 *3148:117 0.00173262 +26 *3148:106 0.00179316 +27 *3148:100 0.00161871 +28 *3148:91 0.00158253 +29 *3148:72 0.0052861 +30 *3148:48 0.00425663 +31 *3148:46 0.00356723 +32 *3148:24 0.00255135 +33 *3148:20 0.00109177 +34 *3148:10 0.00152468 +35 *22185:D *22195:D 1.02986e-05 +36 *22185:D *3483:86 3.74344e-05 +37 *22185:D *3483:87 1.5714e-05 +38 *22218:B2 *22218:C1 3.01683e-06 +39 *22218:B2 *22225:B 0.000442634 +40 *22218:B2 *3175:131 1.03403e-05 +41 *22218:B2 *3175:143 0.000144518 +42 *22257:B2 *3173:33 6.65505e-05 +43 *22279:B2 *22279:A2 0.000110306 +44 *22279:B2 *3215:10 0.000110473 +45 *22301:B2 *22301:A1 0.000146012 +46 *22301:B2 *22301:A2 2.71504e-05 +47 *22301:B2 *4814:77 2.55312e-06 +48 *22323:B2 *22322:A2 0.000254551 +49 *22323:B2 *22323:C1 6.8552e-05 +50 *22323:B2 *3179:167 3.41459e-05 +51 *22345:B2 *22345:A2 0.000107496 +52 *22345:B2 *22345:C1 1.64789e-05 +53 *22345:B2 *3541:56 0.000104754 +54 *22345:B2 *3663:10 4.04556e-05 +55 *22389:B2 *22389:C1 3.98474e-05 +56 *22389:B2 *3177:315 0.000128739 +57 *22433:B2 *22410:A2 1.41976e-05 +58 *22433:B2 *22411:A2 0.000425843 +59 *22433:B2 *22416:B 7.92757e-06 +60 *22477:B2 *22477:A2 3.27454e-05 +61 *3148:10 *3491:8 0.000140485 +62 *3148:20 *3155:11 1.29665e-05 +63 *3148:20 *3483:86 0.000422888 +64 *3148:24 *22195:D 0.000170997 +65 *3148:24 *3483:86 0.000303987 +66 *3148:46 *22643:A1 0.000148311 +67 *3148:46 *3151:153 2.35827e-05 +68 *3148:46 *3179:134 3.91711e-05 +69 *3148:46 *3179:136 7.67208e-05 +70 *3148:46 *3198:23 4.65969e-05 +71 *3148:46 *3483:86 8.04172e-05 +72 *3148:46 *3496:142 3.17436e-05 +73 *3148:46 *3519:24 6.77316e-05 +74 *3148:46 *4824:153 6.21462e-05 +75 *3148:46 *4824:180 0.00188414 +76 *3148:72 *22301:A2 0.000107101 +77 *3148:72 *22714:A2 0.000159964 +78 *3148:72 *3496:142 0.000211993 +79 *3148:72 *3496:155 0.00103109 +80 *3148:72 *3531:43 0.000911435 +81 *3148:72 *3543:15 6.0628e-05 +82 *3148:72 *4812:38 0.000848435 +83 *3148:72 *4815:98 8.3051e-06 +84 *3148:72 *4827:79 0.000516457 +85 *3148:72 *5909:38 0.000509708 +86 *3148:91 *4812:38 0.00104471 +87 *3148:100 *3175:195 0.000590475 +88 *3148:100 *3177:327 0.000642085 +89 *3148:100 *3179:233 0.000673064 +90 *3148:106 *22272:B 0.000154145 +91 *3148:106 *22367:C1 0.000535437 +92 *3148:106 *3177:327 3.03403e-05 +93 *3148:106 *3179:243 5.13871e-05 +94 *3148:117 *22272:B 0.000107496 +95 *3148:117 *3175:163 0.000368462 +96 *3148:117 *3177:339 0.000111709 +97 *3148:183 *21624:A1 0.000107496 +98 *3148:183 *3151:153 1.24189e-05 +99 *3148:183 *3179:136 3.44695e-05 +100 *3148:183 *3204:299 0.000167017 +101 *3148:183 *3206:146 1.34424e-05 +102 *3148:183 *3481:136 6.87503e-05 +103 *3148:183 *3502:130 1.88152e-05 +104 *3148:183 *3509:42 0.000170428 +105 *3148:183 *3582:10 6.51527e-05 +106 *3148:206 *22322:A2 0.000779736 +107 *3148:206 *22338:A 3.63738e-05 +108 *3148:206 *22539:B2 0.000106559 +109 *3148:206 *22620:B2 1.8552e-05 +110 *3148:206 *22664:A2 7.36063e-05 +111 *3148:206 *3179:167 0.000898621 +112 *3148:206 *3485:163 3.29488e-05 +113 *3148:226 *21695:A1 0.00139271 +114 *3148:226 *22323:C1 4.79321e-06 +115 *3148:226 *22482:B 6.22732e-05 +116 *3148:226 *3175:219 1.60578e-06 +117 *3148:226 *3179:167 0.000107496 +118 *3148:226 *3394:26 0.000365628 +119 *3148:226 *3427:28 0.000172494 +120 *3148:226 *3509:60 0.000161768 +121 *3148:226 *4825:146 0.000426056 +122 *3148:239 *3168:78 0.000119034 +123 *3148:239 *3507:78 5.01835e-05 +124 *3148:239 *3509:81 0.00125141 +125 *3148:239 *3509:91 0.000165508 +126 *3148:239 *3513:98 0.000108668 +127 *3148:250 *22499:A2 0 +128 *3148:250 *22806:B2 0.000107496 +129 *3148:253 *3208:83 0.00113758 +130 *3148:254 *22410:A2 8.93134e-05 +131 *3148:254 *22410:B2 6.50586e-05 +132 *3148:254 *22411:C1 6.3947e-05 +133 *3148:254 *3373:48 0.000843793 +134 *3148:275 *22796:C 3.54013e-05 +135 *3148:275 *3511:104 9.70609e-05 +136 *3148:289 *22455:A2 9.93087e-05 +137 *3148:289 *22471:B2 1.5714e-05 +138 *3148:289 *22796:C 2.19276e-05 +139 *3148:289 *3155:107 0.000657136 +140 *3148:289 *3155:116 5.01718e-05 +141 *3148:289 *3168:97 0.000567949 +142 *3148:289 *3175:87 0 +143 *3148:289 *3511:93 8.61448e-05 +144 *3148:289 *3511:104 0.00011537 +145 *3148:289 *3543:192 0.00105231 +146 *19970:B2 *3148:253 8.94611e-05 +147 *20605:B *3148:253 9.12929e-05 +148 *21058:A *3148:20 0.00153308 +149 *21487:B1 *3148:226 5.75152e-05 +150 *22148:B *3148:20 0.000346643 +151 *22152:A *3148:10 1.65872e-05 +152 *22165:A *3148:46 0.000571055 +153 *22184:A *3148:10 0.000387391 +154 *22185:B *22185:D 3.88655e-06 +155 *22195:B *22185:D 6.36477e-05 +156 *22196:C *3148:24 1.70194e-05 +157 *22218:A1 *22218:B2 2.65667e-05 +158 *22257:A1 *22257:B2 5.01835e-05 +159 *22322:B1 *3148:226 0.000251037 +160 *22323:B1 *22323:B2 6.08467e-05 +161 *22334:A2 *3148:46 5.69128e-05 +162 *22340:A1 *22389:B2 1.27402e-05 +163 *22367:B1 *3148:100 5.51483e-06 +164 *22367:B1 *3148:106 5.91958e-05 +165 *22371:B2 *3148:100 6.098e-05 +166 *22376:A1 *3148:72 0.000811527 +167 *22378:A1 *3148:72 0.00181461 +168 *22410:B1 *3148:254 0.000546741 +169 *22433:B1 *22433:B2 0.000313311 +170 *22473:B1 *3148:226 0.00070754 +171 *22473:B1 *3148:239 3.52699e-05 +172 *22477:A1 *3148:289 0.000104298 +173 *22486:A1 *3148:226 0.00159493 +174 *22499:A1 *3148:250 0.000147877 +175 *22499:B1 *3148:250 5.88634e-05 +176 *22591:D *3148:46 0.000108986 +177 *22620:A1 *3148:206 6.36477e-05 +178 *22620:B1 *3148:206 0.00031596 +179 *22686:B1 *3148:72 6.55666e-06 +180 *22686:B1 *3148:91 0.000186953 +181 *22794:A1 *3148:289 9.02963e-06 +182 *22806:A1 *3148:250 3.07848e-05 +183 *22811:B1 *3148:253 0.000343361 +184 *482:28 *3148:10 4.75654e-05 +185 *482:34 *3148:20 0.00215015 +186 *510:41 *22389:B2 0.000290495 +187 *520:17 *3148:206 0 +188 *520:17 *3148:226 0.000294316 +189 *520:27 *3148:206 0.000499228 +190 *541:28 *3148:10 5.67796e-06 +191 *541:35 *3148:10 5.54585e-05 +192 *549:23 *3148:46 0.000465546 +193 *1454:46 *3148:253 0.000348563 +194 *1454:55 *3148:253 0.000449206 +195 *1459:87 *3148:250 0.000227352 +196 *1541:128 *3148:253 0.000159672 +197 *1541:169 *3148:226 1.98642e-05 +198 *1552:70 *3148:206 3.58315e-06 +199 *1576:31 *3148:206 0.000620637 +200 *1583:35 *3148:206 0.000239964 +201 *1584:49 *3148:226 0.000211562 +202 *1597:45 *3148:253 0.000108642 +203 *1598:46 *3148:226 0.000129091 +204 *1610:33 *3148:183 0.000401622 +205 *1610:37 *3148:183 0.00025046 +206 *1610:115 *3148:72 0.000266951 +207 *1612:24 *22345:B2 0.000156418 +208 *1635:120 *22218:B2 0.000788513 +209 *1635:120 *3148:117 0.000884052 +210 *1645:94 *3148:72 0.0020371 +211 *1647:121 *3148:106 0.000156946 +212 *1652:95 *22279:B2 0.000154145 +213 *1654:29 *22218:B2 0.000156955 +214 *1655:29 *3148:226 3.77568e-05 +215 *1663:27 *22389:B2 0.000524892 +216 *1663:27 *3148:100 0.000804755 +217 *1688:75 *22345:B2 0.000190176 +218 *1688:117 *3148:226 2.73172e-05 +219 *1706:46 *3148:254 9.05137e-05 +220 *1723:62 *3148:226 0.000226438 +221 *1723:100 *3148:289 0.000112863 +222 *1730:240 *3148:239 0.000266688 +223 *1761:40 *3148:253 3.39248e-05 +224 *1762:86 *3148:226 1.71257e-05 +225 *1771:131 *3148:117 3.25963e-05 +226 *1773:18 *3148:253 9.98979e-05 +227 *1776:26 *3148:289 3.07176e-05 +228 *1793:14 *3148:253 3.55968e-05 +229 *2093:10 *3148:20 1.00009e-05 +230 *2244:73 *3148:72 0.000230852 +231 *2250:55 *22389:B2 0.00017294 +232 *2258:62 *3148:72 7.13992e-06 +233 *2298:30 *3148:91 0.000454292 +234 *2306:48 *22345:B2 4.04556e-05 +235 *2337:22 *22389:B2 4.79233e-05 +236 *2337:22 *3148:100 3.11366e-05 +237 *2348:15 *3148:106 2.02957e-05 +238 *2359:27 *3148:72 0.00169692 +239 *2404:60 *3148:72 0.000487995 +240 *2418:28 *3148:72 7.89542e-06 +241 *2448:97 *22345:B2 7.92757e-06 +242 *2468:37 *22345:B2 0.000266098 +243 *2488:14 *3148:100 6.098e-05 +244 *2503:99 *22323:B2 0.000117307 +245 *2514:45 *3148:253 0.000575396 +246 *2536:28 *3148:226 0.000350684 +247 *2536:28 *3148:239 0.000309362 +248 *2583:27 *3148:239 5.01835e-05 +249 *2583:27 *3148:250 0.000105515 +250 *2589:38 *22257:B2 0.000200794 +251 *2598:17 *3148:239 0.000250775 +252 *2613:85 *3148:206 5.26861e-05 +253 *2631:76 *3148:206 0.000107496 +254 *2649:51 *22477:B2 3.82228e-05 +255 *2723:19 *22477:B2 7.92757e-06 +256 *2732:47 *3148:239 0.00179119 +257 *2750:28 *3148:289 5.50678e-05 +258 *2753:21 *3148:239 0.000303941 +259 *2820:62 *22279:B2 7.92757e-06 +260 *2828:33 *3148:289 5.20546e-06 +261 *2869:32 *22279:B2 0.000244959 +262 *2869:32 *3148:117 0.000206648 +263 *2906:8 *22279:B2 4.23378e-05 +264 *2919:42 *3148:206 0.000253434 +265 *2984:24 *22257:B2 1.94327e-05 +266 *2984:24 *3148:117 1.35264e-05 +267 *3019:23 *3148:226 0.000106353 +268 *3033:50 *3148:226 0.000572229 +269 *3046:29 *22257:B2 9.96332e-05 +270 *3046:29 *3148:117 4.42033e-05 +271 *3071:67 *3148:226 4.12977e-05 +272 *3104:37 *3148:20 9.32983e-05 +273 *3111:28 *3148:46 0.00118769 +274 *3112:8 *3148:20 1.47488e-05 +275 *3114:15 *3148:20 0.000107496 +276 *3114:102 *22301:B2 0.000200785 +277 *3114:102 *3148:72 0.000300565 +278 *3114:102 *3148:183 0.000593957 +279 *3114:275 *22389:B2 0.000205387 +280 *3116:17 *3148:10 6.85778e-05 +281 *3128:26 *3148:46 0.000539554 +282 *3128:46 *3148:46 0.000733203 +283 *3136:29 *3148:46 6.17493e-05 +284 *3136:169 *3148:72 0.000889084 +285 *3136:248 *3148:72 0.000116276 +286 *3139:156 *3148:46 0.00125403 +287 *3139:156 *3148:72 9.55484e-05 +288 *3139:176 *3148:72 0.000671528 +289 *3144:92 *3148:20 0.000388646 +*RES +1 *22184:X *3148:10 29.8147 +2 *3148:10 *3148:20 49.5891 +3 *3148:20 *3148:24 6.71732 +4 *3148:24 *3148:46 18.9476 +5 *3148:46 *3148:48 4.5 +6 *3148:48 *22301:B2 12.9385 +7 *3148:48 *3148:72 48.5125 +8 *3148:72 *22345:B2 21.8587 +9 *3148:72 *3148:91 2.82745 +10 *3148:91 *3148:100 20.9673 +11 *3148:100 *3148:106 17.8197 +12 *3148:106 *3148:117 30.2547 +13 *3148:117 *22257:B2 18.3836 +14 *3148:117 *22218:B2 35.7645 +15 *3148:106 *22279:B2 25.8145 +16 *3148:100 *22367:B2 9.24915 +17 *3148:91 *22389:B2 24.5369 +18 *3148:46 *3148:183 46.9114 +19 *3148:183 *3148:206 36.8612 +20 *3148:206 *3148:226 25.4854 +21 *3148:226 *3148:239 14.8612 +22 *3148:239 *3148:250 19.8842 +23 *3148:250 *3148:253 47.0267 +24 *3148:253 *3148:254 13.4793 +25 *3148:254 *22433:B2 15.5668 +26 *3148:254 *22411:B2 9.24915 +27 *3148:239 *22499:B2 9.24915 +28 *3148:226 *3148:275 5.40841 +29 *3148:275 *22477:B2 15.0271 +30 *3148:275 *3148:289 42.1 +31 *3148:289 *22455:B2 9.24915 +32 *3148:206 *22323:B2 13.7342 +33 *3148:24 *22185:D 15.6817 +*END + +*D_NET *3149 0.000714993 +*CONN +*I *22195:C I *D sky130_fd_sc_hd__and4_1 +*I *22185:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22195:C 0.000167293 +2 *22185:X 0.000167293 +3 *22195:C *22195:D 1.65872e-05 +4 *22195:C *3483:87 1.94347e-05 +5 *22195:B *22195:C 6.36477e-05 +6 *2093:10 *22195:C 6.1438e-05 +7 *3134:5 *22195:C 0.000107496 +8 *3146:7 *22195:C 0.000111802 +*RES +1 *22185:X *22195:C 31.471 +*END + +*D_NET *3150 0.000643501 +*CONN +*I *22187:A I *D sky130_fd_sc_hd__buf_6 +*I *22186:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22187:A 0.000135435 +2 *22186:X 0.000135435 +3 *22187:A *4827:29 0.000135958 +4 *506:27 *22187:A 2.81088e-05 +5 *2122:34 *22187:A 0.000208564 +*RES +1 *22186:X *22187:A 31.8218 +*END + +*D_NET *3151 0.0741719 +*CONN +*I *22255:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22387:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22343:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22210:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22277:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22365:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22299:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22321:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22497:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22431:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22409:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22453:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22475:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22194:A I *D sky130_fd_sc_hd__and4_1 +*I *22187:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22255:B2 0.00023089 +2 *22387:B2 0.000425447 +3 *22343:B2 0 +4 *22210:B2 0.000717531 +5 *22277:B2 0 +6 *22365:B2 0.000125625 +7 *22299:B2 0 +8 *22321:B2 2.3034e-05 +9 *22497:B2 0 +10 *22431:B2 6.90588e-05 +11 *22409:B2 4.54735e-05 +12 *22453:B2 0.00010673 +13 *22475:B2 0.000210529 +14 *22194:A 0 +15 *22187:X 0.000531028 +16 *3151:216 0.00219235 +17 *3151:195 0.00368753 +18 *3151:187 0.00167829 +19 *3151:176 0.00125851 +20 *3151:154 0.00134924 +21 *3151:153 0.0018661 +22 *3151:141 0.00340179 +23 *3151:64 0.00096291 +24 *3151:59 0.000796433 +25 *3151:57 0.000550825 +26 *3151:53 0.00126088 +27 *3151:40 0.0020452 +28 *3151:39 0.00288284 +29 *3151:37 0.00169047 +30 *3151:17 0.000706576 +31 *3151:8 0.00292807 +32 *22210:B2 *22773:A2 5.78635e-05 +33 *22210:B2 *3172:6 1.09233e-05 +34 *22255:B2 *22255:C1 5.14247e-05 +35 *22255:B2 *3537:41 4.69319e-05 +36 *22365:B2 *3539:27 0.000107496 +37 *22387:B2 *22387:C1 0.000107496 +38 *22387:B2 *3342:12 8.08437e-05 +39 *22409:B2 *22409:C1 6.16576e-07 +40 *22409:B2 *3364:23 2.33514e-05 +41 *22431:B2 *3157:43 0.000258847 +42 *22453:B2 *22453:C1 6.74053e-05 +43 *22453:B2 *3406:10 8.03463e-05 +44 *22453:B2 *3498:35 7.54269e-06 +45 *22475:B2 *22453:C1 1.07037e-05 +46 *22475:B2 *22765:C1 9.7868e-05 +47 *22475:B2 *3427:28 0.000158357 +48 *22475:B2 *3498:24 6.23715e-06 +49 *3151:8 *22201:A 0.000296185 +50 *3151:8 *3204:107 0.000111469 +51 *3151:8 *3498:11 6.50586e-05 +52 *3151:17 *22194:B 6.08467e-05 +53 *3151:17 *3157:37 3.07561e-05 +54 *3151:17 *3179:27 0.000597616 +55 *3151:17 *3496:29 6.73504e-05 +56 *3151:17 *5384:13 0.000200794 +57 *3151:17 *5476:69 0.000822953 +58 *3151:37 *22191:A 6.27065e-05 +59 *3151:37 *3155:11 4.63742e-05 +60 *3151:37 *3157:21 0.000152335 +61 *3151:37 *3204:107 6.75121e-06 +62 *3151:37 *3204:122 3.36988e-05 +63 *3151:37 *4827:32 0.00054146 +64 *3151:40 *22638:B2 0.000164829 +65 *3151:53 *22321:C1 9.23062e-05 +66 *3151:64 *3498:35 0.000200794 +67 *3151:141 *22638:A2 1.41976e-05 +68 *3151:141 *22638:B2 0.000121511 +69 *3151:141 *22653:A 0 +70 *3151:141 *3196:23 0.000143328 +71 *3151:141 *3204:140 0.000129818 +72 *3151:141 *3479:66 1.84769e-05 +73 *3151:141 *3507:19 0.00105838 +74 *3151:141 *3509:24 0.00036675 +75 *3151:141 *3519:24 0.000111938 +76 *3151:141 *3521:26 0.000157659 +77 *3151:141 *3527:16 9.64548e-06 +78 *3151:141 *3529:13 4.0083e-05 +79 *3151:141 *4807:107 6.31996e-05 +80 *3151:141 *4827:32 1.15929e-05 +81 *3151:141 *4827:51 5.5241e-05 +82 *3151:153 *3179:136 2.43621e-05 +83 *3151:153 *3179:190 0.000187311 +84 *3151:153 *4807:107 2.21587e-05 +85 *3151:153 *4809:17 0.000343703 +86 *3151:154 *3502:138 0.000250496 +87 *3151:154 *3533:38 0.000253907 +88 *3151:176 *3208:150 0.000298399 +89 *3151:176 *3502:138 6.51637e-05 +90 *3151:176 *3533:38 5.8067e-05 +91 *3151:176 *3539:48 0.000438346 +92 *3151:176 *4804:96 0.000301181 +93 *3151:187 *24914:A 0.000110297 +94 *3151:187 *3537:41 7.17919e-05 +95 *3151:187 *3539:48 2.91336e-05 +96 *3151:187 *4853:18 4.91225e-06 +97 *3151:195 *3517:170 0.00192618 +98 *3151:195 *3517:197 0.000113478 +99 *3151:195 *5592:70 0.000153427 +100 *3151:216 *22343:C1 1.62258e-05 +101 *3151:216 *22694:A2 7.41735e-05 +102 *3151:216 *3476:154 1.05594e-05 +103 *3151:216 *3476:184 2.311e-05 +104 *3151:216 *3649:8 7.08288e-05 +105 *6171:DIODE *3151:40 1.92336e-05 +106 *19801:A1 *22210:B2 2.1203e-06 +107 *20613:B *3151:141 0.000679087 +108 *21058:A *3151:17 0.00149431 +109 *21188:B *22387:B2 8.67924e-06 +110 *21188:B *3151:216 0.000117082 +111 *21241:B1 *22387:B2 1.09551e-05 +112 *21320:A1 *3151:40 0.000248932 +113 *21320:A2 *3151:40 0.000546741 +114 *21320:B2 *3151:40 0.000172156 +115 *21321:C1 *3151:40 0.000205006 +116 *21583:B1 *3151:53 2.61147e-05 +117 *21658:B2 *3151:216 0.000153427 +118 *21900:D *22453:B2 2.60271e-05 +119 *21900:D *22475:B2 7.09666e-06 +120 *21994:B *3151:53 2.9733e-05 +121 *22040:B2 *22431:B2 9.21475e-05 +122 *22202:A *3151:37 0.000200794 +123 *22202:B *3151:37 5.04829e-06 +124 *22210:A2 *22210:B2 0.000962744 +125 *22255:A2 *22255:B2 4.88844e-05 +126 *22277:A1 *3151:176 6.36477e-05 +127 *22321:A1 *3151:40 2.29454e-05 +128 *22321:A1 *3151:53 3.04768e-05 +129 *22343:A2 *22387:B2 0.000192597 +130 *22365:B1 *22365:B2 6.9979e-05 +131 *22387:A2 *22387:B2 9.49926e-05 +132 *22387:B1 *22387:B2 3.15947e-05 +133 *22409:A2 *22409:B2 1.26359e-05 +134 *22409:B1 *22409:B2 3.34345e-05 +135 *22453:B1 *22453:B2 2.77247e-05 +136 *22475:A1 *22475:B2 6.08467e-05 +137 *22475:A2 *22475:B2 5.61527e-05 +138 *22475:B1 *22475:B2 9.95922e-06 +139 *22497:A1 *3151:53 4.04182e-05 +140 *22497:A2 *3151:53 8.03393e-06 +141 *22579:C *3151:141 0 +142 *22591:D *3151:141 0.000109796 +143 *22669:A1 *3151:195 0.000160624 +144 *22690:A1 *3151:216 7.30831e-05 +145 *22690:B1 *3151:216 6.03529e-05 +146 *22694:A1 *3151:216 0.00025175 +147 *22694:B1 *3151:216 0.000192211 +148 *22694:B2 *3151:216 3.82228e-05 +149 *531:16 *3151:141 0.000180443 +150 *543:12 *3151:57 0.000482722 +151 *543:12 *3151:59 0.000153309 +152 *543:12 *3151:64 0.00082427 +153 *1502:201 *3151:53 0.000934956 +154 *1551:67 *3151:37 3.42658e-05 +155 *1558:24 *3151:216 1.37602e-05 +156 *1608:43 *3151:176 0.000200794 +157 *1648:25 *3151:17 0.000347214 +158 *1717:106 *3151:216 0.00205753 +159 *1788:21 *3151:53 0.000187151 +160 *2091:48 *3151:8 5.60291e-06 +161 *2091:48 *3151:37 0.000810878 +162 *2091:57 *3151:37 4.34752e-05 +163 *2093:10 *3151:37 0.000101052 +164 *2111:30 *3151:8 5.52147e-05 +165 *2111:30 *3151:37 6.20921e-05 +166 *2122:34 *3151:8 0.000527771 +167 *2255:13 *3151:216 0.000439555 +168 *2258:64 *3151:153 0.000539094 +169 *2355:53 *3151:216 0.000201623 +170 *2378:41 *3151:17 0.000487786 +171 *2407:41 *3151:53 0.000448761 +172 *2409:33 *3151:216 7.22263e-05 +173 *2475:36 *22387:B2 8.43846e-05 +174 *2477:7 *22387:B2 1.34424e-05 +175 *2508:23 *3151:195 0.00203981 +176 *2527:24 *22255:B2 4.54069e-05 +177 *2529:24 *3151:53 0.000109018 +178 *2532:18 *3151:53 0.000424073 +179 *2590:17 *3151:57 7.92757e-06 +180 *2590:25 *3151:57 7.13972e-05 +181 *2629:37 *3151:141 0.000155692 +182 *2636:28 *3151:141 0.000103487 +183 *2641:51 *3151:53 0.000127548 +184 *2667:86 *3151:64 0.00024301 +185 *2667:93 *3151:53 2.70203e-05 +186 *2682:19 *3151:176 0.000443958 +187 *2682:27 *3151:187 0.000203604 +188 *2690:34 *3151:141 4.91694e-05 +189 *2693:25 *22475:B2 7.92757e-06 +190 *2800:25 *3151:17 0.00030645 +191 *2825:92 *22210:B2 5.85325e-05 +192 *2857:52 *22210:B2 0.00136228 +193 *2857:52 *3151:216 0.000459088 +194 *2887:11 *3151:153 8.64601e-05 +195 *2915:19 *22453:B2 6.36477e-05 +196 *2915:19 *3151:64 3.17103e-05 +197 *2931:26 *3151:53 8.83505e-05 +198 *2935:8 *3151:57 0.000484838 +199 *2935:8 *3151:59 0.000156864 +200 *2935:8 *3151:64 0.000439829 +201 *2935:13 *3151:64 2.15796e-05 +202 *2994:55 *3151:53 3.94335e-05 +203 *2994:55 *3151:57 6.3657e-05 +204 *3008:18 *3151:53 2.33193e-05 +205 *3052:28 *3151:37 9.67131e-06 +206 *3052:101 *22255:B2 0.000197934 +207 *3052:101 *3151:187 6.83813e-05 +208 *3053:21 *22409:B2 7.51385e-06 +209 *3071:26 *3151:64 7.08433e-05 +210 *3111:28 *3151:37 0.000240366 +211 *3114:15 *3151:37 1.38817e-05 +212 *3124:15 *3151:8 6.08467e-05 +213 *3124:35 *3151:40 0.000604049 +214 *3124:131 *3151:141 5.84166e-05 +215 *3124:131 *3151:153 3.12828e-05 +216 *3124:146 *3151:153 0.00101062 +217 *3124:174 *3151:176 0.000234195 +218 *3124:191 *3151:176 2.77625e-06 +219 *3124:191 *3151:187 9.77936e-05 +220 *3124:242 *22210:B2 0.000226438 +221 *3124:242 *22387:B2 3.99086e-06 +222 *3124:242 *3151:216 0.00203507 +223 *3131:13 *3151:37 0.000108658 +224 *3136:178 *3151:153 0.000566906 +225 *3136:180 *3151:153 1.41976e-05 +226 *3139:14 *3151:17 3.29841e-05 +227 *3139:156 *3151:141 0.00106592 +228 *3148:46 *3151:153 2.35827e-05 +229 *3148:183 *3151:153 1.24189e-05 +*RES +1 *22187:X *3151:8 28.3469 +2 *3151:8 *3151:17 45.0496 +3 *3151:17 *22194:A 9.24915 +4 *3151:8 *3151:37 49.254 +5 *3151:37 *3151:39 4.5 +6 *3151:39 *3151:40 30.1174 +7 *3151:40 *3151:53 47.0813 +8 *3151:53 *3151:57 17.1636 +9 *3151:57 *3151:59 3.43194 +10 *3151:59 *3151:64 24.5599 +11 *3151:64 *22475:B2 24.0169 +12 *3151:64 *22453:B2 13.2218 +13 *3151:59 *22409:B2 15.2803 +14 *3151:57 *22431:B2 16.691 +15 *3151:53 *22497:B2 9.24915 +16 *3151:40 *22321:B2 9.82786 +17 *3151:39 *3151:141 39.2818 +18 *3151:141 *22299:B2 13.7491 +19 *3151:141 *3151:153 45.0739 +20 *3151:153 *3151:154 5.56926 +21 *3151:154 *22365:B2 17.1491 +22 *3151:154 *3151:176 28.4867 +23 *3151:176 *22277:B2 9.24915 +24 *3151:176 *3151:187 11.7186 +25 *3151:187 *3151:195 9.44297 +26 *3151:195 *22210:B2 20.4039 +27 *3151:195 *3151:216 28.5971 +28 *3151:216 *22343:B2 9.24915 +29 *3151:216 *22387:B2 27.942 +30 *3151:187 *22255:B2 19.9086 +*END + +*D_NET *3152 0.00285525 +*CONN +*I *22189:A I *D sky130_fd_sc_hd__buf_8 +*I *22188:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22189:A 0.000498663 +2 *22188:X 0.000498663 +3 *22189:A *22228:A 2.81627e-06 +4 *22189:A *22228:B 3.88655e-06 +5 *22189:A *4830:71 0.000461951 +6 *22189:A *5909:24 6.36787e-05 +7 *22156:A *22189:A 0.000528766 +8 *22188:A *22189:A 6.08467e-05 +9 *22188:B *22189:A 8.79845e-05 +10 *482:28 *22189:A 0.000444738 +11 *1884:24 *22189:A 0.000203253 +*RES +1 *22188:X *22189:A 46.1176 +*END + +*D_NET *3153 0.106325 +*CONN +*I *22373:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22263:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22461:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22505:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22439:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22483:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22417:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22230:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22285:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22351:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22395:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22307:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22329:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22194:B I *D sky130_fd_sc_hd__and4_1 +*I *22189:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22373:B2 5.69153e-05 +2 *22263:B2 0.00220364 +3 *22461:B2 6.41293e-05 +4 *22505:B2 2.83056e-05 +5 *22439:B2 0.000121025 +6 *22483:B2 0.00013762 +7 *22417:B2 1.72755e-05 +8 *22230:B2 1.70958e-05 +9 *22285:B2 0 +10 *22351:B2 6.61981e-05 +11 *22395:B2 1.59099e-05 +12 *22307:B2 0.000190629 +13 *22329:B2 8.30155e-05 +14 *22194:B 0.000190633 +15 *22189:X 5.18222e-05 +16 *3153:266 0.00170767 +17 *3153:264 0.00182401 +18 *3153:260 0.00149735 +19 *3153:249 0.00150869 +20 *3153:244 0.00136567 +21 *3153:225 0.00272344 +22 *3153:204 0.00267166 +23 *3153:193 0.00465257 +24 *3153:177 0.00261323 +25 *3153:162 0.00299865 +26 *3153:137 0.00279382 +27 *3153:117 0.00138307 +28 *3153:112 0.00176509 +29 *3153:102 0.0029572 +30 *3153:101 0.00210921 +31 *3153:80 0.00218292 +32 *3153:71 0.0021662 +33 *3153:70 0.0003927 +34 *3153:65 0.00208622 +35 *3153:50 0.00360883 +36 *3153:26 0.00186245 +37 *3153:7 0.00337124 +38 *22194:B *3157:37 0.000158371 +39 *22194:B *3175:27 3.81675e-05 +40 *22230:B2 *22576:C1 2.16355e-05 +41 *22263:B2 *22264:C1 0.000154145 +42 *22263:B2 *22292:C1 1.5714e-05 +43 *22307:B2 *22308:C1 0.000113107 +44 *22307:B2 *4809:40 0.00037101 +45 *22373:B2 *3192:116 8.61693e-08 +46 *22395:B2 *22403:A 1.65872e-05 +47 *22461:B2 *3190:86 0.000212208 +48 *22483:B2 *4849:23 1.24778e-05 +49 *3153:26 *22194:C 2.01503e-05 +50 *3153:26 *3175:19 0.000158371 +51 *3153:26 *3481:77 1.66626e-05 +52 *3153:26 *3491:8 3.3239e-06 +53 *3153:65 *22590:A 0.000127961 +54 *3153:65 *3493:202 0.000356443 +55 *3153:65 *4908:14 5.04829e-06 +56 *3153:70 *3531:11 1.41976e-05 +57 *3153:70 *4809:40 0.000489302 +58 *3153:70 *4819:53 6.17421e-05 +59 *3153:80 *22330:B2 1.41976e-05 +60 *3153:80 *22337:A 0.000154145 +61 *3153:80 *3190:15 0.002773 +62 *3153:80 *3190:17 5.64066e-05 +63 *3153:102 *21030:A1 7.6125e-05 +64 *3153:102 *21841:A 3.20392e-05 +65 *3153:102 *21871:A 0.000118079 +66 *3153:102 *24491:RESET_B 8.18283e-05 +67 *3153:102 *3306:22 0.000851044 +68 *3153:102 *5857:151 0.000140767 +69 *3153:112 *20621:A1 0.000252278 +70 *3153:112 *20938:B1 1.9101e-05 +71 *3153:112 *21269:A 0.00025175 +72 *3153:112 *24491:RESET_B 3.88655e-06 +73 *3153:112 *4818:48 0.00034551 +74 *3153:112 *4906:115 8.86025e-05 +75 *3153:112 *5857:125 0.000618406 +76 *3153:117 *22403:A 0.000113323 +77 *3153:137 *21283:A1 6.22732e-06 +78 *3153:137 *22359:B 1.5714e-05 +79 *3153:137 *22396:B2 0.00123268 +80 *3153:137 *3343:19 4.11065e-05 +81 *3153:162 *22352:B2 3.88358e-05 +82 *3153:162 *22359:A 0.000156035 +83 *3153:162 *22374:B2 6.74955e-05 +84 *3153:162 *22381:A 6.40849e-05 +85 *3153:162 *22687:B2 0.000117746 +86 *3153:162 *3539:201 0.00116298 +87 *3153:177 *22706:B 5.04829e-06 +88 *3153:177 *22706:D 3.31745e-05 +89 *3153:177 *3192:116 1.61662e-05 +90 *3153:177 *3509:255 0.000458235 +91 *3153:177 *3519:250 0.000783394 +92 *3153:177 *3649:7 0.000158451 +93 *3153:193 *22575:A2 0.000358899 +94 *3153:193 *3233:22 0.000226438 +95 *3153:193 *3238:44 6.11348e-05 +96 *3153:193 *3521:206 0.00018294 +97 *3153:193 *3521:208 6.89782e-05 +98 *3153:193 *4860:17 0.000153427 +99 *3153:204 *3192:181 3.33768e-05 +100 *3153:225 *22230:A2 6.08467e-05 +101 *3153:225 *22231:B2 1.15389e-05 +102 *3153:225 *22576:C1 4.3564e-06 +103 *3153:244 *22506:B2 1.99266e-05 +104 *3153:244 *3543:156 1.80257e-05 +105 *3153:249 *22506:B2 8.6297e-06 +106 *3153:249 *3457:20 0.000181468 +107 *3153:260 *3162:106 0.000165555 +108 *3153:260 *3162:115 2.60879e-06 +109 *3153:264 *22440:C1 0.000127976 +110 *3153:266 *3162:102 3.06492e-05 +111 *3153:266 *3521:64 4.71094e-05 +112 *3153:266 *3537:88 1.5714e-05 +113 *3153:266 *3537:103 0.00016968 +114 *19832:A1 *22439:B2 0.000444819 +115 *19864:B2 *3153:204 1.94614e-05 +116 *19895:A2 *3153:193 0.000331062 +117 *19895:A2 *3153:204 0.000641412 +118 *20162:B *3153:26 0.000347166 +119 *20620:A2 *3153:101 1.58551e-05 +120 *20620:B2 *3153:101 6.08467e-05 +121 *20673:B *3153:260 1.04747e-05 +122 *20938:B2 *3153:112 5.1315e-05 +123 *21259:B2 *3153:137 3.30691e-05 +124 *21402:B2 *3153:162 7.09666e-06 +125 *21826:A1 *3153:266 0.000198509 +126 *21831:B1 *3153:225 0.000284682 +127 *22016:B2 *3153:260 0.000165577 +128 *22053:A2 *22439:B2 1.65872e-05 +129 *22142:A *3153:26 0.000777821 +130 *22146:A *3153:26 4.31337e-05 +131 *22147:A *3153:26 3.53857e-05 +132 *22165:D *3153:26 0.00114567 +133 *22230:A1 *3153:225 1.93301e-05 +134 *22230:B1 *3153:225 0.000523163 +135 *22263:B1 *22263:B2 6.08467e-05 +136 *22330:A1 *3153:80 6.08467e-05 +137 *22351:B1 *22351:B2 4.52728e-05 +138 *22352:B1 *3153:162 7.92757e-06 +139 *22355:A *3153:162 0.000147446 +140 *22373:A1 *3153:162 5.9036e-05 +141 *22373:B1 *22373:B2 3.15947e-05 +142 *22373:B1 *3153:162 2.35325e-05 +143 *22395:A1 *3153:117 0.000627744 +144 *22418:B1 *3153:225 1.65872e-05 +145 *22418:B1 *3153:244 7.92757e-06 +146 *22440:A1 *3153:264 1.02841e-05 +147 *22440:A1 *3153:266 9.34612e-05 +148 *22459:B1 *3153:266 4.51636e-05 +149 *22461:B1 *22461:B2 0.000110306 +150 *22483:B1 *22483:B2 0.000427438 +151 *22505:B1 *22505:B2 3.5063e-05 +152 *22505:B1 *3153:260 0.000154145 +153 *22506:A2 *22461:B2 3.95326e-05 +154 *22566:B1 *3153:225 1.88152e-05 +155 *22576:B1 *3153:204 0.000117191 +156 *22629:B1 *3153:204 9.06125e-05 +157 *22632:B1 *3153:244 8.27055e-05 +158 *22687:B1 *3153:162 0.000737995 +159 *22730:B1 *3153:225 0.000110458 +160 *22795:A1 *3153:266 2.77419e-05 +161 *24248:D *3153:50 9.85133e-05 +162 *24314:D *3153:112 0.000148576 +163 *512:38 *3153:102 1.98867e-05 +164 *512:56 *3153:50 0.00104316 +165 *518:46 *3153:26 9.11073e-05 +166 *518:46 *3153:50 0.00015186 +167 *533:8 *22194:B 0.000122784 +168 *1417:8 *3153:26 0.000123997 +169 *1419:239 *3153:50 0.000117698 +170 *1435:318 *3153:244 7.14746e-05 +171 *1454:74 *22483:B2 0.000487796 +172 *1538:38 *3153:260 0.000470128 +173 *1538:45 *3153:260 0.000462649 +174 *1545:66 *3153:102 4.87595e-05 +175 *1545:78 *3153:102 0.000223351 +176 *1547:26 *3153:266 0.000207135 +177 *1547:34 *3153:266 9.00364e-06 +178 *1551:72 *3153:7 7.89747e-05 +179 *1564:171 *3153:137 8.20799e-06 +180 *1576:28 *22307:B2 3.99086e-06 +181 *1582:81 *3153:266 9.59051e-06 +182 *1593:31 *3153:225 0.000154145 +183 *1602:74 *3153:244 7.50722e-05 +184 *1610:74 *3153:117 0.00016187 +185 *1618:104 *3153:204 0.000379259 +186 *1620:60 *22263:B2 0.000116287 +187 *1620:93 *22263:B2 0.000542679 +188 *1634:45 *3153:193 0.000115631 +189 *1648:10 *3153:26 0.00107164 +190 *1648:25 *22194:B 3.8122e-05 +191 *1649:189 *3153:112 0 +192 *1663:15 *3153:26 0.0029191 +193 *1750:15 *22307:B2 4.31603e-06 +194 *1784:71 *3153:193 0.000115078 +195 *1784:71 *3153:204 6.61294e-05 +196 *1790:130 *3153:225 0.000533101 +197 *1884:45 *3153:137 0.000942787 +198 *2178:40 *3153:102 0.000269542 +199 *2178:51 *3153:102 0.000365652 +200 *2178:62 *3153:102 0.000657578 +201 *2256:88 *3153:101 0.000652591 +202 *2262:102 *3153:112 0.000134839 +203 *2275:43 *3153:101 0.000649115 +204 *2275:56 *3153:112 0.000219785 +205 *2278:39 *3153:50 0.000327958 +206 *2282:9 *22395:B2 6.3657e-05 +207 *2282:9 *3153:117 0.000187416 +208 *2301:57 *3153:102 0.000137978 +209 *2301:57 *3153:112 0.000128904 +210 *2313:33 *3153:65 7.94462e-05 +211 *2332:13 *3153:112 0.000125877 +212 *2342:20 *3153:177 0.000111802 +213 *2352:8 *3153:177 2.00098e-05 +214 *2360:78 *3153:177 2.12421e-05 +215 *2370:8 *3153:177 2.05262e-05 +216 *2370:8 *3153:193 1.72968e-05 +217 *2379:58 *3153:50 0.00083456 +218 *2397:10 *3153:102 0.00035329 +219 *2452:29 *3153:117 6.08467e-05 +220 *2452:36 *3153:112 0.000538681 +221 *2454:11 *22351:B2 0.000157205 +222 *2454:23 *3153:137 1.66771e-05 +223 *2459:29 *3153:50 0.000214555 +224 *2460:51 *3153:137 6.21488e-06 +225 *2467:123 *3153:26 0.000347938 +226 *2467:123 *3153:50 5.46185e-05 +227 *2480:8 *3153:162 2.55661e-06 +228 *2508:11 *3153:177 0.000120138 +229 *2508:11 *3153:193 4.23622e-05 +230 *2535:13 *22505:B2 3.13805e-06 +231 *2535:13 *3153:249 2.59452e-05 +232 *2535:13 *3153:260 7.92757e-06 +233 *2535:36 *22505:B2 0 +234 *2535:36 *3153:249 4.24488e-05 +235 *2541:24 *22263:B2 1.91391e-05 +236 *2545:67 *3153:50 0.000214555 +237 *2589:53 *22263:B2 0.000104754 +238 *2601:40 *3153:204 1.66771e-05 +239 *2642:27 *3153:65 0.000263798 +240 *2642:27 *3153:102 0.000330875 +241 *2676:22 *3153:112 8.99936e-06 +242 *2684:24 *3153:266 6.74182e-05 +243 *2684:26 *3153:266 0.000343069 +244 *2685:42 *3153:266 0.000262418 +245 *2685:47 *3153:266 0.000341685 +246 *2765:39 *22461:B2 2.53145e-06 +247 *2778:13 *3153:260 0.000200794 +248 *2794:9 *22351:B2 2.92537e-06 +249 *2794:27 *22351:B2 2.16355e-05 +250 *2800:56 *22329:B2 0.000125536 +251 *2825:12 *22329:B2 0.000125379 +252 *2847:7 *3153:225 7.98171e-06 +253 *2854:21 *3153:266 5.80995e-05 +254 *2863:27 *3153:266 0.000254547 +255 *2863:40 *3153:266 0.000750225 +256 *2881:51 *3153:177 7.08288e-05 +257 *2883:28 *3153:244 0.000106446 +258 *2883:32 *3153:244 2.77564e-05 +259 *2883:32 *3153:249 0.00018906 +260 *2906:52 *3153:112 9.63502e-05 +261 *2906:82 *3153:7 0.000211492 +262 *2906:82 *3153:26 0.000116109 +263 *2930:17 *3153:50 3.04525e-06 +264 *2949:71 *3153:137 0.000109247 +265 *2950:96 *3153:26 0.000513138 +266 *2956:48 *3153:244 0.000158371 +267 *2956:62 *3153:260 0.000169627 +268 *2996:72 *22263:B2 4.12192e-05 +269 *3026:44 *22263:B2 0.000128845 +270 *3027:33 *22439:B2 0.000393863 +271 *3029:8 *3153:260 0.00111241 +272 *3029:10 *3153:260 0.000127147 +273 *3029:10 *3153:264 0.000219023 +274 *3029:33 *3153:264 3.55968e-05 +275 *3039:46 *3153:193 5.60804e-05 +276 *3064:13 *3153:137 3.17436e-05 +277 *3064:35 *22263:B2 0.0011223 +278 *3111:94 *3153:65 0.000194202 +279 *3114:22 *22194:B 0.000200794 +280 *3114:160 *3153:266 9.55103e-05 +281 *3114:180 *3153:266 0.00114048 +282 *3114:190 *3153:244 6.11074e-05 +283 *3120:109 *3153:162 9.17656e-06 +284 *3120:125 *3153:162 4.87997e-06 +285 *3120:130 *3153:193 0.000610058 +286 *3122:238 *3153:260 3.04433e-05 +287 *3122:238 *3153:264 8.5544e-05 +288 *3122:238 *3153:266 1.0927e-05 +289 *3126:12 *3153:65 0.00015618 +290 *3128:11 *3153:26 0.00019914 +291 *3128:155 *3153:260 7.12521e-06 +292 *3128:159 *3153:244 2.25583e-07 +293 *3139:96 *22194:B 0.00012967 +294 *3151:17 *22194:B 6.08467e-05 +*RES +1 *22189:X *3153:7 16.1364 +2 *3153:7 *3153:26 47.8245 +3 *3153:26 *22194:B 25.1315 +4 *3153:7 *3153:50 16.8728 +5 *3153:50 *3153:65 40.3835 +6 *3153:65 *3153:70 17.2065 +7 *3153:70 *3153:71 81.1229 +8 *3153:71 *3153:80 49.6055 +9 *3153:80 *22329:B2 16.4116 +10 *3153:65 *22307:B2 14.8434 +11 *3153:50 *3153:101 23.4612 +12 *3153:101 *3153:102 50.2089 +13 *3153:102 *3153:112 48.1082 +14 *3153:112 *3153:117 18.558 +15 *3153:117 *22395:B2 9.97254 +16 *3153:117 *3153:137 15.7588 +17 *3153:137 *22351:B2 16.3353 +18 *3153:137 *3153:162 47.0353 +19 *3153:162 *3153:177 36.4056 +20 *3153:177 *22285:B2 13.7491 +21 *3153:177 *3153:193 7.87575 +22 *3153:193 *3153:204 10.2312 +23 *3153:204 *22230:B2 9.97254 +24 *3153:204 *3153:225 31.95 +25 *3153:225 *22417:B2 9.82786 +26 *3153:225 *3153:244 29.5876 +27 *3153:244 *3153:249 11.7653 +28 *3153:249 *3153:260 46.8535 +29 *3153:260 *3153:264 6.92494 +30 *3153:264 *3153:266 48.1326 +31 *3153:266 *22483:B2 20.0427 +32 *3153:260 *22439:B2 19.4881 +33 *3153:249 *22505:B2 10.2378 +34 *3153:244 *22461:B2 16.7151 +35 *3153:193 *22263:B2 29.1806 +36 *3153:162 *22373:B2 11.136 +*END + +*D_NET *3154 0.000654929 +*CONN +*I *22191:A I *D sky130_fd_sc_hd__buf_8 +*I *22190:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22191:A 0.000162083 +2 *22190:X 0.000162083 +3 *22191:A *3155:11 1.83409e-05 +4 *3052:28 *22191:A 1.9101e-05 +5 *3114:15 *22191:A 0.000230615 +6 *3151:37 *22191:A 6.27065e-05 +*RES +1 *22190:X *22191:A 32.2371 +*END + +*D_NET *3155 0.0877141 +*CONN +*I *22194:C I *D sky130_fd_sc_hd__and4_1 +*I *22494:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22207:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22384:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22296:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22340:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22362:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22318:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22252:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22274:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22450:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22472:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22406:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22428:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22191:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22194:C 0.000154835 +2 *22494:A2 0.000471241 +3 *22207:A2 2.68163e-05 +4 *22384:A2 0.000202971 +5 *22296:A2 0 +6 *22340:A2 0.000546294 +7 *22362:A2 8.65008e-05 +8 *22318:A2 0.000253071 +9 *22252:A2 0 +10 *22274:A2 0.000158054 +11 *22450:A2 0 +12 *22472:A2 2.96806e-05 +13 *22406:A2 2.3354e-05 +14 *22428:A2 0 +15 *22191:X 0.000955594 +16 *3155:221 0.000242273 +17 *3155:207 0.000879805 +18 *3155:193 0.000889113 +19 *3155:178 0.00125776 +20 *3155:168 0.000919903 +21 *3155:146 0.0010437 +22 *3155:140 0.000980333 +23 *3155:139 0.002018 +24 *3155:127 0.00333794 +25 *3155:125 0.00231951 +26 *3155:116 0.0014912 +27 *3155:107 0.00155526 +28 *3155:104 0.00126004 +29 *3155:80 0.00082737 +30 *3155:77 0.00104759 +31 *3155:63 0.000576339 +32 *3155:62 0.00109471 +33 *3155:53 0.00167367 +34 *3155:36 0.00314209 +35 *3155:31 0.00340382 +36 *3155:24 0.0027245 +37 *3155:11 0.00290782 +38 *22194:C *3157:37 6.08467e-05 +39 *22194:C *3175:27 2.43314e-05 +40 *22194:C *3481:77 2.86797e-05 +41 *22207:A2 *22220:C1 6.08467e-05 +42 *22207:A2 *3157:166 5.67775e-05 +43 *22274:A2 *3157:180 6.08467e-05 +44 *22274:A2 *4942:22 1.53148e-05 +45 *22318:A2 *21092:B1 0.000133025 +46 *22318:A2 *3157:210 0.000490185 +47 *22318:A2 *3215:10 1.37531e-05 +48 *22340:A2 *22339:B2 0.000313139 +49 *22340:A2 *22340:B2 0.00034907 +50 *22340:A2 *22340:C1 9.07329e-05 +51 *22340:A2 *3175:195 7.19343e-06 +52 *22362:A2 *22025:A 7.92757e-06 +53 *22362:A2 *22361:A2 6.08467e-05 +54 *22384:A2 *22296:A1 3.31745e-05 +55 *22384:A2 *22297:C1 6.08467e-05 +56 *22384:A2 *5855:134 2.16355e-05 +57 *22406:A2 *22405:A2 1.01861e-05 +58 *22406:A2 *22406:B2 1.09526e-05 +59 *22472:A2 *22472:B2 6.50727e-05 +60 *22494:A2 *22494:B2 1.09551e-05 +61 *22494:A2 *22494:C1 9.90819e-05 +62 *22494:A2 *22495:C1 6.22259e-05 +63 *22494:A2 *3164:76 2.77073e-05 +64 *22494:A2 *3166:61 1.88014e-05 +65 *3155:11 *22193:A 0.000121456 +66 *3155:11 *3175:27 6.08467e-05 +67 *3155:24 *24235:CLK 0 +68 *3155:24 *3175:27 0.00210773 +69 *3155:24 *3500:89 0.000155429 +70 *3155:24 *4920:74 0.000412575 +71 *3155:24 *5476:51 9.59618e-06 +72 *3155:36 *22447:C 3.63593e-05 +73 *3155:36 *3442:14 3.33671e-05 +74 *3155:36 *3442:18 0.000126231 +75 *3155:36 *3498:90 0.000253916 +76 *3155:53 *22447:A 0.000337404 +77 *3155:53 *3683:8 0.000265236 +78 *3155:62 *22504:A 0.000250244 +79 *3155:62 *22504:B 0.000396003 +80 *3155:62 *22504:C 1.65872e-05 +81 *3155:62 *22504:D 0.000123072 +82 *3155:62 *3361:12 0.000325962 +83 *3155:62 *3453:8 0.000109262 +84 *3155:62 *3521:101 0.000399775 +85 *3155:62 *3695:14 0.000220141 +86 *3155:63 *3208:89 0.000345048 +87 *3155:77 *22428:B2 6.98337e-06 +88 *3155:77 *22428:C1 0 +89 *3155:77 *22494:C1 0.000110306 +90 *3155:77 *3157:75 8.37467e-05 +91 *3155:77 *3208:89 7.5301e-06 +92 *3155:77 *3511:104 0.000494564 +93 *3155:80 *22494:C1 3.88655e-06 +94 *3155:80 *3507:75 0.000118813 +95 *3155:80 *3511:104 8.68405e-05 +96 *3155:104 *22789:C1 0.000261716 +97 *3155:104 *3175:74 0.000300476 +98 *3155:104 *3175:87 0.000252993 +99 *3155:104 *3507:75 0.000126989 +100 *3155:104 *3511:93 0.000156676 +101 *3155:104 *3511:104 8.53319e-05 +102 *3155:107 *3166:82 1.11857e-05 +103 *3155:107 *3507:117 2.57465e-06 +104 *3155:116 *22450:B2 3.12828e-05 +105 *3155:116 *22450:C1 5.35941e-05 +106 *3155:116 *22471:B2 1.5714e-05 +107 *3155:116 *3164:112 1.44999e-05 +108 *3155:116 *3166:82 1.49713e-05 +109 *3155:116 *3166:97 3.10665e-05 +110 *3155:116 *3168:97 0.000894347 +111 *3155:116 *3539:94 5.96598e-05 +112 *3155:116 *3539:96 0.000183003 +113 *3155:116 *3543:192 7.83998e-06 +114 *3155:125 *22450:B2 0.000117236 +115 *3155:125 *3539:96 0.000470245 +116 *3155:125 *3539:109 0.000199108 +117 *3155:125 *3543:188 4.82647e-05 +118 *3155:125 *3543:192 7.8756e-07 +119 *3155:127 *20862:A1 0.000171508 +120 *3155:127 *22777:C1 0.000165952 +121 *3155:127 *22813:C1 0.000116493 +122 *3155:127 *3168:119 0.00134328 +123 *3155:127 *3537:110 3.20853e-05 +124 *3155:127 *3537:126 9.92046e-06 +125 *3155:127 *3539:109 0.000271858 +126 *3155:127 *3539:129 0.000158779 +127 *3155:127 *3539:140 0.000366497 +128 *3155:127 *3541:140 0.000499839 +129 *3155:127 *3541:148 5.11321e-05 +130 *3155:127 *5853:48 0.000311698 +131 *3155:139 *3168:119 8.67791e-05 +132 *3155:139 *4997:8 9.67697e-06 +133 *3155:139 *5853:48 0.00109411 +134 *3155:139 *5855:39 0.000144505 +135 *3155:139 *5861:72 0.000271624 +136 *3155:139 *5861:97 0.000203624 +137 *3155:139 *5866:59 7.65572e-05 +138 *3155:140 *22220:C1 0.000497926 +139 *3155:140 *3157:148 0.00010051 +140 *3155:140 *3157:166 7.34948e-06 +141 *3155:146 *5855:99 0.000142021 +142 *3155:168 *22252:C1 7.13972e-05 +143 *3155:168 *3157:180 0.000699205 +144 *3155:168 *3157:200 8.38449e-06 +145 *3155:168 *5855:99 9.68627e-06 +146 *3155:178 *22252:B2 3.08269e-07 +147 *3155:178 *22253:C1 7.38249e-05 +148 *3155:178 *22317:B2 0.000130292 +149 *3155:178 *22318:C1 0.000247443 +150 *3155:178 *3157:200 2.41274e-06 +151 *3155:178 *3166:155 8.93134e-05 +152 *3155:178 *5855:108 0.000536612 +153 *3155:193 *5855:108 6.08467e-05 +154 *3155:193 *5855:134 0.0017734 +155 *3155:207 *3157:225 0.000989075 +156 *3155:207 *5865:88 0.00105621 +157 *3155:221 *22340:B2 3.54325e-05 +158 *3155:221 *3157:239 1.91391e-05 +159 *19853:B2 *3155:168 6.15049e-05 +160 *20021:A2 *3155:107 7.01586e-06 +161 *21559:B2 *3155:127 5.38612e-06 +162 *21560:C1 *3155:62 1.4789e-05 +163 *21777:C1 *22472:A2 4.36818e-05 +164 *22165:D *22194:C 5.4678e-05 +165 *22191:A *3155:11 1.83409e-05 +166 *22192:B *3155:11 4.25005e-05 +167 *22208:B1 *3155:139 4.03478e-05 +168 *22219:A2 *3155:140 2.77625e-06 +169 *22219:B1 *3155:140 6.08467e-05 +170 *22219:B2 *3155:140 0.000205176 +171 *22220:A1 *3155:168 0.000577107 +172 *22252:B1 *3155:168 2.99287e-05 +173 *22274:B1 *22274:A2 6.3657e-05 +174 *22296:B1 *22384:A2 1.58551e-05 +175 *22317:B1 *3155:178 0.000158357 +176 *22318:A1 *22318:A2 0.000493634 +177 *22318:B1 *22318:A2 6.3657e-05 +178 *22340:A1 *22340:A2 1.47978e-05 +179 *22362:A1 *22362:A2 1.55025e-05 +180 *22384:B1 *22384:A2 6.08467e-05 +181 *22406:A1 *22406:A2 1.17376e-05 +182 *22406:B1 *3155:104 1.07248e-05 +183 *22427:A1 *3155:77 0 +184 *22455:B1 *3155:116 1.29445e-05 +185 *22472:B1 *3155:107 6.1578e-06 +186 *22472:B1 *3155:116 5.97855e-05 +187 *22495:B1 *3155:62 3.31745e-05 +188 *22740:A1 *3155:125 0.000316171 +189 *22776:A1 *3155:127 0.000272004 +190 *22791:B1 *3155:104 0.000212189 +191 *22794:A1 *3155:107 5.24006e-05 +192 *22808:B1 *3155:62 0.000151828 +193 *22813:B1 *3155:127 3.73096e-05 +194 *24454:D *3155:139 8.61131e-05 +195 *1462:25 *3155:63 1.54703e-05 +196 *1462:25 *3155:77 6.08467e-05 +197 *1471:226 *3155:62 0.000366621 +198 *1502:177 *3155:53 0.000158451 +199 *1546:29 *3155:125 1.35644e-05 +200 *1547:43 *3155:127 1.35926e-05 +201 *1552:52 *3155:31 0.000545589 +202 *1566:67 *3155:127 9.39114e-06 +203 *1575:39 *3155:127 0.000118745 +204 *1595:60 *3155:140 7.92757e-06 +205 *1603:59 *22406:A2 6.63707e-05 +206 *1603:59 *3155:104 9.66809e-05 +207 *1603:81 *22494:A2 0.000131696 +208 *1607:29 *3155:168 6.92705e-05 +209 *1609:26 *3155:146 0.000158371 +210 *1609:26 *3155:168 1.61631e-05 +211 *1610:162 *3155:168 0.000477707 +212 *1610:162 *3155:178 2.25371e-05 +213 *1611:8 *3155:146 0.000294093 +214 *1626:8 *3155:146 0.000114441 +215 *1655:220 *22384:A2 0.000194894 +216 *1662:25 *3155:36 0.00163534 +217 *1669:156 *3155:24 0.000375999 +218 *1698:130 *3155:36 0.000273725 +219 *1722:40 *3155:77 0.00026657 +220 *1729:19 *3155:146 6.63489e-05 +221 *1741:40 *22274:A2 5.65354e-05 +222 *1773:67 *3155:116 5.1493e-06 +223 *1776:26 *3155:107 0.000184859 +224 *1776:79 *3155:127 0.000319813 +225 *1788:162 *3155:146 8.03699e-06 +226 *1789:65 *3155:146 0.000294093 +227 *1789:68 *3155:146 8.95272e-05 +228 *1794:118 *3155:53 0.000283364 +229 *2263:77 *3155:207 1.2128e-05 +230 *2266:13 *3155:193 7.48886e-05 +231 *2266:13 *3155:207 3.3378e-06 +232 *2293:38 *22384:A2 0.000194894 +233 *2352:8 *22362:A2 9.19679e-05 +234 *2352:8 *3155:193 0.000190575 +235 *2357:61 *22340:A2 1.49077e-05 +236 *2368:23 *22194:C 0.000165602 +237 *2373:32 *22362:A2 9.65746e-05 +238 *2373:32 *3155:193 6.72969e-05 +239 *2407:22 *3155:53 0.000295854 +240 *2409:6 *22340:A2 0.000730491 +241 *2409:6 *3155:221 5.65463e-05 +242 *2522:39 *22494:A2 5.93186e-05 +243 *2536:8 *3155:127 1.82094e-05 +244 *2536:33 *22494:A2 1.06426e-05 +245 *2543:79 *3155:104 0.000202726 +246 *2545:55 *22384:A2 0.000251669 +247 *2553:60 *3155:139 7.54028e-05 +248 *2565:22 *3155:139 0.000275222 +249 *2611:19 *3155:31 0.00207235 +250 *2611:19 *3155:36 0.000352671 +251 *2614:55 *22494:A2 3.66329e-06 +252 *2619:76 *3155:53 0.00015364 +253 *2619:83 *3155:53 0.000201511 +254 *2619:83 *3155:62 0.000861809 +255 *2654:17 *3155:139 0.000640855 +256 *2660:94 *3155:107 0.000199128 +257 *2708:44 *3155:62 0.000332232 +258 *2724:24 *3155:104 0.000200794 +259 *2778:13 *3155:127 0.000145806 +260 *2793:17 *22472:A2 0.000158371 +261 *2797:50 *22274:A2 0.000107052 +262 *2837:49 *3155:125 4.82779e-06 +263 *2838:101 *3155:116 0.000101489 +264 *2862:17 *3155:116 0.000512833 +265 *2869:32 *22318:A2 0.000133025 +266 *2889:22 *3155:127 0 +267 *2932:15 *3155:53 5.481e-05 +268 *2959:35 *3155:53 5.7369e-06 +269 *2963:57 *3155:139 0.00174419 +270 *3002:26 *3155:53 7.83269e-05 +271 *3002:26 *3155:62 3.62659e-05 +272 *3007:19 *3155:53 5.481e-05 +273 *3022:24 *3155:178 0.000440512 +274 *3022:24 *3155:193 0.00181574 +275 *3058:22 *3155:104 7.82239e-06 +276 *3058:49 *3155:62 1.58551e-05 +277 *3058:49 *3155:63 0.000250244 +278 *3065:30 *3155:127 3.16792e-05 +279 *3114:15 *3155:11 1.86666e-05 +280 *3114:22 *3155:11 4.15216e-06 +281 *3126:154 *3155:31 7.28335e-05 +282 *3131:158 *3155:140 6.23875e-05 +283 *3146:29 *3155:36 4.77678e-05 +284 *3148:20 *3155:11 1.29665e-05 +285 *3148:289 *3155:107 0.000657136 +286 *3148:289 *3155:116 5.01718e-05 +287 *3151:37 *3155:11 4.63742e-05 +288 *3153:26 *22194:C 2.01503e-05 +*RES +1 *22191:X *3155:11 32.8987 +2 *3155:11 *3155:24 48.7436 +3 *3155:24 *3155:31 27.5614 +4 *3155:31 *3155:36 46.1479 +5 *3155:36 *3155:53 41.2194 +6 *3155:53 *3155:62 48.6273 +7 *3155:62 *3155:63 4.05102 +8 *3155:63 *22428:A2 9.24915 +9 *3155:63 *3155:77 22.5423 +10 *3155:77 *3155:80 7.1625 +11 *3155:80 *22406:A2 14.8342 +12 *3155:80 *3155:104 38.896 +13 *3155:104 *3155:107 15.0224 +14 *3155:107 *22472:A2 15.5817 +15 *3155:107 *3155:116 26.7471 +16 *3155:116 *22450:A2 13.7491 +17 *3155:116 *3155:125 14.6071 +18 *3155:125 *3155:127 57.2682 +19 *3155:127 *3155:139 41.0691 +20 *3155:139 *3155:140 13.4793 +21 *3155:140 *3155:146 23.0627 +22 *3155:146 *22274:A2 22.5219 +23 *3155:146 *3155:168 17.8438 +24 *3155:168 *22252:A2 9.24915 +25 *3155:168 *3155:178 16.3005 +26 *3155:178 *22318:A2 28.1509 +27 *3155:178 *3155:193 29.8596 +28 *3155:193 *22362:A2 16.5122 +29 *3155:193 *3155:207 8.24077 +30 *3155:207 *22340:A2 31.0729 +31 *3155:207 *3155:221 5.70912 +32 *3155:221 *22296:A2 9.24915 +33 *3155:221 *22384:A2 25.8226 +34 *3155:140 *22207:A2 10.5513 +35 *3155:77 *22494:A2 27.557 +36 *3155:11 *22194:C 22.4655 +*END + +*D_NET *3156 0.000997594 +*CONN +*I *22193:A I *D sky130_fd_sc_hd__buf_8 +*I *22192:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22193:A 0.000217859 +2 *22192:X 0.000217859 +3 *22193:A *4827:32 4.64457e-05 +4 *22179:A *22193:A 0.000138063 +5 *22192:A *22193:A 5.09367e-05 +6 *22192:B *22193:A 3.53886e-05 +7 *2093:10 *22193:A 0.000161658 +8 *3114:15 *22193:A 7.92757e-06 +9 *3155:11 *22193:A 0.000121456 +*RES +1 *22192:X *22193:A 34.4877 +*END + +*D_NET *3157 0.087607 +*CONN +*I *22194:D I *D sky130_fd_sc_hd__and4_1 +*I *22318:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22384:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22296:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22340:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22362:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22252:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22274:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22207:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22450:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22472:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22494:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22406:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22428:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22193:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22194:D 0 +2 *22318:B2 0 +3 *22384:B2 0.000196249 +4 *22296:B2 0 +5 *22340:B2 0.000698471 +6 *22362:B2 6.41391e-05 +7 *22252:B2 3.92094e-05 +8 *22274:B2 1.08844e-05 +9 *22207:B2 0 +10 *22450:B2 0.000186236 +11 *22472:B2 8.50086e-05 +12 *22494:B2 0.000149851 +13 *22406:B2 6.81488e-05 +14 *22428:B2 3.4392e-05 +15 *22193:X 0.000903841 +16 *3157:239 0.000209353 +17 *3157:225 0.00121117 +18 *3157:210 0.00207733 +19 *3157:200 0.00220026 +20 *3157:180 0.00164705 +21 *3157:166 0.00130998 +22 *3157:148 0.00211338 +23 *3157:139 0.00464772 +24 *3157:126 0.004175 +25 *3157:113 0.00224569 +26 *3157:91 0.00151921 +27 *3157:75 0.000917434 +28 *3157:62 0.00183406 +29 *3157:54 0.00293244 +30 *3157:43 0.00357438 +31 *3157:37 0.0037205 +32 *3157:21 0.00274684 +33 *22274:B2 *22220:C1 0 +34 *22340:B2 *22339:B2 7.72394e-06 +35 *22340:B2 *3162:181 1.5714e-05 +36 *22340:B2 *3175:195 2.78272e-05 +37 *22340:B2 *4805:14 0.000102851 +38 *22384:B2 *4805:14 0.000135363 +39 *22384:B2 *4823:45 9.2386e-05 +40 *22384:B2 *5855:134 1.73176e-05 +41 *22406:B2 *22405:A2 2.02647e-06 +42 *22406:B2 *22406:C1 6.34352e-06 +43 *22428:B2 *3208:89 0.000110306 +44 *22450:B2 *3164:112 7.56369e-05 +45 *22450:B2 *3543:192 2.33697e-05 +46 *22494:B2 *22791:C1 2.57465e-06 +47 *22494:B2 *3166:82 9.84756e-06 +48 *22494:B2 *3361:11 1.52067e-05 +49 *3157:21 *3204:122 1.47875e-05 +50 *3157:21 *3481:77 0.000147379 +51 *3157:37 *21917:A 0.000782448 +52 *3157:37 *5384:13 0.000468276 +53 *3157:43 *22743:D 0.000207266 +54 *3157:43 *3385:19 8.29437e-06 +55 *3157:54 *3511:109 7.00521e-06 +56 *3157:54 *5476:51 0.00241934 +57 *3157:62 *22753:C1 0.000109598 +58 *3157:62 *3168:30 0.00103954 +59 *3157:62 *3511:109 9.8025e-05 +60 *3157:75 *3511:104 0.000106733 +61 *3157:91 *3166:82 5.76913e-05 +62 *3157:91 *3511:104 1.5714e-05 +63 *3157:113 *22791:C1 6.52902e-05 +64 *3157:113 *3164:76 0.000239497 +65 *3157:113 *3164:95 0.000643112 +66 *3157:113 *3507:75 0 +67 *3157:113 *3537:88 1.5714e-05 +68 *3157:126 *22451:C1 8.69165e-05 +69 *3157:126 *22772:A2 2.01428e-05 +70 *3157:126 *22778:A 1.27402e-05 +71 *3157:126 *3164:95 0.000409167 +72 *3157:126 *3166:97 6.1061e-06 +73 *3157:126 *3166:101 1.50148e-05 +74 *3157:139 *22772:A2 6.18531e-05 +75 *3157:139 *3162:106 8.35082e-06 +76 *3157:139 *3162:115 0.00148884 +77 *3157:139 *3166:101 1.73239e-05 +78 *3157:139 *3166:105 0.000224628 +79 *3157:139 *3168:132 0.000161773 +80 *3157:139 *3539:157 7.64414e-05 +81 *3157:139 *3541:135 0.000354824 +82 *3157:139 *3543:164 0.000196423 +83 *3157:139 *3543:171 0.000469528 +84 *3157:139 *3682:22 4.69495e-06 +85 *3157:139 *3716:10 0.000252614 +86 *3157:139 *5851:616 2.71746e-05 +87 *3157:148 *3166:112 1.37531e-05 +88 *3157:148 *3168:135 0.00164826 +89 *3157:166 *22220:C1 0.000327005 +90 *3157:166 *22274:C1 0.000148188 +91 *3157:166 *3166:130 0.000308201 +92 *3157:180 *22220:C1 0.000302686 +93 *3157:180 *22252:C1 7.77744e-05 +94 *3157:180 *3166:130 2.74378e-05 +95 *3157:200 *22253:A2 2.16355e-05 +96 *3157:200 *22253:C1 1.68057e-05 +97 *3157:200 *22317:B2 5.88009e-05 +98 *3157:200 *22319:C1 3.31745e-05 +99 *3157:200 *3166:130 2.53145e-06 +100 *3157:200 *3166:147 2.78454e-05 +101 *3157:200 *3168:190 1.75491e-05 +102 *3157:200 *3215:10 4.97617e-05 +103 *3157:210 *22319:C1 0.000258222 +104 *3157:225 *5865:88 3.61399e-05 +105 *3157:239 *4805:14 3.59934e-05 +106 *19894:A1 *3157:43 6.08467e-05 +107 *19894:A2 *3157:43 0.000298399 +108 *19970:A2 *3157:54 1.04747e-05 +109 *20677:A2 *3157:139 6.76836e-05 +110 *20678:B2 *3157:139 1.86356e-05 +111 *21559:B1 *3157:139 0.000161116 +112 *21611:B2 *3157:225 6.25468e-06 +113 *21777:C1 *22472:B2 1.41976e-05 +114 *21825:A1 *3157:126 4.42742e-06 +115 *21944:B1 *3157:139 7.14746e-05 +116 *21993:A2 *3157:54 6.74182e-05 +117 *22040:A1 *3157:37 2.65441e-05 +118 *22040:B2 *3157:37 2.7726e-05 +119 *22040:B2 *3157:43 0.000557914 +120 *22053:A2 *3157:139 0.000138621 +121 *22157:A *3157:21 0 +122 *22157:B *3157:21 2.41274e-06 +123 *22157:D *3157:21 9.95542e-06 +124 *22165:A *3157:21 0.00103645 +125 *22194:B *3157:37 0.000158371 +126 *22194:C *3157:37 6.08467e-05 +127 *22207:A2 *3157:166 5.67775e-05 +128 *22251:A1 *3157:200 2.62701e-05 +129 *22252:B1 *3157:180 2.57986e-05 +130 *22253:B1 *3157:200 4.58966e-05 +131 *22273:B1 *3157:166 6.08467e-05 +132 *22274:A2 *3157:180 6.08467e-05 +133 *22274:B1 *22274:B2 1.09551e-05 +134 *22274:B1 *3157:166 5.74958e-05 +135 *22274:B1 *3157:180 5.32857e-05 +136 *22318:A1 *3157:210 7.5301e-06 +137 *22318:A2 *3157:210 0.000490185 +138 *22318:B1 *3157:200 6.08467e-05 +139 *22318:B1 *3157:210 1.15389e-05 +140 *22340:A2 *22340:B2 0.00034907 +141 *22362:A1 *22340:B2 6.77719e-05 +142 *22384:B1 *22384:B2 5.40888e-06 +143 *22406:A1 *22406:B2 3.98943e-06 +144 *22406:A2 *22406:B2 1.09526e-05 +145 *22406:B1 *22406:B2 3.52157e-05 +146 *22406:B1 *3157:75 1.97624e-05 +147 *22406:B1 *3157:91 3.88655e-06 +148 *22428:B1 *3157:62 9.21233e-05 +149 *22428:B1 *3157:75 3.0577e-05 +150 *22431:A1 *3157:43 2.16355e-05 +151 *22431:A1 *3157:54 0.00118723 +152 *22431:B2 *3157:43 0.000258847 +153 *22436:A2 *3157:43 0.000200794 +154 *22436:B1 *3157:43 2.23178e-05 +155 *22449:A1 *3157:126 7.22263e-05 +156 *22472:A1 *22472:B2 0.000107496 +157 *22472:A2 *22472:B2 6.50727e-05 +158 *22494:A2 *22494:B2 1.09551e-05 +159 *22734:B1 *3157:54 0.000196571 +160 *22752:A1 *3157:54 6.34651e-06 +161 *22752:A1 *3157:62 0.000102723 +162 *22753:B1 *3157:62 1.5714e-05 +163 *22758:A1 *3157:139 4.04556e-05 +164 *23924:B *3157:91 0.000123411 +165 *1462:25 *22428:B2 1.7883e-05 +166 *1550:45 *3157:148 0.000646512 +167 *1560:76 *22450:B2 0.000396003 +168 *1569:34 *3157:139 3.77568e-05 +169 *1570:15 *3157:91 0.000485377 +170 *1574:38 *3157:139 5.35941e-05 +171 *1595:43 *3157:166 0.000107496 +172 *1595:60 *3157:148 0.000350566 +173 *1595:60 *3157:166 0.000148135 +174 *1597:92 *3157:139 5.88009e-05 +175 *1598:91 *3157:139 4.49912e-05 +176 *1603:59 *22406:B2 3.33654e-05 +177 *1603:81 *22494:B2 9.99406e-05 +178 *1625:36 *3157:126 6.14756e-06 +179 *1645:31 *3157:54 6.74182e-05 +180 *1648:25 *3157:21 0.0001114 +181 *1648:25 *3157:37 1.55995e-05 +182 *1648:29 *3157:37 2.5133e-05 +183 *1648:29 *3157:43 0.00124957 +184 *1651:59 *3157:43 0.000948553 +185 *1658:86 *3157:180 8.61022e-05 +186 *1707:39 *3157:148 0.000113374 +187 *1722:40 *3157:75 0.00026648 +188 *1724:61 *3157:54 1.5714e-05 +189 *1725:69 *3157:54 0.00044642 +190 *1752:14 *3157:62 2.57465e-06 +191 *1756:83 *3157:62 0.000390278 +192 *1757:120 *3157:126 0.000293699 +193 *1794:73 *3157:113 0.000116713 +194 *1794:73 *3157:126 0.000598371 +195 *1809:19 *22450:B2 0.000396003 +196 *2033:37 *3157:139 0.000514971 +197 *2257:17 *22340:B2 3.21447e-05 +198 *2258:62 *22340:B2 0.00047422 +199 *2263:77 *22384:B2 0.000128601 +200 *2266:13 *22384:B2 9.2386e-05 +201 *2266:13 *3157:225 0.000354317 +202 *2293:38 *22384:B2 1.5714e-05 +203 *2350:38 *22362:B2 0.000109583 +204 *2357:61 *22340:B2 0.000369742 +205 *2373:32 *22362:B2 0.000109583 +206 *2409:6 *22384:B2 6.34651e-06 +207 *2409:6 *3157:239 1.15099e-05 +208 *2411:26 *3157:21 0.000147759 +209 *2464:12 *22384:B2 1.91391e-05 +210 *2464:17 *22340:B2 6.08467e-05 +211 *2464:51 *22340:B2 6.08467e-05 +212 *2536:28 *3157:113 2.28362e-05 +213 *2545:55 *3157:210 0.00171369 +214 *2567:35 *3157:139 0.000164701 +215 *2571:40 *3157:62 0.000156955 +216 *2583:12 *3157:75 0.00028885 +217 *2583:27 *3157:62 0.000104166 +218 *2583:27 *3157:75 0.000195156 +219 *2614:55 *22494:B2 6.57729e-05 +220 *2614:55 *3157:113 4.21934e-05 +221 *2615:16 *3157:139 0.000321915 +222 *2671:10 *3157:139 0.000131915 +223 *2671:26 *3157:139 0.00114619 +224 *2694:36 *3157:21 4.84181e-05 +225 *2771:12 *3157:139 7.60356e-05 +226 *2792:27 *22472:B2 0.000229151 +227 *2793:17 *22472:B2 1.55995e-05 +228 *2797:50 *3157:180 1.66923e-05 +229 *2833:57 *3157:54 0.000553737 +230 *2838:101 *3157:126 1.28732e-05 +231 *2845:14 *3157:148 9.22013e-06 +232 *2846:23 *3157:166 0.000100396 +233 *2846:36 *3157:166 6.50586e-05 +234 *2931:5 *3157:37 0.000169056 +235 *2931:5 *3157:43 1.38812e-06 +236 *2958:14 *3157:126 0.000940773 +237 *2958:14 *3157:139 0.000772158 +238 *2959:18 *3157:113 0.000948652 +239 *2976:58 *3157:54 5.47915e-05 +240 *2976:58 *3157:62 4.69204e-06 +241 *2979:29 *3157:54 5.11322e-06 +242 *2998:28 *3157:62 2.57314e-05 +243 *3004:31 *3157:62 0.000817856 +244 *3005:64 *3157:43 0.00121277 +245 *3014:79 *3157:54 0.0012323 +246 *3038:17 *3157:200 6.50023e-06 +247 *3058:22 *3157:75 6.03105e-05 +248 *3111:16 *3157:21 0.000129616 +249 *3126:166 *3157:43 1.65872e-05 +250 *3131:13 *3157:21 0.000133368 +251 *3132:29 *3157:21 5.83451e-05 +252 *3142:49 *3157:43 0.00038031 +253 *3151:17 *3157:37 3.07561e-05 +254 *3151:37 *3157:21 0.000152335 +255 *3155:77 *22428:B2 6.98337e-06 +256 *3155:77 *3157:75 8.37467e-05 +257 *3155:116 *22450:B2 3.12828e-05 +258 *3155:125 *22450:B2 0.000117236 +259 *3155:140 *3157:148 0.00010051 +260 *3155:140 *3157:166 7.34948e-06 +261 *3155:168 *3157:180 0.000699205 +262 *3155:168 *3157:200 8.38449e-06 +263 *3155:178 *22252:B2 3.08269e-07 +264 *3155:178 *3157:200 2.41274e-06 +265 *3155:207 *3157:225 0.000989075 +266 *3155:221 *22340:B2 3.54325e-05 +267 *3155:221 *3157:239 1.91391e-05 +*RES +1 *22193:X *3157:21 35.8901 +2 *3157:21 *3157:37 33.5415 +3 *3157:37 *3157:43 47.8647 +4 *3157:43 *3157:54 34.7747 +5 *3157:54 *3157:62 43.7719 +6 *3157:62 *22428:B2 15.0271 +7 *3157:62 *3157:75 15.6086 +8 *3157:75 *22406:B2 15.425 +9 *3157:75 *3157:91 7.85448 +10 *3157:91 *22494:B2 17.6696 +11 *3157:91 *3157:113 30.0081 +12 *3157:113 *22472:B2 17.4385 +13 *3157:113 *3157:126 30.0691 +14 *3157:126 *22450:B2 30.4325 +15 *3157:126 *3157:139 91.5265 +16 *3157:139 *3157:148 45.4788 +17 *3157:148 *22207:B2 9.24915 +18 *3157:148 *3157:166 14.7332 +19 *3157:166 *22274:B2 9.82786 +20 *3157:166 *3157:180 26.6623 +21 *3157:180 *22252:B2 10.2378 +22 *3157:180 *3157:200 22.5067 +23 *3157:200 *3157:210 33.1706 +24 *3157:210 *22362:B2 15.9964 +25 *3157:210 *3157:225 8.48022 +26 *3157:225 *22340:B2 34.3147 +27 *3157:225 *3157:239 0.793864 +28 *3157:239 *22296:B2 13.7491 +29 *3157:239 *22384:B2 24.4492 +30 *3157:200 *22318:B2 9.24915 +31 *3157:21 *22194:D 9.24915 +*END + +*D_NET *3158 0.00173315 +*CONN +*I *22195:D I *D sky130_fd_sc_hd__and4_1 +*I *22194:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22195:D 0.000571957 +2 *22194:X 0.000571957 +3 *22195:D *3483:87 1.91391e-05 +4 *22157:A *22195:D 3.82203e-05 +5 *22185:D *22195:D 1.02986e-05 +6 *22195:B *22195:D 0.000111802 +7 *22195:C *22195:D 1.65872e-05 +8 *22196:C *22195:D 0.000129145 +9 *1648:25 *22195:D 6.08467e-05 +10 *2093:10 *22195:D 2.42668e-05 +11 *3134:5 *22195:D 7.92757e-06 +12 *3148:24 *22195:D 0.000170997 +*RES +1 *22194:X *22195:D 38.4699 +*END + +*D_NET *3159 0.00105782 +*CONN +*I *22196:D I *D sky130_fd_sc_hd__and4_2 +*I *22195:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22196:D 0.000350314 +2 *22195:X 0.000350314 +3 *22196:D *3160:20 0.000154974 +4 *22196:D *4827:32 6.87762e-05 +5 *22196:C *22196:D 3.82228e-05 +6 *506:22 *22196:D 6.87762e-05 +7 *3106:13 *22196:D 2.6446e-05 +*RES +1 *22195:X *22196:D 33.5207 +*END + +*D_NET *3160 0.0210561 +*CONN +*I *22197:A I *D sky130_fd_sc_hd__buf_6 +*I *22196:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *22197:A 0.000168271 +2 *22196:X 3.37111e-05 +3 *3160:22 0.00362601 +4 *3160:20 0.0039752 +5 *3160:8 0.000582194 +6 *3160:7 9.8434e-05 +7 *22197:A *21661:A 4.58003e-05 +8 *22197:A *5695:9 0.000657182 +9 *22197:A *5726:31 7.53258e-05 +10 *3160:22 *3206:15 0.000145911 +11 *3160:22 *3479:24 0.000170795 +12 *3160:22 *3479:31 0.000223539 +13 *3160:22 *3479:41 0.000115146 +14 *3160:22 *3487:13 0.000106696 +15 *3160:22 *4845:381 5.04054e-05 +16 *3160:22 *4914:29 0.000333548 +17 *3160:22 *5683:16 0.000298582 +18 *3160:22 *5854:438 0.000647179 +19 *22151:A *3160:22 0.00014228 +20 *22196:A *3160:7 0.000158451 +21 *22196:D *3160:20 0.000154974 +22 *22537:C *3160:22 3.03429e-05 +23 *494:36 *3160:22 2.68015e-05 +24 *506:32 *3160:22 0.00188494 +25 *528:26 *22197:A 7.25605e-05 +26 *528:30 *22197:A 0.000111722 +27 *547:33 *3160:22 2.53267e-05 +28 *1982:43 *3160:22 5.93547e-06 +29 *1982:66 *3160:22 0.000119965 +30 *1983:24 *3160:22 0.000501465 +31 *1983:31 *3160:22 0.000455075 +32 *1983:49 *3160:22 0.000396313 +33 *2091:19 *3160:22 9.32401e-05 +34 *2091:48 *3160:20 0.000114604 +35 *2091:48 *3160:22 0.000251378 +36 *2122:43 *3160:22 0.000264257 +37 *2428:90 *3160:22 0.00238718 +38 *2440:16 *3160:22 0.000132675 +39 *2690:23 *3160:20 0.000130928 +40 *2690:23 *3160:22 0.00143584 +41 *3091:68 *3160:20 0.000535951 +42 *3106:13 *3160:7 0.000156464 +43 *3106:13 *3160:20 4.75845e-05 +44 *3128:11 *3160:22 1.5714e-05 +45 *3136:24 *3160:20 5.01835e-05 +*RES +1 *22196:X *3160:7 20.3309 +2 *3160:7 *3160:8 81.1229 +3 *3160:8 *3160:20 27.6425 +4 *3160:20 *3160:22 115.611 +5 *3160:22 *22197:A 21.7065 +*END + +*D_NET *3161 0.000195024 +*CONN +*I *22199:A I *D sky130_fd_sc_hd__buf_8 +*I *22198:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22199:A 9.23418e-05 +2 *22198:X 9.23418e-05 +3 *22199:A *3162:11 1.03403e-05 +*RES +1 *22198:X *22199:A 20.2103 +*END + +*D_NET *3162 0.0819743 +*CONN +*I *22451:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22253:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22319:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22363:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22297:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22341:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22385:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22208:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22275:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22473:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22495:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22407:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22429:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22199:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22451:A2 0 +2 *22253:A2 0.000101651 +3 *22319:A2 0 +4 *22363:A2 3.97221e-05 +5 *22297:A2 0.000237621 +6 *22341:A2 0.000534826 +7 *22385:A2 0 +8 *22208:A2 0.000242562 +9 *22275:A2 0 +10 *22473:A2 3.85207e-05 +11 *22495:A2 3.47042e-05 +12 *22407:A2 0.000118046 +13 *22429:A2 0.000168745 +14 *22199:X 0.00133566 +15 *3162:187 0.00078759 +16 *3162:181 0.000375632 +17 *3162:168 0.000645825 +18 *3162:167 0.00219645 +19 *3162:156 0.00208006 +20 *3162:150 0.000706643 +21 *3162:129 0.000367196 +22 *3162:123 0.00137704 +23 *3162:121 0.00130307 +24 *3162:115 0.00208359 +25 *3162:106 0.00332569 +26 *3162:104 0.00183879 +27 *3162:102 0.00175569 +28 *3162:87 0.00279453 +29 *3162:70 0.00207355 +30 *3162:62 0.0023053 +31 *3162:30 0.000310726 +32 *3162:29 0.00136099 +33 *3162:27 0.00166987 +34 *3162:21 0.00269297 +35 *3162:11 0.00235876 +36 *22208:A2 *22249:A 0.000296893 +37 *22208:A2 *3164:144 0.000146997 +38 *22208:A2 *3166:123 6.78596e-05 +39 *22208:A2 *3168:160 0.000725253 +40 *22253:A2 *3168:190 7.92757e-06 +41 *22297:A2 *22297:A1 6.08467e-05 +42 *22297:A2 *22297:B1 7.12801e-05 +43 *22297:A2 *3347:9 0.000146659 +44 *22297:A2 *5090:21 9.42609e-05 +45 *22297:A2 *5888:14 0.000146659 +46 *22341:A2 *22297:B2 9.70097e-06 +47 *22341:A2 *22341:B2 0.000198093 +48 *22341:A2 *3164:198 1.74351e-05 +49 *22341:A2 *3177:315 2.13597e-05 +50 *22363:A2 *22025:A 1.03403e-05 +51 *22363:A2 *3166:166 6.08467e-05 +52 *22363:A2 *3326:15 3.54714e-05 +53 *22407:A2 *22426:A 6.08467e-05 +54 *22473:A2 *22473:B2 5.04829e-06 +55 *22473:A2 *22796:B 6.08467e-05 +56 *22495:A2 *22814:B 1.03403e-05 +57 *3162:11 *21062:A1 7.71538e-05 +58 *3162:11 *21656:A 6.08467e-05 +59 *3162:11 *22205:A 4.33073e-05 +60 *3162:11 *3204:107 0.000200794 +61 *3162:11 *3481:16 0.000112895 +62 *3162:11 *4866:110 4.47134e-05 +63 *3162:21 *21062:A1 4.29314e-06 +64 *3162:21 *22765:C1 2.57986e-05 +65 *3162:21 *3485:90 7.21753e-05 +66 *3162:21 *3704:8 0.000980193 +67 *3162:27 *22426:A 8.92469e-05 +68 *3162:27 *22426:C 0.000493866 +69 *3162:27 *22733:A2 4.24488e-05 +70 *3162:27 *3704:8 0.00012765 +71 *3162:27 *3722:29 0.00110579 +72 *3162:62 *22416:C 1.66626e-05 +73 *3162:62 *22438:D 0.000227211 +74 *3162:62 *3683:8 0.000873639 +75 *3162:62 *3751:14 0 +76 *3162:70 *22504:C 0.00012538 +77 *3162:70 *22754:B2 7.93531e-05 +78 *3162:70 *3164:55 0.00115702 +79 *3162:70 *3463:27 3.70945e-05 +80 *3162:70 *3523:49 0.000757982 +81 *3162:70 *3683:8 3.91083e-05 +82 *3162:70 *3700:10 8.22553e-05 +83 *3162:70 *3751:14 7.60871e-07 +84 *3162:87 *22481:C1 1.2977e-05 +85 *3162:87 *22504:C 6.53198e-05 +86 *3162:87 *22791:A2 2.27901e-06 +87 *3162:87 *22791:B2 1.06344e-05 +88 *3162:87 *22796:B 0.000187895 +89 *3162:87 *3164:76 2.8218e-05 +90 *3162:87 *3507:47 2.57465e-06 +91 *3162:87 *3521:59 7.77309e-06 +92 *3162:87 *3523:49 0.000185708 +93 *3162:87 *3523:68 0.000429373 +94 *3162:102 *22451:B2 5.39346e-05 +95 *3162:102 *22473:C1 3.86702e-05 +96 *3162:102 *22795:B2 4.83562e-06 +97 *3162:102 *3164:95 1.83077e-05 +98 *3162:102 *3190:55 0.000484116 +99 *3162:102 *3411:57 1.5714e-05 +100 *3162:102 *3507:47 0.00013855 +101 *3162:102 *3507:117 0.00032473 +102 *3162:102 *3521:59 5.66458e-05 +103 *3162:102 *3539:94 1.88579e-05 +104 *3162:106 *3539:140 0.000165047 +105 *3162:106 *3539:157 0.000216372 +106 *3162:106 *3541:135 0.000117961 +107 *3162:106 *3543:164 4.31485e-06 +108 *3162:106 *3543:171 0.000101689 +109 *3162:106 *3716:10 0.00175185 +110 *3162:106 *3717:14 1.91246e-05 +111 *3162:106 *3750:13 0.000260901 +112 *3162:115 *22506:C1 2.25096e-05 +113 *3162:115 *24357:RESET_B 0.000423536 +114 *3162:115 *3168:132 8.23875e-05 +115 *3162:123 *19870:A 0.000253916 +116 *3162:129 *3164:153 1.92974e-05 +117 *3162:129 *3168:160 1.88014e-05 +118 *3162:167 *24214:RESET_B 0.000345404 +119 *3162:167 *24214:CLK 1.43848e-05 +120 *3162:167 *3164:180 0.000498218 +121 *3162:167 *5352:19 1.92384e-05 +122 *3162:167 *5353:7 6.6305e-06 +123 *3162:168 *3326:15 0.000334295 +124 *3162:181 *3164:188 0.00045815 +125 *3162:181 *3320:10 0.000294093 +126 *3162:181 *4831:25 0.000385085 +127 *3162:181 *5090:21 0.000462658 +128 *3162:181 *5865:88 1.86819e-05 +129 *3162:187 *5090:21 1.92172e-05 +130 *19902:A *22429:A2 1.7883e-05 +131 *20674:A *3162:115 0 +132 *21062:B2 *3162:21 1.88422e-05 +133 *21063:A2 *3162:21 1.30259e-05 +134 *21063:B2 *3162:21 0.000345474 +135 *21882:A2 *3162:21 0.000205687 +136 *21882:B1 *3162:21 1.85728e-05 +137 *21882:B2 *3162:21 9.32983e-05 +138 *21882:C1 *3162:21 0.0002038 +139 *21900:A *3162:21 0.000867388 +140 *21920:B2 *3162:62 7.48886e-05 +141 *21945:B1 *3162:102 5.12937e-05 +142 *21945:B2 *3162:102 0.000379763 +143 *21992:A1 *3162:62 0 +144 *22198:A *3162:11 6.27782e-05 +145 *22198:C *3162:11 0.000141444 +146 *22199:A *3162:11 1.03403e-05 +147 *22204:A *3162:11 0.000209367 +148 *22208:A1 *22208:A2 6.08467e-05 +149 *22251:A1 *22253:A2 5.04829e-06 +150 *22319:A1 *3162:150 0.000492986 +151 *22319:A1 *3162:156 0.000438346 +152 *22319:B1 *3162:150 0.000343587 +153 *22340:A1 *22341:A2 0.000167829 +154 *22340:B2 *3162:181 1.5714e-05 +155 *22363:A1 *22363:A2 2.02035e-05 +156 *22363:A1 *3162:168 0.000107775 +157 *22385:A1 *22341:A2 3.90021e-05 +158 *22407:A1 *22407:A2 2.60818e-05 +159 *22429:A1 *22429:A2 0.000114518 +160 *22437:A1 *3162:62 1.02986e-05 +161 *22437:B2 *3162:62 0.000139371 +162 *22459:B1 *3162:102 7.48876e-06 +163 *22459:B1 *3162:106 0.000147297 +164 *22473:A1 *22473:A2 3.01683e-06 +165 *22473:B1 *3162:87 0.000120386 +166 *22473:B1 *3162:102 2.6046e-05 +167 *22481:B1 *3162:87 0.000114588 +168 *22765:A1 *3162:21 2.99287e-05 +169 *22765:B1 *3162:21 8.07023e-05 +170 *22791:A1 *3162:87 0.000141114 +171 *22795:A1 *3162:102 0.000182837 +172 *22799:B1 *3162:87 0.000187245 +173 *24214:D *3162:167 4.16913e-05 +174 *24233:D *3162:21 0.000294093 +175 *514:16 *22429:A2 0.000107906 +176 *1462:22 *3162:87 1.9101e-05 +177 *1544:76 *3162:27 0.000111802 +178 *1544:98 *3162:27 0.000189331 +179 *1547:26 *3162:106 6.03356e-05 +180 *1547:34 *3162:106 0.00129941 +181 *1585:19 *3162:121 0.000470072 +182 *1605:11 *3162:102 9.80784e-05 +183 *1627:171 *3162:123 0.0013748 +184 *1627:171 *3162:150 0.000765119 +185 *1644:10 *3162:129 0.000150196 +186 *1649:80 *3162:11 0.000111722 +187 *1660:13 *3162:27 0.00011798 +188 *1660:17 *3162:27 0.00134333 +189 *1669:156 *3162:21 0.000156946 +190 *1690:124 *3162:106 0.000333406 +191 *1727:15 *3162:62 2.05972e-05 +192 *1729:23 *22208:A2 2.79645e-05 +193 *1733:14 *22429:A2 1.79794e-05 +194 *1733:14 *3162:30 2.09895e-05 +195 *1733:14 *3162:62 0.000116855 +196 *1733:85 *3162:121 0.0003146 +197 *1733:85 *3162:123 2.09851e-05 +198 *1739:46 *22407:A2 5.04829e-06 +199 *1800:8 *3162:27 2.57847e-05 +200 *1800:249 *3162:21 0.000107518 +201 *1800:249 *3162:27 0.000179639 +202 *1947:70 *3162:115 3.78791e-05 +203 *2033:14 *3162:115 6.33552e-05 +204 *2033:34 *3162:115 3.28261e-05 +205 *2123:31 *3162:11 9.16428e-05 +206 *2250:55 *22341:A2 3.3239e-06 +207 *2257:17 *3162:181 1.91391e-05 +208 *2257:29 *22341:A2 0.000346471 +209 *2294:52 *22341:A2 1.62321e-05 +210 *2340:32 *3162:167 0.000686703 +211 *2378:41 *3162:11 0.000109354 +212 *2488:14 *22363:A2 8.03699e-06 +213 *2488:14 *3162:168 8.21018e-05 +214 *2537:8 *3162:115 0 +215 *2542:8 *3162:156 3.7061e-05 +216 *2542:8 *3162:167 0.00040555 +217 *2545:55 *22297:A2 0.000251655 +218 *2553:66 *3162:115 0.000829446 +219 *2564:21 *3162:123 0.000256243 +220 *2577:36 *3162:21 2.45002e-05 +221 *2601:36 *3162:150 0.000444724 +222 *2671:26 *3162:115 3.23178e-05 +223 *2684:24 *3162:102 6.17046e-05 +224 *2684:26 *3162:102 0.000340896 +225 *2693:5 *3162:11 2.16355e-05 +226 *2693:19 *3162:11 3.82228e-05 +227 *2704:72 *3162:87 8.83705e-05 +228 *2723:19 *22473:A2 7.76105e-06 +229 *2795:12 *3162:121 0.00046142 +230 *2863:27 *3162:106 7.48876e-06 +231 *2863:40 *3162:102 0.000742893 +232 *2863:40 *3162:106 4.42742e-06 +233 *2865:32 *3162:27 4.11652e-05 +234 *2871:8 *3162:156 4.77858e-05 +235 *2871:8 *3162:167 0.000407079 +236 *2905:7 *3162:11 0.000449016 +237 *2912:23 *22429:A2 0.000207266 +238 *2913:30 *22407:A2 0.00016987 +239 *2913:30 *3162:27 0.00087602 +240 *2932:12 *3162:62 0.000161058 +241 *2933:81 *3162:62 3.06917e-06 +242 *2956:18 *22253:A2 2.01503e-05 +243 *2956:42 *3162:121 0.000137149 +244 *2956:42 *3162:123 0.00122568 +245 *2956:62 *3162:106 4.98659e-05 +246 *2956:62 *3162:115 0 +247 *2958:14 *3162:106 2.57831e-05 +248 *2959:18 *3162:87 1.65282e-05 +249 *2959:35 *3162:87 2.03809e-05 +250 *2964:59 *3162:70 3.31322e-05 +251 *2984:22 *22253:A2 0.000131175 +252 *2984:22 *3162:150 4.49912e-05 +253 *2984:24 *3162:150 0.000120121 +254 *2986:31 *3162:129 1.03403e-05 +255 *2986:34 *3162:129 8.08437e-05 +256 *2989:5 *22297:A2 0.00010072 +257 *2989:5 *3162:156 0.000438346 +258 *2989:5 *3162:181 0.000121411 +259 *2989:5 *3162:187 2.65667e-05 +260 *3002:8 *3162:62 4.70878e-05 +261 *3003:34 *22429:A2 0.000163195 +262 *3003:34 *3162:30 4.67184e-05 +263 *3003:34 *3162:62 0.000981083 +264 *3046:29 *22253:A2 4.48436e-05 +265 *3046:29 *3162:150 1.99266e-05 +266 *3153:260 *3162:106 0.000165555 +267 *3153:260 *3162:115 2.60879e-06 +268 *3153:266 *3162:102 3.06492e-05 +269 *3157:139 *3162:106 8.35082e-06 +270 *3157:139 *3162:115 0.00148884 +271 *3157:200 *22253:A2 2.16355e-05 +*RES +1 *22199:X *3162:11 47.455 +2 *3162:11 *3162:21 43.91 +3 *3162:21 *3162:27 47.2136 +4 *3162:27 *3162:29 4.5 +5 *3162:29 *3162:30 1.00149 +6 *3162:30 *22429:A2 19.6294 +7 *3162:30 *22407:A2 17.4385 +8 *3162:29 *3162:62 37.4582 +9 *3162:62 *3162:70 29.4951 +10 *3162:70 *22495:A2 14.4725 +11 *3162:70 *3162:87 28.1394 +12 *3162:87 *22473:A2 15.0513 +13 *3162:87 *3162:102 44.6763 +14 *3162:102 *3162:104 1.29461 +15 *3162:104 *3162:106 55.6072 +16 *3162:106 *3162:115 48.9227 +17 *3162:115 *3162:121 22.8678 +18 *3162:121 *3162:123 27.3444 +19 *3162:123 *3162:129 12.8011 +20 *3162:129 *22275:A2 9.24915 +21 *3162:129 *22208:A2 20.1724 +22 *3162:123 *3162:150 23.1361 +23 *3162:150 *3162:156 15.1617 +24 *3162:156 *3162:167 49.7288 +25 *3162:167 *3162:168 7.02265 +26 *3162:168 *3162:181 20.4041 +27 *3162:181 *22385:A2 9.24915 +28 *3162:181 *3162:187 0.723396 +29 *3162:187 *22341:A2 32.1235 +30 *3162:187 *22297:A2 27.2105 +31 *3162:168 *22363:A2 15.2664 +32 *3162:156 *22319:A2 13.7491 +33 *3162:150 *22253:A2 17.135 +34 *3162:102 *22451:A2 13.7491 +*END + +*D_NET *3163 0.00135766 +*CONN +*I *22201:A I *D sky130_fd_sc_hd__buf_8 +*I *22200:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22201:A 0.000324927 +2 *22200:X 0.000324927 +3 *22201:A *3204:107 0.000151359 +4 *2091:48 *22201:A 5.22029e-05 +5 *2115:103 *22201:A 0.000193865 +6 *2115:110 *22201:A 1.41976e-05 +7 *3151:8 *22201:A 0.000296185 +*RES +1 *22200:X *22201:A 35.87 +*END + +*D_NET *3164 0.0968432 +*CONN +*I *22451:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22275:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22253:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22363:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22297:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22385:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22341:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22319:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22208:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22473:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22495:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22407:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22429:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22201:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22451:B2 0.000288035 +2 *22275:B2 0 +3 *22253:B2 0.000112187 +4 *22363:B2 2.22998e-05 +5 *22297:B2 0.000208458 +6 *22385:B2 0 +7 *22341:B2 0.000533397 +8 *22319:B2 1.10471e-05 +9 *22208:B2 0 +10 *22473:B2 0.000112234 +11 *22495:B2 5.66648e-05 +12 *22407:B2 0.000104332 +13 *22429:B2 0.000140157 +14 *22201:X 0.0045769 +15 *3164:198 0.0002565 +16 *3164:188 0.00101938 +17 *3164:183 0.000636102 +18 *3164:180 0.00137094 +19 *3164:158 0.00159763 +20 *3164:153 0.00135047 +21 *3164:144 0.00127668 +22 *3164:133 0.000429906 +23 *3164:131 0.00136206 +24 *3164:121 0.0019692 +25 *3164:113 0.00292313 +26 *3164:112 0.0032936 +27 *3164:95 0.00268983 +28 *3164:76 0.00249826 +29 *3164:55 0.00187871 +30 *3164:54 0.00266006 +31 *3164:19 0.000284788 +32 *3164:15 0.00641704 +33 *22297:B2 *22296:A1 2.05562e-05 +34 *22297:B2 *22297:B1 6.26935e-05 +35 *22297:B2 *4831:25 2.68313e-05 +36 *22319:B2 *22025:A 0 +37 *22363:B2 *3326:15 6.52404e-05 +38 *22407:B2 *22429:C1 2.09383e-05 +39 *22407:B2 *3463:12 1.84334e-05 +40 *22429:B2 *22429:C1 0.000157064 +41 *22429:B2 *3463:12 3.39389e-05 +42 *22451:B2 *3411:57 1.5714e-05 +43 *22473:B2 *22796:B 3.46254e-05 +44 *22473:B2 *22796:D 3.82228e-05 +45 *22495:B2 *22814:B 8.61132e-05 +46 *3164:15 *22779:D 0.00245318 +47 *3164:15 *3179:12 0.000935417 +48 *3164:15 *3185:30 7.60356e-05 +49 *3164:15 *3427:28 6.88865e-05 +50 *3164:15 *3502:18 0.00177726 +51 *3164:15 *5674:45 0.00886626 +52 *3164:19 *22779:D 0.000118513 +53 *3164:19 *5674:45 0.000107413 +54 *3164:54 *22438:C 0.000200181 +55 *3164:54 *22735:A2 5.01835e-05 +56 *3164:54 *22742:A 0.000139074 +57 *3164:54 *3175:57 7.62935e-05 +58 *3164:54 *3361:12 0.000311828 +59 *3164:54 *3382:8 8.03119e-06 +60 *3164:54 *3421:17 0.00105682 +61 *3164:54 *5476:51 0.000153427 +62 *3164:55 *22495:C1 4.49767e-05 +63 *3164:55 *22504:C 0.000163447 +64 *3164:55 *22755:C1 0.0001267 +65 *3164:55 *3751:14 0.000299627 +66 *3164:76 *22495:C1 0.000706336 +67 *3164:76 *22504:C 0.000159447 +68 *3164:76 *22796:D 8.03699e-06 +69 *3164:76 *3734:18 0.000175168 +70 *3164:95 *22473:C1 1.94751e-05 +71 *3164:95 *22795:B2 0.000346575 +72 *3164:95 *22796:D 0.000455461 +73 *3164:95 *3539:94 0.000668761 +74 *3164:112 *22450:C1 5.11466e-05 +75 *3164:112 *3543:192 0.000483949 +76 *3164:112 *5924:37 0.000445752 +77 *3164:113 *24361:RESET_B 0.000174521 +78 *3164:113 *3175:112 0.000517279 +79 *3164:113 *3452:6 5.51167e-06 +80 *3164:113 *3513:143 0.000120392 +81 *3164:113 *5867:78 0.000435539 +82 *3164:121 *3175:112 3.55859e-05 +83 *3164:121 *3175:122 6.71381e-05 +84 *3164:121 *3529:159 0.000160617 +85 *3164:121 *5861:97 0.000164843 +86 *3164:131 *3166:112 0.000691503 +87 *3164:144 *22249:A 3.53886e-05 +88 *3164:144 *3166:123 1.88152e-05 +89 *3164:180 *22025:A 3.01683e-06 +90 *3164:180 *24214:RESET_B 0.000128792 +91 *3164:180 *4860:18 1.91391e-05 +92 *3164:180 *5353:7 5.99691e-05 +93 *3164:183 *3326:15 0.000243646 +94 *3164:188 *4831:25 0.000119595 +95 *3164:188 *5865:88 1.42717e-05 +96 *19796:A *3164:113 5.01835e-05 +97 *19874:B1 *3164:131 0.000398061 +98 *19902:A *22429:B2 1.5613e-05 +99 *20678:A2 *3164:131 0.00041102 +100 *20678:B2 *3164:131 0.000167076 +101 *21092:B2 *3164:180 0.000534604 +102 *21536:A1 *3164:113 1.88148e-05 +103 *21881:B1 *3164:15 0.000173483 +104 *21882:A1 *3164:15 0.000112082 +105 *21894:B1 *3164:15 0.000472929 +106 *21944:B1 *3164:113 0.000105985 +107 *21974:B1 *3164:131 0.000745741 +108 *21974:B1 *3164:153 0.000103674 +109 *21975:A1 *3164:158 2.16355e-05 +110 *21975:A2 *3164:153 0.000287223 +111 *21975:B2 *3164:180 0.000126206 +112 *22208:A1 *3164:144 6.08467e-05 +113 *22208:A2 *3164:144 0.000146997 +114 *22208:B1 *3164:144 8.67924e-06 +115 *22220:A2 *3164:153 1.10612e-05 +116 *22220:B2 *3164:153 0 +117 *22253:B1 *3164:153 1.10598e-05 +118 *22275:A1 *3164:144 1.03434e-05 +119 *22275:B1 *3164:153 1.91391e-05 +120 *22319:A1 *22319:B2 3.01683e-06 +121 *22319:A1 *3164:158 2.20593e-05 +122 *22319:A1 *3164:180 5.8973e-05 +123 *22319:B1 *22319:B2 1.34464e-05 +124 *22319:B1 *3164:158 0.000275225 +125 *22341:A2 *22297:B2 9.70097e-06 +126 *22341:A2 *22341:B2 0.000198093 +127 *22341:A2 *3164:198 1.74351e-05 +128 *22385:B1 *22341:B2 4.25147e-05 +129 *22385:B1 *3164:188 0.000324573 +130 *22385:B1 *3164:198 4.65119e-05 +131 *22413:A1 *3164:113 0.000203254 +132 *22429:B1 *22429:B2 6.08467e-05 +133 *22450:B2 *3164:112 7.56369e-05 +134 *22451:B1 *22451:B2 2.42087e-05 +135 *22459:B1 *22451:B2 0.000106447 +136 *22473:A2 *22473:B2 5.04829e-06 +137 *22494:A2 *3164:76 2.77073e-05 +138 *22495:B1 *22495:B2 8.15832e-05 +139 *22495:B1 *3164:55 8.95272e-05 +140 *22500:A1 *3164:113 1.73713e-05 +141 *22758:B1 *3164:113 9.4385e-05 +142 *22791:A1 *3164:76 1.17618e-05 +143 *22799:B1 *3164:76 0.00053183 +144 *24906:D *3164:131 6.08467e-05 +145 *545:23 *3164:15 1.58546e-05 +146 *1547:43 *3164:121 1.84082e-05 +147 *1550:45 *3164:131 9.75115e-05 +148 *1564:31 *3164:15 0.000111618 +149 *1603:81 *3164:76 7.8756e-07 +150 *1611:8 *3164:131 2.86353e-06 +151 *1627:128 *22297:B2 6.78302e-05 +152 *1627:128 *22341:B2 0.000105177 +153 *1641:57 *3164:15 7.97785e-05 +154 *1645:49 *3164:15 0.000285378 +155 *1647:126 *3164:180 0.00024237 +156 *1658:86 *3164:153 1.8397e-05 +157 *1688:117 *3164:54 3.19588e-05 +158 *1707:39 *3164:131 2.01653e-05 +159 *1721:164 *3164:131 2.02035e-05 +160 *1729:23 *3164:144 0.000240362 +161 *1739:46 *22407:B2 1.65872e-05 +162 *1739:58 *22407:B2 6.11872e-05 +163 *1739:58 *3164:54 0.000703784 +164 *1741:40 *3164:188 1.31782e-05 +165 *1787:40 *3164:131 0.000106435 +166 *1789:82 *3164:131 0.00040098 +167 *1790:158 *3164:180 0.00104321 +168 *1808:87 *3164:113 0.000153975 +169 *2034:7 *3164:131 0.000247443 +170 *2257:29 *22341:B2 0.000253275 +171 *2285:19 *3164:54 0.000347214 +172 *2293:38 *3164:188 0.000290249 +173 *2298:17 *3164:131 0.000231989 +174 *2298:17 *3164:153 0.00141946 +175 *2298:17 *3164:180 0.000918578 +176 *2298:17 *3164:188 0.000857914 +177 *2375:36 *3164:180 0.000114678 +178 *2416:16 *22363:B2 5.74852e-05 +179 *2416:16 *3164:183 0.000248152 +180 *2536:28 *3164:76 0.000171187 +181 *2545:55 *22297:B2 0.000111637 +182 *2564:18 *3164:131 0.00101323 +183 *2604:18 *3164:113 0.00117492 +184 *2723:19 *22473:B2 0.000117326 +185 *2770:18 *3164:180 0.000238787 +186 *2837:49 *3164:112 0.00105825 +187 *2838:89 *3164:112 2.30446e-05 +188 *2863:27 *3164:112 0.000436479 +189 *2865:32 *3164:15 0.00233038 +190 *2871:8 *3164:180 2.27135e-05 +191 *2889:20 *3164:121 0.000548909 +192 *2912:23 *22429:B2 0.000253916 +193 *2913:30 *22407:B2 0.000205101 +194 *2957:6 *3164:113 0.000128896 +195 *2958:14 *22451:B2 3.06897e-05 +196 *2958:14 *3164:95 0.000425409 +197 *2959:18 *3164:76 0.000112433 +198 *2964:59 *3164:55 0.000282993 +199 *2984:22 *22253:B2 0.000172667 +200 *2984:24 *22253:B2 4.63742e-05 +201 *2984:24 *3164:153 1.5714e-05 +202 *2985:20 *22253:B2 0.000196999 +203 *2985:22 *22253:B2 1.86178e-05 +204 *2985:22 *3164:153 1.91391e-05 +205 *2986:34 *3164:153 1.91391e-05 +206 *2994:55 *3164:54 0.000157659 +207 *2998:57 *3164:54 6.79112e-05 +208 *3003:57 *3164:54 0.000147612 +209 *3027:27 *3164:113 6.37456e-05 +210 *3058:6 *3164:113 0.000420994 +211 *3058:8 *3164:113 0.00123666 +212 *3058:49 *3164:55 5.60291e-06 +213 *3065:8 *3164:121 0.000614591 +214 *3065:27 *3164:113 0.00073094 +215 *3106:81 *3164:112 0.000741404 +216 *3108:79 *3164:15 0.000210181 +217 *3109:17 *3164:15 0.000210181 +218 *3134:194 *3164:153 3.28812e-05 +219 *3155:116 *3164:112 1.44999e-05 +220 *3157:113 *3164:76 0.000239497 +221 *3157:113 *3164:95 0.000643112 +222 *3157:126 *3164:95 0.000409167 +223 *3162:70 *3164:55 0.00115702 +224 *3162:87 *3164:76 2.8218e-05 +225 *3162:102 *22451:B2 5.39346e-05 +226 *3162:102 *3164:95 1.83077e-05 +227 *3162:129 *3164:153 1.92974e-05 +228 *3162:167 *3164:180 0.000498218 +229 *3162:181 *3164:188 0.00045815 +*RES +1 *22201:X *3164:15 45.3159 +2 *3164:15 *3164:19 3.53341 +3 *3164:19 *22429:B2 18.7306 +4 *3164:19 *22407:B2 17.3455 +5 *3164:15 *3164:54 40.0623 +6 *3164:54 *3164:55 27.1624 +7 *3164:55 *22495:B2 16.3293 +8 *3164:55 *3164:76 28.7013 +9 *3164:76 *22473:B2 17.2456 +10 *3164:76 *3164:95 40.2917 +11 *3164:95 *3164:112 35.8437 +12 *3164:112 *3164:113 72.6325 +13 *3164:113 *3164:121 34.1899 +14 *3164:121 *3164:131 48.7025 +15 *3164:131 *3164:133 4.5 +16 *3164:133 *22208:B2 9.24915 +17 *3164:133 *3164:144 10.9233 +18 *3164:144 *3164:153 14.9404 +19 *3164:153 *3164:158 10.7935 +20 *3164:158 *22319:B2 9.82786 +21 *3164:158 *3164:180 45.9285 +22 *3164:180 *3164:183 9.86164 +23 *3164:183 *3164:188 8.47024 +24 *3164:188 *22341:B2 26.4876 +25 *3164:188 *3164:198 1.20912 +26 *3164:198 *22385:B2 13.7491 +27 *3164:198 *22297:B2 19.2228 +28 *3164:183 *22363:B2 14.9583 +29 *3164:153 *22253:B2 18.0727 +30 *3164:144 *22275:B2 9.24915 +31 *3164:95 *22451:B2 20.1611 +*END + +*D_NET *3165 0.00150446 +*CONN +*I *22203:A I *D sky130_fd_sc_hd__buf_8 +*I *22202:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22203:A 0.000301429 +2 *22202:X 0.000301429 +3 *22203:A *3166:15 0.000114594 +4 *22203:A *4827:32 1.66995e-05 +5 *22202:A *22203:A 8.51781e-05 +6 *506:22 *22203:A 0.000197793 +7 *1551:67 *22203:A 2.57986e-05 +8 *2278:46 *22203:A 1.88014e-05 +9 *2403:7 *22203:A 0.000162739 +10 *3117:34 *22203:A 0.000279997 +*RES +1 *22202:X *22203:A 36.8693 +*END + +*D_NET *3166 0.0830047 +*CONN +*I *22449:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22251:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22295:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22339:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22383:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22361:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22317:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22273:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22206:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22471:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22405:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22493:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22427:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22203:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22449:A2 8.33238e-05 +2 *22251:A2 0 +3 *22295:A2 0.000132 +4 *22339:A2 0.000483315 +5 *22383:A2 0.000159295 +6 *22361:A2 0.000151924 +7 *22317:A2 0 +8 *22273:A2 0 +9 *22206:A2 0 +10 *22471:A2 7.68746e-05 +11 *22405:A2 0.000414814 +12 *22493:A2 2.70626e-05 +13 *22427:A2 0.000250593 +14 *22203:X 0.000906021 +15 *3166:166 0.000734795 +16 *3166:159 0.000337641 +17 *3166:155 0.00100166 +18 *3166:147 0.0013679 +19 *3166:130 0.00139117 +20 *3166:123 0.00108226 +21 *3166:112 0.000987219 +22 *3166:107 0.00209005 +23 *3166:105 0.00264516 +24 *3166:101 0.0017751 +25 *3166:97 0.00147667 +26 *3166:82 0.00217979 +27 *3166:61 0.00175448 +28 *3166:51 0.000629392 +29 *3166:36 0.00520065 +30 *3166:15 0.00536821 +31 *22295:A2 *22295:A1 6.50727e-05 +32 *22295:A2 *22296:C1 4.99897e-05 +33 *22339:A2 *21607:A 0.000163056 +34 *22339:A2 *22025:A 6.7671e-06 +35 *22339:A2 *22383:B2 1.64462e-05 +36 *22339:A2 *3177:327 0.000274715 +37 *22339:A2 *3320:10 0.000113968 +38 *22361:A2 *22025:A 1.63105e-05 +39 *22361:A2 *22362:C1 0.000115934 +40 *22361:A2 *22363:C1 2.65831e-05 +41 *22383:A2 *3326:15 2.70257e-05 +42 *22405:A2 *22406:C1 2.74306e-06 +43 *22405:A2 *22494:C1 7.92757e-06 +44 *22405:A2 *3361:11 5.04829e-06 +45 *22427:A2 *3208:89 0.000343543 +46 *22493:A2 *22494:C1 0.000111802 +47 *3166:15 *22656:B2 0.000111722 +48 *3166:36 *22321:C1 6.84784e-06 +49 *3166:36 *3175:57 0.000240185 +50 *3166:36 *3185:79 2.56594e-05 +51 *3166:36 *3382:8 5.11321e-05 +52 *3166:36 *3421:17 1.93367e-05 +53 *3166:36 *3511:104 5.57273e-05 +54 *3166:36 *3678:21 0.00110554 +55 *3166:36 *3699:23 0.00128341 +56 *3166:51 *3382:8 7.09666e-06 +57 *3166:51 *3507:75 3.42298e-05 +58 *3166:51 *3511:104 0.000434213 +59 *3166:61 *22494:C1 0.000139693 +60 *3166:61 *3361:12 1.28732e-05 +61 *3166:61 *3507:75 5.49916e-05 +62 *3166:82 *22494:C1 6.4135e-05 +63 *3166:82 *22796:C 8.10016e-06 +64 *3166:82 *3361:12 2.1203e-06 +65 *3166:82 *3507:75 5.33358e-06 +66 *3166:82 *3507:117 6.40849e-05 +67 *3166:82 *3682:22 0.000599085 +68 *3166:97 *3539:94 3.26262e-05 +69 *3166:97 *4850:68 5.88009e-05 +70 *3166:101 *22772:A2 0.000262341 +71 *3166:101 *22778:A 0.0001214 +72 *3166:101 *3168:106 0.000527813 +73 *3166:101 *3539:96 1.50389e-06 +74 *3166:101 *3682:22 2.27135e-05 +75 *3166:105 *3168:106 9.15367e-06 +76 *3166:105 *3168:113 0.00168429 +77 *3166:105 *3541:135 0.000363346 +78 *3166:107 *5851:616 0.000149118 +79 *3166:107 *5851:635 0.000646562 +80 *3166:107 *5853:48 0.000211907 +81 *3166:112 *22206:B2 6.08467e-05 +82 *3166:112 *3168:135 0.00189874 +83 *3166:123 *22208:C1 3.82228e-05 +84 *3166:123 *22273:B2 1.57662e-05 +85 *3166:123 *3168:160 0.00013477 +86 *3166:130 *22220:C1 5.99529e-06 +87 *3166:130 *22275:C1 6.3657e-05 +88 *3166:130 *3168:160 1.96456e-05 +89 *3166:130 *3168:170 1.37563e-05 +90 *3166:147 *5855:108 0.000177764 +91 *3166:155 *5855:108 0.000584766 +92 *3166:155 *5855:134 0.00188832 +93 *3166:166 *22025:A 1.56569e-05 +94 *6092:DIODE *3166:15 0.000154145 +95 *19874:B1 *3166:112 0.000393863 +96 *19997:B1 *3166:36 0.000503416 +97 *20021:A2 *3166:82 0.000138994 +98 *20677:B2 *3166:107 7.48435e-05 +99 *20678:A2 *3166:112 0.000406794 +100 *20679:B2 *3166:107 0.000561692 +101 *21346:B2 *3166:15 3.90781e-05 +102 *21456:B1 *3166:36 0.000745071 +103 *21537:B2 *3166:36 7.61596e-06 +104 *21559:B1 *3166:105 0.00013536 +105 *21559:B1 *3166:107 5.21758e-06 +106 *21589:B1 *3166:36 7.48998e-06 +107 *21589:B2 *3166:36 8.88018e-05 +108 *21825:A1 *3166:97 9.28861e-05 +109 *21909:A1 *3166:36 1.1235e-05 +110 *21909:B2 *3166:36 0.00146593 +111 *21920:B2 *3166:82 0.000612735 +112 *21944:B1 *3166:105 7.50872e-05 +113 *21945:B1 *3166:36 0.00142449 +114 *21981:A2 *3166:36 0.000405415 +115 *22053:A2 *3166:105 0.000138635 +116 *22054:A1 *3166:36 0.000214339 +117 *22203:A *3166:15 0.000114594 +118 *22206:A1 *3166:112 1.41976e-05 +119 *22206:A1 *3166:123 2.07503e-05 +120 *22208:A2 *3166:123 6.78596e-05 +121 *22208:B1 *3166:123 7.98171e-06 +122 *22220:A1 *3166:130 3.58208e-05 +123 *22220:A2 *3166:130 0.000158451 +124 *22220:B2 *3166:130 2.77625e-06 +125 *22220:B2 *3166:147 0.000599119 +126 *22251:B1 *3166:130 3.31745e-05 +127 *22274:B1 *3166:130 0.000258829 +128 *22339:B1 *22339:A2 0.000154145 +129 *22362:A1 *22361:A2 1.88014e-05 +130 *22362:A2 *22361:A2 6.08467e-05 +131 *22362:B1 *22361:A2 2.16355e-05 +132 *22363:A2 *3166:166 6.08467e-05 +133 *22363:B1 *3166:166 2.16355e-05 +134 *22405:A1 *22405:A2 6.08467e-05 +135 *22406:A2 *22405:A2 1.01861e-05 +136 *22406:B1 *22405:A2 1.19e-05 +137 *22406:B2 *22405:A2 2.02647e-06 +138 *22449:A1 *3166:97 7.13655e-06 +139 *22449:A1 *3166:101 2.59216e-05 +140 *22449:B1 *3166:97 0.00020972 +141 *22449:B1 *3166:101 6.14362e-05 +142 *22451:B1 *22449:A2 2.57847e-05 +143 *22472:B1 *3166:82 7.56369e-05 +144 *22477:A1 *3166:82 1.72944e-05 +145 *22494:A2 *3166:61 1.88014e-05 +146 *22494:B2 *3166:82 9.84756e-06 +147 *22758:A1 *3166:105 4.04556e-05 +148 *22794:A1 *3166:82 0.000496309 +149 *23924:B *3166:82 6.37671e-05 +150 *24451:D *3166:107 0.000122083 +151 *1435:205 *3166:82 0.000358327 +152 *1459:87 *3166:36 0.000508457 +153 *1542:174 *3166:15 0.00117107 +154 *1545:54 *3166:36 0.000193114 +155 *1550:45 *3166:112 0.000835919 +156 *1552:65 *3166:36 0.000115313 +157 *1569:34 *3166:101 1.79503e-05 +158 *1574:38 *3166:105 5.8518e-05 +159 *1574:38 *3166:107 0.000339193 +160 *1575:119 *22471:A2 0.000111802 +161 *1597:92 *3166:105 6.22259e-05 +162 *1603:59 *22405:A2 3.99086e-06 +163 *1603:81 *22405:A2 9.80242e-07 +164 *1610:162 *3166:155 0.00207022 +165 *1610:181 *3166:155 0.000188093 +166 *1611:8 *3166:123 0.000113267 +167 *1625:36 *3166:97 1.09898e-05 +168 *1627:160 *3166:147 0.000127503 +169 *1636:82 *3166:36 0.00211382 +170 *1691:87 *3166:36 0.000261215 +171 *1721:164 *3166:123 4.4486e-06 +172 *1723:47 *3166:36 0.000123247 +173 *1724:39 *3166:107 0.000229523 +174 *1744:197 *3166:97 1.5714e-05 +175 *1757:120 *3166:97 1.27402e-05 +176 *1762:86 *3166:82 6.03122e-05 +177 *1764:94 *3166:82 7.77291e-05 +178 *1764:94 *3166:97 0.000335487 +179 *1773:67 *3166:97 7.60356e-05 +180 *1787:23 *3166:112 3.07561e-05 +181 *1787:23 *3166:123 2.55558e-05 +182 *1788:162 *3166:123 0.000250244 +183 *1789:82 *3166:112 7.5301e-06 +184 *1794:73 *3166:97 1.18743e-05 +185 *2034:8 *3166:107 0.000484302 +186 *2034:10 *3166:107 0.000336221 +187 *2258:62 *22339:A2 0.000539491 +188 *2278:46 *3166:15 0.000741021 +189 *2281:13 *3166:36 0.00190626 +190 *2340:24 *3166:155 0.00017756 +191 *2340:24 *3166:159 0.00011795 +192 *2363:40 *22339:A2 6.32596e-05 +193 *2374:34 *22295:A2 0.00044471 +194 *2374:34 *3166:155 2.69795e-05 +195 *2374:34 *3166:159 0.00011795 +196 *2403:7 *3166:15 1.15389e-05 +197 *2403:9 *3166:15 0.000660606 +198 *2404:101 *3166:15 0.000494413 +199 *2407:41 *3166:15 3.16692e-05 +200 *2408:7 *3166:15 0.000113968 +201 *2416:16 *3166:155 1.15883e-05 +202 *2416:34 *22339:A2 3.04407e-05 +203 *2452:14 *3166:147 4.54865e-05 +204 *2488:14 *22339:A2 3.30209e-05 +205 *2488:14 *22383:A2 7.86728e-05 +206 *2511:30 *3166:36 0.000181996 +207 *2522:32 *3166:51 5.49916e-05 +208 *2522:39 *22493:A2 6.08467e-05 +209 *2522:39 *3166:61 0.000101817 +210 *2536:8 *3166:105 3.55731e-06 +211 *2536:8 *3166:107 0.000472419 +212 *2536:28 *3166:82 1.43499e-05 +213 *2545:55 *22295:A2 0.000643582 +214 *2545:55 *22383:A2 0.000107496 +215 *2567:35 *3166:105 0.000169307 +216 *2571:40 *3166:51 1.55685e-05 +217 *2577:58 *3166:15 0.000883939 +218 *2589:24 *3166:147 5.49916e-05 +219 *2601:23 *3166:130 0.000313342 +220 *2608:62 *3166:36 0.000522981 +221 *2615:16 *3166:107 4.96941e-06 +222 *2620:76 *3166:36 2.05082e-05 +223 *2635:20 *3166:155 0.000125482 +224 *2637:29 *3166:15 0.000154145 +225 *2637:39 *3166:15 2.83378e-05 +226 *2649:64 *3166:82 0.000269018 +227 *2660:94 *3166:82 8.34311e-06 +228 *2666:8 *22493:A2 1.65872e-05 +229 *2667:93 *3166:36 7.66231e-05 +230 *2671:10 *3166:107 0.000131069 +231 *2685:42 *3166:97 3.77568e-05 +232 *2750:28 *3166:82 5.0759e-05 +233 *2771:12 *3166:105 7.94607e-05 +234 *2838:101 *3166:97 0.000267179 +235 *2862:17 *3166:97 8.03915e-05 +236 *2956:10 *3166:147 0.000119819 +237 *2989:5 *22339:A2 0.000207266 +238 *2989:5 *22361:A2 0.000544481 +239 *2989:5 *3166:166 0.000304871 +240 *3022:27 *3166:147 0.000308173 +241 *3022:45 *3166:147 0.000280648 +242 *3046:29 *3166:147 0.000115313 +243 *3049:14 *3166:147 5.8518e-05 +244 *3058:22 *3166:51 0.000133043 +245 *3114:268 *22339:A2 2.99978e-05 +246 *3155:107 *3166:82 1.11857e-05 +247 *3155:116 *3166:82 1.49713e-05 +248 *3155:116 *3166:97 3.10665e-05 +249 *3155:178 *3166:155 8.93134e-05 +250 *3157:91 *3166:82 5.76913e-05 +251 *3157:126 *3166:97 6.1061e-06 +252 *3157:126 *3166:101 1.50148e-05 +253 *3157:139 *3166:101 1.73239e-05 +254 *3157:139 *3166:105 0.000224628 +255 *3157:148 *3166:112 1.37531e-05 +256 *3157:166 *3166:130 0.000308201 +257 *3157:180 *3166:130 2.74378e-05 +258 *3157:200 *3166:130 2.53145e-06 +259 *3157:200 *3166:147 2.78454e-05 +260 *3164:131 *3166:112 0.000691503 +261 *3164:144 *3166:123 1.88152e-05 +*RES +1 *22203:X *3166:15 49.7984 +2 *3166:15 *3166:36 30.9228 +3 *3166:36 *22427:A2 17.8002 +4 *3166:36 *3166:51 16.7866 +5 *3166:51 *22493:A2 10.5271 +6 *3166:51 *3166:61 8.02525 +7 *3166:61 *22405:A2 19.464 +8 *3166:61 *3166:82 32.6853 +9 *3166:82 *22471:A2 15.0271 +10 *3166:82 *3166:97 21.7397 +11 *3166:97 *3166:101 15.0224 +12 *3166:101 *3166:105 37.5925 +13 *3166:105 *3166:107 47.5097 +14 *3166:107 *3166:112 37.4145 +15 *3166:112 *22206:A2 9.24915 +16 *3166:112 *3166:123 17.5167 +17 *3166:123 *22273:A2 9.24915 +18 *3166:123 *3166:130 19.604 +19 *3166:130 *3166:147 29.9723 +20 *3166:147 *22317:A2 9.24915 +21 *3166:147 *3166:155 40.7441 +22 *3166:155 *3166:159 7.1625 +23 *3166:159 *22361:A2 15.5186 +24 *3166:159 *3166:166 3.49641 +25 *3166:166 *22383:A2 21.3591 +26 *3166:166 *22339:A2 35.1003 +27 *3166:155 *22295:A2 21.1278 +28 *3166:130 *22251:A2 9.24915 +29 *3166:97 *22449:A2 15.2053 +*END + +*D_NET *3167 0.00134022 +*CONN +*I *22205:A I *D sky130_fd_sc_hd__buf_8 +*I *22204:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22205:A 0.000413112 +2 *22204:X 0.000413112 +3 *22205:A *3168:7 6.25741e-05 +4 *22205:A *3483:86 0.000178842 +5 *22204:A *22205:A 4.66121e-05 +6 *2411:26 *22205:A 9.3003e-05 +7 *3144:92 *22205:A 8.96596e-05 +8 *3162:11 *22205:A 4.33073e-05 +*RES +1 *22204:X *22205:A 36.9018 +*END + +*D_NET *3168 0.0861708 +*CONN +*I *22449:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22273:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22295:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22361:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22339:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22383:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22317:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22251:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22206:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22471:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22405:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22493:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22427:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22205:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22449:B2 5.09479e-05 +2 *22273:B2 5.00855e-05 +3 *22295:B2 0 +4 *22361:B2 4.64072e-05 +5 *22339:B2 0.000239459 +6 *22383:B2 0.000326895 +7 *22317:B2 0.000304674 +8 *22251:B2 1.63938e-05 +9 *22206:B2 5.19553e-05 +10 *22471:B2 2.79192e-05 +11 *22405:B2 2.50285e-05 +12 *22493:B2 9.36405e-05 +13 *22427:B2 1.09219e-05 +14 *22205:X 2.0864e-05 +15 *3168:198 0.000907364 +16 *3168:193 0.000548379 +17 *3168:190 0.00152851 +18 *3168:170 0.00191279 +19 *3168:160 0.00136241 +20 *3168:150 0.0014181 +21 *3168:135 0.00072353 +22 *3168:132 0.00132257 +23 *3168:119 0.00210017 +24 *3168:113 0.0026682 +25 *3168:106 0.0020241 +26 *3168:97 0.00130497 +27 *3168:78 0.00243866 +28 *3168:50 0.00181898 +29 *3168:39 0.00053893 +30 *3168:30 0.0011625 +31 *3168:29 0.0039945 +32 *3168:7 0.00576361 +33 *3168:5 0.00259099 +34 *22317:B2 *22253:C1 6.22259e-05 +35 *22339:B2 *21607:A 6.50727e-05 +36 *22339:B2 *5091:25 0.000295072 +37 *22339:B2 *5853:178 2.81931e-05 +38 *22361:B2 *22025:A 0.000107496 +39 *22361:B2 *22362:C1 1.65872e-05 +40 *22383:B2 *21607:A 0.000163056 +41 *22383:B2 *22296:C1 0.000111708 +42 *22405:B2 *22406:C1 2.16355e-05 +43 *22427:B2 *22428:C1 6.36477e-05 +44 *22449:B2 *22451:C1 1.6107e-05 +45 *22449:B2 *22778:A 0.000161262 +46 *22493:B2 *22494:C1 0.000158451 +47 *3168:5 *4804:37 9.95922e-06 +48 *3168:7 *22425:B 1.37189e-05 +49 *3168:7 *3179:40 0.00173508 +50 *3168:7 *3411:16 6.36477e-05 +51 *3168:7 *3483:11 0.00018744 +52 *3168:7 *3483:86 0.000257981 +53 *3168:7 *4804:37 0.000300324 +54 *3168:7 *5860:511 0.000954449 +55 *3168:29 *3179:103 0.00037273 +56 *3168:29 *3377:20 8.22427e-05 +57 *3168:29 *3407:23 0.000261383 +58 *3168:29 *3411:16 0.000734978 +59 *3168:29 *3428:14 0.000376155 +60 *3168:29 *3513:68 5.60804e-05 +61 *3168:29 *5674:45 1.38304e-05 +62 *3168:30 *3511:105 0.000177898 +63 *3168:30 *3511:109 0.000700105 +64 *3168:78 *22455:C1 0.000214014 +65 *3168:78 *3513:98 0.000279239 +66 *3168:78 *3513:121 0.000154488 +67 *3168:78 *3527:91 0.000754531 +68 *3168:78 *3531:128 0.000648803 +69 *3168:97 *22450:C1 7.99654e-05 +70 *3168:97 *22778:A 1.37669e-05 +71 *3168:97 *3543:192 1.26672e-05 +72 *3168:106 *3539:96 0.000313444 +73 *3168:106 *3539:109 8.03951e-06 +74 *3168:113 *3513:143 6.29502e-06 +75 *3168:113 *3537:103 5.47243e-05 +76 *3168:113 *3537:110 2.71926e-05 +77 *3168:113 *3537:126 2.11411e-05 +78 *3168:113 *3539:109 7.39223e-06 +79 *3168:113 *3539:129 0.000506513 +80 *3168:113 *3539:140 6.22482e-05 +81 *3168:113 *3699:23 0.000127541 +82 *3168:119 *21614:A 0.000137982 +83 *3168:119 *3527:156 0.000519503 +84 *3168:119 *4997:8 8.62625e-06 +85 *3168:119 *5861:97 0.000202191 +86 *3168:132 *24906:CLK 0.000163406 +87 *3168:135 *22207:C1 6.50727e-05 +88 *3168:160 *3236:8 5.04829e-06 +89 *3168:190 *22295:B1 0.000142758 +90 *3168:190 *22296:C1 1.88014e-05 +91 *3168:190 *3215:10 0.000913476 +92 *3168:190 *4822:71 0.000548787 +93 *3168:198 *21607:A 0.000865654 +94 *3168:198 *5091:25 0.00113081 +95 *3168:198 *5352:8 0.000258128 +96 *19875:B1 *22206:B2 4.15661e-05 +97 *19875:B1 *3168:150 7.84173e-05 +98 *21657:B2 *3168:7 0.000970855 +99 *21814:B1 *3168:150 0.000432298 +100 *21974:B1 *3168:150 0.000188738 +101 *21974:B1 *3168:160 7.92757e-06 +102 *21995:D *3168:7 0.000397401 +103 *22205:A *3168:7 6.25741e-05 +104 *22208:A2 *3168:160 0.000725253 +105 *22220:A1 *3168:160 0.000200794 +106 *22220:B2 *3168:160 0.000298318 +107 *22251:A1 *22251:B2 1.03434e-05 +108 *22251:A1 *3168:170 0.000167061 +109 *22251:A1 *3168:190 0.000241214 +110 *22251:B1 *3168:160 0.000158451 +111 *22252:A1 *22317:B2 2.02035e-05 +112 *22253:A2 *3168:190 7.92757e-06 +113 *22275:A1 *3168:160 5.57101e-06 +114 *22317:B1 *22317:B2 5.481e-05 +115 *22339:A2 *22383:B2 1.64462e-05 +116 *22340:A2 *22339:B2 0.000313139 +117 *22340:B2 *22339:B2 7.72394e-06 +118 *22361:B1 *22361:B2 6.08467e-05 +119 *22362:A1 *22339:B2 7.49142e-05 +120 *22383:A1 *22383:B2 1.94425e-05 +121 *22383:B1 *22383:B2 7.34948e-06 +122 *22405:A1 *22405:B2 2.16355e-05 +123 *22420:A2 *3168:7 0.000205101 +124 *22420:A2 *3168:29 3.20264e-05 +125 *22451:B1 *22449:B2 7.218e-05 +126 *22451:B1 *3168:97 7.08059e-05 +127 *22455:B1 *3168:97 0.000296841 +128 *22471:A1 *22471:B2 6.08467e-05 +129 *22493:B1 *22493:B2 6.08467e-05 +130 *22503:A1 *3168:30 3.96944e-05 +131 *22741:B1 *3168:106 2.02035e-05 +132 *22741:B1 *3168:113 3.12097e-05 +133 *22757:A1 *3168:78 2.38736e-05 +134 *22765:A1 *3168:7 0.0011706 +135 *22806:B1 *3168:29 0.000452559 +136 *22812:B1 *3168:113 0.000328709 +137 *74:44 *3168:39 0.000275425 +138 *74:44 *3168:50 0.000162522 +139 *74:44 *3168:78 0.000126753 +140 *1541:169 *3168:78 6.23101e-05 +141 *1546:42 *3168:78 8.56161e-05 +142 *1547:43 *3168:119 0.000403792 +143 *1550:45 *3168:132 7.09666e-06 +144 *1566:55 *3168:113 0.000198293 +145 *1588:64 *3168:7 0.000107496 +146 *1597:45 *3168:29 3.61399e-05 +147 *1603:8 *3168:119 9.60366e-05 +148 *1605:11 *22471:B2 6.3657e-05 +149 *1610:162 *22317:B2 0.000391697 +150 *1611:8 *22273:B2 6.71978e-06 +151 *1627:160 *22317:B2 0 +152 *1640:24 *3168:29 8.20742e-06 +153 *1721:164 *22273:B2 7.17386e-05 +154 *1721:164 *3168:160 6.1096e-05 +155 *1722:40 *22493:B2 3.31745e-05 +156 *1724:39 *3168:132 1.80887e-05 +157 *1729:35 *3168:119 0.000538117 +158 *1732:92 *3168:29 0.000735883 +159 *1787:23 *22206:B2 6.50727e-05 +160 *1789:68 *22206:B2 3.44695e-05 +161 *1789:68 *3168:150 8.09482e-05 +162 *1789:82 *22206:B2 7.09666e-06 +163 *1794:67 *3168:39 0.00039155 +164 *1794:67 *3168:50 0.000169619 +165 *1794:67 *3168:78 0.000276882 +166 *1795:29 *3168:29 0.000586039 +167 *2033:34 *3168:132 0.000182353 +168 *2115:110 *3168:7 3.4123e-05 +169 *2258:62 *22383:B2 4.49781e-05 +170 *2348:15 *3168:193 0.000219441 +171 *2348:15 *3168:198 0.000157355 +172 *2357:61 *22339:B2 0.000209946 +173 *2375:36 *3168:193 7.85959e-05 +174 *2375:36 *3168:198 4.49279e-05 +175 *2404:25 *22361:B2 1.03434e-05 +176 *2404:25 *3168:198 3.91944e-05 +177 *2407:22 *3168:29 0.000163315 +178 *2488:14 *22383:B2 0.000344358 +179 *2536:8 *3168:113 9.42485e-05 +180 *2536:28 *3168:113 1.32841e-05 +181 *2543:38 *3168:30 1.81083e-05 +182 *2543:38 *3168:39 7.31543e-05 +183 *2543:38 *3168:78 2.42716e-05 +184 *2545:55 *3168:190 0.00119944 +185 *2583:9 *22493:B2 0.000154145 +186 *2583:27 *3168:30 3.81416e-06 +187 *2583:27 *3168:39 1.57904e-05 +188 *2599:20 *3168:30 0.000136166 +189 *2601:23 *3168:160 5.44886e-05 +190 *2635:20 *3168:190 7.51747e-05 +191 *2662:37 *3168:78 0.000454754 +192 *2666:8 *22493:B2 1.65872e-05 +193 *2684:24 *3168:78 0.000118294 +194 *2694:36 *3168:7 0.000341722 +195 *2703:60 *3168:29 0 +196 *2732:47 *3168:78 3.29141e-05 +197 *2753:21 *3168:78 5.60804e-05 +198 *2765:21 *3168:132 4.97043e-05 +199 *2773:15 *3168:7 0.00062656 +200 *2796:18 *3168:132 0.000401887 +201 *2838:89 *3168:97 3.66651e-05 +202 *2846:36 *22273:B2 5.22654e-06 +203 *2850:12 *3168:160 0.000147249 +204 *2883:20 *3168:132 0.000145165 +205 *2918:16 *3168:150 1.35974e-05 +206 *2964:35 *3168:29 0.000243388 +207 *2976:58 *3168:29 0.00025175 +208 *2986:31 *3168:160 9.80242e-07 +209 *3004:23 *22427:B2 6.36477e-05 +210 *3004:31 *3168:30 3.69489e-05 +211 *3015:10 *3168:160 0.000148632 +212 *3027:27 *3168:113 5.49916e-05 +213 *3038:17 *22317:B2 2.0688e-05 +214 *3071:30 *3168:7 0.000400291 +215 *3117:74 *3168:7 0.000157987 +216 *3139:25 *3168:7 0.000509128 +217 *3139:27 *3168:7 0.00188378 +218 *3142:63 *3168:7 0.000202726 +219 *3148:239 *3168:78 0.000119034 +220 *3148:289 *22471:B2 1.5714e-05 +221 *3148:289 *3168:97 0.000567949 +222 *3155:116 *22471:B2 1.5714e-05 +223 *3155:116 *3168:97 0.000894347 +224 *3155:127 *3168:119 0.00134328 +225 *3155:139 *3168:119 8.67791e-05 +226 *3155:178 *22317:B2 0.000130292 +227 *3157:62 *3168:30 0.00103954 +228 *3157:139 *3168:132 0.000161773 +229 *3157:148 *3168:135 0.00164826 +230 *3157:200 *22317:B2 5.88009e-05 +231 *3157:200 *3168:190 1.75491e-05 +232 *3162:115 *3168:132 8.23875e-05 +233 *3162:129 *3168:160 1.88014e-05 +234 *3166:101 *3168:106 0.000527813 +235 *3166:105 *3168:106 9.15367e-06 +236 *3166:105 *3168:113 0.00168429 +237 *3166:112 *22206:B2 6.08467e-05 +238 *3166:112 *3168:135 0.00189874 +239 *3166:123 *22273:B2 1.57662e-05 +240 *3166:123 *3168:160 0.00013477 +241 *3166:130 *3168:160 1.96456e-05 +242 *3166:130 *3168:170 1.37563e-05 +*RES +1 *22205:X *3168:5 9.82786 +2 *3168:5 *3168:7 89.46 +3 *3168:7 *3168:29 41.0559 +4 *3168:29 *3168:30 24.6709 +5 *3168:30 *22427:B2 14.4725 +6 *3168:30 *3168:39 10.137 +7 *3168:39 *22493:B2 17.2697 +8 *3168:39 *3168:50 3.493 +9 *3168:50 *22405:B2 14.4725 +10 *3168:50 *3168:78 18.8046 +11 *3168:78 *22471:B2 14.8512 +12 *3168:78 *3168:97 27.139 +13 *3168:97 *3168:106 19.388 +14 *3168:106 *3168:113 42.2986 +15 *3168:113 *3168:119 49.2835 +16 *3168:119 *3168:132 35.549 +17 *3168:132 *3168:135 26.2983 +18 *3168:135 *22206:B2 15.474 +19 *3168:135 *3168:150 9.17673 +20 *3168:150 *3168:160 36.981 +21 *3168:160 *22251:B2 9.82786 +22 *3168:160 *3168:170 4.07513 +23 *3168:170 *22317:B2 26.9212 +24 *3168:170 *3168:190 31.7089 +25 *3168:190 *3168:193 8.82351 +26 *3168:193 *3168:198 20.9177 +27 *3168:198 *22383:B2 27.1727 +28 *3168:198 *22339:B2 27.7301 +29 *3168:193 *22361:B2 15.6059 +30 *3168:190 *22295:B2 9.24915 +31 *3168:150 *22273:B2 15.3735 +32 *3168:97 *22449:B2 12.0222 +*END + +*D_NET *3169 0.000503082 +*CONN +*I *22207:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22206:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22207:C1 0.000112988 +2 *22206:X 0.000112988 +3 *19875:B1 *22207:C1 5.8261e-05 +4 *1707:32 *22207:C1 1.07248e-05 +5 *1707:39 *22207:C1 0.000143047 +6 *3168:135 *22207:C1 6.50727e-05 +*RES +1 *22206:X *22207:C1 30.8842 +*END + +*D_NET *3170 0.000600504 +*CONN +*I *22208:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22207:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22208:C1 0.000111031 +2 *22207:X 0.000111031 +3 *22208:B1 *22208:C1 1.92172e-05 +4 *1787:23 *22208:C1 0.000191267 +5 *1799:28 *22208:C1 0.000129735 +6 *3166:123 *22208:C1 3.82228e-05 +*RES +1 *22207:X *22208:C1 31.4388 +*END + +*D_NET *3171 0.00320865 +*CONN +*I *22249:A I *D sky130_fd_sc_hd__and3_4 +*I *22208:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22249:A 0.000547423 +2 *22208:X 0.000547423 +3 *22249:A *4942:22 0.000841383 +4 *22208:A2 *22249:A 0.000296893 +5 *1658:86 *22249:A 0 +6 *1729:23 *22249:A 0.000203595 +7 *1741:40 *22249:A 0.000163085 +8 *1770:34 *22249:A 1.39847e-05 +9 *1770:58 *22249:A 0.000428023 +10 *2564:33 *22249:A 0.000131452 +11 *3164:144 *22249:A 3.53886e-05 +*RES +1 *22208:X *22249:A 47.7758 +*END + +*D_NET *3172 0.00500755 +*CONN +*I *22210:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22209:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22210:C1 0 +2 *22209:X 0.00104127 +3 *3172:6 0.00104127 +4 *3172:6 *3613:10 0.00115186 +5 *19801:A1 *3172:6 0.000112916 +6 *22210:B2 *3172:6 1.09233e-05 +7 *1558:42 *3172:6 4.46284e-06 +8 *2825:92 *3172:6 0.000134786 +9 *3019:28 *3172:6 0.00151007 +*RES +1 *22209:X *3172:6 45.8946 +2 *3172:6 *22210:C1 13.7491 +*END + +*D_NET *3173 0.01355 +*CONN +*I *22225:A I *D sky130_fd_sc_hd__and4_1 +*I *22210:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22225:A 0 +2 *22210:X 0.000704284 +3 *3173:33 0.00157095 +4 *3173:26 0.00252998 +5 *3173:23 0.00166331 +6 *3173:23 *21630:B1 0.000175818 +7 *3173:23 *3196:209 6.36477e-05 +8 *3173:23 *3252:17 0.000233299 +9 *3173:23 *3507:156 6.03237e-05 +10 *3173:23 *3511:147 4.81733e-06 +11 *3173:26 *22610:A2 5.80995e-05 +12 *3173:26 *3222:8 0.00138018 +13 *3173:26 *3507:165 3.74433e-05 +14 *3173:33 *22217:A2 0.000400272 +15 *3173:33 *22225:B 6.08467e-05 +16 *3173:33 *22225:C 6.64392e-05 +17 *3173:33 *22225:D 0.000154145 +18 *3173:33 *22249:B 7.92757e-06 +19 *3173:33 *22257:C1 0.000161243 +20 *3173:33 *22634:C 0.000714473 +21 *3173:33 *3190:169 3.63738e-05 +22 *19801:A2 *3173:23 1.9101e-05 +23 *19906:A2 *3173:33 7.0791e-05 +24 *22018:A *3173:26 0.000637188 +25 *22210:A1 *3173:23 3.79709e-05 +26 *22257:B1 *3173:33 0.000124683 +27 *22257:B2 *3173:33 6.65505e-05 +28 *22267:B *3173:26 3.91944e-05 +29 *22325:A1 *3173:26 2.37478e-05 +30 *1647:46 *3173:26 0.000123783 +31 *1647:46 *3173:33 3.64049e-05 +32 *2541:36 *3173:23 3.51771e-05 +33 *2589:38 *3173:33 5.51483e-06 +34 *2613:47 *3173:23 0 +35 *2825:92 *3173:23 0 +36 *2888:81 *3173:33 4.8111e-05 +37 *2984:24 *3173:26 6.60191e-06 +38 *2984:24 *3173:33 0.00147698 +39 *2985:44 *3173:23 0.000366407 +40 *3039:46 *3173:33 1.48943e-05 +41 *3046:29 *3173:33 0.000105722 +42 *3046:35 *3173:26 0.000193755 +43 *3046:35 *3173:33 3.35879e-05 +*RES +1 *22210:X *3173:23 31.5292 +2 *3173:23 *3173:26 29.9714 +3 *3173:26 *3173:33 49.9412 +4 *3173:33 *22225:A 9.24915 +*END + +*D_NET *3174 0.00280476 +*CONN +*I *22212:A I *D sky130_fd_sc_hd__buf_8 +*I *22211:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22212:A 0.000764834 +2 *22211:X 0.000764834 +3 *22212:A *3175:19 0.000298318 +4 *22212:A *4866:121 0 +5 *22212:A *5859:230 4.65431e-05 +6 *22211:B *22212:A 0.000264586 +7 *1418:311 *22212:A 2.19138e-05 +8 *1419:221 *22212:A 0.000119035 +9 *1648:10 *22212:A 0.000298318 +10 *1853:11 *22212:A 6.24474e-06 +11 *1884:257 *22212:A 9.75356e-05 +12 *2256:37 *22212:A 4.46283e-05 +13 *3122:11 *22212:A 7.79673e-05 +*RES +1 *22211:X *22212:A 45.779 +*END + +*D_NET *3175 0.131795 +*CONN +*I *22433:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22411:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22499:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22323:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22301:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22389:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22345:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22367:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22279:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22257:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22218:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22455:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22477:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22212:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22433:A2 0 +2 *22411:A2 0.000412144 +3 *22499:A2 3.31804e-05 +4 *22323:A2 0.000764217 +5 *22301:A2 0.00032523 +6 *22389:A2 0.000199839 +7 *22345:A2 0.000580827 +8 *22367:A2 0 +9 *22279:A2 0.000525887 +10 *22257:A2 0.000106152 +11 *22218:A2 2.06324e-05 +12 *22455:A2 0.000251712 +13 *22477:A2 7.86478e-05 +14 *22212:X 0.00205504 +15 *3175:306 0.000534499 +16 *3175:267 0.00231712 +17 *3175:257 0.00258503 +18 *3175:243 0.00236746 +19 *3175:220 0.00538299 +20 *3175:219 0.00378828 +21 *3175:195 0.00212557 +22 *3175:180 0.0016874 +23 *3175:163 0.00167143 +24 *3175:143 0.00140888 +25 *3175:131 0.000711733 +26 *3175:125 0.00119464 +27 *3175:122 0.00122968 +28 *3175:112 0.00268557 +29 *3175:110 0.00277618 +30 *3175:87 0.00195771 +31 *3175:74 0.00165938 +32 *3175:71 0.00282266 +33 *3175:57 0.00353175 +34 *3175:43 0.00337454 +35 *3175:37 0.00239293 +36 *3175:27 0.00190013 +37 *3175:19 0.0030029 +38 *22218:A2 *22218:C1 0 +39 *22301:A2 *22301:A1 0.000130886 +40 *22301:A2 *22306:B 0.000298399 +41 *22301:A2 *3179:136 1.05934e-05 +42 *22301:A2 *4814:77 9.7112e-06 +43 *22323:A2 *22322:A2 0.000139438 +44 *22323:A2 *3615:12 8.08437e-05 +45 *22389:A2 *3305:8 4.04832e-05 +46 *22411:A2 *22416:B 2.77625e-06 +47 *22411:A2 *22429:C1 5.88834e-06 +48 *22411:A2 *3177:192 7.09666e-06 +49 *22411:A2 *3177:208 6.22259e-05 +50 *22455:A2 *22770:A2 6.08467e-05 +51 *22455:A2 *22770:B2 1.04818e-05 +52 *3175:19 *21702:A1 0.000157177 +53 *3175:19 *3177:229 0.000241524 +54 *3175:19 *3179:27 0.000516236 +55 *3175:19 *4807:28 0.000416444 +56 *3175:27 *3481:77 0.000185483 +57 *3175:37 *22409:C1 0.000302597 +58 *3175:37 *22414:B2 2.58106e-05 +59 *3175:37 *22729:B2 0.000200794 +60 *3175:37 *3185:53 3.74433e-05 +61 *3175:37 *3483:30 0.000160617 +62 *3175:37 *3670:11 0.00104909 +63 *3175:43 *3432:31 1.43499e-05 +64 *3175:43 *3496:42 9.84424e-06 +65 *3175:57 *22504:B 5.89592e-05 +66 *3175:57 *3361:12 0.000726896 +67 *3175:57 *3421:17 1.96557e-05 +68 *3175:57 *3682:22 1.91246e-05 +69 *3175:57 *3751:14 8.03881e-05 +70 *3175:71 *22499:C1 2.57465e-06 +71 *3175:87 *22455:C1 1.27402e-05 +72 *3175:87 *22472:C1 0.000133225 +73 *3175:87 *22770:A2 0.000368905 +74 *3175:87 *22794:A2 0.000122533 +75 *3175:87 *3511:93 3.02287e-05 +76 *3175:87 *3543:192 7.85871e-06 +77 *3175:110 *22455:C1 1.15904e-05 +78 *3175:110 *22771:C1 0.000274052 +79 *3175:110 *3543:192 3.80436e-07 +80 *3175:112 *22777:C1 1.1573e-05 +81 *3175:112 *24361:RESET_B 0.000179618 +82 *3175:112 *24450:CLK 0.000162584 +83 *3175:112 *3543:176 0.000421543 +84 *3175:112 *3543:188 0.000523842 +85 *3175:112 *5867:78 0.000439971 +86 *3175:125 *22585:A2 1.47978e-05 +87 *3175:131 *22217:B2 0.000300565 +88 *3175:131 *22218:C1 3.82228e-05 +89 *3175:143 *22217:B2 0.00114496 +90 *3175:195 *22341:C1 6.08467e-05 +91 *3175:195 *3299:11 0.000300565 +92 *3175:195 *3324:11 2.37827e-05 +93 *3175:219 *22481:C1 0.000104754 +94 *3175:219 *22791:B2 0.000109796 +95 *3175:219 *3196:108 1.5714e-05 +96 *3175:219 *3427:28 1.97655e-05 +97 *3175:220 *22539:A2 0.000393863 +98 *3175:243 *22336:A2 4.99151e-05 +99 *3175:243 *22338:C 0.000332506 +100 *3175:243 *22539:A2 6.34006e-05 +101 *3175:243 *3204:299 0.000301869 +102 *3175:243 *3519:332 0.000301209 +103 *3175:257 *22646:A1 2.43314e-05 +104 *3175:257 *3190:15 2.137e-05 +105 *3175:257 *3190:17 6.36477e-05 +106 *3175:257 *3513:26 7.51378e-06 +107 *3175:257 *3513:39 5.61389e-05 +108 *3175:257 *3523:276 0.000349354 +109 *3175:257 *4819:57 6.08467e-05 +110 *3175:257 *4819:72 0.000278598 +111 *3175:267 *22306:A 8.69165e-05 +112 *3175:267 *3204:140 6.69797e-05 +113 *3175:267 *3543:15 0.000277959 +114 *3175:267 *4807:107 1.8882e-05 +115 *3175:267 *5944:102 3.20069e-06 +116 *3175:306 *22416:B 7.89747e-05 +117 *19828:A *3175:87 4.15826e-05 +118 *19907:D *3175:125 9.94284e-06 +119 *20162:B *3175:19 0.000586932 +120 *20250:A *3175:19 0 +121 *21500:A1 *22323:A2 2.16355e-05 +122 *21500:A2 *22323:A2 6.08467e-05 +123 *21500:B1 *22323:A2 1.15389e-05 +124 *21500:B1 *3175:220 3.95516e-05 +125 *21548:B2 *3175:87 4.78118e-05 +126 *21777:A1 *3175:87 3.77568e-05 +127 *21789:C1 *3175:37 0.000340742 +128 *21945:A2 *3175:87 0.000130501 +129 *21945:B1 *3175:57 0.000262424 +130 *21992:A1 *3175:57 0.000256895 +131 *22142:A *3175:19 8.69165e-05 +132 *22188:A *3175:19 3.91944e-05 +133 *22194:B *3175:27 3.81675e-05 +134 *22194:C *3175:27 2.43314e-05 +135 *22212:A *3175:19 0.000298318 +136 *22218:A1 *3175:143 6.50586e-05 +137 *22218:B2 *3175:131 1.03403e-05 +138 *22218:B2 *3175:143 0.000144518 +139 *22279:A1 *22279:A2 6.3657e-05 +140 *22279:B2 *22279:A2 0.000110306 +141 *22301:B2 *22301:A2 2.71504e-05 +142 *22323:A1 *22323:A2 5.80794e-05 +143 *22327:A1 *22323:A2 0.000117385 +144 *22340:A1 *3175:195 1.67658e-05 +145 *22340:A2 *3175:195 7.19343e-06 +146 *22340:B2 *3175:195 2.78272e-05 +147 *22341:A1 *22389:A2 1.5714e-05 +148 *22341:A1 *3175:195 0.00035144 +149 *22341:B1 *3175:195 6.29467e-05 +150 *22345:A1 *22345:A2 0.000110297 +151 *22345:B2 *22345:A2 0.000107496 +152 *22349:A2 *22345:A2 0.000620271 +153 *22367:A1 *3175:195 0.000253916 +154 *22367:B1 *3175:195 5.04829e-06 +155 *22393:A2 *22345:A2 0.000160162 +156 *22393:A2 *22389:A2 4.99151e-05 +157 *22408:A1 *3175:37 2.15348e-05 +158 *22408:A2 *3175:37 0.000301181 +159 *22408:B1 *3175:37 5.92189e-05 +160 *22408:B2 *3175:37 0.000496353 +161 *22414:A1 *3175:37 1.65872e-05 +162 *22414:A2 *3175:37 0.000107496 +163 *22420:B1 *3175:43 6.23101e-05 +164 *22431:A1 *3175:43 1.91613e-05 +165 *22433:B1 *22411:A2 3.12828e-05 +166 *22433:B2 *22411:A2 0.000425843 +167 *22437:A1 *3175:43 0.000267333 +168 *22437:A1 *3175:57 6.77459e-05 +169 *22477:A1 *22477:A2 1.5923e-05 +170 *22477:B2 *22477:A2 3.27454e-05 +171 *22499:A1 *22499:A2 4.80635e-06 +172 *22499:A1 *3175:57 9.19632e-06 +173 *22499:A1 *3175:71 3.99086e-06 +174 *22499:B1 *22499:A2 1.27109e-05 +175 *22499:B1 *3175:71 7.65608e-05 +176 *22503:A1 *3175:57 3.10304e-06 +177 *22729:B1 *3175:37 7.92757e-06 +178 *22732:A1 *3175:37 2.7936e-05 +179 *24910:A *3175:71 6.91717e-05 +180 *24910:A *3175:219 0.000314667 +181 *541:28 *3175:19 6.29002e-05 +182 *541:28 *3175:43 0.000562757 +183 *1461:275 *3175:219 1.91246e-05 +184 *1541:169 *3175:71 0.000713425 +185 *1541:169 *3175:219 0.00162684 +186 *1546:42 *3175:74 0.000147605 +187 *1546:42 *3175:87 0.000242426 +188 *1570:15 *3175:71 6.0552e-05 +189 *1587:137 *3175:43 6.24173e-05 +190 *1592:10 *3175:220 0.000104281 +191 *1610:7 *3175:243 4.65954e-06 +192 *1610:33 *22323:A2 6.08467e-05 +193 *1610:33 *3175:243 0.000160284 +194 *1614:19 *3175:243 7.92757e-06 +195 *1614:30 *3175:243 0.000110006 +196 *1635:120 *3175:143 0.000646381 +197 *1635:120 *3175:163 0.000522119 +198 *1635:120 *3175:180 0.000976051 +199 *1636:68 *3175:19 0.00125108 +200 *1647:121 *22279:A2 0.000280522 +201 *1648:10 *3175:19 4.99733e-05 +202 *1649:67 *3175:27 7.92757e-06 +203 *1651:52 *3175:37 0.000111631 +204 *1652:95 *22279:A2 0.000193576 +205 *1654:29 *3175:143 5.481e-05 +206 *1663:15 *3175:19 8.25951e-05 +207 *1680:137 *3175:257 0.000292422 +208 *1688:117 *3175:57 0.001436 +209 *1697:52 *3175:43 0.000669677 +210 *1697:52 *3175:57 5.83513e-05 +211 *1716:16 *3175:131 0.000182168 +212 *1729:34 *3175:131 0.000185766 +213 *1729:35 *3175:125 0.00406416 +214 *1730:240 *3175:71 0.000190566 +215 *1750:45 *3175:257 0.000194182 +216 *1764:94 *3175:71 0.00118467 +217 *1784:91 *3175:163 0.000158451 +218 *1784:91 *3175:180 0.00050861 +219 *1790:158 *3175:180 0.000132548 +220 *1792:101 *3175:306 6.46333e-05 +221 *1794:67 *3175:74 8.17829e-06 +222 *1794:67 *3175:87 0.000367194 +223 *1802:30 *3175:43 0.000154254 +224 *1802:80 *3175:43 0.000381119 +225 *1884:19 *3175:19 0.000137865 +226 *2254:11 *22345:A2 2.24715e-05 +227 *2254:11 *22389:A2 4.95613e-05 +228 *2281:13 *3175:57 9.96421e-05 +229 *2286:36 *3175:243 0.000101605 +230 *2306:48 *22345:A2 0.000699115 +231 *2368:23 *3175:27 2.31496e-05 +232 *2374:48 *3175:180 9.11636e-05 +233 *2377:13 *3175:180 0.000132548 +234 *2448:97 *22345:A2 3.54024e-05 +235 *2464:17 *3175:195 3.07095e-05 +236 *2464:51 *3175:195 2.16355e-05 +237 *2468:34 *3175:112 0.000346118 +238 *2546:21 *3175:267 0.00207733 +239 *2550:9 *22323:A2 1.69394e-06 +240 *2553:66 *3175:125 0.00305282 +241 *2554:56 *22323:A2 7.94607e-05 +242 *2557:20 *3175:243 2.23084e-05 +243 *2570:138 *3175:257 0.000148353 +244 *2583:27 *3175:71 7.09666e-06 +245 *2589:71 *22323:A2 0.000294093 +246 *2592:20 *3175:112 0.000430307 +247 *2592:28 *3175:112 6.84879e-05 +248 *2604:18 *3175:112 4.65545e-06 +249 *2604:18 *3175:122 0.000279076 +250 *2608:62 *3175:57 2.38904e-05 +251 *2608:62 *3175:71 0.000695705 +252 *2620:76 *3175:57 3.35675e-05 +253 *2649:41 *22477:A2 5.20546e-06 +254 *2649:51 *22477:A2 3.99086e-06 +255 *2652:25 *3175:43 3.55968e-05 +256 *2667:122 *3175:220 0.00319415 +257 *2690:40 *22301:A2 6.69861e-06 +258 *2694:36 *3175:27 9.54093e-05 +259 *2703:60 *3175:71 7.41502e-05 +260 *2704:72 *3175:71 0.00150591 +261 *2708:32 *3175:71 4.74932e-05 +262 *2708:44 *3175:57 9.68883e-05 +263 *2723:19 *22477:A2 0.000152184 +264 *2750:18 *3175:87 5.26262e-05 +265 *2750:28 *3175:87 0.000133941 +266 *2770:18 *22279:A2 6.34128e-05 +267 *2773:28 *3175:37 2.53759e-05 +268 *2776:29 *22279:A2 0.000469644 +269 *2800:25 *3175:27 0.000895053 +270 *2800:38 *3175:27 0.00130598 +271 *2800:38 *3175:37 0.000105837 +272 *2801:19 *3175:43 0.000204372 +273 *2801:25 *3175:37 0.000340742 +274 *2804:24 *3175:43 2.52488e-05 +275 *2806:14 *22279:A2 0.000165325 +276 *2807:39 *3175:110 0.00020208 +277 *2807:39 *3175:112 4.44668e-05 +278 *2820:62 *22279:A2 5.04829e-06 +279 *2828:25 *22455:A2 3.57578e-05 +280 *2828:25 *3175:87 0.000230604 +281 *2828:33 *22455:A2 1.23755e-05 +282 *2837:49 *3175:110 6.7678e-05 +283 *2862:11 *3175:87 0.000231 +284 *2862:21 *22455:A2 1.47978e-05 +285 *2889:20 *3175:112 2.98893e-05 +286 *2889:20 *3175:122 7.2465e-05 +287 *2889:22 *3175:112 5.45897e-05 +288 *2889:29 *3175:112 0.000566438 +289 *2950:125 *3175:43 0.00222971 +290 *2959:35 *3175:57 0.000267968 +291 *2964:59 *3175:57 0.000705676 +292 *2984:24 *22257:A2 0.000166094 +293 *2985:22 *22257:A2 0.000166094 +294 *3003:34 *3175:306 5.99155e-05 +295 *3052:28 *3175:19 0.0010472 +296 *3053:17 *3175:27 0.000154145 +297 *3058:6 *3175:112 0.000426592 +298 *3058:8 *3175:87 8.38511e-06 +299 *3058:8 *3175:110 4.48518e-05 +300 *3058:8 *3175:112 0.00140059 +301 *3058:22 *3175:74 0.000114455 +302 *3065:19 *3175:125 8.39223e-05 +303 *3066:26 *3175:71 0.000169181 +304 *3111:63 *3175:243 0.000394498 +305 *3114:15 *3175:19 0.00161651 +306 *3114:22 *3175:27 2.88574e-05 +307 *3114:66 *3175:43 1.10565e-05 +308 *3114:137 *3175:219 0.000308722 +309 *3114:275 *22389:A2 6.50586e-05 +310 *3117:149 *3175:267 3.60451e-05 +311 *3122:39 *3175:257 8.72065e-05 +312 *3124:131 *3175:267 2.32904e-05 +313 *3136:24 *3175:19 0.000127895 +314 *3136:71 *3175:37 0.000109262 +315 *3136:169 *3175:267 0.000248235 +316 *3146:65 *3175:71 0.000378121 +317 *3148:72 *22301:A2 0.000107101 +318 *3148:100 *3175:195 0.000590475 +319 *3148:117 *3175:163 0.000368462 +320 *3148:226 *3175:219 1.60578e-06 +321 *3148:250 *22499:A2 0 +322 *3148:289 *22455:A2 9.93087e-05 +323 *3148:289 *3175:87 0 +324 *3153:26 *3175:19 0.000158371 +325 *3155:11 *3175:27 6.08467e-05 +326 *3155:24 *3175:27 0.00210773 +327 *3155:104 *3175:74 0.000300476 +328 *3155:104 *3175:87 0.000252993 +329 *3164:54 *3175:57 7.62935e-05 +330 *3164:113 *3175:112 0.000517279 +331 *3164:121 *3175:112 3.55859e-05 +332 *3164:121 *3175:122 6.71381e-05 +333 *3166:36 *3175:57 0.000240185 +*RES +1 *22212:X *3175:19 47.5495 +2 *3175:19 *3175:27 48.8205 +3 *3175:27 *3175:37 46.6169 +4 *3175:37 *3175:43 13.0725 +5 *3175:43 *3175:57 31.78 +6 *3175:57 *3175:71 16.6477 +7 *3175:71 *3175:74 9.56093 +8 *3175:74 *22477:A2 16.3293 +9 *3175:74 *3175:87 35.8827 +10 *3175:87 *22455:A2 19.5604 +11 *3175:87 *3175:110 9.69736 +12 *3175:110 *3175:112 76.785 +13 *3175:112 *3175:122 11.9135 +14 *3175:122 *3175:125 47.8888 +15 *3175:125 *3175:131 15.9894 +16 *3175:131 *22218:A2 9.82786 +17 *3175:131 *3175:143 19.6281 +18 *3175:143 *22257:A2 21.7421 +19 *3175:143 *3175:163 20.9544 +20 *3175:163 *22279:A2 34.795 +21 *3175:163 *3175:180 23.3386 +22 *3175:180 *22367:A2 9.24915 +23 *3175:180 *3175:195 33.6046 +24 *3175:195 *22345:A2 32.5022 +25 *3175:195 *22389:A2 18.3808 +26 *3175:71 *3175:219 10.8215 +27 *3175:219 *3175:220 46.2009 +28 *3175:220 *3175:243 48.3271 +29 *3175:243 *3175:257 40.6342 +30 *3175:257 *3175:267 46.2804 +31 *3175:267 *22301:A2 26.1282 +32 *3175:220 *22323:A2 32.0895 +33 *3175:57 *22499:A2 10.2378 +34 *3175:43 *3175:306 11.4651 +35 *3175:306 *22411:A2 25.8148 +36 *3175:306 *22433:A2 9.24915 +*END + +*D_NET *3176 0.00130335 +*CONN +*I *22214:A I *D sky130_fd_sc_hd__buf_8 +*I *22213:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22214:A 0.000253665 +2 *22213:X 0.000253665 +3 *22214:A *3177:7 6.08467e-05 +4 *22214:A *4866:110 0.000684442 +5 *22213:A *22214:A 5.07314e-05 +*RES +1 *22213:X *22214:A 26.4315 +*END + +*D_NET *3177 0.177424 +*CONN +*I *22388:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22366:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22278:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22217:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22256:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22344:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22322:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22300:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22454:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22498:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22410:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22432:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22476:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22214:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22388:A2 0 +2 *22366:A2 0 +3 *22278:A2 0 +4 *22217:A2 0.00140279 +5 *22256:A2 0.000116732 +6 *22344:A2 0 +7 *22322:A2 0.00203167 +8 *22300:A2 7.36506e-05 +9 *22454:A2 0 +10 *22498:A2 0 +11 *22410:A2 0.000196457 +12 *22432:A2 1.70228e-05 +13 *22476:A2 9.52353e-05 +14 *22214:X 3.07124e-05 +15 *3177:339 0.00217951 +16 *3177:329 0.00145041 +17 *3177:327 0.0023698 +18 *3177:315 0.00238838 +19 *3177:303 0.00102996 +20 *3177:298 0.00192983 +21 *3177:288 0.00424496 +22 *3177:287 0.00258149 +23 *3177:262 0.00231106 +24 *3177:251 0.00137629 +25 *3177:250 0.00232711 +26 *3177:237 0.00202385 +27 *3177:229 0.00210565 +28 *3177:208 0.00144295 +29 *3177:192 0.00176385 +30 *3177:183 0.000488286 +31 *3177:173 0.000442059 +32 *3177:171 0.000534405 +33 *3177:168 0.00195093 +34 *3177:148 0.00356187 +35 *3177:130 0.00295849 +36 *3177:120 0.00277016 +37 *3177:114 0.0026933 +38 *3177:111 0.00210356 +39 *3177:105 0.00310221 +40 *3177:99 0.00331806 +41 *3177:78 0.00162916 +42 *3177:77 0.000599383 +43 *3177:63 0.00819807 +44 *3177:62 0.00764471 +45 *3177:60 7.28039e-05 +46 *3177:59 7.28039e-05 +47 *3177:57 0.00128255 +48 *3177:55 0.00249228 +49 *3177:50 0.00239005 +50 *3177:37 0.0024467 +51 *3177:27 0.00271556 +52 *3177:16 0.002178 +53 *3177:7 0.00209981 +54 *22217:A2 *22257:C1 5.0187e-05 +55 *22217:A2 *3212:19 0.000894029 +56 *22322:A2 *22328:B 6.08467e-05 +57 *22322:A2 *3179:167 9.99931e-05 +58 *22476:A2 *22476:B2 3.9486e-05 +59 *3177:16 *22542:A 4.61732e-05 +60 *3177:16 *5948:76 0.000121819 +61 *3177:16 *5949:87 0.000302686 +62 *3177:16 *5950:81 0.000130502 +63 *3177:27 *24365:RESET_B 0.000663133 +64 *3177:27 *3479:10 0 +65 *3177:27 *5683:16 4.12833e-05 +66 *3177:27 *5794:45 1.66771e-05 +67 *3177:27 *5870:742 3.4123e-05 +68 *3177:27 *5948:76 0.000367366 +69 *3177:37 *20810:B 5.88009e-05 +70 *3177:37 *20824:A 7.22068e-05 +71 *3177:37 *20829:B1 0.000262224 +72 *3177:37 *24362:CLK 2.16355e-05 +73 *3177:37 *24365:RESET_B 1.27831e-06 +74 *3177:37 *4876:38 0 +75 *3177:37 *5944:44 8.98279e-05 +76 *3177:37 *5946:36 5.04829e-06 +77 *3177:37 *5949:41 0 +78 *3177:37 *5956:48 0.000640453 +79 *3177:50 *24282:RESET_B 7.77309e-06 +80 *3177:50 *6028:93 0.00025689 +81 *3177:55 *6027:58 5.63405e-05 +82 *3177:63 *22046:A 0.0002646 +83 *3177:63 *24219:RESET_B 8.58902e-06 +84 *3177:63 *24219:CLK 2.16355e-05 +85 *3177:63 *24303:RESET_B 1.62073e-05 +86 *3177:63 *24303:CLK 0.000477403 +87 *3177:63 *24665:CLK 0.000385708 +88 *3177:63 *4925:20 3.82228e-05 +89 *3177:63 *5870:659 1.80647e-05 +90 *3177:77 *19723:B1 0.000128804 +91 *3177:77 *20220:B1 7.91304e-05 +92 *3177:77 *24506:CLK 4.66492e-05 +93 *3177:77 *4828:129 0.00154758 +94 *3177:77 *4928:86 0.000261903 +95 *3177:77 *4928:142 4.66492e-05 +96 *3177:77 *5851:446 2.99287e-05 +97 *3177:77 *5858:233 1.91246e-05 +98 *3177:99 *24847:CLK 5.07314e-05 +99 *3177:99 *4928:86 0.000608646 +100 *3177:99 *4928:90 0.000107496 +101 *3177:99 *5098:7 6.65788e-05 +102 *3177:99 *5743:7 3.99086e-06 +103 *3177:105 *19637:A0 5.2068e-05 +104 *3177:105 *24887:RESET_B 0.000960184 +105 *3177:105 *24887:CLK 0.000161859 +106 *3177:105 *5806:11 0.000132735 +107 *3177:105 *5806:43 0.000104732 +108 *3177:111 *21131:A1 0 +109 *3177:111 *24026:A1 6.27718e-05 +110 *3177:111 *4863:128 0 +111 *3177:111 *4864:124 9.03239e-05 +112 *3177:111 *5439:44 0 +113 *3177:111 *5440:8 6.27718e-05 +114 *3177:111 *5440:13 0.000112747 +115 *3177:111 *5440:29 1.37421e-05 +116 *3177:111 *5476:16 0.00052591 +117 *3177:111 *5485:20 0 +118 *3177:111 *5485:27 0.00037186 +119 *3177:111 *5852:53 8.62625e-06 +120 *3177:120 *6001:116 8.86481e-05 +121 *3177:130 *24057:A0 7.39264e-05 +122 *3177:130 *24449:CLK 0.00155085 +123 *3177:148 *24057:A1 0 +124 *3177:148 *5452:11 5.83513e-05 +125 *3177:168 *4832:127 0.000148823 +126 *3177:168 *4931:44 4.15093e-05 +127 *3177:183 *22476:B2 2.199e-05 +128 *3177:183 *3179:44 4.59894e-05 +129 *3177:183 *3179:64 0.000365094 +130 *3177:208 *22735:A2 0.000135571 +131 *3177:208 *3507:78 4.90653e-05 +132 *3177:229 *3192:12 3.82228e-05 +133 *3177:229 *3198:10 2.53636e-05 +134 *3177:229 *3198:18 0.00148895 +135 *3177:229 *5950:81 0.000155109 +136 *3177:237 *5909:26 0.00114732 +137 *3177:250 *4806:24 0.000309765 +138 *3177:250 *4819:40 5.35941e-05 +139 *3177:262 *3179:136 6.16595e-06 +140 *3177:262 *3543:16 3.45827e-05 +141 *3177:288 *21696:B1 6.34651e-06 +142 *3177:288 *22401:A2 0.000141165 +143 *3177:288 *3179:190 0.00124255 +144 *3177:288 *3206:173 0.000360293 +145 *3177:288 *3206:190 0.00143544 +146 *3177:288 *3333:33 0.000146864 +147 *3177:288 *3476:184 0.00010817 +148 *3177:288 *3539:12 0.000154508 +149 *3177:288 *3539:27 0.000415965 +150 *3177:288 *3543:33 0 +151 *3177:288 *4820:18 6.07314e-05 +152 *3177:298 *22401:A2 2.10515e-05 +153 *3177:298 *22676:A2 0.000110505 +154 *3177:298 *22684:A2 0.000142024 +155 *3177:298 *22717:B2 0.00118643 +156 *3177:298 *3192:104 0.000123931 +157 *3177:298 *3493:45 0.000714096 +158 *3177:303 *22345:C1 0.000111802 +159 *3177:303 *22684:A2 4.32856e-05 +160 *3177:303 *3541:56 1.9101e-05 +161 *3177:315 *22345:C1 0.000251669 +162 *3177:315 *22684:B2 0.000329939 +163 *3177:315 *22685:B2 9.72918e-06 +164 *3177:315 *22720:B2 0.000170911 +165 *3177:315 *22721:C1 5.22367e-05 +166 *3177:327 *22340:C1 0.000154145 +167 *3177:327 *22367:C1 6.78364e-06 +168 *3177:327 *22388:B2 3.31745e-05 +169 *3177:327 *3326:15 4.71241e-06 +170 *3177:329 *22367:C1 7.76105e-06 +171 *3177:329 *3179:243 0.0010055 +172 *3177:339 *3179:243 1.41976e-05 +173 *3177:339 *3179:251 6.08467e-05 +174 *19902:A *22476:A2 1.43848e-05 +175 *19919:A *3177:148 2.79405e-05 +176 *20012:B1 *3177:130 7.08723e-06 +177 *20229:A *3177:57 6.08467e-05 +178 *20457:B2 *3177:63 0.000131328 +179 *20816:A *3177:27 0.000101644 +180 *20817:B2 *3177:27 0.000504784 +181 *20821:A *3177:50 1.59052e-05 +182 *20829:A2 *3177:37 0 +183 *20978:A2 *3177:55 7.50722e-05 +184 *21131:B1 *3177:111 0 +185 *21169:B *3177:37 2.94426e-05 +186 *21181:C1 *3177:298 6.11393e-06 +187 *21224:B2 *3177:57 0.000162583 +188 *21235:C1 *3177:298 0.000116428 +189 *21242:A2 *3177:288 0.000140951 +190 *21359:A1 *3177:288 0.000293169 +191 *21412:C1 *3177:298 0.00013228 +192 *21653:A2 *3177:288 3.89381e-05 +193 *21684:B *3177:168 0.000924292 +194 *21927:B1 *3177:148 1.65039e-05 +195 *22177:C *3177:27 1.55462e-05 +196 *22178:A *3177:16 0.000122083 +197 *22188:A *3177:229 0.000575697 +198 *22214:A *3177:7 6.08467e-05 +199 *22215:D *3177:16 4.31703e-05 +200 *22217:A1 *22217:A2 9.5146e-06 +201 *22261:B2 *22256:A2 3.3267e-05 +202 *22323:A1 *22322:A2 5.51483e-06 +203 *22323:A2 *22322:A2 0.000139438 +204 *22323:B2 *22322:A2 0.000254551 +205 *22327:A1 *22322:A2 0.000207901 +206 *22327:A2 *22322:A2 1.1718e-05 +207 *22339:A1 *3177:327 7.92757e-06 +208 *22339:A2 *3177:327 0.000274715 +209 *22339:B1 *3177:327 1.41853e-05 +210 *22340:A1 *3177:315 5.88009e-05 +211 *22341:A2 *3177:315 2.13597e-05 +212 *22366:B1 *3177:329 1.65872e-05 +213 *22373:A1 *3177:298 1.27831e-05 +214 *22374:B1 *3177:298 0.000140176 +215 *22389:B2 *3177:315 0.000128739 +216 *22411:A2 *3177:192 7.09666e-06 +217 *22411:A2 *3177:208 6.22259e-05 +218 *22411:B1 *3177:208 0.000662272 +219 *22432:A1 *3177:183 3.64547e-05 +220 *22433:B1 *3177:208 0.000166568 +221 *22433:B2 *22410:A2 1.41976e-05 +222 *22541:A *3177:16 0.000102079 +223 *22602:B1 *22322:A2 0.000110297 +224 *22613:B1 *3177:339 0.00078531 +225 *22620:B1 *22322:A2 0.000131355 +226 *22681:B1 *3177:298 1.02986e-05 +227 *22685:B1 *3177:298 3.34479e-05 +228 *22694:A1 *3177:288 1.5714e-05 +229 *22722:A1 *3177:298 8.34211e-06 +230 *23931:B2 *3177:50 0.000252337 +231 *23993:A0 *3177:55 0.000243361 +232 *24001:A0 *3177:57 0.00247875 +233 *24202:D *3177:114 0 +234 *24260:D *3177:55 4.5248e-05 +235 *24281:D *3177:55 0 +236 *24303:D *3177:63 0.000266115 +237 *24364:D *3177:27 4.42987e-06 +238 *24365:D *3177:27 5.81224e-05 +239 *24369:D *3177:37 0 +240 *24604:D *3177:63 0.000253916 +241 *24762:D *3177:77 1.62686e-05 +242 *24843:D *3177:99 0.000468339 +243 *24843:D *3177:105 0.000118277 +244 *24887:D *3177:111 0.000172691 +245 *439:66 *3177:55 1.44467e-05 +246 *468:8 *3177:130 8.14875e-05 +247 *476:18 *3177:148 0.000117322 +248 *504:16 *3177:50 0.000144179 +249 *510:41 *3177:315 0 +250 *514:16 *3177:168 3.74542e-05 +251 *518:58 *3177:37 5.12139e-05 +252 *520:27 *22322:A2 0.00312531 +253 *520:27 *3177:287 0.000204796 +254 *531:30 *3177:229 0.000795111 +255 *1419:8 *3177:50 0.000117301 +256 *1419:8 *3177:55 0.000223628 +257 *1435:67 *3177:168 0.000166018 +258 *1438:78 *3177:148 0.000109796 +259 *1442:146 *3177:148 2.13874e-05 +260 *1450:176 *3177:288 5.97745e-05 +261 *1455:59 *3177:130 0.00174024 +262 *1515:34 *3177:120 8.62625e-06 +263 *1520:52 *3177:37 2.22923e-05 +264 *1522:40 *3177:111 0 +265 *1537:12 *3177:148 0.000476312 +266 *1541:128 *3177:183 3.3239e-06 +267 *1541:128 *3177:192 1.25575e-05 +268 *1573:8 *3177:168 9.57557e-06 +269 *1573:120 *3177:237 0.00078925 +270 *1576:16 *3177:250 0.000572142 +271 *1577:103 *3177:288 2.58521e-05 +272 *1584:153 *3177:250 0.000177983 +273 *1610:37 *22300:A2 0.000114584 +274 *1610:37 *3177:251 0.00155647 +275 *1611:8 *22217:A2 7.13655e-06 +276 *1635:116 *3177:327 0.000115525 +277 *1636:33 *3177:50 0.000198225 +278 *1636:33 *3177:55 0.000353997 +279 *1638:11 *3177:168 8.62625e-06 +280 *1656:37 *22217:A2 7.37489e-05 +281 *1658:73 *22217:A2 0.000763199 +282 *1658:226 *3177:288 1.54479e-05 +283 *1663:27 *22217:A2 0.000309256 +284 *1663:27 *3177:339 0.000324912 +285 *1683:29 *3177:168 4.40351e-05 +286 *1701:20 *3177:50 0.000364356 +287 *1706:46 *3177:168 0.000124958 +288 *1721:162 *22217:A2 9.69052e-06 +289 *1721:164 *22217:A2 6.34651e-06 +290 *1722:40 *3177:208 0.000634013 +291 *1725:33 *3177:114 0.000107079 +292 *1726:6 *3177:105 0.000188073 +293 *1729:80 *3177:168 0.000141226 +294 *1735:132 *22322:A2 5.97745e-05 +295 *1742:67 *3177:37 0.00010839 +296 *1753:30 *3177:130 0.00030127 +297 *1755:110 *3177:168 0.000112175 +298 *1761:74 *3177:148 0.000577145 +299 *1762:40 *3177:148 0 +300 *1765:15 *3177:120 0.00288581 +301 *1771:131 *3177:339 0.000121724 +302 *1795:29 *3177:208 0.000120211 +303 *1845:92 *3177:111 0.00024568 +304 *1884:24 *3177:237 0.000157739 +305 *1947:212 *3177:168 0.000382346 +306 *1947:215 *3177:148 0.000466765 +307 *1964:7 *3177:63 0.000172001 +308 *2108:33 *3177:27 2.49656e-05 +309 *2111:17 *3177:16 0.000597572 +310 *2115:48 *3177:16 0 +311 *2120:12 *3177:16 7.00288e-05 +312 *2120:12 *3177:229 3.20069e-06 +313 *2177:78 *3177:250 0.000752603 +314 *2177:82 *3177:250 8.64791e-05 +315 *2218:42 *3177:114 0.000233759 +316 *2218:55 *3177:114 1.69932e-05 +317 *2219:27 *3177:114 9.71143e-05 +318 *2219:36 *3177:114 0.000225986 +319 *2219:46 *3177:114 0.000220541 +320 *2240:8 *3177:50 0.000183855 +321 *2240:19 *3177:50 0.000158077 +322 *2244:73 *3177:288 4.49912e-05 +323 *2250:55 *3177:315 0.000748542 +324 *2257:29 *3177:315 0 +325 *2258:62 *3177:298 4.88722e-05 +326 *2258:64 *3177:288 0.000242733 +327 *2258:64 *3177:298 0.000414763 +328 *2282:84 *3177:229 1.19726e-05 +329 *2291:10 *3177:57 0.00247877 +330 *2294:63 *3177:298 1.96611e-05 +331 *2294:63 *3177:303 5.24931e-05 +332 *2294:63 *3177:315 6.08467e-05 +333 *2294:63 *3177:327 0.000391697 +334 *2295:13 *3177:77 0.00108373 +335 *2298:30 *3177:298 2.75376e-05 +336 *2313:19 *3177:229 2.05376e-05 +337 *2313:33 *3177:250 7.60356e-05 +338 *2348:15 *3177:327 0.000258838 +339 *2373:32 *3177:327 1.69247e-05 +340 *2374:48 *3177:327 1.21461e-06 +341 *2416:19 *3177:327 0.000140028 +342 *2417:82 *3177:288 0.000141454 +343 *2426:53 *3177:63 1.75637e-06 +344 *2426:61 *3177:63 0.000275746 +345 *2426:68 *3177:63 5.47711e-05 +346 *2426:71 *3177:57 9.09664e-05 +347 *2426:71 *3177:63 0.000861457 +348 *2426:73 *3177:57 0.000330461 +349 *2428:63 *3177:50 2.1032e-05 +350 *2429:25 *3177:168 0.000208435 +351 *2433:151 *3177:37 1.45137e-05 +352 *2439:21 *3177:55 0 +353 *2448:97 *3177:303 0.000111802 +354 *2448:97 *3177:315 0.000206309 +355 *2464:51 *3177:298 0.000435041 +356 *2467:123 *3177:16 2.39581e-05 +357 *2467:126 *3177:16 0.000681085 +358 *2467:126 *3177:27 0.000345216 +359 *2510:25 *3177:130 0.00065297 +360 *2521:27 *3177:208 1.91391e-05 +361 *2527:34 *22322:A2 8.08437e-05 +362 *2546:5 *3177:237 0.000350024 +363 *2554:21 *3177:339 8.5135e-05 +364 *2554:56 *22322:A2 8.43701e-05 +365 *2563:8 *3177:120 2.58814e-05 +366 *2589:38 *22217:A2 1.47563e-05 +367 *2608:62 *3177:208 0.00033536 +368 *2619:71 *3177:208 0.000126497 +369 *2632:67 *3177:208 0.000111802 +370 *2676:29 *3177:250 0.000709294 +371 *2676:45 *22322:A2 0.00177054 +372 *2676:45 *3177:287 0.000164161 +373 *2690:40 *3177:262 1.20894e-05 +374 *2704:31 *3177:130 1.5714e-05 +375 *2713:38 *3177:168 0.00065328 +376 *2801:19 *22432:A2 1.41307e-05 +377 *2803:27 *3177:99 0.00101155 +378 *2804:24 *22432:A2 6.3657e-05 +379 *2812:11 *3177:77 0.000163655 +380 *2825:57 *22322:A2 0.000205593 +381 *2869:32 *3177:339 3.58315e-06 +382 *2870:40 *3177:298 8.06912e-05 +383 *2895:7 *3177:148 0.000708159 +384 *2912:23 *22476:A2 6.08467e-05 +385 *2913:21 *3177:171 0.000305525 +386 *2922:33 *3177:114 5.69614e-05 +387 *2930:64 *22322:A2 5.99155e-05 +388 *2956:42 *22217:A2 6.48991e-05 +389 *2963:127 *22217:A2 0.000167447 +390 *2974:34 *22476:A2 0.000109765 +391 *2974:34 *3177:183 0.000451499 +392 *2976:45 *3177:168 0.000192671 +393 *2976:58 *3177:183 6.14756e-06 +394 *2976:58 *3177:192 2.57465e-05 +395 *2976:58 *3177:208 0.000554459 +396 *2984:24 *22256:A2 0.000101489 +397 *2985:22 *22256:A2 0.000107852 +398 *2985:36 *22256:A2 9.12416e-06 +399 *2993:20 *3177:130 0.000127402 +400 *2998:28 *3177:208 1.62321e-05 +401 *3023:17 *3177:208 8.03915e-05 +402 *3038:17 *22217:A2 0 +403 *3050:35 *3177:288 0.000161542 +404 *3091:28 *3177:16 1.4789e-05 +405 *3091:28 *3177:229 1.92652e-05 +406 *3093:12 *3177:50 0.00112127 +407 *3114:216 *22256:A2 4.6902e-05 +408 *3114:268 *3177:327 9.80242e-07 +409 *3126:12 *3177:250 7.94462e-05 +410 *3128:176 *22256:A2 0.000111802 +411 *3136:24 *3177:229 0.000291304 +412 *3148:100 *3177:327 0.000642085 +413 *3148:106 *3177:327 3.03403e-05 +414 *3148:117 *3177:339 0.000111709 +415 *3148:206 *22322:A2 0.000779736 +416 *3148:254 *22410:A2 8.93134e-05 +417 *3173:33 *22217:A2 0.000400272 +418 *3175:19 *3177:229 0.000241524 +*RES +1 *22214:X *3177:7 14.4725 +2 *3177:7 *3177:16 34.6892 +3 *3177:16 *3177:27 49.3482 +4 *3177:27 *3177:37 39.4562 +5 *3177:37 *3177:50 49.7031 +6 *3177:50 *3177:55 35.7707 +7 *3177:55 *3177:57 48.9739 +8 *3177:57 *3177:59 9.24915 +9 *3177:59 *3177:60 104.301 +10 *3177:60 *3177:62 9.24915 +11 *3177:62 *3177:63 116.636 +12 *3177:63 *3177:77 33.2323 +13 *3177:77 *3177:78 57.9449 +14 *3177:78 *3177:99 48.5204 +15 *3177:99 *3177:105 42.0418 +16 *3177:105 *3177:111 47.9666 +17 *3177:111 *3177:114 27.5099 +18 *3177:114 *3177:120 43.1678 +19 *3177:120 *3177:130 48.6248 +20 *3177:130 *3177:148 47.1731 +21 *3177:148 *3177:168 49.922 +22 *3177:168 *3177:171 12.9878 +23 *3177:171 *3177:173 4.5 +24 *3177:173 *22476:A2 16.7198 +25 *3177:173 *3177:183 11.3828 +26 *3177:183 *22432:A2 14.4725 +27 *3177:183 *3177:192 2.24725 +28 *3177:192 *22410:A2 16.691 +29 *3177:192 *3177:208 45.056 +30 *3177:208 *22498:A2 9.24915 +31 *3177:171 *22454:A2 9.24915 +32 *3177:7 *3177:229 49.2846 +33 *3177:229 *3177:237 39.3151 +34 *3177:237 *3177:250 48.5527 +35 *3177:250 *3177:251 17.9161 +36 *3177:251 *22300:A2 10.5271 +37 *3177:251 *3177:262 11.9847 +38 *3177:262 *22322:A2 43.9101 +39 *3177:262 *3177:287 3.64814 +40 *3177:287 *3177:288 67.4418 +41 *3177:288 *3177:298 47.9738 +42 *3177:298 *3177:303 9.30764 +43 *3177:303 *22344:A2 9.24915 +44 *3177:303 *3177:315 32.353 +45 *3177:315 *3177:327 38.5381 +46 *3177:327 *3177:329 12.9247 +47 *3177:329 *3177:339 15.9955 +48 *3177:339 *22256:A2 17.8531 +49 *3177:339 *22217:A2 40.4834 +50 *3177:329 *22278:A2 9.24915 +51 *3177:327 *22366:A2 9.24915 +52 *3177:315 *22388:A2 9.24915 +*END + +*D_NET *3178 0.00120545 +*CONN +*I *22216:A I *D sky130_fd_sc_hd__buf_8 +*I *22215:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22216:A 0.000234992 +2 *22215:X 0.000234992 +3 *22216:A *4866:110 0.000572454 +4 *2111:20 *22216:A 3.58321e-05 +5 *2440:55 *22216:A 0.000127179 +*RES +1 *22215:X *22216:A 36.015 +*END + +*D_NET *3179 0.106257 +*CONN +*I *22344:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22388:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22278:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22217:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22256:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22366:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22300:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22322:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22498:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22410:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22432:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22454:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22476:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22216:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22344:B2 0.000177165 +2 *22388:B2 0.000303075 +3 *22278:B2 5.98295e-05 +4 *22217:B2 0.00129959 +5 *22256:B2 0.000330535 +6 *22366:B2 0 +7 *22300:B2 2.0864e-05 +8 *22322:B2 0 +9 *22498:B2 0.000485368 +10 *22410:B2 9.36721e-06 +11 *22432:B2 0 +12 *22454:B2 0 +13 *22476:B2 0.000210076 +14 *22216:X 0.00171953 +15 *3179:251 0.00275265 +16 *3179:243 0.0015599 +17 *3179:233 0.00115501 +18 *3179:227 0.00166639 +19 *3179:224 0.00261608 +20 *3179:212 0.00328848 +21 *3179:202 0.00232521 +22 *3179:190 0.00216752 +23 *3179:167 0.00218741 +24 *3179:139 0.00226215 +25 *3179:136 0.002315 +26 *3179:134 0.00315958 +27 *3179:103 0.00172208 +28 *3179:74 0.00146393 +29 *3179:64 0.000489816 +30 *3179:44 0.000326931 +31 *3179:43 0.000945905 +32 *3179:40 0.00214704 +33 *3179:29 0.00306829 +34 *3179:27 0.00227629 +35 *3179:12 0.00469367 +36 *22217:B2 *22218:C1 4.72014e-05 +37 *22217:B2 *3236:8 0.000112378 +38 *22256:B2 *3236:8 0.000191095 +39 *22278:B2 *22272:B 0.000107496 +40 *22300:B2 *4825:133 9.95922e-06 +41 *22388:B2 *22389:C1 2.07503e-05 +42 *22388:B2 *3320:10 0.00020741 +43 *22410:B2 *22411:C1 6.50586e-05 +44 *22498:B2 *22757:B2 0.000131401 +45 *22498:B2 *3428:14 2.24955e-05 +46 *22498:B2 *3511:109 2.33068e-05 +47 *22498:B2 *3529:117 0.000135067 +48 *3179:12 *22542:A 0 +49 *3179:27 *21702:A1 0.000526685 +50 *3179:27 *3481:77 3.74542e-05 +51 *3179:29 *19901:A 0.000393863 +52 *3179:29 *3385:15 0.000358496 +53 *3179:29 *3481:37 0.000398169 +54 *3179:40 *22425:A 6.08467e-05 +55 *3179:40 *22425:B 3.11676e-05 +56 *3179:40 *22425:D 3.24737e-06 +57 *3179:40 *22426:B 9.18693e-05 +58 *3179:40 *3498:64 0.00014214 +59 *3179:43 *22425:A 1.03403e-05 +60 *3179:43 *22425:D 0.00081805 +61 *3179:43 *3373:49 4.11978e-05 +62 *3179:74 *3373:48 0.000118724 +63 *3179:103 *3428:14 0.000380153 +64 *3179:103 *3509:91 0.000209938 +65 *3179:103 *3511:109 8.90185e-06 +66 *3179:103 *3513:68 5.95477e-05 +67 *3179:134 *22637:A2 1.41307e-05 +68 *3179:134 *22637:B2 5.22859e-06 +69 *3179:134 *22638:B2 0.000110981 +70 *3179:134 *22640:A1 3.80571e-05 +71 *3179:134 *22653:A 6.3657e-05 +72 *3179:134 *22653:C 5.01835e-05 +73 *3179:134 *3483:87 0.000272064 +74 *3179:134 *3483:124 3.91685e-05 +75 *3179:134 *3523:16 8.7394e-06 +76 *3179:134 *3527:16 0.000755274 +77 *3179:134 *3527:24 4.31485e-06 +78 *3179:134 *3598:11 0.000126324 +79 *3179:134 *4804:46 0.00174778 +80 *3179:134 *4804:62 8.96465e-06 +81 *3179:134 *4805:73 2.76002e-05 +82 *3179:134 *4818:79 1.55025e-05 +83 *3179:136 *22591:B 6.56365e-05 +84 *3179:136 *4804:62 6.54392e-05 +85 *3179:136 *4804:96 8.80635e-05 +86 *3179:136 *4807:107 4.37709e-05 +87 *3179:139 *4825:127 0.000198857 +88 *3179:139 *4825:133 0.00013533 +89 *3179:167 *22323:C1 7.92757e-06 +90 *3179:167 *22641:A1 0.000110306 +91 *3179:167 *3481:136 7.22263e-05 +92 *3179:167 *3519:332 8.5792e-05 +93 *3179:167 *3607:10 0.000176781 +94 *3179:167 *4825:133 7.27261e-05 +95 *3179:167 *5874:133 0.00183579 +96 *3179:190 *3208:150 6.23875e-05 +97 *3179:202 *22677:B2 0.000156955 +98 *3179:202 *22707:D 0.000199722 +99 *3179:202 *3208:165 0.000368805 +100 *3179:202 *3315:14 0.000384139 +101 *3179:202 *3636:11 0.000399442 +102 *3179:212 *22375:A2 0.00059789 +103 *3179:212 *22402:C1 9.44503e-05 +104 *3179:212 *22716:A2 4.45676e-05 +105 *3179:212 *3198:170 0.000205574 +106 *3179:212 *3315:14 1.90721e-05 +107 *3179:212 *3315:18 0.000630977 +108 *3179:212 *3637:18 3.94119e-05 +109 *3179:212 *5863:29 0.000294093 +110 *3179:224 *22371:C1 0.000491206 +111 *3179:224 *22681:C1 9.35651e-05 +112 *3179:224 *22705:A2 0.000215023 +113 *3179:224 *3513:212 0.000625764 +114 *3179:224 *3541:63 0.000347214 +115 *3179:224 *3649:8 0.0010526 +116 *3179:227 *3320:10 0.000277682 +117 *3179:243 *22272:B 3.13128e-05 +118 *3179:243 *22367:C1 1.58551e-05 +119 *3179:251 *22262:B 5.90794e-06 +120 *3179:251 *22262:C 4.03381e-05 +121 *3179:251 *22272:B 2.57182e-05 +122 *19970:B1 *3179:103 4.54381e-05 +123 *21058:A *3179:27 0.000142654 +124 *21059:A *3179:27 0.000107496 +125 *21060:A *3179:29 6.08467e-05 +126 *21065:B2 *3179:29 0.0003012 +127 *21321:B1 *3179:134 8.41266e-06 +128 *21352:A1 *3179:134 1.76565e-05 +129 *21443:C *3179:190 3.13462e-05 +130 *21500:A1 *3179:167 2.57986e-05 +131 *21537:A1 *3179:167 6.84838e-05 +132 *21657:B2 *3179:27 2.16355e-05 +133 *21658:B2 *3179:202 9.18679e-06 +134 *21658:C1 *3179:167 7.56369e-05 +135 *21881:B1 *3179:12 0.00243211 +136 *21894:B1 *3179:12 0.000215066 +137 *22217:A1 *22217:B2 2.65831e-05 +138 *22257:B1 *3179:251 7.27261e-05 +139 *22278:A1 *3179:243 2.16355e-05 +140 *22298:A2 *3179:136 0.000221384 +141 *22301:A2 *3179:136 1.05934e-05 +142 *22322:A2 *3179:167 9.99931e-05 +143 *22322:B1 *3179:167 9.49926e-05 +144 *22323:B2 *3179:167 3.41459e-05 +145 *22327:A1 *3179:167 3.53886e-05 +146 *22339:A1 *22388:B2 0.000111722 +147 *22344:A1 *22344:B2 4.46277e-05 +148 *22344:B1 *22344:B2 9.95922e-06 +149 *22366:B1 *3179:243 8.51781e-05 +150 *22367:B1 *3179:233 0.000873082 +151 *22375:A1 *3179:212 0.00011393 +152 *22388:B1 *22388:B2 0.000154145 +153 *22411:A1 *3179:103 0.000264586 +154 *22414:B1 *3179:29 0.000347214 +155 *22435:A1 *3179:103 0.000269159 +156 *22476:A2 *22476:B2 3.9486e-05 +157 *22498:B1 *22498:B2 0.000340742 +158 *22602:B1 *3179:167 0.000109796 +159 *22620:B1 *3179:167 0.000133323 +160 *22685:B1 *22344:B2 1.27749e-05 +161 *22685:B1 *3179:224 1.21461e-06 +162 *22691:B1 *3179:212 0.00081601 +163 *22695:B1 *3179:233 0.000192906 +164 *22716:B1 *3179:212 0.000142625 +165 *22729:B1 *3179:29 0.000261013 +166 *22739:A1 *22498:B2 6.34651e-06 +167 *22749:A1 *3179:29 0.000107496 +168 *22757:A1 *22498:B2 8.72221e-06 +169 *22806:B1 *22498:B2 0.000116109 +170 *510:16 *3179:227 8.98169e-05 +171 *520:27 *3179:167 2.1929e-05 +172 *549:23 *3179:12 0.000122496 +173 *549:23 *3179:134 0.000263911 +174 *1435:105 *22498:B2 5.49916e-05 +175 *1562:21 *3179:202 1.58551e-05 +176 *1610:33 *3179:167 0.000173685 +177 *1610:37 *3179:139 0.000347399 +178 *1610:37 *3179:167 0.00030401 +179 *1618:137 *3179:224 0.000106312 +180 *1627:118 *3179:224 0.00010072 +181 *1647:121 *3179:243 2.61955e-05 +182 *1659:5 *3179:29 0.00159039 +183 *1660:40 *3179:27 2.49651e-05 +184 *1660:40 *3179:29 0.00019238 +185 *1661:24 *3179:29 0.00034841 +186 *1663:27 *3179:233 0.000117061 +187 *1725:69 *3179:44 7.09666e-06 +188 *1725:69 *3179:64 0.000133699 +189 *1803:16 *3179:44 6.67623e-06 +190 *2093:10 *3179:134 2.78094e-05 +191 *2093:15 *3179:134 2.58144e-05 +192 *2093:29 *3179:134 3.41459e-05 +193 *2111:20 *3179:12 0.000175779 +194 *2199:5 *3179:27 2.77625e-06 +195 *2200:19 *3179:29 4.66492e-05 +196 *2258:64 *3179:190 0.00121121 +197 *2294:63 *22388:B2 0.000305582 +198 *2294:63 *3179:227 1.17614e-05 +199 *2298:30 *3179:227 0.000355304 +200 *2337:22 *3179:233 0.000844817 +201 *2357:87 *3179:224 4.7975e-05 +202 *2360:66 *3179:224 3.26668e-05 +203 *2360:78 *3179:224 1.72594e-05 +204 *2361:54 *3179:202 7.09666e-06 +205 *2373:51 *3179:224 8.43855e-05 +206 *2374:48 *3179:233 4.68441e-05 +207 *2374:48 *3179:243 7.58067e-06 +208 *2380:84 *3179:134 2.22007e-06 +209 *2409:6 *22388:B2 6.61215e-06 +210 *2409:6 *3179:227 0.000142584 +211 *2411:26 *3179:27 3.29488e-05 +212 *2421:125 *3179:12 1.40486e-05 +213 *2448:97 *22344:B2 2.99287e-05 +214 *2464:12 *22388:B2 0.000178777 +215 *2503:99 *3179:167 4.62432e-06 +216 *2508:11 *3179:233 5.81031e-05 +217 *2508:11 *3179:243 3.58525e-05 +218 *2521:41 *22498:B2 8.67924e-06 +219 *2541:48 *3179:167 0.000129398 +220 *2550:9 *3179:167 0.000161234 +221 *2554:21 *3179:233 2.75462e-05 +222 *2589:38 *3179:251 0.000586869 +223 *2613:29 *3179:212 0.0001584 +224 *2613:85 *3179:167 0 +225 *2619:71 *3179:103 0.000260388 +226 *2632:67 *22498:B2 1.65872e-05 +227 *2652:14 *3179:40 0.000138715 +228 *2676:45 *3179:167 0.00127983 +229 *2676:45 *3179:190 5.01835e-05 +230 *2686:40 *3179:190 0.000589072 +231 *2690:40 *3179:136 0 +232 *2694:38 *3179:134 0.00014264 +233 *2801:19 *3179:74 9.94284e-06 +234 *2804:24 *3179:74 0.000250254 +235 *2825:57 *3179:167 0.000179708 +236 *2833:57 *22476:B2 3.7388e-05 +237 *2833:57 *3179:44 1.3449e-05 +238 *2833:57 *3179:74 0.000159515 +239 *2833:57 *3179:103 0.00012572 +240 *2851:14 *3179:103 0.000116971 +241 *2857:18 *3179:202 0.000154145 +242 *2919:42 *3179:167 0.000257959 +243 *2943:52 *3179:103 0.000115867 +244 *2959:43 *3179:40 9.18693e-05 +245 *2963:127 *22217:B2 0.000119505 +246 *2963:127 *22256:B2 0.000268033 +247 *2974:34 *22476:B2 1.32424e-05 +248 *2976:50 *3179:74 9.04506e-05 +249 *2976:58 *3179:64 9.12416e-06 +250 *2979:20 *3179:103 9.25322e-05 +251 *2979:29 *3179:43 0.00101588 +252 *2979:29 *3179:74 1.02986e-05 +253 *2979:29 *3179:103 9.29993e-05 +254 *3003:57 *3179:103 0.000122247 +255 *3026:5 *3179:212 0.000296893 +256 *3070:32 *22217:B2 3.82228e-05 +257 *3111:28 *3179:134 0.000130248 +258 *3111:94 *3179:136 0.000260661 +259 *3114:29 *3179:29 0.000182522 +260 *3114:96 *3179:167 0.000831458 +261 *3114:216 *22256:B2 5.78202e-05 +262 *3114:268 *22388:B2 1.55995e-05 +263 *3126:34 *3179:202 0.000549361 +264 *3128:209 *3179:233 0.00127603 +265 *3132:29 *3179:134 0.000504933 +266 *3134:47 *3179:103 1.91246e-05 +267 *3136:149 *3179:136 6.60191e-06 +268 *3136:153 *3179:136 0.000488374 +269 *3139:14 *3179:27 0.000351426 +270 *3139:176 *3179:190 0.000110949 +271 *3142:21 *3179:12 0.000126021 +272 *3142:21 *3179:134 4.15424e-05 +273 *3148:46 *3179:134 3.91711e-05 +274 *3148:46 *3179:136 7.67208e-05 +275 *3148:100 *3179:233 0.000673064 +276 *3148:106 *3179:243 5.13871e-05 +277 *3148:183 *3179:136 3.44695e-05 +278 *3148:206 *3179:167 0.000898621 +279 *3148:226 *3179:167 0.000107496 +280 *3148:254 *22410:B2 6.50586e-05 +281 *3151:17 *3179:27 0.000597616 +282 *3151:153 *3179:136 2.43621e-05 +283 *3151:153 *3179:190 0.000187311 +284 *3164:15 *3179:12 0.000935417 +285 *3168:7 *3179:40 0.00173508 +286 *3168:29 *3179:103 0.00037273 +287 *3175:19 *3179:27 0.000516236 +288 *3175:131 *22217:B2 0.000300565 +289 *3175:143 *22217:B2 0.00114496 +290 *3177:183 *22476:B2 2.199e-05 +291 *3177:183 *3179:44 4.59894e-05 +292 *3177:183 *3179:64 0.000365094 +293 *3177:262 *3179:136 6.16595e-06 +294 *3177:288 *3179:190 0.00124255 +295 *3177:327 *22388:B2 3.31745e-05 +296 *3177:329 *3179:243 0.0010055 +297 *3177:339 *3179:243 1.41976e-05 +298 *3177:339 *3179:251 6.08467e-05 +*RES +1 *22216:X *3179:12 25.9953 +2 *3179:12 *3179:27 25.7305 +3 *3179:27 *3179:29 47.3101 +4 *3179:29 *3179:40 46.3719 +5 *3179:40 *3179:43 21.8615 +6 *3179:43 *3179:44 2.6625 +7 *3179:44 *22476:B2 18.3902 +8 *3179:44 *22454:B2 13.7491 +9 *3179:43 *3179:64 12.4631 +10 *3179:64 *22432:B2 9.24915 +11 *3179:64 *3179:74 11.5135 +12 *3179:74 *22410:B2 14.4725 +13 *3179:74 *3179:103 44.3803 +14 *3179:103 *22498:B2 26.1638 +15 *3179:12 *3179:134 44.3784 +16 *3179:134 *3179:136 22.1794 +17 *3179:136 *3179:139 8.55102 +18 *3179:139 *3179:167 45.8114 +19 *3179:167 *22322:B2 9.24915 +20 *3179:139 *22300:B2 9.82786 +21 *3179:136 *3179:190 48.5068 +22 *3179:190 *3179:202 42.7698 +23 *3179:202 *3179:212 48.8676 +24 *3179:212 *3179:224 49.4395 +25 *3179:224 *3179:227 18.9972 +26 *3179:227 *3179:233 11.0562 +27 *3179:233 *22366:B2 13.7491 +28 *3179:233 *3179:243 17.317 +29 *3179:243 *3179:251 22.609 +30 *3179:251 *22256:B2 21.7272 +31 *3179:251 *22217:B2 38.1376 +32 *3179:243 *22278:B2 11.5158 +33 *3179:227 *22388:B2 23.4646 +34 *3179:224 *22344:B2 12.9626 +*END + +*D_NET *3180 0.000805209 +*CONN +*I *22218:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22217:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22218:C1 0.000290067 +2 *22217:X 0.000290067 +3 *19593:C *22218:C1 7.92757e-06 +4 *22217:A1 *22218:C1 6.78596e-05 +5 *22217:B1 *22218:C1 6.08467e-05 +6 *22217:B2 *22218:C1 4.72014e-05 +7 *22218:A2 *22218:C1 0 +8 *22218:B2 *22218:C1 3.01683e-06 +9 *3175:131 *22218:C1 3.82228e-05 +*RES +1 *22217:X *22218:C1 25.3464 +*END + +*D_NET *3181 0.00175372 +*CONN +*I *22225:B I *D sky130_fd_sc_hd__and4_1 +*I *22218:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22225:B 0.00046741 +2 *22218:X 0.00046741 +3 *22225:B *22225:C 0.000131341 +4 *22225:B *22249:B 3.58315e-06 +5 *22218:B2 *22225:B 0.000442634 +6 *2850:34 *22225:B 9.87885e-06 +7 *3015:10 *22225:B 0.000170619 +8 *3173:33 *22225:B 6.08467e-05 +*RES +1 *22218:X *22225:B 36.8749 +*END + +*D_NET *3182 0.00431572 +*CONN +*I *22220:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22219:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22220:C1 0.0013029 +2 *22219:X 0.0013029 +3 *22220:C1 *22274:C1 0.000497801 +4 *22207:A2 *22220:C1 6.08467e-05 +5 *22220:B2 *22220:C1 1.767e-05 +6 *22274:B2 *22220:C1 0 +7 *3155:140 *22220:C1 0.000497926 +8 *3157:166 *22220:C1 0.000327005 +9 *3157:180 *22220:C1 0.000302686 +10 *3166:130 *22220:C1 5.99529e-06 +*RES +1 *22219:X *22220:C1 40.1761 +*END + +*D_NET *3183 0.0024171 +*CONN +*I *22225:C I *D sky130_fd_sc_hd__and4_1 +*I *22220:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22225:C 0.000574394 +2 *22220:X 0.000574394 +3 *22225:C *22249:B 4.49912e-05 +4 *19906:A2 *22225:C 6.08467e-05 +5 *21974:A2 *22225:C 1.62054e-05 +6 *21974:B2 *22225:C 0.000168572 +7 *22225:B *22225:C 0.000131341 +8 *1654:29 *22225:C 0.000609719 +9 *2822:8 *22225:C 0 +10 *2850:34 *22225:C 0.000162021 +11 *3015:10 *22225:C 8.17829e-06 +12 *3173:33 *22225:C 6.64392e-05 +*RES +1 *22220:X *22225:C 44.1723 +*END + +*D_NET *3184 0.00112938 +*CONN +*I *22222:A I *D sky130_fd_sc_hd__buf_6 +*I *22221:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22222:A 0.000277303 +2 *22221:X 0.000277303 +3 *22222:A *4876:7 0.000309003 +4 *22222:A *5944:69 0.000132885 +5 *2088:103 *22222:A 0.000132885 +*RES +1 *22221:X *22222:A 33.6572 +*END + +*D_NET *3185 0.0772081 +*CONN +*I *22480:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22458:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *22502:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22326:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22348:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22304:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22392:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22370:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22260:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22223:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22282:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22436:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22414:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22222:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22480:B2 6.80825e-05 +2 *22458:B2 3.87764e-05 +3 *22502:B2 0.000383204 +4 *22326:B2 9.93427e-05 +5 *22348:B2 6.79e-05 +6 *22304:B2 0.00123939 +7 *22392:B2 0.000228231 +8 *22370:B2 2.06324e-05 +9 *22260:B2 0.000190376 +10 *22223:B2 0.000734486 +11 *22282:B2 6.78856e-05 +12 *22436:B2 2.60774e-05 +13 *22414:B2 0.000113973 +14 *22222:X 0.00143929 +15 *3185:184 0.00177704 +16 *3185:163 0.00125226 +17 *3185:155 0.00141837 +18 *3185:116 0.00151443 +19 *3185:107 0.00262809 +20 *3185:87 0.00260256 +21 *3185:79 0.00351327 +22 *3185:68 0.00336087 +23 *3185:53 0.00133636 +24 *3185:36 0.0010184 +25 *3185:30 0.00118617 +26 *3185:25 0.00350059 +27 *3185:18 0.00422189 +28 *22223:B2 *3533:62 0.000294561 +29 *22260:B2 *22555:B2 0.000158451 +30 *22282:B2 *3284:21 1.5714e-05 +31 *22282:B2 *3481:159 7.92757e-06 +32 *22304:B2 *3498:179 0.000197083 +33 *22304:B2 *3498:211 2.81881e-05 +34 *22304:B2 *3521:165 0.000358359 +35 *22304:B2 *3541:13 1.9101e-05 +36 *22304:B2 *4817:120 0.000114262 +37 *22304:B2 *4824:153 5.60804e-05 +38 *22326:B2 *3280:16 1.66771e-05 +39 *22326:B2 *3498:132 9.29815e-06 +40 *22392:B2 *3300:8 0.000173431 +41 *22392:B2 *3491:188 0.000106872 +42 *22392:B2 *3621:10 0.000177043 +43 *22436:B2 *3385:19 0.000107496 +44 *22502:B2 *3198:29 1.7883e-05 +45 *22502:B2 *3466:47 0.000106353 +46 *22502:B2 *5455:12 0.000106353 +47 *3185:18 *22531:A 0.000861689 +48 *3185:18 *24363:CLK 0.00017235 +49 *3185:18 *3479:41 0.000406995 +50 *3185:18 *3500:18 0.00172325 +51 *3185:18 *5948:81 3.08296e-05 +52 *3185:25 *22764:A2 3.955e-05 +53 *3185:25 *3206:88 0.000364123 +54 *3185:25 *3500:18 0.00111946 +55 *3185:25 *4824:180 0.00011864 +56 *3185:30 *3427:28 0 +57 *3185:30 *3498:44 5.83569e-05 +58 *3185:30 *3500:50 0.000102899 +59 *3185:36 *3406:13 0.000394659 +60 *3185:53 *3690:14 0.000110844 +61 *3185:68 *3690:14 0.000484986 +62 *3185:79 *3498:132 5.55436e-06 +63 *3185:107 *21696:B1 0.000177764 +64 *3185:107 *21730:A1 0.000182008 +65 *3185:107 *3217:14 0.000253977 +66 *3185:107 *3284:21 0.000355682 +67 *3185:107 *3496:174 6.74182e-05 +68 *3185:116 *22555:A2 8.29362e-05 +69 *3185:116 *22623:B2 2.57847e-05 +70 *3185:116 *22624:C1 1.9101e-05 +71 *3185:116 *3531:43 0.000429856 +72 *3185:155 *22617:B 4.0875e-05 +73 *3185:155 *3531:43 0.000128045 +74 *3185:155 *3533:38 8.95692e-05 +75 *3185:184 *5592:76 0.000192598 +76 *19801:B2 *22260:B2 0.000169273 +77 *21351:B1 *3185:25 2.16938e-05 +78 *21352:A1 *3185:18 0.00011195 +79 *21352:B2 *3185:25 5.7135e-05 +80 *21422:B1 *3185:184 8.2117e-06 +81 *21450:B1 *3185:79 0.00125332 +82 *21537:B2 *3185:79 8.41266e-06 +83 *21589:B1 *3185:79 9.24201e-05 +84 *21807:C *22223:B2 9.49244e-05 +85 *21881:A2 *3185:25 0.000375523 +86 *21881:A2 *3185:30 0.000530321 +87 *21909:A1 *3185:79 0.000109796 +88 *21909:B2 *3185:79 2.16945e-05 +89 *22054:A1 *3185:79 1.92564e-05 +90 *22223:A1 *22223:B2 0.000150086 +91 *22223:A2 *22223:B2 0.000703245 +92 *22223:A2 *3185:116 0.000300565 +93 *22223:B1 *22223:B2 3.41459e-05 +94 *22255:A1 *3185:116 5.01835e-05 +95 *22277:B1 *3185:107 0.000182837 +96 *22282:B1 *22282:B2 6.08467e-05 +97 *22323:A1 *3185:87 0.000155698 +98 *22342:A1 *22304:B2 0.000408444 +99 *22370:A1 *3185:163 0.000156955 +100 *22392:B1 *22392:B2 0.000107496 +101 *22414:A1 *22414:B2 0.000110297 +102 *22414:A2 *22414:B2 1.65872e-05 +103 *22480:A2 *3185:30 5.65467e-05 +104 *22502:A2 *22502:B2 1.55025e-05 +105 *22606:A1 *3185:107 0.000404857 +106 *22606:B1 *22260:B2 6.57406e-05 +107 *22624:B1 *3185:107 0.000218655 +108 *22666:B1 *22223:B2 3.55859e-05 +109 *22667:A1 *3185:155 6.36477e-05 +110 *22674:A1 *22304:B2 0.000108686 +111 *22675:B1 *22304:B2 1.54705e-05 +112 *22732:A1 *22414:B2 0.000294093 +113 *22768:A1 *3185:25 0.000294413 +114 *22768:A1 *3185:30 0.000211819 +115 *22786:A1 *22480:B2 3.88358e-05 +116 *529:26 *22392:B2 0.000298399 +117 *541:28 *3185:53 0.000107461 +118 *1449:84 *3185:30 9.96222e-05 +119 *1449:85 *3185:36 0.000344527 +120 *1449:85 *3185:53 0.000129228 +121 *1542:101 *3185:184 0.000234061 +122 *1553:36 *3185:25 0.000105762 +123 *1553:64 *3185:87 0.00248233 +124 *1553:64 *3185:116 0.000340742 +125 *1576:35 *3185:87 0.000153427 +126 *1584:49 *22223:B2 4.94614e-05 +127 *1584:49 *22260:B2 0.00018516 +128 *1588:77 *3185:79 0.000367713 +129 *1592:61 *3185:107 5.01835e-05 +130 *1598:15 *22502:B2 1.41976e-05 +131 *1614:36 *22223:B2 2.57465e-06 +132 *1641:57 *22458:B2 1.12969e-05 +133 *1641:57 *3185:30 4.23274e-05 +134 *1651:52 *22458:B2 4.49912e-05 +135 *1651:52 *3185:30 0.000200026 +136 *1651:52 *3185:53 1.92708e-05 +137 *1651:59 *22436:B2 0.000107496 +138 *1662:57 *22502:B2 5.36085e-05 +139 *1662:102 *3185:68 7.23416e-05 +140 *1669:146 *3185:25 0.000154145 +141 *1688:91 *22304:B2 2.77959e-05 +142 *1751:70 *22326:B2 0.000153427 +143 *1751:70 *3185:79 0.000153427 +144 *1771:31 *3185:36 0.000344527 +145 *1771:31 *3185:53 0.000136325 +146 *1771:47 *22502:B2 5.36085e-05 +147 *1790:43 *3185:25 3.77568e-05 +148 *1802:80 *3185:79 4.42907e-05 +149 *1802:116 *3185:79 2.54671e-05 +150 *2286:53 *3185:155 0.000130003 +151 *2307:30 *3185:184 0.000142396 +152 *2313:56 *22304:B2 0.000210218 +153 *2361:54 *3185:163 9.47944e-05 +154 *2368:49 *3185:155 0.000209407 +155 *2408:10 *3185:18 5.01835e-05 +156 *2415:19 *3185:18 1.81797e-05 +157 *2415:19 *3185:25 0.000111802 +158 *2463:11 *3185:184 0.000148525 +159 *2468:80 *3185:163 0.000534455 +160 *2468:80 *3185:184 0.000527556 +161 *2477:34 *3185:163 0.000200794 +162 *2477:34 *3185:184 0.00025456 +163 *2501:32 *3185:163 0.000445463 +164 *2503:99 *22282:B2 0.000112752 +165 *2508:23 *3185:87 0.00077831 +166 *2527:24 *3185:116 1.25173e-05 +167 *2563:26 *3185:79 0.000124778 +168 *2581:61 *22326:B2 2.16355e-05 +169 *2586:61 *3185:30 3.60948e-05 +170 *2629:37 *22304:B2 6.77316e-05 +171 *2631:47 *3185:155 0.000578294 +172 *2635:95 *22326:B2 6.35568e-05 +173 *2667:72 *3185:25 0.000528057 +174 *2667:93 *3185:79 7.66231e-05 +175 *2675:63 *3185:25 0.000264181 +176 *2675:63 *3185:30 1.2128e-05 +177 *2675:68 *22502:B2 0.000407807 +178 *2675:68 *3185:30 3.12734e-06 +179 *2675:68 *3185:68 0.0010983 +180 *2729:121 *3185:18 0.00182216 +181 *2729:121 *3185:25 2.66977e-05 +182 *2773:28 *3185:53 0.000211561 +183 *2773:28 *3185:68 0.000484037 +184 *2805:22 *22502:B2 0.000394986 +185 *2805:22 *3185:68 0.00110205 +186 *2825:57 *3185:116 0.000433208 +187 *2825:57 *3185:155 0.000493166 +188 *2865:32 *3185:30 1.5714e-05 +189 *2876:34 *3185:25 3.47634e-05 +190 *2880:20 *22304:B2 0.00122455 +191 *2887:41 *3185:155 0.000396003 +192 *2894:67 *22282:B2 1.5714e-05 +193 *2894:67 *3185:107 8.61737e-06 +194 *2895:33 *22480:B2 0.000111722 +195 *2919:51 *3185:79 0.00059195 +196 *2930:67 *3185:107 0.000701101 +197 *2950:125 *3185:53 0.000347718 +198 *2994:55 *3185:68 0.000255816 +199 *3021:43 *3185:116 0.000205101 +200 *3023:42 *22223:B2 9.85369e-05 +201 *3052:66 *22326:B2 1.66771e-05 +202 *3063:30 *22480:B2 3.88358e-05 +203 *3104:22 *3185:18 0.000308993 +204 *3124:191 *3185:107 0.000144942 +205 *3126:25 *22304:B2 0.000234699 +206 *3136:84 *3185:25 0.000116391 +207 *3137:9 *3185:18 9.59618e-06 +208 *3142:108 *22326:B2 0.000101005 +209 *3142:108 *3185:79 0.00011195 +210 *3142:108 *3185:87 0.000401879 +211 *3142:133 *3185:87 0.0007148 +212 *3142:190 *3185:155 0.000358437 +213 *3142:190 *3185:163 3.82228e-05 +214 *3142:196 *3185:163 0.000142732 +215 *3142:196 *3185:184 3.95516e-05 +216 *3142:211 *22304:B2 7.75133e-06 +217 *3142:211 *3185:184 7.63144e-05 +218 *3164:15 *3185:30 7.60356e-05 +219 *3166:36 *3185:79 2.56594e-05 +220 *3175:37 *22414:B2 2.58106e-05 +221 *3175:37 *3185:53 3.74433e-05 +*RES +1 *22222:X *3185:18 36.9342 +2 *3185:18 *3185:25 33.6278 +3 *3185:25 *3185:30 16.1128 +4 *3185:30 *3185:36 20.8359 +5 *3185:36 *22414:B2 17.8243 +6 *3185:36 *3185:53 14.6477 +7 *3185:53 *22436:B2 15.0271 +8 *3185:53 *3185:68 16.634 +9 *3185:68 *3185:79 5.09575 +10 *3185:79 *3185:87 10.2431 +11 *3185:87 *22282:B2 15.9845 +12 *3185:87 *3185:107 39.7953 +13 *3185:107 *3185:116 24.3914 +14 *3185:116 *22223:B2 39.713 +15 *3185:116 *22260:B2 20.0687 +16 *3185:107 *3185:155 27.1749 +17 *3185:155 *22370:B2 9.82786 +18 *3185:155 *3185:163 16.2523 +19 *3185:163 *22392:B2 26.2325 +20 *3185:163 *3185:184 20.9798 +21 *3185:184 *22304:B2 37.2003 +22 *3185:184 *22348:B2 15.0438 +23 *3185:79 *22326:B2 18.4611 +24 *3185:68 *22502:B2 22.6785 +25 *3185:30 *22458:B2 14.7506 +26 *3185:25 *22480:B2 19.1898 +*END + +*D_NET *3186 0.016346 +*CONN +*I *22224:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22223:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22224:C1 0.000437246 +2 *22223:X 0.000863097 +3 *3186:16 0.00328674 +4 *3186:14 0.00371259 +5 *22224:C1 *22225:D 1.61631e-05 +6 *22224:C1 *22249:B 3.41459e-05 +7 *3186:14 *22556:C1 0.000322969 +8 *3186:14 *22598:B 3.11962e-05 +9 *3186:14 *3466:228 0 +10 *3186:14 *3471:227 1.20723e-05 +11 *3186:14 *3489:17 0.000175103 +12 *3186:16 *3466:228 5.1493e-06 +13 *3186:16 *3494:25 5.49916e-05 +14 *3186:16 *3509:223 2.99292e-05 +15 *3186:16 *3523:127 0.000240285 +16 *3186:16 *3523:154 0.000434569 +17 *3186:16 *3523:172 3.29488e-05 +18 *3186:16 *3546:26 7.93771e-05 +19 *19906:A2 *3186:16 1.09898e-05 +20 *21549:A1 *3186:14 3.29488e-05 +21 *21549:A2 *3186:14 9.17656e-06 +22 *21765:A1 *3186:16 1.63131e-05 +23 *21808:D *3186:14 8.6297e-06 +24 *21874:B1 *3186:16 1.69107e-05 +25 *21874:B2 *3186:16 0.000191773 +26 *22011:C1 *3186:14 2.6987e-05 +27 *22060:B1 *3186:16 1.8121e-05 +28 *22060:B2 *3186:16 0.000184002 +29 *22060:C1 *3186:16 0.00135258 +30 *22210:B1 *3186:16 3.27606e-06 +31 *22223:A1 *3186:14 5.19897e-05 +32 *22223:A2 *3186:14 0.000138744 +33 *22223:B1 *3186:14 6.00782e-06 +34 *22224:A1 *22224:C1 3.07848e-05 +35 *22224:A1 *3186:16 6.74182e-05 +36 *22224:B1 *22224:C1 2.16355e-05 +37 *22575:B1 *3186:16 0.000127909 +38 *22576:A1 *3186:16 5.84784e-05 +39 *22576:B1 *3186:16 3.63593e-05 +40 *22608:B1 *3186:16 0.00109983 +41 *1576:86 *3186:16 4.94641e-05 +42 *1588:131 *3186:14 5.60291e-06 +43 *1618:104 *3186:16 5.53046e-05 +44 *1655:135 *3186:16 0.000463755 +45 *1655:161 *3186:16 0.000128739 +46 *1662:87 *3186:14 0.000430696 +47 *1721:118 *3186:14 1.66771e-05 +48 *2551:55 *3186:16 4.03936e-05 +49 *2776:48 *3186:16 3.34831e-05 +50 *2822:8 *3186:16 0.00124775 +51 *2822:12 *3186:16 5.60291e-06 +52 *2825:92 *3186:14 8.63353e-06 +53 *2825:92 *3186:16 9.67553e-06 +54 *2850:34 *3186:16 4.91564e-05 +55 *2850:42 *3186:16 9.21233e-05 +56 *2892:37 *3186:14 7.60356e-05 +57 *3002:85 *3186:16 5.13937e-05 +58 *3073:16 *3186:16 8.72537e-06 +59 *3106:68 *3186:14 1.91391e-05 +60 *3114:200 *22224:C1 0.000129809 +61 *3114:216 *22224:C1 0.000144368 +*RES +1 *22223:X *3186:14 35.0475 +2 *3186:14 *3186:16 79.6918 +3 *3186:16 *22224:C1 23.0568 +*END + +*D_NET *3187 0.000801511 +*CONN +*I *22225:D I *D sky130_fd_sc_hd__and4_1 +*I *22224:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22225:D 0.000258559 +2 *22224:X 0.000258559 +3 *22224:C1 *22225:D 1.61631e-05 +4 *1635:131 *22225:D 1.5714e-05 +5 *2822:8 *22225:D 6.51527e-05 +6 *3070:36 *22225:D 1.73636e-05 +7 *3114:216 *22225:D 1.58551e-05 +8 *3173:33 *22225:D 0.000154145 +*RES +1 *22224:X *22225:D 32.0256 +*END + +*D_NET *3188 0.00258524 +*CONN +*I *22249:B I *D sky130_fd_sc_hd__and3_4 +*I *22225:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22249:B 0.000478991 +2 *22225:X 0.000478991 +3 *22249:B *22249:C 0.000161234 +4 *22249:B *3531:174 3.99086e-06 +5 *19906:A2 *22249:B 0.000107496 +6 *22224:C1 *22249:B 3.41459e-05 +7 *22225:B *22249:B 3.58315e-06 +8 *22225:C *22249:B 4.49912e-05 +9 *1635:131 *22249:B 0.000452161 +10 *1663:27 *22249:B 0.000495209 +11 *1770:58 *22249:B 0 +12 *2551:38 *22249:B 0.000162739 +13 *2551:44 *22249:B 7.09666e-06 +14 *2850:34 *22249:B 5.01835e-05 +15 *2986:34 *22249:B 9.6497e-05 +16 *3173:33 *22249:B 7.92757e-06 +*RES +1 *22225:X *22249:B 43.0214 +*END + +*D_NET *3189 0.000409598 +*CONN +*I *22227:A I *D sky130_fd_sc_hd__buf_8 +*I *22226:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22227:A 0.000117623 +2 *22226:X 0.000117623 +3 *2379:26 *22227:A 0.000115573 +4 *3091:66 *22227:A 1.03403e-05 +5 *3126:10 *22227:A 4.84392e-05 +*RES +1 *22226:X *22227:A 30.4689 +*END + +*D_NET *3190 0.0966395 +*CONN +*I *22308:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22484:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22506:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22418:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22231:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22286:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22374:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22352:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22396:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22264:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22462:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22440:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22330:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22227:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22308:B2 0.00015939 +2 *22484:B2 2.65964e-05 +3 *22506:B2 0.000254133 +4 *22418:B2 8.43392e-05 +5 *22231:B2 0.000209277 +6 *22286:B2 0 +7 *22374:B2 0.000212048 +8 *22352:B2 6.99538e-05 +9 *22396:B2 0.000895901 +10 *22264:B2 0.000415865 +11 *22462:B2 3.56985e-05 +12 *22440:B2 0 +13 *22330:B2 4.11405e-05 +14 *22227:X 0 +15 *3190:189 0.00204271 +16 *3190:186 0.00146871 +17 *3190:185 0.00129198 +18 *3190:169 0.00187672 +19 *3190:144 0.00227302 +20 *3190:107 0.00366666 +21 *3190:103 0.00198517 +22 *3190:89 0.000877617 +23 *3190:86 0.000424015 +24 *3190:77 0.00169403 +25 *3190:58 0.00299276 +26 *3190:57 0.00178155 +27 *3190:55 0.00141457 +28 *3190:45 0.00252059 +29 *3190:34 0.00336876 +30 *3190:17 0.00238026 +31 *3190:15 0.00126475 +32 *3190:10 0.00272396 +33 *3190:5 0.00140279 +34 *22231:B2 *22231:C1 3.95378e-05 +35 *22231:B2 *22566:A2 7.92757e-06 +36 *22231:B2 *22597:A 9.54357e-06 +37 *22264:B2 *22264:C1 5.99529e-06 +38 *22264:B2 *22271:C 1.03403e-05 +39 *22308:B2 *22305:A1 5.46134e-05 +40 *22330:B2 *22337:A 3.73237e-05 +41 *22352:B2 *3192:104 0.000159671 +42 *22374:B2 *22381:A 2.61605e-05 +43 *22374:B2 *3338:10 0.00028254 +44 *22396:B2 *22359:B 1.5714e-05 +45 *22396:B2 *3343:19 0.00147935 +46 *22418:B2 *22418:C1 3.17788e-05 +47 *22418:B2 *3192:185 1.15389e-05 +48 *22484:B2 *3537:67 0.000107496 +49 *22506:B2 *3457:20 2.77564e-05 +50 *3190:10 *22517:A 0.000287286 +51 *3190:10 *22522:A 0.000114074 +52 *3190:10 *3192:25 5.74984e-05 +53 *3190:10 *3466:21 4.04556e-05 +54 *3190:10 *3471:108 0 +55 *3190:10 *3474:12 0.000123546 +56 *3190:10 *3493:8 5.66524e-05 +57 *3190:10 *3521:14 0.00041843 +58 *3190:10 *4808:12 0.000108485 +59 *3190:15 *3537:14 0.000203595 +60 *3190:15 *4809:40 0.000161414 +61 *3190:15 *4819:53 0.00040228 +62 *3190:15 *4819:57 0.000561006 +63 *3190:34 *22337:A 1.69394e-06 +64 *3190:45 *3513:57 0.00146694 +65 *3190:45 *3521:41 0.00147238 +66 *3190:55 *3442:20 0.000630981 +67 *3190:55 *3517:83 0.000104441 +68 *3190:55 *3521:59 0.000112427 +69 *3190:55 *3521:64 8.03993e-05 +70 *3190:55 *3537:67 0.000247443 +71 *3190:58 *22460:B 6.51527e-05 +72 *3190:58 *22484:C1 0.000193266 +73 *3190:58 *3394:8 0.00245096 +74 *3190:77 *3192:211 4.33247e-05 +75 *3190:77 *3398:17 6.55666e-06 +76 *3190:77 *3457:20 0.000195236 +77 *3190:86 *22462:C1 0.000107496 +78 *3190:86 *3192:185 1.41976e-05 +79 *3190:86 *3192:190 0.000107496 +80 *3190:103 *22566:B2 0.000159908 +81 *3190:103 *3537:146 0.000632211 +82 *3190:103 *3539:158 0.000122984 +83 *3190:144 *22611:B2 6.46557e-05 +84 *3190:144 *22633:A2 0 +85 *3190:144 *3192:170 1.17568e-05 +86 *3190:144 *3519:205 2.79525e-05 +87 *3190:144 *3519:217 0.000129658 +88 *3190:144 *4813:110 4.54865e-05 +89 *3190:169 *22286:C1 2.21021e-05 +90 *3190:169 *22634:D 1.88563e-05 +91 *3190:185 *22286:C1 0.00011764 +92 *3190:185 *22381:D 0.000937801 +93 *3190:185 *3254:10 0.000368615 +94 *3190:185 *3507:227 0.000992625 +95 *3190:185 *3523:202 0.000933455 +96 *3190:186 *22381:A 6.7558e-05 +97 *3190:186 *3338:10 0.000213732 +98 *3190:189 *3192:104 0.00168896 +99 *3190:189 *3521:198 0.00138975 +100 *3190:189 *3537:224 9.898e-06 +101 *19808:B *3190:55 0.000311364 +102 *21511:A2 *3190:144 0.000161234 +103 *21517:A2 *3190:34 0.000205006 +104 *21531:B2 *3190:34 1.5714e-05 +105 *21826:A2 *3190:58 1.66771e-05 +106 *22016:A1 *3190:77 0.000264272 +107 *22047:A1 *3190:77 0.000171457 +108 *22054:B2 *3190:144 0.000127132 +109 *22062:B *22264:B2 0.00053295 +110 *22062:C *3190:144 4.36956e-05 +111 *22230:B1 *22231:B2 0.000158451 +112 *22231:A2 *3190:107 0.000542002 +113 *22231:B1 *22231:B2 6.3657e-05 +114 *22286:A1 *3190:185 6.62817e-06 +115 *22286:A2 *3190:169 0.00023494 +116 *22286:A2 *3190:185 4.83889e-05 +117 *22286:B1 *3190:169 5.88647e-05 +118 *22286:B1 *3190:185 3.24009e-05 +119 *22330:A1 *3190:17 6.08467e-05 +120 *22330:A2 *3190:34 2.02035e-05 +121 *22330:B1 *22330:B2 9.51479e-06 +122 *22330:B1 *3190:34 3.53886e-05 +123 *22351:B1 *22352:B2 0.000131931 +124 *22351:B1 *3190:189 0.000330639 +125 *22374:A2 *22374:B2 1.91391e-05 +126 *22396:A2 *22396:B2 0.000141642 +127 *22417:B1 *3190:103 0.000630715 +128 *22418:A1 *3190:77 0.000109853 +129 *22418:A2 *22418:B2 6.08467e-05 +130 *22418:B1 *22418:B2 6.42013e-05 +131 *22461:B2 *3190:86 0.000212208 +132 *22462:A1 *3190:103 0.000104572 +133 *22462:A2 *22462:B2 2.77419e-05 +134 *22462:A2 *3190:89 9.32704e-05 +135 *22481:A1 *3190:55 1.62113e-05 +136 *22484:B1 *22484:B2 6.08467e-05 +137 *22487:B *22484:B2 7.5301e-06 +138 *22487:B *3190:55 5.16323e-05 +139 *22506:A1 *22506:B2 1.65872e-05 +140 *22506:A2 *22418:B2 5.04829e-06 +141 *22506:A2 *22506:B2 0.000153673 +142 *22506:A2 *3190:86 2.34394e-05 +143 *22506:A2 *3190:89 1.72347e-05 +144 *22506:B1 *22506:B2 1.55657e-05 +145 *22566:A1 *22231:B2 0.000206566 +146 *22596:B1 *3190:107 2.18821e-05 +147 *22609:A1 *3190:144 4.85862e-05 +148 *22633:A1 *3190:169 6.08467e-05 +149 *22687:A1 *3190:189 0.000107585 +150 *22705:A1 *22374:B2 9.80784e-05 +151 *22795:A1 *3190:55 2.43314e-05 +152 *1461:275 *3190:55 5.63782e-05 +153 *1510:117 *3190:45 0.000269707 +154 *1535:15 *3190:103 0.00101251 +155 *1541:173 *3190:55 0.000137189 +156 *1561:21 *3190:58 0.000132652 +157 *1561:111 *3190:77 0.00100118 +158 *1576:28 *22308:B2 0.00018965 +159 *1576:28 *3190:10 2.36762e-05 +160 *1588:88 *3190:34 1.91246e-05 +161 *1603:81 *3190:45 4.71805e-05 +162 *1620:93 *3190:144 0.000210059 +163 *1625:115 *3190:77 0.000170297 +164 *1627:118 *22374:B2 2.78219e-06 +165 *1634:45 *3190:107 0.000279854 +166 *1634:45 *3190:169 0.000344581 +167 *1636:108 *3190:45 0.000274202 +168 *1646:35 *22231:B2 5.36085e-05 +169 *1652:12 *3190:10 6.79077e-05 +170 *1652:28 *3190:10 0.000514589 +171 *1655:161 *3190:107 0.000250775 +172 *1721:162 *22231:B2 0.000116713 +173 *1721:162 *3190:107 5.49916e-05 +174 *1784:71 *3190:77 0.000111709 +175 *1784:71 *3190:107 0.0018283 +176 *1784:71 *3190:169 0.000531123 +177 *1790:130 *22231:B2 0.000250405 +178 *1884:45 *22396:B2 9.23115e-05 +179 *2256:62 *3190:10 4.71458e-05 +180 *2266:90 *3190:15 0.00102032 +181 *2288:24 *3190:144 0.000177764 +182 *2313:25 *3190:10 0.000446909 +183 *2313:25 *3190:15 0.000107496 +184 *2320:81 *22396:B2 1.17054e-05 +185 *2350:48 *3190:185 0.000156955 +186 *2404:60 *3190:189 5.95945e-05 +187 *2454:23 *22396:B2 1.5714e-05 +188 *2531:54 *3190:45 0.000623438 +189 *2535:36 *22462:B2 7.73159e-06 +190 *2535:36 *3190:103 8.75627e-05 +191 *2543:88 *3190:55 0.000305487 +192 *2546:20 *3190:10 5.25247e-05 +193 *2572:67 *3190:34 0.00217155 +194 *2589:53 *3190:144 0.000121829 +195 *2605:13 *3190:58 0.000122834 +196 *2685:47 *3190:55 0.000185521 +197 *2733:21 *3190:55 6.85769e-05 +198 *2765:39 *22418:B2 7.92757e-06 +199 *2792:27 *3190:58 1.5714e-05 +200 *2795:12 *22462:B2 4.63742e-05 +201 *2795:12 *3190:89 0.000106833 +202 *2795:14 *22462:B2 1.52071e-06 +203 *2796:26 *22462:B2 7.69988e-06 +204 *2800:56 *3190:34 4.63742e-05 +205 *2806:14 *3190:185 0.000361664 +206 *2825:22 *3190:34 1.86909e-05 +207 *2842:13 *3190:58 9.80784e-05 +208 *2843:31 *22231:B2 1.59022e-05 +209 *2843:31 *3190:107 1.23822e-05 +210 *2854:21 *3190:58 6.74182e-05 +211 *2870:40 *3190:189 7.71458e-05 +212 *2883:64 *3190:144 0.000300413 +213 *2888:51 *3190:169 0.000936037 +214 *2888:81 *3190:169 1.5714e-05 +215 *2949:71 *22396:B2 0.000223601 +216 *2985:36 *3190:144 1.51357e-06 +217 *2985:44 *3190:144 0.000275175 +218 *3022:45 *3190:144 0.000826332 +219 *3026:44 *22264:B2 0.000838873 +220 *3026:44 *3190:144 9.73858e-05 +221 *3038:23 *3190:144 0.000139382 +222 *3039:46 *3190:169 0.000590895 +223 *3046:35 *3190:169 5.1493e-06 +224 *3064:13 *3190:189 0 +225 *3076:23 *22396:B2 0.000209253 +226 *3111:63 *3190:45 0.000879732 +227 *3111:94 *3190:10 1.27831e-06 +228 *3114:180 *3190:77 0.00160945 +229 *3120:109 *22352:B2 3.12828e-05 +230 *3120:125 *22352:B2 4.31485e-06 +231 *3120:143 *3190:169 0.000158451 +232 *3120:163 *3190:144 4.15661e-05 +233 *3120:168 *3190:58 3.5534e-06 +234 *3120:168 *3190:77 4.31485e-06 +235 *3120:179 *3190:58 1.05676e-05 +236 *3120:198 *22418:B2 0.000154145 +237 *3122:173 *3190:144 8.78149e-05 +238 *3128:64 *3190:45 0.00177254 +239 *3128:142 *3190:58 0.000181778 +240 *3128:155 *3190:58 0.00126479 +241 *3128:155 *3190:77 0.000266227 +242 *3128:159 *22506:B2 9.72888e-05 +243 *3128:159 *3190:77 0.00012842 +244 *3153:80 *22330:B2 1.41976e-05 +245 *3153:80 *3190:15 0.002773 +246 *3153:80 *3190:17 5.64066e-05 +247 *3153:137 *22396:B2 0.00123268 +248 *3153:162 *22352:B2 3.88358e-05 +249 *3153:162 *22374:B2 6.74955e-05 +250 *3153:225 *22231:B2 1.15389e-05 +251 *3153:244 *22506:B2 1.99266e-05 +252 *3153:249 *22506:B2 8.6297e-06 +253 *3162:102 *3190:55 0.000484116 +254 *3173:33 *3190:169 3.63738e-05 +255 *3175:257 *3190:15 2.137e-05 +256 *3175:257 *3190:17 6.36477e-05 +*RES +1 *22227:X *3190:5 13.7491 +2 *3190:5 *3190:10 40.1085 +3 *3190:10 *3190:15 48.3619 +4 *3190:15 *3190:17 2.94181 +5 *3190:17 *22330:B2 11.1059 +6 *3190:17 *3190:34 49.9889 +7 *3190:34 *3190:45 44.3952 +8 *3190:45 *3190:55 49.0748 +9 *3190:55 *3190:57 4.5 +10 *3190:57 *3190:58 53.3233 +11 *3190:58 *22440:B2 13.7491 +12 *3190:58 *3190:77 20.667 +13 *3190:77 *3190:86 10.4318 +14 *3190:86 *3190:89 7.1625 +15 *3190:89 *22462:B2 14.9375 +16 *3190:89 *3190:103 34.126 +17 *3190:103 *3190:107 7.38143 +18 *3190:107 *3190:144 48.9866 +19 *3190:144 *22264:B2 26.8667 +20 *3190:107 *3190:169 26.5014 +21 *3190:169 *3190:185 49.7009 +22 *3190:185 *3190:186 4.53113 +23 *3190:186 *3190:189 6.51652 +24 *3190:189 *22396:B2 24.8682 +25 *3190:189 *22352:B2 18.1529 +26 *3190:186 *22374:B2 19.9413 +27 *3190:169 *22286:B2 9.24915 +28 *3190:103 *22231:B2 21.5719 +29 *3190:86 *22418:B2 12.191 +30 *3190:77 *22506:B2 19.7098 +31 *3190:55 *22484:B2 10.5271 +32 *3190:10 *22308:B2 17.6574 +*END + +*D_NET *3191 0.00271558 +*CONN +*I *22229:A I *D sky130_fd_sc_hd__buf_8 +*I *22228:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22229:A 0.000526073 +2 *22228:X 0.000526073 +3 *22229:A *3196:12 2.52575e-05 +4 *2440:55 *22229:A 0.000779782 +5 *2636:28 *22229:A 0.000279412 +6 *3120:11 *22229:A 0.000164829 +7 *3120:41 *22229:A 0.000414154 +*RES +1 *22228:X *22229:A 44.8662 +*END + +*D_NET *3192 0.0812454 +*CONN +*I *22351:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22373:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22285:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22230:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22417:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22461:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22505:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22483:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22439:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22263:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22395:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22307:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22329:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22229:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22351:A2 0 +2 *22373:A2 0 +3 *22285:A2 0 +4 *22230:A2 9.70506e-05 +5 *22417:A2 0 +6 *22461:A2 0 +7 *22505:A2 0.000253031 +8 *22483:A2 0.00117342 +9 *22439:A2 0 +10 *22263:A2 0.000906292 +11 *22395:A2 4.51842e-05 +12 *22307:A2 5.05937e-05 +13 *22329:A2 0.000884334 +14 *22229:X 0.000992851 +15 *3192:230 0.00217618 +16 *3192:211 0.00258048 +17 *3192:190 0.00196361 +18 *3192:185 0.000726496 +19 *3192:181 0.00207958 +20 *3192:170 0.00282755 +21 *3192:135 0.00298559 +22 *3192:116 0.00183281 +23 *3192:104 0.00230848 +24 *3192:92 0.00147034 +25 *3192:91 0.00129848 +26 *3192:73 0.00164947 +27 *3192:69 0.00219516 +28 *3192:57 0.0026792 +29 *3192:36 0.00103841 +30 *3192:25 0.00228924 +31 *3192:15 0.00163291 +32 *3192:12 0.00118281 +33 *22230:A2 *22576:C1 1.4091e-06 +34 *22263:A2 *22616:D 6.08467e-05 +35 *22263:A2 *3537:192 6.51527e-05 +36 *22263:A2 *4857:12 0.00116033 +37 *22329:A2 *22594:A 0.000186063 +38 *22329:A2 *3204:140 1.37531e-05 +39 *22329:A2 *3521:26 0.00125954 +40 *22329:A2 *4808:58 0.000387391 +41 *22395:A2 *3359:10 6.08467e-05 +42 *22483:A2 *22763:A2 0.000398737 +43 *22483:A2 *3519:159 6.97393e-05 +44 *3192:12 *20616:A1 5.32468e-05 +45 *3192:12 *20616:B1 0.000306273 +46 *3192:12 *21208:A 7.61102e-05 +47 *3192:12 *3198:18 0.000637217 +48 *3192:12 *3466:10 7.81874e-05 +49 *3192:12 *3466:125 0.000430543 +50 *3192:12 *5909:26 0 +51 *3192:25 *22305:A1 3.17436e-05 +52 *3192:25 *22590:A 0.000110949 +53 *3192:25 *22594:A 8.29362e-06 +54 *3192:36 *4805:56 8.50666e-05 +55 *3192:57 *22315:A 0.000294208 +56 *3192:57 *3471:108 2.79718e-05 +57 *3192:57 *3493:8 1.82849e-05 +58 *3192:57 *4805:52 1.39725e-05 +59 *3192:57 *4805:56 0.000309311 +60 *3192:57 *4824:153 0.000110297 +61 *3192:57 *4826:117 0.000135375 +62 *3192:69 *22673:A2 2.66334e-05 +63 *3192:69 *22689:A 0.000464311 +64 *3192:69 *3474:218 3.06917e-06 +65 *3192:69 *4805:52 1.25875e-05 +66 *3192:69 *5909:30 0 +67 *3192:73 *22359:B 0.000708133 +68 *3192:91 *21283:A1 0.000150299 +69 *3192:91 *21998:A1 8.35842e-06 +70 *3192:91 *21998:B1 1.65872e-05 +71 *3192:91 *3348:33 1.78292e-05 +72 *3192:91 *3359:10 0.00025175 +73 *3192:91 *3359:14 0.000554548 +74 *3192:92 *5910:41 6.08467e-05 +75 *3192:104 *22374:C1 6.27782e-05 +76 *3192:104 *22685:C1 3.82228e-05 +77 *3192:104 *3348:33 0.000183329 +78 *3192:116 *22374:C1 0.00025175 +79 *3192:116 *22700:A2 1.03403e-05 +80 *3192:116 *22701:C1 0.000114594 +81 *3192:116 *22706:A 7.7434e-05 +82 *3192:116 *22706:D 0.000128878 +83 *3192:116 *3523:192 7.91691e-05 +84 *3192:116 *3523:202 1.96048e-06 +85 *3192:135 *22616:C 1.17512e-05 +86 *3192:135 *22628:A2 2.57986e-05 +87 *3192:135 *22628:B2 0.000117723 +88 *3192:135 *3233:22 0.000293512 +89 *3192:135 *3238:44 7.59601e-05 +90 *3192:135 *3509:241 0.000671592 +91 *3192:135 *3523:172 2.41173e-05 +92 *3192:135 *4857:12 2.06598e-05 +93 *3192:135 *4860:17 8.90266e-05 +94 *3192:170 *22627:A2 0.000112828 +95 *3192:170 *22633:A2 2.96974e-05 +96 *3192:170 *22634:A 0.000686613 +97 *3192:170 *3519:217 8.48931e-06 +98 *3192:170 *3539:166 2.88562e-05 +99 *3192:170 *3539:177 0.000594305 +100 *3192:185 *3373:15 0.000112361 +101 *3192:190 *22462:C1 5.17042e-05 +102 *3192:211 *22462:C1 6.71354e-05 +103 *3192:211 *3457:20 0.000186243 +104 *3192:230 *22773:A2 3.88213e-05 +105 *3192:230 *3415:22 5.39635e-06 +106 *3192:230 *3519:159 2.83242e-05 +107 *3192:230 *3519:174 0.000630945 +108 *19810:C1 *3192:230 1.55462e-05 +109 *19831:A1 *3192:230 5.8518e-05 +110 *19832:A2 *3192:230 5.11321e-05 +111 *19848:B *3192:211 7.79406e-05 +112 *19864:B2 *22230:A2 1.85244e-05 +113 *19864:B2 *3192:170 5.39264e-05 +114 *20614:A *3192:15 0.000237292 +115 *21242:A2 *3192:69 7.93129e-05 +116 *21242:B1 *3192:73 0.000708133 +117 *21242:C1 *3192:69 2.09155e-05 +118 *21279:A2 *3192:135 5.89592e-05 +119 *21395:B2 *3192:69 4.40468e-05 +120 *21403:B2 *3192:69 1.50389e-06 +121 *21423:B1 *3192:69 0.000334726 +122 *21647:B2 *22483:A2 1.5714e-05 +123 *21783:B2 *3192:211 5.2761e-05 +124 *21831:A2 *3192:181 0.000209692 +125 *21831:B1 *3192:181 0.000359517 +126 *21857:A2 *3192:135 0 +127 *21998:A2 *3192:91 1.16623e-05 +128 *21998:B2 *3192:91 2.77625e-06 +129 *21999:A2 *3192:91 0.000169606 +130 *21999:C1 *3192:91 0.000154145 +131 *22016:A1 *3192:211 6.74605e-06 +132 *22017:B1 *3192:211 6.1438e-05 +133 *22047:B1 *3192:230 3.43085e-05 +134 *22285:B1 *3192:135 8.96314e-06 +135 *22286:A1 *3192:116 0 +136 *22292:B1 *22263:A2 0.000270426 +137 *22292:B1 *3192:135 7.09666e-06 +138 *22312:A2 *3192:57 9.4385e-05 +139 *22330:A2 *3192:15 2.74735e-05 +140 *22330:A2 *3192:25 0.000118377 +141 *22351:A1 *3192:92 6.08467e-05 +142 *22351:B1 *3192:104 6.78505e-05 +143 *22352:B2 *3192:104 0.000159671 +144 *22354:B1 *3192:69 0.000333965 +145 *22373:B1 *3192:104 0.000189558 +146 *22373:B1 *3192:116 0.000154145 +147 *22373:B2 *3192:116 8.61693e-08 +148 *22398:B1 *3192:69 3.01846e-05 +149 *22400:A1 *3192:69 3.9858e-05 +150 *22400:A1 *3192:73 1.18955e-05 +151 *22417:B1 *22505:A2 0.000340742 +152 *22418:A1 *3192:211 0.000186302 +153 *22418:A2 *3192:185 9.32983e-05 +154 *22418:B1 *3192:181 0.000109421 +155 *22418:B1 *3192:185 0.000123776 +156 *22418:B2 *3192:185 1.15389e-05 +157 *22422:B1 *3192:230 2.02035e-05 +158 *22422:B2 *3192:230 1.273e-05 +159 *22444:B1 *3192:211 7.13655e-06 +160 *22483:A1 *22483:A2 4.31485e-06 +161 *22575:A1 *3192:170 6.69861e-06 +162 *22576:B1 *3192:181 0.000210296 +163 *22615:B1 *22263:A2 0.000198558 +164 *22627:A1 *3192:170 4.61503e-05 +165 *22627:B1 *3192:181 9.33847e-05 +166 *22628:A1 *3192:135 5.481e-05 +167 *22628:B1 *3192:135 5.51483e-06 +168 *22629:B1 *3192:181 0.000800798 +169 *22633:B1 *3192:170 0.000347858 +170 *22700:A1 *3192:116 6.09065e-05 +171 *22700:B1 *3192:116 0.000114594 +172 *22773:A1 *22483:A2 1.07529e-05 +173 *22773:A1 *3192:230 0.000227343 +174 *22773:B1 *3192:230 1.51914e-05 +175 *477:177 *3192:92 4.97617e-05 +176 *531:30 *3192:12 0.000328254 +177 *1544:151 *22483:A2 0.000134457 +178 *1547:26 *3192:230 1.5714e-05 +179 *1550:82 *3192:230 0.000168132 +180 *1561:39 *22483:A2 5.21758e-06 +181 *1564:171 *3192:91 7.63624e-05 +182 *1566:55 *3192:230 1.99439e-05 +183 *1575:119 *22483:A2 3.02001e-05 +184 *1576:16 *3192:12 0.0002928 +185 *1576:28 *22307:A2 3.20011e-05 +186 *1584:141 *22329:A2 0.000130549 +187 *1584:141 *3192:25 9.7831e-05 +188 *1584:153 *3192:12 0.000160861 +189 *1584:156 *3192:69 4.39408e-05 +190 *1587:121 *3192:230 0.000106286 +191 *1593:31 *3192:181 3.31745e-05 +192 *1605:29 *22483:A2 0.000797619 +193 *1605:46 *3192:230 1.0927e-05 +194 *1610:104 *3192:91 0.000247443 +195 *1646:35 *3192:181 0.00020494 +196 *1688:43 *3192:181 1.10372e-05 +197 *1724:76 *3192:181 0.000928417 +198 *1732:34 *3192:230 0.000128436 +199 *1744:197 *22483:A2 0.000378832 +200 *1750:15 *22307:A2 0.000156946 +201 *1771:104 *3192:181 1.66771e-05 +202 *1784:71 *3192:181 2.22897e-06 +203 *1784:71 *3192:211 0.000209926 +204 *1784:74 *3192:135 1.98233e-05 +205 *1884:45 *3192:25 5.60804e-05 +206 *2177:78 *3192:12 2.13364e-05 +207 *2275:9 *3192:12 0.00026491 +208 *2282:84 *3192:12 3.82228e-05 +209 *2313:25 *3192:25 5.65354e-05 +210 *2370:8 *3192:135 8.94621e-05 +211 *2391:95 *3192:104 1.91246e-05 +212 *2391:100 *3192:104 0.000208337 +213 *2404:60 *3192:104 0.00205633 +214 *2425:48 *3192:69 8.61022e-05 +215 *2440:55 *3192:36 8.50666e-05 +216 *2440:55 *3192:57 0.00110811 +217 *2453:20 *3192:69 0.000983996 +218 *2454:11 *3192:92 4.1003e-05 +219 *2460:51 *3192:104 1.11654e-05 +220 *2462:8 *3192:91 0.000660526 +221 *2464:51 *3192:104 0.000126806 +222 *2474:14 *3192:15 6.96381e-06 +223 *2475:18 *3192:91 1.5714e-05 +224 *2479:52 *3192:69 0.000118842 +225 *2481:13 *3192:57 0.000100933 +226 *2492:32 *3192:69 5.44225e-05 +227 *2497:22 *3192:104 1.22507e-05 +228 *2508:11 *3192:135 3.64497e-06 +229 *2527:13 *3192:69 9.69821e-05 +230 *2542:28 *22263:A2 0.000129004 +231 *2565:36 *3192:211 0.000404908 +232 *2565:49 *3192:211 9.94364e-05 +233 *2570:138 *22329:A2 6.08467e-05 +234 *2584:77 *3192:15 1.86033e-05 +235 *2584:77 *3192:25 0.000122284 +236 *2671:38 *22483:A2 1.46033e-05 +237 *2671:42 *22483:A2 3.281e-05 +238 *2676:29 *3192:57 0.000107496 +239 *2776:47 *3192:135 0.000205101 +240 *2792:27 *22483:A2 6.74182e-05 +241 *2793:17 *22483:A2 0.000140148 +242 *2794:9 *3192:92 4.97617e-05 +243 *2795:12 *3192:190 5.88009e-05 +244 *2795:12 *3192:211 6.20882e-05 +245 *2795:14 *3192:211 1.85075e-05 +246 *2795:20 *3192:211 0.00122536 +247 *2837:49 *3192:181 0.000119397 +248 *2850:42 *3192:181 1.94614e-05 +249 *2873:10 *3192:135 8.35235e-06 +250 *2873:17 *22263:A2 4.6535e-05 +251 *2883:32 *3192:211 6.47596e-05 +252 *2888:41 *3192:135 2.38934e-06 +253 *2888:51 *3192:170 0.000122488 +254 *2906:39 *3192:135 6.26382e-05 +255 *2986:34 *22230:A2 1.01585e-05 +256 *2986:34 *3192:170 5.73369e-05 +257 *3011:33 *3192:92 0.00035152 +258 *3011:55 *22263:A2 0.000235656 +259 *3033:36 *3192:211 5.51746e-06 +260 *3039:46 *3192:135 3.50561e-05 +261 *3117:132 *22329:A2 0.000107496 +262 *3117:149 *22329:A2 0.000284201 +263 *3120:55 *3192:15 4.38143e-05 +264 *3120:84 *3192:69 2.64057e-05 +265 *3120:84 *3192:73 4.87997e-06 +266 *3120:84 *3192:91 6.9274e-05 +267 *3120:109 *3192:91 0.000124979 +268 *3120:109 *3192:104 1.22966e-05 +269 *3120:125 *3192:104 2.7072e-05 +270 *3120:168 *3192:211 9.84822e-05 +271 *3120:198 *3192:185 0.000154145 +272 *3122:64 *3192:57 4.04556e-05 +273 *3122:88 *3192:57 0.000120183 +274 *3122:88 *3192:69 0.000465192 +275 *3122:105 *3192:69 0.000346061 +276 *3122:215 *3192:211 2.41079e-05 +277 *3122:238 *3192:211 9.89797e-05 +278 *3124:131 *22329:A2 0.00125825 +279 *3134:142 *3192:211 0.000200794 +280 *3134:142 *3192:230 6.08467e-05 +281 *3153:177 *3192:116 1.61662e-05 +282 *3153:204 *3192:181 3.33768e-05 +283 *3153:225 *22230:A2 6.08467e-05 +284 *3177:229 *3192:12 3.82228e-05 +285 *3177:298 *3192:104 0.000123931 +286 *3190:10 *3192:25 5.74984e-05 +287 *3190:77 *3192:211 4.33247e-05 +288 *3190:86 *3192:185 1.41976e-05 +289 *3190:86 *3192:190 0.000107496 +290 *3190:144 *3192:170 1.17568e-05 +291 *3190:189 *3192:104 0.00168896 +*RES +1 *22229:X *3192:12 47.8687 +2 *3192:12 *3192:15 3.87761 +3 *3192:15 *3192:25 24.1515 +4 *3192:25 *22329:A2 40.0779 +5 *3192:15 *3192:36 4.99316 +6 *3192:36 *22307:A2 15.5817 +7 *3192:36 *3192:57 38.4174 +8 *3192:57 *3192:69 49.8425 +9 *3192:69 *3192:73 19.8277 +10 *3192:73 *22395:A2 9.97254 +11 *3192:73 *3192:91 49.2801 +12 *3192:91 *3192:92 5.71483 +13 *3192:92 *3192:104 32.4413 +14 *3192:104 *3192:116 20.5444 +15 *3192:116 *3192:135 36.6187 +16 *3192:135 *22263:A2 49.9287 +17 *3192:135 *3192:170 43.7557 +18 *3192:170 *3192:181 17.5053 +19 *3192:181 *3192:185 9.62117 +20 *3192:185 *3192:190 7.19474 +21 *3192:190 *3192:211 46.0588 +22 *3192:211 *22439:A2 9.24915 +23 *3192:211 *3192:230 31.3965 +24 *3192:230 *22483:A2 44.5877 +25 *3192:190 *22505:A2 17.8002 +26 *3192:185 *22461:A2 9.24915 +27 *3192:181 *22417:A2 9.24915 +28 *3192:170 *22230:A2 15.4058 +29 *3192:116 *22285:A2 9.24915 +30 *3192:104 *22373:A2 9.24915 +31 *3192:92 *22351:A2 9.24915 +*END + +*D_NET *3193 0.000845117 +*CONN +*I *22231:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22230:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22231:C1 0.000176672 +2 *22230:X 0.000176672 +3 *22231:C1 *22576:C1 0.000111802 +4 *22231:C1 *22597:A 1.55025e-05 +5 *22230:B1 *22231:C1 5.33711e-05 +6 *22231:A1 *22231:C1 0.000207901 +7 *22231:B1 *22231:C1 6.3657e-05 +8 *22231:B2 *22231:C1 3.95378e-05 +*RES +1 *22230:X *22231:C1 24.2372 +*END + +*D_NET *3194 0.00233834 +*CONN +*I *22248:A I *D sky130_fd_sc_hd__and4_1 +*I *22231:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22248:A 0.000569463 +2 *22231:X 0.000569463 +3 *22248:A *22597:D 5.12239e-06 +4 *22248:A *3537:164 3.99762e-05 +5 *21759:A1 *22248:A 1.27831e-06 +6 *21766:B *22248:A 0.000327288 +7 *22627:B1 *22248:A 1.84334e-05 +8 *22629:B1 *22248:A 5.36085e-05 +9 *2551:44 *22248:A 0.00074632 +10 *2873:17 *22248:A 7.39022e-06 +*RES +1 *22231:X *22248:A 43.4489 +*END + +*D_NET *3195 0.000711611 +*CONN +*I *22233:A I *D sky130_fd_sc_hd__buf_6 +*I *22232:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22233:A 0.00019318 +2 *22232:X 0.00019318 +3 *22233:A *3491:8 1.69595e-05 +4 *22162:A *22233:A 1.37385e-05 +5 *1551:67 *22233:A 0.000120985 +6 *1652:12 *22233:A 8.01546e-05 +7 *2906:82 *22233:A 9.34145e-05 +*RES +1 *22232:X *22233:A 33.4828 +*END + +*D_NET *3196 0.0799241 +*CONN +*I *22354:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22398:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22376:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22288:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22237:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22266:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22310:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22332:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22486:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22508:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22464:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22420:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22442:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22233:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22354:B2 0.000181441 +2 *22398:B2 0.000154228 +3 *22376:B2 0 +4 *22288:B2 3.37054e-05 +5 *22237:B2 0.000396236 +6 *22266:B2 6.01137e-05 +7 *22310:B2 0.000275992 +8 *22332:B2 0.000226585 +9 *22486:B2 0.000207299 +10 *22508:B2 0 +11 *22464:B2 0 +12 *22420:B2 0.000311433 +13 *22442:B2 7.34009e-05 +14 *22233:X 0.000747089 +15 *3196:243 0.000519931 +16 *3196:210 0.000487549 +17 *3196:209 0.000915331 +18 *3196:187 0.00115696 +19 *3196:185 0.0020254 +20 *3196:163 0.0024466 +21 *3196:152 0.00275655 +22 *3196:135 0.00295357 +23 *3196:108 0.00144623 +24 *3196:71 0.000659523 +25 *3196:60 0.00137437 +26 *3196:46 0.00109059 +27 *3196:41 0.00453969 +28 *3196:26 0.00373561 +29 *3196:23 0.0024549 +30 *3196:12 0.00368904 +31 *22310:B2 *3198:123 5.36085e-05 +32 *22310:B2 *4814:77 6.28669e-05 +33 *22310:B2 *5874:133 0.000213234 +34 *22332:B2 *22337:B 6.36477e-05 +35 *22332:B2 *3198:23 9.9618e-05 +36 *22354:B2 *5924:37 2.57753e-05 +37 *22398:B2 *22403:B 2.95884e-05 +38 *22398:B2 *5924:37 0.000156525 +39 *22420:B2 *22437:C1 1.41307e-05 +40 *22420:B2 *22464:C1 2.24607e-05 +41 *22442:B2 *22442:C1 0.000109519 +42 *22486:B2 *22491:B 2.87258e-05 +43 *22486:B2 *22492:A 4.2372e-05 +44 *22486:B2 *22492:C 1.1718e-05 +45 *3196:12 *3547:26 0.000309138 +46 *3196:23 *22671:A 0.000200794 +47 *3196:23 *3198:18 0.000747108 +48 *3196:23 *3467:61 0.000257975 +49 *3196:23 *3513:26 0.000600484 +50 *3196:23 *4808:27 4.51619e-05 +51 *3196:26 *22661:C1 0.000104188 +52 *3196:26 *3496:125 4.42987e-06 +53 *3196:26 *3502:105 7.77309e-06 +54 *3196:41 *3198:23 0.000287658 +55 *3196:41 *3466:47 6.20115e-06 +56 *3196:41 *3519:59 0.000401719 +57 *3196:41 *3519:93 0.000567669 +58 *3196:41 *3719:26 0.000867707 +59 *3196:60 *22736:A2 1.9101e-05 +60 *3196:60 *3448:20 4.45511e-05 +61 *3196:71 *22447:B 0.000110844 +62 *3196:71 *3448:20 6.98247e-05 +63 *3196:108 *22513:B 8.86481e-05 +64 *3196:108 *22513:C 7.46293e-05 +65 *3196:108 *22514:A 1.54479e-05 +66 *3196:108 *3198:93 0.000890508 +67 *3196:108 *3453:8 0.00025175 +68 *3196:108 *3457:20 2.09205e-05 +69 *3196:108 *3517:83 6.3504e-06 +70 *3196:108 *3521:41 3.55859e-05 +71 *3196:135 *3547:26 0.000226228 +72 *3196:152 *3198:154 0.000912103 +73 *3196:152 *3471:162 0.000108909 +74 *3196:152 *3547:26 1.14957e-05 +75 *3196:152 *4836:21 0.001572 +76 *3196:163 *3547:17 0.00107198 +77 *3196:185 *22375:A2 0.000154145 +78 *3196:185 *22376:C1 9.80718e-05 +79 *3196:185 *3243:30 9.17656e-06 +80 *3196:185 *3249:7 6.64392e-05 +81 *3196:185 *3466:201 2.05229e-05 +82 *3196:185 *5924:37 0.000103805 +83 *3196:209 *22265:A2 6.08467e-05 +84 *3196:209 *22266:C1 8.35377e-05 +85 *3196:243 *4836:21 0.000400098 +86 *19790:A1 *22237:B2 9.12416e-06 +87 *19790:A2 *22237:B2 0.000531645 +88 *19822:B *22237:B2 5.51483e-06 +89 *19997:B1 *3196:108 5.11466e-05 +90 *20613:B *3196:23 0.000233934 +91 *21022:A *3196:12 4.04447e-05 +92 *21242:A1 *22354:B2 3.82228e-05 +93 *21328:C *3196:152 0.000501918 +94 *21328:C *3196:243 0.000381371 +95 *21396:B1 *3196:185 6.40373e-05 +96 *21476:A2 *22332:B2 1.07881e-05 +97 *21476:A2 *3196:41 4.181e-05 +98 *21537:A2 *3196:41 0.000217357 +99 *21560:B2 *3196:60 0.000534913 +100 *21874:B2 *22237:B2 0.000657214 +101 *22005:C1 *3196:41 6.03237e-05 +102 *22210:A1 *3196:209 4.97617e-05 +103 *22210:A2 *3196:209 7.10054e-05 +104 *22210:B1 *22237:B2 0.000341555 +105 *22229:A *3196:12 2.52575e-05 +106 *22237:A1 *22237:B2 2.16608e-05 +107 *22237:A2 *22237:B2 0.000521196 +108 *22237:A2 *22266:B2 1.03403e-05 +109 *22237:A2 *3196:209 1.36993e-05 +110 *22265:B1 *3196:209 2.16355e-05 +111 *22266:A1 *3196:187 2.16355e-05 +112 *22266:B1 *22266:B2 7.11901e-05 +113 *22266:B1 *3196:209 0.000117455 +114 *22288:A1 *3196:185 0.000174443 +115 *22288:A2 *3196:185 0.000131181 +116 *22288:B1 *22288:B2 6.3261e-05 +117 *22288:B1 *3196:185 2.77625e-06 +118 *22326:A1 *3196:23 0.000250244 +119 *22331:B1 *3196:41 5.33925e-05 +120 *22332:A1 *22332:B2 8.11332e-06 +121 *22332:A2 *22332:B2 1.69262e-05 +122 *22332:A2 *3196:26 8.20978e-05 +123 *22332:B1 *3196:26 1.11638e-05 +124 *22354:A1 *22354:B2 2.54617e-05 +125 *22354:A2 *22354:B2 6.08467e-05 +126 *22354:B1 *22398:B2 5.04829e-06 +127 *22376:A2 *3196:163 6.08467e-05 +128 *22398:A1 *22398:B2 1.9101e-05 +129 *22398:B1 *22398:B2 0.000207911 +130 *22419:B2 *22420:B2 5.83537e-05 +131 *22420:A2 *22420:B2 1.65117e-05 +132 *22420:B1 *22420:B2 3.30786e-05 +133 *22442:A2 *22442:B2 6.3657e-05 +134 *22463:B1 *22420:B2 4.12977e-05 +135 *22464:B1 *22420:B2 3.12828e-05 +136 *22464:B1 *3196:71 0.000146731 +137 *22486:B1 *22486:B2 2.16355e-05 +138 *22502:A2 *3196:41 9.71895e-06 +139 *22529:A1 *22237:B2 0.000114594 +140 *22727:A1 *3196:41 0.00016472 +141 *490:105 *3196:163 0.00106128 +142 *504:11 *22310:B2 2.27135e-05 +143 *506:16 *3196:41 0.000204372 +144 *522:17 *3196:41 0.00304806 +145 *531:16 *3196:23 4.04447e-05 +146 *1461:275 *3196:108 0.000190267 +147 *1515:101 *3196:108 0.000254551 +148 *1542:101 *3196:163 1.5714e-05 +149 *1558:24 *3196:185 0.000182245 +150 *1558:42 *3196:185 1.26672e-05 +151 *1559:21 *3196:209 0.000354006 +152 *1573:183 *3196:185 0.00124086 +153 *1592:93 *3196:185 0.00134582 +154 *1602:37 *22237:B2 4.82779e-06 +155 *1608:30 *3196:41 0.000107019 +156 *1616:42 *3196:26 2.89163e-05 +157 *1620:35 *3196:185 5.4678e-05 +158 *1652:12 *3196:12 0.000342276 +159 *1652:28 *3196:12 4.65826e-05 +160 *1680:111 *22332:B2 8.12259e-06 +161 *1717:68 *3196:12 4.61094e-06 +162 *1723:24 *3196:60 5.4678e-05 +163 *1730:240 *3196:41 0.00193289 +164 *1750:73 *22237:B2 5.94319e-06 +165 *1750:75 *22237:B2 1.41976e-05 +166 *1784:166 *22486:B2 0.000534446 +167 *2117:20 *3196:12 0.000281381 +168 *2117:20 *3196:135 0.00168 +169 *2117:45 *3196:135 0.000214158 +170 *2117:45 *3196:152 0.00028662 +171 *2117:63 *22354:B2 0.000154322 +172 *2244:19 *22310:B2 7.84467e-06 +173 *2285:23 *3196:60 3.84097e-05 +174 *2313:33 *22310:B2 2.84196e-05 +175 *2332:17 *3196:163 5.51483e-06 +176 *2332:17 *3196:185 2.57847e-05 +177 *2336:15 *3196:185 0.000149599 +178 *2354:55 *3196:185 2.27118e-06 +179 *2368:23 *3196:23 0.00016491 +180 *2379:58 *3196:12 4.44353e-05 +181 *2379:63 *22354:B2 5.60804e-05 +182 *2379:63 *22398:B2 0.000153427 +183 *2380:84 *3196:12 9.44379e-06 +184 *2383:25 *3196:12 9.55224e-05 +185 *2383:25 *3196:152 7.34352e-05 +186 *2384:59 *3196:185 0.000163856 +187 *2455:7 *3196:163 0.000960019 +188 *2455:7 *3196:185 7.50537e-05 +189 *2477:34 *3196:163 1.9101e-05 +190 *2480:25 *22354:B2 0.000154322 +191 *2539:12 *22237:B2 0.000170067 +192 *2548:41 *3196:41 3.17436e-05 +193 *2581:61 *3196:23 0.000298399 +194 *2593:47 *3196:41 0.000154119 +195 *2599:20 *3196:108 1.91246e-05 +196 *2635:95 *3196:23 0.000834797 +197 *2636:28 *3196:12 0.000122402 +198 *2636:28 *3196:23 0.000199184 +199 *2641:80 *3196:46 0.000185378 +200 *2641:80 *3196:60 0.000129197 +201 *2666:8 *3196:108 0.000191722 +202 *2708:44 *3196:108 0.000205713 +203 *2777:28 *3196:185 8.48931e-06 +204 *2800:53 *22332:B2 6.25914e-05 +205 *2801:25 *22420:B2 3.39118e-05 +206 *2804:24 *22420:B2 0.000141234 +207 *2851:24 *3196:46 6.48286e-05 +208 *2851:24 *3196:60 0.00064851 +209 *2851:24 *3196:108 0 +210 *2851:26 *3196:108 9.59486e-05 +211 *2857:32 *3196:185 0.000106696 +212 *2884:17 *3196:185 4.49912e-05 +213 *2894:39 *22332:B2 5.70913e-05 +214 *2894:39 *3196:26 0.000417805 +215 *2894:60 *22332:B2 2.1558e-06 +216 *2999:43 *22420:B2 0 +217 *3007:19 *22442:B2 1.65872e-05 +218 *3058:49 *3196:108 0.000203804 +219 *3111:94 *22310:B2 0.000157017 +220 *3111:125 *3196:152 0.00103127 +221 *3120:41 *3196:12 3.69704e-05 +222 *3122:64 *3196:135 0.00133386 +223 *3122:64 *3196:152 0.000545109 +224 *3122:115 *22398:B2 1.5714e-05 +225 *3124:242 *3196:185 1.80892e-05 +226 *3126:42 *3196:185 0.000573575 +227 *3126:166 *22420:B2 3.18099e-05 +228 *3126:194 *3196:60 2.77115e-05 +229 *3128:87 *3196:108 0.000141267 +230 *3128:98 *3196:108 8.56804e-05 +231 *3136:149 *3196:23 0.000160617 +232 *3139:48 *22420:B2 4.84017e-05 +233 *3139:55 *22420:B2 2.21587e-05 +234 *3139:55 *3196:71 0.000458787 +235 *3139:75 *3196:60 0.000333073 +236 *3139:75 *3196:71 1.72347e-05 +237 *3139:192 *3196:185 4.33205e-06 +238 *3139:200 *22266:B2 1.03403e-05 +239 *3139:200 *3196:185 4.54865e-05 +240 *3139:200 *3196:187 2.27305e-05 +241 *3139:254 *3196:163 0.000848297 +242 *3151:141 *3196:23 0.000143328 +243 *3173:23 *3196:209 6.36477e-05 +244 *3175:219 *3196:108 1.5714e-05 +*RES +1 *22233:X *3196:12 29.125 +2 *3196:12 *3196:23 49.9217 +3 *3196:23 *3196:26 12.4677 +4 *3196:26 *3196:41 12.5476 +5 *3196:41 *3196:46 3.90826 +6 *3196:46 *3196:60 34.9338 +7 *3196:60 *22442:B2 15.7599 +8 *3196:60 *3196:71 10.137 +9 *3196:71 *22420:B2 22.3548 +10 *3196:71 *22464:B2 13.7491 +11 *3196:46 *22508:B2 13.7491 +12 *3196:41 *3196:108 45.7238 +13 *3196:108 *22486:B2 20.5973 +14 *3196:26 *22332:B2 21.1462 +15 *3196:12 *3196:135 4.39398 +16 *3196:135 *22310:B2 21.5128 +17 *3196:135 *3196:152 7.62138 +18 *3196:152 *3196:163 22.0815 +19 *3196:163 *3196:185 42.4607 +20 *3196:185 *3196:187 5.16022 +21 *3196:187 *22266:B2 11.1059 +22 *3196:187 *3196:209 25.9114 +23 *3196:209 *3196:210 104.301 +24 *3196:210 *22237:B2 44.8323 +25 *3196:185 *22288:B2 10.5513 +26 *3196:163 *22376:B2 9.24915 +27 *3196:152 *3196:243 1.34149 +28 *3196:243 *22398:B2 20.1249 +29 *3196:243 *22354:B2 22.3482 +*END + +*D_NET *3197 0.00188626 +*CONN +*I *22235:A I *D sky130_fd_sc_hd__buf_8 +*I *22234:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22235:A 0.000265108 +2 *22234:X 0.000265108 +3 *22235:A *22234:B 0.000587716 +4 *22235:A *3198:10 6.27718e-05 +5 *22188:B *22235:A 5.36603e-05 +6 *22211:A *22235:A 0.000174184 +7 *22234:C *22235:A 0.000427395 +8 *3091:28 *22235:A 5.03168e-05 +*RES +1 *22234:X *22235:A 38.0968 +*END + +*D_NET *3198 0.0907996 +*CONN +*I *22353:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22287:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22265:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22236:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22375:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22397:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22309:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22331:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22485:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22507:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22441:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22463:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22419:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22235:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22353:A2 0.000133817 +2 *22287:A2 0 +3 *22265:A2 4.51842e-05 +4 *22236:A2 0.00177846 +5 *22375:A2 0.000478775 +6 *22397:A2 0.000148515 +7 *22309:A2 0 +8 *22331:A2 0.000140947 +9 *22485:A2 0.000496123 +10 *22507:A2 3.07124e-05 +11 *22441:A2 0 +12 *22463:A2 0 +13 *22419:A2 0.000279576 +14 *22235:X 6.34276e-05 +15 *3198:197 0.00217079 +16 *3198:190 0.0018624 +17 *3198:170 0.00271984 +18 *3198:155 0.00104467 +19 *3198:154 0.00259264 +20 *3198:123 0.0034495 +21 *3198:93 0.00147568 +22 *3198:53 0.000794901 +23 *3198:48 0.0013616 +24 *3198:38 0.00123963 +25 *3198:33 0.00170196 +26 *3198:29 0.00152283 +27 *3198:23 0.00345712 +28 *3198:18 0.00534606 +29 *3198:10 0.00209537 +30 *22236:A2 *22237:C1 0.000154145 +31 *22236:A2 *3739:8 0.000220551 +32 *22331:A2 *3280:16 1.52316e-05 +33 *22375:A2 *3315:18 0.000602814 +34 *22419:A2 *22425:B 4.44291e-05 +35 *3198:18 *3521:14 0.000223647 +36 *3198:18 *5909:24 0.000452758 +37 *3198:18 *5909:26 0.000177594 +38 *3198:23 *22640:A1 0.00137155 +39 *3198:23 *22643:A1 5.22053e-05 +40 *3198:23 *3466:47 1.20352e-05 +41 *3198:23 *3476:20 0.000133323 +42 *3198:23 *3481:136 0.000153427 +43 *3198:23 *3496:142 0.000101304 +44 *3198:23 *3513:26 8.12939e-05 +45 *3198:23 *3519:30 0.000679038 +46 *3198:29 *22671:D 0.000149596 +47 *3198:29 *3453:8 0.000455515 +48 *3198:33 *3453:8 5.50955e-05 +49 *3198:33 *3517:121 0.000162739 +50 *3198:38 *3517:121 2.08319e-05 +51 *3198:53 *22416:A 0.000301209 +52 *3198:53 *22743:D 1.10848e-05 +53 *3198:93 *22514:C 0.000177028 +54 *3198:93 *22745:C1 0.000120278 +55 *3198:93 *3461:26 5.36536e-06 +56 *3198:93 *3476:52 9.12416e-06 +57 *3198:123 *3521:14 0.000915812 +58 *3198:123 *3521:165 0.00064935 +59 *3198:123 *4821:76 0.000138325 +60 *3198:123 *4830:111 0.00051414 +61 *3198:154 *22403:B 7.08723e-06 +62 *3198:154 *3343:19 0.000113478 +63 *3198:154 *3471:139 0.000500261 +64 *3198:154 *3471:162 0.000797956 +65 *3198:154 *3547:26 0.00108369 +66 *3198:154 *4826:126 0.000141038 +67 *3198:154 *4836:21 0.00014165 +68 *3198:170 *22354:C1 6.76836e-05 +69 *3198:170 *22403:B 3.83492e-06 +70 *3198:170 *3315:18 0.000209246 +71 *3198:170 *3620:14 0.000211883 +72 *3198:170 *3632:25 3.83274e-05 +73 *3198:170 *5904:45 0.00168778 +74 *3198:190 *22618:A2 0.000129725 +75 *3198:190 *22619:C1 0.000349354 +76 *3198:190 *3206:193 8.36833e-05 +77 *3198:190 *3206:197 0.000194196 +78 *3198:197 *22288:C1 0.000154145 +79 *3198:197 *3206:197 1.54577e-05 +80 *19790:B1 *22236:A2 7.40684e-06 +81 *19801:A1 *22236:A2 2.91557e-05 +82 *19801:A2 *22236:A2 0.000109113 +83 *19858:B *3198:29 1.34424e-05 +84 *19858:B *3198:33 0.000584766 +85 *19971:A2 *3198:48 8.13812e-06 +86 *20613:B *3198:18 0.000978671 +87 *21194:B1 *3198:23 8.97779e-05 +88 *21328:C *3198:154 0.00078859 +89 *21456:B1 *22507:A2 6.08467e-05 +90 *21456:B1 *3198:48 0.000102836 +91 *21483:A1 *3198:29 0.000535951 +92 *21483:B1 *3198:29 2.57986e-05 +93 *21483:C1 *3198:29 0.000258208 +94 *21658:B1 *3198:154 5.69065e-05 +95 *21992:B2 *3198:53 0.000102079 +96 *21993:A2 *3198:53 0.000380136 +97 *22156:A *3198:18 1.76936e-05 +98 *22234:C *3198:10 6.08467e-05 +99 *22235:A *3198:10 6.27718e-05 +100 *22236:B2 *22236:A2 0.000313717 +101 *22265:B2 *22236:A2 4.0143e-05 +102 *22265:B2 *3198:197 7.86852e-05 +103 *22269:A1 *22236:A2 0.000299797 +104 *22269:B1 *22236:A2 6.95086e-06 +105 *22310:B2 *3198:123 5.36085e-05 +106 *22331:A1 *22331:A2 2.8817e-05 +107 *22331:A1 *3198:29 7.19237e-05 +108 *22331:B1 *3198:23 5.60804e-05 +109 *22331:B2 *22331:A2 2.57847e-05 +110 *22332:B2 *3198:23 9.9618e-05 +111 *22419:B2 *22419:A2 0 +112 *22420:A2 *22419:A2 0.000191394 +113 *22441:A1 *3198:48 9.12416e-06 +114 *22441:A1 *3198:53 0.00030007 +115 *22441:B2 *3198:48 4.07765e-05 +116 *22441:B2 *3198:53 3.63738e-05 +117 *22442:A1 *3198:48 0.000518341 +118 *22463:A1 *3198:53 0.000230575 +119 *22464:A1 *3198:53 0.000186385 +120 *22486:A2 *22485:A2 6.87762e-05 +121 *22486:A2 *3198:93 0 +122 *22488:B2 *22485:A2 6.87762e-05 +123 *22502:A1 *3198:29 0.000629249 +124 *22502:A2 *3198:29 0.00118476 +125 *22502:B1 *3198:29 0.000451209 +126 *22502:B2 *3198:29 1.7883e-05 +127 *22507:A1 *3198:38 4.49912e-05 +128 *22507:A1 *3198:48 0.00014569 +129 *22591:D *3198:23 0.000963106 +130 *22712:B1 *3198:154 7.85058e-05 +131 *22736:B1 *3198:48 0.000238604 +132 *22745:B1 *3198:93 7.14073e-06 +133 *520:27 *3198:123 3.58128e-05 +134 *522:17 *3198:93 0.00028979 +135 *531:30 *3198:18 1.72799e-05 +136 *1443:60 *3198:154 0.000362594 +137 *1471:226 *3198:93 0.000392511 +138 *1471:230 *3198:93 1.78935e-06 +139 *1515:101 *3198:33 0.00116884 +140 *1537:42 *3198:33 0.00062923 +141 *1542:65 *22236:A2 0.000158371 +142 *1543:17 *3198:190 0.000556392 +143 *1543:29 *3198:197 0.000349354 +144 *1545:54 *3198:18 0 +145 *1554:85 *3198:170 0.000719343 +146 *1557:126 *3198:154 6.21462e-05 +147 *1576:59 *22236:A2 0.000250775 +148 *1588:131 *22236:A2 0.000557366 +149 *1598:15 *3198:29 2.77625e-06 +150 *1598:15 *3198:33 5.10906e-05 +151 *1616:17 *3198:29 1.34424e-05 +152 *1616:42 *3198:23 0.00110573 +153 *1631:57 *3198:154 1.14478e-05 +154 *1640:24 *22419:A2 0.000145934 +155 *1645:31 *3198:48 9.17656e-06 +156 *1645:31 *3198:53 1.75694e-05 +157 *1680:111 *3198:23 0.00102902 +158 *1708:95 *22236:A2 0.000215894 +159 *1722:76 *3198:48 0.000118854 +160 *1723:47 *3198:48 0 +161 *1730:240 *22331:A2 4.04556e-05 +162 *2117:55 *3198:123 9.06161e-05 +163 *2117:55 *3198:154 8.6297e-06 +164 *2177:13 *3198:18 0.000240412 +165 *2244:73 *3198:170 0.00044694 +166 *2266:90 *3198:23 0.000845324 +167 *2288:38 *3198:170 0.000300565 +168 *2300:70 *3198:123 0.000229097 +169 *2304:58 *3198:154 0.00053565 +170 *2313:33 *3198:123 0.00016587 +171 *2326:16 *22353:A2 0.000207911 +172 *2326:16 *22397:A2 0.000200794 +173 *2326:16 *3198:155 0.00025175 +174 *2383:25 *3198:154 0.000979218 +175 *2455:7 *22375:A2 0.000156955 +176 *2457:16 *3198:18 0.000409372 +177 *2457:16 *3198:123 0.00157063 +178 *2479:52 *3198:154 0.000870505 +179 *2503:48 *3198:154 7.09428e-05 +180 *2508:45 *3198:29 0.000324331 +181 *2520:91 *3198:18 0.000144663 +182 *2539:35 *3198:29 6.08467e-05 +183 *2589:54 *22236:A2 3.65454e-05 +184 *2589:54 *3198:197 8.50356e-05 +185 *2610:83 *3198:18 8.6369e-05 +186 *2611:23 *3198:48 0.000256037 +187 *2622:9 *3198:48 0.000104869 +188 *2630:31 *3198:29 0.000492084 +189 *2632:83 *3198:38 2.14289e-05 +190 *2636:28 *3198:18 2.35091e-05 +191 *2804:24 *22419:A2 0.000127976 +192 *2851:26 *3198:93 0.000873717 +193 *2857:52 *22236:A2 0.00100763 +194 *2932:61 *3198:48 1.27831e-06 +195 *2997:42 *3198:48 6.07716e-05 +196 *3021:13 *3198:154 0.000428875 +197 *3041:61 *3198:154 0.000122328 +198 *3052:77 *22331:A2 0.000121936 +199 *3052:77 *3198:29 7.00554e-05 +200 *3091:28 *3198:18 0.000403384 +201 *3091:52 *3198:18 0.000116854 +202 *3111:94 *3198:123 1.90063e-05 +203 *3122:32 *3198:18 6.7673e-05 +204 *3122:39 *3198:23 1.54194e-05 +205 *3122:64 *3198:154 3.2576e-05 +206 *3122:115 *3198:154 4.69495e-06 +207 *3126:12 *3198:123 9.91875e-06 +208 *3126:12 *3198:154 8.6297e-06 +209 *3126:25 *3198:154 1.35239e-05 +210 *3126:47 *3198:190 0.0005826 +211 *3126:82 *3198:197 0.000729674 +212 *3126:166 *3198:53 2.13736e-05 +213 *3126:189 *3198:53 4.83562e-06 +214 *3126:194 *3198:38 3.92776e-05 +215 *3126:194 *3198:48 0.000149231 +216 *3126:206 *3198:38 0.000219116 +217 *3126:206 *3198:93 5.60364e-06 +218 *3128:64 *22485:A2 0.000627109 +219 *3139:48 *22419:A2 4.88552e-05 +220 *3148:46 *3198:23 4.65969e-05 +221 *3177:229 *3198:10 2.53636e-05 +222 *3177:229 *3198:18 0.00148895 +223 *3179:212 *22375:A2 0.00059789 +224 *3179:212 *3198:170 0.000205574 +225 *3192:12 *3198:18 0.000637217 +226 *3196:23 *3198:18 0.000747108 +227 *3196:41 *3198:23 0.000287658 +228 *3196:108 *3198:93 0.000890508 +229 *3196:152 *3198:154 0.000912103 +230 *3196:185 *22375:A2 0.000154145 +231 *3196:209 *22265:A2 6.08467e-05 +*RES +1 *22235:X *3198:10 15.584 +2 *3198:10 *3198:18 49.3139 +3 *3198:18 *3198:23 10.1057 +4 *3198:23 *3198:29 46.1041 +5 *3198:29 *3198:33 21.8615 +6 *3198:33 *3198:38 8.13406 +7 *3198:38 *3198:48 32.3794 +8 *3198:48 *3198:53 21.0402 +9 *3198:53 *22419:A2 26.3832 +10 *3198:53 *22463:A2 9.24915 +11 *3198:48 *22441:A2 13.7491 +12 *3198:38 *22507:A2 14.4725 +13 *3198:33 *3198:93 43.9414 +14 *3198:93 *22485:A2 27.0445 +15 *3198:23 *22331:A2 16.9274 +16 *3198:18 *3198:123 13.665 +17 *3198:123 *22309:A2 13.7491 +18 *3198:123 *3198:154 25.9493 +19 *3198:154 *3198:155 2.94181 +20 *3198:155 *22397:A2 11.6364 +21 *3198:155 *3198:170 42.5574 +22 *3198:170 *22375:A2 28.6256 +23 *3198:170 *3198:190 34.4486 +24 *3198:190 *3198:197 16.5078 +25 *3198:197 *22236:A2 31.4855 +26 *3198:197 *22265:A2 14.4725 +27 *3198:190 *22287:A2 9.24915 +28 *3198:154 *22353:A2 11.6364 +*END + +*D_NET *3199 0.00121111 +*CONN +*I *22237:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22236:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22237:C1 0.000177962 +2 *22236:X 3.53624e-05 +3 *3199:8 0.000242685 +4 *3199:7 0.000100085 +5 *22236:A1 *22237:C1 0.000108581 +6 *22236:A2 *22237:C1 0.000154145 +7 *22236:B2 *22237:C1 7.23277e-06 +8 *22237:A1 *22237:C1 1.58551e-05 +9 *22529:A1 *22237:C1 1.47978e-05 +10 *1535:31 *22237:C1 2.36575e-05 +11 *1535:31 *3199:7 5.88657e-05 +12 *1542:65 *22237:C1 0.000271882 +*RES +1 *22236:X *3199:7 19.2217 +2 *3199:7 *3199:8 81.1229 +3 *3199:8 *22237:C1 24.9847 +*END + +*D_NET *3200 0.00589781 +*CONN +*I *22248:B I *D sky130_fd_sc_hd__and4_1 +*I *22237:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22248:B 0.000449111 +2 *22237:X 0.00101873 +3 *3200:14 0.00146784 +4 *22248:B *22248:D 0.000110655 +5 *22248:B *3202:14 6.75121e-06 +6 *3200:14 *22529:C1 3.0577e-05 +7 *21511:A1 *3200:14 3.6549e-05 +8 *21512:A1 *22248:B 2.02035e-05 +9 *22061:A *22248:B 1.70746e-05 +10 *22061:A *3200:14 0.000274038 +11 *22529:A1 *3200:14 0.000110306 +12 *22529:B1 *3200:14 0.000395749 +13 *1564:134 *3200:14 0.000257432 +14 *1598:82 *3200:14 0.00043525 +15 *1655:135 *22248:B 0.000120915 +16 *2093:181 *3200:14 2.30481e-05 +17 *2567:41 *3200:14 0.000114916 +18 *2569:16 *3200:14 3.45974e-05 +19 *2774:12 *22248:B 0.000303716 +20 *2774:12 *3200:14 1.8641e-05 +21 *2873:17 *22248:B 4.93148e-05 +22 *3015:26 *3200:14 0.000602393 +*RES +1 *22237:X *3200:14 43.2032 +2 *3200:14 *22248:B 24.5413 +*END + +*D_NET *3201 0.000122272 +*CONN +*I *22239:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22238:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22239:C1 1.98947e-05 +2 *22238:X 1.98947e-05 +3 *1588:136 *22239:C1 2.16355e-05 +4 *3111:204 *22239:C1 6.08467e-05 +*RES +1 *22238:X *22239:C1 19.2217 +*END + +*D_NET *3202 0.00391457 +*CONN +*I *22248:C I *D sky130_fd_sc_hd__and4_1 +*I *22239:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22248:C 0 +2 *22239:X 0.000860274 +3 *3202:14 0.000860274 +4 *3202:14 *4813:110 0.000437583 +5 *21511:B2 *3202:14 0.000187652 +6 *21512:A2 *3202:14 9.12723e-05 +7 *21512:C1 *3202:14 3.63593e-05 +8 *22061:A *3202:14 0.00016635 +9 *22239:A2 *3202:14 4.49767e-05 +10 *22248:B *3202:14 6.75121e-06 +11 *22545:B1 *3202:14 1.38521e-05 +12 *1598:85 *3202:14 1.37203e-05 +13 *1602:45 *3202:14 0.000272931 +14 *1616:195 *3202:14 8.45025e-05 +15 *1617:50 *3202:14 0.0002103 +16 *1655:135 *3202:14 0.000328431 +17 *2117:180 *3202:14 3.03575e-05 +18 *2288:24 *3202:14 6.58567e-05 +19 *2539:10 *3202:14 2.7207e-05 +20 *2539:12 *3202:14 5.60291e-06 +21 *2569:16 *3202:14 6.01588e-05 +22 *3031:18 *3202:14 6.85742e-05 +23 *3111:192 *3202:14 4.15888e-05 +*RES +1 *22239:X *3202:14 45.0921 +2 *3202:14 *22248:C 9.24915 +*END + +*D_NET *3203 0.000515871 +*CONN +*I *22241:A I *D sky130_fd_sc_hd__buf_8 +*I *22240:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22241:A 9.20396e-05 +2 *22240:X 9.20396e-05 +3 *22241:A *3204:19 0.000188026 +4 *22241:A *4827:29 0.000127179 +5 *22241:A *4876:7 1.65872e-05 +*RES +1 *22240:X *22241:A 31.0235 +*END + +*D_NET *3204 0.0944994 +*CONN +*I *22314:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22336:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22402:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22380:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22358:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22247:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22292:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22270:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22446:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22468:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22512:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22424:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22490:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22241:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22314:A2 8.77628e-05 +2 *22336:A2 0.000355461 +3 *22402:A2 0.00111027 +4 *22380:A2 0 +5 *22358:A2 0.000686097 +6 *22247:A2 0.00154053 +7 *22292:A2 0 +8 *22270:A2 0.00207053 +9 *22446:A2 5.65129e-05 +10 *22468:A2 0.000360657 +11 *22512:A2 0.00047455 +12 *22424:A2 3.1152e-05 +13 *22490:A2 0.000339783 +14 *22241:X 0 +15 *3204:299 0.00175636 +16 *3204:286 0.00150178 +17 *3204:232 0.000830449 +18 *3204:202 0.00196661 +19 *3204:195 0.00122101 +20 *3204:168 0.0032076 +21 *3204:165 0.00392574 +22 *3204:150 0.00381784 +23 *3204:140 0.00248649 +24 *3204:125 0.0010846 +25 *3204:124 7.38484e-05 +26 *3204:122 0.00146804 +27 *3204:107 0.00277646 +28 *3204:62 0.000849124 +29 *3204:52 0.000186753 +30 *3204:50 0.000214741 +31 *3204:36 0.00160264 +32 *3204:26 0.00254226 +33 *3204:19 0.00313768 +34 *3204:4 0.00315015 +35 *22247:A2 *22248:D 9.77628e-05 +36 *22247:A2 *22268:C1 9.80242e-07 +37 *22247:A2 *22609:C1 0.000438346 +38 *22247:A2 *22610:B2 0.000129631 +39 *22247:A2 *22611:C1 6.3657e-05 +40 *22247:A2 *3211:10 0.000156946 +41 *22270:A2 *21630:B1 8.90777e-05 +42 *22270:A2 *3232:25 0.000165558 +43 *22270:A2 *3493:73 5.47093e-05 +44 *22314:A2 *22314:A1 4.83435e-05 +45 *22314:A2 *22314:C1 7.27446e-05 +46 *22336:A2 *3519:332 0.000263539 +47 *22336:A2 *3607:10 3.3239e-06 +48 *22358:A2 *22358:C1 5.61198e-05 +49 *22358:A2 *22378:C1 1.54703e-05 +50 *22402:A2 *3327:8 9.54494e-05 +51 *22468:A2 *3463:12 0.000629588 +52 *22490:A2 *22490:C1 2.77564e-05 +53 *22512:A2 *22424:C1 1.80257e-05 +54 *3204:19 *3206:15 0.000136929 +55 *3204:19 *4827:29 1.63547e-05 +56 *3204:19 *4865:24 0.000174403 +57 *3204:19 *4876:7 0.000313509 +58 *3204:26 *3206:15 0.000864937 +59 *3204:36 *3206:33 0.00249452 +60 *3204:52 *22446:C1 6.08467e-05 +61 *3204:122 *3476:7 1.94512e-05 +62 *3204:122 *3491:17 6.08467e-05 +63 *3204:122 *3511:17 0.000321238 +64 *3204:122 *4804:62 7.43725e-05 +65 *3204:122 *4807:58 5.05093e-05 +66 *3204:122 *4808:27 8.56434e-05 +67 *3204:125 *3476:8 3.8732e-05 +68 *3204:140 *22591:C 0.000212039 +69 *3204:140 *22594:A 0.000269805 +70 *3204:140 *22653:A 0 +71 *3204:140 *3474:12 1.43259e-05 +72 *3204:140 *3479:66 5.88009e-05 +73 *3204:140 *3543:15 2.1203e-06 +74 *3204:140 *5944:102 0.000243463 +75 *3204:150 *21695:A1 0.000654648 +76 *3204:150 *22301:A1 4.60518e-05 +77 *3204:150 *22636:B1 2.57465e-06 +78 *3204:150 *22641:A1 0.000218856 +79 *3204:150 *22649:B1 0.000219994 +80 *3204:150 *3206:173 7.42355e-05 +81 *3204:150 *3582:10 0.000461578 +82 *3204:150 *4814:77 2.2279e-05 +83 *3204:150 *4814:83 2.95884e-05 +84 *3204:150 *4824:154 0.000369625 +85 *3204:150 *4827:51 6.69861e-06 +86 *3204:150 *4827:79 2.07864e-05 +87 *3204:150 *4830:111 5.40718e-05 +88 *3204:165 *21695:A1 6.43804e-05 +89 *3204:165 *3327:8 0.00171076 +90 *3204:165 *3529:39 5.71321e-06 +91 *3204:165 *5456:10 7.12079e-05 +92 *3204:168 *21630:B1 0.000106597 +93 *3204:195 *22380:C1 0.000105313 +94 *3204:195 *3278:17 8.02805e-05 +95 *3204:202 *22268:C1 2.16608e-05 +96 *3204:202 *22290:C1 2.77419e-05 +97 *3204:202 *3249:15 2.90846e-05 +98 *3204:232 *22380:C1 0.000294093 +99 *3204:286 *3509:42 5.33358e-06 +100 *3204:299 *22314:A1 7.85551e-05 +101 *3204:299 *22338:C 0.000146667 +102 *3204:299 *22644:B1 3.29942e-05 +103 *3204:299 *22644:B2 1.47046e-05 +104 *3204:299 *22645:B1 1.62258e-05 +105 *3204:299 *22645:C1 0.000257921 +106 *3204:299 *3274:8 1.42333e-05 +107 *3204:299 *3485:136 3.29488e-05 +108 *3204:299 *3485:197 4.49767e-05 +109 *3204:299 *3509:42 9.64823e-05 +110 *3204:299 *3511:34 0.0002267 +111 *3204:299 *3519:332 0.000298399 +112 *3204:299 *4814:88 0.000110505 +113 *3204:299 *4821:76 5.01835e-05 +114 *3204:299 *5874:133 0.000162739 +115 *6091:DIODE *22402:A2 6.36477e-05 +116 *6146:DIODE *3204:26 0.000158357 +117 *19841:B2 *22247:A2 0.000353563 +118 *19884:A1 *22446:A2 7.98425e-06 +119 *20040:B2 *22247:A2 4.31603e-06 +120 *20197:B *3204:26 5.65573e-05 +121 *20198:A *3204:26 1.92336e-05 +122 *21235:C1 *3204:168 0.000284385 +123 *21236:B *3204:165 3.96199e-05 +124 *21284:A2 *22358:A2 0.000128727 +125 *21303:A1 *22402:A2 0.000111722 +126 *21303:A2 *22402:A2 0.000306015 +127 *21309:B1 *22402:A2 7.09666e-06 +128 *21309:C1 *3204:195 0.000123931 +129 *21351:B1 *3204:36 0 +130 *21372:A2 *3204:165 0.000513754 +131 *21577:A2 *3204:299 0.000281391 +132 *21665:B1 *3204:36 2.40252e-05 +133 *21665:B2 *3204:36 0.000727542 +134 *21690:C1 *3204:19 0.000209312 +135 *21703:A *3204:26 0.00030783 +136 *21759:A2 *22247:A2 6.08467e-05 +137 *22029:A1 *22247:A2 1.65872e-05 +138 *22196:A *3204:122 7.01586e-06 +139 *22198:A *3204:107 0.000170424 +140 *22201:A *3204:107 0.000151359 +141 *22240:A *3204:19 2.57986e-05 +142 *22240:B *3204:19 5.51483e-06 +143 *22241:A *3204:19 0.000188026 +144 *22244:B *3204:19 1.41976e-05 +145 *22244:C *3204:19 2.77419e-05 +146 *22247:A1 *22247:A2 6.37623e-05 +147 *22292:A1 *3204:202 0.000286744 +148 *22314:B2 *22314:A2 2.66778e-05 +149 *22324:A2 *22270:A2 6.08467e-05 +150 *22329:A2 *3204:140 1.37531e-05 +151 *22335:A1 *22336:A2 1.60664e-05 +152 *22336:B2 *22336:A2 3.84478e-05 +153 *22358:B1 *22358:A2 6.36477e-05 +154 *22377:B *22358:A2 0.000245456 +155 *22379:A1 *22402:A2 0.000164612 +156 *22380:B1 *22358:A2 2.06111e-05 +157 *22402:B1 *22402:A2 7.84548e-05 +158 *22402:B2 *22402:A2 0.000118146 +159 *22446:A1 *22446:A2 8.67924e-06 +160 *22446:B1 *22490:A2 0.000210077 +161 *22446:B1 *3204:52 6.08467e-05 +162 *22468:A1 *22468:A2 4.81015e-05 +163 *22468:B2 *22424:A2 1.47978e-05 +164 *22468:B2 *22512:A2 0.000267923 +165 *22490:B1 *22490:A2 7.48876e-06 +166 *22490:B2 *22490:A2 2.16355e-05 +167 *22511:A1 *22512:A2 0.000120282 +168 *22512:B2 *22490:A2 1.03403e-05 +169 *22579:C *3204:122 0.000412004 +170 *22591:A *3204:140 5.88009e-05 +171 *22608:A1 *22247:A2 0.00051817 +172 *22662:B1 *22336:A2 1.54701e-05 +173 *22680:B1 *22358:A2 6.36477e-05 +174 *22716:B1 *22402:A2 2.09018e-05 +175 *506:16 *3204:299 0.000302705 +176 *506:27 *3204:107 0.000457641 +177 *506:27 *3204:122 3.571e-05 +178 *1419:249 *3204:195 2.68045e-05 +179 *1496:75 *3204:36 3.55968e-05 +180 *1539:18 *3204:165 0.000157659 +181 *1541:128 *22468:A2 0.00027103 +182 *1577:97 *3204:165 0.000822246 +183 *1584:141 *3204:140 0.000538733 +184 *1592:93 *3204:195 0.000271908 +185 *1614:19 *3204:299 0.000114594 +186 *1616:71 *3204:165 0.001719 +187 *1641:141 *22270:A2 1.20441e-05 +188 *1641:158 *22270:A2 6.66698e-05 +189 *1646:35 *22247:A2 4.31603e-06 +190 *1647:58 *3204:195 0.000108997 +191 *1649:143 *3204:165 0.00012581 +192 *1675:15 *22490:A2 1.51223e-05 +193 *1675:32 *3204:36 3.91944e-05 +194 *1679:68 *22358:A2 5.35941e-05 +195 *1680:137 *3204:165 0.000429532 +196 *1683:38 *22512:A2 0.000208406 +197 *1708:95 *22270:A2 3.78241e-05 +198 *1708:95 *3204:168 1.31642e-05 +199 *1730:43 *3204:36 0.000154145 +200 *1730:75 *22468:A2 0.00041745 +201 *1791:39 *22468:A2 0.000629588 +202 *1792:101 *22490:A2 1.27402e-05 +203 *1792:101 *3204:36 1.80851e-05 +204 *1882:8 *3204:26 0.000247443 +205 *2091:28 *3204:107 2.42501e-05 +206 *2091:37 *3204:107 7.0884e-05 +207 *2091:48 *3204:107 0.000329432 +208 *2091:57 *3204:122 3.73224e-05 +209 *2115:59 *3204:19 4.31603e-06 +210 *2117:102 *3204:202 0.000239029 +211 *2117:102 *3204:232 0.00054591 +212 *2117:107 *3204:202 0.00032748 +213 *2122:23 *3204:19 5.07314e-05 +214 *2122:34 *3204:107 0.000416532 +215 *2123:31 *3204:107 0.000203595 +216 *2274:115 *22402:A2 4.46277e-05 +217 *2275:9 *3204:140 0.000201623 +218 *2288:38 *3204:165 8.49586e-06 +219 *2291:66 *3204:168 0.000151006 +220 *2367:79 *22402:A2 7.53046e-05 +221 *2368:34 *3204:299 4.19715e-05 +222 *2368:70 *22402:A2 8.63253e-05 +223 *2368:70 *3204:165 4.15236e-05 +224 *2375:60 *22358:A2 0.000119313 +225 *2378:33 *3204:19 0.000206729 +226 *2411:13 *3204:107 0.000100741 +227 *2418:28 *3204:140 5.3821e-05 +228 *2431:23 *3204:165 0.000351449 +229 *2503:67 *3204:286 4.69495e-06 +230 *2503:67 *3204:299 2.1203e-06 +231 *2534:23 *22358:A2 0.000816991 +232 *2534:44 *3204:195 0.00104769 +233 *2547:12 *3204:26 0.000160006 +234 *2551:44 *22247:A2 0.000126268 +235 *2557:20 *22336:A2 0 +236 *2570:103 *3204:150 0.000879333 +237 *2570:103 *3204:165 5.75159e-05 +238 *2572:67 *22336:A2 6.36477e-05 +239 *2576:57 *3204:19 4.22395e-05 +240 *2576:64 *3204:19 1.63677e-05 +241 *2651:13 *22512:A2 3.09152e-06 +242 *2686:40 *3204:165 6.38982e-06 +243 *2690:40 *3204:150 0.000426088 +244 *2701:17 *3204:36 0.000832381 +245 *2718:17 *3204:36 0.00190673 +246 *2722:11 *3204:19 4.5078e-05 +247 *2746:17 *3204:36 0.000481392 +248 *2771:58 *22247:A2 5.5399e-05 +249 *2777:80 *3204:195 0.000558139 +250 *2806:30 *22270:A2 9.83032e-05 +251 *2814:24 *3204:150 9.7258e-05 +252 *2827:30 *3204:202 0.000156294 +253 *2881:51 *3204:195 6.14756e-06 +254 *2884:17 *22270:A2 9.36156e-05 +255 *2894:19 *3204:26 0.000311329 +256 *2900:111 *22358:A2 0.000243863 +257 *2915:8 *22468:A2 9.82896e-06 +258 *2990:62 *3204:165 0.000438874 +259 *3002:82 *22270:A2 0.000113968 +260 *3003:30 *22446:A2 6.50586e-05 +261 *3003:30 *22490:A2 9.12416e-06 +262 *3003:30 *3204:36 5.45571e-05 +263 *3018:8 *3204:26 0.000161282 +264 *3039:55 *22247:A2 0.000758224 +265 *3041:61 *3204:150 5.48491e-05 +266 *3064:44 *22270:A2 0.00114948 +267 *3114:15 *3204:107 0.000310407 +268 *3114:102 *3204:299 0.00145834 +269 *3117:34 *3204:122 0.000459879 +270 *3118:77 *3204:19 4.31603e-06 +271 *3122:145 *22358:A2 7.15515e-05 +272 *3122:153 *22358:A2 8.58001e-05 +273 *3122:153 *3204:202 5.04829e-06 +274 *3124:131 *3204:140 0.000441138 +275 *3131:13 *3204:122 0.000410211 +276 *3136:149 *3204:122 0.000217051 +277 *3144:45 *22490:A2 3.37866e-05 +278 *3144:64 *22490:A2 0.000126772 +279 *3144:65 *22424:A2 6.08467e-05 +280 *3144:65 *22446:A2 1.65872e-05 +281 *3144:65 *22512:A2 5.51483e-06 +282 *3144:65 *3204:52 6.38299e-05 +283 *3144:152 *3204:165 6.41319e-05 +284 *3144:187 *3204:232 2.99287e-05 +285 *3144:197 *3204:202 0.000451857 +286 *3144:197 *3204:232 7.18218e-05 +287 *3148:183 *3204:299 0.000167017 +288 *3151:8 *3204:107 0.000111469 +289 *3151:37 *3204:107 6.75121e-06 +290 *3151:37 *3204:122 3.36988e-05 +291 *3151:141 *3204:140 0.000129818 +292 *3157:21 *3204:122 1.47875e-05 +293 *3162:11 *3204:107 0.000200794 +294 *3175:243 *22336:A2 4.99151e-05 +295 *3175:243 *3204:299 0.000301869 +296 *3175:267 *3204:140 6.69797e-05 +*RES +1 *22241:X *3204:4 9.24915 +2 *3204:4 *3204:19 43.7129 +3 *3204:19 *3204:26 42.8877 +4 *3204:26 *3204:36 44.0387 +5 *3204:36 *22490:A2 22.4237 +6 *3204:36 *3204:50 5.07872 +7 *3204:50 *3204:52 3.49641 +8 *3204:52 *22424:A2 10.5513 +9 *3204:52 *3204:62 0.578717 +10 *3204:62 *22512:A2 27.7329 +11 *3204:62 *22468:A2 35.0681 +12 *3204:50 *22446:A2 11.1059 +13 *3204:4 *3204:107 49.8035 +14 *3204:107 *3204:122 44.0251 +15 *3204:122 *3204:124 9.24915 +16 *3204:124 *3204:125 104.301 +17 *3204:125 *3204:140 48.4067 +18 *3204:140 *3204:150 38.1396 +19 *3204:150 *3204:165 49.7682 +20 *3204:165 *3204:168 4.45129 +21 *3204:168 *22270:A2 47.7912 +22 *3204:168 *3204:195 14.1076 +23 *3204:195 *3204:202 23.5074 +24 *3204:202 *22292:A2 9.24915 +25 *3204:202 *22247:A2 47.0586 +26 *3204:195 *3204:232 6.84815 +27 *3204:232 *22358:A2 36.1174 +28 *3204:232 *22380:A2 9.24915 +29 *3204:165 *22402:A2 36.9119 +30 *3204:150 *3204:286 3.7474 +31 *3204:286 *3204:299 49.853 +32 *3204:299 *22336:A2 27.7295 +33 *3204:286 *22314:A2 16.1846 +*END + +*D_NET *3205 0.000747453 +*CONN +*I *22243:A I *D sky130_fd_sc_hd__buf_8 +*I *22242:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22243:A 0.0002119 +2 *22242:X 0.0002119 +3 *22243:A *4827:29 0.00013001 +4 *2398:100 *22243:A 2.6567e-05 +5 *3118:77 *22243:A 0.000167076 +*RES +1 *22242:X *22243:A 31.9934 +*END + +*D_NET *3206 0.0935532 +*CONN +*I *22401:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22379:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22291:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22269:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22357:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22313:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22246:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22335:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22489:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22423:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22445:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22467:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22511:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22243:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22401:A2 0.000353977 +2 *22379:A2 0.000493255 +3 *22291:A2 0 +4 *22269:A2 0 +5 *22357:A2 0.000146157 +6 *22313:A2 0 +7 *22246:A2 0.00147795 +8 *22335:A2 0.000214594 +9 *22489:A2 0 +10 *22423:A2 0.000168805 +11 *22445:A2 0 +12 *22467:A2 0.00059718 +13 *22511:A2 0.000141114 +14 *22243:X 0.00147998 +15 *3206:207 0.000850717 +16 *3206:197 0.00124468 +17 *3206:193 0.00146329 +18 *3206:190 0.00225301 +19 *3206:173 0.00251636 +20 *3206:157 0.00109802 +21 *3206:155 0.000115503 +22 *3206:154 0.000449983 +23 *3206:146 0.00251804 +24 *3206:107 0.00391417 +25 *3206:88 0.00489881 +26 *3206:48 0.00107341 +27 *3206:41 0.000512625 +28 *3206:39 0.000416415 +29 *3206:37 0.00135463 +30 *3206:33 0.00194706 +31 *3206:24 0.00619013 +32 *3206:18 0.00121263 +33 *3206:17 6.57882e-05 +34 *3206:15 0.00147998 +35 *22246:A2 *3509:60 1.87181e-05 +36 *22246:A2 *3511:63 0.000222439 +37 *22246:A2 *3517:53 2.36659e-05 +38 *22246:A2 *4808:76 0.00136878 +39 *22335:A2 *22336:C1 9.4385e-05 +40 *22335:A2 *3521:41 5.60804e-05 +41 *22379:A2 *22379:B2 6.08467e-05 +42 *22379:A2 *3569:13 0.000455765 +43 *22467:A2 *22424:C1 3.39118e-05 +44 *3206:15 *3487:13 7.1544e-05 +45 *3206:24 *3737:12 0.000101489 +46 *3206:48 *22424:C1 6.22114e-05 +47 *3206:48 *22445:B2 1.23967e-05 +48 *3206:48 *22446:C1 0.000111504 +49 *3206:88 *3737:12 0.000305245 +50 *3206:146 *21624:A1 0.000168086 +51 *3206:146 *22313:B1 5.481e-05 +52 *3206:146 *22313:B2 3.99086e-06 +53 *3206:146 *3502:130 6.3657e-05 +54 *3206:146 *3507:34 0.0007501 +55 *3206:146 *3511:63 0.000563205 +56 *3206:146 *4808:76 4.0356e-05 +57 *3206:146 *4825:146 0.00114886 +58 *3206:146 *5874:133 0.00018162 +59 *3206:154 *22313:B1 0.000175113 +60 *3206:173 *21658:A1 0.000205934 +61 *3206:173 *4812:38 0.000988281 +62 *3206:173 *4827:79 1.9101e-05 +63 *3206:190 *3333:33 5.35941e-05 +64 *3206:190 *3543:33 0.000140678 +65 *3206:190 *5919:72 8.66003e-05 +66 *3206:193 *3208:176 0.000304871 +67 *3206:197 *22269:B2 1.04818e-05 +68 *3206:197 *3208:176 0.00139905 +69 *3206:207 *22269:B2 0.00174794 +70 *3206:207 *3208:176 6.7671e-06 +71 *3206:207 *3739:8 0.000297361 +72 *19795:A *3206:33 5.04829e-06 +73 *19897:A *22246:A2 0.000404603 +74 *20198:A *3206:15 0.000202323 +75 *21181:C1 *22401:A2 0.000211625 +76 *21181:C1 *3206:190 0.000191722 +77 *21235:C1 *22401:A2 7.09666e-06 +78 *21303:B2 *22379:A2 2.58106e-05 +79 *21309:C1 *22379:A2 0.000269695 +80 *21517:A2 *22335:A2 2.57847e-05 +81 *21576:A2 *3206:146 0.000162927 +82 *21623:A1 *22246:A2 0.000377389 +83 *21665:B1 *3206:37 5.11466e-05 +84 *21703:A *3206:24 0.00156721 +85 *22005:A1 *3206:88 0.000252934 +86 *22005:A1 *3206:107 0.000396551 +87 *22239:A1 *3206:207 0.000129105 +88 *22269:B1 *3206:207 1.34914e-05 +89 *22287:B1 *3206:197 0.000340742 +90 *22334:A2 *3206:146 0.000289661 +91 *22335:A1 *22246:A2 7.40684e-06 +92 *22335:A1 *3206:146 0.000400461 +93 *22376:A1 *3206:190 0.000215028 +94 *22379:B1 *22379:A2 2.65927e-05 +95 *22467:A1 *22467:A2 1.32509e-05 +96 *22468:B2 *22467:A2 0.000155833 +97 *22476:A1 *22467:A2 1.09738e-05 +98 *22511:A1 *22467:A2 9.54065e-06 +99 *22511:A1 *3206:48 7.79877e-06 +100 *22618:A1 *22379:A2 0.000455765 +101 *22697:A1 *3206:190 0.000185804 +102 *22768:A1 *3206:88 0.000368897 +103 *22783:A1 *3206:88 6.9902e-05 +104 *22806:B1 *22467:A2 2.12153e-05 +105 *22806:B1 *3206:48 3.24643e-05 +106 *522:17 *3206:88 0.00239038 +107 *547:21 *3206:88 0.000159964 +108 *1515:142 *3206:37 0.000209051 +109 *1543:17 *3206:193 0.000107101 +110 *1543:29 *3206:197 2.77625e-06 +111 *1543:29 *3206:207 0.00182983 +112 *1543:39 *3206:207 0.000205006 +113 *1545:92 *22357:A2 0.000205101 +114 *1553:13 *3206:33 0.00272768 +115 *1564:62 *3206:173 0.000992086 +116 *1564:84 *3206:173 0.000270582 +117 *1564:84 *3206:190 9.20893e-05 +118 *1576:86 *3206:207 6.85769e-05 +119 *1577:183 *3206:15 9.31198e-05 +120 *1592:61 *3206:146 0.000577805 +121 *1598:47 *22246:A2 1.5714e-05 +122 *1631:57 *3206:173 0.000519797 +123 *1635:47 *3206:193 0.000624943 +124 *1635:69 *3206:193 0.00125077 +125 *1638:11 *22511:A2 0.000211559 +126 *1639:69 *3206:37 0.00143382 +127 *1639:69 *3206:39 0.000107496 +128 *1639:69 *3206:41 0.000253916 +129 *1641:26 *3206:37 0.000383897 +130 *1641:38 *3206:37 9.59057e-05 +131 *1641:109 *3206:146 0.000533811 +132 *1642:11 *3206:37 6.22259e-05 +133 *1683:32 *3206:48 0 +134 *1688:91 *22246:A2 0.000153427 +135 *1688:118 *22467:A2 0.000108798 +136 *1706:156 *3206:15 2.01503e-05 +137 *1708:95 *3206:207 0.000124894 +138 *1717:68 *3206:15 7.36522e-06 +139 *1730:43 *3206:33 8.29362e-05 +140 *1739:46 *22423:A2 0.00021476 +141 *1788:89 *22246:A2 1.9101e-05 +142 *1791:28 *22423:A2 0.000211219 +143 *1802:8 *3206:37 5.48682e-05 +144 *2091:19 *3206:15 0.000145911 +145 *2244:36 *3206:146 0.000488587 +146 *2280:6 *3206:146 0.000235956 +147 *2287:112 *22401:A2 0.000203595 +148 *2294:64 *3206:190 1.14055e-05 +149 *2294:74 *3206:190 0.000388118 +150 *2338:41 *3206:88 0.000216164 +151 *2368:34 *3206:146 0.000184997 +152 *2391:49 *3206:15 0.000280791 +153 *2398:100 *3206:15 0.00243303 +154 *2431:23 *3206:15 0.000181263 +155 *2501:32 *3206:190 2.25583e-07 +156 *2508:23 *3206:146 0.000109796 +157 *2539:35 *22335:A2 5.60804e-05 +158 *2543:88 *22246:A2 0.000247443 +159 *2557:20 *22335:A2 2.55661e-05 +160 *2578:15 *3206:48 0.000184252 +161 *2596:14 *3206:15 1.66626e-05 +162 *2651:13 *3206:48 3.77568e-05 +163 *2651:29 *3206:33 3.07726e-05 +164 *2667:93 *3206:88 0.000259185 +165 *2701:17 *22467:A2 7.49251e-05 +166 *2718:8 *3206:33 6.63616e-05 +167 *2718:17 *3206:33 3.31745e-05 +168 *2722:11 *3206:15 0.00037788 +169 *2727:8 *3206:24 9.80784e-05 +170 *2727:8 *3206:88 0.000237833 +171 *2745:11 *22467:A2 6.08467e-05 +172 *2745:19 *22467:A2 4.33655e-05 +173 *2805:22 *22246:A2 0.00101121 +174 *2813:47 *3206:173 8.96328e-05 +175 *2814:24 *3206:173 6.98716e-05 +176 *2916:20 *22246:A2 0.00103572 +177 *2991:67 *3206:146 8.95942e-05 +178 *3002:82 *3206:207 0.000247443 +179 *3020:26 *3206:193 0.00196334 +180 *3071:26 *3206:88 0.000186081 +181 *3071:57 *22335:A2 0.000147168 +182 *3126:82 *3206:197 0.000182539 +183 *3126:82 *3206:207 3.41459e-05 +184 *3128:46 *3206:146 1.82433e-05 +185 *3128:60 *3206:146 1.68253e-05 +186 *3148:183 *3206:146 1.34424e-05 +187 *3160:22 *3206:15 0.000145911 +188 *3177:288 *22401:A2 0.000141165 +189 *3177:288 *3206:173 0.000360293 +190 *3177:288 *3206:190 0.00143544 +191 *3177:298 *22401:A2 2.10515e-05 +192 *3185:25 *3206:88 0.000364123 +193 *3198:190 *3206:193 8.36833e-05 +194 *3198:190 *3206:197 0.000194196 +195 *3198:197 *3206:197 1.54577e-05 +196 *3204:19 *3206:15 0.000136929 +197 *3204:26 *3206:15 0.000864937 +198 *3204:36 *3206:33 0.00249452 +199 *3204:150 *3206:173 7.42355e-05 +*RES +1 *22243:X *3206:15 45.9143 +2 *3206:15 *3206:17 9.24915 +3 *3206:17 *3206:18 81.1229 +4 *3206:18 *3206:24 33.9125 +5 *3206:24 *3206:33 43.6024 +6 *3206:33 *3206:37 30.8893 +7 *3206:37 *3206:39 1.278 +8 *3206:39 *3206:41 2.94181 +9 *3206:41 *3206:48 12.2188 +10 *3206:48 *22511:A2 16.1364 +11 *3206:48 *22467:A2 27.795 +12 *3206:41 *22445:A2 9.24915 +13 *3206:39 *22423:A2 22.9879 +14 *3206:37 *22489:A2 9.24915 +15 *3206:24 *3206:88 21.9235 +16 *3206:88 *22335:A2 22.3243 +17 *3206:88 *3206:107 1.34149 +18 *3206:107 *22246:A2 26.1737 +19 *3206:107 *3206:146 45.2565 +20 *3206:146 *22313:A2 9.24915 +21 *3206:146 *3206:154 14.964 +22 *3206:154 *3206:155 81.1229 +23 *3206:155 *3206:157 74.3058 +24 *3206:157 *3206:173 32.7872 +25 *3206:173 *22357:A2 16.1364 +26 *3206:173 *3206:190 36.8597 +27 *3206:190 *3206:193 32.399 +28 *3206:193 *3206:197 17.2409 +29 *3206:197 *3206:207 42.3824 +30 *3206:207 *22269:A2 9.24915 +31 *3206:197 *22291:A2 9.24915 +32 *3206:193 *22379:A2 34.018 +33 *3206:190 *22401:A2 21.2904 +*END + +*D_NET *3207 0.00057622 +*CONN +*I *22245:A I *D sky130_fd_sc_hd__buf_8 +*I *22244:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22245:A 9.5836e-05 +2 *22244:X 9.5836e-05 +3 *22245:A *3485:19 0.000180685 +4 *22245:A *4865:24 1.29445e-05 +5 *21333:B1 *22245:A 0.000171701 +6 *2425:7 *22245:A 1.92172e-05 +*RES +1 *22244:X *22245:A 31.0235 +*END + +*D_NET *3208 0.108121 +*CONN +*I *22423:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22489:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22467:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22335:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22401:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22379:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22291:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22269:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22357:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22313:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22246:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22511:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22445:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22245:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22423:B2 3.47158e-05 +2 *22489:B2 0.000116165 +3 *22467:B2 4.33984e-05 +4 *22335:B2 0 +5 *22401:B2 0.000210518 +6 *22379:B2 0.000325555 +7 *22291:B2 0 +8 *22269:B2 0.000645497 +9 *22357:B2 0 +10 *22313:B2 0.000426987 +11 *22246:B2 0.000467588 +12 *22511:B2 0.000106184 +13 *22445:B2 0.000112294 +14 *22245:X 0.000182022 +15 *3208:264 0.000150881 +16 *3208:250 0.000896886 +17 *3208:176 0.00206987 +18 *3208:170 0.00269111 +19 *3208:165 0.00265834 +20 *3208:150 0.00255785 +21 *3208:120 0.00147819 +22 *3208:118 0.0032401 +23 *3208:107 0.00459702 +24 *3208:90 0.00335664 +25 *3208:89 0.0028551 +26 *3208:83 0.00227603 +27 *3208:80 0.00153938 +28 *3208:77 0.00148817 +29 *3208:72 0.00272897 +30 *3208:65 0.00209725 +31 *3208:51 0.00085183 +32 *3208:33 0.000660389 +33 *3208:31 0.00174951 +34 *3208:22 0.00178104 +35 *3208:21 0.000815073 +36 *3208:9 0.00505115 +37 *3208:8 0.00451711 +38 *22269:B2 *22270:C1 6.08467e-05 +39 *22313:B2 *22313:B1 3.15947e-05 +40 *22313:B2 *3481:153 2.27175e-05 +41 *22313:B2 *4811:42 4.99006e-05 +42 *22313:B2 *4815:116 3.88358e-05 +43 *22379:B2 *21630:B1 0.000133626 +44 *22379:B2 *3581:29 1.66626e-05 +45 *22445:B2 *22446:C1 7.14256e-05 +46 *22489:B2 *22490:C1 0.000107496 +47 *22511:B2 *22424:C1 3.82228e-05 +48 *3208:8 *4827:29 0.000104696 +49 *3208:31 *22446:C1 0.000216322 +50 *3208:31 *22490:C1 5.74984e-05 +51 *3208:33 *22446:C1 0.000243362 +52 *3208:72 *5856:526 0.000682402 +53 *3208:77 *20413:A1 0 +54 *3208:77 *21991:A 0 +55 *3208:83 *21620:A 0.000978256 +56 *3208:83 *5296:8 6.51527e-05 +57 *3208:89 *22428:C1 7.92757e-06 +58 *3208:89 *22727:A2 0.000205101 +59 *3208:89 *22809:C1 0.000205101 +60 *3208:89 *3382:7 0.000107496 +61 *3208:89 *3471:60 0.000156946 +62 *3208:89 *3476:52 2.53098e-05 +63 *3208:89 *3521:84 0.000152158 +64 *3208:90 *3476:52 7.63448e-05 +65 *3208:107 *3509:60 0.000107019 +66 *3208:107 *3736:18 0.000104754 +67 *3208:107 *4848:8 0.00029652 +68 *3208:118 *21730:A1 0.00044694 +69 *3208:118 *3483:149 5.99529e-06 +70 *3208:118 *3483:163 0.00170494 +71 *3208:118 *4815:122 3.33281e-05 +72 *3208:150 *21636:A1 6.80946e-05 +73 *3208:150 *3636:11 0.000400361 +74 *3208:150 *4811:42 0.000207107 +75 *3208:165 *3315:14 0.000129418 +76 *3208:165 *3315:18 2.47736e-05 +77 *3208:165 *3333:33 0.000132674 +78 *3208:165 *3474:195 2.22957e-05 +79 *3208:165 *3491:204 0.000120237 +80 *3208:165 *3636:11 5.3627e-06 +81 *19787:B *3208:9 0.000491373 +82 *19820:B2 *3208:170 0.000763489 +83 *19955:B2 *3208:21 9.80784e-05 +84 *19956:A2 *3208:21 3.43244e-05 +85 *19980:B2 *3208:21 8.96982e-05 +86 *20052:B *3208:21 0.000247443 +87 *20410:A2 *3208:77 3.47539e-05 +88 *20605:A *3208:80 0.0005826 +89 *21225:A2 *3208:170 2.70402e-05 +90 *21225:C1 *22379:B2 7.99105e-05 +91 *21235:C1 *22379:B2 0.000129091 +92 *21443:C *3208:150 0.000164829 +93 *21500:D1 *3208:107 5.19287e-05 +94 *21517:A2 *3208:250 1.65872e-05 +95 *21525:C *3208:250 5.15415e-05 +96 *21571:A1 *3208:83 0.000208015 +97 *21635:A1 *22313:B2 1.37145e-05 +98 *21635:A1 *3208:118 7.77744e-05 +99 *21635:A1 *3208:150 1.33276e-05 +100 *21653:B2 *3208:150 0.000154591 +101 *21683:B2 *3208:72 0.00016235 +102 *21683:C1 *3208:72 0.000316453 +103 *21731:A2 *3208:21 2.22159e-05 +104 *21869:A1 *22379:B2 7.47481e-05 +105 *21869:A1 *3208:176 4.95423e-05 +106 *21899:B2 *3208:72 1.61631e-05 +107 *21947:A *3208:9 0.000154145 +108 *21947:D *3208:9 4.57289e-05 +109 *22246:A1 *22246:B2 2.16355e-05 +110 *22269:A1 *22269:B2 0.000335061 +111 *22291:B1 *22269:B2 0.000506433 +112 *22335:B1 *3208:250 0.000122844 +113 *22379:A2 *22379:B2 6.08467e-05 +114 *22379:B1 *22379:B2 2.57986e-05 +115 *22401:B1 *22401:B2 6.08467e-05 +116 *22407:A1 *3208:72 0.000111802 +117 *22427:A1 *3208:89 1.84698e-05 +118 *22427:A2 *3208:89 0.000343543 +119 *22428:B2 *3208:89 0.000110306 +120 *22454:B1 *3208:72 0.000314447 +121 *22489:B1 *22489:B2 9.95922e-06 +122 *22490:A1 *22445:B2 5.69128e-05 +123 *22490:A1 *3208:31 0.000514227 +124 *22490:A1 *3208:33 0.000274253 +125 *22499:A1 *3208:83 0.000459276 +126 *22692:B1 *22313:B2 4.15661e-05 +127 *22694:B2 *3208:165 0.000428923 +128 *22696:A1 *3208:150 0.000340063 +129 *22806:B1 *3208:51 0.000439816 +130 *22808:B1 *3208:89 0.000300699 +131 *22811:B1 *3208:83 2.00098e-05 +132 *24636:D *3208:77 0.000137124 +133 *74:36 *3208:77 0.000941168 +134 *547:36 *3208:8 0.000278347 +135 *1454:46 *3208:72 2.0249e-05 +136 *1461:261 *3208:89 0.000445444 +137 *1462:25 *3208:89 3.73975e-05 +138 *1502:160 *3208:83 7.77309e-06 +139 *1537:52 *3208:90 0.00035358 +140 *1541:136 *3208:77 0.000780981 +141 *1554:48 *22269:B2 0.000173578 +142 *1560:52 *3208:83 6.33897e-05 +143 *1561:154 *3208:118 0.000146451 +144 *1570:50 *3208:107 0.000100344 +145 *1576:86 *22269:B2 0.000726835 +146 *1584:9 *3208:90 0.000741023 +147 *1584:9 *3208:250 0.000738911 +148 *1584:20 *3208:250 4.79289e-05 +149 *1584:129 *3208:250 0.000196785 +150 *1597:45 *3208:80 0.000256697 +151 *1598:46 *3208:107 3.29488e-05 +152 *1598:58 *22246:B2 0.000200794 +153 *1598:58 *3208:118 0.000237552 +154 *1602:37 *22269:B2 0.000338471 +155 *1608:65 *3208:170 0.0011867 +156 *1627:13 *3208:107 0.000114321 +157 *1641:138 *22379:B2 0.000205649 +158 *1641:138 *3208:176 0.000133867 +159 *1641:158 *22269:B2 6.08467e-05 +160 *1680:111 *3208:250 0 +161 *1688:118 *22423:B2 4.65119e-05 +162 *1688:118 *22489:B2 7.08288e-05 +163 *1708:95 *3208:170 0.000160624 +164 *1710:18 *3208:21 0.000101489 +165 *1716:68 *3208:51 0.0004379 +166 *1725:69 *3208:72 9.09818e-05 +167 *1761:40 *3208:83 0.000104762 +168 *1761:42 *3208:72 8.80283e-06 +169 *1761:56 *3208:72 1.75682e-05 +170 *1791:28 *22423:B2 5.85325e-05 +171 *1791:28 *22489:B2 6.74182e-05 +172 *1798:84 *22246:B2 0 +173 *1800:119 *22379:B2 7.32444e-05 +174 *1802:143 *22313:B2 4.0605e-06 +175 *1808:11 *3208:31 0.00213823 +176 *1949:52 *3208:77 0.000111838 +177 *2093:98 *3208:165 0.00040289 +178 *2255:13 *3208:165 6.51527e-05 +179 *2280:6 *22313:B2 0.000185971 +180 *2280:6 *3208:150 3.7816e-05 +181 *2288:38 *22401:B2 3.79418e-05 +182 *2291:61 *3208:170 0.000779313 +183 *2291:66 *22379:B2 1.91391e-05 +184 *2291:66 *3208:170 0.000297638 +185 *2326:16 *3208:165 3.63593e-05 +186 *2332:22 *3208:165 0.000144192 +187 *2338:14 *3208:9 4.801e-05 +188 *2354:47 *22401:B2 6.36477e-05 +189 *2355:53 *22401:B2 5.75012e-05 +190 *2355:53 *3208:165 0.000308402 +191 *2359:27 *22401:B2 3.29488e-05 +192 *2361:29 *3208:150 6.3657e-05 +193 *2361:54 *3208:150 0.000340902 +194 *2368:34 *22313:B2 7.94462e-05 +195 *2429:25 *22445:B2 1.85963e-05 +196 *2429:25 *3208:31 9.37055e-05 +197 *2501:22 *22401:B2 2.1203e-06 +198 *2501:22 *3208:165 0.000280301 +199 *2501:32 *3208:165 1.16164e-05 +200 *2503:61 *22313:B2 0.000107496 +201 *2523:84 *3208:107 0.000104754 +202 *2543:88 *3208:107 4.25398e-05 +203 *2549:17 *3208:250 0.000203604 +204 *2557:20 *3208:250 0.000107063 +205 *2571:67 *3208:89 5.35941e-05 +206 *2571:67 *3208:90 0.000134314 +207 *2586:59 *3208:21 8.62625e-06 +208 *2599:20 *3208:89 0.000773484 +209 *2599:26 *3208:90 6.12686e-06 +210 *2632:112 *3208:107 3.74542e-05 +211 *2632:112 *3208:250 0.000577653 +212 *2638:8 *3208:9 0.000205101 +213 *2675:68 *3208:107 0.00131981 +214 *2675:95 *3208:107 0.000240135 +215 *2680:52 *22246:B2 0.000148114 +216 *2680:57 *3208:118 3.09861e-06 +217 *2713:27 *3208:77 0.000215492 +218 *2713:38 *3208:72 9.7065e-05 +219 *2732:29 *22445:B2 3.54963e-05 +220 *2732:47 *3208:51 6.10797e-05 +221 *2740:27 *3208:21 2.88703e-05 +222 *2745:11 *22467:B2 0.000162677 +223 *2745:11 *3208:72 0.000744116 +224 *2745:19 *22467:B2 3.01683e-06 +225 *2749:11 *22489:B2 2.65667e-05 +226 *2749:38 *22489:B2 2.16355e-05 +227 *2772:48 *3208:118 0.00110757 +228 *2805:22 *3208:107 0.00156469 +229 *2833:21 *22511:B2 4.6012e-05 +230 *2833:21 *3208:65 0.000466069 +231 *2834:17 *22511:B2 1.32841e-05 +232 *2834:17 *3208:65 0.000186893 +233 *2844:46 *3208:77 0.000632024 +234 *2881:51 *22379:B2 1.33586e-05 +235 *2895:11 *22467:B2 9.76046e-05 +236 *2895:11 *3208:72 0.000107496 +237 *2895:21 *22467:B2 3.24899e-05 +238 *2933:40 *3208:80 0.000540927 +239 *2935:13 *3208:9 0.000213725 +240 *2964:35 *3208:51 0 +241 *2978:99 *3208:9 0.00329685 +242 *2978:110 *3208:9 0.000233554 +243 *3003:24 *3208:31 2.01853e-05 +244 *3004:23 *3208:89 0.000323104 +245 *3033:50 *3208:89 1.31079e-05 +246 *3058:49 *3208:89 3.31745e-05 +247 *3071:57 *3208:250 0.000107063 +248 *3124:174 *3208:150 0.000432773 +249 *3126:206 *3208:89 0.000188178 +250 *3139:177 *3208:150 4.83562e-06 +251 *3139:177 *3208:165 0.000133841 +252 *3148:253 *3208:83 0.00113758 +253 *3151:176 *3208:150 0.000298399 +254 *3155:63 *3208:89 0.000345048 +255 *3155:77 *3208:89 7.5301e-06 +256 *3179:190 *3208:150 6.23875e-05 +257 *3179:202 *3208:165 0.000368805 +258 *3206:48 *22445:B2 1.23967e-05 +259 *3206:146 *22313:B2 3.99086e-06 +260 *3206:193 *3208:176 0.000304871 +261 *3206:197 *22269:B2 1.04818e-05 +262 *3206:197 *3208:176 0.00139905 +263 *3206:207 *22269:B2 0.00174794 +264 *3206:207 *3208:176 6.7671e-06 +*RES +1 *22245:X *3208:8 23.8184 +2 *3208:8 *3208:9 69.4942 +3 *3208:9 *3208:21 31.2703 +4 *3208:21 *3208:22 127.479 +5 *3208:22 *3208:31 43.6367 +6 *3208:31 *3208:33 0.394091 +7 *3208:33 *22445:B2 19.2457 +8 *3208:33 *3208:51 5.59617 +9 *3208:51 *22511:B2 16.3997 +10 *3208:51 *3208:65 13.5989 +11 *3208:65 *3208:72 46.3715 +12 *3208:72 *3208:77 40.7302 +13 *3208:77 *3208:80 20.1977 +14 *3208:80 *3208:83 37.8912 +15 *3208:83 *3208:89 47.4625 +16 *3208:89 *3208:90 24.0167 +17 *3208:90 *3208:107 26.9847 +18 *3208:107 *22246:B2 17.2306 +19 *3208:107 *3208:118 51.7469 +20 *3208:118 *3208:120 4.5 +21 *3208:120 *22313:B2 23.7399 +22 *3208:120 *3208:150 49.2224 +23 *3208:150 *22357:B2 13.7491 +24 *3208:150 *3208:165 38.7284 +25 *3208:165 *3208:170 9.69408 +26 *3208:170 *3208:176 29.0479 +27 *3208:176 *22269:B2 46.9161 +28 *3208:176 *22291:B2 9.24915 +29 *3208:170 *22379:B2 28.6799 +30 *3208:165 *22401:B2 18.6617 +31 *3208:90 *3208:250 40.8769 +32 *3208:250 *22335:B2 9.24915 +33 *3208:65 *22467:B2 11.6605 +34 *3208:31 *3208:264 3.36879 +35 *3208:264 *22489:B2 17.2302 +36 *3208:264 *22423:B2 14.9583 +*END + +*D_NET *3209 0.0124278 +*CONN +*I *22247:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22246:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22247:C1 5.82718e-05 +2 *22246:X 0 +3 *3209:6 0.00276901 +4 *3209:5 0.00271074 +5 *3209:6 *21630:B1 0.000200439 +6 *3209:6 *3527:70 3.71249e-05 +7 *3209:6 *3531:73 6.08904e-05 +8 *19820:B2 *3209:6 7.48635e-05 +9 *19822:A *3209:6 0.000165811 +10 *19885:A2 *3209:6 0.000165933 +11 *20040:A1 *3209:6 7.09666e-06 +12 *20040:B2 *22247:C1 3.31745e-05 +13 *21605:B2 *3209:6 0.000151976 +14 *21629:A1 *3209:6 0.000174488 +15 *1535:22 *3209:6 0.000908275 +16 *1535:51 *3209:6 0.000396187 +17 *1537:101 *3209:6 0.000120976 +18 *1537:208 *3209:6 0.00013961 +19 *1542:40 *3209:6 0.000230081 +20 *1547:114 *3209:6 2.27901e-06 +21 *1564:134 *3209:6 0.000272344 +22 *1594:85 *3209:6 1.5714e-05 +23 *1634:70 *3209:6 0.00271517 +24 *1646:35 *22247:C1 6.08467e-05 +25 *1666:12 *3209:6 0.000278099 +26 *1778:10 *3209:6 0.000220782 +27 *1796:10 *22247:C1 5.481e-05 +28 *2815:48 *3209:6 0.000402779 +*RES +1 *22246:X *3209:5 13.7491 +2 *3209:5 *3209:6 81.9757 +3 *3209:6 *22247:C1 15.5817 +*END + +*D_NET *3210 0.00134937 +*CONN +*I *22248:D I *D sky130_fd_sc_hd__and4_1 +*I *22247:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22248:D 0.000404709 +2 *22247:X 0.000404709 +3 *21759:A2 *22248:D 1.41307e-05 +4 *22247:A2 *22248:D 9.77628e-05 +5 *22248:B *22248:D 0.000110655 +6 *2551:44 *22248:D 0.000163259 +7 *2771:58 *22248:D 0.000154145 +*RES +1 *22247:X *22248:D 27.179 +*END + +*D_NET *3211 0.00646094 +*CONN +*I *22249:C I *D sky130_fd_sc_hd__and3_4 +*I *22248:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22249:C 0.00108059 +2 *22248:X 0.000223252 +3 *3211:10 0.00130384 +4 *22247:A2 *3211:10 0.000156946 +5 *22249:B *22249:C 0.000161234 +6 *22608:A1 *22249:C 1.6267e-05 +7 *22608:A1 *3211:10 8.91584e-05 +8 *1655:135 *3211:10 1.91246e-05 +9 *2551:44 *22249:C 0.00163279 +10 *2551:44 *3211:10 2.26808e-05 +11 *2986:34 *22249:C 0.00158683 +12 *2986:34 *3211:10 6.99044e-06 +13 *3114:200 *22249:C 0.000161234 +*RES +1 *22248:X *3211:10 18.3542 +2 *3211:10 *22249:C 49.5958 +*END + +*D_NET *3212 0.0951515 +*CONN +*I *22250:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6204:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22249:X O *D sky130_fd_sc_hd__and3_4 +*CAP +1 *22250:A 0 +2 *6204:DIODE 2.91059e-05 +3 *22249:X 0.00912317 +4 *3212:87 0.00108003 +5 *3212:82 0.00105092 +6 *3212:80 7.10213e-05 +7 *3212:79 0.000246702 +8 *3212:73 0.00221932 +9 *3212:72 0.00211394 +10 *3212:66 0.00238548 +11 *3212:64 0.00259022 +12 *3212:52 0.000829347 +13 *3212:51 0.00055431 +14 *3212:49 0.000495333 +15 *3212:38 0.00178363 +16 *3212:36 0.00130742 +17 *3212:34 0.000385204 +18 *3212:27 0.000724414 +19 *3212:19 0.00948151 +20 *6204:DIODE *21038:B1 0.000160617 +21 *6204:DIODE *4876:49 0.000160617 +22 *3212:19 *3278:17 0.000145297 +23 *3212:19 *4812:87 3.1597e-05 +24 *3212:19 *4818:13 0 +25 *3212:19 *5855:219 0.000229264 +26 *3212:19 *5862:491 0.000367285 +27 *3212:19 *5864:747 1.7351e-05 +28 *3212:27 *5904:35 9.57388e-06 +29 *3212:34 *4829:142 8.3458e-05 +30 *3212:34 *4829:145 0.00241221 +31 *3212:34 *4829:147 0 +32 *3212:38 *4829:142 0.000237959 +33 *3212:38 *5886:48 0.00327539 +34 *3212:49 *5886:36 0.00173082 +35 *3212:52 *5898:168 0.0017151 +36 *3212:64 *5898:168 2.71397e-05 +37 *3212:66 *5725:14 3.9596e-05 +38 *3212:66 *5886:14 0.00646654 +39 *3212:66 *5898:168 0 +40 *3212:72 *5725:14 5.92342e-05 +41 *3212:73 *24282:CLK 0.000519574 +42 *3212:73 *24396:RESET_B 7.03358e-05 +43 *3212:73 *24396:CLK 0.000113968 +44 *3212:73 *5871:836 0.000640054 +45 *3212:73 *5871:886 0.000859481 +46 *3212:73 *5886:13 0 +47 *3212:73 *6024:8 1.03403e-05 +48 *3212:79 *23994:A1 0.000477578 +49 *3212:87 *21038:B1 0.000417478 +50 *3212:87 *21367:A 0.000162942 +51 *3212:87 *23949:A1 0.00178412 +52 *3212:87 *4876:49 0.000557447 +53 *3212:87 *5854:438 0.000353672 +54 *3212:87 *5857:368 0.000102003 +55 *6087:DIODE *3212:87 0.000111722 +56 *6152:DIODE *3212:79 0.000227627 +57 *21012:A *3212:73 0.00061912 +58 *22217:A2 *3212:19 0.000894029 +59 *22339:A1 *3212:19 0.000363051 +60 *22340:A1 *3212:19 0.000641509 +61 *25138:A *3212:19 1.14164e-05 +62 *476:100 *3212:66 0.000139306 +63 *496:31 *3212:87 1.97124e-05 +64 *500:73 *3212:73 0.000505888 +65 *500:77 *3212:73 0.000153158 +66 *500:89 *3212:73 0.000658797 +67 *502:42 *3212:73 2.15184e-05 +68 *502:42 *3212:79 1.10258e-05 +69 *502:42 *3212:87 0.00253067 +70 *510:41 *3212:19 0.000178559 +71 *512:38 *3212:19 8.46427e-05 +72 *524:11 *3212:87 0.000159891 +73 *524:16 *3212:87 0.000211478 +74 *527:44 *3212:38 0 +75 *527:46 *3212:49 0.00172618 +76 *527:51 *3212:64 0 +77 *529:63 *3212:73 0.00143306 +78 *535:57 *3212:73 4.51879e-05 +79 *535:57 *3212:79 0.000111722 +80 *1439:15 *3212:19 0 +81 *1439:28 *3212:19 0.000468185 +82 *1631:72 *3212:19 6.86335e-05 +83 *1635:116 *3212:19 0.000195696 +84 *1636:33 *3212:73 0.000107496 +85 *1644:10 *3212:19 0.000269086 +86 *1656:37 *3212:19 8.22964e-06 +87 *1663:27 *3212:19 7.61735e-05 +88 *1688:75 *3212:34 0.000366816 +89 *1741:63 *3212:49 8.72257e-05 +90 *1741:64 *3212:52 0.000128785 +91 *1741:69 *3212:64 1.03434e-05 +92 *2240:19 *3212:73 0.00196057 +93 *2262:78 *3212:19 2.14262e-05 +94 *2357:50 *3212:19 0 +95 *2367:49 *3212:19 3.88987e-05 +96 *2384:32 *3212:19 1.43499e-05 +97 *2389:56 *3212:52 0.00335339 +98 *2391:34 *3212:49 0.000175055 +99 *2417:43 *3212:19 0.000573608 +100 *2421:103 *3212:34 2.52799e-05 +101 *2421:103 *3212:38 2.07849e-05 +102 *2433:31 *3212:19 0.000820514 +103 *2433:58 *3212:19 0.00054672 +104 *2460:40 *3212:19 0.00125847 +105 *2461:11 *3212:19 0.000101381 +106 *2467:49 *3212:19 0.000107413 +107 *2554:21 *3212:19 0.0034253 +108 *2609:10 *3212:87 0.000209232 +109 *2629:10 *3212:27 4.31485e-06 +110 *2629:21 *3212:34 0.00242524 +111 *2629:21 *3212:36 2.72975e-05 +112 *2629:37 *3212:38 0.000502186 +113 *2645:31 *3212:87 0.000135995 +114 *2679:37 *3212:19 3.71241e-05 +115 *2687:42 *3212:19 0.000253434 +116 *2791:46 *3212:27 0.000769896 +117 *2882:36 *3212:64 0 +118 *2882:36 *3212:66 0.000240815 +119 *2938:34 *3212:52 6.34651e-06 +120 *2938:40 *3212:52 0.00186543 +121 *2938:42 *3212:52 1.30377e-05 +122 *2956:42 *3212:19 0.000232035 +123 *2986:34 *3212:19 0.000271095 +124 *2989:91 *3212:52 0.000182051 +125 *2996:49 *3212:19 0.000116756 +126 *3114:251 *3212:19 0.00306102 +*RES +1 *22249:X *3212:19 47.6755 +2 *3212:19 *3212:27 26.6035 +3 *3212:27 *3212:34 49.591 +4 *3212:34 *3212:36 0.732798 +5 *3212:36 *3212:38 58.0742 +6 *3212:38 *3212:49 47.6458 +7 *3212:49 *3212:51 4.5 +8 *3212:51 *3212:52 58.7215 +9 *3212:52 *3212:64 15.8197 +10 *3212:64 *3212:66 106.06 +11 *3212:66 *3212:72 6.7961 +12 *3212:72 *3212:73 78.3679 +13 *3212:73 *3212:79 16.5072 +14 *3212:79 *3212:80 81.1229 +15 *3212:80 *3212:82 9.24915 +16 *3212:82 *3212:87 49.0221 +17 *3212:87 *6204:DIODE 11.0817 +18 *3212:87 *22250:A 9.24915 +*END + +*D_NET *3213 0.000651251 +*CONN +*I *22252:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22251:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22252:C1 0.000143642 +2 *22251:X 0.000143642 +3 *1631:106 *22252:C1 0.00010814 +4 *2986:20 *22252:C1 0.000106656 +5 *3155:168 *22252:C1 7.13972e-05 +6 *3157:180 *22252:C1 7.77744e-05 +*RES +1 *22251:X *22252:C1 32.1327 +*END + +*D_NET *3214 0.000582164 +*CONN +*I *22253:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22252:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22253:C1 0.000164508 +2 *22252:X 0.000164508 +3 *22317:B2 *22253:C1 6.22259e-05 +4 *3038:17 *22253:C1 0.00010029 +5 *3155:178 *22253:C1 7.38249e-05 +6 *3157:200 *22253:C1 1.68057e-05 +*RES +1 *22252:X *22253:C1 31.0235 +*END + +*D_NET *3215 0.00548991 +*CONN +*I *22272:A I *D sky130_fd_sc_hd__nand3_4 +*I *22253:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22272:A 3.23907e-05 +2 *22253:X 0.000943475 +3 *3215:10 0.000975866 +4 *22272:A *22272:B 0.000110306 +5 *22272:A *3529:200 1.88014e-05 +6 *3215:10 *21091:A1 0.000236803 +7 *3215:10 *21092:B1 0.000189635 +8 *3215:10 *21451:A 0.000224284 +9 *22278:A1 *3215:10 1.5714e-05 +10 *22279:B2 *3215:10 0.000110473 +11 *22318:A1 *3215:10 0.000540283 +12 *22318:A2 *3215:10 1.37531e-05 +13 *22318:B1 *3215:10 0.000154145 +14 *24214:D *3215:10 4.39636e-05 +15 *2545:55 *3215:10 7.92757e-06 +16 *2869:32 *3215:10 7.67599e-05 +17 *2886:8 *3215:10 5.11394e-05 +18 *2906:8 *3215:10 0.0006197 +19 *3128:184 *22272:A 0.000161252 +20 *3157:200 *3215:10 4.97617e-05 +21 *3168:190 *3215:10 0.000913476 +*RES +1 *22253:X *3215:10 49.4738 +2 *3215:10 *22272:A 11.0817 +*END + +*D_NET *3216 0.000945124 +*CONN +*I *22255:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22254:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22255:C1 0.000142776 +2 *22254:X 0.000142776 +3 *21641:A1 *22255:C1 5.73392e-05 +4 *22209:B2 *22255:C1 0.000482292 +5 *22255:A1 *22255:C1 6.08467e-05 +6 *22255:A2 *22255:C1 7.66906e-06 +7 *22255:B2 *22255:C1 5.14247e-05 +*RES +1 *22254:X *22255:C1 24.2372 +*END + +*D_NET *3217 0.0185596 +*CONN +*I *22262:A I *D sky130_fd_sc_hd__and4_1 +*I *22255:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22262:A 0.000699966 +2 *22255:X 0.000378349 +3 *3217:28 0.00245284 +4 *3217:27 0.00175288 +5 *3217:25 0.00154391 +6 *3217:14 0.00192226 +7 *22262:A *3222:41 0.000281846 +8 *3217:14 *3284:10 8.96465e-06 +9 *3217:14 *3284:21 0.000330013 +10 *3217:14 *3529:39 0.000129091 +11 *3217:25 *22624:B2 4.40237e-05 +12 *3217:25 *3284:10 0.000966965 +13 *3217:25 *3284:21 5.60364e-06 +14 *3217:25 *5924:37 3.30319e-05 +15 *3217:28 *22575:A2 0.000313316 +16 *3217:28 *22615:B2 5.35461e-05 +17 *3217:28 *22616:B 6.87503e-05 +18 *3217:28 *22634:D 3.64497e-06 +19 *3217:28 *3222:41 7.00554e-05 +20 *3217:28 *3539:177 4.65926e-05 +21 *21482:A1 *3217:28 1.5714e-05 +22 *22042:C *22262:A 2.9187e-05 +23 *22042:C *3217:28 3.40948e-05 +24 *22062:B *3217:28 6.85778e-05 +25 *22610:A1 *3217:28 9.96222e-05 +26 *22611:A1 *3217:28 1.5714e-05 +27 *22613:B1 *22262:A 4.12977e-05 +28 *22615:A1 *3217:28 9.69987e-05 +29 *22623:B1 *3217:25 9.28716e-05 +30 *22624:B1 *3217:14 5.4678e-05 +31 *1554:49 *3217:25 0.000531645 +32 *1558:42 *3217:25 0.000129091 +33 *1575:81 *3217:28 0.000162061 +34 *1614:75 *3217:28 3.08014e-05 +35 *1620:60 *3217:25 0.000378982 +36 *1751:145 *3217:14 0.000129091 +37 *2542:8 *22262:A 0.0005353 +38 *2542:26 *22262:A 0.000181806 +39 *2542:28 *22262:A 9.39114e-06 +40 *2542:28 *3217:28 0.0023931 +41 *2589:54 *3217:28 0.000689552 +42 *2887:66 *3217:25 0.000813727 +43 *3020:56 *3217:28 2.57465e-06 +44 *3049:14 *22262:A 0.000124582 +45 *3064:44 *3217:25 0.000483076 +46 *3139:200 *3217:25 1.78444e-05 +47 *3142:154 *3217:14 5.60364e-06 +48 *3142:154 *3217:25 3.29488e-05 +49 *3185:107 *3217:14 0.000253977 +*RES +1 *22255:X *3217:14 29.0313 +2 *3217:14 *3217:25 49.8186 +3 *3217:25 *3217:27 4.5 +4 *3217:27 *3217:28 50.6241 +5 *3217:28 *22262:A 31.9592 +*END + +*D_NET *3218 0.000749414 +*CONN +*I *22257:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22256:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22257:C1 0.000108295 +2 *22256:X 0.000108295 +3 *22217:A2 *22257:C1 5.0187e-05 +4 *22257:B1 *22257:C1 0.000161243 +5 *2589:38 *22257:C1 0.00012698 +6 *2963:127 *22257:C1 3.31705e-05 +7 *3173:33 *22257:C1 0.000161243 +*RES +1 *22256:X *22257:C1 31.9934 +*END + +*D_NET *3219 0.0006339 +*CONN +*I *22262:B I *D sky130_fd_sc_hd__and4_1 +*I *22257:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22262:B 0.000277642 +2 *22257:X 0.000277642 +3 *22262:B *22262:C 5.97411e-05 +4 *2589:38 *22262:B 1.29665e-05 +5 *3179:251 *22262:B 5.90794e-06 +*RES +1 *22257:X *22262:B 22.5493 +*END + +*D_NET *3220 0.00930386 +*CONN +*I *22259:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22258:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22259:C1 0.000549533 +2 *22258:X 0.00188241 +3 *3220:12 0.00243195 +4 *3220:12 *20387:A1 4.15527e-05 +5 *3220:12 *4869:23 2.77625e-06 +6 *20387:A2 *3220:12 5.1423e-05 +7 *20387:B2 *3220:12 8.79845e-05 +8 *20434:B *3220:12 2.37827e-05 +9 *22023:B1 *22259:C1 0.000581812 +10 *22024:A1 *22259:C1 7.41262e-05 +11 *22024:A2 *22259:C1 6.78431e-05 +12 *22024:B2 *22259:C1 5.47232e-06 +13 *22258:A2 *3220:12 0.000633581 +14 *22258:B1 *3220:12 4.45999e-05 +15 *22258:B2 *3220:12 0.000253916 +16 *22259:B1 *22259:C1 1.71261e-08 +17 *24652:D *3220:12 0.000115934 +18 *1742:93 *22259:C1 0.000879612 +19 *1884:159 *3220:12 0.000491359 +20 *2293:13 *3220:12 0.000200794 +21 *2350:13 *3220:12 0.000678064 +22 *2350:20 *3220:12 1.15389e-05 +23 *2601:23 *3220:12 9.68883e-05 +24 *3015:10 *3220:12 9.68883e-05 +*RES +1 *22258:X *3220:12 49.9813 +2 *3220:12 *22259:C1 25.9717 +*END + +*D_NET *3221 0.00510397 +*CONN +*I *22262:C I *D sky130_fd_sc_hd__and4_1 +*I *22259:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22262:C 8.9156e-05 +2 *22259:X 0.000971287 +3 *3221:6 0.00106044 +4 *3221:6 *22319:C1 0.000130517 +5 *22262:B *22262:C 5.97411e-05 +6 *2542:8 *3221:6 2.68245e-05 +7 *2589:24 *3221:6 0.000652022 +8 *2589:38 *3221:6 0.000456233 +9 *2996:48 *3221:6 0.00160515 +10 *3049:14 *3221:6 1.22547e-05 +11 *3179:251 *22262:C 4.03381e-05 +*RES +1 *22259:X *3221:6 47.1403 +2 *3221:6 *22262:C 16.1364 +*END + +*D_NET *3222 0.0193793 +*CONN +*I *22261:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22260:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22261:C1 0 +2 *22260:X 7.54611e-05 +3 *3222:41 0.00202898 +4 *3222:8 0.00404772 +5 *3222:7 0.0020942 +6 *3222:7 *22555:B2 0.000113107 +7 *3222:8 *22292:C1 0.000879604 +8 *3222:8 *22600:B2 4.4196e-06 +9 *3222:8 *22611:C1 8.72537e-06 +10 *3222:8 *3511:192 0.000159874 +11 *3222:41 *22610:A2 0.000308112 +12 *3222:41 *22615:B2 7.45608e-05 +13 *3222:41 *22616:A 0.000296903 +14 *3222:41 *3539:198 3.73639e-05 +15 *3222:41 *4860:17 0.000873608 +16 *3222:41 *4873:17 0.000112092 +17 *19801:B2 *3222:8 2.1362e-05 +18 *19864:A1 *3222:41 0.000236661 +19 *21278:B2 *3222:41 0.000547048 +20 *22011:A1 *3222:8 6.1578e-06 +21 *22029:A1 *3222:8 6.60191e-06 +22 *22210:A1 *3222:8 0.000466687 +23 *22261:B2 *3222:41 1.65872e-05 +24 *22262:A *3222:41 0.000281846 +25 *22265:B1 *3222:8 8.7039e-06 +26 *22325:A2 *3222:8 4.04068e-05 +27 *22605:B1 *3222:8 0.000436031 +28 *1559:21 *3222:8 0.000132548 +29 *1584:49 *3222:8 1.5714e-05 +30 *1617:33 *3222:41 0.000104754 +31 *1790:100 *3222:41 0.000452665 +32 *2468:37 *3222:41 0.000235677 +33 *2541:24 *3222:8 1.38281e-05 +34 *2541:36 *3222:8 0.000351575 +35 *2777:80 *3222:41 0.000299448 +36 *2819:38 *3222:41 0.00040465 +37 *2827:29 *3222:41 0.000937985 +38 *2873:17 *3222:41 0.000294093 +39 *2984:24 *3222:8 0.000140781 +40 *2985:44 *3222:8 6.76936e-05 +41 *3025:11 *3222:8 0.000898829 +42 *3039:15 *3222:41 6.36477e-05 +43 *3039:46 *3222:41 8.37998e-05 +44 *3046:35 *3222:8 6.46519e-06 +45 *3049:14 *3222:41 7.94061e-05 +46 *3114:230 *3222:41 0.000162739 +47 *3173:26 *3222:8 0.00138018 +48 *3217:28 *3222:41 7.00554e-05 +*RES +1 *22260:X *3222:7 15.0271 +2 *3222:7 *3222:8 58.7215 +3 *3222:8 *3222:41 40.9342 +4 *3222:41 *22261:C1 9.24915 +*END + +*D_NET *3223 0.000194509 +*CONN +*I *22262:D I *D sky130_fd_sc_hd__and4_1 +*I *22261:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22262:D 5.75057e-05 +2 *22261:X 5.75057e-05 +3 *22262:D *3529:200 3.5534e-06 +4 *2871:8 *22262:D 6.56365e-05 +5 *3039:15 *22262:D 1.03079e-05 +*RES +1 *22261:X *22262:D 28.915 +*END + +*D_NET *3224 0.00174154 +*CONN +*I *22272:B I *D sky130_fd_sc_hd__nand3_4 +*I *22262:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22272:B 0.000343025 +2 *22262:X 0.000343025 +3 *22272:B *22279:C1 6.08467e-05 +4 *22272:B *3529:200 0.000154145 +5 *22272:A *22272:B 0.000110306 +6 *22278:A1 *22272:B 6.3657e-05 +7 *22278:B2 *22272:B 0.000107496 +8 *2886:8 *22272:B 0.000110777 +9 *2906:8 *22272:B 0.000110777 +10 *3128:184 *22272:B 1.88152e-05 +11 *3148:106 *22272:B 0.000154145 +12 *3148:117 *22272:B 0.000107496 +13 *3179:243 *22272:B 3.13128e-05 +14 *3179:251 *22272:B 2.57182e-05 +*RES +1 *22262:X *22272:B 38.4263 +*END + +*D_NET *3225 0.000820341 +*CONN +*I *22264:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22263:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22264:C1 0.000308077 +2 *22263:X 0.000308077 +3 *22263:B2 *22264:C1 0.000154145 +4 *22264:A2 *22264:C1 0 +5 *22264:B2 *22264:C1 5.99529e-06 +6 *3026:44 *22264:C1 4.40473e-05 +*RES +1 *22263:X *22264:C1 23.538 +*END + +*D_NET *3226 0.00123848 +*CONN +*I *22271:A I *D sky130_fd_sc_hd__and4_1 +*I *22264:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22271:A 0.000292006 +2 *22264:X 0.000292006 +3 *22271:A *22271:B 8.96465e-06 +4 *22271:A *22271:C 7.76105e-06 +5 *22271:A *3233:8 0.000155906 +6 *22271:A *3564:14 6.67835e-06 +7 *22271:A *3564:28 2.37988e-05 +8 *22041:B1 *22271:A 6.3657e-05 +9 *22041:B2 *22271:A 6.36477e-05 +10 *22264:A1 *22271:A 9.96222e-05 +11 *22264:A2 *22271:A 7.45852e-05 +12 *22268:B2 *22271:A 0.000107496 +13 *1564:119 *22271:A 1.65872e-05 +14 *1620:60 *22271:A 2.82171e-06 +15 *3051:57 *22271:A 2.29454e-05 +*RES +1 *22264:X *22271:A 35.4281 +*END + +*D_NET *3227 0.000828444 +*CONN +*I *22266:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22265:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22266:C1 0.000133688 +2 *22265:X 0.000133688 +3 *22237:A2 *22266:C1 0.000400291 +4 *22265:B1 *22266:C1 6.36477e-05 +5 *22266:A2 *22266:C1 6.1478e-06 +6 *22266:B1 *22266:C1 7.44364e-06 +7 *3196:209 *22266:C1 8.35377e-05 +*RES +1 *22265:X *22266:C1 24.5748 +*END + +*D_NET *3228 0.00263797 +*CONN +*I *22271:B I *D sky130_fd_sc_hd__and4_1 +*I *22266:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22271:B 0.000637254 +2 *22266:X 0.000637254 +3 *22271:B *3233:8 2.57847e-05 +4 *22271:B *3564:28 1.19455e-05 +5 *22041:A1 *22271:B 0.000452205 +6 *22041:B2 *22271:B 8.56016e-05 +7 *22271:A *22271:B 8.96465e-06 +8 *1564:119 *22271:B 6.36477e-05 +9 *1584:69 *22271:B 0.000222877 +10 *1584:95 *22271:B 5.30873e-05 +11 *1620:60 *22271:B 1.27402e-05 +12 *2613:47 *22271:B 4.24705e-05 +13 *3064:44 *22271:B 0.000384138 +*RES +1 *22266:X *22271:B 45.9723 +*END + +*D_NET *3229 0.00283718 +*CONN +*I *22268:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22267:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22268:C1 0.000798534 +2 *22267:X 0.000798534 +3 *22268:C1 *22292:C1 2.57986e-05 +4 *22268:C1 *3233:8 0.000523404 +5 *22247:A2 *22268:C1 9.80242e-07 +6 *22264:A2 *22268:C1 5.2409e-06 +7 *22292:A1 *22268:C1 2.16355e-05 +8 *22292:B1 *22268:C1 2.57847e-05 +9 *2869:51 *22268:C1 0.000162797 +10 *3039:55 *22268:C1 1.07745e-05 +11 *3044:33 *22268:C1 5.2409e-06 +12 *3051:54 *22268:C1 0.000436798 +13 *3204:202 *22268:C1 2.16608e-05 +*RES +1 *22267:X *22268:C1 47.6854 +*END + +*D_NET *3230 0.00284856 +*CONN +*I *22271:C I *D sky130_fd_sc_hd__and4_1 +*I *22268:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22271:C 0.000916974 +2 *22268:X 0.000916974 +3 *22271:C *3564:14 1.5714e-05 +4 *22271:C *5457:13 1.75569e-05 +5 *22264:A2 *22271:C 0.000156946 +6 *22264:B2 *22271:C 1.03403e-05 +7 *22268:A1 *22271:C 1.4893e-05 +8 *22268:B2 *22271:C 1.30642e-05 +9 *22271:A *22271:C 7.76105e-06 +10 *1620:60 *22271:C 0.000156397 +11 *2819:38 *22271:C 7.08723e-06 +12 *2869:51 *22271:C 1.91246e-05 +13 *3026:35 *22271:C 0.000292995 +14 *3044:33 *22271:C 0.00025175 +15 *3051:54 *22271:C 5.09839e-05 +*RES +1 *22268:X *22271:C 44.2791 +*END + +*D_NET *3231 0.00148596 +*CONN +*I *22270:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22269:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22270:C1 0.000207616 +2 *22269:X 0.000207616 +3 *22269:A1 *22270:C1 6.08467e-05 +4 *22269:B2 *22270:C1 6.08467e-05 +5 *1598:82 *22270:C1 0.000110306 +6 *1641:158 *22270:C1 0.000162921 +7 *3002:82 *22270:C1 0.000675804 +*RES +1 *22269:X *22270:C1 27.0103 +*END + +*D_NET *3232 0.00416022 +*CONN +*I *22271:D I *D sky130_fd_sc_hd__and4_1 +*I *22270:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22271:D 0.000126173 +2 *22270:X 0.000747219 +3 *3232:25 0.000873392 +4 *22271:D *3233:8 6.08467e-05 +5 *3232:25 *3284:7 0.000190037 +6 *3232:25 *3493:73 8.97578e-05 +7 *3232:25 *4857:12 3.16037e-05 +8 *22270:A2 *3232:25 0.000165558 +9 *22324:A1 *3232:25 6.3657e-05 +10 *22324:A2 *3232:25 6.08467e-05 +11 *1564:119 *22271:D 2.16355e-05 +12 *1620:60 *22271:D 0.000163157 +13 *1641:141 *3232:25 0.000554519 +14 *1641:158 *3232:25 9.85247e-05 +15 *2542:28 *3232:25 0.000106762 +16 *2613:47 *3232:25 0.00010051 +17 *2869:51 *22271:D 0.000167239 +18 *3020:56 *3232:25 0.000106762 +19 *3025:11 *3232:25 0.000344793 +20 *3064:44 *3232:25 7.17274e-05 +21 *3146:157 *3232:25 1.55025e-05 +*RES +1 *22270:X *3232:25 48.5068 +2 *3232:25 *22271:D 17.9655 +*END + +*D_NET *3233 0.00951611 +*CONN +*I *22272:C I *D sky130_fd_sc_hd__nand3_4 +*I *22271:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22272:C 0 +2 *22271:X 0.00121498 +3 *3233:22 0.000948815 +4 *3233:8 0.00216379 +5 *3233:8 *22611:A2 0.000118828 +6 *3233:8 *3509:241 0.000243278 +7 *3233:8 *3581:13 3.43356e-05 +8 *3233:22 *22283:C1 1.77402e-05 +9 *3233:22 *3521:208 0.000226438 +10 *3233:22 *3523:172 0.000205101 +11 *22264:A2 *3233:8 3.06917e-06 +12 *22268:B2 *3233:8 2.05972e-05 +13 *22268:C1 *3233:8 0.000523404 +14 *22271:A *3233:8 0.000155906 +15 *22271:B *3233:8 2.57847e-05 +16 *22271:D *3233:8 6.08467e-05 +17 *22279:B1 *3233:22 4.49912e-05 +18 *22283:B2 *3233:22 0.000121386 +19 *22292:B1 *3233:8 0.000116428 +20 *22292:B1 *3233:22 0.000136089 +21 *22292:B2 *3233:8 1.71045e-05 +22 *1564:119 *3233:8 2.137e-05 +23 *1771:127 *3233:22 9.39114e-06 +24 *1771:131 *3233:22 0.000165464 +25 *1784:74 *3233:22 6.14474e-06 +26 *2776:47 *3233:22 0.00070419 +27 *2794:58 *3233:8 0.000208303 +28 *2820:62 *3233:22 0.000173082 +29 *2869:32 *3233:22 1.37385e-05 +30 *2869:46 *3233:22 4.78133e-05 +31 *2869:51 *3233:8 8.21016e-06 +32 *2871:18 *3233:22 0.000215105 +33 *2873:10 *3233:22 0.000102836 +34 *2883:64 *3233:8 0.00029671 +35 *2900:140 *3233:8 0.000134727 +36 *3039:46 *3233:8 1.49176e-05 +37 *3051:54 *3233:8 0.000386328 +38 *3051:57 *3233:8 6.08467e-05 +39 *3111:160 *3233:8 2.57465e-06 +40 *3122:161 *3233:8 2.54982e-05 +41 *3153:193 *3233:22 0.000226438 +42 *3192:135 *3233:22 0.000293512 +*RES +1 *22271:X *3233:8 47.383 +2 *3233:8 *3233:22 45.5386 +3 *3233:22 *22272:C 9.24915 +*END + +*D_NET *3234 0.00112597 +*CONN +*I *22274:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22273:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22274:C1 0.000123244 +2 *22273:X 0.000123244 +3 *22220:C1 *22274:C1 0.000497801 +4 *22273:B1 *22274:C1 6.08467e-05 +5 *2846:23 *22274:C1 0.000111802 +6 *2846:36 *22274:C1 6.08467e-05 +7 *3157:166 *22274:C1 0.000148188 +*RES +1 *22273:X *22274:C1 24.7918 +*END + +*D_NET *3235 0.000456234 +*CONN +*I *22275:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22274:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22275:C1 0.000144988 +2 *22274:X 0.000144988 +3 *1658:86 *22275:C1 5.93105e-05 +4 *1741:40 *22275:C1 4.32904e-05 +5 *3166:130 *22275:C1 6.3657e-05 +*RES +1 *22274:X *22275:C1 30.8842 +*END + +*D_NET *3236 0.010804 +*CONN +*I *22294:A I *D sky130_fd_sc_hd__nand3_4 +*I *22275:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22294:A 0.00167906 +2 *22275:X 0.000929731 +3 *3236:8 0.00260879 +4 *22294:A *22284:D 0.000391697 +5 *22294:A *3541:71 0.00169055 +6 *21974:B1 *3236:8 0.000154145 +7 *21975:C1 *3236:8 0.000489283 +8 *22217:B2 *3236:8 0.000112378 +9 *22256:B2 *3236:8 0.000191095 +10 *22283:A1 *22294:A 2.00439e-05 +11 *22283:A2 *22294:A 9.24649e-05 +12 *22283:B2 *22294:A 3.31745e-05 +13 *1596:92 *22294:A 1.54953e-05 +14 *1631:106 *3236:8 0.000336607 +15 *1645:182 *22294:A 0.000200794 +16 *2589:46 *3236:8 0.000411324 +17 *2822:8 *3236:8 0 +18 *2850:34 *3236:8 3.31745e-05 +19 *2963:127 *3236:8 3.57463e-05 +20 *2986:25 *3236:8 6.08467e-05 +21 *2986:31 *3236:8 1.34424e-05 +22 *3070:32 *3236:8 0.000679332 +23 *3070:36 *3236:8 0.000619808 +24 *3168:160 *3236:8 5.04829e-06 +*RES +1 *22275:X *3236:8 45.9352 +2 *3236:8 *22294:A 43.9389 +*END + +*D_NET *3237 0.000992462 +*CONN +*I *22277:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22276:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22277:C1 0.000242429 +2 *22276:X 0.000242429 +3 *22277:C1 *3284:21 0.000251776 +4 *22624:B1 *22277:C1 0.000246852 +5 *3117:204 *22277:C1 8.97566e-06 +*RES +1 *22276:X *22277:C1 33.791 +*END + +*D_NET *3238 0.0196035 +*CONN +*I *22284:A I *D sky130_fd_sc_hd__and4_1 +*I *22277:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22284:A 0.000401681 +2 *22277:X 0.00111601 +3 *3238:44 0.00133462 +4 *3238:22 0.000983669 +5 *3238:21 9.81248e-05 +6 *3238:16 0.002355 +7 *3238:15 0.00342362 +8 *22284:A *22699:A2 0.000298399 +9 *22284:A *3254:10 0.000316958 +10 *22284:A *3509:241 0.000110949 +11 *3238:15 *4804:96 0.000103002 +12 *3238:16 *3327:8 0 +13 *3238:16 *3566:11 2.40945e-05 +14 *3238:16 *3568:8 0.000521108 +15 *3238:16 *3581:29 0.00029407 +16 *3238:44 *3523:192 4.39931e-06 +17 *21229:A1 *3238:16 0.000126589 +18 *21235:C1 *3238:16 0.000127931 +19 *21236:B *3238:16 4.54772e-05 +20 *21236:C *3238:16 0.000311243 +21 *22254:A1 *3238:15 0.000111227 +22 *22285:A1 *3238:44 7.67159e-05 +23 *22380:B1 *3238:16 0.000110473 +24 *22698:A1 *3238:44 0.000422339 +25 *22698:B1 *3238:44 0.000194099 +26 *1608:43 *3238:15 1.02264e-05 +27 *1608:43 *3238:16 0.000975382 +28 *1608:65 *3238:16 0.000293699 +29 *1616:71 *3238:16 3.37714e-06 +30 *1631:57 *3238:15 1.37385e-05 +31 *1634:45 *3238:44 0.000168336 +32 *1635:42 *3238:16 1.3706e-05 +33 *1635:47 *3238:16 0.0012053 +34 *1679:68 *3238:16 0 +35 *1689:135 *3238:16 2.33356e-05 +36 *1800:119 *3238:44 5.88594e-05 +37 *1800:126 *3238:44 0.000113549 +38 *2288:24 *3238:16 0.000575982 +39 *2288:38 *3238:16 0.000191964 +40 *2344:21 *3238:21 5.88657e-05 +41 *2344:21 *3238:44 6.17618e-05 +42 *2370:8 *3238:44 5.62106e-05 +43 *2508:11 *3238:44 0.000263113 +44 *2806:14 *22284:A 0.00045137 +45 *2888:28 *3238:16 0.000400358 +46 *2888:28 *3238:44 0.000145115 +47 *2888:41 *3238:44 0.000165992 +48 *2906:39 *3238:44 1.5714e-05 +49 *3041:71 *3238:15 0.000818656 +50 *3120:130 *3238:44 4.51918e-05 +51 *3124:174 *3238:15 2.81262e-05 +52 *3136:208 *3238:15 6.08467e-05 +53 *3144:152 *3238:15 0.000211103 +54 *3144:187 *3238:16 0 +55 *3146:157 *22284:A 9.17656e-06 +56 *3146:159 *22284:A 0.000106427 +57 *3146:181 *22284:A 9.12416e-06 +58 *3153:193 *3238:44 6.11348e-05 +59 *3192:135 *3238:44 7.59601e-05 +*RES +1 *22277:X *3238:15 42.2693 +2 *3238:15 *3238:16 66.1961 +3 *3238:16 *3238:21 14.4725 +4 *3238:21 *3238:22 57.9449 +5 *3238:22 *3238:44 46.7026 +6 *3238:44 *22284:A 31.4673 +*END + +*D_NET *3239 0.00102726 +*CONN +*I *22279:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22278:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22279:C1 0.000240116 +2 *22278:X 0.000240116 +3 *22279:C1 *3529:200 1.77657e-05 +4 *21857:A1 *22279:C1 4.99006e-05 +5 *22272:B *22279:C1 6.08467e-05 +6 *1771:127 *22279:C1 4.23622e-05 +7 *1771:131 *22279:C1 3.94863e-05 +8 *2868:24 *22279:C1 0.000253163 +9 *3039:15 *22279:C1 8.3506e-05 +*RES +1 *22278:X *22279:C1 34.2062 +*END + +*D_NET *3240 0.00123402 +*CONN +*I *22284:B I *D sky130_fd_sc_hd__and4_1 +*I *22279:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22284:B 0.00046022 +2 *22279:X 0.00046022 +3 *2370:8 *22284:B 1.28732e-05 +4 *2776:29 *22284:B 0.000219375 +5 *2806:14 *22284:B 6.22114e-05 +6 *3010:68 *22284:B 1.91246e-05 +*RES +1 *22279:X *22284:B 35.1845 +*END + +*D_NET *3241 0.0116565 +*CONN +*I *22281:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22280:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22281:C1 0.000122179 +2 *22280:X 5.11329e-05 +3 *3241:9 0.00132761 +4 *3241:8 0.00125656 +5 *3241:9 *3527:172 0.00169054 +6 *3241:9 *3533:100 0.00118394 +7 *19863:B1 *3241:9 0.000934888 +8 *22281:A2 *22281:C1 6.08467e-05 +9 *1617:33 *3241:9 0.000769197 +10 *1617:40 *3241:9 6.76778e-05 +11 *1618:126 *22281:C1 2.36617e-05 +12 *2552:31 *3241:8 8.95272e-05 +13 *2770:46 *22281:C1 0.000100852 +14 *2770:46 *3241:9 0.00105189 +15 *3010:76 *22281:C1 0.00025175 +16 *3010:76 *3241:9 1.37531e-05 +17 *3057:14 *3241:8 8.95272e-05 +18 *3114:200 *3241:9 0.00248577 +19 *3128:162 *3241:9 8.51503e-05 +*RES +1 *22280:X *3241:8 20.0811 +2 *3241:8 *3241:9 55.0746 +3 *3241:9 *22281:C1 13.8789 +*END + +*D_NET *3242 0.000412046 +*CONN +*I *22284:C I *D sky130_fd_sc_hd__and4_1 +*I *22281:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22284:C 8.36661e-05 +2 *22281:X 8.36661e-05 +3 *22284:C *22294:B 5.04829e-06 +4 *22281:A1 *22284:C 0.00021754 +5 *22281:B2 *22284:C 1.41976e-05 +6 *3010:68 *22284:C 7.92757e-06 +*RES +1 *22281:X *22284:C 21.5848 +*END + +*D_NET *3243 0.0200741 +*CONN +*I *22283:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22282:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22283:C1 0.000163831 +2 *22282:X 0.00123528 +3 *3243:41 0.00201072 +4 *3243:30 0.0035941 +5 *3243:22 0.0029825 +6 *22283:C1 *3541:71 0.000124811 +7 *3243:22 *3481:159 0.000355718 +8 *3243:22 *3483:173 0.000110505 +9 *3243:22 *5592:70 8.53491e-05 +10 *3243:30 *22619:B2 0.000120854 +11 *3243:30 *22619:C1 5.22667e-05 +12 *3243:30 *22625:A2 0.000110247 +13 *3243:30 *22625:B1 0.000179836 +14 *3243:30 *3471:195 6.22114e-05 +15 *3243:30 *3491:226 3.68026e-05 +16 *3243:30 *3500:152 1.91246e-05 +17 *3243:30 *3569:13 5.60749e-05 +18 *3243:30 *4817:145 1.28732e-05 +19 *3243:30 *5919:40 2.27135e-05 +20 *3243:41 *22293:C 1.80225e-05 +21 *3243:41 *3254:10 0.00102729 +22 *3243:41 *3569:13 1.44033e-05 +23 *3243:41 *5908:87 0.000554343 +24 *6079:DIODE *3243:41 9.66546e-05 +25 *21641:A1 *3243:22 1.49713e-05 +26 *22254:A2 *3243:22 2.71273e-05 +27 *22254:A2 *3243:30 2.25532e-05 +28 *22276:B2 *3243:22 0.000110306 +29 *22288:A1 *3243:30 0.000859499 +30 *22288:A2 *3243:30 8.90266e-05 +31 *22290:A2 *3243:41 6.85778e-05 +32 *22290:B2 *3243:41 0.000160678 +33 *22604:A1 *3243:41 6.52144e-05 +34 *22618:A1 *3243:30 0.000483839 +35 *22622:B1 *3243:41 4.65234e-05 +36 *22625:A1 *3243:30 0.000168016 +37 *1592:70 *3243:22 7.09666e-06 +38 *1645:118 *3243:41 0.000119835 +39 *2286:36 *3243:22 0.00021904 +40 *2286:36 *3243:30 9.05255e-05 +41 *2286:53 *3243:30 0.000276434 +42 *2468:37 *3243:41 0.00054452 +43 *2508:23 *3243:41 0 +44 *2613:47 *3243:30 4.37642e-05 +45 *2613:47 *3243:41 0.000213373 +46 *2631:70 *3243:22 9.4808e-06 +47 *2631:70 *3243:30 2.25583e-07 +48 *2682:19 *3243:22 2.15954e-05 +49 *2772:48 *3243:22 1.27831e-06 +50 *2772:50 *3243:22 7.44425e-06 +51 *2806:14 *3243:41 1.64436e-05 +52 *2806:30 *3243:41 0.000115479 +53 *2827:57 *3243:30 0.000158185 +54 *2871:18 *22283:C1 1.9101e-05 +55 *2882:74 *3243:30 4.19797e-05 +56 *2884:17 *3243:30 0.000762247 +57 *2884:17 *3243:41 0.000173515 +58 *2906:12 *22283:C1 2.05342e-06 +59 *3021:39 *3243:30 0.000104202 +60 *3041:71 *3243:22 0.000382035 +61 *3044:33 *3243:41 2.27057e-05 +62 *3052:101 *3243:22 0.000111586 +63 *3136:180 *3243:22 4.81452e-05 +64 *3136:208 *3243:22 0.000361375 +65 *3146:157 *3243:41 0.00101069 +66 *3196:185 *3243:30 9.17656e-06 +67 *3233:22 *22283:C1 1.77402e-05 +*RES +1 *22282:X *3243:22 49.8106 +2 *3243:22 *3243:30 49.6959 +3 *3243:30 *3243:41 47.5164 +4 *3243:41 *22283:C1 20.8918 +*END + +*D_NET *3244 0.00181046 +*CONN +*I *22284:D I *D sky130_fd_sc_hd__and4_1 +*I *22283:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22284:D 0.000434122 +2 *22283:X 0.000434122 +3 *22284:D *22294:B 6.08467e-05 +4 *22281:A1 *22284:D 6.5895e-05 +5 *22286:A1 *22284:D 0.00012774 +6 *22294:A *22284:D 0.000391697 +7 *1596:92 *22284:D 0.000148286 +8 *2370:8 *22284:D 0.000131165 +9 *3010:68 *22284:D 1.65872e-05 +*RES +1 *22283:X *22284:D 39.6908 +*END + +*D_NET *3245 0.000807993 +*CONN +*I *22294:B I *D sky130_fd_sc_hd__nand3_4 +*I *22284:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22294:B 0.000167618 +2 *22284:X 0.000167618 +3 *22281:A1 *22294:B 1.34424e-05 +4 *22284:C *22294:B 5.04829e-06 +5 *22284:D *22294:B 6.08467e-05 +6 *1645:176 *22294:B 6.22114e-05 +7 *2370:8 *22294:B 0.00013115 +8 *2806:14 *22294:B 1.53344e-05 +9 *3010:68 *22294:B 0.000184722 +*RES +1 *22284:X *22294:B 32.4086 +*END + +*D_NET *3246 0.00105094 +*CONN +*I *22286:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22285:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22286:C1 0.00014095 +2 *22285:X 0.00014095 +3 *22286:A1 *22286:C1 0.000154145 +4 *22286:B1 *22286:C1 5.41992e-05 +5 *2888:51 *22286:C1 0.000420956 +6 *3190:169 *22286:C1 2.21021e-05 +7 *3190:185 *22286:C1 0.00011764 +*RES +1 *22285:X *22286:C1 24.406 +*END + +*D_NET *3247 0.00211109 +*CONN +*I *22293:A I *D sky130_fd_sc_hd__and4_1 +*I *22286:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22293:A 0.000383511 +2 *22286:X 0.000383511 +3 *22293:A *22293:C 0.000263903 +4 *22293:A *22293:D 7.77744e-05 +5 *22293:A *3521:206 0.000193976 +6 *21309:C1 *22293:A 0.00029861 +7 *2370:8 *22293:A 7.32576e-06 +8 *2806:14 *22293:A 0.000502475 +*RES +1 *22286:X *22293:A 40.9924 +*END + +*D_NET *3248 0.000791162 +*CONN +*I *22288:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22287:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22288:C1 0.000187102 +2 *22287:X 0.000187102 +3 *22288:C1 *3284:10 0.000131406 +4 *1620:60 *22288:C1 0.000131406 +5 *3198:197 *22288:C1 0.000154145 +*RES +1 *22287:X *22288:C1 31.9934 +*END + +*D_NET *3249 0.00640345 +*CONN +*I *22293:B I *D sky130_fd_sc_hd__and4_1 +*I *22288:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22293:B 0 +2 *22288:X 7.66207e-05 +3 *3249:15 0.00137857 +4 *3249:7 0.00145519 +5 *3249:15 *22290:C1 0.000123764 +6 *3249:15 *22293:D 0.000272168 +7 *3249:15 *22618:B2 3.5948e-05 +8 *22268:A2 *3249:15 0.000122101 +9 *22288:A2 *3249:7 1.88014e-05 +10 *22292:A1 *3249:15 1.91391e-05 +11 *22604:B1 *3249:15 0.000144075 +12 *22618:B1 *3249:15 0.000148385 +13 *2117:107 *3249:15 0.000255857 +14 *2777:28 *3249:15 0.000737114 +15 *2806:30 *3249:15 0.00061017 +16 *2884:17 *3249:15 2.1558e-06 +17 *3026:18 *3249:15 5.99155e-05 +18 *3044:33 *3249:15 0.000828028 +19 *3122:153 *3249:15 1.99266e-05 +20 *3196:185 *3249:7 6.64392e-05 +21 *3204:202 *3249:15 2.90846e-05 +*RES +1 *22288:X *3249:7 15.0271 +2 *3249:7 *3249:15 44.4819 +3 *3249:15 *22293:B 9.24915 +*END + +*D_NET *3250 0.000618001 +*CONN +*I *22290:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22289:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22290:C1 0.00017669 +2 *22289:X 0.00017669 +3 *22290:C1 *22293:D 3.82228e-05 +4 *2827:30 *22290:C1 1.26672e-05 +5 *3122:153 *22290:C1 6.22259e-05 +6 *3204:202 *22290:C1 2.77419e-05 +7 *3249:15 *22290:C1 0.000123764 +*RES +1 *22289:X *22290:C1 31.4388 +*END + +*D_NET *3251 0.00211214 +*CONN +*I *22293:C I *D sky130_fd_sc_hd__and4_1 +*I *22290:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22293:C 0.00040629 +2 *22290:X 0.00040629 +3 *22293:C *22293:D 7.15752e-05 +4 *22293:C *3254:10 0.000211573 +5 *22293:C *3581:25 0.00011155 +6 *22290:A1 *22293:C 9.75148e-06 +7 *22293:A *22293:C 0.000263903 +8 *2806:19 *22293:C 0.00021498 +9 *3044:33 *22293:C 0.000100106 +10 *3122:153 *22293:C 0.000260343 +11 *3146:157 *22293:C 3.77568e-05 +12 *3243:41 *22293:C 1.80225e-05 +*RES +1 *22290:X *22293:C 40.3795 +*END + +*D_NET *3252 0.00634269 +*CONN +*I *22292:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22291:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22292:C1 0.0010669 +2 *22291:X 0.00055497 +3 *3252:17 0.00162187 +4 *22292:C1 *22610:B2 6.08467e-05 +5 *3252:17 *22325:C1 2.16355e-05 +6 *22018:B *3252:17 0 +7 *22018:C *22292:C1 0.000145551 +8 *22018:C *3252:17 0.000359868 +9 *22029:A1 *22292:C1 0.00043447 +10 *22263:B2 *22292:C1 1.5714e-05 +11 *22267:B *22292:C1 0.000247443 +12 *22268:C1 *22292:C1 2.57986e-05 +13 *22291:A1 *3252:17 5.88009e-05 +14 *22291:B1 *3252:17 4.2372e-05 +15 *2541:24 *22292:C1 6.04315e-05 +16 *2985:44 *3252:17 0.000170837 +17 *3039:55 *22292:C1 8.09406e-05 +18 *3064:44 *3252:17 6.84074e-06 +19 *3076:23 *22292:C1 0.000112769 +20 *3146:128 *3252:17 0.000126587 +21 *3146:157 *3252:17 1.51352e-05 +22 *3173:23 *3252:17 0.000233299 +23 *3222:8 *22292:C1 0.000879604 +*RES +1 *22291:X *3252:17 37.0399 +2 *3252:17 *22292:C1 40.7273 +*END + +*D_NET *3253 0.00158796 +*CONN +*I *22293:D I *D sky130_fd_sc_hd__and4_1 +*I *22292:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22293:D 0.000355532 +2 *22292:X 0.000355532 +3 *22290:C1 *22293:D 3.82228e-05 +4 *22293:A *22293:D 7.77744e-05 +5 *22293:C *22293:D 7.15752e-05 +6 *2869:51 *22293:D 0.000106413 +7 *3051:54 *22293:D 0.000109954 +8 *3122:153 *22293:D 4.66492e-05 +9 *3122:161 *22293:D 0.000154145 +10 *3249:15 *22293:D 0.000272168 +*RES +1 *22292:X *22293:D 38.2816 +*END + +*D_NET *3254 0.00412187 +*CONN +*I *22294:C I *D sky130_fd_sc_hd__nand3_4 +*I *22293:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22294:C 0 +2 *22293:X 0.000930132 +3 *3254:10 0.000930132 +4 *3254:10 *3509:241 0.000164682 +5 *22284:A *3254:10 0.000316958 +6 *22293:C *3254:10 0.000211573 +7 *2806:14 *3254:10 0.000113256 +8 *3146:157 *3254:10 5.92415e-05 +9 *3190:185 *3254:10 0.000368615 +10 *3243:41 *3254:10 0.00102729 +*RES +1 *22293:X *3254:10 44.892 +2 *3254:10 *22294:C 9.24915 +*END + +*D_NET *3255 0.00313032 +*CONN +*I *22296:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22295:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22296:C1 0.000408511 +2 *22295:X 0.000408511 +3 *22296:C1 *22295:A1 6.08467e-05 +4 *22296:C1 *22295:B1 0.000110306 +5 *22295:A2 *22296:C1 4.99897e-05 +6 *22296:B1 *22296:C1 4.80635e-06 +7 *22383:A1 *22296:C1 6.50586e-05 +8 *22383:B1 *22296:C1 6.56522e-05 +9 *22383:B2 *22296:C1 0.000111708 +10 *2258:62 *22296:C1 1.5613e-05 +11 *2374:34 *22296:C1 0.000493634 +12 *2545:55 *22296:C1 0.000390588 +13 *2635:20 *22296:C1 0.000926296 +14 *3168:190 *22296:C1 1.88014e-05 +*RES +1 *22295:X *22296:C1 36.4867 +*END + +*D_NET *3256 0.000430224 +*CONN +*I *22297:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22296:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22297:C1 5.80959e-05 +2 *22296:X 5.80959e-05 +3 *22297:C1 *22296:A1 0.000200794 +4 *22384:A2 *22297:C1 6.08467e-05 +5 *2545:55 *22297:C1 5.23916e-05 +*RES +1 *22296:X *22297:C1 20.8855 +*END + +*D_NET *3257 0.0476806 +*CONN +*I *22316:A I *D sky130_fd_sc_hd__nand3_4 +*I *22297:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22316:A 0.000605188 +2 *22297:X 0.00145299 +3 *3257:80 0.00200463 +4 *3257:74 0.00199076 +5 *3257:67 0.00162577 +6 *3257:61 0.00166073 +7 *3257:51 0.00173496 +8 *3257:44 0.00322319 +9 *3257:36 0.00351709 +10 *3257:28 0.00260894 +11 *3257:20 0.00265934 +12 *22316:A *22316:C 0.000543067 +13 *22316:A *4820:14 0.00198339 +14 *22316:A *4825:127 0.00024825 +15 *3257:20 *20213:A1 0.000164985 +16 *3257:20 *4815:67 0 +17 *3257:20 *4845:229 0.000440316 +18 *3257:20 *5090:13 1.33419e-05 +19 *3257:20 *5092:8 4.42033e-05 +20 *3257:20 *5855:166 5.04829e-06 +21 *3257:28 *20959:B1 1.26672e-05 +22 *3257:28 *5853:208 4.99006e-05 +23 *3257:28 *5924:42 9.4218e-05 +24 *3257:36 *20959:B1 0.000135925 +25 *3257:36 *4845:250 7.39223e-06 +26 *3257:36 *5861:338 8.03385e-06 +27 *3257:36 *5862:454 0.000346593 +28 *3257:36 *5924:42 9.5251e-05 +29 *3257:44 *20697:A1 0.000148129 +30 *3257:44 *20997:B1 1.2693e-05 +31 *3257:44 *21778:A 9.60589e-05 +32 *3257:44 *24441:RESET_B 0.000550211 +33 *3257:44 *24443:RESET_B 0.000118485 +34 *3257:44 *4817:54 0.000505792 +35 *3257:44 *5528:8 9.92046e-06 +36 *3257:44 *5528:12 0.000409179 +37 *3257:44 *5857:467 1.2693e-05 +38 *3257:44 *5862:411 0.000222208 +39 *3257:51 *20997:B1 0.00054021 +40 *3257:51 *22012:A 0.000137561 +41 *3257:51 *4904:15 0.000298968 +42 *3257:51 *4904:90 0.000432608 +43 *3257:51 *4904:110 7.18576e-05 +44 *3257:51 *5528:12 0.000229652 +45 *3257:61 *23866:A 0.000132526 +46 *3257:61 *24103:A 7.50872e-05 +47 *3257:61 *4817:85 0.000726658 +48 *3257:61 *4873:32 8.56161e-05 +49 *3257:61 *5402:11 6.63077e-05 +50 *3257:67 *23864:A 9.32983e-05 +51 *3257:67 *4810:82 0.000671592 +52 *3257:74 *20898:A1 0.000148114 +53 *3257:80 *21243:A 0.000168288 +54 *3257:80 *24247:RESET_B 0.000843713 +55 *3257:80 *24336:CLK 1.69574e-05 +56 *3257:80 *4825:112 1.47488e-05 +57 mgmt_gpio_out[9] *3257:61 2.27135e-05 +58 *20698:A2 *3257:51 3.79806e-05 +59 *20959:B2 *3257:36 0.000396771 +60 *20964:B2 *3257:36 0.000137403 +61 *24299:D *3257:28 1.21461e-06 +62 *24299:D *3257:36 4.31485e-06 +63 *24438:D *3257:51 3.6549e-05 +64 *476:161 *3257:51 0.000199522 +65 *478:54 *3257:36 0.000419289 +66 *490:105 *3257:61 9.54494e-05 +67 *500:47 *3257:51 0.000251723 +68 *502:11 *3257:36 0.000347214 +69 *1418:50 *3257:80 5.98718e-05 +70 *1439:81 *3257:61 0.000282742 +71 *1679:20 *3257:67 0.00126716 +72 *1688:75 *3257:44 9.51518e-05 +73 *2027:20 *3257:44 0.000638996 +74 *2039:31 *3257:44 2.53624e-06 +75 *2039:33 *3257:51 2.66117e-05 +76 *2177:90 *3257:80 0.000186914 +77 *2266:24 *3257:20 0 +78 *2310:10 *3257:80 0.000515068 +79 *2357:51 *3257:28 0.00143369 +80 *2380:62 *3257:67 0.00126549 +81 *2391:19 *3257:61 0.000247443 +82 *2404:67 *3257:51 0.000101637 +83 *2421:78 *3257:44 9.54224e-05 +84 *2433:31 *3257:36 8.26073e-05 +85 *2467:62 *3257:36 7.58507e-05 +86 *2471:56 *3257:61 7.19452e-05 +87 *2520:43 *3257:36 0.000628826 +88 *2545:51 *3257:20 0.000271712 +89 *2545:55 *3257:20 0.000633581 +90 *2584:32 *3257:44 2.6752e-05 +91 *2584:62 *3257:61 1.69447e-05 +92 *2610:46 *3257:36 0.000414517 +93 *2870:21 *3257:44 1.20478e-05 +94 *2924:51 *3257:74 0.000913446 +95 *2949:60 *3257:74 0.000618553 +96 *2949:64 *3257:74 0.000101148 +97 *2989:32 *3257:20 0.000336852 +98 *3021:10 *3257:80 0.000927042 +99 *3041:42 *3257:80 0 +100 *3041:61 *3257:80 0.000264612 +*RES +1 *22297:X *3257:20 47.0912 +2 *3257:20 *3257:28 30.0355 +3 *3257:28 *3257:36 46.6898 +4 *3257:36 *3257:44 29.4262 +5 *3257:44 *3257:51 42.0166 +6 *3257:51 *3257:61 29.6267 +7 *3257:61 *3257:67 41.4676 +8 *3257:67 *3257:74 27.1679 +9 *3257:74 *3257:80 48.5661 +10 *3257:80 *22316:A 32.1567 +*END + +*D_NET *3258 0.000707453 +*CONN +*I *22299:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22298:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22299:C1 0.000131351 +2 *22298:X 0.000131351 +3 *22299:C1 *22299:A1 6.76778e-05 +4 *22299:C1 *4808:121 0.000107496 +5 *22298:A2 *22299:C1 6.08467e-05 +6 *22298:B2 *22299:C1 6.08467e-05 +7 *1750:15 *22299:C1 1.41976e-05 +8 *1750:45 *22299:C1 0.000133686 +*RES +1 *22298:X *22299:C1 23.128 +*END + +*D_NET *3259 0.000538829 +*CONN +*I *22306:A I *D sky130_fd_sc_hd__and4_1 +*I *22299:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22306:A 8.46825e-05 +2 *22299:X 8.46825e-05 +3 *22306:A *22306:B 0.000107496 +4 *22306:A *3543:15 8.69165e-05 +5 *22305:B2 *22306:A 8.41713e-05 +6 *3114:102 *22306:A 3.96379e-06 +7 *3175:267 *22306:A 8.69165e-05 +*RES +1 *22299:X *22306:A 30.6083 +*END + +*D_NET *3260 0.000830195 +*CONN +*I *22301:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22300:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22301:C1 0.000216639 +2 *22300:X 0.000216639 +3 *22301:C1 *22649:B1 0.000121386 +4 *1610:37 *22301:C1 0.000154145 +5 *2690:40 *22301:C1 0.000121386 +*RES +1 *22300:X *22301:C1 31.9934 +*END + +*D_NET *3261 0.00126853 +*CONN +*I *22306:B I *D sky130_fd_sc_hd__and4_1 +*I *22301:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22306:B 0.000390767 +2 *22301:X 0.000390767 +3 *22301:A2 *22306:B 0.000298399 +4 *22305:B2 *22306:B 7.83273e-05 +5 *22306:A *22306:B 0.000107496 +6 *3114:102 *22306:B 2.77625e-06 +*RES +1 *22301:X *22306:B 24.7677 +*END + +*D_NET *3262 0.000727845 +*CONN +*I *22303:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22302:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22303:C1 9.74087e-05 +2 *22302:X 9.74087e-05 +3 *22303:C1 *22302:A1 0.000105733 +4 *22303:C1 *22303:B1 1.616e-05 +5 *22302:B2 *22303:C1 6.92705e-05 +6 *22303:B2 *22303:C1 1.41976e-05 +7 *1608:148 *22303:C1 0.000327667 +*RES +1 *22302:X *22303:C1 23.128 +*END + +*D_NET *3263 0.051366 +*CONN +*I *22306:C I *D sky130_fd_sc_hd__and4_1 +*I *22303:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22306:C 0.000841732 +2 *22303:X 0.000942782 +3 *3263:33 0.00328022 +4 *3263:24 0.00605053 +5 *3263:23 0.00592811 +6 *3263:13 0.00325885 +7 *22306:C *22306:D 7.91147e-05 +8 *22306:C *22316:B 8.53085e-05 +9 *22306:C *22711:A2 1.72919e-05 +10 *22306:C *3491:146 0.000149783 +11 *22306:C *3537:14 2.25583e-07 +12 *22306:C *3539:12 5.12361e-05 +13 *3263:23 *4805:35 0.00115319 +14 *3263:23 *4812:18 0.000461572 +15 *3263:23 *4812:80 0.000146041 +16 *3263:23 *4826:73 7.50228e-05 +17 *3263:24 *19564:S 2.37478e-05 +18 *3263:24 *20997:B1 0.000124928 +19 *3263:24 *24269:CLK 0.000223415 +20 *3263:24 *24271:CLK 0.000184977 +21 *3263:24 *24273:CLK 0.000734968 +22 *3263:24 *4817:48 0 +23 *3263:24 *4827:89 0.000870072 +24 *3263:24 *4843:407 2.40593e-05 +25 *3263:24 *4903:202 2.75427e-05 +26 *3263:24 *4903:208 0.000240057 +27 *3263:24 *4904:18 0.000183153 +28 *3263:24 *4904:20 0.000484595 +29 *3263:24 *4941:8 0.00420895 +30 *3263:24 *5855:202 0.000181848 +31 *3263:24 *5859:181 0.000337031 +32 *3263:24 *5859:183 0.00149351 +33 *3263:24 *5859:197 0.000876297 +34 *3263:24 *5859:205 0.000147294 +35 *3263:24 *5864:690 0.000291697 +36 *3263:33 *21421:A 3.31745e-05 +37 *3263:33 *24247:CLK 0.000687333 +38 *3263:33 *3537:19 2.90638e-06 +39 *3263:33 *3653:8 0.000302611 +40 *3263:33 *4826:117 0.000299228 +41 *3263:33 *4827:79 0.00385533 +42 *3263:33 *5593:57 0.000139195 +43 *20210:A2 *3263:13 2.81262e-05 +44 *20210:A2 *3263:23 2.83829e-05 +45 *20696:A2 *3263:24 1.14395e-05 +46 *20996:B2 *3263:24 0.000122068 +47 *22303:A1 *3263:13 7.13972e-05 +48 *22305:B2 *22306:C 2.92556e-05 +49 *22711:A1 *3263:33 0.00112617 +50 *22711:B1 *3263:33 0.000124398 +51 *24442:D *3263:24 0.000267469 +52 *24769:D *3263:13 0.000480689 +53 *476:167 *3263:24 0.00115316 +54 *512:38 *3263:33 0.00013855 +55 *1576:28 *22306:C 0.000231 +56 *1583:28 *22306:C 4.49912e-05 +57 *1608:107 *3263:23 0.000192252 +58 *1608:117 *3263:13 3.55968e-05 +59 *1608:148 *3263:13 0.000154145 +60 *1634:22 *3263:23 0.000200506 +61 *1652:41 *3263:24 3.29488e-05 +62 *2016:71 *3263:33 0.000390018 +63 *2039:14 *3263:24 1.29348e-05 +64 *2039:86 *3263:24 2.0726e-05 +65 *2275:43 *3263:33 9.27065e-05 +66 *2294:44 *3263:13 8.35425e-05 +67 *2306:38 *3263:13 1.74104e-05 +68 *2372:60 *3263:23 0 +69 *2448:81 *3263:23 1.58551e-05 +70 *2448:82 *3263:23 0.000109465 +71 *2481:13 *3263:33 0.000343543 +72 *2486:84 *3263:24 0.000232791 +73 *2486:96 *3263:24 9.57557e-06 +74 *2503:48 *22306:C 0.000197678 +75 *2545:35 *3263:23 0.000116731 +76 *2584:32 *3263:24 0 +77 *2629:37 *3263:33 0.00338026 +78 *2676:29 *22306:C 0.000161243 +79 *2676:45 *22306:C 0.000160617 +80 *2729:47 *3263:23 0.00289777 +81 *3010:8 *3263:23 3.42564e-05 +82 *3142:233 *22306:C 0.000117427 +*RES +1 *22303:X *3263:13 34.3079 +2 *3263:13 *3263:23 36.9007 +3 *3263:23 *3263:24 141.357 +4 *3263:24 *3263:33 48.1237 +5 *3263:33 *22306:C 38.5805 +*END + +*D_NET *3264 0.00134162 +*CONN +*I *22305:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22304:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22305:C1 0.00037904 +2 *22304:X 0.00037904 +3 *22305:C1 *22304:A1 5.79498e-05 +4 *22305:C1 *22306:D 3.29488e-05 +5 *22305:C1 *3498:179 0.000129495 +6 *22305:C1 *4809:40 0.000108612 +7 *22305:C1 *4813:56 7.09666e-06 +8 *1750:15 *22305:C1 0.000247443 +*RES +1 *22304:X *22305:C1 35.6674 +*END + +*D_NET *3265 0.00184572 +*CONN +*I *22306:D I *D sky130_fd_sc_hd__and4_1 +*I *22305:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22306:D 0.000278462 +2 *22305:X 0.000278462 +3 *22306:D *22305:A1 0.000107496 +4 *22306:D *3498:179 3.74433e-05 +5 *22306:D *3539:12 3.58315e-06 +6 *22306:D *4824:153 0.000337916 +7 *22305:A2 *22306:D 4.12977e-05 +8 *22305:B2 *22306:D 0.000158451 +9 *22305:C1 *22306:D 3.29488e-05 +10 *22306:C *22306:D 7.91147e-05 +11 *22334:A2 *22306:D 0.000333673 +12 *1576:28 *22306:D 0.000135238 +13 *2676:29 *22306:D 1.65872e-05 +14 *2676:45 *22306:D 5.04829e-06 +*RES +1 *22305:X *22306:D 39.9976 +*END + +*D_NET *3266 0.00192129 +*CONN +*I *22316:B I *D sky130_fd_sc_hd__nand3_4 +*I *22306:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22316:B 0.000420946 +2 *22306:X 0.000420946 +3 *22316:B *22316:C 3.58392e-05 +4 *22316:B *3531:43 0.000129958 +5 *22316:B *3541:13 0.000123072 +6 *22316:B *4820:14 0 +7 *22316:B *4825:127 0.000361079 +8 *22306:C *22316:B 8.53085e-05 +9 *1610:37 *22316:B 0.00034414 +*RES +1 *22306:X *22316:B 40.6983 +*END + +*D_NET *3267 0.000681723 +*CONN +*I *22308:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22307:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22308:C1 0.000159407 +2 *22307:X 0.000159407 +3 *22308:C1 *22305:A1 0.000123103 +4 *22307:B2 *22308:C1 0.000113107 +5 *3111:94 *22308:C1 0.0001267 +*RES +1 *22307:X *22308:C1 31.4388 +*END + +*D_NET *3268 0.00160216 +*CONN +*I *22315:A I *D sky130_fd_sc_hd__and4_1 +*I *22308:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22315:A 0.000310941 +2 *22308:X 0.000310941 +3 *22315:A *22315:B 6.08467e-05 +4 *22315:A *3274:27 6.08467e-05 +5 *22315:A *3471:108 0.000410388 +6 *22315:A *4818:56 7.50872e-05 +7 *2266:71 *22315:A 6.36477e-05 +8 *2440:55 *22315:A 1.5254e-05 +9 *3192:57 *22315:A 0.000294208 +*RES +1 *22308:X *22315:A 37.4211 +*END + +*D_NET *3269 0.00212832 +*CONN +*I *22310:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22309:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22310:C1 0.000402975 +2 *22309:X 0.000402975 +3 *22310:C1 *21658:A1 0.00011884 +4 *22310:C1 *22310:B1 6.37909e-05 +5 *22310:C1 *22312:C1 8.67924e-06 +6 *22310:C1 *3274:27 0.00027445 +7 *22310:C1 *3471:108 0.000105515 +8 *22310:C1 *3471:112 0.000139456 +9 *22310:C1 *3471:116 0.000203604 +10 *22310:C1 *4826:141 0.000166473 +11 *22309:B2 *22310:C1 3.32196e-05 +12 *22310:A2 *22310:C1 2.58144e-05 +13 *22312:B2 *22310:C1 6.08467e-05 +14 *1583:28 *22310:C1 7.76105e-06 +15 *3111:94 *22310:C1 0.000113916 +*RES +1 *22309:X *22310:C1 41.3975 +*END + +*D_NET *3270 0.000352205 +*CONN +*I *22315:B I *D sky130_fd_sc_hd__and4_1 +*I *22310:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22315:B 0.000119943 +2 *22310:X 0.000119943 +3 *22315:B *3274:27 5.1473e-05 +4 *22315:A *22315:B 6.08467e-05 +*RES +1 *22310:X *22315:B 20.3309 +*END + +*D_NET *3271 0.000795495 +*CONN +*I *22312:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22311:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22312:C1 0.000215809 +2 *22311:X 0.000215809 +3 *22312:C1 *21658:A1 7.21868e-05 +4 *22312:C1 *22312:B1 3.2193e-05 +5 *22312:C1 *3493:8 2.44976e-05 +6 *22310:C1 *22312:C1 8.67924e-06 +7 *22311:B *22312:C1 0.000161262 +8 *22312:B2 *22312:C1 6.50586e-05 +*RES +1 *22311:X *22312:C1 32.4597 +*END + +*D_NET *3272 0.000528917 +*CONN +*I *22315:C I *D sky130_fd_sc_hd__and4_1 +*I *22312:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22315:C 9.05071e-05 +2 *22312:X 9.05071e-05 +3 *22315:C *3274:27 6.3657e-05 +4 *22315:C *4805:56 0.000131305 +5 *1583:21 *22315:C 2.16355e-05 +6 *2440:55 *22315:C 0.000131305 +*RES +1 *22312:X *22315:C 30.8842 +*END + +*D_NET *3273 0.000742366 +*CONN +*I *22314:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22313:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22314:C1 0.000214063 +2 *22313:X 0.000214063 +3 *22314:C1 *22314:A1 4.0744e-05 +4 *22314:C1 *4821:79 0.000132886 +5 *22314:A2 *22314:C1 7.27446e-05 +6 *22314:B1 *22314:C1 2.63618e-05 +7 *22314:B2 *22314:C1 1.57187e-05 +8 *2503:61 *22314:C1 2.57847e-05 +*RES +1 *22313:X *22314:C1 24.9847 +*END + +*D_NET *3274 0.00826465 +*CONN +*I *22315:D I *D sky130_fd_sc_hd__and4_1 +*I *22314:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22315:D 0 +2 *22314:X 0.000141528 +3 *3274:27 0.00108157 +4 *3274:8 0.0012231 +5 *3274:8 *22314:A1 4.63742e-05 +6 *3274:8 *3485:197 4.15661e-05 +7 *3274:8 *4821:76 5.01835e-05 +8 *3274:27 *22310:B1 5.41918e-05 +9 *3274:27 *22641:A1 0.000156955 +10 *3274:27 *3485:197 0.00063206 +11 *3274:27 *4820:18 0.00142797 +12 *21027:B2 *3274:27 0 +13 *22310:C1 *3274:27 0.00027445 +14 *22315:A *3274:27 6.08467e-05 +15 *22315:B *3274:27 5.1473e-05 +16 *22315:C *3274:27 6.3657e-05 +17 *1576:31 *3274:27 0.00251746 +18 *1583:21 *3274:27 4.18211e-05 +19 *1583:28 *3274:27 2.33837e-05 +20 *1583:35 *3274:27 0.000181544 +21 *2368:34 *3274:8 0.00018029 +22 *3204:299 *3274:8 1.42333e-05 +*RES +1 *22314:X *3274:8 22.1574 +2 *3274:8 *3274:27 42.5598 +3 *3274:27 *22315:D 9.24915 +*END + +*D_NET *3275 0.00249605 +*CONN +*I *22316:C I *D sky130_fd_sc_hd__nand3_4 +*I *22315:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22316:C 0.000271802 +2 *22315:X 0.000271802 +3 *22316:C *4805:56 8.60109e-05 +4 *22316:C *4820:14 0.000269761 +5 *22316:C *4825:127 0.000924229 +6 *22316:C *5909:26 8.60109e-05 +7 *22316:A *22316:C 0.000543067 +8 *22316:B *22316:C 3.58392e-05 +9 *1610:37 *22316:C 7.5301e-06 +*RES +1 *22315:X *22316:C 40.6153 +*END + +*D_NET *3276 0.000962231 +*CONN +*I *22318:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22317:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22318:C1 0.000250635 +2 *22317:X 0.000250635 +3 *2589:38 *22318:C1 0.000110473 +4 *3049:14 *22318:C1 0.000103044 +5 *3155:178 *22318:C1 0.000247443 +*RES +1 *22317:X *22318:C1 32.6874 +*END + +*D_NET *3277 0.00120484 +*CONN +*I *22319:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22318:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22319:C1 0.000324397 +2 *22318:X 0.000324397 +3 *2589:38 *22319:C1 0.00013413 +4 *3157:200 *22319:C1 3.31745e-05 +5 *3157:210 *22319:C1 0.000258222 +6 *3221:6 *22319:C1 0.000130517 +*RES +1 *22318:X *22319:C1 34.2118 +*END + +*D_NET *3278 0.0275697 +*CONN +*I *22338:A I *D sky130_fd_sc_hd__nand3_4 +*I *22319:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22338:A 0.000678969 +2 *22319:X 0.00475108 +3 *3278:17 0.00543005 +4 *22338:A *3485:163 3.57883e-05 +5 *22338:A *5874:133 0.000168268 +6 *3278:17 *21696:B1 5.76913e-05 +7 *3278:17 *4860:18 0.000438255 +8 *22269:B1 *3278:17 0.00188633 +9 *22695:B1 *3278:17 0.00125202 +10 *1439:28 *3278:17 0.000940557 +11 *1592:93 *3278:17 0.00121401 +12 *1614:104 *3278:17 2.69562e-05 +13 *1688:91 *3278:17 6.44644e-05 +14 *1717:133 *3278:17 0.000502558 +15 *1717:142 *3278:17 0.0045782 +16 *1790:73 *3278:17 0.00189767 +17 *2286:36 *22338:A 0.000771766 +18 *2534:44 *3278:17 6.7544e-05 +19 *2572:60 *3278:17 0.000176929 +20 *2777:80 *3278:17 0.00145246 +21 *2825:22 *22338:A 0 +22 *2827:49 *3278:17 0.000108909 +23 *2868:12 *3278:17 3.40277e-05 +24 *3039:15 *3278:17 0.000359447 +25 *3041:71 *22338:A 1.80225e-05 +26 *3052:78 *22338:A 0.000248694 +27 *3052:101 *22338:A 0.000130274 +28 *3106:42 *3278:17 1.68104e-05 +29 *3148:206 *22338:A 3.63738e-05 +30 *3204:195 *3278:17 8.02805e-05 +31 *3212:19 *3278:17 0.000145297 +*RES +1 *22319:X *3278:17 48.6382 +2 *3278:17 *22338:A 31.1531 +*END + +*D_NET *3279 0.000852056 +*CONN +*I *22321:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22320:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22321:C1 0.000169325 +2 *22320:X 0.000169325 +3 *21583:B1 *22321:C1 0.000221883 +4 *22321:A1 *22321:C1 1.88152e-05 +5 *1552:65 *22321:C1 2.67612e-05 +6 *1691:87 *22321:C1 1.05601e-05 +7 *2511:30 *22321:C1 0.000136233 +8 *3151:53 *22321:C1 9.23062e-05 +9 *3166:36 *22321:C1 6.84784e-06 +*RES +1 *22320:X *22321:C1 33.7295 +*END + +*D_NET *3280 0.00748037 +*CONN +*I *22328:A I *D sky130_fd_sc_hd__and4_1 +*I *22321:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22328:A 0 +2 *22321:X 0.000449763 +3 *3280:16 0.00136054 +4 *3280:13 0.0018103 +5 *3280:13 *22661:C1 0.000103044 +6 *3280:13 *3496:125 2.27901e-06 +7 *21518:A1 *3280:16 0.00018308 +8 *22326:A2 *3280:13 4.66492e-05 +9 *22326:B1 *3280:16 6.56365e-05 +10 *22326:B2 *3280:16 1.66771e-05 +11 *22331:A1 *3280:16 0.000257076 +12 *22331:A2 *3280:16 1.52316e-05 +13 *22659:A1 *3280:16 3.6549e-05 +14 *1592:61 *3280:16 0.00025552 +15 *1730:240 *3280:16 0.000132436 +16 *1750:49 *3280:16 0.000256846 +17 *2508:26 *3280:16 4.65383e-05 +18 *2508:31 *3280:16 1.0927e-05 +19 *2511:30 *3280:13 5.1493e-06 +20 *2576:85 *3280:13 0.000113267 +21 *2581:61 *3280:16 5.88009e-05 +22 *2635:95 *3280:13 8.29362e-05 +23 *2636:40 *3280:13 0.000110297 +24 *2894:39 *3280:13 0.00024994 +25 *2930:59 *3280:16 6.94653e-05 +26 *2930:64 *3280:16 0.00145781 +27 *3052:66 *3280:16 4.91396e-05 +28 *3052:77 *3280:16 3.6195e-05 +29 *3124:35 *3280:13 0.000113107 +30 *3142:98 *3280:16 2.43314e-05 +31 *3142:108 *3280:13 6.08467e-05 +*RES +1 *22321:X *3280:13 33.2322 +2 *3280:13 *3280:16 42.4589 +3 *3280:16 *22328:A 9.24915 +*END + +*D_NET *3281 0.000869288 +*CONN +*I *22323:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22322:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22323:C1 0.00013774 +2 *22322:X 0.00013774 +3 *22323:C1 *22539:B2 0.000349354 +4 *22322:B1 *22323:C1 4.45999e-05 +5 *22323:B1 *22323:C1 3.51855e-06 +6 *22323:B2 *22323:C1 6.8552e-05 +7 *2503:99 *22323:C1 0.000115062 +8 *3148:226 *22323:C1 4.79321e-06 +9 *3179:167 *22323:C1 7.92757e-06 +*RES +1 *22322:X *22323:C1 24.2613 +*END + +*D_NET *3282 0.00129869 +*CONN +*I *22328:B I *D sky130_fd_sc_hd__and4_1 +*I *22323:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22328:B 0.000332132 +2 *22323:X 0.000332132 +3 *22328:B *4825:146 0.000140882 +4 *22322:A2 *22328:B 6.08467e-05 +5 *22323:A1 *22328:B 2.57986e-05 +6 *22602:B1 *22328:B 2.16608e-05 +7 *1583:53 *22328:B 0.000205101 +8 *1592:61 *22328:B 0.00018014 +*RES +1 *22323:X *22328:B 36.2909 +*END + +*D_NET *3283 0.00173879 +*CONN +*I *22325:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22324:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22325:C1 0.000257551 +2 *22324:X 0.000257551 +3 *22018:C *22325:C1 7.92757e-06 +4 *22054:B1 *22325:C1 0.000451228 +5 *22060:C1 *22325:C1 0.000151894 +6 *2822:12 *22325:C1 0.000148353 +7 *3146:128 *22325:C1 0.000442653 +8 *3252:17 *22325:C1 2.16355e-05 +*RES +1 *22324:X *22325:C1 37.4001 +*END + +*D_NET *3284 0.0131894 +*CONN +*I *22328:C I *D sky130_fd_sc_hd__and4_1 +*I *22325:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22328:C 0 +2 *22325:X 0.000668163 +3 *3284:21 0.0015322 +4 *3284:10 0.00284111 +5 *3284:7 0.00197708 +6 *3284:7 *3493:73 6.08467e-05 +7 *3284:10 *22623:A2 0.000128687 +8 *3284:10 *3493:73 0.000138209 +9 *3284:10 *3496:174 1.78895e-05 +10 *3284:10 *3500:152 7.06994e-05 +11 *3284:10 *3552:6 5.33881e-06 +12 *3284:21 *22328:D 2.4167e-05 +13 *3284:21 *3496:174 2.43314e-05 +14 *3284:21 *4825:146 2.83519e-05 +15 *22277:B1 *3284:21 0.000182837 +16 *22277:C1 *3284:21 0.000251776 +17 *22282:B2 *3284:21 1.5714e-05 +18 *22288:C1 *3284:10 0.000131406 +19 *22327:A1 *3284:21 0 +20 *22600:A1 *3284:10 1.63131e-05 +21 *22602:B1 *3284:21 0.000110114 +22 *22624:B1 *3284:21 0.000565764 +23 *1592:61 *3284:21 0.000347598 +24 *1620:60 *3284:10 9.64335e-05 +25 *2777:28 *3284:10 0 +26 *2880:45 *3284:10 0.000309504 +27 *2887:66 *3284:10 2.68247e-05 +28 *2894:60 *3284:21 0.000170023 +29 *2894:67 *3284:21 5.8417e-05 +30 *3044:41 *3284:10 0.00110922 +31 *3052:77 *3284:21 4.15661e-05 +32 *3124:191 *3284:21 0.000148353 +33 *3126:75 *3284:10 0.000103044 +34 *3139:200 *3284:10 9.05255e-05 +35 *3146:157 *3284:7 3.96379e-05 +36 *3185:107 *3284:21 0.000355682 +37 *3217:14 *3284:10 8.96465e-06 +38 *3217:14 *3284:21 0.000330013 +39 *3217:25 *3284:10 0.000966965 +40 *3217:25 *3284:21 5.60364e-06 +41 *3232:25 *3284:7 0.000190037 +*RES +1 *22325:X *3284:7 23.3462 +2 *3284:7 *3284:10 38.6917 +3 *3284:10 *3284:21 42.6058 +4 *3284:21 *22328:C 9.24915 +*END + +*D_NET *3285 0.00607235 +*CONN +*I *22327:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22326:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22327:C1 0.00107082 +2 *22326:X 0.000276766 +3 *3285:10 0.00134759 +4 *22327:C1 *3615:12 0.0014158 +5 *6096:DIODE *3285:10 1.49488e-05 +6 *21450:B1 *22327:C1 2.6046e-05 +7 *21450:B1 *3285:10 9.5775e-05 +8 *21583:A2 *22327:C1 0.000158629 +9 *21583:A2 *3285:10 8.38361e-05 +10 *1577:74 *3285:10 0.000105515 +11 *2503:124 *22327:C1 6.74186e-05 +12 *2554:56 *22327:C1 0.000417253 +13 *2630:31 *22327:C1 0.000729788 +14 *2635:95 *3285:10 6.86911e-05 +15 *2919:51 *22327:C1 8.30011e-05 +16 *3111:52 *22327:C1 0.000110473 +*RES +1 *22326:X *3285:10 19.2486 +2 *3285:10 *22327:C1 47.5556 +*END + +*D_NET *3286 0.00047615 +*CONN +*I *22328:D I *D sky130_fd_sc_hd__and4_1 +*I *22327:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22328:D 0.000128482 +2 *22327:X 0.000128482 +3 *22327:A1 *22328:D 3.01683e-06 +4 *22327:A2 *22328:D 0.000143192 +5 *22602:B1 *22328:D 4.88112e-05 +6 *3284:21 *22328:D 2.4167e-05 +*RES +1 *22327:X *22328:D 22.1876 +*END + +*D_NET *3287 0.00101855 +*CONN +*I *22338:B I *D sky130_fd_sc_hd__nand3_4 +*I *22328:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22338:B 0.000266853 +2 *22328:X 0.000266853 +3 *22602:B1 *22338:B 3.1761e-05 +4 *2286:36 *22338:B 8.66271e-06 +5 *2894:60 *22338:B 0.00031668 +6 *3052:77 *22338:B 7.5909e-06 +7 *3144:116 *22338:B 0.00012015 +*RES +1 *22328:X *22338:B 34.3133 +*END + +*D_NET *3288 0.000557581 +*CONN +*I *22330:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22329:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22330:C1 0.000156162 +2 *22329:X 0.000156162 +3 *22330:C1 *22338:C 0.000101619 +4 *22329:B1 *22330:C1 4.58003e-05 +5 *2286:36 *22330:C1 3.88655e-06 +6 *3111:39 *22330:C1 9.39505e-05 +*RES +1 *22329:X *22330:C1 31.0235 +*END + +*D_NET *3289 0.00170052 +*CONN +*I *22337:A I *D sky130_fd_sc_hd__and4_1 +*I *22330:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22337:A 0.000563956 +2 *22330:X 0.000563956 +3 *22337:A *22337:B 3.31882e-05 +4 *22337:A *22337:C 6.85778e-05 +5 *22337:A *22337:D 2.16355e-05 +6 *22330:B1 *22337:A 1.82679e-05 +7 *22330:B2 *22337:A 3.73237e-05 +8 *22334:A2 *22337:A 6.3657e-05 +9 *1584:141 *22337:A 6.3657e-05 +10 *2286:10 *22337:A 0 +11 *2286:36 *22337:A 3.47311e-05 +12 *2572:67 *22337:A 2.77625e-06 +13 *2894:60 *22337:A 5.50824e-05 +14 *3111:39 *22337:A 1.78704e-05 +15 *3153:80 *22337:A 0.000154145 +16 *3190:34 *22337:A 1.69394e-06 +*RES +1 *22330:X *22337:A 37.7082 +*END + +*D_NET *3290 0.000820165 +*CONN +*I *22332:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22331:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22332:C1 0.000322595 +2 *22331:X 0.000322595 +3 *22331:A1 *22332:C1 9.49926e-05 +4 *22331:B2 *22332:C1 2.37827e-05 +5 *22332:B1 *22332:C1 5.61985e-05 +*RES +1 *22331:X *22332:C1 23.538 +*END + +*D_NET *3291 0.00165577 +*CONN +*I *22337:B I *D sky130_fd_sc_hd__and4_1 +*I *22332:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22337:B 0.000504096 +2 *22332:X 0.000504096 +3 *22329:B1 *22337:B 2.27135e-05 +4 *22332:A1 *22337:B 1.60864e-05 +5 *22332:B2 *22337:B 6.36477e-05 +6 *22337:A *22337:B 3.31882e-05 +7 *1680:111 *22337:B 0.00028344 +8 *2286:10 *22337:B 8.92568e-06 +9 *2596:27 *22337:B 8.08437e-05 +10 *2800:53 *22337:B 3.21932e-05 +11 *2894:60 *22337:B 0.000106536 +*RES +1 *22332:X *22337:B 38.6374 +*END + +*D_NET *3292 0.00147697 +*CONN +*I *22334:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22333:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22334:C1 0.000296225 +2 *22333:X 0.000296225 +3 *6104:DIODE *22334:C1 6.08467e-05 +4 *506:16 *22334:C1 2.25597e-05 +5 *1735:132 *22334:C1 8.34608e-05 +6 *1750:45 *22334:C1 0.000345048 +7 *2554:56 *22334:C1 2.37827e-05 +8 *2930:64 *22334:C1 0.000143717 +9 *3122:39 *22334:C1 0.000205101 +*RES +1 *22333:X *22334:C1 36.2909 +*END + +*D_NET *3293 0.000720392 +*CONN +*I *22337:C I *D sky130_fd_sc_hd__and4_1 +*I *22334:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22337:C 0.000181366 +2 *22334:X 0.000181366 +3 *22337:C *22337:D 0.000158451 +4 *22334:A2 *22337:C 2.57465e-06 +5 *22337:A *22337:C 6.85778e-05 +6 *2286:36 *22337:C 2.27118e-06 +7 *2894:60 *22337:C 0.000106645 +8 *3144:116 *22337:C 1.91391e-05 +*RES +1 *22334:X *22337:C 31.5781 +*END + +*D_NET *3294 0.000972655 +*CONN +*I *22336:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22335:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22336:C1 0.000247966 +2 *22335:X 0.000247966 +3 *21517:A2 *22336:C1 0.000210693 +4 *22335:A2 *22336:C1 9.4385e-05 +5 *2557:20 *22336:C1 0.000106484 +6 *2572:67 *22336:C1 6.08467e-05 +7 *3071:57 *22336:C1 4.31485e-06 +*RES +1 *22335:X *22336:C1 32.8561 +*END + +*D_NET *3295 0.00314225 +*CONN +*I *22337:D I *D sky130_fd_sc_hd__and4_1 +*I *22336:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22337:D 0.000760162 +2 *22336:X 0.000760162 +3 *21576:A1 *22337:D 0.000440665 +4 *21576:B2 *22337:D 5.481e-05 +5 *21577:C1 *22337:D 0.000110306 +6 *22329:B1 *22337:D 6.64392e-05 +7 *22337:A *22337:D 2.16355e-05 +8 *22337:C *22337:D 0.000158451 +9 *1552:65 *22337:D 0.000343543 +10 *1584:141 *22337:D 2.30004e-05 +11 *1680:111 *22337:D 0.000241302 +12 *2502:74 *22337:D 4.31485e-06 +13 *2539:35 *22337:D 7.31894e-05 +14 *2991:67 *22337:D 8.42687e-05 +*RES +1 *22336:X *22337:D 47.801 +*END + +*D_NET *3296 0.00207312 +*CONN +*I *22338:C I *D sky130_fd_sc_hd__nand3_4 +*I *22337:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22338:C 0.000497758 +2 *22337:X 0.000497758 +3 *22338:C *3523:276 0.000319655 +4 *22338:C *5874:133 6.54785e-05 +5 *22330:C1 *22338:C 0.000101619 +6 *2286:36 *22338:C 1.84499e-05 +7 *2572:60 *22338:C 7.00554e-05 +8 *2825:22 *22338:C 1.51357e-05 +9 *3111:39 *22338:C 8.03699e-06 +10 *3175:243 *22338:C 0.000332506 +11 *3204:299 *22338:C 0.000146667 +*RES +1 *22337:X *22338:C 41.5739 +*END + +*D_NET *3297 0.000784741 +*CONN +*I *22340:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22339:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22340:C1 0.000195272 +2 *22339:X 0.000195272 +3 *22340:A2 *22340:C1 9.07329e-05 +4 *2357:61 *22340:C1 0.00013001 +5 *2409:6 *22340:C1 1.01851e-05 +6 *2464:51 *22340:C1 9.12416e-06 +7 *3177:327 *22340:C1 0.000154145 +*RES +1 *22339:X *22340:C1 31.9934 +*END + +*D_NET *3298 0.000118089 +*CONN +*I *22341:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22340:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22341:C1 2.3451e-05 +2 *22340:X 2.3451e-05 +3 *22340:A1 *22341:C1 1.03403e-05 +4 *3175:195 *22341:C1 6.08467e-05 +*RES +1 *22340:X *22341:C1 19.2217 +*END + +*D_NET *3299 0.00670683 +*CONN +*I *22360:A I *D sky130_fd_sc_hd__nand3_4 +*I *22341:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22360:A 0 +2 *22341:X 0.000840814 +3 *3299:21 0.00102188 +4 *3299:12 0.00110062 +5 *3299:11 0.000919553 +6 *3299:11 *22393:C1 0.000247443 +7 *3299:21 *3343:22 0.000109239 +8 *20206:B *3299:11 0.000110306 +9 *21442:C *3299:21 8.72285e-06 +10 *22341:B1 *3299:11 6.53353e-05 +11 *22341:B1 *3299:21 0.000105515 +12 *1551:136 *3299:21 7.22263e-05 +13 *1551:154 *3299:21 0.000568566 +14 *1596:134 *3299:21 0.000239924 +15 *1615:8 *3299:21 1.03403e-05 +16 *2257:46 *3299:21 3.63593e-05 +17 *2421:67 *3299:21 2.57986e-05 +18 *2433:31 *3299:21 0.000114662 +19 *2497:22 *3299:21 0.000444677 +20 *2530:64 *3299:21 0.000364279 +21 *3175:195 *3299:11 0.000300565 +*RES +1 *22341:X *3299:11 32.1705 +2 *3299:11 *3299:12 104.301 +3 *3299:12 *3299:21 44.927 +4 *3299:21 *22360:A 9.24915 +*END + +*D_NET *3300 0.00485231 +*CONN +*I *22343:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22342:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22343:C1 4.39823e-06 +2 *22342:X 0.00127611 +3 *3300:8 0.00128051 +4 *3300:8 *22713:A2 2.00098e-05 +5 *3300:8 *22714:C1 1.91391e-05 +6 *3300:8 *3348:21 5.7845e-05 +7 *3300:8 *3498:231 1.86469e-05 +8 *3300:8 *3621:10 0.000147722 +9 *3300:8 *3634:14 0.000283294 +10 *21188:B *22343:C1 1.41976e-05 +11 *21372:C1 *3300:8 6.08467e-05 +12 *21424:B *3300:8 7.85871e-06 +13 *22392:B2 *3300:8 0.000173431 +14 *22677:A1 *3300:8 2.00757e-05 +15 *22708:B1 *3300:8 0.000183046 +16 *22714:B1 *3300:8 0.000150793 +17 *2267:80 *3300:8 8.34698e-05 +18 *2293:44 *3300:8 0.000627488 +19 *2418:38 *3300:8 0.000294698 +20 *2474:37 *3300:8 3.30498e-05 +21 *3139:176 *3300:8 7.94462e-05 +22 *3151:216 *22343:C1 1.62258e-05 +*RES +1 *22342:X *3300:8 47.0332 +2 *3300:8 *22343:C1 13.9421 +*END + +*D_NET *3301 0.00811247 +*CONN +*I *22350:A I *D sky130_fd_sc_hd__and4_1 +*I *22343:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22350:A 0 +2 *22343:X 4.51842e-05 +3 *3301:8 0.00190071 +4 *3301:7 0.00194589 +5 *3301:8 *22398:C1 0.000212658 +6 *3301:8 *22683:A2 5.15155e-05 +7 *3301:8 *22687:C1 4.08793e-05 +8 *3301:8 *22688:A 9.32891e-05 +9 *3301:8 *22718:B2 2.01503e-05 +10 *3301:8 *22723:B2 0.000153152 +11 *3301:8 *22723:C1 0.00027174 +12 *3301:8 *22724:B 5.88009e-05 +13 *3301:8 *3305:8 9.12416e-06 +14 *3301:8 *3342:12 9.85853e-05 +15 *3301:8 *3517:281 0.000484899 +16 *3301:8 *3521:191 3.90689e-06 +17 *3301:8 *3666:13 3.37401e-05 +18 *21416:A2_N *3301:8 7.08288e-05 +19 *22343:A2 *3301:7 6.08467e-05 +20 *22397:B1 *3301:8 0.000625582 +21 *1616:102 *3301:8 0.000152445 +22 *2306:56 *3301:8 2.02525e-05 +23 *2334:15 *3301:8 1.5714e-05 +24 *2354:11 *3301:8 3.39118e-05 +25 *2475:18 *3301:8 1.19737e-05 +26 *2475:23 *3301:8 0.000183046 +27 *2475:36 *3301:8 0.00151365 +*RES +1 *22343:X *3301:7 14.4725 +2 *3301:7 *3301:8 52.908 +3 *3301:8 *22350:A 13.7491 +*END + +*D_NET *3302 0.00143787 +*CONN +*I *22345:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22344:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22345:C1 0.000438186 +2 *22344:X 0.000438186 +3 *22345:B2 *22345:C1 1.64789e-05 +4 *22685:B1 *22345:C1 0.000110306 +5 *2448:97 *22345:C1 7.12417e-05 +6 *3177:303 *22345:C1 0.000111802 +7 *3177:315 *22345:C1 0.000251669 +*RES +1 *22344:X *22345:C1 27.0585 +*END + +*D_NET *3303 0.000950695 +*CONN +*I *22350:B I *D sky130_fd_sc_hd__and4_1 +*I *22345:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22350:B 0.000251503 +2 *22345:X 0.000251503 +3 *22350:B *22350:C 6.08467e-05 +4 *22350:B *22687:C1 9.07931e-05 +5 *22350:B *3305:8 5.54655e-05 +6 *22349:A2 *22350:B 0.000101998 +7 *22684:B1 *22350:B 3.54435e-05 +8 *2306:48 *22350:B 6.56617e-05 +9 *2306:56 *22350:B 3.74801e-05 +*RES +1 *22345:X *22350:B 34.0697 +*END + +*D_NET *3304 0.000626853 +*CONN +*I *22347:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22346:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22347:C1 0.000198653 +2 *22346:X 0.000198653 +3 *22346:A1 *22347:C1 3.65423e-05 +4 *22346:B2 *22347:C1 6.08467e-05 +5 *22347:B1 *22347:C1 4.31603e-06 +6 *22347:B2 *22347:C1 2.03454e-05 +7 *22391:B1 *22347:C1 0.000107496 +*RES +1 *22346:X *22347:C1 23.128 +*END + +*D_NET *3305 0.0098023 +*CONN +*I *22350:C I *D sky130_fd_sc_hd__and4_1 +*I *22347:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22350:C 3.28068e-05 +2 *22347:X 0.000247979 +3 *3305:8 0.00216695 +4 *3305:7 0.00238212 +5 *3305:7 *4956:24 0.000347214 +6 *3305:8 *22349:C1 7.08193e-05 +7 *3305:8 *22394:B 0.000294878 +8 *3305:8 *22687:C1 4.17069e-06 +9 *3305:8 *3517:281 7.80184e-05 +10 *3305:8 *4815:51 0.000193325 +11 *3305:8 *5855:149 3.63738e-05 +12 *3305:8 *5859:132 0.000942612 +13 *22349:A2 *3305:8 0.000763758 +14 *22349:B2 *3305:8 5.50442e-05 +15 *22350:B *22350:C 6.08467e-05 +16 *22350:B *3305:8 5.54655e-05 +17 *22389:A2 *3305:8 4.04832e-05 +18 *22393:A2 *3305:8 0.000201728 +19 *22684:B1 *22350:C 0.000112231 +20 *1551:190 *3305:8 0.000290175 +21 *1614:120 *3305:8 0 +22 *2246:14 *3305:8 0.000106833 +23 *2246:30 *3305:8 8.56016e-05 +24 *2254:11 *3305:8 0 +25 *2294:44 *3305:8 0.000133925 +26 *2317:20 *3305:8 0.000752796 +27 *2448:92 *3305:8 1.77439e-05 +28 *2495:10 *3305:8 0.000264585 +29 *3010:50 *3305:8 5.46889e-05 +30 *3301:8 *3305:8 9.12416e-06 +*RES +1 *22347:X *3305:7 17.8002 +2 *3305:7 *3305:8 61.6283 +3 *3305:8 *22350:C 15.0271 +*END + +*D_NET *3306 0.0236411 +*CONN +*I *22349:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22348:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22349:C1 0.0017014 +2 *22348:X 0.00169338 +3 *3306:32 0.00255314 +4 *3306:29 0.00195798 +5 *3306:22 0.00228159 +6 *3306:15 0.00286873 +7 *3306:15 *22679:C1 0.000158451 +8 *3306:15 *3498:211 9.65426e-05 +9 *3306:15 *3620:30 8.41325e-05 +10 *3306:15 *4908:193 0.000917738 +11 *3306:22 *20621:A1 0.000123772 +12 *3306:22 *21841:A 0.000148483 +13 *3306:22 *4906:115 3.5543e-05 +14 *3306:29 *20941:A1 0.000207515 +15 *3306:29 *21470:A 9.03922e-05 +16 *3306:29 *4906:103 6.85866e-05 +17 *3306:29 *4906:115 3.58315e-06 +18 *3306:29 *5857:113 0.000358914 +19 *3306:29 *5857:125 0.000795867 +20 *3306:32 *20940:B1 1.9101e-05 +21 *3306:32 *21415:A 0.000148144 +22 *3306:32 *5903:18 6.09999e-05 +23 *20617:B2 *3306:22 1.90921e-05 +24 *20940:B2 *3306:29 0.00025175 +25 *21372:C1 *3306:15 7.84027e-05 +26 *21403:B2 *3306:15 0.000158192 +27 *21436:B1 *22349:C1 2.17814e-05 +28 *22348:A2 *3306:15 6.36477e-05 +29 *22348:B1 *3306:15 4.23858e-05 +30 *22349:A2 *22349:C1 2.16608e-05 +31 *22349:B1 *22349:C1 1.41976e-05 +32 *22349:B2 *22349:C1 0.00011557 +33 *477:177 *3306:32 1.9101e-05 +34 *512:38 *3306:22 3.4544e-05 +35 *1557:113 *3306:22 0.000256923 +36 *1634:30 *3306:32 0.000421917 +37 *1912:10 *3306:32 0.00147249 +38 *2016:71 *3306:22 0 +39 *2155:8 *3306:32 7.68726e-06 +40 *2155:13 *3306:32 0.000185185 +41 *2156:51 *3306:29 0.000343593 +42 *2156:67 *3306:29 4.66492e-05 +43 *2245:27 *3306:29 0.000298399 +44 *2267:70 *3306:22 0.000192283 +45 *2295:78 *3306:22 6.32248e-05 +46 *2297:86 *3306:32 1.40496e-05 +47 *2448:92 *22349:C1 0.000747426 +48 *2676:22 *3306:22 0.000605348 +49 *2676:22 *3306:29 0.000414415 +50 *2676:22 *3306:32 0 +51 *2676:26 *3306:22 1.00751e-05 +52 *2908:29 *3306:22 2.31678e-05 +53 *3020:14 *3306:29 0.000240881 +54 *3122:88 *3306:15 0.000161234 +55 *3153:102 *3306:22 0.000851044 +56 *3305:8 *22349:C1 7.08193e-05 +*RES +1 *22348:X *3306:15 43.6977 +2 *3306:15 *3306:22 33.7209 +3 *3306:22 *3306:29 45.68 +4 *3306:29 *3306:32 33.3234 +5 *3306:32 *22349:C1 46.5305 +*END + +*D_NET *3307 0.000773473 +*CONN +*I *22350:D I *D sky130_fd_sc_hd__and4_1 +*I *22349:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22350:D 0.000212796 +2 *22349:X 0.000212796 +3 *22350:D *22360:B 0.000160383 +4 *22682:B1 *22350:D 7.50872e-05 +5 *22684:B1 *22350:D 0.000112411 +*RES +1 *22349:X *22350:D 31.8568 +*END + +*D_NET *3308 0.00122159 +*CONN +*I *22360:B I *D sky130_fd_sc_hd__nand3_4 +*I *22350:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22360:B 0.000286269 +2 *22350:X 0.000286269 +3 *21402:B2 *22360:B 3.35214e-05 +4 *22350:D *22360:B 0.000160383 +5 *22684:B1 *22360:B 0.000208062 +6 *2354:11 *22360:B 0.000106019 +7 *2480:8 *22360:B 0.000141068 +*RES +1 *22350:X *22360:B 35.8756 +*END + +*D_NET *3309 0.000621783 +*CONN +*I *22352:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22351:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22352:C1 0.000157174 +2 *22351:X 0.000157174 +3 *22355:A *22352:C1 6.20642e-05 +4 *22687:B1 *22352:C1 7.07438e-05 +5 *2480:8 *22352:C1 0.000136405 +6 *2794:27 *22352:C1 3.82228e-05 +*RES +1 *22351:X *22352:C1 31.4388 +*END + +*D_NET *3310 0.00146595 +*CONN +*I *22359:A I *D sky130_fd_sc_hd__and4_1 +*I *22352:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22359:A 0.000346491 +2 *22352:X 0.000346491 +3 *22355:A *22359:A 7.84457e-06 +4 *2433:59 *22359:A 0.00025175 +5 *3064:13 *22359:A 9.29875e-05 +6 *3120:109 *22359:A 0.000264353 +7 *3153:162 *22359:A 0.000156035 +*RES +1 *22352:X *22359:A 36.0094 +*END + +*D_NET *3311 0.00123028 +*CONN +*I *22354:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22353:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22354:C1 0.000271666 +2 *22353:X 0.000271666 +3 *22354:C1 *3343:19 3.29488e-05 +4 *22354:C1 *3620:14 2.03182e-05 +5 *22354:C1 *3632:25 0.000155093 +6 *21242:A1 *22354:C1 1.58551e-05 +7 *22353:B2 *22354:C1 0.000158371 +8 *22354:A1 *22354:C1 0 +9 *1543:17 *22354:C1 0.000158371 +10 *2477:7 *22354:C1 5.88594e-05 +11 *2477:26 *22354:C1 1.94512e-05 +12 *3198:170 *22354:C1 6.76836e-05 +*RES +1 *22353:X *22354:C1 35.2352 +*END + +*D_NET *3312 0.00528786 +*CONN +*I *22359:B I *D sky130_fd_sc_hd__and4_1 +*I *22354:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22359:B 0.00123939 +2 *22354:X 5.72466e-05 +3 *3312:7 0.00129663 +4 *22359:B *22359:C 0.000122149 +5 *22359:B *22403:A 6.87762e-05 +6 *22359:B *3316:14 1.01851e-05 +7 *22359:B *3348:33 5.18488e-05 +8 *21242:A1 *3312:7 1.83795e-06 +9 *21242:B1 *22359:B 0.000147339 +10 *21259:B2 *22359:B 8.77951e-05 +11 *22356:A1 *22359:B 0.000187688 +12 *22396:A1 *22359:B 0.000291211 +13 *22396:B2 *22359:B 1.5714e-05 +14 *22400:A1 *22359:B 0.000571195 +15 *22676:B1 *22359:B 0.000132635 +16 *2454:23 *22359:B 8.41655e-05 +17 *3120:109 *22359:B 0.000198209 +18 *3153:137 *22359:B 1.5714e-05 +19 *3192:73 *22359:B 0.000708133 +*RES +1 *22354:X *3312:7 14.4725 +2 *3312:7 *22359:B 49.6318 +*END + +*D_NET *3313 0.000905213 +*CONN +*I *22356:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22355:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22356:C1 1.69719e-05 +2 *22355:X 0.00016284 +3 *3313:12 8.79933e-05 +4 *3313:11 0.000233862 +5 *22356:C1 *3316:14 6.08467e-05 +6 *22356:B2 *22356:C1 5.88657e-05 +7 *1616:123 *3313:11 0.000159382 +8 *2454:18 *3313:11 6.22259e-05 +9 *2480:8 *3313:11 6.22259e-05 +*RES +1 *22355:X *3313:11 30.7476 +2 *3313:11 *3313:12 81.1229 +3 *3313:12 *22356:C1 19.2217 +*END + +*D_NET *3314 0.000459973 +*CONN +*I *22359:C I *D sky130_fd_sc_hd__and4_1 +*I *22356:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22359:C 9.9208e-05 +2 *22356:X 9.9208e-05 +3 *22359:C *3316:14 0.000122149 +4 *22359:C *3348:33 8.6297e-06 +5 *22359:B *22359:C 0.000122149 +6 *3120:109 *22359:C 8.6297e-06 +*RES +1 *22356:X *22359:C 30.576 +*END + +*D_NET *3315 0.0100337 +*CONN +*I *22358:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22357:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22358:C1 0.000142 +2 *22357:X 0.000802591 +3 *3315:18 0.00166524 +4 *3315:14 0.00232583 +5 *22358:C1 *22378:C1 6.36477e-05 +6 *3315:14 *22707:D 0.000463386 +7 *3315:14 *3491:204 0.000120237 +8 *3315:14 *3636:11 0.000215309 +9 *3315:18 *22381:B 0.000621545 +10 *3315:18 *22716:A2 6.74605e-06 +11 *3315:18 *3327:33 0.000283542 +12 *3315:18 *3333:33 2.99768e-05 +13 *3315:18 *3474:195 7.58517e-05 +14 *3315:18 *3513:212 5.05252e-05 +15 *21359:B1 *3315:14 6.3657e-05 +16 *22358:A2 *22358:C1 5.61198e-05 +17 *22358:B1 *22358:C1 6.36477e-05 +18 *22358:B2 *3315:18 5.1493e-06 +19 *22375:A2 *3315:18 0.000602814 +20 *22402:B1 *3315:18 8.42687e-05 +21 *22680:B1 *22358:C1 7.92757e-06 +22 *2332:22 *3315:18 0.000149231 +23 *2355:53 *3315:18 0.0006934 +24 *2409:33 *3315:18 9.82974e-06 +25 *2613:29 *3315:18 3.36054e-05 +26 *3179:202 *3315:14 0.000384139 +27 *3179:212 *3315:14 1.90721e-05 +28 *3179:212 *3315:18 0.000630977 +29 *3198:170 *3315:18 0.000209246 +30 *3208:165 *3315:14 0.000129418 +31 *3208:165 *3315:18 2.47736e-05 +*RES +1 *22357:X *3315:14 34.5512 +2 *3315:14 *3315:18 47.8572 +3 *3315:18 *22358:C1 12.7697 +*END + +*D_NET *3316 0.00507252 +*CONN +*I *22359:D I *D sky130_fd_sc_hd__and4_1 +*I *22358:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22359:D 0 +2 *22358:X 0.00139263 +3 *3316:14 0.00139263 +4 *3316:14 *21416:A1_N 1.35667e-05 +5 *3316:14 *3348:33 0.000192485 +6 *21412:A2 *3316:14 5.13433e-05 +7 *21412:B1 *3316:14 0.000284781 +8 *21416:A2_N *3316:14 7.31985e-05 +9 *22356:B1 *3316:14 6.64392e-05 +10 *22356:B2 *3316:14 9.89172e-05 +11 *22356:C1 *3316:14 6.08467e-05 +12 *22358:A1 *3316:14 5.04829e-06 +13 *22359:B *3316:14 1.01851e-05 +14 *22359:C *3316:14 0.000122149 +15 *22676:B1 *3316:14 6.80097e-05 +16 *1616:102 *3316:14 0.000200794 +17 *1616:123 *3316:14 9.17781e-05 +18 *2446:145 *3316:14 1.65872e-05 +19 *2475:23 *3316:14 0.000110306 +20 *3013:16 *3316:14 0.000820822 +*RES +1 *22358:X *3316:14 49.0007 +2 *3316:14 *22359:D 9.24915 +*END + +*D_NET *3317 0.00344217 +*CONN +*I *22360:C I *D sky130_fd_sc_hd__nand3_4 +*I *22359:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22360:C 0.000896592 +2 *22359:X 0.000896592 +3 *22355:A *22360:C 5.89592e-05 +4 *2355:17 *22360:C 8.13091e-05 +5 *2480:8 *22360:C 0.000747119 +6 *2498:38 *22360:C 0.000761596 +*RES +1 *22359:X *22360:C 49.303 +*END + +*D_NET *3318 0.000651582 +*CONN +*I *22362:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22361:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22362:C1 0.000129702 +2 *22361:X 0.000129702 +3 *22362:C1 *22025:A 4.41269e-05 +4 *22361:A2 *22362:C1 0.000115934 +5 *22361:B1 *22362:C1 0.000110297 +6 *22361:B2 *22362:C1 1.65872e-05 +7 *2404:11 *22362:C1 1.55025e-05 +8 *2989:5 *22362:C1 8.97318e-05 +*RES +1 *22361:X *22362:C1 23.128 +*END + +*D_NET *3319 8.49926e-05 +*CONN +*I *22363:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22362:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22363:C1 1.59132e-05 +2 *22362:X 1.59132e-05 +3 *22363:C1 *22025:A 2.65831e-05 +4 *22361:A2 *22363:C1 2.65831e-05 +*RES +1 *22362:X *22363:C1 19.2217 +*END + +*D_NET *3320 0.00394395 +*CONN +*I *22382:A I *D sky130_fd_sc_hd__nand3_4 +*I *22363:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22382:A 0 +2 *22363:X 0.000824861 +3 *3320:10 0.000824861 +4 *3320:10 *22025:A 3.17103e-05 +5 *3320:10 *4805:14 6.50586e-05 +6 *3320:10 *5090:21 1.92336e-05 +7 *22339:A1 *3320:10 2.01653e-05 +8 *22339:A2 *3320:10 0.000113968 +9 *22388:B2 *3320:10 0.00020741 +10 *1655:220 *3320:10 0.000176035 +11 *2263:77 *3320:10 0.00039405 +12 *2293:38 *3320:10 3.74433e-05 +13 *2294:63 *3320:10 0.000476984 +14 *2464:12 *3320:10 5.00977e-05 +15 *2989:5 *3320:10 0.000130296 +16 *3162:181 *3320:10 0.000294093 +17 *3179:227 *3320:10 0.000277682 +*RES +1 *22363:X *3320:10 45.0369 +2 *3320:10 *22382:A 9.24915 +*END + +*D_NET *3321 0.00057164 +*CONN +*I *22365:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22364:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22365:C1 0.000135137 +2 *22364:X 0.000135137 +3 *22365:C1 *3533:38 0.000118854 +4 *2368:49 *22365:C1 0.000118854 +5 *3117:162 *22365:C1 6.3657e-05 +*RES +1 *22364:X *22365:C1 30.8842 +*END + +*D_NET *3322 0.0175364 +*CONN +*I *22372:A I *D sky130_fd_sc_hd__and4_1 +*I *22365:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22372:A 0.00246139 +2 *22365:X 0.001074 +3 *3322:11 0.00353539 +4 *22372:A *22371:C1 3.78112e-05 +5 *22372:A *3326:15 9.12416e-06 +6 *22372:A *3531:211 5.60804e-05 +7 *22372:A *4831:25 0.000511488 +8 *3322:11 *3502:143 0.000510829 +9 *3322:11 *3637:18 3.33374e-05 +10 *3322:11 *4817:145 7.96277e-05 +11 *20651:B *22372:A 0.000732702 +12 *21272:B1 *22372:A 8.22619e-05 +13 *21303:A1 *22372:A 0.000991696 +14 *21352:A1 *22372:A 0.000703895 +15 *21396:A1 *22372:A 2.97235e-05 +16 *21658:B2 *22372:A 0.00026482 +17 *21658:B2 *3322:11 5.67809e-05 +18 *21658:C1 *3322:11 0.000299787 +19 *22699:A1 *22372:A 0.000345904 +20 *518:31 *3322:11 0.000271111 +21 *1592:93 *22372:A 4.32983e-05 +22 *1596:102 *22372:A 6.03122e-05 +23 *1618:137 *22372:A 5.36085e-05 +24 *1627:101 *22372:A 0.00207277 +25 *2333:60 *3322:11 0.00020476 +26 *2361:54 *3322:11 0.000309903 +27 *2361:74 *3322:11 0.00054482 +28 *2373:51 *22372:A 0.000235161 +29 *2375:60 *22372:A 0.00140329 +30 *2416:53 *3322:11 0.000214433 +31 *2456:22 *22372:A 0.000165508 +32 *2534:23 *22372:A 0.000113478 +33 *3126:34 *3322:11 2.7272e-05 +*RES +1 *22365:X *3322:11 45.5974 +2 *3322:11 *22372:A 32.9812 +*END + +*D_NET *3323 0.000961221 +*CONN +*I *22367:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22366:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22367:C1 0.000153832 +2 *22366:X 0.000153832 +3 *22366:B1 *22367:C1 3.82228e-05 +4 *2348:15 *22367:C1 4.94979e-05 +5 *3148:106 *22367:C1 0.000535437 +6 *3177:327 *22367:C1 6.78364e-06 +7 *3177:329 *22367:C1 7.76105e-06 +8 *3179:243 *22367:C1 1.58551e-05 +*RES +1 *22366:X *22367:C1 24.7918 +*END + +*D_NET *3324 0.0020084 +*CONN +*I *22372:B I *D sky130_fd_sc_hd__and4_1 +*I *22367:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22372:B 0.000321671 +2 *22367:X 0.000340829 +3 *3324:12 0.00039111 +4 *3324:11 0.000410268 +5 *22372:B *3326:15 6.78549e-05 +6 *3324:12 *3328:8 0 +7 *21302:A1 *22372:B 3.58208e-05 +8 *21302:B2 *22372:B 4.84017e-05 +9 *22367:A1 *3324:11 5.481e-05 +10 *1655:199 *22372:B 6.08467e-05 +11 *1655:213 *22372:B 5.51483e-06 +12 *2337:40 *22372:B 8.45161e-05 +13 *2352:8 *22372:B 4.35416e-05 +14 *2373:32 *3324:11 7.94462e-05 +15 *2373:51 *22372:B 2.77115e-05 +16 *3128:209 *3324:11 1.22756e-05 +17 *3175:195 *3324:11 2.37827e-05 +*RES +1 *22367:X *3324:11 32.8267 +2 *3324:11 *3324:12 104.301 +3 *3324:12 *22372:B 33.9331 +*END + +*D_NET *3325 0.00112785 +*CONN +*I *22369:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22368:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22369:C1 0.000346808 +2 *22368:X 0.000346808 +3 *21199:A1 *22369:C1 2.14842e-06 +4 *21429:B2 *22369:C1 0.000366603 +5 *22369:B1 *22369:C1 1.53046e-05 +6 *2339:8 *22369:C1 0 +7 *2375:28 *22369:C1 5.01835e-05 +*RES +1 *22368:X *22369:C1 33.7966 +*END + +*D_NET *3326 0.0065065 +*CONN +*I *22372:C I *D sky130_fd_sc_hd__and4_1 +*I *22369:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22372:C 0 +2 *22369:X 7.89174e-05 +3 *3326:15 0.00174015 +4 *3326:7 0.00181907 +5 *3326:15 *22371:C1 9.15347e-05 +6 *3326:15 *22384:C1 0.000104151 +7 *21085:A *3326:15 1.5714e-05 +8 *21199:B1 *3326:7 0.000107496 +9 *21302:A1 *3326:15 0.000101111 +10 *21315:B2 *3326:15 0.000156148 +11 *22363:A2 *3326:15 3.54714e-05 +12 *22363:B2 *3326:15 6.52404e-05 +13 *22371:B2 *3326:15 0.000246369 +14 *22372:A *3326:15 9.12416e-06 +15 *22372:B *3326:15 6.78549e-05 +16 *22383:A2 *3326:15 2.70257e-05 +17 *1627:140 *3326:15 0.000200414 +18 *1627:198 *3326:15 0.000127006 +19 *1635:116 *3326:15 1.56847e-05 +20 *1655:213 *3326:15 1.88014e-05 +21 *2258:32 *3326:15 0.000139508 +22 *2337:22 *3326:15 2.06111e-05 +23 *2337:40 *3326:15 7.15855e-05 +24 *2372:60 *3326:15 7.98381e-05 +25 *2373:32 *3326:15 0 +26 *2373:51 *3326:15 1.17512e-05 +27 *2416:16 *3326:15 0.000396308 +28 *2488:14 *3326:15 5.4686e-05 +29 *3114:268 *3326:15 0.000122281 +30 *3162:168 *3326:15 0.000334295 +31 *3164:183 *3326:15 0.000243646 +32 *3177:327 *3326:15 4.71241e-06 +*RES +1 *22369:X *3326:7 15.0271 +2 *3326:7 *3326:15 49.6237 +3 *3326:15 *22372:C 9.24915 +*END + +*D_NET *3327 0.0193975 +*CONN +*I *22371:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22370:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22371:C1 0.00104102 +2 *22370:X 0.000320394 +3 *3327:33 0.00229994 +4 *3327:8 0.00305007 +5 *3327:7 0.00211155 +6 *22371:C1 *22372:D 0.000133798 +7 *22371:C1 *22706:D 4.08768e-05 +8 *22371:C1 *3529:212 1.19721e-05 +9 *22371:C1 *3646:23 0.00024817 +10 *3327:8 *3476:172 0.000188893 +11 *3327:33 *22381:B 6.91442e-05 +12 *3327:33 *22705:B2 6.75135e-05 +13 *3327:33 *22716:A2 9.6719e-06 +14 *3327:33 *3338:10 4.75326e-05 +15 *3327:33 *3493:48 0.00116178 +16 *3327:33 *3539:198 6.74426e-05 +17 *21225:B2 *3327:8 8.63353e-06 +18 *21236:D *3327:8 1.49935e-05 +19 *21273:C1 *3327:8 0.000304941 +20 *21302:A1 *22371:C1 3.29488e-05 +21 *21309:A2 *3327:8 8.10016e-06 +22 *21309:B1 *3327:8 5.60364e-06 +23 *21309:B2 *3327:8 1.91391e-05 +24 *22358:B2 *3327:33 0.00137659 +25 *22371:B2 *22371:C1 0.000114725 +26 *22372:A *22371:C1 3.78112e-05 +27 *22402:A2 *3327:8 9.54494e-05 +28 *22680:B1 *3327:33 0.000145821 +29 *1542:86 *3327:8 8.42542e-05 +30 *1618:137 *22371:C1 6.45203e-05 +31 *1634:45 *3327:33 0.00027529 +32 *1689:135 *3327:8 9.32371e-05 +33 *2093:149 *3327:33 3.95326e-05 +34 *2360:66 *22371:C1 0.000609926 +35 *2416:36 *3327:33 0.000378027 +36 *2488:14 *22371:C1 4.86088e-05 +37 *2631:47 *3327:7 0.000436841 +38 *2870:40 *3327:33 0.000148535 +39 *2906:39 *22371:C1 1.5756e-05 +40 *3128:209 *22371:C1 2.93209e-05 +41 *3144:152 *3327:8 0.000497875 +42 *3144:158 *3327:8 0.000442445 +43 *3144:171 *3327:8 0.000600457 +44 *3144:187 *3327:8 2.13165e-05 +45 *3179:224 *22371:C1 0.000491206 +46 *3204:165 *3327:8 0.00171076 +47 *3238:16 *3327:8 0 +48 *3315:18 *3327:33 0.000283542 +49 *3326:15 *22371:C1 9.15347e-05 +*RES +1 *22370:X *3327:7 18.9094 +2 *3327:7 *3327:8 52.4928 +3 *3327:8 *3327:33 49.6417 +4 *3327:33 *22371:C1 42.1385 +*END + +*D_NET *3328 0.00113117 +*CONN +*I *22372:D I *D sky130_fd_sc_hd__and4_1 +*I *22371:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22372:D 0.000168885 +2 *22371:X 4.74028e-05 +3 *3328:8 0.000215041 +4 *3328:7 9.35588e-05 +5 *22372:D *3646:23 0.000133051 +6 *3328:7 *3646:23 5.88594e-05 +7 *22371:A1 *22372:D 0.000110297 +8 *22371:B2 *22372:D 1.09551e-05 +9 *22371:C1 *22372:D 0.000133798 +10 *2488:14 *22372:D 0.000144917 +11 *3128:227 *22372:D 1.44029e-05 +12 *3324:12 *3328:8 0 +*RES +1 *22371:X *3328:7 19.2217 +2 *3328:7 *3328:8 57.9449 +3 *3328:8 *22372:D 32.9874 +*END + +*D_NET *3329 0.000691534 +*CONN +*I *22382:B I *D sky130_fd_sc_hd__nand3_4 +*I *22372:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22382:B 0.000125376 +2 *22372:X 0.000125376 +3 *1591:9 *22382:B 2.18564e-05 +4 *1655:213 *22382:B 6.46887e-05 +5 *2360:57 *22382:B 0.000354236 +*RES +1 *22372:X *22382:B 23.128 +*END + +*D_NET *3330 0.00123611 +*CONN +*I *22374:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22373:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22374:C1 0.000329953 +2 *22373:X 0.000329953 +3 *22374:C1 *22705:B2 0.000111533 +4 *22374:C1 *3338:10 0.000121521 +5 *22701:A1 *22374:C1 6.98337e-06 +6 *2906:39 *22374:C1 2.16355e-05 +7 *3192:104 *22374:C1 6.27782e-05 +8 *3192:116 *22374:C1 0.00025175 +*RES +1 *22373:X *22374:C1 34.3806 +*END + +*D_NET *3331 0.00264743 +*CONN +*I *22381:A I *D sky130_fd_sc_hd__and4_1 +*I *22374:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22381:A 0.000648801 +2 *22374:X 0.000648801 +3 *22381:A *22381:B 4.59164e-06 +4 *22381:A *22381:C 4.87301e-05 +5 *22381:A *22381:D 0.000103044 +6 *22381:A *3338:10 1.2019e-05 +7 *22374:B2 *22381:A 2.61605e-05 +8 *22705:A1 *22381:A 9.80784e-05 +9 *1627:118 *22381:A 0.000600686 +10 *2355:42 *22381:A 0.000114594 +11 *2906:39 *22381:A 0.000154145 +12 *3122:145 *22381:A 5.61389e-05 +13 *3153:162 *22381:A 6.40849e-05 +14 *3190:186 *22381:A 6.7558e-05 +*RES +1 *22374:X *22381:A 44.9013 +*END + +*D_NET *3332 0.000849617 +*CONN +*I *22376:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22375:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22376:C1 0.000352321 +2 *22375:X 0.000352321 +3 *2332:17 *22376:C1 8.67924e-06 +4 *2384:59 *22376:C1 3.82228e-05 +5 *3196:185 *22376:C1 9.80718e-05 +*RES +1 *22375:X *22376:C1 24.2613 +*END + +*D_NET *3333 0.00926806 +*CONN +*I *22381:B I *D sky130_fd_sc_hd__and4_1 +*I *22376:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22381:B 0.000591888 +2 *22376:X 0.0014061 +3 *3333:33 0.00199799 +4 *22381:B *22381:D 3.5534e-06 +5 *3333:33 *3466:185 0.000111708 +6 *3333:33 *3474:195 0.000139348 +7 *3333:33 *4813:110 5.60804e-05 +8 *3333:33 *4815:98 0.000398736 +9 *3333:33 *5924:37 0.000328278 +10 *21235:B1 *3333:33 5.83513e-05 +11 *21658:B2 *3333:33 3.17436e-05 +12 *22381:A *22381:B 4.59164e-06 +13 *1558:24 *3333:33 9.744e-05 +14 *1627:101 *22381:B 1.02841e-05 +15 *1627:118 *22381:B 0 +16 *1645:94 *3333:33 7.4455e-05 +17 *1717:106 *3333:33 0.000104754 +18 *2258:62 *3333:33 0.000165508 +19 *2258:64 *3333:33 9.32704e-05 +20 *2332:17 *3333:33 0.000159756 +21 *2355:42 *22381:B 0.000208871 +22 *2359:27 *3333:33 0.000419954 +23 *2409:22 *22381:B 2.84437e-05 +24 *2409:33 *22381:B 0.000500611 +25 *2416:53 *3333:33 0.00122256 +26 *3177:288 *3333:33 0.000146864 +27 *3206:190 *3333:33 5.35941e-05 +28 *3208:165 *3333:33 0.000132674 +29 *3315:18 *22381:B 0.000621545 +30 *3315:18 *3333:33 2.99768e-05 +31 *3327:33 *22381:B 6.91442e-05 +*RES +1 *22376:X *3333:33 47.3626 +2 *3333:33 *22381:B 34.5219 +*END + +*D_NET *3334 0.00102455 +*CONN +*I *22378:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22377:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22378:C1 0.000270563 +2 *22377:X 0.000270563 +3 *22378:C1 *22716:A2 0.000118485 +4 *22378:C1 *3649:8 4.37999e-05 +5 *22358:A2 *22378:C1 1.54703e-05 +6 *22358:C1 *22378:C1 6.36477e-05 +7 *22377:B *22378:C1 2.16355e-05 +8 *2117:102 *22378:C1 5.481e-05 +9 *2357:95 *22378:C1 8.62625e-06 +10 *3111:155 *22378:C1 0.000156946 +*RES +1 *22377:X *22378:C1 33.9654 +*END + +*D_NET *3335 0.00141515 +*CONN +*I *22381:C I *D sky130_fd_sc_hd__and4_1 +*I *22378:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22381:C 0.000265664 +2 *22378:X 0.000265664 +3 *22381:C *3338:10 0.000156946 +4 *22381:A *22381:C 4.87301e-05 +5 *2294:64 *22381:C 0.000319937 +6 *2298:30 *22381:C 0.000312457 +7 *2355:42 *22381:C 4.57529e-05 +*RES +1 *22378:X *22381:C 36.8399 +*END + +*D_NET *3336 0.00332169 +*CONN +*I *22380:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22379:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22380:C1 0.000602063 +2 *22379:X 0.000602063 +3 *22380:C1 *3493:57 0.000456472 +4 *21235:C1 *22380:C1 0.00014841 +5 *21309:C1 *22380:C1 2.57631e-05 +6 *2508:23 *22380:C1 4.46284e-06 +7 *2881:51 *22380:C1 0.000788957 +8 *3144:197 *22380:C1 0.000294093 +9 *3204:195 *22380:C1 0.000105313 +10 *3204:232 *22380:C1 0.000294093 +*RES +1 *22379:X *22380:C1 47.7758 +*END + +*D_NET *3337 0.00450442 +*CONN +*I *22381:D I *D sky130_fd_sc_hd__and4_1 +*I *22380:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22381:D 0.000761845 +2 *22380:X 0.000362164 +3 *3337:14 0.00112401 +4 *22381:D *3338:10 2.20663e-05 +5 *22381:D *3523:202 1.88014e-05 +6 *3337:14 *3511:205 0.000301209 +7 *3337:14 *3513:199 0.000298399 +8 *22380:A1 *3337:14 2.1297e-05 +9 *22381:A *22381:D 0.000103044 +10 *22381:B *22381:D 3.5534e-06 +11 *482:86 *3337:14 4.7918e-05 +12 *1802:154 *3337:14 8.69165e-05 +13 *2331:29 *3337:14 3.51084e-05 +14 *2342:20 *3337:14 0.000179732 +15 *2350:48 *3337:14 5.17561e-05 +16 *2364:8 *3337:14 0.000148798 +17 *3190:185 *22381:D 0.000937801 +*RES +1 *22380:X *3337:14 38.9773 +2 *3337:14 *22381:D 31.7573 +*END + +*D_NET *3338 0.00459957 +*CONN +*I *22382:C I *D sky130_fd_sc_hd__nand3_4 +*I *22381:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22382:C 0 +2 *22381:X 0.00124824 +3 *3338:10 0.00124824 +4 *3338:10 *22705:B2 7.02287e-05 +5 *3338:10 *3539:198 2.12421e-05 +6 *3338:10 *3541:63 4.90844e-05 +7 *22374:B2 *3338:10 0.00028254 +8 *22374:C1 *3338:10 0.000121521 +9 *22381:A *3338:10 1.2019e-05 +10 *22381:C *3338:10 0.000156946 +11 *22381:D *3338:10 2.20663e-05 +12 *22701:A1 *3338:10 1.32841e-05 +13 *1627:118 *3338:10 0.000446149 +14 *2357:61 *3338:10 0.00062842 +15 *2373:51 *3338:10 1.83369e-05 +16 *3190:186 *3338:10 0.000213732 +17 *3327:33 *3338:10 4.75326e-05 +*RES +1 *22381:X *3338:10 49.4597 +2 *3338:10 *22382:C 9.24915 +*END + +*D_NET *3339 0.00145128 +*CONN +*I *22384:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22383:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22384:C1 0.000237665 +2 *22383:X 0.000237665 +3 *22384:C1 *5855:134 0.000599849 +4 *1610:181 *22384:C1 0.00010051 +5 *2416:16 *22384:C1 0.000107576 +6 *2635:21 *22384:C1 6.38651e-05 +7 *3326:15 *22384:C1 0.000104151 +*RES +1 *22383:X *22384:C1 36.5696 +*END + +*D_NET *3340 0.00119124 +*CONN +*I *22385:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22384:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22385:C1 0.000207673 +2 *22384:X 0.000207673 +3 *22385:C1 *5855:134 6.08467e-05 +4 *22296:B1 *22385:C1 0.000107063 +5 *22384:A1 *22385:C1 6.08467e-05 +6 *1627:128 *22385:C1 0.000211223 +7 *1655:220 *22385:C1 0.000335917 +*RES +1 *22384:X *22385:C1 35.0367 +*END + +*D_NET *3341 0.00337337 +*CONN +*I *22404:A I *D sky130_fd_sc_hd__nand3_4 +*I *22385:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22404:A 0.000772689 +2 *22385:X 0.000772689 +3 *22404:A *22404:C 6.08467e-05 +4 *22404:A *3347:9 1.9101e-05 +5 *22404:A *5090:21 0.000107496 +6 *22404:A *5865:88 7.4681e-05 +7 *22404:A *5888:14 1.5714e-05 +8 *6032:DIODE *22404:A 6.50586e-05 +9 *21441:C1 *22404:A 0.000232552 +10 *1741:40 *22404:A 0.0003503 +11 *1884:71 *22404:A 0.000285421 +12 *2293:38 *22404:A 0.000599096 +13 *2480:8 *22404:A 1.77302e-05 +*RES +1 *22385:X *22404:A 43.896 +*END + +*D_NET *3342 0.00522457 +*CONN +*I *22387:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22386:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22387:C1 4.08797e-05 +2 *22386:X 0.00132215 +3 *3342:12 0.00136303 +4 *3342:12 *22398:C1 2.67996e-05 +5 *3342:12 *22715:C1 1.5714e-05 +6 *3342:12 *5592:76 0.000697614 +7 *21241:B1 *3342:12 0.000184385 +8 *21241:B2 *3342:12 7.86837e-05 +9 *21242:C1 *3342:12 1.9101e-05 +10 *21417:A1 *22387:C1 4.31603e-06 +11 *22386:A1 *3342:12 5.04829e-06 +12 *22386:B1 *3342:12 1.72202e-05 +13 *22386:B2 *3342:12 2.16355e-05 +14 *22387:B2 *22387:C1 0.000107496 +15 *22387:B2 *3342:12 8.08437e-05 +16 *22392:A2 *3342:12 9.32704e-05 +17 *490:114 *3342:12 9.07249e-05 +18 *1542:101 *3342:12 0.000677664 +19 *1545:92 *3342:12 1.37563e-05 +20 *2093:136 *3342:12 0.000187913 +21 *2475:36 *3342:12 3.10272e-05 +22 *2477:7 *22387:C1 3.82228e-05 +23 *2477:34 *3342:12 8.48495e-06 +24 *3301:8 *3342:12 9.85853e-05 +*RES +1 *22386:X *3342:12 46.4303 +2 *3342:12 *22387:C1 15.208 +*END + +*D_NET *3343 0.0180011 +*CONN +*I *22394:A I *D sky130_fd_sc_hd__and4_1 +*I *22387:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22394:A 0.000538395 +2 *22387:X 0.00214513 +3 *3343:22 0.00166092 +4 *3343:19 0.00326765 +5 *22394:A *22404:B 0.000200794 +6 *22394:A *5853:171 0.00125284 +7 *3343:19 *3620:14 3.63738e-05 +8 *3343:19 *3632:19 0.000734768 +9 *3343:22 *20212:A1 0.000199423 +10 *3343:22 *3348:39 0.000959585 +11 *3343:22 *5893:18 0.000163872 +12 *21328:C *3343:19 0.000502558 +13 *22354:C1 *3343:19 3.29488e-05 +14 *22396:B2 *3343:19 0.00147935 +15 *1557:126 *3343:19 0.000368316 +16 *1564:106 *3343:19 3.38944e-05 +17 *1564:171 *3343:19 5.79178e-05 +18 *1596:134 *3343:22 0.000664051 +19 *1634:45 *3343:19 0.000634528 +20 *1884:45 *3343:19 1.54632e-05 +21 *2246:14 *3343:22 6.22114e-05 +22 *2257:46 *3343:22 3.29488e-05 +23 *2404:60 *3343:19 0.000623814 +24 *2477:7 *3343:19 6.08467e-05 +25 *2479:52 *3343:19 0.000551704 +26 *2486:44 *3343:22 0.000152559 +27 *2497:22 *3343:22 0.00077638 +28 *2501:22 *3343:19 4.97879e-06 +29 *2882:74 *3343:19 0.000363051 +30 *3076:23 *3343:19 0.000159964 +31 *3153:137 *3343:19 4.11065e-05 +32 *3198:154 *3343:19 0.000113478 +33 *3299:21 *3343:22 0.000109239 +*RES +1 *22387:X *3343:19 30.571 +2 *3343:19 *3343:22 41.0056 +3 *3343:22 *22394:A 23.8376 +*END + +*D_NET *3344 0.000928766 +*CONN +*I *22389:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22388:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22389:C1 0.000165892 +2 *22388:X 0.000165892 +3 *22388:B1 *22389:C1 0.000107496 +4 *22388:B2 *22389:C1 2.07503e-05 +5 *22389:A1 *22389:C1 0 +6 *22389:B2 *22389:C1 3.98474e-05 +7 *2294:63 *22389:C1 3.26052e-05 +8 *3114:268 *22389:C1 0.000396284 +*RES +1 *22388:X *22389:C1 24.2372 +*END + +*D_NET *3345 0.00091597 +*CONN +*I *22394:B I *D sky130_fd_sc_hd__and4_1 +*I *22389:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22394:B 0.000163107 +2 *22389:X 0.000163107 +3 *2495:10 *22394:B 0.000294878 +4 *3305:8 *22394:B 0.000294878 +*RES +1 *22389:X *22394:B 33.4828 +*END + +*D_NET *3346 0.00130831 +*CONN +*I *22391:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22390:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22391:C1 0.000247775 +2 *22390:X 0.000247775 +3 *22391:C1 *5086:24 1.65872e-05 +4 *22346:B1 *22391:C1 0.000132113 +5 *22391:B1 *22391:C1 5.4678e-05 +6 *1610:195 *22391:C1 0.000228551 +7 *2258:32 *22391:C1 1.79096e-05 +8 *2263:45 *22391:C1 9.29156e-05 +9 *2464:12 *22391:C1 0.000270009 +*RES +1 *22390:X *22391:C1 36.0094 +*END + +*D_NET *3347 0.00470862 +*CONN +*I *22394:C I *D sky130_fd_sc_hd__and4_1 +*I *22391:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22394:C 0 +2 *22391:X 0.00112843 +3 *3347:9 0.00112843 +4 *3347:9 *5091:22 0.000258222 +5 *3347:9 *5853:171 4.58529e-05 +6 *3347:9 *5888:14 0.000160201 +7 *21187:A2 *3347:9 0.000132548 +8 *22297:A2 *3347:9 0.000146659 +9 *22404:A *3347:9 1.9101e-05 +10 *2250:31 *3347:9 0.000784961 +11 *2250:55 *3347:9 0.000425679 +12 *3022:12 *3347:9 0.000478528 +*RES +1 *22391:X *3347:9 48.6348 +2 *3347:9 *22394:C 9.24915 +*END + +*D_NET *3348 0.0215375 +*CONN +*I *22393:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22392:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22393:C1 0.000289343 +2 *22392:X 0.00130879 +3 *3348:39 0.00134647 +4 *3348:33 0.00250276 +5 *3348:27 0.0021054 +6 *3348:21 0.00196857 +7 *3348:21 *22714:A2 6.50176e-05 +8 *3348:21 *3466:172 3.17436e-05 +9 *3348:21 *3493:26 6.58333e-05 +10 *3348:21 *3498:231 9.07931e-05 +11 *3348:21 *3666:13 1.66771e-05 +12 *3348:21 *4814:64 5.93953e-05 +13 *3348:39 *21431:A 0.000207816 +14 *3348:39 *5893:18 0.00108385 +15 *21241:B1 *3348:21 2.01653e-05 +16 *21242:B1 *3348:33 0.00112166 +17 *21395:A2 *3348:21 0.000387011 +18 *21999:B1 *3348:33 1.01851e-05 +19 *21999:C1 *3348:33 0.00018668 +20 *22349:B2 *22393:C1 0.000343331 +21 *22359:B *3348:33 5.18488e-05 +22 *22359:C *3348:33 8.6297e-06 +23 *22393:A2 *22393:C1 9.40863e-05 +24 *22393:B1 *22393:C1 6.08467e-05 +25 *22676:B1 *3348:33 0.000318129 +26 *22709:B1 *3348:27 0.000491148 +27 *22714:B1 *3348:21 0.000111515 +28 *22720:A1 *3348:39 4.31703e-05 +29 *1543:17 *3348:27 0.000154145 +30 *2295:82 *3348:21 0.00069931 +31 *2305:20 *22393:C1 7.72394e-06 +32 *2305:40 *3348:39 0.000254945 +33 *2326:16 *3348:27 0.000156946 +34 *2360:57 *22393:C1 0.000306219 +35 *2360:57 *3348:39 6.36477e-05 +36 *2383:25 *3348:21 0.000269896 +37 *2404:60 *3348:33 5.34407e-05 +38 *2460:51 *3348:33 4.75621e-05 +39 *2462:8 *3348:27 0.00102094 +40 *2477:34 *3348:21 3.30919e-05 +41 *2485:36 *3348:39 0.000111722 +42 *2491:8 *3348:39 0.000400321 +43 *2497:22 *3348:33 0.000191979 +44 *2497:22 *3348:39 4.48993e-05 +45 *2908:29 *3348:21 0.000167969 +46 *3050:28 *3348:27 0.00019453 +47 *3120:84 *3348:27 0.000132503 +48 *3120:84 *3348:33 0.000815502 +49 *3120:109 *3348:33 0.000360814 +50 *3192:91 *3348:33 1.78292e-05 +51 *3192:104 *3348:33 0.000183329 +52 *3299:11 *22393:C1 0.000247443 +53 *3300:8 *3348:21 5.7845e-05 +54 *3316:14 *3348:33 0.000192485 +55 *3343:22 *3348:39 0.000959585 +*RES +1 *22392:X *3348:21 41.8764 +2 *3348:21 *3348:27 31.5586 +3 *3348:27 *3348:33 48.0903 +4 *3348:33 *3348:39 43.757 +5 *3348:39 *22393:C1 24.9769 +*END + +*D_NET *3349 0.00195164 +*CONN +*I *22394:D I *D sky130_fd_sc_hd__and4_1 +*I *22393:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22394:D 0.000365764 +2 *22393:X 0.000365764 +3 *22394:D *22404:B 0.000133978 +4 *22394:D *5091:22 0.000250244 +5 *22394:D *5853:171 0.000250244 +6 *2243:8 *22394:D 3.29488e-05 +7 *2243:12 *22394:D 0.000103303 +8 *2421:32 *22394:D 0.000449393 +*RES +1 *22393:X *22394:D 39.7466 +*END + +*D_NET *3350 0.000905329 +*CONN +*I *22404:B I *D sky130_fd_sc_hd__nand3_4 +*I *22394:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22404:B 0.00021829 +2 *22394:X 0.00021829 +3 *22394:A *22404:B 0.000200794 +4 *22394:D *22404:B 0.000133978 +5 *2243:12 *22404:B 0.000133978 +*RES +1 *22394:X *22404:B 32.548 +*END + +*D_NET *3351 0.00060882 +*CONN +*I *22396:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22395:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22396:C1 0.000152741 +2 *22395:X 0.000152741 +3 *22396:C1 *22403:A 0.000105641 +4 *22396:B1 *22396:C1 7.5909e-06 +5 *22723:A1 *22396:C1 8.86025e-05 +6 *2498:38 *22396:C1 0.000101503 +*RES +1 *22395:X *22396:C1 31.0235 +*END + +*D_NET *3352 0.00172997 +*CONN +*I *22403:A I *D sky130_fd_sc_hd__and4_1 +*I *22396:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22403:A 0.000480214 +2 *22396:X 0.000480214 +3 *22403:A *22403:C 7.87388e-05 +4 *22403:A *3358:11 3.28841e-05 +5 *21242:B1 *22403:A 6.87762e-05 +6 *22359:B *22403:A 6.87762e-05 +7 *22395:B1 *22403:A 0.000130939 +8 *22395:B2 *22403:A 1.65872e-05 +9 *22396:C1 *22403:A 0.000105641 +10 *2117:77 *22403:A 0.000148364 +11 *2282:9 *22403:A 5.51483e-06 +12 *3153:117 *22403:A 0.000113323 +*RES +1 *22396:X *22403:A 39.766 +*END + +*D_NET *3353 0.00237594 +*CONN +*I *22398:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22397:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22398:C1 0.000735731 +2 *22397:X 0.000735731 +3 *22398:C1 *3666:13 0.00026134 +4 *21241:A2 *22398:C1 2.57986e-05 +5 *21241:B1 *22398:C1 7.09666e-06 +6 *21241:B2 *22398:C1 0.000161262 +7 *21242:C1 *22398:C1 1.5714e-05 +8 *22398:B1 *22398:C1 5.43795e-05 +9 *2306:75 *22398:C1 1.03113e-05 +10 *2326:16 *22398:C1 0.000107496 +11 *2477:26 *22398:C1 2.16234e-05 +12 *3301:8 *22398:C1 0.000212658 +13 *3342:12 *22398:C1 2.67996e-05 +*RES +1 *22397:X *22398:C1 43.1021 +*END + +*D_NET *3354 0.00313656 +*CONN +*I *22403:B I *D sky130_fd_sc_hd__and4_1 +*I *22398:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22403:B 0.00097394 +2 *22398:X 0.00097394 +3 *22403:B *22403:C 1.27402e-05 +4 *22403:B *3632:25 0.000388376 +5 *22353:B1 *22403:B 7.87671e-05 +6 *22354:A2 *22403:B 0.000120237 +7 *22354:B1 *22403:B 6.08467e-05 +8 *22398:B2 *22403:B 2.95884e-05 +9 *2117:63 *22403:B 2.35752e-05 +10 *2117:77 *22403:B 0.000108079 +11 *3111:125 *22403:B 0.000128304 +12 *3111:142 *22403:B 0.000227247 +13 *3122:115 *22403:B 0 +14 *3198:154 *22403:B 7.08723e-06 +15 *3198:170 *22403:B 3.83492e-06 +*RES +1 *22398:X *22403:B 49.1581 +*END + +*D_NET *3355 0.000403147 +*CONN +*I *22400:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22399:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22400:C1 6.67567e-05 +2 *22399:X 6.67567e-05 +3 *22400:C1 *3620:14 0.000134817 +4 *2243:22 *22400:C1 0.000134817 +*RES +1 *22399:X *22400:C1 30.1608 +*END + +*D_NET *3356 0.000968646 +*CONN +*I *22403:C I *D sky130_fd_sc_hd__and4_1 +*I *22400:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22403:C 0.00024783 +2 *22400:X 0.00024783 +3 *22403:C *3358:11 6.08467e-05 +4 *22353:B1 *22403:C 0.000101503 +5 *22403:A *22403:C 7.87388e-05 +6 *22403:B *22403:C 1.27402e-05 +7 *22718:A1 *22403:C 0.000156946 +8 *2117:77 *22403:C 6.22114e-05 +*RES +1 *22400:X *22403:C 33.2902 +*END + +*D_NET *3357 0.000482979 +*CONN +*I *22402:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22401:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22402:C1 0.00011491 +2 *22401:X 0.00011491 +3 *22691:B1 *22402:C1 9.78609e-05 +4 *2354:47 *22402:C1 6.08467e-05 +5 *3179:212 *22402:C1 9.44503e-05 +*RES +1 *22401:X *22402:C1 30.3346 +*END + +*D_NET *3358 0.00361329 +*CONN +*I *22403:D I *D sky130_fd_sc_hd__and4_1 +*I *22402:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22403:D 0 +2 *22402:X 0.000987655 +3 *3358:11 0.000987655 +4 *3358:11 *3521:191 0.000185098 +5 *3358:11 *3620:14 3.90689e-06 +6 *21180:B1 *3358:11 0.000442653 +7 *21180:B2 *3358:11 2.95884e-05 +8 *22399:A *3358:11 1.25946e-05 +9 *22399:B *3358:11 6.27353e-05 +10 *22402:B1 *3358:11 6.3657e-05 +11 *22403:A *3358:11 3.28841e-05 +12 *22403:C *3358:11 6.08467e-05 +13 *22718:A1 *3358:11 0.000403007 +14 *22719:A1 *3358:11 1.9544e-05 +15 *1564:114 *3358:11 8.08437e-05 +16 *1647:68 *3358:11 0.000203595 +17 *2117:77 *3358:11 7.92757e-06 +18 *2243:28 *3358:11 2.91038e-05 +*RES +1 *22402:X *3358:11 40.7969 +2 *3358:11 *22403:D 9.24915 +*END + +*D_NET *3359 0.0137907 +*CONN +*I *22404:C I *D sky130_fd_sc_hd__nand3_4 +*I *22403:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22404:C 0.000860049 +2 *22403:X 0.000567622 +3 *3359:14 0.00232175 +4 *3359:10 0.00202932 +5 *22404:C *20209:B1 3.79497e-05 +6 *22404:C *21179:A 0 +7 *3359:14 *20212:A1 4.3116e-06 +8 *3359:14 *21998:A1 1.21863e-05 +9 *6032:DIODE *22404:C 0.000174896 +10 *20212:A2 *22404:C 1.9366e-05 +11 *21260:B2 *3359:10 0.000358568 +12 *21260:B2 *3359:14 4.36574e-05 +13 *21998:A2 *3359:14 0.000161461 +14 *21999:A1 *3359:14 0.000788248 +15 *21999:A2 *3359:14 5.60364e-06 +16 *22340:A1 *22404:C 0.000154145 +17 *22395:A2 *3359:10 6.08467e-05 +18 *22395:B1 *3359:10 1.65872e-05 +19 *22404:A *22404:C 6.08467e-05 +20 *512:38 *22404:C 2.16355e-05 +21 *1555:19 *3359:10 0.000156355 +22 *1555:38 *3359:10 0.000170075 +23 *1564:171 *3359:14 1.62467e-05 +24 *1610:74 *3359:10 1.0397e-05 +25 *1610:74 *3359:14 0.000140018 +26 *1610:77 *3359:10 0 +27 *1610:77 *3359:14 0.000145193 +28 *1617:12 *3359:14 2.02035e-05 +29 *1635:97 *3359:14 0.000483741 +30 *1635:106 *22404:C 0 +31 *1635:106 *3359:14 0.000262103 +32 *1887:14 *22404:C 6.67816e-05 +33 *1887:18 *22404:C 6.7118e-05 +34 *2282:9 *3359:10 0.000824458 +35 *2305:40 *3359:14 0.00116697 +36 *2357:51 *22404:C 0.00110655 +37 *2360:57 *22404:C 3.4654e-05 +38 *2460:51 *3359:14 8.53511e-05 +39 *2461:12 *22404:C 0.000215202 +40 *2461:12 *3359:14 0 +41 *2486:70 *22404:C 0.000207266 +42 *2534:23 *3359:14 2.75032e-05 +43 *3010:50 *3359:14 7.9181e-05 +44 *3192:91 *3359:10 0.00025175 +45 *3192:91 *3359:14 0.000554548 +*RES +1 *22403:X *3359:10 31.8639 +2 *3359:10 *3359:14 47.4975 +3 *3359:14 *22404:C 38.843 +*END + +*D_NET *3360 0.00106335 +*CONN +*I *22406:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22405:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22406:C1 0.000414321 +2 *22405:X 0.000414321 +3 *22405:A1 *22406:C1 4.33486e-05 +4 *22405:A2 *22406:C1 2.74306e-06 +5 *22405:B1 *22406:C1 0.000133826 +6 *22405:B2 *22406:C1 2.16355e-05 +7 *22406:B1 *22406:C1 2.68152e-05 +8 *22406:B2 *22406:C1 6.34352e-06 +*RES +1 *22405:X *22406:C1 26.3833 +*END + +*D_NET *3361 0.0117889 +*CONN +*I *22407:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22406:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22407:C1 0 +2 *22406:X 0.000102876 +3 *3361:12 0.00263231 +4 *3361:11 0.00273519 +5 *3361:11 *22494:C1 6.50727e-05 +6 *3361:12 *22429:C1 4.4196e-06 +7 *3361:12 *22433:C1 0.0001214 +8 *3361:12 *22438:C 0.000264362 +9 *3361:12 *22735:A2 5.36085e-05 +10 *3361:12 *22742:A 6.87209e-05 +11 *3361:12 *3421:32 0.00128009 +12 *3361:12 *3463:12 0.00184102 +13 *3361:12 *3507:75 0.000379181 +14 *3361:12 *3695:14 6.85778e-05 +15 *21992:A1 *3361:12 3.94869e-05 +16 *22405:A2 *3361:11 5.04829e-06 +17 *22494:B2 *3361:11 1.52067e-05 +18 *1603:81 *3361:11 2.20819e-06 +19 *1603:81 *3361:12 1.01627e-05 +20 *1739:58 *3361:12 0.000234775 +21 *2614:55 *3361:11 2.4667e-06 +22 *2628:10 *3361:12 5.88009e-05 +23 *2708:44 *3361:12 0.000276619 +24 *3003:57 *3361:12 0.000147612 +25 *3155:62 *3361:12 0.000325962 +26 *3164:54 *3361:12 0.000311828 +27 *3166:61 *3361:12 1.28732e-05 +28 *3166:82 *3361:12 2.1203e-06 +29 *3175:57 *3361:12 0.000726896 +*RES +1 *22406:X *3361:11 16.1846 +2 *3361:11 *3361:12 78.6536 +3 *3361:12 *22407:C1 13.7491 +*END + +*D_NET *3362 0.00162292 +*CONN +*I *22426:A I *D sky130_fd_sc_hd__nand3_4 +*I *22407:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22426:A 0.000264408 +2 *22407:X 0.000264408 +3 *22426:A *22426:C 0.000121668 +4 *22407:A2 *22426:A 6.08467e-05 +5 *2913:30 *22426:A 0.000822342 +6 *3162:27 *22426:A 8.92469e-05 +*RES +1 *22407:X *22426:A 28.6741 +*END + +*D_NET *3363 0.00129958 +*CONN +*I *22409:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22408:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22409:C1 0.000387093 +2 *22408:X 0.000387093 +3 *22409:C1 *3364:23 2.64497e-05 +4 *22409:C1 *3737:12 2.57465e-06 +5 *19905:A1 *22409:C1 2.96805e-05 +6 *22409:B1 *22409:C1 3.29136e-05 +7 *22409:B2 *22409:C1 6.16576e-07 +8 *543:12 *22409:C1 7.36214e-06 +9 *1659:24 *22409:C1 2.72078e-05 +10 *1660:66 *22409:C1 8.70292e-05 +11 *1660:85 *22409:C1 8.96465e-06 +12 *3175:37 *22409:C1 0.000302597 +*RES +1 *22408:X *22409:C1 35.6408 +*END + +*D_NET *3364 0.00864307 +*CONN +*I *22416:A I *D sky130_fd_sc_hd__and4_1 +*I *22409:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22416:A 0.000599291 +2 *22409:X 0.00187043 +3 *3364:23 0.00246973 +4 *22416:A *22416:B 1.82679e-05 +5 *22416:A *22416:D 4.86385e-05 +6 *22416:A *22426:B 0.000345048 +7 *3364:23 *22431:C1 0.000113107 +8 *3364:23 *22743:A 6.31727e-05 +9 *3364:23 *3668:23 0.000442838 +10 *3364:23 *3690:14 0.000250254 +11 *19905:A1 *3364:23 7.55693e-06 +12 *19905:A2 *3364:23 7.13972e-05 +13 *19905:B2 *3364:23 5.56458e-05 +14 *21789:A2 *3364:23 7.13972e-05 +15 *21789:B1 *3364:23 0.000208772 +16 *21789:C1 *3364:23 0.000170954 +17 *22409:A2 *3364:23 6.44879e-05 +18 *22409:B1 *3364:23 7.86387e-06 +19 *22409:B2 *3364:23 2.33514e-05 +20 *22409:C1 *3364:23 2.64497e-05 +21 *22415:A2 *22416:A 6.50586e-05 +22 *22419:B2 *22416:A 1.82679e-05 +23 *22750:A1 *3364:23 0.000404855 +24 *22750:B1 *3364:23 0.00010217 +25 *2801:25 *22416:A 0.000489302 +26 *2801:25 *3364:23 2.77625e-06 +27 *2805:22 *3364:23 0.000110297 +28 *3053:21 *3364:23 3.92097e-06 +29 *3114:51 *22416:A 0.000203118 +30 *3126:166 *22416:A 1.34424e-05 +31 *3198:53 *22416:A 0.000301209 +*RES +1 *22409:X *3364:23 49.8558 +2 *3364:23 *22416:A 26.056 +*END + +*D_NET *3365 0.000627682 +*CONN +*I *22411:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22410:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22411:C1 0.000193485 +2 *22410:X 0.000193485 +3 *22410:B1 *22411:C1 0.000111708 +4 *22410:B2 *22411:C1 6.50586e-05 +5 *3148:254 *22411:C1 6.3947e-05 +*RES +1 *22410:X *22411:C1 23.128 +*END + +*D_NET *3366 0.00084142 +*CONN +*I *22416:B I *D sky130_fd_sc_hd__and4_1 +*I *22411:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22416:B 0.000339332 +2 *22411:X 0.000339332 +3 *22411:A2 *22416:B 2.77625e-06 +4 *22416:A *22416:B 1.82679e-05 +5 *22433:B1 *22416:B 5.481e-05 +6 *22433:B2 *22416:B 7.92757e-06 +7 *3175:306 *22416:B 7.89747e-05 +*RES +1 *22411:X *22416:B 25.3223 +*END + +*D_NET *3367 0.000720769 +*CONN +*I *22413:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22412:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22413:C1 0.000108871 +2 *22412:X 0.000108871 +3 *22412:A1 *22413:C1 0.000119304 +4 *22412:A2 *22413:C1 3.99086e-06 +5 *22413:B1 *22413:C1 0.000374038 +6 *22413:B2 *22413:C1 6.46463e-07 +7 *1775:5 *22413:C1 5.04829e-06 +*RES +1 *22412:X *22413:C1 23.128 +*END + +*D_NET *3368 0.0485679 +*CONN +*I *22416:C I *D sky130_fd_sc_hd__and4_1 +*I *22413:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22416:C 0.001299 +2 *22413:X 0.00112974 +3 *3368:74 0.00229818 +4 *3368:70 0.00145187 +5 *3368:65 0.00121973 +6 *3368:53 0.00170214 +7 *3368:47 0.0023009 +8 *3368:27 0.00402914 +9 *3368:14 0.00379307 +10 *3368:14 *22775:B2 5.35941e-05 +11 *3368:14 *3529:80 0.000142383 +12 *3368:14 *3531:128 5.41428e-05 +13 *3368:14 *3531:157 0.000224809 +14 *3368:27 *23859:A1 0.000200033 +15 *3368:27 *3411:57 8.18726e-05 +16 *3368:27 *3671:43 0.000340742 +17 *3368:27 *3671:51 0.000170577 +18 *3368:27 *3717:14 1.12404e-05 +19 *3368:27 *4106:162 0.000191526 +20 *3368:27 *4189:6 8.92568e-06 +21 *3368:27 *5924:37 0 +22 *3368:47 *4575:26 9.34919e-05 +23 *3368:47 *4650:6 0.00129549 +24 *3368:47 *4702:16 0.000218426 +25 *3368:47 *4803:10 0.000291262 +26 *3368:47 *4803:12 0.000806527 +27 *3368:47 *5592:19 0.000440512 +28 *3368:53 *20158:A1 0.000115876 +29 *3368:53 *23161:B 2.18741e-05 +30 *3368:53 *23277:A 3.25539e-05 +31 *3368:53 *23584:A 0.000122098 +32 *3368:53 *24527:RESET_B 0.000107496 +33 *3368:53 *24527:CLK 4.2372e-05 +34 *3368:53 *3999:53 0.000673354 +35 *3368:53 *3999:84 2.5131e-05 +36 *3368:53 *4074:8 0.000722748 +37 *3368:53 *4801:20 0.000160083 +38 *3368:53 *4801:22 0.000957402 +39 *3368:53 *4916:71 5.04829e-06 +40 *3368:65 *24523:CLK 4.23858e-05 +41 *3368:65 *4801:22 0.00147397 +42 *3368:70 *20158:A1 0.000102893 +43 *3368:74 *21991:A 0.000320511 +44 *3368:74 *3411:23 0.000106894 +45 *22459:A1 *3368:14 1.05676e-05 +46 *22775:B1 *3368:14 5.23737e-05 +47 *24526:D *3368:65 0.000142299 +48 *24556:D *3368:27 0.000258142 +49 *1427:87 *3368:53 0.000567313 +50 *1459:70 *3368:65 2.45677e-05 +51 *1483:37 *3368:65 3.39286e-05 +52 *1506:99 *3368:65 0.00104195 +53 *1521:62 *3368:53 0 +54 *1521:62 *3368:65 0 +55 *1521:68 *3368:70 0.0010337 +56 *1697:52 *22416:C 0.00350851 +57 *1731:93 *3368:53 0.000114364 +58 *1757:106 *3368:47 2.95956e-05 +59 *1762:41 *3368:74 1.66626e-05 +60 *1784:58 *3368:27 1.66771e-05 +61 *1808:78 *3368:27 3.52699e-05 +62 *1809:26 *3368:27 2.01653e-05 +63 *2504:20 *22416:C 0.00122952 +64 *2505:65 *3368:74 0.00104131 +65 *2603:100 *3368:14 8.03699e-06 +66 *2608:26 *3368:14 7.32908e-05 +67 *2619:57 *3368:74 0.00383088 +68 *2623:26 *3368:65 0.000173077 +69 *2623:26 *3368:70 0.00102159 +70 *2702:37 *3368:74 2.01503e-05 +71 *2779:41 *3368:14 1.38595e-05 +72 *2807:26 *3368:14 9.12416e-06 +73 *2810:60 *3368:27 0.00218893 +74 *2838:67 *3368:27 5.91168e-05 +75 *2838:76 *3368:14 5.6056e-05 +76 *2838:79 *3368:14 0.00106201 +77 *2844:74 *3368:14 1.9101e-05 +78 *2863:14 *3368:27 0.00121777 +79 *3002:8 *22416:C 7.86825e-06 +80 *3005:14 *3368:74 9.2932e-05 +81 *3014:79 *22416:C 0.000638526 +82 *3162:62 *22416:C 1.66626e-05 +*RES +1 *22413:X *3368:14 48.1731 +2 *3368:14 *3368:27 47.5955 +3 *3368:27 *3368:47 49.8134 +4 *3368:47 *3368:53 48.6522 +5 *3368:53 *3368:65 48.3046 +6 *3368:65 *3368:70 28.2726 +7 *3368:70 *3368:74 48.8611 +8 *3368:74 *22416:C 25.8871 +*END + +*D_NET *3369 0.00903041 +*CONN +*I *22415:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22414:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22415:C1 0.000270298 +2 *22414:X 0.00184016 +3 *3369:19 0.00211046 +4 *22415:C1 *22416:D 6.08467e-05 +5 *22415:C1 *3392:10 8.61022e-05 +6 *22415:C1 *3401:10 0.000206655 +7 *3369:19 *22733:C1 5.04829e-06 +8 *3369:19 *3417:29 8.4651e-05 +9 *3369:19 *3448:20 3.29488e-05 +10 *3369:19 *3500:89 0.000501238 +11 *3369:19 *3670:11 7.92757e-06 +12 *3369:19 *5476:51 0.000240759 +13 *22732:A1 *3369:19 2.65667e-05 +14 *1698:130 *22415:C1 8.64245e-05 +15 *2504:21 *3369:19 0.000328028 +16 *2504:41 *3369:19 0.00133097 +17 *2519:38 *3369:19 0.000468924 +18 *2586:61 *3369:19 0.000475021 +19 *2619:76 *22415:C1 7.09666e-06 +20 *2994:55 *3369:19 0.000356973 +21 *2999:43 *3369:19 3.57195e-05 +22 *3114:51 *22415:C1 2.49624e-05 +23 *3146:38 *3369:19 0.000442634 +*RES +1 *22414:X *3369:19 49.3561 +2 *3369:19 *22415:C1 21.1091 +*END + +*D_NET *3370 0.00120157 +*CONN +*I *22416:D I *D sky130_fd_sc_hd__and4_1 +*I *22415:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22416:D 0.000525973 +2 *22415:X 0.000525973 +3 *22415:A2 *22416:D 1.29759e-05 +4 *22415:C1 *22416:D 6.08467e-05 +5 *22416:A *22416:D 4.86385e-05 +6 *22463:B2 *22416:D 0 +7 *3114:51 *22416:D 2.71653e-05 +*RES +1 *22415:X *22416:D 26.6244 +*END + +*D_NET *3371 0.00262338 +*CONN +*I *22426:B I *D sky130_fd_sc_hd__nand3_4 +*I *22416:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22426:B 0.000697007 +2 *22416:X 0.000697007 +3 *22426:B *3442:14 0.000581901 +4 *22416:A *22426:B 0.000345048 +5 *22437:A2 *22426:B 0.000104719 +6 *2801:19 *22426:B 7.08723e-06 +7 *2959:43 *22426:B 9.87433e-05 +8 *3179:40 *22426:B 9.18693e-05 +*RES +1 *22416:X *22426:B 43.7626 +*END + +*D_NET *3372 0.00102839 +*CONN +*I *22418:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22417:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22418:C1 0.000374456 +2 *22417:X 0.000374456 +3 *22418:B1 *22418:C1 0.000180519 +4 *22418:B2 *22418:C1 3.17788e-05 +5 *2765:39 *22418:C1 6.71827e-05 +*RES +1 *22417:X *22418:C1 25.1294 +*END + +*D_NET *3373 0.0662085 +*CONN +*I *6205:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22425:A I *D sky130_fd_sc_hd__and4_1 +*I *22418:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *6205:DIODE 0 +2 *22425:A 6.98501e-05 +3 *22418:X 0.00421597 +4 *3373:49 0.00144959 +5 *3373:48 0.00208533 +6 *3373:42 0.00156518 +7 *3373:33 0.00277923 +8 *3373:24 0.00739698 +9 *3373:15 0.00969332 +10 *22425:A *22425:D 6.24474e-06 +11 *3373:15 *3543:156 1.91246e-05 +12 *3373:15 *4739:51 7.37637e-05 +13 *3373:15 *5671:136 0.000134763 +14 *3373:15 *6001:38 0 +15 *3373:24 *23806:A 0.00103727 +16 *3373:24 *23806:D 0.000179415 +17 *3373:24 *23831:B 3.95077e-05 +18 *3373:24 *3781:83 4.77759e-05 +19 *3373:24 *3999:35 1.81094e-05 +20 *3373:24 *4009:103 8.89343e-05 +21 *3373:24 *4070:36 0.000818436 +22 *3373:24 *4550:53 0.00125166 +23 *3373:24 *4602:27 0.00045569 +24 *3373:24 *4602:34 0.00114255 +25 *3373:24 *4693:15 0.00366411 +26 *3373:24 *4704:17 0.00101063 +27 *3373:24 *4725:10 5.74949e-05 +28 *3373:24 *4861:19 5.34064e-05 +29 *3373:24 *5815:64 0 +30 *3373:24 *5920:22 0.000520195 +31 *3373:33 *4863:157 9.33288e-05 +32 *3373:33 *5854:125 0.00179129 +33 *3373:48 *3411:23 0.000882559 +34 *19840:A *3373:33 6.08467e-05 +35 *19840:B *3373:33 3.31745e-05 +36 *20612:A2 *3373:48 0.000260374 +37 *20636:A *3373:33 0.000394413 +38 *22418:B1 *3373:15 0.000110458 +39 *22462:A1 *3373:15 0.000238808 +40 *584:8 *3373:24 0 +41 *657:181 *3373:24 0.000112292 +42 *1442:74 *3373:33 0.000715655 +43 *1471:213 *3373:33 0.000449642 +44 *1485:126 *3373:33 0.000824402 +45 *1668:69 *3373:24 0.000396285 +46 *1706:46 *3373:48 1.5613e-05 +47 *1716:57 *3373:42 0.000409064 +48 *1724:61 *3373:15 0.00400694 +49 *1724:76 *3373:15 0.00113849 +50 *1762:41 *3373:42 0.000469621 +51 *1784:71 *3373:15 0.000470169 +52 *1793:14 *3373:42 0.000540918 +53 *1794:7 *3373:33 6.45419e-05 +54 *2013:32 *3373:42 0.000412474 +55 *2502:42 *3373:33 0.00413714 +56 *2565:22 *3373:15 1.27831e-06 +57 *2565:36 *3373:15 2.1203e-06 +58 *2603:59 *3373:24 0.00157341 +59 *2612:62 *3373:33 0.000810237 +60 *2619:57 *3373:48 8.88984e-06 +61 *2702:37 *3373:42 0.000473218 +62 *2753:19 *3373:24 0.000247949 +63 *2784:42 *3373:24 6.37795e-05 +64 *2833:57 *3373:48 0.000113124 +65 *2837:49 *3373:15 0.00177208 +66 *2839:27 *3373:24 0.00120599 +67 *2979:29 *3373:48 0.000420256 +68 *2979:29 *3373:49 0.000267487 +69 *3005:14 *3373:48 0.000158371 +70 *3148:254 *3373:48 0.000843793 +71 *3179:40 *22425:A 6.08467e-05 +72 *3179:43 *22425:A 1.03403e-05 +73 *3179:43 *3373:49 4.11978e-05 +74 *3179:74 *3373:48 0.000118724 +75 *3192:185 *3373:15 0.000112361 +*RES +1 *22418:X *3373:15 34.629 +2 *3373:15 *3373:24 45.3112 +3 *3373:24 *3373:33 42.3644 +4 *3373:33 *3373:42 37.552 +5 *3373:42 *3373:48 33.7283 +6 *3373:48 *3373:49 19.5799 +7 *3373:49 *22425:A 11.1059 +8 *3373:49 *6205:DIODE 9.24915 +*END + +*D_NET *3374 0.000478941 +*CONN +*I *22420:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22419:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22420:C1 9.67403e-05 +2 *22419:X 9.67403e-05 +3 *22420:C1 *22437:C1 6.08467e-05 +4 *22420:B1 *22420:C1 2.16355e-05 +5 *2959:43 *22420:C1 0.000101489 +6 *2999:38 *22420:C1 0.000101489 +*RES +1 *22419:X *22420:C1 30.4689 +*END + +*D_NET *3375 0.00165036 +*CONN +*I *22425:B I *D sky130_fd_sc_hd__and4_1 +*I *22420:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22425:B 0.000374418 +2 *22420:X 0.000374418 +3 *22425:B *22425:D 0.000114271 +4 *22419:A2 *22425:B 4.44291e-05 +5 *22420:A2 *22425:B 6.53173e-05 +6 *1640:24 *22425:B 0.000296549 +7 *1675:32 *22425:B 1.94615e-05 +8 *3139:48 *22425:B 0.000316607 +9 *3168:7 *22425:B 1.37189e-05 +10 *3179:40 *22425:B 3.11676e-05 +*RES +1 *22420:X *22425:B 39.1948 +*END + +*D_NET *3376 9.33281e-05 +*CONN +*I *22422:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22421:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22422:C1 2.50285e-05 +2 *22421:X 2.50285e-05 +3 *1554:36 *22422:C1 2.16355e-05 +4 *1566:55 *22422:C1 2.16355e-05 +*RES +1 *22421:X *22422:C1 19.2217 +*END + +*D_NET *3377 0.0248382 +*CONN +*I *22425:C I *D sky130_fd_sc_hd__and4_1 +*I *22422:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22425:C 0 +2 *22422:X 0.00449802 +3 *3377:20 0.00449802 +4 *3377:20 *3394:26 0.000747112 +5 *3377:20 *3411:16 5.76913e-05 +6 *3377:20 *3427:28 8.3051e-06 +7 *3377:20 *3474:111 0.000274374 +8 *3377:20 *3702:20 0.000172295 +9 *3377:20 *5904:70 1.91391e-05 +10 *19796:A *3377:20 0.000153427 +11 *22420:B1 *3377:20 0.000135732 +12 *22488:A2 *3377:20 0.000160615 +13 *22727:A1 *3377:20 0.0019809 +14 *22762:B1 *3377:20 0.00125184 +15 *1554:36 *3377:20 1.91391e-05 +16 *1565:17 *3377:20 0.00010436 +17 *1566:31 *3377:20 0.00171444 +18 *1570:106 *3377:20 0.000228543 +19 *1625:83 *3377:20 0.000398719 +20 *2593:47 *3377:20 0.00108114 +21 *2697:65 *3377:20 0.00550751 +22 *2801:19 *3377:20 0.000262424 +23 *2950:125 *3377:20 3.94661e-05 +24 *2999:38 *3377:20 2.08502e-05 +25 *3033:50 *3377:20 0.00135069 +26 *3114:66 *3377:20 7.12501e-05 +27 *3168:29 *3377:20 8.22427e-05 +*RES +1 *22422:X *3377:20 47.2953 +2 *3377:20 *22425:C 9.24915 +*END + +*D_NET *3378 0.00252829 +*CONN +*I *22424:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22423:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22424:C1 0.000379591 +2 *22423:X 0.000379591 +3 *22467:A2 *22424:C1 3.39118e-05 +4 *22468:B2 *22424:C1 0.000116 +5 *22511:B1 *22424:C1 1.5714e-05 +6 *22511:B2 *22424:C1 3.82228e-05 +7 *22512:A2 *22424:C1 1.80257e-05 +8 *22806:B1 *22424:C1 9.38347e-05 +9 *1638:11 *22424:C1 0.000344696 +10 *1707:43 *22424:C1 0.000506219 +11 *1716:68 *22424:C1 0.000517565 +12 *2833:21 *22424:C1 2.27135e-05 +13 *3206:48 *22424:C1 6.22114e-05 +*RES +1 *22423:X *22424:C1 42.7455 +*END + +*D_NET *3379 0.00571607 +*CONN +*I *22425:D I *D sky130_fd_sc_hd__and4_1 +*I *22424:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22425:D 0.000770727 +2 *22424:X 0.000530935 +3 *3379:8 0.00130166 +4 *22425:A *22425:D 6.24474e-06 +5 *22425:B *22425:D 0.000114271 +6 *1739:46 *3379:8 0.00101291 +7 *1791:39 *3379:8 6.50744e-05 +8 *1792:101 *3379:8 0.00109295 +9 *3179:40 *22425:D 3.24737e-06 +10 *3179:43 *22425:D 0.00081805 +*RES +1 *22424:X *3379:8 39.598 +2 *3379:8 *22425:D 21.6192 +*END + +*D_NET *3380 0.00115613 +*CONN +*I *22426:C I *D sky130_fd_sc_hd__nand3_4 +*I *22425:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22426:C 0.000224762 +2 *22425:X 0.000224762 +3 *22426:A *22426:C 0.000121668 +4 *1640:24 *22426:C 3.56264e-05 +5 *1732:92 *22426:C 5.82976e-06 +6 *2913:30 *22426:C 4.96196e-05 +7 *2999:38 *22426:C 0 +8 *3162:27 *22426:C 0.000493866 +*RES +1 *22425:X *22426:C 35.6238 +*END + +*D_NET *3381 0.000809771 +*CONN +*I *22428:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22427:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22428:C1 9.15813e-05 +2 *22427:X 9.15813e-05 +3 *22427:A1 *22428:C1 8.07539e-05 +4 *22427:B1 *22428:C1 6.08467e-05 +5 *22427:B2 *22428:C1 6.36477e-05 +6 *1462:25 *22428:C1 0.000357948 +7 *3004:23 *22428:C1 5.54845e-05 +8 *3155:77 *22428:C1 0 +9 *3208:89 *22428:C1 7.92757e-06 +*RES +1 *22427:X *22428:C1 23.128 +*END + +*D_NET *3382 0.0108404 +*CONN +*I *22429:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22428:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22429:C1 0.000671174 +2 *22428:X 7.98254e-05 +3 *3382:8 0.00231818 +4 *3382:7 0.00172683 +5 *22429:C1 *3463:12 0 +6 *3382:8 *22742:A 0.00012913 +7 *3382:8 *22760:A 0.000250781 +8 *3382:8 *22807:B2 1.27831e-06 +9 *3382:8 *22814:A 8.94101e-05 +10 *3382:8 *3421:32 6.15922e-05 +11 *3382:8 *3507:75 3.16133e-05 +12 *3382:8 *3507:78 3.6405e-05 +13 *3382:8 *3511:104 7.38999e-05 +14 *19902:A *22429:C1 9.66083e-05 +15 *22407:B2 *22429:C1 2.09383e-05 +16 *22411:A1 *22429:C1 0.000114222 +17 *22411:A2 *22429:C1 5.88834e-06 +18 *22429:B2 *22429:C1 0.000157064 +19 *22433:B1 *22429:C1 1.45101e-05 +20 *22433:B1 *3382:8 0.0009504 +21 *22807:A1 *3382:8 5.43523e-05 +22 *1537:36 *3382:8 5.90952e-05 +23 *1541:128 *22429:C1 0.000771026 +24 *1739:58 *22429:C1 0.000768099 +25 *1739:58 *3382:8 0.000770444 +26 *2548:10 *3382:8 0.000225483 +27 *2561:52 *3382:8 0.000327731 +28 *2571:40 *3382:8 8.08437e-05 +29 *2912:23 *22429:C1 4.17605e-05 +30 *3066:26 *3382:8 0.000733648 +31 *3164:54 *3382:8 8.03119e-06 +32 *3166:36 *3382:8 5.11321e-05 +33 *3166:51 *3382:8 7.09666e-06 +34 *3208:89 *3382:7 0.000107496 +35 *3361:12 *22429:C1 4.4196e-06 +*RES +1 *22428:X *3382:7 15.0271 +2 *3382:7 *3382:8 49.3784 +3 *3382:8 *22429:C1 36.7646 +*END + +*D_NET *3383 0.00106594 +*CONN +*I *22448:A I *D sky130_fd_sc_hd__nand3_4 +*I *22429:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22448:A 0.000261067 +2 *22429:X 0.000261067 +3 *19902:A *22448:A 0.000296893 +4 *22429:A1 *22448:A 0.000156946 +5 *2912:23 *22448:A 8.99663e-05 +*RES +1 *22429:X *22448:A 25.901 +*END + +*D_NET *3384 0.000698533 +*CONN +*I *22431:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22430:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22431:C1 0.00018435 +2 *22430:X 0.00018435 +3 *22409:A2 *22431:C1 1.37189e-05 +4 *2667:93 *22431:C1 0.000101503 +5 *2935:8 *22431:C1 0.000101503 +6 *3364:23 *22431:C1 0.000113107 +*RES +1 *22430:X *22431:C1 31.5781 +*END + +*D_NET *3385 0.0127714 +*CONN +*I *22438:A I *D sky130_fd_sc_hd__and4_1 +*I *22431:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22438:A 0 +2 *22431:X 0.000665189 +3 *3385:19 0.00150551 +4 *3385:18 0.00183314 +5 *3385:15 0.000992817 +6 *3385:15 *3481:37 0.00039746 +7 *3385:18 *3669:8 0.000150897 +8 *3385:19 *22438:C 0.000158451 +9 *3385:19 *22743:D 7.26048e-05 +10 *3385:19 *3390:11 0.00130823 +11 *3385:19 *3392:10 0.000302597 +12 *19894:B1 *3385:18 0.000105584 +13 *19894:B1 *3385:19 0.000111802 +14 *22430:A1 *3385:15 7.22263e-05 +15 *22436:A1 *3385:19 0.000340742 +16 *22436:B1 *3385:19 0.000771381 +17 *22436:B2 *3385:19 0.000107496 +18 *22464:A1 *3385:19 0.000309069 +19 *1544:98 *3385:19 0.000110306 +20 *1560:62 *3385:19 0.000283079 +21 *1651:59 *3385:19 7.92168e-05 +22 *1652:12 *3385:18 3.04407e-05 +23 *1661:24 *3385:15 6.36477e-05 +24 *1661:24 *3385:18 0.000324538 +25 *1663:15 *3385:18 1.91391e-05 +26 *1678:69 *3385:19 0.000110297 +27 *1804:64 *3385:19 5.18725e-05 +28 *2529:24 *3385:15 0.000480603 +29 *2547:12 *3385:18 3.44127e-05 +30 *3005:64 *3385:19 4.14109e-05 +31 *3071:30 *3385:15 0.000261776 +32 *3114:29 *3385:15 0.00104342 +33 *3124:76 *3385:15 4.80741e-05 +34 *3126:166 *3385:19 5.65711e-05 +35 *3139:48 *3385:19 0.000160617 +36 *3157:43 *3385:19 8.29437e-06 +37 *3179:29 *3385:15 0.000358496 +*RES +1 *22431:X *3385:15 46.9736 +2 *3385:15 *3385:18 13.8065 +3 *3385:18 *3385:19 45.0917 +4 *3385:19 *22438:A 9.24915 +*END + +*D_NET *3386 0.00160347 +*CONN +*I *22433:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22432:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22433:C1 0.000432135 +2 *22432:X 0.000432135 +3 *1739:58 *22433:C1 0.0001214 +4 *2804:24 *22433:C1 0.0004964 +5 *3361:12 *22433:C1 0.0001214 +*RES +1 *22432:X *22433:C1 35.8756 +*END + +*D_NET *3387 0.00021481 +*CONN +*I *22438:B I *D sky130_fd_sc_hd__and4_1 +*I *22433:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22438:B 3.52299e-05 +2 *22433:X 3.52299e-05 +3 *1792:101 *22438:B 7.21753e-05 +4 *3003:34 *22438:B 7.21753e-05 +*RES +1 *22433:X *22438:B 28.915 +*END + +*D_NET *3388 0.0244699 +*CONN +*I *22435:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22434:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22435:C1 0.00159943 +2 *22434:X 0.00031055 +3 *3388:14 0.00347884 +4 *3388:13 0.00335138 +5 *3388:7 0.00178251 +6 *3388:13 *22774:B2 0.000127778 +7 *3388:14 *24580:RESET_B 0.000326477 +8 *3388:14 *5869:421 0.000467056 +9 *20529:A *3388:13 3.55968e-05 +10 *21536:B1 *3388:7 0.000446959 +11 *21825:A1 *3388:13 4.22944e-06 +12 *22412:B1 *3388:13 0.000214397 +13 *22435:B1 *22435:C1 7.10684e-05 +14 *22435:B2 *22435:C1 2.53145e-06 +15 *22456:B1 *3388:13 0.000147581 +16 *22770:B1 *3388:14 0.000230201 +17 *1427:111 *3388:14 0.000661103 +18 *1541:150 *3388:14 0.000287362 +19 *1546:32 *3388:14 0.000123928 +20 *1560:24 *3388:14 0.000431525 +21 *1560:52 *22435:C1 0.000111504 +22 *1624:62 *3388:13 0.000140384 +23 *1689:71 *3388:14 0.00246695 +24 *1730:113 *3388:13 0.00059369 +25 *1740:110 *22435:C1 0 +26 *1761:40 *22435:C1 0.00011503 +27 *1775:12 *3388:13 0.00105882 +28 *1776:61 *3388:13 5.24641e-05 +29 *1794:44 *22435:C1 0.000547263 +30 *1797:20 *22435:C1 7.19754e-05 +31 *2274:63 *22435:C1 9.14516e-05 +32 *2505:75 *22435:C1 0.000312961 +33 *2521:27 *22435:C1 0.000126567 +34 *2603:77 *3388:14 0.000658131 +35 *2603:96 *3388:14 0.000252274 +36 *2704:72 *22435:C1 7.98501e-05 +37 *2784:42 *3388:13 2.68045e-05 +38 *2833:57 *22435:C1 7.7437e-05 +39 *2844:67 *3388:13 2.63411e-05 +40 *2943:63 *22435:C1 4.19864e-05 +41 *3004:15 *3388:13 0.000133572 +42 *3004:16 *3388:14 0.000303002 +43 *3023:17 *22435:C1 0.000206464 +44 *3028:30 *22435:C1 0.000333564 +45 *3106:100 *3388:13 0.00032029 +46 *3131:70 *3388:13 0.000137763 +47 *3131:77 *3388:13 2.73563e-05 +48 *3131:109 *3388:13 0.00013158 +49 *3134:62 *22435:C1 0.0019127 +50 *3134:84 *3388:13 1.11717e-05 +*RES +1 *22434:X *3388:7 18.9094 +2 *3388:7 *3388:13 41.2276 +3 *3388:13 *3388:14 68.2723 +4 *3388:14 *22435:C1 31.9494 +*END + +*D_NET *3389 0.00350092 +*CONN +*I *22438:C I *D sky130_fd_sc_hd__and4_1 +*I *22435:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22438:C 0.000916898 +2 *22435:X 0.000916898 +3 *22438:C *3671:83 0.000154145 +4 *1560:62 *22438:C 0.000263289 +5 *1739:58 *22438:C 5.65931e-05 +6 *3023:17 *22438:C 0.000487161 +7 *3134:47 *22438:C 8.29362e-05 +8 *3164:54 *22438:C 0.000200181 +9 *3361:12 *22438:C 0.000264362 +10 *3385:19 *22438:C 0.000158451 +*RES +1 *22435:X *22438:C 47.1309 +*END + +*D_NET *3390 0.00802269 +*CONN +*I *22437:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22436:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22437:C1 0.000432996 +2 *22436:X 0.00161617 +3 *3390:11 0.00204917 +4 *3390:11 *22733:A2 0.00010914 +5 *3390:11 *22743:D 0.000112673 +6 *3390:11 *3671:95 0.000441147 +7 *21789:C1 *3390:11 9.59075e-05 +8 *22419:B2 *22437:C1 7.21868e-05 +9 *22420:A2 *22437:C1 6.87762e-05 +10 *22420:B1 *22437:C1 1.98996e-05 +11 *22420:B2 *22437:C1 1.41307e-05 +12 *22420:C1 *22437:C1 6.08467e-05 +13 *22437:A1 *22437:C1 6.50586e-05 +14 *22437:A2 *22437:C1 0.000173466 +15 *22437:B1 *22437:C1 4.74699e-05 +16 *22464:A1 *3390:11 2.42632e-05 +17 *22731:A1 *3390:11 0.000109028 +18 *1544:98 *3390:11 0.00039442 +19 *1640:24 *22437:C1 9.51132e-06 +20 *2801:19 *22437:C1 0.000777741 +21 *2804:24 *22437:C1 2.04641e-05 +22 *3385:19 *3390:11 0.00130823 +*RES +1 *22436:X *3390:11 48.2771 +2 *3390:11 *22437:C1 32.6388 +*END + +*D_NET *3391 0.00145535 +*CONN +*I *22438:D I *D sky130_fd_sc_hd__and4_1 +*I *22437:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22438:D 0.000347076 +2 *22437:X 0.000347076 +3 *2804:24 *22438:D 0.000303366 +4 *3003:34 *22438:D 0.000230621 +5 *3162:62 *22438:D 0.000227211 +*RES +1 *22437:X *22438:D 35.7335 +*END + +*D_NET *3392 0.00353211 +*CONN +*I *22448:B I *D sky130_fd_sc_hd__nand3_4 +*I *22438:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22448:B 0 +2 *22438:X 0.000854428 +3 *3392:10 0.000854428 +4 *3392:10 *3401:10 0.000212306 +5 *22415:C1 *3392:10 8.61022e-05 +6 *1698:130 *3392:10 0.000933209 +7 *3114:51 *3392:10 0.000289037 +8 *3385:19 *3392:10 0.000302597 +*RES +1 *22438:X *3392:10 41.0181 +2 *3392:10 *22448:B 9.24915 +*END + +*D_NET *3393 0.00102737 +*CONN +*I *22440:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22439:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22440:C1 0.000262001 +2 *22439:X 0.000262001 +3 *3122:238 *22440:C1 0.000127946 +4 *3134:142 *22440:C1 0.000247443 +5 *3153:264 *22440:C1 0.000127976 +*RES +1 *22439:X *22440:C1 33.1026 +*END + +*D_NET *3394 0.0228833 +*CONN +*I *22447:A I *D sky130_fd_sc_hd__and4_1 +*I *22440:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22447:A 0.000554158 +2 *22440:X 0.000164531 +3 *3394:26 0.00251177 +4 *3394:8 0.00432828 +5 *3394:7 0.0025352 +6 *22447:A *3432:32 0.000475484 +7 *22447:A *3442:18 6.77948e-06 +8 *22447:A *3751:27 0.000278296 +9 *3394:8 *22484:C1 8.3897e-06 +10 *3394:8 *22773:C1 0.000101489 +11 *3394:8 *5904:77 6.22114e-05 +12 *3394:26 *3427:28 0.000649723 +13 *3394:26 *3432:32 9.22353e-05 +14 *3394:26 *3457:20 5.21943e-05 +15 *3394:26 *3509:60 0.000362073 +16 *3394:26 *3519:113 0.000559677 +17 *3394:26 *3519:130 0.000386046 +18 *3394:26 *3521:80 0.000130061 +19 *21944:A2 *3394:7 1.58551e-05 +20 *22440:A1 *3394:7 4.45999e-05 +21 *22481:A1 *3394:8 0.000957262 +22 *22808:B1 *3394:26 0.000471533 +23 *22809:B1 *3394:26 8.17753e-05 +24 *1585:34 *3394:8 0.000248561 +25 *1668:85 *3394:26 0.000450787 +26 *1698:130 *22447:A 0.000308438 +27 *1798:92 *3394:8 0.000237258 +28 *2274:63 *3394:26 0.000125938 +29 *2531:54 *3394:26 5.46889e-05 +30 *2543:79 *3394:8 3.13501e-05 +31 *2593:47 *3394:26 0.00045051 +32 *2618:18 *22447:A 3.27606e-06 +33 *2619:76 *22447:A 0.000126592 +34 *2619:83 *22447:A 2.39774e-05 +35 *2619:83 *3394:26 0.000750541 +36 *2662:37 *3394:8 0.000188838 +37 *2998:57 *22447:A 6.34651e-06 +38 *3029:33 *3394:26 0.000274146 +39 *3033:50 *3394:26 2.05082e-05 +40 *3114:160 *3394:8 0.000203569 +41 *3120:179 *3394:8 0.000572391 +42 *3128:87 *3394:26 5.35941e-05 +43 *3128:142 *3394:8 2.12653e-05 +44 *3148:226 *3394:26 0.000365628 +45 *3155:53 *22447:A 0.000337404 +46 *3190:58 *3394:8 0.00245096 +47 *3377:20 *3394:26 0.000747112 +*RES +1 *22440:X *3394:7 16.1364 +2 *3394:7 *3394:8 66.819 +3 *3394:8 *3394:26 45.8272 +4 *3394:26 *22447:A 31.1531 +*END + +*D_NET *3395 0.00105436 +*CONN +*I *22442:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22441:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22442:C1 0.00012685 +2 *22441:X 0.00012685 +3 *22442:A1 *22442:C1 0.000154145 +4 *22442:A2 *22442:C1 1.37531e-05 +5 *22442:B1 *22442:C1 8.05894e-05 +6 *22442:B2 *22442:C1 0.000109519 +7 *2932:38 *22442:C1 0.000437142 +8 *3007:19 *22442:C1 5.51483e-06 +*RES +1 *22441:X *22442:C1 24.2372 +*END + +*D_NET *3396 0.00161331 +*CONN +*I *22447:B I *D sky130_fd_sc_hd__and4_1 +*I *22442:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22447:B 0.000355447 +2 *22442:X 0.000355447 +3 *22447:B *3401:10 7.89747e-05 +4 *22447:B *3448:20 0.000114456 +5 *3007:19 *22447:B 0.000154145 +6 *3134:37 *22447:B 0.000443999 +7 *3196:71 *22447:B 0.000110844 +*RES +1 *22442:X *22447:B 37.3171 +*END + +*D_NET *3397 0.000367069 +*CONN +*I *22444:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22443:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22444:C1 7.96381e-05 +2 *22443:X 7.96381e-05 +3 *22443:B *22444:C1 0.000154145 +4 *22444:B1 *22444:C1 1.61631e-05 +5 *2603:104 *22444:C1 1.82679e-05 +6 *2771:15 *22444:C1 1.92172e-05 +*RES +1 *22443:X *22444:C1 20.8855 +*END + +*D_NET *3398 0.0253458 +*CONN +*I *22447:C I *D sky130_fd_sc_hd__and4_1 +*I *22444:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22447:C 2.57493e-05 +2 *22444:X 0.0048862 +3 *3398:17 0.00491195 +4 *22447:C *3442:14 3.63593e-05 +5 *3398:17 *22763:A2 0.00119774 +6 *3398:17 *3432:31 0.000463393 +7 *3398:17 *3457:20 0.00622003 +8 *3398:17 *3519:174 4.67357e-05 +9 *21992:B2 *3398:17 0.000211635 +10 *22047:A1 *3398:17 0.000404603 +11 *1605:46 *3398:17 0.000616848 +12 *1625:115 *3398:17 0.000401837 +13 *1725:75 *3398:17 0.000101394 +14 *1725:115 *3398:17 0.00303518 +15 *1725:144 *3398:17 0.00133665 +16 *2117:143 *3398:17 1.91391e-05 +17 *2567:35 *3398:17 1.91391e-05 +18 *2771:33 *3398:17 3.18581e-05 +19 *3014:82 *3398:17 0.000463364 +20 *3069:18 *3398:17 0.000219836 +21 *3114:66 *3398:17 0.000170404 +22 *3114:160 *3398:17 0.000171851 +23 *3114:180 *3398:17 8.52166e-05 +24 *3131:41 *3398:17 0.000225762 +25 *3155:36 *22447:C 3.63593e-05 +26 *3190:77 *3398:17 6.55666e-06 +*RES +1 *22444:X *3398:17 49.5887 +2 *3398:17 *22447:C 14.543 +*END + +*D_NET *3399 0.00298679 +*CONN +*I *22446:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22445:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22446:C1 0.000524327 +2 *22445:X 0.000524327 +3 *22445:B2 *22446:C1 7.14256e-05 +4 *1639:65 *22446:C1 2.43314e-05 +5 *1639:69 *22446:C1 6.08467e-05 +6 *1739:46 *22446:C1 0.000275272 +7 *1792:101 *22446:C1 0.00027672 +8 *2429:25 *22446:C1 0.000474491 +9 *2732:29 *22446:C1 4.82779e-06 +10 *2749:11 *22446:C1 5.73392e-05 +11 *3144:65 *22446:C1 6.08467e-05 +12 *3204:52 *22446:C1 6.08467e-05 +13 *3206:48 *22446:C1 0.000111504 +14 *3208:31 *22446:C1 0.000216322 +15 *3208:33 *22446:C1 0.000243362 +*RES +1 *22445:X *22446:C1 46.24 +*END + +*D_NET *3400 0.0071781 +*CONN +*I *22447:D I *D sky130_fd_sc_hd__and4_1 +*I *22446:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22447:D 0.000245776 +2 *22446:X 0 +3 *3400:8 0.00146335 +4 *3400:5 0.00121758 +5 *22447:D *3401:10 0.000307037 +6 *3400:8 *3401:10 0.0012309 +7 *19902:A *3400:8 8.96998e-05 +8 *1448:180 *3400:8 2.75175e-05 +9 *1698:130 *3400:8 0 +10 *1730:8 *3400:8 0.000220306 +11 *1730:75 *3400:8 0.000316268 +12 *3002:8 *3400:8 0.00203394 +13 *3134:37 *22447:D 2.57365e-05 +*RES +1 *22446:X *3400:5 13.7491 +2 *3400:5 *3400:8 46.1962 +3 *3400:8 *22447:D 13.3002 +*END + +*D_NET *3401 0.00459767 +*CONN +*I *22448:C I *D sky130_fd_sc_hd__nand3_4 +*I *22447:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22448:C 0 +2 *22447:X 0.00100956 +3 *3401:10 0.00100956 +4 *22415:C1 *3401:10 0.000206655 +5 *22447:B *3401:10 7.89747e-05 +6 *22447:D *3401:10 0.000307037 +7 *2619:76 *3401:10 0.000167017 +8 *3002:8 *3401:10 6.14756e-06 +9 *3114:51 *3401:10 0.000292462 +10 *3134:37 *3401:10 7.70516e-05 +11 *3392:10 *3401:10 0.000212306 +12 *3400:8 *3401:10 0.0012309 +*RES +1 *22447:X *3401:10 49.1866 +2 *3401:10 *22448:C 9.24915 +*END + +*D_NET *3402 0.00115418 +*CONN +*I *22450:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22449:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22450:C1 0.000204711 +2 *22449:X 0.000204711 +3 *22450:C1 *22778:A 6.08467e-05 +4 *22450:C1 *3543:192 5.84166e-05 +5 *22450:C1 *3717:14 0.000189928 +6 *21832:B1 *22450:C1 0.000187664 +7 *2837:49 *22450:C1 6.31996e-05 +8 *2838:79 *22450:C1 0 +9 *3155:116 *22450:C1 5.35941e-05 +10 *3164:112 *22450:C1 5.11466e-05 +11 *3168:97 *22450:C1 7.99654e-05 +*RES +1 *22449:X *22450:C1 38.7902 +*END + +*D_NET *3403 0.000838994 +*CONN +*I *22451:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22450:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22451:C1 0.000177862 +2 *22450:X 0.000177862 +3 *22451:C1 *22778:A 8.3506e-05 +4 *22451:C1 *22778:C 0.000107496 +5 *22449:A1 *22451:C1 6.08467e-05 +6 *22449:B2 *22451:C1 1.6107e-05 +7 *22450:A1 *22451:C1 0.000107496 +8 *22451:B1 *22451:C1 2.09033e-05 +9 *3157:126 *22451:C1 8.69165e-05 +*RES +1 *22450:X *22451:C1 33.0437 +*END + +*D_NET *3404 0.00122327 +*CONN +*I *22470:A I *D sky130_fd_sc_hd__nand3_4 +*I *22451:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22470:A 0.000305402 +2 *22451:X 0.000305402 +3 *22470:A *22470:C 8.79845e-05 +4 *1605:29 *22470:A 8.7004e-05 +5 *1744:197 *22470:A 0.000298399 +6 *1757:120 *22470:A 0.000139075 +*RES +1 *22451:X *22470:A 27.5649 +*END + +*D_NET *3405 0.000745845 +*CONN +*I *22453:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22452:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22453:C1 0.000222014 +2 *22452:X 0.000222014 +3 *22453:C1 *3498:24 1.5714e-05 +4 *21900:D *22453:C1 7.87365e-05 +5 *22453:B1 *22453:C1 1.01508e-05 +6 *22453:B2 *22453:C1 6.74053e-05 +7 *22475:A2 *22453:C1 2.58361e-05 +8 *22475:B2 *22453:C1 1.07037e-05 +9 *3117:74 *22453:C1 9.32704e-05 +*RES +1 *22452:X *22453:C1 32.1388 +*END + +*D_NET *3406 0.031615 +*CONN +*I *22460:A I *D sky130_fd_sc_hd__and4_1 +*I *6206:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22453:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22460:A 0 +2 *6206:DIODE 1.47608e-05 +3 *22453:X 0.000972107 +4 *3406:29 0.00268229 +5 *3406:14 0.00529556 +6 *3406:13 0.00312092 +7 *3406:10 0.00146499 +8 *6206:DIODE *22460:D 6.08467e-05 +9 *3406:13 *22797:D 0.000296893 +10 *3406:14 *22803:A2 0.000259664 +11 *3406:14 *3466:47 0.000247628 +12 *3406:14 *3496:36 6.60191e-06 +13 *3406:14 *3496:42 6.3481e-06 +14 *3406:14 *3496:52 0.00025433 +15 *3406:14 *3498:75 0.000139782 +16 *3406:14 *3498:90 0.000332498 +17 *3406:14 *3500:75 0.000686639 +18 *3406:14 *3519:93 5.62197e-06 +19 *3406:14 *3685:22 2.21559e-05 +20 *3406:14 *3734:18 1.67313e-05 +21 *3406:14 *3751:47 0.000163549 +22 *3406:29 *22460:D 0.000186597 +23 *3406:29 *22763:C1 1.8172e-05 +24 *3406:29 *3517:53 0.000709751 +25 *3406:29 *3523:74 0.000858612 +26 *3406:29 *3523:98 0.00166655 +27 *3406:29 *3705:17 0.000360587 +28 *19789:A *3406:29 0.000385579 +29 *19838:A *3406:14 0.000138911 +30 *21518:C1 *3406:14 0.000112551 +31 *21565:A2 *3406:29 6.69933e-05 +32 *21838:B1 *3406:29 0.000370686 +33 *21969:A1 *3406:14 2.57465e-06 +34 *22453:A1 *3406:10 1.03403e-05 +35 *22453:A2 *3406:10 1.41181e-05 +36 *22453:B1 *3406:10 1.08349e-05 +37 *22453:B2 *3406:10 8.03463e-05 +38 *22458:B1 *3406:13 0.000444584 +39 *22458:B1 *3406:14 2.77419e-05 +40 *22732:B1 *3406:14 6.89044e-05 +41 *22773:A1 *3406:29 1.58588e-05 +42 *22803:A1 *3406:14 0.000287143 +43 *24910:A *3406:29 0.00120043 +44 *1502:193 *3406:14 0.000153208 +45 *1510:117 *3406:14 0.000187226 +46 *1537:71 *3406:14 0.000145263 +47 *1542:127 *3406:14 0.000254039 +48 *1544:133 *3406:14 0.000448103 +49 *1570:15 *3406:29 0.000135955 +50 *1570:50 *3406:29 0.000569829 +51 *1605:29 *3406:29 4.24406e-05 +52 *1662:40 *3406:14 0.000305894 +53 *1708:70 *3406:29 2.31669e-05 +54 *1725:97 *3406:14 1.5714e-05 +55 *1730:113 *3406:29 0.000476903 +56 *1730:127 *3406:29 5.76913e-05 +57 *1778:10 *3406:14 0.00019928 +58 *1800:14 *3406:14 0.00011393 +59 *1800:33 *3406:14 0.000552583 +60 *2506:10 *3406:14 2.77478e-05 +61 *2510:73 *3406:14 2.22714e-05 +62 *2520:119 *3406:14 9.91028e-05 +63 *2547:12 *3406:10 0.000242815 +64 *2611:23 *3406:14 0.000162872 +65 *2810:61 *6206:DIODE 6.08467e-05 +66 *2810:61 *3406:29 0.000345048 +67 *2815:48 *3406:29 4.45999e-05 +68 *2836:20 *3406:29 0.00106816 +69 *2915:19 *3406:10 0.000724714 +70 *2954:74 *3406:29 0.000274475 +71 *2999:65 *3406:14 0.000274841 +72 *3018:8 *3406:10 0.000239404 +73 *3139:25 *3406:13 0.000817463 +74 *3139:27 *3406:13 4.69684e-05 +75 *3185:36 *3406:13 0.000394659 +*RES +1 *22453:X *3406:10 36.3278 +2 *3406:10 *3406:13 20.7523 +3 *3406:13 *3406:14 75.124 +4 *3406:14 *3406:29 30.9706 +5 *3406:29 *6206:DIODE 9.97254 +6 *3406:29 *22460:A 9.24915 +*END + +*D_NET *3407 0.0230973 +*CONN +*I *22455:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22454:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22455:C1 0.00250605 +2 *22454:X 0.00235068 +3 *3407:23 0.00485673 +4 *22455:C1 *22770:A2 0.000240642 +5 *22455:C1 *22794:A2 0.000768052 +6 *22455:C1 *3511:93 0.00114008 +7 *22455:C1 *3531:128 0.000101467 +8 *22455:C1 *3543:192 0.000300908 +9 *22455:C1 *3734:18 0.00220383 +10 *3407:23 *24497:RESET_B 0.000161043 +11 *3407:23 *3513:68 0.000225395 +12 *3407:23 *5295:10 4.42742e-06 +13 *20039:B1 *3407:23 9.20901e-06 +14 *21808:A *22455:C1 6.03122e-05 +15 *21825:A1 *22455:C1 5.60804e-05 +16 *21920:B2 *22455:C1 0.000224729 +17 *22407:A1 *3407:23 0.000447768 +18 *22757:A1 *3407:23 0.000269744 +19 *1435:105 *3407:23 0.00134387 +20 *1537:36 *3407:23 0.000636031 +21 *1604:68 *3407:23 0.00010753 +22 *1661:9 *3407:23 0.000130777 +23 *1706:46 *3407:23 0.000612394 +24 *1793:37 *3407:23 5.86188e-05 +25 *1795:29 *3407:23 1.01893e-05 +26 *2504:20 *3407:23 0.000156654 +27 *2521:27 *3407:23 0.000627513 +28 *2619:71 *3407:23 0.000114679 +29 *2685:42 *22455:C1 0.000120804 +30 *2703:60 *3407:23 5.37877e-06 +31 *2732:47 *22455:C1 0.00240511 +32 *2976:45 *3407:23 9.76309e-05 +33 *2994:55 *3407:23 2.40353e-05 +34 *3028:50 *3407:23 1.12314e-05 +35 *3131:41 *3407:23 2.90636e-05 +36 *3146:38 *3407:23 0.00010063 +37 *3146:65 *3407:23 7.82517e-05 +38 *3168:29 *3407:23 0.000261383 +39 *3168:78 *22455:C1 0.000214014 +40 *3175:87 *22455:C1 1.27402e-05 +41 *3175:110 *22455:C1 1.15904e-05 +*RES +1 *22454:X *3407:23 49.6539 +2 *3407:23 *22455:C1 34.0707 +*END + +*D_NET *3408 0.00271767 +*CONN +*I *22460:B I *D sky130_fd_sc_hd__and4_1 +*I *22455:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22460:B 0.000781754 +2 *22455:X 0.000781754 +3 *22460:B *3410:13 0.000345048 +4 *2828:47 *22460:B 0.000685162 +5 *3128:142 *22460:B 5.88009e-05 +6 *3190:58 *22460:B 6.51527e-05 +*RES +1 *22455:X *22460:B 40.8993 +*END + +*D_NET *3409 0.000798014 +*CONN +*I *22457:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22456:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22457:C1 0.000220202 +2 *22456:X 0.000220202 +3 *1554:17 *22457:C1 3.90779e-05 +4 *2790:7 *22457:C1 0.000209407 +5 *3146:78 *22457:C1 0.000109126 +*RES +1 *22456:X *22457:C1 32.1327 +*END + +*D_NET *3410 0.00490189 +*CONN +*I *22460:C I *D sky130_fd_sc_hd__and4_1 +*I *22457:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22460:C 0 +2 *22457:X 0.0012947 +3 *3410:13 0.0012947 +4 *3410:13 *22460:D 0.000394498 +5 *3410:13 *3452:6 2.55314e-05 +6 *22459:A1 *3410:13 0.00145113 +7 *22459:A2 *3410:13 1.05631e-05 +8 *22460:B *3410:13 0.000345048 +9 *1776:60 *3410:13 7.21753e-05 +10 *2810:61 *3410:13 1.35505e-05 +*RES +1 *22457:X *3410:13 43.3209 +2 *3410:13 *22460:C 9.24915 +*END + +*D_NET *3411 0.0487467 +*CONN +*I *22459:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22458:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *22459:C1 0 +2 *22458:X 0.00271586 +3 *3411:57 0.00405272 +4 *3411:42 0.00503496 +5 *3411:32 0.00181779 +6 *3411:29 0.00182904 +7 *3411:23 0.00216222 +8 *3411:16 0.00388458 +9 *3411:16 *22803:B2 0.000104919 +10 *3411:16 *3702:20 2.89691e-06 +11 *3411:16 *3734:18 5.91695e-05 +12 *3411:16 *5305:8 0.000264587 +13 *3411:16 *5674:45 0 +14 *3411:23 *21991:A 0.000141728 +15 *3411:29 *20640:A1 2.04806e-05 +16 *3411:29 *20641:A1 0.000155021 +17 *3411:29 *5858:141 9.67737e-05 +18 *3411:32 *4799:22 5.47601e-05 +19 *3411:32 *5856:194 0.000948761 +20 *3411:42 *4797:30 0.0016782 +21 *3411:42 *5909:38 1.81956e-05 +22 *3411:57 *20534:B1 0.00112649 +23 *3411:57 *4797:24 0.000361921 +24 *3411:57 *5919:40 0.000176377 +25 *20049:A1 *3411:16 0.00406266 +26 *20524:B2 *3411:57 0.000135183 +27 *20528:A2 *3411:42 6.30741e-05 +28 *21345:B2 *3411:29 0.000690915 +29 *21969:A1 *3411:16 6.21462e-05 +30 *22451:B2 *3411:57 1.5714e-05 +31 *22459:A1 *3411:57 6.3657e-05 +32 *22459:B1 *3411:57 6.08467e-05 +33 *24554:D *3411:42 0.000122844 +34 *1645:15 *3411:16 4.98467e-05 +35 *1645:49 *3411:16 0.000402262 +36 *1706:46 *3411:16 1.16182e-05 +37 *1732:50 *3411:57 2.44914e-05 +38 *1732:67 *3411:42 0.000187413 +39 *1732:67 *3411:57 0.000272841 +40 *1732:70 *3411:32 0.000600614 +41 *1732:70 *3411:42 9.00364e-06 +42 *1732:92 *3411:16 0.00018139 +43 *1740:125 *3411:42 6.70246e-05 +44 *1753:16 *3411:32 0.000780013 +45 *1784:55 *3411:42 0.000403159 +46 *1784:55 *3411:57 0.000155379 +47 *1784:58 *3411:57 0.000323853 +48 *1809:26 *3411:57 0.000181858 +49 *1986:10 *3411:57 8.46954e-05 +50 *2274:38 *3411:29 0.00109301 +51 *2505:65 *3411:23 0.000510746 +52 *2519:33 *3411:16 0.000211386 +53 *2521:9 *3411:23 0.00197045 +54 *2586:61 *3411:16 1.5714e-05 +55 *2604:50 *3411:57 0.000127666 +56 *2652:14 *3411:16 1.91246e-05 +57 *2750:10 *3411:57 0.000165481 +58 *2807:39 *3411:57 0.000900942 +59 *2810:60 *3411:57 0.00041692 +60 *2828:8 *3411:57 8.28603e-05 +61 *2836:8 *3411:29 0.000149101 +62 *2840:24 *3411:57 0.000122573 +63 *2852:8 *3411:32 4.46284e-06 +64 *2852:8 *3411:42 0.00173532 +65 *2993:33 *3411:32 0.000602357 +66 *2993:42 *3411:32 0 +67 *2994:55 *3411:16 9.32704e-05 +68 *3005:14 *3411:23 0.0006869 +69 *3028:50 *3411:16 5.01835e-05 +70 *3106:81 *3411:57 0.00158047 +71 *3106:100 *3411:57 0.000480054 +72 *3114:29 *3411:16 2.22897e-06 +73 *3131:66 *3411:57 6.21462e-05 +74 *3162:102 *3411:57 1.5714e-05 +75 *3168:7 *3411:16 6.36477e-05 +76 *3168:29 *3411:16 0.000734978 +77 *3368:27 *3411:57 8.18726e-05 +78 *3368:74 *3411:23 0.000106894 +79 *3373:48 *3411:23 0.000882559 +80 *3377:20 *3411:16 5.76913e-05 +*RES +1 *22458:X *3411:16 40.9524 +2 *3411:16 *3411:23 39.8017 +3 *3411:23 *3411:29 38.2821 +4 *3411:29 *3411:32 31.9866 +5 *3411:32 *3411:42 47.6872 +6 *3411:42 *3411:57 47.3178 +7 *3411:57 *22459:C1 9.24915 +*END + +*D_NET *3412 0.000952023 +*CONN +*I *22460:D I *D sky130_fd_sc_hd__and4_1 +*I *22459:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22460:D 0.000116603 +2 *22459:X 0.000116603 +3 *6206:DIODE *22460:D 6.08467e-05 +4 *2810:61 *22460:D 7.68753e-05 +5 *3406:29 *22460:D 0.000186597 +6 *3410:13 *22460:D 0.000394498 +*RES +1 *22459:X *22460:D 23.6585 +*END + +*D_NET *3413 0.000394264 +*CONN +*I *22470:B I *D sky130_fd_sc_hd__nand3_4 +*I *22460:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22470:B 0.000110494 +2 *22460:X 0.000110494 +3 *22773:A1 *22470:B 0.000117341 +4 *2954:74 *22470:B 5.59343e-05 +*RES +1 *22460:X *22470:B 30.1608 +*END + +*D_NET *3414 0.000688632 +*CONN +*I *22462:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22461:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22462:C1 0.000171729 +2 *22461:X 0.000171729 +3 *19848:B *22462:C1 0.00011884 +4 *3190:86 *22462:C1 0.000107496 +5 *3192:190 *22462:C1 5.17042e-05 +6 *3192:211 *22462:C1 6.71354e-05 +*RES +1 *22461:X *22462:C1 31.4388 +*END + +*D_NET *3415 0.0102878 +*CONN +*I *22469:A I *D sky130_fd_sc_hd__and4_1 +*I *22462:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22469:A 7.64801e-05 +2 *22462:X 0.000672827 +3 *3415:22 0.00169721 +4 *3415:14 0.00229356 +5 *22469:A *6208:DIODE 6.3657e-05 +6 *22469:A *22778:B 1.88152e-05 +7 *3415:14 *3419:12 0.000107364 +8 *3415:14 *3513:143 7.00554e-05 +9 *3415:22 *19813:A 0.000257801 +10 *3415:22 *22469:C 2.88045e-05 +11 *3415:22 *3419:12 4.55372e-05 +12 *3415:22 *3421:32 0.000430986 +13 *3415:22 *3461:15 8.71595e-06 +14 *3415:22 *3519:159 2.37478e-05 +15 *3415:22 *5904:70 3.54949e-06 +16 *3415:22 *5924:37 0.000536165 +17 *19780:A *3415:22 4.49912e-05 +18 *19790:B1 *3415:22 0.000127577 +19 *19821:C1 *3415:22 1.3857e-05 +20 *21832:B1 *3415:22 2.56328e-05 +21 *22047:A1 *3415:22 0.000256943 +22 *22048:A1 *3415:14 0.000379555 +23 *22048:A1 *3415:22 0.000553958 +24 *22422:B2 *3415:22 0.000154591 +25 *22509:A *3415:14 3.18679e-05 +26 *22773:B1 *3415:22 0.000121302 +27 *1435:306 *3415:22 9.10181e-05 +28 *1554:36 *3415:22 7.3964e-05 +29 *1570:106 *3415:22 3.04414e-05 +30 *1602:74 *3415:14 4.69204e-06 +31 *1690:132 *3415:22 0.000142574 +32 *1795:62 *3415:14 1.9101e-05 +33 *1809:17 *3415:22 0.000180988 +34 *2535:36 *3415:14 0.000200794 +35 *2565:36 *3415:14 0.000165773 +36 *2565:49 *3415:22 0.000123931 +37 *2661:13 *3415:14 0 +38 *2778:28 *3415:22 1.33054e-05 +39 *2778:35 *3415:22 2.26455e-05 +40 *2778:54 *22469:A 0.000159756 +41 *2783:56 *3415:22 4.25398e-05 +42 *2987:50 *3415:14 3.15979e-05 +43 *3057:29 *3415:14 0.000286677 +44 *3111:223 *3415:22 0.000642321 +45 *3131:93 *3415:22 4.69495e-06 +46 *3192:230 *3415:22 5.39635e-06 +*RES +1 *22462:X *3415:14 32.2701 +2 *3415:14 *3415:22 46.3052 +3 *3415:22 *22469:A 16.1364 +*END + +*D_NET *3416 0.000294772 +*CONN +*I *22464:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22463:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22464:C1 7.86527e-05 +2 *22463:X 7.86527e-05 +3 *22420:B2 *22464:C1 2.24607e-05 +4 *22464:B1 *22464:C1 3.5534e-06 +5 *2999:43 *22464:C1 0.000111453 +*RES +1 *22463:X *22464:C1 29.7455 +*END + +*D_NET *3417 0.0211819 +*CONN +*I *22469:B I *D sky130_fd_sc_hd__and4_1 +*I *22464:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22469:B 0.000867054 +2 *22464:X 0.00230959 +3 *3417:32 0.0025077 +4 *3417:29 0.00395024 +5 *22469:B *6208:DIODE 1.65872e-05 +6 *22469:B *22469:C 5.19132e-05 +7 *22469:B *22763:B2 0.000250588 +8 *22469:B *3421:32 1.5714e-05 +9 *22469:B *3474:115 9.25713e-05 +10 *22469:B *3527:84 7.71503e-05 +11 *3417:29 *22743:D 6.50586e-05 +12 *3417:29 *3702:20 0.00152474 +13 *3417:29 *5475:83 0.000339786 +14 *3417:29 *5476:51 0.000323095 +15 *3417:32 *22492:C 9.93325e-05 +16 *3417:32 *22763:A2 0.000152802 +17 *3417:32 *22763:B2 8.49406e-05 +18 *3417:32 *3466:82 0.000769075 +19 *3417:32 *3471:73 0.000384219 +20 *3417:32 *3474:111 0.000132895 +21 *3417:32 *3474:115 0.000272539 +22 *3417:32 *3476:71 1.48219e-05 +23 *3417:32 *4849:23 0.000101503 +24 *21455:A2 *3417:29 0.000226438 +25 *21617:A1 *3417:32 2.01653e-05 +26 *21851:B1 *3417:32 0.000606449 +27 *22488:A2 *3417:32 3.74542e-05 +28 *22727:A1 *3417:29 0.000454292 +29 *22762:B1 *22469:B 3.10262e-05 +30 *22773:B1 *22469:B 6.43666e-05 +31 *22781:B1 *3417:32 4.89441e-05 +32 *22790:A1 *3417:32 0.00044625 +33 *1645:31 *3417:29 1.5714e-05 +34 *1668:85 *3417:29 8.89506e-05 +35 *1786:65 *3417:29 0.000314534 +36 *2510:73 *3417:29 4.01595e-05 +37 *2661:30 *3417:32 5.19278e-06 +38 *2697:65 *3417:32 1.74351e-05 +39 *2746:43 *3417:29 0.000806333 +40 *2771:33 *22469:B 0.000150347 +41 *2778:54 *22469:B 3.48289e-05 +42 *2807:39 *22469:B 1.5714e-05 +43 *2851:48 *3417:32 2.38923e-05 +44 *2856:45 *22469:B 4.13347e-05 +45 *2866:21 *3417:29 0.00180334 +46 *2954:74 *22469:B 0.000363667 +47 *2994:55 *3417:29 8.22964e-06 +48 *2997:42 *3417:29 7.08723e-06 +49 *3139:75 *3417:29 0.00102123 +50 *3369:19 *3417:29 8.4651e-05 +*RES +1 *22464:X *3417:29 32.6743 +2 *3417:29 *3417:32 44.09 +3 *3417:32 *22469:B 35.9238 +*END + +*D_NET *3418 0.000616576 +*CONN +*I *22466:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22465:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22466:C1 0.000145797 +2 *22465:X 0.000145797 +3 *22510:A1 *22466:C1 4.52044e-06 +4 *1732:18 *22466:C1 0.000101971 +5 *2538:13 *22466:C1 0.000113107 +6 *2796:30 *22466:C1 0.000105382 +*RES +1 *22465:X *22466:C1 31.1906 +*END + +*D_NET *3419 0.00725252 +*CONN +*I *22469:C I *D sky130_fd_sc_hd__and4_1 +*I *22466:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22469:C 0.000428303 +2 *22466:X 0.00141131 +3 *3419:12 0.00183962 +4 *22469:C *6208:DIODE 6.08467e-05 +5 *22469:C *19813:A 0.00025272 +6 *3419:12 *3461:11 9.80242e-07 +7 *3419:12 *3513:143 2.43314e-05 +8 *3419:12 *3513:156 0.000154145 +9 *3419:12 *5904:70 0.000187793 +10 *22422:B2 *3419:12 0.000168328 +11 *22466:A2 *3419:12 1.41976e-05 +12 *22469:B *22469:C 5.19132e-05 +13 *22510:B2 *3419:12 6.71354e-05 +14 *22773:B1 *22469:C 0.000110222 +15 *1547:26 *22469:C 0.000105837 +16 *1561:88 *3419:12 0.000323501 +17 *1641:158 *3419:12 0.000100436 +18 *2565:49 *3419:12 0.000127341 +19 *2771:33 *22469:C 0.000200899 +20 *2771:33 *3419:12 0.000432324 +21 *2778:54 *22469:C 1.1718e-05 +22 *3111:223 *3419:12 0.000641698 +23 *3111:234 *3419:12 0.000348081 +24 *3131:93 *3419:12 7.12632e-06 +25 *3415:14 *3419:12 0.000107364 +26 *3415:22 *22469:C 2.88045e-05 +27 *3415:22 *3419:12 4.55372e-05 +*RES +1 *22466:X *3419:12 49.7474 +2 *3419:12 *22469:C 25.6524 +*END + +*D_NET *3420 0.000536209 +*CONN +*I *22468:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22467:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22468:C1 0.000136176 +2 *22467:X 0.000136176 +3 *2745:11 *22468:C1 6.64392e-05 +4 *2833:27 *22468:C1 6.3504e-06 +5 *2834:17 *22468:C1 0.000129292 +6 *2864:82 *22468:C1 1.28732e-05 +7 *2864:93 *22468:C1 1.5714e-05 +8 *2974:34 *22468:C1 3.31882e-05 +*RES +1 *22467:X *22468:C1 30.8842 +*END + +*D_NET *3421 0.0275401 +*CONN +*I *22469:D I *D sky130_fd_sc_hd__and4_1 +*I *6208:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22468:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22469:D 0 +2 *6208:DIODE 0.000192633 +3 *22468:X 0.00211028 +4 *3421:32 0.00362844 +5 *3421:17 0.00554609 +6 *3421:17 *3463:12 9.38345e-06 +7 *3421:17 *3699:23 6.55666e-06 +8 *3421:17 *3734:18 0.000438299 +9 *3421:32 *22742:A 0.000122161 +10 *3421:32 *3507:75 0.000469868 +11 *3421:32 *3529:80 0.0012036 +12 *3421:32 *3537:88 4.1236e-05 +13 *3421:32 *3699:23 0.00377174 +14 *3421:32 *3717:14 0.000158358 +15 *3421:32 *5924:37 0.000172186 +16 *21832:B1 *3421:32 0.00127032 +17 *21920:B2 *3421:17 0.000575321 +18 *21945:B1 *3421:32 0.00244363 +19 *22411:B1 *3421:17 0.000392389 +20 *22469:A *6208:DIODE 6.3657e-05 +21 *22469:B *6208:DIODE 1.65872e-05 +22 *22469:B *3421:32 1.5714e-05 +23 *22469:C *6208:DIODE 6.08467e-05 +24 *22773:B1 *3421:32 1.5714e-05 +25 *22807:A1 *3421:32 1.02986e-05 +26 *22808:A1 *3421:32 0.000315075 +27 *1454:38 *3421:17 3.46242e-06 +28 *1454:46 *3421:17 5.76913e-05 +29 *1537:36 *3421:32 0.000145164 +30 *1541:128 *3421:17 1.48603e-05 +31 *1605:29 *3421:32 6.23101e-05 +32 *1688:117 *3421:17 0 +33 *1766:82 *3421:17 1.55376e-05 +34 *2502:42 *3421:17 1.79334e-05 +35 *2548:10 *3421:32 8.87944e-05 +36 *2583:61 *3421:32 0.000314796 +37 *2608:62 *3421:17 6.03237e-05 +38 *2620:76 *3421:17 0.000662736 +39 *2732:47 *3421:17 2.28562e-05 +40 *2778:54 *6208:DIODE 1.91131e-05 +41 *2955:48 *3421:17 3.72195e-05 +42 *2964:59 *3421:17 9.8425e-05 +43 *3164:54 *3421:17 0.00105682 +44 *3166:36 *3421:17 1.93367e-05 +45 *3175:57 *3421:17 1.96557e-05 +46 *3361:12 *3421:32 0.00128009 +47 *3382:8 *3421:32 6.15922e-05 +48 *3415:22 *3421:32 0.000430986 +*RES +1 *22468:X *3421:17 29.0045 +2 *3421:17 *3421:32 49.761 +3 *3421:32 *6208:DIODE 12.191 +4 *3421:32 *22469:D 9.24915 +*END + +*D_NET *3422 0.000989752 +*CONN +*I *22470:C I *D sky130_fd_sc_hd__nand3_4 +*I *22469:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22470:C 0.000300637 +2 *22469:X 0.000300637 +3 *21832:B2 *22470:C 9.35069e-05 +4 *22470:A *22470:C 8.79845e-05 +5 *22773:B1 *22470:C 9.35069e-05 +6 *1605:29 *22470:C 6.08467e-05 +7 *1744:197 *22470:C 3.71311e-05 +8 *1744:204 *22470:C 1.55025e-05 +*RES +1 *22469:X *22470:C 35.0692 +*END + +*D_NET *3423 0.000807811 +*CONN +*I *22472:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22471:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22472:C1 0.000134915 +2 *22471:X 0.000134915 +3 *21777:C1 *22472:C1 0.000203595 +4 *2750:28 *22472:C1 0.000129764 +5 *2793:17 *22472:C1 7.13972e-05 +6 *3175:87 *22472:C1 0.000133225 +*RES +1 *22471:X *22472:C1 32.548 +*END + +*D_NET *3424 0.00350903 +*CONN +*I *22473:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22472:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22473:C1 0.000691434 +2 *22472:X 0.000691434 +3 *22473:C1 *22795:B2 0.000293886 +4 *22473:C1 *22796:D 0.000609196 +5 *22473:C1 *3507:47 0.000397644 +6 *22473:C1 *3507:117 0.000458997 +7 *22472:A1 *22473:C1 0.000154145 +8 *2793:17 *22473:C1 0.000154145 +9 *3162:102 *22473:C1 3.86702e-05 +10 *3164:95 *22473:C1 1.94751e-05 +*RES +1 *22472:X *22473:C1 49.8492 +*END + +*D_NET *3425 0.00154712 +*CONN +*I *22492:A I *D sky130_fd_sc_hd__nand3_4 +*I *22473:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22492:A 0.00058454 +2 *22473:X 0.00058454 +3 *22492:A *22491:B 8.79845e-05 +4 *22492:A *22492:C 0.000107496 +5 *21696:A1 *22492:A 5.63639e-06 +6 *22486:B2 *22492:A 4.2372e-05 +7 *1461:275 *22492:A 4.27731e-05 +8 *2851:26 *22492:A 9.17737e-05 +*RES +1 *22473:X *22492:A 37.6494 +*END + +*D_NET *3426 0.00133004 +*CONN +*I *22475:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22474:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22475:C1 3.20834e-05 +2 *22474:X 0.000297601 +3 *3426:14 9.68063e-05 +4 *3426:13 0.000362324 +5 *22783:B1 *22475:C1 1.94584e-05 +6 *22783:B1 *3426:13 0.000152164 +7 *1588:41 *22475:C1 1.94584e-05 +8 *2667:72 *3426:13 0.00013872 +9 *2693:25 *3426:13 0.000139947 +10 *2935:13 *3426:13 7.14746e-05 +*RES +1 *22474:X *3426:13 33.1348 +2 *3426:13 *3426:14 81.1229 +3 *3426:14 *22475:C1 19.2217 +*END + +*D_NET *3427 0.025796 +*CONN +*I *22482:A I *D sky130_fd_sc_hd__and4_1 +*I *22475:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22482:A 4.18251e-05 +2 *22475:X 0.00558914 +3 *3427:28 0.00563097 +4 *22482:A *3509:60 0.000154145 +5 *3427:28 *22514:A 0.000259409 +6 *3427:28 *22779:D 8.81948e-07 +7 *3427:28 *3432:17 1.206e-05 +8 *3427:28 *3457:20 4.45853e-05 +9 *3427:28 *3498:44 0.000125627 +10 *3427:28 *3521:59 2.01503e-05 +11 *3427:28 *3668:13 0.000108986 +12 *3427:28 *3705:17 0.000540432 +13 *3427:28 *4870:134 0.000122178 +14 *21565:A2 *3427:28 0.00106959 +15 *22475:B2 *3427:28 0.000158357 +16 *22727:A1 *3427:28 0.000520661 +17 *22767:A1 *3427:28 0.000112004 +18 *543:12 *3427:28 5.35941e-05 +19 *545:23 *3427:28 0.0016486 +20 *547:21 *3427:28 5.60804e-05 +21 *1510:99 *3427:28 0.000853275 +22 *1541:169 *3427:28 0.000494737 +23 *1544:108 *3427:28 0.0021223 +24 *1678:69 *3427:28 0.00108581 +25 *1708:70 *3427:28 0.000330741 +26 *1784:166 *22482:A 6.39068e-05 +27 *2593:47 *3427:28 0.000789156 +28 *2667:86 *3427:28 5.01835e-05 +29 *2675:63 *3427:28 8.43022e-06 +30 *2675:68 *3427:28 1.43499e-05 +31 *2729:121 *3427:28 0.000292209 +32 *2746:43 *3427:28 8.21307e-05 +33 *2997:14 *3427:28 0.00106941 +34 *3114:83 *3427:28 0.00117429 +35 *3114:137 *3427:28 0.000159964 +36 *3128:142 *3427:28 1.66626e-05 +37 *3148:226 *3427:28 0.000172494 +38 *3164:15 *3427:28 6.88865e-05 +39 *3175:219 *3427:28 1.97655e-05 +40 *3185:30 *3427:28 0 +41 *3377:20 *3427:28 8.3051e-06 +42 *3394:26 *3427:28 0.000649723 +*RES +1 *22475:X *3427:28 49.2779 +2 *3427:28 *22482:A 11.0817 +*END + +*D_NET *3428 0.0167174 +*CONN +*I *22477:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22476:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22477:C1 0.000826806 +2 *22476:X 0.000689962 +3 *3428:23 0.00189782 +4 *3428:14 0.00263455 +5 *3428:10 0.0022535 +6 *22477:C1 *22482:C 3.99287e-05 +7 *22477:C1 *3533:217 0.000105599 +8 *3428:10 *5295:10 0.000112148 +9 *3428:14 *22735:B2 0.000209688 +10 *3428:14 *22757:A2 0.000166909 +11 *3428:14 *22757:B2 8.6297e-06 +12 *3428:14 *22806:B2 5.57273e-05 +13 *3428:14 *3527:95 0.000347084 +14 *3428:23 *22811:C1 0.00010322 +15 *3428:23 *3533:227 0.000858117 +16 *3428:23 *3533:235 0.000456664 +17 *3428:23 *4870:134 9.58129e-05 +18 *3428:23 *5681:7 1.54703e-05 +19 *20017:A *3428:14 1.20451e-05 +20 *22435:A1 *3428:14 0.000278728 +21 *22477:B1 *22477:C1 1.04638e-05 +22 *22479:A1 *22477:C1 6.17318e-05 +23 *22479:A2 *22477:C1 3.81793e-05 +24 *22479:B1 *22477:C1 2.29453e-05 +25 *22479:B2 *22477:C1 7.75273e-06 +26 *22498:B2 *3428:14 2.24955e-05 +27 *22739:A1 *3428:14 0.000130395 +28 *22757:A1 *3428:14 7.53342e-05 +29 *22806:B1 *3428:14 5.80216e-05 +30 *23924:B *3428:23 3.91944e-05 +31 *1435:105 *3428:14 3.58315e-06 +32 *1515:91 *3428:23 0.000253916 +33 *1604:68 *3428:10 0.000619765 +34 *1604:68 *3428:14 0.000785229 +35 *1604:91 *3428:23 0 +36 *1604:100 *22477:C1 5.14046e-05 +37 *1604:100 *3428:23 5.04829e-06 +38 *1677:172 *3428:14 7.52574e-06 +39 *1723:114 *22477:C1 2.45002e-05 +40 *1772:22 *22477:C1 3.6764e-05 +41 *1772:25 *3428:23 5.481e-05 +42 *2522:32 *22477:C1 0.000111856 +43 *2561:47 *3428:23 0.000258128 +44 *2571:15 *3428:23 0.000373108 +45 *2614:55 *22477:C1 0.000167476 +46 *2649:41 *22477:C1 0.00050556 +47 *2723:19 *22477:C1 4.51823e-05 +48 *2912:23 *3428:10 0.000359297 +49 *2933:40 *3428:14 6.93903e-05 +50 *2943:52 *3428:10 3.27606e-06 +51 *2943:52 *3428:14 5.08914e-05 +52 *2976:45 *3428:10 0.000190611 +53 *3003:57 *3428:14 0.0001039 +54 *3028:50 *3428:14 6.31317e-05 +55 *3146:65 *22477:C1 4.0605e-06 +56 *3146:65 *3428:23 0.000177791 +57 *3168:29 *3428:14 0.000376155 +58 *3179:103 *3428:14 0.000380153 +*RES +1 *22476:X *3428:10 31.3691 +2 *3428:10 *3428:14 48.0648 +3 *3428:14 *3428:23 46.1307 +4 *3428:23 *22477:C1 34.0648 +*END + +*D_NET *3429 0.00198658 +*CONN +*I *22482:B I *D sky130_fd_sc_hd__and4_1 +*I *22477:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22482:B 0.000577749 +2 *22477:X 0.000577749 +3 *22482:B *22796:B 2.41274e-06 +4 *22482:B *22796:C 0.000161234 +5 *22482:B *3507:47 0.000141353 +6 *22482:B *3509:60 0.000170699 +7 *22482:B *3734:18 8.02582e-05 +8 *1762:86 *22482:B 7.39697e-05 +9 *2536:28 *22482:B 3.17436e-05 +10 *2649:51 *22482:B 2.20457e-05 +11 *2649:64 *22482:B 4.35741e-05 +12 *2959:18 *22482:B 4.15201e-05 +13 *3148:226 *22482:B 6.22732e-05 +*RES +1 *22477:X *22482:B 42.5784 +*END + +*D_NET *3430 0.00117252 +*CONN +*I *22479:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22478:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22479:C1 0.000254568 +2 *22478:X 0.000254568 +3 *22479:C1 *3533:217 4.6012e-05 +4 *1546:42 *22479:C1 0.000110297 +5 *1723:114 *22479:C1 8.3897e-06 +6 *1776:15 *22479:C1 0.000265901 +7 *2723:19 *22479:C1 7.70172e-06 +8 *3131:45 *22479:C1 0.000225079 +*RES +1 *22478:X *22479:C1 34.7608 +*END + +*D_NET *3431 0.0052523 +*CONN +*I *22482:C I *D sky130_fd_sc_hd__and4_1 +*I *22479:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22482:C 0.00127105 +2 *22479:X 0.00127105 +3 *22482:C *22788:B2 0.000115313 +4 *22482:C *3452:21 0.000147052 +5 *22482:C *3513:121 5.87601e-05 +6 *22482:C *3519:159 0.000203701 +7 *22482:C *3521:59 0.00031295 +8 *22482:C *3531:84 1.68435e-05 +9 *22477:C1 *22482:C 3.99287e-05 +10 *22794:A1 *22482:C 0.000706559 +11 *1731:107 *22482:C 0.000224705 +12 *2723:19 *22482:C 0.000200794 +13 *2812:18 *22482:C 0.000376077 +14 *3114:160 *22482:C 7.40684e-06 +15 *3128:142 *22482:C 0.00030011 +*RES +1 *22479:X *22482:C 48.3687 +*END + +*D_NET *3432 0.0218227 +*CONN +*I *22481:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22480:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22481:C1 0.000357538 +2 *22480:X 0.00142867 +3 *3432:32 0.00188499 +4 *3432:31 0.00297229 +5 *3432:17 0.00287351 +6 *22481:C1 *22791:A2 2.77419e-05 +7 *22481:C1 *3521:59 3.27606e-06 +8 *3432:17 *22785:A2 6.50586e-05 +9 *3432:17 *22786:C1 0.000254541 +10 *3432:17 *3498:44 0.000125177 +11 *3432:17 *3500:50 3.55859e-05 +12 *3432:31 *3442:14 0.00104675 +13 *3432:31 *5475:83 6.03122e-05 +14 *3432:32 *3442:18 8.11918e-05 +15 *3432:32 *3442:20 0.00183397 +16 *3432:32 *3519:113 0.000551155 +17 *3432:32 *3519:130 0.000393142 +18 *21351:B1 *3432:17 2.78546e-05 +19 *21881:A2 *3432:17 0.000236669 +20 *22420:B1 *3432:31 4.54409e-05 +21 *22447:A *3432:32 0.000475484 +22 *22480:B1 *3432:17 5.04829e-06 +23 *22767:A1 *3432:17 9.4711e-05 +24 *23924:B *22481:C1 0.000302934 +25 *24910:A *22481:C1 0.000108986 +26 *1449:59 *3432:17 6.14729e-05 +27 *1496:75 *3432:17 0 +28 *1510:99 *3432:17 1.5714e-05 +29 *1563:72 *3432:17 0.000124942 +30 *1587:137 *3432:31 6.23101e-05 +31 *1678:69 *3432:17 4.20654e-06 +32 *1688:117 *22481:C1 0.000315255 +33 *1688:117 *3432:31 0 +34 *1698:130 *3432:31 0.00105043 +35 *1725:75 *3432:31 0.000363086 +36 *1725:115 *22481:C1 0.000316596 +37 *2274:63 *3432:32 0.000121186 +38 *2586:61 *3432:17 3.91685e-05 +39 *2618:18 *3432:31 5.60804e-05 +40 *2708:44 *22481:C1 0.000313814 +41 *2740:28 *3432:17 8.41325e-05 +42 *2745:19 *3432:17 0.000392809 +43 *2801:19 *3432:31 1.51338e-05 +44 *2895:21 *3432:17 0.00117021 +45 *2895:33 *3432:17 5.51483e-06 +46 *2950:125 *3432:31 7.25491e-05 +47 *2998:57 *3432:32 0.000206147 +48 *3014:82 *3432:31 9.2243e-05 +49 *3029:33 *3432:32 0.000269682 +50 *3114:66 *3432:31 0.000473395 +51 *3128:87 *3432:32 0.000132548 +52 *3128:133 *3432:32 7.22263e-05 +53 *3162:87 *22481:C1 1.2977e-05 +54 *3175:43 *3432:31 1.43499e-05 +55 *3175:219 *22481:C1 0.000104754 +56 *3394:26 *3432:32 9.22353e-05 +57 *3398:17 *3432:31 0.000463393 +58 *3427:28 *3432:17 1.206e-05 +*RES +1 *22480:X *3432:17 46.758 +2 *3432:17 *3432:31 36.2164 +3 *3432:31 *3432:32 47.5097 +4 *3432:32 *22481:C1 23.4053 +*END + +*D_NET *3433 0.000971144 +*CONN +*I *22482:D I *D sky130_fd_sc_hd__and4_1 +*I *22481:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22482:D 0.000249978 +2 *22481:X 0.000249978 +3 *22482:D *22491:B 5.04829e-06 +4 *22482:D *22492:B 0.000158451 +5 *22482:D *3442:20 0.000117961 +6 *22482:D *3509:60 6.3657e-05 +7 *22481:A1 *22482:D 4.07616e-05 +8 *1784:166 *22482:D 2.16608e-05 +9 *2667:122 *22482:D 6.36477e-05 +*RES +1 *22481:X *22482:D 33.826 +*END + +*D_NET *3434 0.000722529 +*CONN +*I *22492:B I *D sky130_fd_sc_hd__nand3_4 +*I *22482:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22492:B 0.000155079 +2 *22482:X 0.000155079 +3 *22492:B *22491:B 1.34424e-05 +4 *22492:B *22492:C 0.000101063 +5 *22482:D *22492:B 0.000158451 +6 *1784:166 *22492:B 0.000139414 +*RES +1 *22482:X *22492:B 24.0202 +*END + +*D_NET *3435 0.00112094 +*CONN +*I *22484:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22483:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22484:C1 0.000273318 +2 *22483:X 0.000273318 +3 *22484:C1 *4849:33 0.000154145 +4 *3128:142 *22484:C1 0.000218506 +5 *3190:58 *22484:C1 0.000193266 +6 *3394:8 *22484:C1 8.3897e-06 +*RES +1 *22483:X *22484:C1 34.0697 +*END + +*D_NET *3436 0.00183193 +*CONN +*I *22491:A I *D sky130_fd_sc_hd__and4_1 +*I *22484:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22491:A 0.000524628 +2 *22484:X 0.000524628 +3 *22491:A *22488:C1 5.8518e-05 +4 *19808:B *22491:A 0.000252792 +5 *22481:A1 *22491:A 0 +6 *22780:B1 *22491:A 0.000244534 +7 *1435:197 *22491:A 3.23649e-05 +8 *1461:275 *22491:A 0.00017167 +9 *2655:64 *22491:A 2.27938e-05 +*RES +1 *22484:X *22491:A 39.7116 +*END + +*D_NET *3437 0.000924422 +*CONN +*I *22486:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22485:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22486:C1 0.000171964 +2 *22485:X 0.000171964 +3 *22486:C1 *3474:79 0.000290247 +4 *22486:C1 *3476:71 0.000290247 +*RES +1 *22485:X *22486:C1 33.4828 +*END + +*D_NET *3438 0.00325147 +*CONN +*I *22491:B I *D sky130_fd_sc_hd__and4_1 +*I *22486:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22491:B 0.00103025 +2 *22486:X 0.00103025 +3 *22491:B *22491:D 0.000253916 +4 *22491:B *22492:C 0.000395985 +5 *22491:B *3442:20 9.80784e-05 +6 *21696:A1 *22491:B 7.92757e-06 +7 *21707:B1 *22491:B 6.36477e-05 +8 *22481:A1 *22491:B 9.82654e-05 +9 *22482:D *22491:B 5.04829e-06 +10 *22486:A1 *22491:B 6.08467e-05 +11 *22486:B1 *22491:B 7.03364e-05 +12 *22486:B2 *22491:B 2.87258e-05 +13 *22492:A *22491:B 8.79845e-05 +14 *22492:B *22491:B 1.34424e-05 +15 *1784:166 *22491:B 6.7671e-06 +*RES +1 *22486:X *22491:B 46.9141 +*END + +*D_NET *3439 0.00119411 +*CONN +*I *22488:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22487:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22488:C1 0.000265605 +2 *22487:X 0.000265605 +3 *22488:C1 *3531:73 0.000192967 +4 *22488:C1 *5593:46 0.00019302 +5 *21617:A1 *22488:C1 0.000110297 +6 *22491:A *22488:C1 5.8518e-05 +7 *1461:275 *22488:C1 5.01835e-05 +8 *2655:64 *22488:C1 2.41066e-05 +9 *2660:113 *22488:C1 1.88152e-05 +10 *2851:26 *22488:C1 1.49935e-05 +*RES +1 *22487:X *22488:C1 38.7661 +*END + +*D_NET *3440 0.00204206 +*CONN +*I *22491:C I *D sky130_fd_sc_hd__and4_1 +*I *22488:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22491:C 0.00049407 +2 *22488:X 0.00049407 +3 *22488:B2 *22491:C 0.000200794 +4 *1461:275 *22491:C 0.000417793 +5 *2851:26 *22491:C 0.00043533 +*RES +1 *22488:X *22491:C 39.6073 +*END + +*D_NET *3441 0.00125752 +*CONN +*I *22490:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22489:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22490:C1 0.000424501 +2 *22489:X 0.000424501 +3 *22446:B1 *22490:C1 4.15902e-05 +4 *22489:A1 *22490:C1 2.16355e-05 +5 *22489:B2 *22490:C1 0.000107496 +6 *22490:A2 *22490:C1 2.77564e-05 +7 *22490:B1 *22490:C1 3.5534e-06 +8 *1808:11 *22490:C1 0.000111722 +9 *2749:11 *22490:C1 1.00981e-05 +10 *2749:38 *22490:C1 1.89572e-05 +11 *3003:24 *22490:C1 8.2117e-06 +12 *3208:31 *22490:C1 5.74984e-05 +*RES +1 *22489:X *22490:C1 34.9058 +*END + +*D_NET *3442 0.0177852 +*CONN +*I *22491:D I *D sky130_fd_sc_hd__and4_1 +*I *22490:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22491:D 0.00013474 +2 *22490:X 0.000127472 +3 *3442:20 0.00171763 +4 *3442:18 0.00219511 +5 *3442:14 0.00216091 +6 *3442:7 0.00167616 +7 *3442:18 *3448:20 0.000232676 +8 *3442:20 *22504:A 0.000747464 +9 *3442:20 *3448:20 1.53273e-05 +10 *3442:20 *3517:83 0.000225317 +11 *19973:B *3442:14 1.89644e-05 +12 *21696:A1 *22491:D 0.000136298 +13 *22426:B *3442:14 0.000581901 +14 *22437:A2 *3442:14 2.68104e-05 +15 *22447:A *3442:18 6.77948e-06 +16 *22447:C *3442:14 3.63593e-05 +17 *22481:A1 *3442:20 2.86584e-05 +18 *22482:D *3442:20 0.000117961 +19 *22491:B *22491:D 0.000253916 +20 *22491:B *3442:20 9.80784e-05 +21 *1697:52 *3442:14 1.49412e-05 +22 *1697:75 *3442:14 5.01722e-05 +23 *1698:130 *3442:14 0.000120727 +24 *1698:130 *3442:18 5.20056e-05 +25 *1722:76 *3442:18 0.000219184 +26 *1735:78 *3442:14 1.5714e-05 +27 *1735:175 *3442:14 0.000410397 +28 *2613:114 *3442:20 0.000117647 +29 *2618:18 *3442:18 3.73224e-05 +30 *2667:122 *3442:20 0.00013013 +31 *2697:65 *3442:14 0.000835816 +32 *2700:26 *3442:14 0.000126533 +33 *2959:43 *3442:14 2.65397e-05 +34 *2998:57 *3442:18 0.000217227 +35 *3114:66 *3442:14 6.16319e-05 +36 *3128:87 *3442:20 0.000349786 +37 *3128:99 *3442:14 0.000501917 +38 *3128:99 *3442:18 0.00011782 +39 *3128:133 *3442:20 3.38896e-05 +40 *3144:35 *3442:7 5.481e-05 +41 *3155:36 *3442:14 3.33671e-05 +42 *3155:36 *3442:18 0.000126231 +43 *3190:55 *3442:20 0.000630981 +44 *3432:31 *3442:14 0.00104675 +45 *3432:32 *3442:18 8.11918e-05 +46 *3432:32 *3442:20 0.00183397 +*RES +1 *22490:X *3442:7 15.5817 +2 *3442:7 *3442:14 48.3158 +3 *3442:14 *3442:18 16.891 +4 *3442:18 *3442:20 49.7936 +5 *3442:20 *22491:D 18.3789 +*END + +*D_NET *3443 0.00124455 +*CONN +*I *22492:C I *D sky130_fd_sc_hd__nand3_4 +*I *22491:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22492:C 0.000205869 +2 *22491:X 0.000205869 +3 *22492:C *22763:B2 2.77564e-05 +4 *22492:C *3471:73 7.86728e-05 +5 *22486:B2 *22492:C 1.1718e-05 +6 *22491:B *22492:C 0.000395985 +7 *22492:A *22492:C 0.000107496 +8 *22492:B *22492:C 0.000101063 +9 *1784:166 *22492:C 1.07885e-05 +10 *3417:32 *22492:C 9.93325e-05 +*RES +1 *22491:X *22492:C 34.9299 +*END + +*D_NET *3444 0.00156747 +*CONN +*I *22494:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22493:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22494:C1 0.000235519 +2 *22493:X 0.000235519 +3 *22494:C1 *3507:75 0.000117916 +4 *22494:C1 *3511:104 3.09152e-06 +5 *22405:A2 *22494:C1 7.92757e-06 +6 *22493:A2 *22494:C1 0.000111802 +7 *22493:B2 *22494:C1 0.000158451 +8 *22494:A2 *22494:C1 9.90819e-05 +9 *1603:81 *22494:C1 4.31703e-05 +10 *1722:40 *22494:C1 0.000158451 +11 *2666:8 *22494:C1 1.34424e-05 +12 *3155:77 *22494:C1 0.000110306 +13 *3155:80 *22494:C1 3.88655e-06 +14 *3166:61 *22494:C1 0.000139693 +15 *3166:82 *22494:C1 6.4135e-05 +16 *3361:11 *22494:C1 6.50727e-05 +*RES +1 *22493:X *22494:C1 36.4597 +*END + +*D_NET *3445 0.00249373 +*CONN +*I *22495:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22494:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22495:C1 0.00073904 +2 *22494:X 0.00073904 +3 *22494:A2 *22495:C1 6.22259e-05 +4 *22799:B1 *22495:C1 1.82679e-05 +5 *1603:81 *22495:C1 3.45333e-05 +6 *2708:44 *22495:C1 8.45727e-05 +7 *3058:49 *22495:C1 6.47359e-05 +8 *3164:55 *22495:C1 4.49767e-05 +9 *3164:76 *22495:C1 0.000706336 +*RES +1 *22494:X *22495:C1 45.4597 +*END + +*D_NET *3446 0.00221097 +*CONN +*I *22514:A I *D sky130_fd_sc_hd__nand3_4 +*I *22495:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22514:A 0.000563562 +2 *22495:X 0.000563562 +3 *22514:A *3466:56 2.02035e-05 +4 *22514:A *3517:83 1.34424e-05 +5 *22514:A *3517:96 0.000256037 +6 *22514:A *4870:134 0.000294664 +7 *22809:A1 *22514:A 6.11872e-05 +8 *2851:26 *22514:A 2.02035e-05 +9 *2997:14 *22514:A 1.17286e-05 +10 *3058:49 *22514:A 3.38973e-05 +11 *3128:87 *22514:A 9.76262e-05 +12 *3196:108 *22514:A 1.54479e-05 +13 *3427:28 *22514:A 0.000259409 +*RES +1 *22495:X *22514:A 42.8013 +*END + +*D_NET *3447 0.000996456 +*CONN +*I *22497:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22496:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22497:C1 0.000220205 +2 *22496:X 0.000220205 +3 *2667:93 *22497:C1 0.0001454 +4 *2935:8 *22497:C1 0.000148997 +5 *3134:20 *22497:C1 0.000261648 +*RES +1 *22496:X *22497:C1 33.1026 +*END + +*D_NET *3448 0.0186272 +*CONN +*I *22504:A I *D sky130_fd_sc_hd__and4_1 +*I *22497:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22504:A 0.000651005 +2 *22497:X 0.000216017 +3 *3448:20 0.00182042 +4 *3448:9 0.00393912 +5 *3448:8 0.00298572 +6 *22504:A *22514:B 0.000247443 +7 *22504:A *22755:B2 4.25507e-05 +8 *22504:A *3519:113 0.000272792 +9 *3448:20 *3678:21 4.60221e-06 +10 *21560:A2 *3448:20 0.000168315 +11 *21560:B2 *3448:20 0.000257511 +12 *21565:D1 *3448:20 6.12414e-06 +13 *22447:B *3448:20 0.000114456 +14 *22464:B1 *3448:20 5.88009e-05 +15 *1471:226 *22504:A 0.000530139 +16 *1723:24 *3448:20 5.4678e-05 +17 *2285:23 *3448:20 4.75654e-05 +18 *2529:24 *3448:8 0.000319935 +19 *2613:114 *3448:20 0.000114237 +20 *2851:24 *3448:20 0.000740154 +21 *2999:43 *3448:20 7.19791e-06 +22 *3058:49 *22504:A 2.77625e-06 +23 *3124:62 *3448:8 0.000315011 +24 *3126:154 *3448:9 0.00331478 +25 *3128:87 *22504:A 0.000187919 +26 *3128:98 *22504:A 4.85108e-05 +27 *3128:99 *3448:20 0.00016294 +28 *3146:29 *3448:9 1.37531e-05 +29 *3146:38 *3448:9 0.000589679 +30 *3155:62 *22504:A 0.000250244 +31 *3196:60 *3448:20 4.45511e-05 +32 *3196:71 *3448:20 6.98247e-05 +33 *3369:19 *3448:20 3.29488e-05 +34 *3442:18 *3448:20 0.000232676 +35 *3442:20 *22504:A 0.000747464 +36 *3442:20 *3448:20 1.53273e-05 +*RES +1 *22497:X *3448:8 25.0642 +2 *3448:8 *3448:9 45.6463 +3 *3448:9 *3448:20 42.7787 +4 *3448:20 *22504:A 35.7615 +*END + +*D_NET *3449 0.00100752 +*CONN +*I *22499:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22498:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22499:C1 0.000232237 +2 *22498:X 0.000232237 +3 *22499:B1 *22499:C1 4.0605e-06 +4 *2583:27 *22499:C1 4.4865e-05 +5 *2608:62 *22499:C1 0.000170967 +6 *2632:67 *22499:C1 6.3657e-05 +7 *3004:31 *22499:C1 0.000256917 +8 *3175:71 *22499:C1 2.57465e-06 +*RES +1 *22498:X *22499:C1 33.791 +*END + +*D_NET *3450 0.00184662 +*CONN +*I *22504:B I *D sky130_fd_sc_hd__and4_1 +*I *22499:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22504:B 0.000303218 +2 *22499:X 0.000303218 +3 *22504:B *22504:C 0.000207901 +4 *22504:B *3751:14 0.000189606 +5 *22495:B1 *22504:B 0.000110306 +6 *22503:A1 *22504:B 5.16341e-05 +7 *2708:44 *22504:B 0.000193204 +8 *3058:49 *22504:B 3.25683e-05 +9 *3155:62 *22504:B 0.000396003 +10 *3175:57 *22504:B 5.89592e-05 +*RES +1 *22499:X *22504:B 38.954 +*END + +*D_NET *3451 0.000537252 +*CONN +*I *22501:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22500:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22501:C1 0.000176861 +2 *22500:X 0.000176861 +3 *22501:C1 *24358:RESET_B 1.41976e-05 +4 *22434:B1 *22501:C1 3.30078e-05 +5 *22500:B1 *22501:C1 2.57847e-05 +6 *2785:75 *22501:C1 1.69394e-06 +7 *3059:18 *22501:C1 4.69495e-06 +8 *3131:112 *22501:C1 0.000104151 +*RES +1 *22500:X *22501:C1 31.0235 +*END + +*D_NET *3452 0.0184044 +*CONN +*I *22504:C I *D sky130_fd_sc_hd__and4_1 +*I *22501:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22504:C 0.000292751 +2 *22501:X 0 +3 *3452:21 0.00257495 +4 *3452:6 0.00404747 +5 *3452:5 0.00176527 +6 *3452:6 *3513:121 0.000198435 +7 *3452:6 *3513:143 0.00138769 +8 *3452:6 *3533:66 0.00018038 +9 *3452:21 *22788:B2 3.26582e-06 +10 *3452:21 *3509:136 0.000410746 +11 *3452:21 *3513:121 0.000167028 +12 *3452:21 *3529:99 0.000152287 +13 *3452:21 *3529:106 6.47258e-05 +14 *3452:21 *3531:84 9.67141e-05 +15 *3452:21 *5592:40 0.000250856 +16 *21536:A1 *3452:6 7.21753e-05 +17 *21548:B1 *3452:6 1.91246e-05 +18 *21808:A *3452:6 9.84424e-06 +19 *21825:B1 *3452:6 5.1493e-06 +20 *21944:B1 *3452:6 4.03281e-05 +21 *22459:A1 *3452:6 0.000403971 +22 *22482:C *3452:21 0.000147052 +23 *22504:B *22504:C 0.000207901 +24 *22808:A1 *3452:21 0.00105545 +25 *1537:150 *3452:6 6.50984e-05 +26 *1537:150 *3452:21 9.47867e-05 +27 *1537:171 *3452:6 7.15882e-06 +28 *1569:54 *3452:6 0.000205487 +29 *1581:26 *3452:6 4.24243e-05 +30 *1658:53 *3452:6 0.000155166 +31 *1689:168 *3452:6 1.2869e-05 +32 *1722:20 *3452:21 0.000184605 +33 *1722:40 *3452:21 0.000150121 +34 *1723:114 *3452:21 4.15082e-05 +35 *1753:16 *3452:21 0.000497721 +36 *1776:26 *3452:21 5.1493e-06 +37 *1776:44 *3452:21 1.64303e-05 +38 *1776:60 *3452:6 9.84864e-06 +39 *2543:38 *3452:21 0.00124264 +40 *2779:41 *3452:6 0.000411796 +41 *2807:19 *3452:6 0.000231352 +42 *2840:24 *3452:6 5.39635e-06 +43 *2852:49 *3452:6 0.000253331 +44 *2957:6 *3452:6 1.98097e-05 +45 *2998:18 *3452:21 0.000385149 +46 *3058:49 *22504:C 0.00025175 +47 *3155:62 *22504:C 1.65872e-05 +48 *3162:70 *22504:C 0.00012538 +49 *3162:87 *22504:C 6.53198e-05 +50 *3164:55 *22504:C 0.000163447 +51 *3164:76 *22504:C 0.000159447 +52 *3164:113 *3452:6 5.51167e-06 +53 *3410:13 *3452:6 2.55314e-05 +*RES +1 *22501:X *3452:5 13.7491 +2 *3452:5 *3452:6 50.2089 +3 *3452:6 *3452:21 48.4549 +4 *3452:21 *22504:C 26.6671 +*END + +*D_NET *3453 0.00616706 +*CONN +*I *22503:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22502:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22503:C1 0 +2 *22502:X 0.00226405 +3 *3453:8 0.00226405 +4 *3453:8 *3521:101 4.1798e-05 +5 *22503:B2 *3453:8 1.66771e-05 +6 *1515:101 *3453:8 0.000708868 +7 *3155:62 *3453:8 0.000109262 +8 *3196:108 *3453:8 0.00025175 +9 *3198:29 *3453:8 0.000455515 +10 *3198:33 *3453:8 5.50955e-05 +*RES +1 *22502:X *3453:8 49.4414 +2 *3453:8 *22503:C1 13.7491 +*END + +*D_NET *3454 0.00119856 +*CONN +*I *22504:D I *D sky130_fd_sc_hd__and4_1 +*I *22503:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22504:D 0.000303663 +2 *22503:X 0.000303663 +3 *22504:D *3521:101 0.000144917 +4 *22503:A1 *22504:D 0.000296893 +5 *22503:A2 *22504:D 1.58551e-05 +6 *22808:B1 *22504:D 1.04965e-05 +7 *3155:62 *22504:D 0.000123072 +*RES +1 *22503:X *22504:D 35.1817 +*END + +*D_NET *3455 0.000998521 +*CONN +*I *22514:B I *D sky130_fd_sc_hd__nand3_4 +*I *22504:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22514:B 0.00023095 +2 *22504:X 0.00023095 +3 *22514:B *22514:C 0.000106812 +4 *22504:A *22514:B 0.000247443 +5 *1471:226 *22514:B 2.14995e-05 +6 *3058:49 *22514:B 0.000160867 +*RES +1 *22504:X *22514:B 25.9493 +*END + +*D_NET *3456 0.000516283 +*CONN +*I *22506:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22505:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22506:C1 0.000149683 +2 *22505:X 0.000149683 +3 *22417:B1 *22506:C1 6.36477e-05 +4 *2671:26 *22506:C1 7.09666e-06 +5 *2956:62 *22506:C1 0.000123662 +6 *3162:115 *22506:C1 2.25096e-05 +*RES +1 *22505:X *22506:C1 30.8842 +*END + +*D_NET *3457 0.0241653 +*CONN +*I *22513:A I *D sky130_fd_sc_hd__and4_1 +*I *22506:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22513:A 0 +2 *22506:X 0.0045209 +3 *3457:20 0.0045209 +4 *3457:20 *3519:159 0.000956663 +5 *21783:B2 *3457:20 1.30143e-05 +6 *22418:A1 *3457:20 0.000215796 +7 *22503:B2 *3457:20 0.000137279 +8 *22506:B2 *3457:20 2.77564e-05 +9 *1561:111 *3457:20 0.000406009 +10 *1730:240 *3457:20 2.57863e-05 +11 *2548:41 *3457:20 0.000226662 +12 *2750:56 *3457:20 3.6574e-05 +13 *2883:32 *3457:20 1.28732e-05 +14 *3033:36 *3457:20 0.00105171 +15 *3033:50 *3457:20 0.00343717 +16 *3114:66 *3457:20 1.48618e-05 +17 *3114:83 *3457:20 0.00147395 +18 *3114:137 *3457:20 0.000141609 +19 *3128:87 *3457:20 1.91391e-05 +20 *3128:159 *3457:20 2.59431e-05 +21 *3153:249 *3457:20 0.000181468 +22 *3190:77 *3457:20 0.000195236 +23 *3192:211 *3457:20 0.000186243 +24 *3196:108 *3457:20 2.09205e-05 +25 *3394:26 *3457:20 5.21943e-05 +26 *3398:17 *3457:20 0.00622003 +27 *3427:28 *3457:20 4.45853e-05 +*RES +1 *22506:X *3457:20 45.5879 +2 *3457:20 *22513:A 13.7491 +*END + +*D_NET *3458 0.000594904 +*CONN +*I *22508:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22507:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22508:C1 0.000222672 +2 *22507:X 0.000222672 +3 *2509:23 *22508:C1 1.69574e-05 +4 *2851:24 *22508:C1 0.000107971 +5 *3128:98 *22508:C1 2.46319e-05 +*RES +1 *22507:X *22508:C1 31.5781 +*END + +*D_NET *3459 0.00173404 +*CONN +*I *22513:B I *D sky130_fd_sc_hd__and4_1 +*I *22508:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22513:B 0.000454405 +2 *22508:X 0.000454405 +3 *22513:B *3463:27 0.000225478 +4 *1537:36 *22513:B 0.000449741 +5 *2851:24 *22513:B 2.57465e-06 +6 *3128:98 *22513:B 5.87852e-05 +7 *3196:108 *22513:B 8.86481e-05 +*RES +1 *22508:X *22513:B 37.3973 +*END + +*D_NET *3460 0.00101203 +*CONN +*I *22510:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22509:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22510:C1 0.000240101 +2 *22509:X 0.000240101 +3 *19841:B1 *22510:C1 0.000250254 +4 *2603:104 *22510:C1 0.000142499 +5 *2883:32 *22510:C1 0.000139074 +*RES +1 *22509:X *22510:C1 33.1026 +*END + +*D_NET *3461 0.0212817 +*CONN +*I *22513:C I *D sky130_fd_sc_hd__and4_1 +*I *22510:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22513:C 0.000346914 +2 *22510:X 0.000338352 +3 *3461:26 0.00202031 +4 *3461:24 0.00282198 +5 *3461:15 0.00254557 +6 *3461:11 0.00173534 +7 *22513:C *4870:134 7.90348e-05 +8 *3461:11 *3513:156 1.00981e-05 +9 *3461:24 *3474:130 0.000410143 +10 *3461:24 *3476:98 0.00081867 +11 *3461:26 *22745:C1 1.94916e-05 +12 *3461:26 *3474:79 5.12669e-05 +13 *3461:26 *3474:111 0.000385144 +14 *3461:26 *3476:52 6.1578e-06 +15 *3461:26 *3476:98 1.31945e-05 +16 *6147:DIODE *3461:24 8.94611e-05 +17 *19789:A *3461:15 0.000113812 +18 *19808:B *3461:24 8.53582e-05 +19 *19821:B1 *3461:15 0.000113186 +20 *19821:C1 *3461:15 0.000127594 +21 *20040:C1 *3461:11 0.000154145 +22 *21776:A2 *3461:24 1.53148e-05 +23 *21776:A2 *3461:26 7.60356e-05 +24 *21776:B2 *3461:24 1.5714e-05 +25 *21832:B2 *3461:15 0.000111802 +26 *21851:A1 *3461:24 0.000385108 +27 *21851:A1 *3461:26 1.91697e-05 +28 *21851:A2 *3461:26 4.07255e-05 +29 *21851:B2 *3461:26 0.000241641 +30 *21851:C1 *3461:26 2.58814e-05 +31 *22466:A1 *3461:11 0.000158357 +32 *22466:A2 *3461:11 2.69435e-05 +33 *22486:A2 *3461:26 0.00135172 +34 *22488:A2 *3461:15 4.04556e-05 +35 *22488:B2 *3461:26 5.33881e-06 +36 *22781:A1 *3461:26 0.000142672 +37 *1435:306 *3461:15 0.00122794 +38 *1570:80 *3461:15 2.62332e-05 +39 *1570:80 *3461:24 0.000300291 +40 *1570:106 *3461:15 6.04912e-06 +41 *1573:207 *3461:15 1.20648e-05 +42 *1658:43 *3461:24 4.25398e-05 +43 *1690:132 *3461:15 3.09449e-05 +44 *1730:240 *22513:C 0.000581702 +45 *1809:17 *3461:15 2.26135e-05 +46 *2598:17 *22513:C 0.000280153 +47 *2708:47 *3461:26 9.22013e-06 +48 *2750:56 *3461:26 0.000205318 +49 *2778:28 *3461:15 0.00174648 +50 *2778:35 *3461:15 9.9655e-05 +51 *2778:35 *3461:24 2.39703e-05 +52 *2783:56 *3461:15 6.14756e-06 +53 *2850:56 *3461:24 1.82299e-05 +54 *2851:26 *22513:C 8.05608e-05 +55 *2856:45 *3461:24 0.000184828 +56 *3033:50 *3461:26 0.00134501 +57 *3196:108 *22513:C 7.46293e-05 +58 *3198:93 *3461:26 5.36536e-06 +59 *3415:22 *3461:15 8.71595e-06 +60 *3419:12 *3461:11 9.80242e-07 +*RES +1 *22510:X *3461:11 20.2115 +2 *3461:11 *3461:15 46.2285 +3 *3461:15 *3461:24 36.4134 +4 *3461:24 *3461:26 47.5097 +5 *3461:26 *22513:C 23.0024 +*END + +*D_NET *3462 0.000601315 +*CONN +*I *22512:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22511:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22512:C1 0.000135518 +2 *22511:X 0.000135518 +3 *22423:A1 *22512:C1 8.39941e-06 +4 *22511:B1 *22512:C1 0.000120613 +5 *22512:B1 *22512:C1 1.62258e-05 +6 *1638:11 *22512:C1 6.08467e-05 +7 *2833:21 *22512:C1 0.000124195 +*RES +1 *22511:X *22512:C1 31.0771 +*END + +*D_NET *3463 0.0152436 +*CONN +*I *22513:D I *D sky130_fd_sc_hd__and4_1 +*I *22512:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22513:D 0 +2 *22512:X 0.000151995 +3 *3463:27 0.00124719 +4 *3463:12 0.0032258 +5 *3463:11 0.0021306 +6 *3463:12 *3671:95 0.000473393 +7 *3463:27 *22754:B2 8.34464e-05 +8 *3463:27 *22755:A2 0.000236814 +9 *3463:27 *3523:49 0.000342614 +10 *3463:27 *3683:8 0.00032529 +11 *3463:27 *3700:10 7.86728e-05 +12 *3463:27 *5455:12 0.000852003 +13 *21992:A1 *3463:12 0.000287966 +14 *21992:B1 *3463:12 0.000165775 +15 *22407:B2 *3463:12 1.84334e-05 +16 *22429:B1 *3463:12 0.000195368 +17 *22429:B2 *3463:12 3.39389e-05 +18 *22429:C1 *3463:12 0 +19 *22468:A2 *3463:12 0.000629588 +20 *22476:A1 *3463:12 0 +21 *22512:B2 *3463:11 0.00015095 +22 *22513:B *3463:27 0.000225478 +23 *22727:A1 *3463:27 1.43499e-05 +24 *1502:177 *3463:27 0.000398169 +25 *1541:128 *3463:12 0 +26 *1688:118 *3463:12 0.000776274 +27 *1791:28 *3463:12 0.000123072 +28 *1791:39 *3463:12 0.000167015 +29 *1794:118 *3463:12 0.000284732 +30 *2274:63 *3463:27 0.000426174 +31 *2548:41 *3463:27 3.57508e-05 +32 *2851:24 *3463:27 0.000181437 +33 *2964:59 *3463:12 5.42081e-05 +34 *3002:26 *3463:27 1.41215e-05 +35 *3058:49 *3463:27 2.54579e-05 +36 *3162:70 *3463:27 3.70945e-05 +37 *3361:12 *3463:12 0.00184102 +38 *3421:17 *3463:12 9.38345e-06 +*RES +1 *22512:X *3463:11 16.8839 +2 *3463:11 *3463:12 61.2131 +3 *3463:12 *3463:27 43.7924 +4 *3463:27 *22513:D 9.24915 +*END + +*D_NET *3464 0.00333876 +*CONN +*I *22514:C I *D sky130_fd_sc_hd__nand3_4 +*I *22513:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22514:C 0.00105927 +2 *22513:X 0.00105927 +3 *22514:C *3466:47 1.61631e-05 +4 *22514:C *3466:56 0.000341892 +5 *22514:C *3474:58 7.09666e-06 +6 *22486:A2 *22514:C 0.000168711 +7 *22514:B *22514:C 0.000106812 +8 *1471:226 *22514:C 5.69208e-05 +9 *1471:230 *22514:C 0.000247896 +10 *2593:54 *22514:C 6.20642e-05 +11 *2746:43 *22514:C 3.56313e-05 +12 *3198:93 *22514:C 0.000177028 +*RES +1 *22513:X *22514:C 47.8946 +*END + +*D_NET *3465 0.000809731 +*CONN +*I *22517:A I *D sky130_fd_sc_hd__buf_6 +*I *22516:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22517:A 0.000225791 +2 *22516:X 0.000225791 +3 *20613:B *22517:A 0 +4 *1652:28 *22517:A 6.56365e-05 +5 *2256:62 *22517:A 5.22654e-06 +6 *3190:10 *22517:A 0.000287286 +*RES +1 *22516:X *22517:A 33.4828 +*END + +*D_NET *3466 0.0855696 +*CONN +*I *22691:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22619:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22601:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22529:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22709:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22673:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22636:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22655:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22745:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22763:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22781:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *22799:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22727:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22517:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22691:A2 1.56083e-05 +2 *22619:A2 0.00023663 +3 *22601:A2 0.00020276 +4 *22529:A2 0.000357553 +5 *22709:A2 0 +6 *22673:A2 0.000244783 +7 *22636:A2 0.0010596 +8 *22655:A2 0 +9 *22745:A2 1.26775e-05 +10 *22763:A2 0.00187573 +11 *22781:A2 9.86468e-05 +12 *22799:A2 5.74604e-05 +13 *22727:A2 0.00036413 +14 *22517:X 0.000454413 +15 *3466:228 0.00226273 +16 *3466:203 0.00219837 +17 *3466:201 0.00164844 +18 *3466:185 0.00212824 +19 *3466:173 0.00102213 +20 *3466:172 0.00114663 +21 *3466:145 0.00207276 +22 *3466:125 0.00268604 +23 *3466:82 0.00266458 +24 *3466:67 0.00088539 +25 *3466:56 0.00105747 +26 *3466:47 0.0044509 +27 *3466:21 0.00614243 +28 *3466:10 0.00342845 +29 *22529:A2 *22598:A 2.50167e-05 +30 *22529:A2 *3494:25 8.13025e-06 +31 *22529:A2 *3546:26 0.000195298 +32 *22601:A2 *22625:B1 1.77111e-05 +33 *22601:A2 *3569:13 0.000115778 +34 *22619:A2 *3474:166 0.000163327 +35 *22636:A2 *3543:16 1.05746e-05 +36 *22636:A2 *4820:18 1.91391e-05 +37 *22636:A2 *4821:76 0.00170797 +38 *22673:A2 *22673:B2 6.98337e-06 +39 *22673:A2 *22689:A 7.94462e-05 +40 *22691:A2 *22691:B2 6.12148e-06 +41 *22727:A2 *3471:51 3.99086e-06 +42 *22727:A2 *3471:60 9.02464e-05 +43 *22745:A2 *22745:B2 1.03424e-05 +44 *22763:A2 *22781:C1 9.80784e-05 +45 *22763:A2 *3519:159 0.000757878 +46 *22763:A2 *3529:80 0.000359006 +47 *22781:A2 *22781:B2 4.36092e-05 +48 *3466:10 *24489:CLK 2.95757e-05 +49 *3466:10 *24496:CLK 0.00026728 +50 *3466:21 *22642:B1 0.00161938 +51 *3466:21 *3471:15 1.74648e-05 +52 *3466:21 *3474:38 0.000247949 +53 *3466:21 *4818:73 0.000301209 +54 *3466:21 *4856:18 5.76913e-05 +55 *3466:21 *4908:98 6.08467e-05 +56 *3466:47 *22661:B1 0.0003443 +57 *3466:47 *22745:B2 0.000169472 +58 *3466:47 *3471:25 0.000254173 +59 *3466:47 *3519:47 1.91246e-05 +60 *3466:47 *3519:93 0.000233389 +61 *3466:47 *4807:101 0.000144832 +62 *3466:47 *5455:12 0.000315857 +63 *3466:56 *22745:B2 2.65831e-05 +64 *3466:67 *22799:C1 3.40268e-05 +65 *3466:67 *3471:60 0.000177991 +66 *3466:67 *3471:73 7.36804e-06 +67 *3466:82 *22781:C1 3.62144e-05 +68 *3466:82 *22799:C1 9.39195e-05 +69 *3466:82 *3471:73 0.000193463 +70 *3466:82 *3476:71 5.75901e-05 +71 *3466:125 *24489:CLK 0.000492441 +72 *3466:125 *5909:26 1.05862e-05 +73 *3466:145 *24249:CLK 1.8008e-05 +74 *3466:145 *24494:CLK 4.18966e-05 +75 *3466:172 *4814:64 2.84928e-05 +76 *3466:185 *22709:B2 0.000106718 +77 *3466:185 *22709:C1 0.000273294 +78 *3466:185 *3474:195 0.000198155 +79 *3466:185 *3474:199 2.70808e-05 +80 *3466:185 *3474:218 9.76046e-05 +81 *3466:185 *3476:184 3.32072e-05 +82 *3466:185 *3634:14 0.000733307 +83 *3466:201 *22691:B2 0.000118555 +84 *3466:201 *3471:195 0.000203604 +85 *3466:228 *22600:B2 0.000380429 +86 *3466:228 *22668:A2 7.45652e-06 +87 *3466:228 *22668:B2 0.000571235 +88 *3466:228 *3471:227 2.1203e-06 +89 *3466:228 *3474:139 0.000294093 +90 *3466:228 *3494:25 8.07867e-05 +91 *3466:228 *3541:180 9.5472e-05 +92 *3466:228 *3546:26 2.78219e-06 +93 *3466:228 *3613:10 3.07848e-05 +94 *19633:B *3466:56 1.91391e-05 +95 *19633:B *3466:67 0.000158841 +96 *19790:A2 *22529:A2 8.78153e-05 +97 *21229:A1 *3466:201 0.00010603 +98 *21229:B2 *3466:201 0.000107496 +99 *21229:C1 *3466:201 6.08467e-05 +100 *21248:A2 *22673:A2 0.000113107 +101 *21248:A2 *3466:145 9.69147e-05 +102 *21248:C1 *3466:172 0.000110477 +103 *21273:B1 *22691:A2 4.31603e-06 +104 *21273:B1 *3466:185 1.82679e-05 +105 *21273:B1 *3466:201 2.77625e-06 +106 *21396:B1 *3466:201 0.000517477 +107 *21396:B2 *3466:201 0.000157376 +108 *21403:B1 *3466:145 0.000239556 +109 *21403:C1 *3466:145 0.000173369 +110 *21403:C1 *3466:172 0.000417076 +111 *21476:A2 *3466:21 0.00392612 +112 *21531:C1 *3466:47 0.000313309 +113 *21537:A2 *3466:47 9.65176e-05 +114 *21696:A1 *22781:A2 9.2932e-05 +115 *21874:B1 *22529:A2 2.57465e-06 +116 *21876:B *22619:A2 0.000135973 +117 *22011:A2 *3466:228 0.000107496 +118 *22011:B1 *3466:228 1.55025e-05 +119 *22266:B1 *22601:A2 0.000107496 +120 *22312:A2 *22636:A2 0.000307687 +121 *22331:B1 *3466:47 2.92163e-05 +122 *22483:A2 *22763:A2 0.000398737 +123 *22502:A2 *3466:47 0.00135207 +124 *22502:B2 *3466:47 0.000106353 +125 *22514:A *3466:56 2.02035e-05 +126 *22514:C *3466:47 1.61631e-05 +127 *22514:C *3466:56 0.000341892 +128 *22529:A1 *22529:A2 4.52041e-05 +129 *22529:B1 *22529:A2 2.81515e-05 +130 *22587:A *3466:21 0.000133323 +131 *22668:B1 *3466:228 0.000238225 +132 *22672:A1 *22673:A2 1.54577e-05 +133 *22673:A1 *22673:A2 0.000247443 +134 *22673:B1 *22673:A2 0.000346353 +135 *22708:A1 *3466:185 1.77843e-05 +136 *22708:B1 *3466:185 0.000589707 +137 *22709:A1 *3466:173 0.000298399 +138 *22709:B1 *3466:173 3.31745e-05 +139 *22709:B1 *3466:185 5.51483e-06 +140 *22727:A1 *22727:A2 4.31603e-06 +141 *22763:A1 *22763:A2 4.31603e-06 +142 *22763:B1 *22763:A2 0.000137396 +143 *22781:B1 *22763:A2 1.80225e-05 +144 *22781:B1 *22781:A2 4.25927e-05 +145 *22790:A1 *22763:A2 0.000129091 +146 *22790:A1 *3466:82 5.01835e-05 +147 *22798:B1 *3466:82 0.000181736 +148 *22799:A1 *22799:A2 2.57847e-05 +149 *22799:A1 *3466:56 3.5137e-05 +150 *22799:A1 *3466:67 1.13071e-05 +151 *22799:B1 *22799:A2 2.16355e-05 +152 *520:17 *3466:82 0.00014841 +153 *520:27 *22636:A2 0.000150417 +154 *522:17 *3466:47 0.00150972 +155 *1510:117 *3466:47 0.000252173 +156 *1542:65 *22529:A2 7.10483e-06 +157 *1542:89 *3466:185 2.77625e-06 +158 *1545:80 *22673:A2 0.000107496 +159 *1545:80 *3466:145 0.000107496 +160 *1562:39 *22601:A2 0.00010072 +161 *1564:89 *3466:172 1.3706e-05 +162 *1573:155 *3466:172 0.000417568 +163 *1573:196 *3466:228 8.27458e-05 +164 *1584:153 *3466:125 6.40877e-05 +165 *1616:42 *3466:47 0.000188848 +166 *1641:130 *22619:A2 0.000125396 +167 *1641:138 *22619:A2 3.78625e-06 +168 *1680:137 *3466:21 0.000256617 +169 *1721:118 *3466:201 2.71757e-05 +170 *1721:118 *3466:203 6.7671e-06 +171 *1721:118 *3466:228 6.17722e-05 +172 *1731:107 *22763:A2 0.000112001 +173 *1797:41 *22799:A2 6.11872e-05 +174 *1800:112 *3466:201 0.000154145 +175 *2117:55 *22636:A2 0.000114642 +176 *2256:62 *3466:10 0.00021115 +177 *2274:63 *3466:47 2.05226e-05 +178 *2274:71 *3466:47 0.0018334 +179 *2275:9 *3466:10 6.87762e-05 +180 *2275:71 *3466:173 0.000327267 +181 *2286:53 *22601:A2 0.000211567 +182 *2286:53 *22619:A2 9.04241e-05 +183 *2304:22 *3466:145 0.000396545 +184 *2304:58 *3466:145 0.000168574 +185 *2307:19 *22673:A2 0.000106696 +186 *2307:19 *3466:172 0.000106696 +187 *2313:25 *3466:21 4.04556e-05 +188 *2315:10 *3466:172 7.76586e-05 +189 *2336:15 *3466:201 7.09666e-06 +190 *2354:55 *3466:201 5.88009e-05 +191 *2384:59 *3466:201 5.04829e-06 +192 *2453:20 *3466:172 5.78351e-05 +193 *2462:8 *3466:172 0.000840961 +194 *2527:13 *3466:173 0.000340742 +195 *2546:28 *3466:47 6.16471e-05 +196 *2548:41 *3466:56 0.000126517 +197 *2569:27 *22529:A2 0 +198 *2570:94 *3466:145 4.21967e-05 +199 *2595:11 *3466:21 0.000232538 +200 *2631:34 *3466:173 0.000139075 +201 *2634:29 *3466:47 5.88599e-05 +202 *2641:80 *3466:56 0.000304392 +203 *2667:122 *3466:82 0.000107496 +204 *2708:44 *3466:82 0.000110306 +205 *2750:56 *22763:A2 0.000617924 +206 *2778:35 *22763:A2 0.000245462 +207 *2812:18 *22763:A2 2.0941e-05 +208 *2814:24 *22636:A2 0.00260445 +209 *2851:26 *3466:56 0.000134233 +210 *2851:26 *3466:67 1.30575e-05 +211 *2851:26 *3466:82 6.2224e-05 +212 *2854:21 *22763:A2 3.48124e-05 +213 *2860:20 *22763:A2 0.000351607 +214 *2908:29 *3466:172 0.000129091 +215 *2990:46 *3466:125 0.000659158 +216 *2990:46 *3466:145 0.000739593 +217 *2990:66 *22601:A2 0.00016553 +218 *3044:41 *3466:228 1.58551e-05 +219 *3050:52 *3466:228 8.61131e-05 +220 *3111:94 *3466:21 0.000279529 +221 *3114:160 *22763:A2 0.001274 +222 *3120:55 *3466:125 0.000137324 +223 *3120:74 *3466:125 0.00056345 +224 *3120:74 *3466:145 0.00030205 +225 *3120:84 *3466:172 9.52716e-05 +226 *3186:14 *3466:228 0 +227 *3186:16 *3466:228 5.1493e-06 +228 *3190:10 *3466:21 4.04556e-05 +229 *3192:12 *3466:10 7.81874e-05 +230 *3192:12 *3466:125 0.000430543 +231 *3192:69 *22673:A2 2.66334e-05 +232 *3196:41 *3466:47 6.20115e-06 +233 *3196:185 *3466:201 2.05229e-05 +234 *3198:23 *3466:47 1.20352e-05 +235 *3208:89 *22727:A2 0.000205101 +236 *3333:33 *3466:185 0.000111708 +237 *3348:21 *3466:172 3.17436e-05 +238 *3398:17 *22763:A2 0.00119774 +239 *3406:14 *3466:47 0.000247628 +240 *3417:32 *22763:A2 0.000152802 +241 *3417:32 *3466:82 0.000769075 +*RES +1 *22517:X *3466:10 23.8088 +2 *3466:10 *3466:21 30.4808 +3 *3466:21 *3466:47 43.2058 +4 *3466:47 *3466:56 19.8536 +5 *3466:56 *22727:A2 19.898 +6 *3466:56 *3466:67 4.73876 +7 *3466:67 *22799:A2 15.5817 +8 *3466:67 *3466:82 30.5521 +9 *3466:82 *22781:A2 16.691 +10 *3466:82 *22763:A2 43.0589 +11 *3466:47 *22745:A2 9.82786 +12 *3466:21 *22655:A2 13.7491 +13 *3466:10 *3466:125 25.2937 +14 *3466:125 *22636:A2 24.7397 +15 *3466:125 *3466:145 31.9755 +16 *3466:145 *22673:A2 27.1444 +17 *3466:145 *3466:172 42.4433 +18 *3466:172 *3466:173 9.04245 +19 *3466:173 *22709:A2 9.24915 +20 *3466:173 *3466:185 24.499 +21 *3466:185 *3466:201 33.1796 +22 *3466:201 *3466:203 1.278 +23 *3466:203 *3466:228 49.9492 +24 *3466:228 *22529:A2 21.2409 +25 *3466:203 *22601:A2 25.5145 +26 *3466:201 *22619:A2 24.2337 +27 *3466:185 *22691:A2 9.82786 +*END + +*D_NET *3467 0.0238345 +*CONN +*I *22583:A I *D sky130_fd_sc_hd__or3_1 +*I *22521:A I *D sky130_fd_sc_hd__or3_1 +*I *22569:A I *D sky130_fd_sc_hd__or3_1 +*I *22567:B I *D sky130_fd_sc_hd__or3_1 +*I *22573:B I *D sky130_fd_sc_hd__or3_1 +*I *22571:B I *D sky130_fd_sc_hd__or3_1 +*I *22533:A I *D sky130_fd_sc_hd__or3_1 +*I *22537:B I *D sky130_fd_sc_hd__or3_1 +*I *22518:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *22583:A 0.000149224 +2 *22521:A 0.000296881 +3 *22569:A 1.47608e-05 +4 *22567:B 0 +5 *22573:B 0.000179654 +6 *22571:B 0 +7 *22533:A 0 +8 *22537:B 0.000227201 +9 *22518:X 0.000660553 +10 *3467:62 0.000417515 +11 *3467:61 0.000509079 +12 *3467:47 0.000478583 +13 *3467:31 0.000255731 +14 *3467:28 0.00160508 +15 *3467:21 0.00208604 +16 *3467:8 0.0023274 +17 *3467:7 0.00197911 +18 *22521:A *22521:B 0.000112149 +19 *22521:A *22570:A 0.000173846 +20 *22521:A *3469:52 1.64564e-05 +21 *22521:A *3472:82 5.51293e-05 +22 *22521:A *3472:89 0.000116597 +23 *22521:A *5948:152 0.000122488 +24 *22537:B *3472:30 0.000248799 +25 *22537:B *3479:24 0.000252412 +26 *22569:A *22581:B 6.08467e-05 +27 *22569:A *3476:7 6.08467e-05 +28 *22573:B *22578:A 0.000229192 +29 *22573:B *3523:16 1.65872e-05 +30 *22583:A *22567:A 0.000212333 +31 *22583:A *5948:128 6.28701e-05 +32 *22583:A *5948:140 0.000213509 +33 *3467:8 *22536:A 0.000141533 +34 *3467:8 *3472:20 0.00053312 +35 *3467:21 *3472:43 0.000321582 +36 *3467:21 *3472:52 0.000396483 +37 *3467:21 *3472:69 6.44131e-05 +38 *3467:28 *3472:69 0.000390023 +39 *3467:28 *3472:82 0.000108819 +40 *3467:28 *5476:69 0.000505361 +41 *3467:28 *5948:104 0.000380754 +42 *3467:28 *5948:128 0.000123118 +43 *3467:31 *22578:A 0.000111802 +44 *3467:47 *3472:82 6.96917e-05 +45 *3467:47 *4813:56 0.000134877 +46 *3467:47 *5948:128 0.000318972 +47 *3467:61 *4813:56 4.09154e-05 +48 *3467:61 *5948:128 3.17913e-05 +49 *3467:62 *22581:B 0.000109358 +50 *3467:62 *3469:52 5.1493e-06 +51 *3467:62 *3472:82 0.000126593 +52 *22182:A *3467:28 0.000116971 +53 *22226:A *3467:28 5.01835e-05 +54 *22516:D *22583:A 0.00014642 +55 *22583:C *22583:A 0.000226329 +56 *545:29 *3467:8 1.65509e-05 +57 *1419:196 *3467:28 9.85544e-05 +58 *2089:37 *3467:8 5.54142e-05 +59 *2089:37 *3467:21 0.000179635 +60 *2089:37 *3467:28 0.000175097 +61 *2089:62 *22573:B 6.85742e-05 +62 *2091:96 *22583:A 0.00014584 +63 *2378:27 *22537:B 0.000453412 +64 *2394:17 *22537:B 0.000453412 +65 *2418:10 *3467:8 0.000569038 +66 *2418:10 *3467:21 1.41717e-05 +67 *2418:16 *3467:21 0.000687291 +68 *2418:16 *3467:28 0.00105805 +69 *2635:87 *22583:A 4.36818e-05 +70 *2636:28 *3467:61 7.53268e-05 +71 *2645:50 *3467:8 0.000484145 +72 *2720:24 *3467:8 0.000402168 +73 *2949:55 *3467:7 0.00100104 +74 *3196:23 *3467:61 0.000257975 +*RES +1 *22518:X *3467:7 25.01 +2 *3467:7 *3467:8 38.3742 +3 *3467:8 *22537:B 33.0634 +4 *3467:8 *3467:21 24.2556 +5 *3467:21 *22533:A 13.7491 +6 *3467:21 *3467:28 38.7894 +7 *3467:28 *3467:31 5.778 +8 *3467:31 *22571:B 9.24915 +9 *3467:31 *22573:B 22.0531 +10 *3467:28 *3467:47 6.81502 +11 *3467:47 *22567:B 13.7491 +12 *3467:47 *3467:61 8.05024 +13 *3467:61 *3467:62 2.87013 +14 *3467:62 *22569:A 14.4725 +15 *3467:62 *22521:A 22.4024 +16 *3467:61 *22583:A 21.3207 +*END + +*D_NET *3468 0.00129875 +*CONN +*I *22530:A I *D sky130_fd_sc_hd__or2_4 +*I *22520:A I *D sky130_fd_sc_hd__or2_4 +*I *22519:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22530:A 0 +2 *22520:A 0.000136072 +3 *22519:Y 9.58477e-05 +4 *3468:7 0.000231919 +5 *22520:A *3472:17 4.58003e-05 +6 *3468:7 *22519:A 6.92705e-05 +7 *3468:7 *22530:B 5.481e-05 +8 *3468:7 *5859:277 1.5613e-05 +9 *541:46 *22520:A 0.000158885 +10 *2089:12 *22520:A 0.000113968 +11 *2378:12 *22520:A 6.10997e-05 +12 *2440:42 *3468:7 0.000315461 +*RES +1 *22519:Y *3468:7 13.3243 +2 *3468:7 *22520:A 22.6049 +3 *3468:7 *22530:A 9.24915 +*END + +*D_NET *3469 0.0284289 +*CONN +*I *22559:C I *D sky130_fd_sc_hd__or4_1 +*I *22583:B I *D sky130_fd_sc_hd__or3_1 +*I *22589:B I *D sky130_fd_sc_hd__or3_1 +*I *22543:B I *D sky130_fd_sc_hd__or3_1 +*I *22593:C I *D sky130_fd_sc_hd__or4_1 +*I *22521:B I *D sky130_fd_sc_hd__or3_1 +*I *22579:B I *D sky130_fd_sc_hd__or3_1 +*I *22557:B I *D sky130_fd_sc_hd__or3_1 +*I *22520:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *22559:C 0 +2 *22583:B 9.68929e-05 +3 *22589:B 0.000202833 +4 *22543:B 0 +5 *22593:C 0.00060107 +6 *22521:B 0.000235316 +7 *22579:B 7.96145e-05 +8 *22557:B 0.000105677 +9 *22520:X 0.000931405 +10 *3469:67 0.00060107 +11 *3469:65 0.000476341 +12 *3469:52 0.00121102 +13 *3469:46 0.00104174 +14 *3469:33 0.000377927 +15 *3469:24 0.000630362 +16 *3469:19 0.000683246 +17 *3469:12 0.00229502 +18 *3469:10 0.00301221 +19 *22579:B *22580:A 6.98337e-06 +20 *22583:B *4808:27 1.74129e-05 +21 *22589:B *22587:B 5.51293e-05 +22 *22589:B *3491:140 5.88009e-05 +23 *22593:C *22584:A 0.000203595 +24 *22593:C *22587:B 3.58525e-05 +25 *22593:C *22591:C 1.5962e-05 +26 *22593:C *22592:A 9.32704e-05 +27 *22593:C *22593:D 6.08467e-05 +28 *22593:C *22653:A 6.22114e-05 +29 *22593:C *3472:89 2.77564e-05 +30 *22593:C *3474:12 0.000255229 +31 *22593:C *4805:73 0.000504385 +32 *22593:C *4813:56 2.34497e-05 +33 *22593:C *4818:73 2.07365e-05 +34 *3469:10 *21189:A 0.000212999 +35 *3469:10 *3472:17 1.00937e-05 +36 *3469:10 *3472:20 4.12158e-05 +37 *3469:12 *22534:A 0.000271981 +38 *3469:12 *22547:A 0.000110477 +39 *3469:12 *3472:20 0.000470098 +40 *3469:12 *3472:69 0.00122978 +41 *3469:12 *5944:69 0.00254405 +42 *3469:12 *5944:80 5.17042e-05 +43 *3469:12 *5948:39 8.70893e-05 +44 *3469:24 *22558:A 0.000100106 +45 *3469:24 *4807:54 0.000287935 +46 *3469:46 *22580:A 0.000111422 +47 *3469:46 *4808:27 3.24041e-05 +48 *3469:52 *22570:A 6.56365e-05 +49 *3469:52 *22581:B 0.000187317 +50 *3469:52 *4813:56 0.000208279 +51 *3469:52 *5944:80 1.28732e-05 +52 *3469:52 *5948:140 0.000147537 +53 *3469:65 *22570:A 6.57048e-05 +54 *3469:65 *22587:B 4.15661e-05 +55 *3469:65 *3472:89 0.00012626 +56 *3469:65 *3491:140 0.000125038 +57 *22226:A *3469:19 0.000205101 +58 *22521:A *22521:B 0.000112149 +59 *22521:A *3469:52 1.64564e-05 +60 *22559:A *3469:19 0.000302611 +61 *22579:A *22579:B 6.00782e-06 +62 *22591:A *22593:C 0.000120482 +63 *531:16 *22583:B 5.01835e-05 +64 *531:16 *3469:46 3.91685e-05 +65 *545:32 *3469:10 0.0012042 +66 *1545:54 *3469:52 4.81186e-05 +67 *1551:67 *3469:19 0.000412098 +68 *1551:67 *3469:24 6.50586e-05 +69 *2089:12 *3469:10 0.000582911 +70 *2089:22 *3469:10 0.00121627 +71 *2089:22 *3469:12 0.00023365 +72 *2089:45 *3469:19 8.53519e-05 +73 *2091:124 *22593:C 6.3657e-05 +74 *2100:55 *3469:19 5.04829e-06 +75 *2100:72 *3469:52 6.22114e-05 +76 *2100:72 *3469:65 0.00011832 +77 *2282:88 *22557:B 0.00016491 +78 *2378:27 *3469:12 3.90046e-05 +79 *2383:25 *3469:24 7.09666e-06 +80 *2383:25 *3469:33 8.61737e-06 +81 *2418:10 *3469:12 0.000135423 +82 *2418:16 *3469:12 0.000908329 +83 *2595:11 *22589:B 6.52882e-05 +84 *2595:15 *22589:B 6.08467e-05 +85 *2595:15 *22593:C 0.000110297 +86 *2635:95 *22583:B 2.16355e-05 +87 *2636:28 *3469:52 0.000924026 +88 *2690:34 *3469:24 3.9916e-05 +89 *2690:34 *3469:33 1.13304e-05 +90 *2690:34 *3469:46 9.39932e-05 +91 *3126:10 *3469:52 5.46314e-05 +92 *3136:149 *22593:C 3.24624e-05 +93 *3136:149 *3469:24 0.000197049 +94 *3136:149 *3469:33 1.94327e-05 +95 *3136:149 *3469:46 1.28326e-05 +96 *3136:153 *22593:C 2.60879e-06 +97 *3467:62 *3469:52 5.1493e-06 +*RES +1 *22520:X *3469:10 47.4246 +2 *3469:10 *3469:12 69.7257 +3 *3469:12 *3469:19 13.1808 +4 *3469:19 *3469:24 16.6062 +5 *3469:24 *22557:B 15.5817 +6 *3469:24 *3469:33 1.41674 +7 *3469:33 *22579:B 15.2053 +8 *3469:33 *3469:46 6.50969 +9 *3469:46 *3469:52 15.9409 +10 *3469:52 *22521:B 17.2456 +11 *3469:52 *3469:65 7.03486 +12 *3469:65 *3469:67 4.5 +13 *3469:67 *22593:C 45.0983 +14 *3469:67 *22543:B 9.24915 +15 *3469:65 *22589:B 17.5531 +16 *3469:46 *22583:B 15.6817 +17 *3469:19 *22559:C 9.24915 +*END + +*D_NET *3470 0.000341235 +*CONN +*I *22522:A I *D sky130_fd_sc_hd__buf_6 +*I *22521:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22522:A 5.65434e-05 +2 *22521:X 5.65434e-05 +3 *2313:25 *22522:A 0.000114074 +4 *3190:10 *22522:A 0.000114074 +*RES +1 *22521:X *22522:A 29.7455 +*END + +*D_NET *3471 0.0751012 +*CONN +*I *22691:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22619:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22601:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22529:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22709:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22673:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22636:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22655:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22727:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22763:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22781:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *22799:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22745:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22522:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22691:B2 9.36254e-05 +2 *22619:B2 0.000133896 +3 *22601:B2 0 +4 *22529:B2 0.000195578 +5 *22709:B2 0.000169334 +6 *22673:B2 0.000192247 +7 *22636:B2 0.000928265 +8 *22655:B2 0 +9 *22727:B2 0 +10 *22763:B2 0.00118971 +11 *22781:B2 0.000328396 +12 *22799:B2 0 +13 *22745:B2 0.000131921 +14 *22522:X 0.00014235 +15 *3471:227 0.00129645 +16 *3471:203 0.00137456 +17 *3471:195 0.00131168 +18 *3471:183 0.00209774 +19 *3471:162 0.00201583 +20 *3471:139 0.00185339 +21 *3471:117 0.00100726 +22 *3471:116 0.000298582 +23 *3471:112 0.0012494 +24 *3471:109 0.000190985 +25 *3471:108 0.00106816 +26 *3471:73 0.00229708 +27 *3471:60 0.00106914 +28 *3471:51 0.000870641 +29 *3471:25 0.00171084 +30 *3471:24 0.00307133 +31 *3471:15 0.00433503 +32 *3471:6 0.00339683 +33 *22529:B2 *3494:25 0.000107496 +34 *22529:B2 *3541:180 6.85769e-05 +35 *22529:B2 *3546:26 6.08467e-05 +36 *22619:B2 *3569:13 3.88655e-06 +37 *22636:B2 *22313:A1 4.87439e-05 +38 *22636:B2 *4826:141 0.000125663 +39 *22673:B2 *3493:15 3.29488e-05 +40 *22691:B2 *22691:C1 6.08467e-05 +41 *22709:B2 *22709:C1 4.90836e-05 +42 *22745:B2 *3685:22 0.000164044 +43 *3471:6 *4805:73 0.000112673 +44 *3471:6 *4818:73 8.35594e-05 +45 *3471:15 *22642:B1 0.00015073 +46 *3471:15 *22661:B1 2.84783e-05 +47 *3471:15 *3474:38 0.00135028 +48 *3471:15 *3481:136 5.60804e-05 +49 *3471:15 *3483:124 0.00033364 +50 *3471:15 *3498:163 0.000108997 +51 *3471:15 *3517:15 0.00011666 +52 *3471:15 *4824:180 0.000104754 +53 *3471:24 *3476:39 0.00126422 +54 *3471:25 *24912:A 0.000154145 +55 *3471:25 *3685:22 0.000425645 +56 *3471:25 *4870:134 0.000110297 +57 *3471:51 *3668:13 0.000180436 +58 *3471:51 *3722:14 9.44741e-05 +59 *3471:73 *3476:71 1.9886e-05 +60 *3471:108 *22312:B1 2.77625e-06 +61 *3471:108 *3493:8 0.00107457 +62 *3471:108 *4818:56 4.40086e-05 +63 *3471:108 *4818:73 0.000403095 +64 *3471:116 *3491:146 5.88657e-05 +65 *3471:116 *4826:141 1.37531e-05 +66 *3471:139 *4809:13 6.03172e-05 +67 *3471:139 *4817:120 2.06825e-05 +68 *3471:139 *4826:126 6.34651e-06 +69 *3471:139 *4827:79 5.60804e-05 +70 *3471:162 *5919:72 5.88569e-05 +71 *3471:183 *22707:D 1.5714e-05 +72 *3471:183 *5924:37 0.000851038 +73 *3471:195 *22668:B2 4.89095e-05 +74 *3471:195 *22691:C1 0.000203595 +75 *3471:195 *3474:166 0.000163404 +76 *3471:195 *3543:50 0.000354219 +77 *3471:195 *3569:13 1.02986e-05 +78 *3471:195 *3581:29 0.000200794 +79 *3471:227 *22556:A2 0.00116663 +80 *3471:227 *22556:B1 0.000132728 +81 *3471:227 *22598:B 5.49916e-05 +82 *3471:227 *22601:C1 0.000390192 +83 *3471:227 *3474:139 5.46297e-06 +84 *3471:227 *3546:26 0.000125098 +85 *3471:227 *3564:28 0.000128351 +86 *19997:B1 *3471:51 4.82309e-05 +87 *20613:B *3471:6 3.309e-05 +88 *21273:A1 *3471:195 0.000156955 +89 *21273:B1 *22691:B2 0.00012735 +90 *21273:B1 *3471:195 0.000122387 +91 *21328:C *3471:162 0.000264199 +92 *21476:A2 *3471:15 1.60256e-05 +93 *21492:A1 *3471:25 0.000294093 +94 *21492:B2 *3471:25 2.78997e-05 +95 *21543:A2 *3471:24 2.77625e-06 +96 *21543:B1 *3471:24 0.000256726 +97 *21543:B2 *3471:24 8.51503e-05 +98 *21543:C1 *3471:24 0.000155662 +99 *21696:A1 *22781:B2 4.2273e-06 +100 *21795:A1 *3471:227 0.000779077 +101 *21808:B *22763:B2 9.04241e-05 +102 *21851:B1 *22763:B2 0.000603575 +103 *21876:B *3471:203 0.000154145 +104 *22266:B1 *3471:227 0.000695381 +105 *22288:A1 *3471:203 0.000332118 +106 *22305:A2 *3471:15 0.00123586 +107 *22310:C1 *3471:108 0.000105515 +108 *22310:C1 *3471:112 0.000139456 +109 *22310:C1 *3471:116 0.000203604 +110 *22312:A2 *3471:108 5.52318e-05 +111 *22312:B2 *3471:108 5.61389e-05 +112 *22315:A *3471:108 0.000410388 +113 *22398:A1 *3471:162 0.000296278 +114 *22398:A1 *3471:183 2.68152e-05 +115 *22469:B *22763:B2 0.000250588 +116 *22492:C *22763:B2 2.77564e-05 +117 *22492:C *3471:73 7.86728e-05 +118 *22601:B1 *3471:227 6.26816e-05 +119 *22658:B2 *3471:15 0.0010145 +120 *22672:A1 *22673:B2 7.16182e-05 +121 *22673:A2 *22673:B2 6.98337e-06 +122 *22673:B1 *22673:B2 1.99146e-05 +123 *22691:A2 *22691:B2 6.12148e-06 +124 *22709:B1 *22709:B2 0.000175962 +125 *22710:B1 *22636:B2 0.000391947 +126 *22727:A1 *3471:51 0.000217943 +127 *22727:A1 *3471:60 6.1478e-06 +128 *22727:A2 *3471:51 3.99086e-06 +129 *22727:A2 *3471:60 9.02464e-05 +130 *22727:B1 *3471:51 0.000243246 +131 *22727:B1 *3471:60 1.78868e-05 +132 *22745:A1 *22745:B2 9.30173e-05 +133 *22745:A2 *22745:B2 1.03424e-05 +134 *22781:A2 *22781:B2 4.36092e-05 +135 *22781:B1 *22781:B2 1.97692e-05 +136 *22790:A1 *22763:B2 0.000445937 +137 *22799:A1 *3471:60 0.000128586 +138 *1462:22 *3471:51 5.28741e-05 +139 *1542:86 *22619:B2 0.000207266 +140 *1562:39 *3471:227 0.000107103 +141 *1573:166 *3471:183 0.0013553 +142 *1573:183 *22619:B2 0.000113864 +143 *1573:183 *3471:195 2.43314e-05 +144 *1573:196 *22529:B2 4.97617e-05 +145 *1573:196 *3471:203 0.000154145 +146 *1605:29 *22763:B2 6.44504e-05 +147 *1608:13 *3471:25 7.0512e-05 +148 *1614:55 *3471:227 8.94611e-05 +149 *1620:35 *3471:203 0.000340351 +150 *1648:47 *3471:24 0.000108054 +151 *1649:143 *22673:B2 0.000255018 +152 *1658:242 *22673:B2 3.29488e-05 +153 *1717:68 *3471:139 0.000291843 +154 *1717:133 *3471:227 0.000141494 +155 *1721:118 *3471:227 0.000131362 +156 *1744:219 *3471:227 0.000198107 +157 *1750:15 *3471:108 8.5985e-05 +158 *1751:35 *3471:24 5.99529e-06 +159 *1753:16 *3471:51 1.91391e-05 +160 *1800:33 *3471:25 4.23858e-05 +161 *2093:29 *3471:15 0.000374028 +162 *2117:55 *3471:139 8.44264e-05 +163 *2244:19 *3471:15 0.00036073 +164 *2256:62 *3471:6 0.000268161 +165 *2256:62 *3471:108 8.61737e-06 +166 *2313:33 *22636:B2 8.07519e-05 +167 *2333:60 *3471:162 5.83513e-05 +168 *2336:15 *3471:195 0.00025175 +169 *2361:74 *3471:183 1.9101e-05 +170 *2383:25 *3471:139 0.000100683 +171 *2397:13 *22673:B2 0.000250775 +172 *2527:13 *3471:183 0.00228183 +173 *2545:67 *3471:15 2.70867e-05 +174 *2546:20 *3471:108 5.1493e-06 +175 *2546:28 *3471:15 8.56161e-05 +176 *2548:41 *22745:B2 3.00296e-05 +177 *2548:41 *3471:25 0.00031383 +178 *2549:17 *3471:25 5.8973e-05 +179 *2569:27 *22529:B2 0.000160678 +180 *2595:15 *3471:24 1.68379e-05 +181 *2595:25 *3471:15 0.000111802 +182 *2595:25 *3471:24 2.45143e-05 +183 *2596:27 *3471:24 0.000144254 +184 *2604:50 *3471:227 0.00047012 +185 *2631:34 *3471:162 0.000287661 +186 *2631:34 *3471:183 3.39118e-05 +187 *2666:11 *3471:73 5.89592e-05 +188 *2822:12 *3471:227 9.28716e-05 +189 *2825:92 *3471:227 6.24069e-06 +190 *2851:26 *22763:B2 0.000996856 +191 *2851:26 *3471:73 0.000388788 +192 *2857:32 *22619:B2 7.89747e-05 +193 *2892:37 *3471:227 6.08467e-05 +194 *2954:74 *22763:B2 0.000310909 +195 *2997:14 *3471:51 9.10049e-05 +196 *2997:30 *3471:51 0.000164811 +197 *3015:26 *22529:B2 0.000164204 +198 *3023:42 *3471:24 0.000104441 +199 *3106:68 *3471:227 2.17771e-05 +200 *3111:94 *3471:15 0.00168873 +201 *3111:125 *3471:139 0.000990775 +202 *3111:125 *3471:162 0.000252934 +203 *3122:115 *3471:162 2.57465e-06 +204 *3126:25 *3471:139 0.00045203 +205 *3126:206 *3471:60 1.40709e-05 +206 *3126:206 *3471:73 0.000479787 +207 *3186:14 *3471:227 1.20723e-05 +208 *3190:10 *3471:108 0 +209 *3192:57 *3471:108 2.79718e-05 +210 *3196:152 *3471:162 0.000108909 +211 *3198:154 *3471:139 0.000500261 +212 *3198:154 *3471:162 0.000797956 +213 *3208:89 *3471:60 0.000156946 +214 *3243:30 *22619:B2 0.000120854 +215 *3243:30 *3471:195 6.22114e-05 +216 *3417:32 *22763:B2 8.49406e-05 +217 *3417:32 *3471:73 0.000384219 +218 *3466:21 *3471:15 1.74648e-05 +219 *3466:47 *22745:B2 0.000169472 +220 *3466:47 *3471:25 0.000254173 +221 *3466:56 *22745:B2 2.65831e-05 +222 *3466:67 *3471:60 0.000177991 +223 *3466:67 *3471:73 7.36804e-06 +224 *3466:82 *3471:73 0.000193463 +225 *3466:185 *22709:B2 0.000106718 +226 *3466:201 *22691:B2 0.000118555 +227 *3466:201 *3471:195 0.000203604 +228 *3466:228 *3471:227 2.1203e-06 +*RES +1 *22522:X *3471:6 19.1108 +2 *3471:6 *3471:15 20.8773 +3 *3471:15 *3471:24 43.3178 +4 *3471:24 *3471:25 27.3444 +5 *3471:25 *22745:B2 14.6023 +6 *3471:25 *3471:51 25.9651 +7 *3471:51 *3471:60 13.3555 +8 *3471:60 *22799:B2 13.7491 +9 *3471:60 *3471:73 30.2762 +10 *3471:73 *22781:B2 19.1264 +11 *3471:73 *22763:B2 47.9708 +12 *3471:51 *22727:B2 9.24915 +13 *3471:15 *22655:B2 9.24915 +14 *3471:6 *3471:108 40.8783 +15 *3471:108 *3471:109 127.479 +16 *3471:109 *3471:112 11.0817 +17 *3471:112 *3471:116 12.7456 +18 *3471:116 *3471:117 127.479 +19 *3471:117 *22636:B2 35.9321 +20 *3471:112 *3471:139 20.2985 +21 *3471:139 *22673:B2 19.9846 +22 *3471:139 *3471:162 12.8498 +23 *3471:162 *22709:B2 18.0413 +24 *3471:162 *3471:183 15.902 +25 *3471:183 *3471:195 28.1009 +26 *3471:195 *3471:203 22.5629 +27 *3471:203 *3471:227 48.7828 +28 *3471:227 *22529:B2 24.684 +29 *3471:203 *22601:B2 9.24915 +30 *3471:195 *22619:B2 18.7989 +31 *3471:183 *22691:B2 12.7938 +*END + +*D_NET *3472 0.0254575 +*CONN +*I *22546:C I *D sky130_fd_sc_hd__or4_1 +*I *22587:B I *D sky130_fd_sc_hd__or3_1 +*I *22524:C I *D sky130_fd_sc_hd__or4_1 +*I *22569:B I *D sky130_fd_sc_hd__or3_1 +*I *22561:B I *D sky130_fd_sc_hd__or3_1 +*I *22533:B I *D sky130_fd_sc_hd__or3_1 +*I *22541:B I *D sky130_fd_sc_hd__or3_1 +*I *22552:B I *D sky130_fd_sc_hd__or3_1 +*I *22523:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *22546:C 0 +2 *22587:B 8.9567e-05 +3 *22524:C 8.82875e-05 +4 *22569:B 0 +5 *22561:B 0 +6 *22533:B 0 +7 *22541:B 0.000406407 +8 *22552:B 0 +9 *22523:X 0.000320347 +10 *3472:89 0.000421295 +11 *3472:82 0.00106312 +12 *3472:69 0.00208187 +13 *3472:52 0.00148237 +14 *3472:43 0.000988549 +15 *3472:30 0.00100858 +16 *3472:21 0.000779443 +17 *3472:20 0.00132915 +18 *3472:17 0.00151666 +19 *22524:C *3474:12 0.000352146 +20 *22524:C *4805:73 9.54357e-06 +21 *22524:C *4806:24 6.98337e-06 +22 *22541:B *24363:CLK 3.82228e-05 +23 *22541:B *5948:81 7.92757e-06 +24 *22587:B *4813:56 1.03079e-05 +25 *3472:20 *21189:A 0.000217857 +26 *3472:30 *22538:A 0.000135313 +27 *3472:30 *22546:D 0.000176044 +28 *3472:30 *3479:24 9.56861e-05 +29 *3472:69 *5944:80 1.83754e-05 +30 *3472:69 *5948:95 2.78256e-05 +31 *3472:69 *5948:104 5.04829e-06 +32 *3472:82 *22568:A 0.000124862 +33 *3472:82 *22581:B 2.33664e-05 +34 *3472:82 *4813:56 0.000181847 +35 *3472:82 *5944:80 0.000190806 +36 *3472:89 *22570:A 7.36804e-06 +37 *3472:89 *4813:56 2.27118e-06 +38 *22520:A *3472:17 4.58003e-05 +39 *22521:A *3472:82 5.51293e-05 +40 *22521:A *3472:89 0.000116597 +41 *22524:D *22524:C 7.49541e-05 +42 *22537:B *3472:30 0.000248799 +43 *22543:A *22524:C 6.08467e-05 +44 *22546:A *3472:21 0.000133863 +45 *22589:B *22587:B 5.51293e-05 +46 *22593:C *22587:B 3.58525e-05 +47 *22593:C *3472:89 2.77564e-05 +48 *506:32 *3472:30 0 +49 *541:46 *3472:17 0.000115874 +50 *545:32 *3472:20 0.0013048 +51 *547:33 *3472:30 8.30171e-05 +52 *547:33 *3472:43 1.19737e-05 +53 *1679:37 *3472:43 0.00050007 +54 *1717:68 *3472:30 0.0001444 +55 *1717:68 *3472:43 0.000505218 +56 *2089:12 *3472:17 4.19564e-05 +57 *2089:37 *3472:43 0.000322531 +58 *2089:37 *3472:52 0.000387857 +59 *2089:37 *3472:69 0.000108981 +60 *2089:45 *3472:69 0.000110477 +61 *2091:99 *3472:82 3.55926e-05 +62 *2091:106 *3472:82 2.24412e-05 +63 *2091:106 *3472:89 5.84265e-05 +64 *2091:124 *22524:C 2.16355e-05 +65 *2091:124 *3472:89 2.01428e-05 +66 *2100:12 *3472:30 0.000430139 +67 *2100:37 *22541:B 0.000347214 +68 *2100:58 *3472:69 2.52964e-05 +69 *2378:12 *3472:17 4.51562e-05 +70 *2384:103 *3472:17 9.82331e-05 +71 *2391:49 *3472:30 0 +72 *2418:10 *3472:20 9.80784e-05 +73 *2418:16 *3472:69 0.00100426 +74 *2433:151 *3472:17 0.000464113 +75 *2645:34 *3472:20 0.000258615 +76 *2645:50 *3472:20 3.3171e-06 +77 *2689:46 *3472:21 0.000489962 +78 *2978:99 *3472:21 0.0001309 +79 *3109:8 *3472:30 5.05252e-05 +80 *3109:17 *3472:30 0.000205243 +81 *3109:17 *3472:43 1.91246e-05 +82 *3467:8 *3472:20 0.00053312 +83 *3467:21 *3472:43 0.000321582 +84 *3467:21 *3472:52 0.000396483 +85 *3467:21 *3472:69 6.44131e-05 +86 *3467:28 *3472:69 0.000390023 +87 *3467:28 *3472:82 0.000108819 +88 *3467:47 *3472:82 6.96917e-05 +89 *3467:62 *3472:82 0.000126593 +90 *3469:10 *3472:17 1.00937e-05 +91 *3469:10 *3472:20 4.12158e-05 +92 *3469:12 *3472:20 0.000470098 +93 *3469:12 *3472:69 0.00122978 +94 *3469:65 *22587:B 4.15661e-05 +95 *3469:65 *3472:89 0.00012626 +*RES +1 *22523:X *3472:17 32.7367 +2 *3472:17 *3472:20 44.9505 +3 *3472:20 *3472:21 5.71483 +4 *3472:21 *3472:30 29.6383 +5 *3472:30 *22552:B 13.7491 +6 *3472:30 *3472:43 14.4619 +7 *3472:43 *22541:B 20.0186 +8 *3472:43 *3472:52 8.06078 +9 *3472:52 *22533:B 13.7491 +10 *3472:52 *3472:69 45.2985 +11 *3472:69 *22561:B 13.7491 +12 *3472:69 *3472:82 20.4939 +13 *3472:82 *22569:B 13.7491 +14 *3472:82 *3472:89 5.98452 +15 *3472:89 *22524:C 17.8002 +16 *3472:89 *22587:B 15.9964 +17 *3472:21 *22546:C 9.24915 +*END + +*D_NET *3473 0.000482585 +*CONN +*I *22525:A I *D sky130_fd_sc_hd__buf_6 +*I *22524:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22525:A 0.000119805 +2 *22524:X 0.000119805 +3 *22525:A *4818:73 6.08467e-05 +4 *22525:A *5944:90 9.12416e-06 +5 *2313:25 *22525:A 0.000126231 +6 *2595:11 *22525:A 2.16355e-05 +7 *3126:12 *22525:A 2.51379e-05 +*RES +1 *22524:X *22525:A 30.8842 +*END + +*D_NET *3474 0.0744437 +*CONN +*I *22654:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22528:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22618:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22690:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22672:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22708:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22600:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22762:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22780:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22798:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22726:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22744:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22637:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22525:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22654:A2 8.91773e-05 +2 *22528:A2 4.47642e-05 +3 *22618:A2 0.000336802 +4 *22690:A2 0 +5 *22672:A2 0.000335052 +6 *22708:A2 0 +7 *22600:A2 0.000255385 +8 *22762:A2 0 +9 *22780:A2 4.51842e-05 +10 *22798:A2 8.29286e-05 +11 *22726:A2 7.98254e-05 +12 *22744:A2 0.000349873 +13 *22637:A2 0.000113586 +14 *22525:X 0.000841243 +15 *3474:218 0.00147231 +16 *3474:199 0.00145758 +17 *3474:196 0.000366327 +18 *3474:195 0.000674508 +19 *3474:175 0.00140133 +20 *3474:166 0.00184516 +21 *3474:139 0.00294144 +22 *3474:130 0.00293007 +23 *3474:115 0.0016057 +24 *3474:111 0.00166218 +25 *3474:79 0.00172028 +26 *3474:70 0.000883976 +27 *3474:58 0.000892101 +28 *3474:42 0.00291408 +29 *3474:38 0.00361208 +30 *3474:18 0.00221864 +31 *3474:12 0.00129255 +32 *22528:A2 *3739:8 7.16355e-05 +33 *22618:A2 *22619:C1 0.000111802 +34 *22618:A2 *5458:10 7.94462e-05 +35 *22637:A2 *22637:A1 0.000113592 +36 *22637:A2 *4805:73 6.37248e-05 +37 *22654:A2 *4856:18 0.000135114 +38 *22672:A2 *22673:C1 4.78069e-06 +39 *22726:A2 *3736:18 0.000107496 +40 *22744:A2 *3476:39 0.000396003 +41 *3474:12 *22584:A 3.31745e-05 +42 *3474:12 *22591:C 0.000156946 +43 *3474:12 *22592:A 0.000144975 +44 *3474:12 *4806:11 3.79135e-05 +45 *3474:12 *4806:24 3.37255e-05 +46 *3474:12 *5944:102 0.000206377 +47 *3474:18 *22637:A1 0.000100727 +48 *3474:18 *4818:73 0.000540238 +49 *3474:38 *22642:B1 0.000177764 +50 *3474:38 *3517:36 1.49216e-05 +51 *3474:38 *3519:47 0.000859479 +52 *3474:38 *4856:18 0.00103973 +53 *3474:42 *3517:36 4.18576e-05 +54 *3474:42 *3519:47 0.000498386 +55 *3474:42 *3668:13 0.000838126 +56 *3474:42 *3736:18 7.27023e-06 +57 *3474:42 *4856:18 8.32912e-05 +58 *3474:42 *4870:134 1.24546e-05 +59 *3474:58 *3476:52 0.000275974 +60 *3474:79 *3476:71 0.000234542 +61 *3474:79 *3517:53 5.01835e-05 +62 *3474:111 *3476:71 5.60364e-06 +63 *3474:111 *3539:70 0.000104754 +64 *3474:111 *3702:20 0.000261973 +65 *3474:111 *5454:15 0.000111938 +66 *3474:115 *3527:84 7.71503e-05 +67 *3474:115 *4850:55 0.00014725 +68 *3474:130 *3739:8 7.45608e-05 +69 *3474:139 *22668:B2 0.000325141 +70 *3474:139 *3476:127 0.00187244 +71 *3474:139 *3541:180 0.000629592 +72 *3474:166 *22668:B2 8.51641e-05 +73 *3474:166 *3569:13 0.000100546 +74 *3474:175 *3476:172 2.77625e-06 +75 *3474:175 *3476:184 0.000107496 +76 *3474:175 *5458:10 0.000769857 +77 *3474:195 *3476:184 0.000680215 +78 *3474:195 *3637:18 1.5714e-05 +79 *3474:195 *3649:8 1.9101e-05 +80 *3474:195 *5924:37 8.50044e-05 +81 *3474:199 *3476:184 0.000350183 +82 *3474:218 *22725:A 0.000120454 +83 *3474:218 *3493:15 0 +84 *3474:218 *3620:30 4.50764e-05 +85 *3474:218 *3632:25 0.000356683 +86 *3474:218 *5592:76 0.000487796 +87 *6197:DIODE *3474:139 6.08467e-05 +88 *19997:B1 *3474:58 8.86403e-05 +89 *21395:A2 *3474:218 0.000138671 +90 *21403:B2 *3474:218 6.83813e-05 +91 *21423:B1 *3474:218 6.7717e-05 +92 *21476:A2 *3474:42 3.96926e-05 +93 *21517:B1 *22798:A2 0.000139947 +94 *21517:B1 *3474:70 0.000247443 +95 *21583:A2 *3474:42 6.09717e-05 +96 *21617:A1 *3474:111 1.66771e-05 +97 *21808:A *3474:115 8.62048e-05 +98 *21808:B *3474:115 2.70661e-05 +99 *21851:A1 *3474:130 4.81452e-05 +100 *21851:C1 *3474:111 0.000355881 +101 *21869:A1 *22618:A2 9.09818e-05 +102 *21869:A1 *3474:166 7.09666e-06 +103 *21869:A2 *3474:175 8.19046e-05 +104 *21876:B *3474:166 7.14025e-05 +105 *21876:C *3474:139 2.23105e-05 +106 *22400:A1 *3474:218 3.7061e-05 +107 *22469:B *3474:115 9.25713e-05 +108 *22485:A1 *3474:58 7.96573e-05 +109 *22485:A1 *3474:70 8.23875e-05 +110 *22486:A2 *3474:58 4.99267e-05 +111 *22486:C1 *3474:79 0.000290247 +112 *22488:A1 *3474:111 1.55025e-05 +113 *22488:A2 *3474:111 3.29488e-05 +114 *22514:C *3474:58 7.09666e-06 +115 *22524:C *3474:12 0.000352146 +116 *22591:A *3474:12 0.000379277 +117 *22593:C *3474:12 0.000255229 +118 *22605:B1 *3474:139 1.5714e-05 +119 *22619:A2 *3474:166 0.000163327 +120 *22619:B1 *3474:166 8.91916e-05 +121 *22658:B2 *3474:38 4.42985e-05 +122 *22672:A1 *22672:A2 3.98629e-05 +123 *22673:B1 *22672:A2 0.00025175 +124 *22690:A1 *3474:175 0.00012061 +125 *22690:B1 *3474:175 5.04829e-06 +126 *22708:A1 *3474:218 9.44503e-05 +127 *22708:B1 *3474:195 0.000298399 +128 *22712:A1 *3474:218 0.000108054 +129 *22726:B1 *3474:58 7.12662e-05 +130 *22726:B1 *3474:70 7.52602e-05 +131 *22727:B1 *3474:58 2.87326e-05 +132 *22737:B1 *3474:58 3.99981e-05 +133 *22762:A1 *3474:130 4.78118e-05 +134 *22781:B1 *3474:111 0.000281183 +135 *529:26 *3474:218 6.85742e-05 +136 *1471:230 *3474:58 0.000442558 +137 *1542:40 *3474:130 0.000828647 +138 *1542:89 *3474:195 0.000357094 +139 *1557:133 *3474:175 4.69414e-05 +140 *1558:24 *3474:195 0.000323785 +141 *1566:11 *3474:115 3.73224e-05 +142 *1573:183 *3474:175 0.000495774 +143 *1608:65 *3474:175 7.13972e-05 +144 *1620:35 *22600:A2 0.000162268 +145 *1625:83 *3474:111 0.000107025 +146 *1627:13 *3474:42 0.00232737 +147 *1631:34 *3474:111 0.000104754 +148 *1641:138 *22618:A2 6.1578e-06 +149 *1641:138 *3474:166 1.68336e-05 +150 *1655:77 *3474:139 1.5714e-05 +151 *1680:137 *3474:38 0.000256617 +152 *1708:95 *22528:A2 7.57672e-05 +153 *1708:95 *3474:130 7.00554e-05 +154 *1717:133 *3474:139 0.000328999 +155 *1721:118 *22600:A2 0.000203595 +156 *1744:204 *3474:130 0.00183842 +157 *1751:26 *22744:A2 8.1879e-05 +158 *1751:35 *3474:42 0.00335275 +159 *1751:102 *22744:A2 3.74344e-05 +160 *1777:15 *3474:111 0.000200794 +161 *1805:12 *22744:A2 0.000119313 +162 *2091:124 *3474:12 7.5301e-06 +163 *2093:29 *3474:38 0.000116428 +164 *2255:13 *3474:175 0.000105086 +165 *2286:53 *3474:166 3.39118e-05 +166 *2307:19 *22672:A2 6.98337e-06 +167 *2354:50 *3474:175 2.1583e-05 +168 *2354:55 *3474:175 8.33048e-05 +169 *2361:74 *3474:175 0.000223364 +170 *2411:26 *3474:38 0.000119838 +171 *2479:52 *3474:218 0.000260292 +172 *2480:25 *3474:218 0.000191033 +173 *2531:54 *3474:79 0.00018427 +174 *2531:65 *22798:A2 6.28701e-05 +175 *2531:65 *3474:70 0.000234325 +176 *2531:91 *3474:42 0.000163734 +177 *2543:88 *22780:A2 6.08467e-05 +178 *2546:20 *3474:12 0.000130147 +179 *2573:30 *22798:A2 6.08467e-05 +180 *2595:15 *3474:38 0.000620637 +181 *2634:29 *3474:42 0.000157671 +182 *2690:40 *22637:A2 0.000101605 +183 *2690:40 *3474:18 9.72274e-05 +184 *2697:39 *3474:111 0.000203595 +185 *2746:43 *3474:58 9.53042e-05 +186 *2777:28 *22600:A2 0.000162268 +187 *2778:35 *3474:130 0.000140071 +188 *2825:92 *3474:139 0.000425375 +189 *2851:48 *3474:115 8.37963e-05 +190 *2856:45 *3474:115 2.15954e-05 +191 *2862:21 *3474:115 0.000158451 +192 *2862:21 *3474:130 6.3657e-05 +193 *2863:40 *3474:115 5.88009e-05 +194 *2880:51 *3474:139 4.66492e-05 +195 *2930:64 *22654:A2 0.000135114 +196 *3033:50 *3474:79 0.000778259 +197 *3050:35 *3474:218 0.000484995 +198 *3106:68 *3474:139 0.000385607 +199 *3106:81 *3474:130 0.000393863 +200 *3117:132 *22637:A2 8.6297e-06 +201 *3122:88 *3474:218 0.000261827 +202 *3122:105 *3474:218 0.000140511 +203 *3179:134 *22637:A2 1.41307e-05 +204 *3190:10 *3474:12 0.000123546 +205 *3192:69 *3474:218 3.06917e-06 +206 *3198:190 *22618:A2 0.000129725 +207 *3204:140 *3474:12 1.43259e-05 +208 *3208:165 *3474:195 2.22957e-05 +209 *3315:18 *3474:195 7.58517e-05 +210 *3333:33 *3474:195 0.000139348 +211 *3377:20 *3474:111 0.000274374 +212 *3417:32 *3474:111 0.000132895 +213 *3417:32 *3474:115 0.000272539 +214 *3461:24 *3474:130 0.000410143 +215 *3461:26 *3474:79 5.12669e-05 +216 *3461:26 *3474:111 0.000385144 +217 *3466:21 *3474:38 0.000247949 +218 *3466:185 *3474:195 0.000198155 +219 *3466:185 *3474:199 2.70808e-05 +220 *3466:185 *3474:218 9.76046e-05 +221 *3466:228 *3474:139 0.000294093 +222 *3471:15 *3474:38 0.00135028 +223 *3471:195 *3474:166 0.000163404 +224 *3471:227 *3474:139 5.46297e-06 +*RES +1 *22525:X *3474:12 45.5722 +2 *3474:12 *3474:18 17.5167 +3 *3474:18 *22637:A2 17.2985 +4 *3474:18 *3474:38 25.8472 +5 *3474:38 *3474:42 9.69087 +6 *3474:42 *22744:A2 20.8096 +7 *3474:42 *3474:58 24.2973 +8 *3474:58 *22726:A2 15.0271 +9 *3474:58 *3474:70 9.27381 +10 *3474:70 *22798:A2 11.6364 +11 *3474:70 *3474:79 24.1878 +12 *3474:79 *22780:A2 14.4725 +13 *3474:79 *3474:111 36.6635 +14 *3474:111 *3474:115 19.9993 +15 *3474:115 *22762:A2 9.24915 +16 *3474:115 *3474:130 46.1221 +17 *3474:130 *3474:139 30.1939 +18 *3474:139 *22600:A2 24.1294 +19 *3474:139 *3474:166 19.8778 +20 *3474:166 *3474:175 36.3237 +21 *3474:175 *3474:195 41.3489 +22 *3474:195 *3474:196 57.9449 +23 *3474:196 *3474:199 14.4094 +24 *3474:199 *22708:A2 9.24915 +25 *3474:199 *3474:218 47.069 +26 *3474:218 *22672:A2 18.9094 +27 *3474:175 *22690:A2 9.24915 +28 *3474:166 *22618:A2 20.0474 +29 *3474:130 *22528:A2 15.3735 +30 *3474:38 *22654:A2 19.9881 +*END + +*D_NET *3475 0.000287324 +*CONN +*I *22527:A I *D sky130_fd_sc_hd__buf_6 +*I *22526:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22527:A 8.99139e-05 +2 *22526:X 8.99139e-05 +3 *22527:A *3476:7 0.000107496 +*RES +1 *22526:X *22527:A 20.7649 +*END + +*D_NET *3476 0.067067 +*CONN +*I *22637:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22654:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22744:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22726:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22528:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22690:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22708:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22672:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22618:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22600:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22762:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22780:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22798:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22527:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22637:B2 1.19118e-05 +2 *22654:B2 1.81917e-05 +3 *22744:B2 1.96749e-05 +4 *22726:B2 6.40072e-05 +5 *22528:B2 5.27893e-05 +6 *22690:B2 0 +7 *22708:B2 0 +8 *22672:B2 0 +9 *22618:B2 9.62959e-05 +10 *22600:B2 0.000460442 +11 *22762:B2 0.000108392 +12 *22780:B2 2.22385e-05 +13 *22798:B2 8.14081e-05 +14 *22527:X 0.000466185 +15 *3476:195 0.00107578 +16 *3476:184 0.00175836 +17 *3476:172 0.00163873 +18 *3476:154 0.00190982 +19 *3476:127 0.00242379 +20 *3476:116 0.00204218 +21 *3476:100 0.000991806 +22 *3476:98 0.00136638 +23 *3476:71 0.00216431 +24 *3476:56 0.00127447 +25 *3476:52 0.000950317 +26 *3476:39 0.00194923 +27 *3476:36 0.00174089 +28 *3476:29 0.00163239 +29 *3476:20 0.00194705 +30 *3476:8 0.000640782 +31 *3476:7 0.000505748 +32 *22637:B2 *4805:73 8.3453e-06 +33 *3476:7 *22581:B 8.80953e-05 +34 *3476:7 *22582:A 0.00025175 +35 *3476:7 *3491:17 3.67273e-05 +36 *3476:7 *3531:11 0.000107496 +37 *3476:7 *5948:140 0.000247443 +38 *3476:20 *22643:C1 2.55703e-05 +39 *3476:20 *22653:C 3.63738e-05 +40 *3476:20 *3496:142 0.000133323 +41 *3476:20 *3529:13 2.33459e-05 +42 *3476:29 *22655:C1 0.000863368 +43 *3476:29 *22658:A2 0.00070866 +44 *3476:29 *22661:B1 6.08467e-05 +45 *3476:29 *3493:202 0.000233246 +46 *3476:36 *22655:C1 0.000154145 +47 *3476:36 *3615:12 1.0927e-05 +48 *3476:52 *22745:C1 2.57986e-05 +49 *3476:56 *22727:C1 0.000130688 +50 *3476:71 *22781:C1 3.04577e-06 +51 *3476:71 *3517:53 5.4678e-05 +52 *3476:98 *3702:20 0.000163783 +53 *3476:98 *4850:55 0.000110306 +54 *3476:127 *3541:180 2.47758e-05 +55 *3476:127 *5924:37 0.00234908 +56 *3476:154 *3564:28 1.02986e-05 +57 *3476:172 *22691:C1 6.3657e-05 +58 *3476:184 *22694:A2 0.000300565 +59 *3476:184 *3637:18 0.000154145 +60 *3476:195 *22725:C 0.000198085 +61 *3476:195 *3491:178 4.75835e-05 +62 *3476:195 *3620:30 0.000232637 +63 *3476:195 *3632:25 0.000226979 +64 *6147:DIODE *3476:98 8.26976e-05 +65 *6182:DIODE *3476:39 0.000154145 +66 *19789:A *3476:98 0.000227479 +67 *19801:A2 *3476:154 0.000146741 +68 *19801:A2 *3476:172 0.00113587 +69 *19850:B *3476:39 0.000124702 +70 *21262:C *3476:172 0.00058046 +71 *21395:B2 *3476:195 1.91391e-05 +72 *21422:A1 *3476:195 9.12416e-06 +73 *21493:B1 *3476:36 0.000200794 +74 *21517:B1 *22798:B2 2.16355e-05 +75 *21537:B2 *3476:39 0.000107496 +76 *21537:C1 *3476:39 0.000247443 +77 *21543:C1 *3476:39 0.00134144 +78 *21583:A2 *3476:36 1.5714e-05 +79 *21695:B2 *22780:B2 0.000110297 +80 *21776:A2 *3476:98 4.36031e-05 +81 *21776:B2 *3476:98 1.11715e-05 +82 *21776:C1 *3476:98 0.000147091 +83 *21814:B1 *3476:116 0.000434909 +84 *21833:B *3476:116 0.000247443 +85 *21833:D *3476:116 0.000161262 +86 *21851:A1 *3476:98 8.35425e-05 +87 *21851:C1 *3476:71 3.55968e-05 +88 *21851:C1 *3476:98 0.000392753 +89 *21875:A1 *3476:154 0.00011195 +90 *21875:C1 *3476:154 0.000633556 +91 *21901:B *3476:116 0.000298399 +92 *21902:C *3476:116 2.27305e-05 +93 *22011:A1 *22600:B2 0.000126593 +94 *22011:A1 *3476:154 0.000189389 +95 *22011:B1 *22600:B2 4.9392e-05 +96 *22041:A1 *3476:154 4.25507e-05 +97 *22486:A2 *3476:52 0.00027105 +98 *22486:C1 *3476:71 0.000290247 +99 *22527:A *3476:7 0.000107496 +100 *22528:A1 *22528:B2 1.91187e-05 +101 *22528:B1 *22528:B2 6.08467e-05 +102 *22569:A *3476:7 6.08467e-05 +103 *22618:B1 *22618:B2 9.12416e-06 +104 *22654:A1 *3476:29 0.000111722 +105 *22654:B1 *22654:B2 1.09551e-05 +106 *22658:B2 *3476:29 5.56461e-05 +107 *22690:A1 *3476:172 0.000141642 +108 *22690:A1 *3476:184 6.20292e-05 +109 *22690:B1 *3476:172 4.97617e-05 +110 *22690:B1 *3476:184 3.41459e-05 +111 *22694:A1 *3476:184 9.5562e-05 +112 *22694:B1 *3476:184 7.13204e-05 +113 *22694:B2 *3476:184 0.000107496 +114 *22708:B1 *3476:184 0.000112278 +115 *22744:A1 *3476:39 4.45999e-05 +116 *22744:A2 *3476:39 0.000396003 +117 *22744:B1 *3476:52 0.000110297 +118 *22781:B1 *3476:71 0.00017498 +119 *22781:B1 *3476:98 0.000559199 +120 *22798:A1 *22798:B2 2.53145e-06 +121 *22799:A1 *22726:B2 0 +122 *22799:A1 *3476:56 6.08467e-05 +123 *518:15 *3476:116 1.10848e-05 +124 *520:17 *3476:71 0.000144905 +125 *527:11 *3476:195 0.000212189 +126 *1427:116 *3476:98 0.000168132 +127 *1435:125 *3476:39 2.16355e-05 +128 *1435:133 *3476:39 2.51604e-05 +129 *1435:143 *3476:39 3.33407e-05 +130 *1454:104 *3476:98 5.73797e-06 +131 *1471:230 *3476:39 0.000124384 +132 *1471:230 *3476:52 3.54024e-05 +133 *1515:101 *3476:39 5.73392e-05 +134 *1542:65 *3476:154 0.000159806 +135 *1542:89 *3476:184 0.000629249 +136 *1552:81 *3476:154 0.000205101 +137 *1558:42 *3476:172 0.000159265 +138 *1562:50 *3476:116 4.03746e-05 +139 *1562:50 *3476:127 3.29488e-05 +140 *1573:196 *22528:B2 0.000108696 +141 *1608:13 *3476:39 7.04982e-05 +142 *1627:13 *3476:39 0.000301209 +143 *1652:28 *3476:7 2.85274e-05 +144 *1662:57 *3476:39 0.000438346 +145 *1716:35 *3476:127 0.000138313 +146 *1717:106 *3476:154 7.40684e-06 +147 *1717:106 *3476:172 3.26209e-05 +148 *1717:133 *3476:127 7.42407e-05 +149 *1721:118 *22600:B2 0.000650613 +150 *1772:37 *22798:B2 0.000205101 +151 *1788:89 *3476:116 0.000257501 +152 *1797:41 *22726:B2 2.88016e-05 +153 *1797:41 *3476:56 2.09851e-05 +154 *2256:72 *3476:29 0.000438346 +155 *2258:64 *3476:184 0.000100741 +156 *2266:90 *3476:20 3.63738e-05 +157 *2266:90 *3476:29 0.000282203 +158 *2267:80 *3476:195 0.000111185 +159 *2275:9 *3476:7 0.00168522 +160 *2275:9 *3476:20 0.000403562 +161 *2304:65 *3476:195 0.000570657 +162 *2336:15 *3476:172 2.55549e-05 +163 *2336:15 *3476:184 0 +164 *2480:38 *3476:195 0.000162925 +165 *2508:31 *3476:29 4.97617e-05 +166 *2508:31 *3476:36 1.65872e-05 +167 *2508:45 *3476:36 0.000218331 +168 *2531:54 *3476:56 8.70634e-05 +169 *2531:54 *3476:71 0.000122834 +170 *2546:21 *3476:29 7.92757e-06 +171 *2546:56 *3476:29 1.97974e-05 +172 *2570:141 *3476:29 0.000628707 +173 *2571:67 *3476:52 0.000200794 +174 *2573:30 *22798:B2 6.11872e-05 +175 *2634:29 *3476:36 0.000118025 +176 *2674:8 *3476:116 6.96669e-06 +177 *2674:8 *3476:127 3.75972e-07 +178 *2690:34 *3476:7 3.31745e-05 +179 *2690:40 *3476:20 0.000126021 +180 *2690:40 *3476:29 0.000287801 +181 *2733:21 *22780:B2 0.000110297 +182 *2778:35 *3476:98 2.1203e-06 +183 *2828:47 *22762:B2 0.000300525 +184 *2828:47 *3476:116 0.000731141 +185 *2842:13 *22762:B2 0.000108721 +186 *2842:13 *3476:116 0.000194329 +187 *2850:56 *3476:98 2.82537e-05 +188 *2851:48 *3476:98 2.0575e-05 +189 *2856:45 *3476:98 4.0605e-06 +190 *2857:52 *3476:154 0.000588196 +191 *2882:74 *3476:172 0.000989854 +192 *2884:17 *22618:B2 0.000110121 +193 *2892:37 *3476:116 0.000298399 +194 *3033:50 *3476:52 0.00014261 +195 *3033:50 *3476:56 3.0041e-05 +196 *3044:41 *22600:B2 4.12699e-05 +197 *3050:52 *22600:B2 1.27597e-05 +198 *3050:52 *3476:154 0.000159477 +199 *3064:44 *3476:154 0.000173056 +200 *3117:132 *3476:20 0.000163208 +201 *3126:206 *3476:52 2.85937e-05 +202 *3126:206 *3476:56 0.000252125 +203 *3126:206 *3476:71 0.000268515 +204 *3144:158 *3476:172 0.000185483 +205 *3151:216 *3476:154 1.05594e-05 +206 *3151:216 *3476:184 2.311e-05 +207 *3177:288 *3476:184 0.00010817 +208 *3179:134 *22637:B2 5.22859e-06 +209 *3198:23 *3476:20 0.000133323 +210 *3198:93 *3476:52 9.12416e-06 +211 *3204:122 *3476:7 1.94512e-05 +212 *3204:125 *3476:8 3.8732e-05 +213 *3208:89 *3476:52 2.53098e-05 +214 *3208:90 *3476:52 7.63448e-05 +215 *3222:8 *22600:B2 4.4196e-06 +216 *3249:15 *22618:B2 3.5948e-05 +217 *3327:8 *3476:172 0.000188893 +218 *3417:32 *3476:71 1.48219e-05 +219 *3461:24 *3476:98 0.00081867 +220 *3461:26 *3476:52 6.1578e-06 +221 *3461:26 *3476:98 1.31945e-05 +222 *3466:82 *3476:71 5.75901e-05 +223 *3466:185 *3476:184 3.32072e-05 +224 *3466:228 *22600:B2 0.000380429 +225 *3471:24 *3476:39 0.00126422 +226 *3471:73 *3476:71 1.9886e-05 +227 *3474:58 *3476:52 0.000275974 +228 *3474:79 *3476:71 0.000234542 +229 *3474:111 *3476:71 5.60364e-06 +230 *3474:139 *3476:127 0.00187244 +231 *3474:175 *3476:172 2.77625e-06 +232 *3474:175 *3476:184 0.000107496 +233 *3474:195 *3476:184 0.000680215 +234 *3474:199 *3476:184 0.000350183 +*RES +1 *22527:X *3476:7 38.0782 +2 *3476:7 *3476:8 57.9449 +3 *3476:8 *3476:20 31.4507 +4 *3476:20 *3476:29 45.0923 +5 *3476:29 *3476:36 17.0986 +6 *3476:36 *3476:39 46.225 +7 *3476:39 *3476:52 32.2519 +8 *3476:52 *3476:56 13.1504 +9 *3476:56 *22798:B2 16.7151 +10 *3476:56 *3476:71 20.5916 +11 *3476:71 *22780:B2 15.0271 +12 *3476:71 *3476:98 46.4049 +13 *3476:98 *3476:100 4.5 +14 *3476:100 *22762:B2 13.3243 +15 *3476:100 *3476:116 32.426 +16 *3476:116 *3476:127 11.0999 +17 *3476:127 *22600:B2 28.3677 +18 *3476:127 *3476:154 28.6947 +19 *3476:154 *22618:B2 19.5728 +20 *3476:154 *3476:172 23.8931 +21 *3476:172 *3476:184 34.6371 +22 *3476:184 *3476:195 43.5681 +23 *3476:195 *22672:B2 9.24915 +24 *3476:184 *22708:B2 9.24915 +25 *3476:172 *22690:B2 9.24915 +26 *3476:116 *22528:B2 15.6059 +27 *3476:52 *22726:B2 11.13 +28 *3476:39 *22744:B2 9.82786 +29 *3476:29 *22654:B2 9.82786 +30 *3476:20 *22637:B2 14.1011 +*END + +*D_NET *3477 0.00199729 +*CONN +*I *22529:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22528:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22529:C1 0.000615775 +2 *22528:X 0.000615775 +3 *22324:A2 *22529:C1 0.000140789 +4 *22528:A1 *22529:C1 0.000310524 +5 *1573:196 *22529:C1 6.0682e-05 +6 *1598:82 *22529:C1 0.000210322 +7 *3015:26 *22529:C1 1.2851e-05 +8 *3200:14 *22529:C1 3.0577e-05 +*RES +1 *22528:X *22529:C1 40.5026 +*END + +*D_NET *3478 0.00125242 +*CONN +*I *22598:A I *D sky130_fd_sc_hd__and4_4 +*I *22529:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22598:A 0.000346681 +2 *22529:X 0.000346681 +3 *22598:A *22556:B1 0.000161426 +4 *22598:A *3546:26 1.70577e-05 +5 *22529:A2 *22598:A 2.50167e-05 +6 *1542:65 *22598:A 6.08467e-05 +7 *2569:16 *22598:A 3.67992e-06 +8 *2569:27 *22598:A 0.000291027 +*RES +1 *22529:X *22598:A 36.0871 +*END + +*D_NET *3479 0.0276949 +*CONN +*I *22537:A I *D sky130_fd_sc_hd__or3_1 +*I *22531:A I *D sky130_fd_sc_hd__or3_1 +*I *22577:B I *D sky130_fd_sc_hd__or3_1 +*I *22567:A I *D sky130_fd_sc_hd__or3_1 +*I *22591:C I *D sky130_fd_sc_hd__or4_1 +*I *22581:C I *D sky130_fd_sc_hd__or4_1 +*I *22548:A I *D sky130_fd_sc_hd__or3_1 +*I *22550:B I *D sky130_fd_sc_hd__or3_1 +*I *22530:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *22537:A 0 +2 *22531:A 0.000441769 +3 *22577:B 0.000144939 +4 *22567:A 8.53986e-05 +5 *22591:C 0.0002569 +6 *22581:C 0.000145579 +7 *22548:A 0 +8 *22550:B 0 +9 *22530:X 4.24874e-05 +10 *3479:66 0.000663137 +11 *3479:62 0.000788733 +12 *3479:58 0.000840529 +13 *3479:53 0.00155147 +14 *3479:41 0.00193561 +15 *3479:31 0.000296877 +16 *3479:24 0.000768053 +17 *3479:13 0.00142963 +18 *3479:10 0.00195889 +19 *3479:7 0.00123821 +20 *22531:A *22531:C 0.000113968 +21 *22531:A *3500:18 4.86736e-05 +22 *22531:A *5948:81 5.85325e-05 +23 *22567:A *5948:128 6.08467e-05 +24 *22567:A *5948:140 4.66492e-05 +25 *22581:C *22581:B 8.67924e-06 +26 *22581:C *22581:D 1.25352e-05 +27 *22591:C *22584:A 0.000107496 +28 *22591:C *22592:A 2.57986e-05 +29 *22591:C *22653:A 0.000110297 +30 *22591:C *4805:73 1.17054e-05 +31 *3479:10 *22515:C 0.000655282 +32 *3479:10 *5794:45 4.19401e-06 +33 *3479:10 *5948:17 0.000188915 +34 *3479:10 *5948:30 0.000980838 +35 *3479:13 *22546:D 6.08467e-05 +36 *3479:13 *3496:13 8.88984e-06 +37 *3479:13 *5948:39 0.000483648 +38 *3479:24 *3496:13 2.65831e-05 +39 *3479:41 *3500:18 0.000410551 +40 *3479:53 *22564:A 6.96078e-05 +41 *3479:58 *5948:104 1.96817e-05 +42 *20815:A *3479:13 7.97595e-05 +43 *20816:A *3479:10 5.82934e-05 +44 *20817:A2 *3479:10 2.65904e-05 +45 *20817:B2 *3479:10 0 +46 *22164:A *3479:53 0.000151828 +47 *22531:B *22531:A 3.82228e-05 +48 *22537:B *3479:24 0.000252412 +49 *22579:A *3479:62 6.44379e-05 +50 *22583:A *22567:A 0.000212333 +51 *22591:A *22591:C 0.000207892 +52 *22593:C *22591:C 1.5962e-05 +53 *24364:D *3479:10 9.49244e-05 +54 *506:32 *3479:24 0.000105237 +55 *541:38 *3479:10 0 +56 *541:46 *3479:10 0.000786573 +57 *547:33 *3479:24 0.00032402 +58 *2088:113 *3479:53 0.00159405 +59 *2089:28 *3479:13 1.92172e-05 +60 *2091:19 *3479:24 5.41227e-05 +61 *2091:28 *3479:24 0.000128896 +62 *2091:28 *3479:31 6.05941e-05 +63 *2091:37 *3479:31 0.000162447 +64 *2091:37 *3479:41 1.86178e-05 +65 *2091:48 *3479:41 9.4385e-05 +66 *2091:63 *3479:58 0.000132662 +67 *2091:72 *3479:58 0.000190868 +68 *2098:34 *3479:53 0.000133035 +69 *2098:36 *3479:53 8.20363e-05 +70 *2098:49 *3479:58 0.00038116 +71 *2115:39 *22531:A 2.57365e-05 +72 *2122:23 *3479:24 0.000200794 +73 *2282:88 *22577:B 0.000189407 +74 *2282:88 *3479:62 0.000158357 +75 *2378:12 *3479:10 6.51376e-05 +76 *2418:24 *3479:53 0.000357124 +77 *2418:24 *3479:62 0.000437488 +78 *2418:24 *3479:66 4.62339e-05 +79 *2418:28 *22591:C 0.000219028 +80 *2418:28 *3479:66 0.000249021 +81 *2440:42 *3479:7 6.50586e-05 +82 *2635:87 *22567:A 0.000340742 +83 *2690:34 *3479:62 5.04773e-05 +84 *2690:34 *3479:66 0.000155247 +85 *2930:26 *3479:53 5.89592e-05 +86 *3104:22 *22531:A 2.57465e-06 +87 *3109:8 *3479:24 5.41227e-05 +88 *3109:17 *3479:24 8.89094e-05 +89 *3112:8 *3479:53 6.91696e-05 +90 *3112:19 *3479:53 0.000529347 +91 *3137:9 *22531:A 0.000240085 +92 *3139:10 *3479:53 0.000198729 +93 *3151:141 *3479:66 1.84769e-05 +94 *3160:22 *3479:24 0.000170795 +95 *3160:22 *3479:31 0.000223539 +96 *3160:22 *3479:41 0.000115146 +97 *3177:27 *3479:10 0 +98 *3185:18 *22531:A 0.000861689 +99 *3185:18 *3479:41 0.000406995 +100 *3204:140 *22591:C 0.000212039 +101 *3204:140 *3479:66 5.88009e-05 +102 *3472:30 *3479:24 9.56861e-05 +103 *3474:12 *22591:C 0.000156946 +*RES +1 *22530:X *3479:7 14.4725 +2 *3479:7 *3479:10 42.4589 +3 *3479:10 *3479:13 12.2495 +4 *3479:13 *3479:24 32.7322 +5 *3479:24 *22550:B 13.7491 +6 *3479:24 *3479:31 4.32351 +7 *3479:31 *22548:A 13.7491 +8 *3479:31 *3479:41 6.40898 +9 *3479:41 *3479:53 48.5199 +10 *3479:53 *3479:58 17.0608 +11 *3479:58 *3479:62 15.2239 +12 *3479:62 *3479:66 7.27913 +13 *3479:66 *22581:C 16.7392 +14 *3479:66 *22591:C 23.0935 +15 *3479:62 *22567:A 17.8002 +16 *3479:58 *22577:B 11.6364 +17 *3479:41 *22531:A 21.3569 +18 *3479:13 *22537:A 9.24915 +*END + +*D_NET *3480 0.00165618 +*CONN +*I *22532:A I *D sky130_fd_sc_hd__buf_6 +*I *22531:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22532:A 0.000352537 +2 *22531:X 0.000352537 +3 *22532:A *3481:9 0.000200794 +4 *22532:A *4866:110 0.000340742 +5 *22215:D *22532:A 4.58003e-05 +6 *2115:39 *22532:A 0.000247833 +7 *3104:22 *22532:A 0.00011594 +*RES +1 *22531:X *22532:A 36.7384 +*END + +*D_NET *3481 0.0576181 +*CONN +*I *22675:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22711:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22693:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22621:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22603:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22540:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22639:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22657:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22765:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22801:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22747:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22729:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22783:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22532:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22675:A2 0 +2 *22711:A2 0.000195164 +3 *22693:A2 1.96421e-05 +4 *22621:A2 0.000298126 +5 *22603:A2 1.87282e-05 +6 *22540:A2 0.000763681 +7 *22639:A2 0.000136085 +8 *22657:A2 0.000825698 +9 *22765:A2 7.69668e-05 +10 *22801:A2 4.71039e-05 +11 *22747:A2 0.000273602 +12 *22729:A2 0 +13 *22783:A2 0.000465863 +14 *22532:X 0.00140413 +15 *3481:215 0.000949942 +16 *3481:160 0.00084242 +17 *3481:159 0.000321625 +18 *3481:155 0.000468259 +19 *3481:153 0.0012648 +20 *3481:145 0.00096327 +21 *3481:140 0.00114099 +22 *3481:136 0.00195157 +23 *3481:102 0.00220356 +24 *3481:80 0.00166219 +25 *3481:77 0.0018488 +26 *3481:46 0.000857058 +27 *3481:37 0.000890346 +28 *3481:21 0.00116864 +29 *3481:17 0.00228994 +30 *3481:16 0.00257502 +31 *3481:9 0.00344579 +32 *22540:A2 *3483:163 3.99086e-06 +33 *22603:A2 *22621:B2 1.81413e-05 +34 *22621:A2 *22644:B1 5.04829e-06 +35 *22639:A2 *22639:B1 4.80635e-06 +36 *22639:A2 *22657:B2 0 +37 *22639:A2 *3483:90 1.64789e-05 +38 *22639:A2 *3529:13 7.60356e-05 +39 *22639:A2 *4807:58 2.23259e-05 +40 *22693:A2 *3483:149 3.41459e-05 +41 *22711:A2 *22675:B2 3.41459e-05 +42 *22711:A2 *3491:146 2.17142e-05 +43 *22747:A2 *22747:B2 0.000111802 +44 *22747:A2 *22815:B 0.000119182 +45 *22747:A2 *3719:44 5.37563e-05 +46 *22747:A2 *3737:15 0.000114584 +47 *22765:A2 *22765:B2 0.000101489 +48 *22783:A2 *22783:B2 0.000151268 +49 *22783:A2 *22783:C1 7.55603e-05 +50 *22783:A2 *22797:B 3.53886e-05 +51 *22783:A2 *3719:44 0.000260538 +52 *22801:A2 *22747:B2 6.08467e-05 +53 *22801:A2 *3483:44 2.65465e-05 +54 *22801:A2 *3737:15 9.32983e-05 +55 *3481:9 *24234:RESET_B 0.000546711 +56 *3481:9 *4827:29 2.81262e-05 +57 *3481:9 *4866:110 0.000144769 +58 *3481:16 *24234:RESET_B 0.000305487 +59 *3481:16 *24234:CLK 4.41137e-05 +60 *3481:16 *4866:110 4.95933e-05 +61 *3481:17 *3483:11 0.00262616 +62 *3481:21 *3483:11 4.66492e-05 +63 *3481:21 *3483:15 0.000442484 +64 *3481:37 *3483:30 3.26405e-05 +65 *3481:37 *3719:44 0.000101932 +66 *3481:46 *3719:44 0 +67 *3481:77 *21657:A1_N 1.50389e-06 +68 *3481:77 *3483:8 0.000351219 +69 *3481:77 *3502:18 5.15545e-05 +70 *3481:77 *3513:10 6.36477e-05 +71 *3481:80 *22639:C1 0.000106656 +72 *3481:80 *3513:10 0.000695857 +73 *3481:102 *3513:10 4.65119e-05 +74 *3481:136 *22305:A1 1.91391e-05 +75 *3481:136 *22640:A2 3.29488e-05 +76 *3481:136 *22641:A1 0.000143732 +77 *3481:136 *3496:142 0.000160612 +78 *3481:136 *3498:163 0.000397934 +79 *3481:136 *3517:15 5.60804e-05 +80 *3481:136 *3527:24 0.000149104 +81 *3481:136 *3529:13 0.000434429 +82 *3481:136 *3533:30 0.000272315 +83 *3481:136 *3582:10 0.000101188 +84 *3481:136 *3598:11 0.000282191 +85 *3481:136 *4808:121 0.000130501 +86 *3481:136 *4812:38 7.08288e-05 +87 *3481:136 *4827:51 0.000544896 +88 *3481:136 *4827:79 1.90192e-05 +89 *3481:140 *4827:79 0.000266443 +90 *3481:153 *3483:149 0.000535951 +91 *3481:153 *3509:42 3.83492e-06 +92 *3481:153 *3537:35 0.00067588 +93 *3481:155 *22621:B2 0.000163128 +94 *3481:155 *3537:35 6.08467e-05 +95 *3481:159 *22621:B2 1.65872e-05 +96 *3481:159 *3483:173 0.000107496 +97 *3481:215 *22650:A1 6.08467e-05 +98 *3481:215 *22650:B1 0.000208956 +99 *3481:215 *22651:C1 6.3657e-05 +100 *3481:215 *22675:B2 7.92757e-06 +101 *3481:215 *3483:135 4.70833e-05 +102 *21193:B1 *22657:A2 0.000109796 +103 *21352:A1 *3481:136 3.29141e-05 +104 *21487:A1 *22540:A2 0.000586906 +105 *21657:B1 *3481:77 0.000214486 +106 *21658:C1 *3481:136 0.000174501 +107 *21658:C1 *3481:140 2.44675e-05 +108 *21921:B2 *22747:A2 2.27135e-05 +109 *22157:B *3481:77 6.22259e-05 +110 *22159:A *3481:9 6.3657e-05 +111 *22159:C *3481:9 0.000206256 +112 *22165:D *3481:77 0.000282061 +113 *22176:C *3481:77 1.17108e-05 +114 *22186:A *3481:9 0.000544509 +115 *22186:B *3481:9 6.08467e-05 +116 *22194:C *3481:77 2.86797e-05 +117 *22195:A *3481:77 0.000121774 +118 *22276:A1 *22621:A2 1.27831e-06 +119 *22282:A1 *3481:159 4.31703e-05 +120 *22282:B1 *3481:159 3.82228e-05 +121 *22282:B2 *3481:159 7.92757e-06 +122 *22306:C *22711:A2 1.72919e-05 +123 *22313:B2 *3481:153 2.27175e-05 +124 *22532:A *3481:9 0.000200794 +125 *22540:B1 *22540:A2 1.47978e-05 +126 *22603:A1 *22621:A2 3.77568e-05 +127 *22603:A1 *3481:153 1.65872e-05 +128 *22603:A1 *3481:155 8.93134e-05 +129 *22621:A1 *22621:A2 4.23858e-05 +130 *22657:A1 *22657:A2 3.63593e-05 +131 *22675:B1 *3481:215 7.00286e-05 +132 *22693:A1 *3481:145 4.56767e-05 +133 *22693:A1 *3481:215 5.04829e-06 +134 *22693:B1 *22693:A2 3.14691e-05 +135 *22693:B1 *3481:145 7.11131e-05 +136 *22693:B1 *3481:153 7.92757e-06 +137 *22801:B1 *22747:A2 1.47875e-05 +138 *543:12 *22783:A2 0.000579376 +139 *543:12 *3481:37 0.000213859 +140 *1502:204 *3481:16 2.28755e-05 +141 *1545:54 *22657:A2 0.00132188 +142 *1576:35 *22621:A2 0.000190888 +143 *1583:53 *22540:A2 0.000269478 +144 *1583:74 *22540:A2 0.000124494 +145 *1588:36 *22783:A2 0.000216557 +146 *1588:64 *22747:A2 1.27831e-06 +147 *1588:64 *3481:37 0.000142376 +148 *1588:64 *3481:46 0.000771766 +149 *1608:30 *22621:A2 8.39045e-05 +150 *1649:80 *3481:16 9.2038e-05 +151 *1655:29 *22540:A2 0.00025175 +152 *1735:132 *22540:A2 0.000856555 +153 *1802:143 *3481:153 5.01835e-05 +154 *2093:10 *22639:A2 7.86728e-05 +155 *2093:10 *3481:136 0.000427448 +156 *2368:23 *3481:77 3.40174e-05 +157 *2378:41 *3481:16 7.78711e-05 +158 *2411:26 *3481:77 0.000314406 +159 *2411:26 *3481:80 6.1578e-06 +160 *2478:15 *22711:A2 1.47978e-05 +161 *2478:15 *3481:215 1.32109e-05 +162 *2503:67 *3481:153 6.9177e-05 +163 *2503:99 *22540:A2 6.43743e-05 +164 *2503:99 *3481:159 1.8245e-05 +165 *2523:123 *22540:A2 0.000352272 +166 *2547:12 *3481:37 0.000142376 +167 *2547:12 *3481:46 0.000686387 +168 *2570:103 *3481:140 0.000180443 +169 *2590:36 *22747:A2 0.000242597 +170 *2630:9 *22639:A2 0.000107496 +171 *2635:95 *3481:102 0.000135114 +172 *2636:28 *22657:A2 0.000514549 +173 *2636:40 *22657:A2 3.5534e-06 +174 *2637:29 *3481:77 0.000212198 +175 *2694:36 *3481:77 7.51595e-05 +176 *2694:38 *3481:80 0.000227468 +177 *2694:38 *3481:102 1.49935e-05 +178 *2694:38 *3481:136 1.27368e-05 +179 *2772:15 *22711:A2 6.08467e-05 +180 *2772:15 *3481:215 2.77625e-06 +181 *2772:38 *3481:215 0.000854696 +182 *2923:14 *22747:A2 5.93375e-06 +183 *2930:26 *3481:77 5.83668e-05 +184 *3021:39 *22621:A2 8.61737e-06 +185 *3063:45 *22747:A2 4.71315e-05 +186 *3117:74 *22765:A2 9.54463e-05 +187 *3126:142 *3481:77 0.000181783 +188 *3136:42 *3481:77 4.24821e-05 +189 *3142:233 *22711:A2 0.000151898 +190 *3148:183 *3481:136 6.87503e-05 +191 *3153:26 *3481:77 1.66626e-05 +192 *3157:21 *3481:77 0.000147379 +193 *3162:11 *3481:16 0.000112895 +194 *3175:27 *3481:77 0.000185483 +195 *3179:27 *3481:77 3.74542e-05 +196 *3179:29 *3481:37 0.000398169 +197 *3179:167 *3481:136 7.22263e-05 +198 *3198:23 *3481:136 0.000153427 +199 *3243:22 *3481:159 0.000355718 +200 *3385:15 *3481:37 0.00039746 +201 *3471:15 *3481:136 5.60804e-05 +*RES +1 *22532:X *3481:9 34.568 +2 *3481:9 *3481:16 22.6391 +3 *3481:16 *3481:17 30.672 +4 *3481:17 *3481:21 10.2148 +5 *3481:21 *22783:A2 28.3497 +6 *3481:21 *3481:37 21.4221 +7 *3481:37 *22729:A2 13.7491 +8 *3481:37 *3481:46 20.8658 +9 *3481:46 *22747:A2 27.1727 +10 *3481:46 *22801:A2 11.1059 +11 *3481:17 *22765:A2 20.4964 +12 *3481:9 *3481:77 45.6089 +13 *3481:77 *3481:80 19.4124 +14 *3481:80 *22657:A2 23.685 +15 *3481:80 *3481:102 14.2601 +16 *3481:102 *22639:A2 17.8478 +17 *3481:102 *3481:136 44.9084 +18 *3481:136 *3481:140 10.2769 +19 *3481:140 *3481:145 3.68932 +20 *3481:145 *3481:153 25.5893 +21 *3481:153 *3481:155 5.16022 +22 *3481:155 *3481:159 16.0732 +23 *3481:159 *3481:160 104.301 +24 *3481:160 *22540:A2 41.6229 +25 *3481:155 *22603:A2 9.82786 +26 *3481:153 *22621:A2 26.2006 +27 *3481:145 *22693:A2 10.2378 +28 *3481:140 *3481:215 20.4721 +29 *3481:215 *22711:A2 23.3618 +30 *3481:215 *22675:A2 9.24915 +*END + +*D_NET *3482 0.00100511 +*CONN +*I *22534:A I *D sky130_fd_sc_hd__buf_6 +*I *22533:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22534:A 0.000195883 +2 *22533:X 0.000195883 +3 *2123:29 *22534:A 6.08467e-05 +4 *2418:16 *22534:A 0.000280517 +5 *3469:12 *22534:A 0.000271981 +*RES +1 *22533:X *22534:A 33.791 +*END + +*D_NET *3483 0.0603282 +*CONN +*I *22675:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22711:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22693:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22603:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22621:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22540:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22639:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22657:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22765:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22801:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22747:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22729:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22783:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22534:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22675:B2 8.28542e-05 +2 *22711:B2 0.00020756 +3 *22693:B2 0 +4 *22603:B2 0 +5 *22621:B2 0.00028449 +6 *22540:B2 0 +7 *22639:B2 2.21085e-05 +8 *22657:B2 0.000519108 +9 *22765:B2 0.000137264 +10 *22801:B2 0 +11 *22747:B2 0.000355885 +12 *22729:B2 5.78128e-05 +13 *22783:B2 0.000523499 +14 *22534:X 0.00117985 +15 *3483:206 0.000840531 +16 *3483:173 0.000465958 +17 *3483:163 0.000955235 +18 *3483:149 0.00213587 +19 *3483:135 0.00147992 +20 *3483:124 0.0027405 +21 *3483:90 0.000555131 +22 *3483:87 0.00257943 +23 *3483:86 0.00176392 +24 *3483:44 0.000940863 +25 *3483:30 0.0010357 +26 *3483:15 0.00105724 +27 *3483:11 0.0012342 +28 *3483:10 0.00186414 +29 *3483:8 0.00117985 +30 *22639:B2 *4807:58 1.65872e-05 +31 *22657:B2 *22639:B1 0 +32 *22657:B2 *4807:58 5.04829e-06 +33 *22657:B2 *4807:62 6.92201e-05 +34 *22657:B2 *4807:82 0.000202248 +35 *22675:B2 *22650:B1 2.1203e-06 +36 *22675:B2 *22675:C1 3.29488e-05 +37 *22711:B2 *22675:C1 9.07661e-05 +38 *22711:B2 *3498:179 6.84274e-05 +39 *22711:B2 *3537:19 2.137e-05 +40 *22747:B2 *22747:C1 3.12828e-05 +41 *22747:B2 *22801:C1 6.12989e-05 +42 *22747:B2 *22815:B 2.54243e-05 +43 *22747:B2 *3498:112 0.000107496 +44 *22747:B2 *3719:44 0.000396937 +45 *22747:B2 *3737:15 2.03542e-05 +46 *22783:B2 *22783:C1 7.92757e-06 +47 *22783:B2 *22797:B 0.00032171 +48 *22783:B2 *3719:44 0.000277349 +49 *22783:B2 *3737:12 0.00010971 +50 *3483:8 *3498:11 7.5301e-06 +51 *3483:8 *3502:18 1.20894e-05 +52 *3483:11 *21657:A1_N 0.000158476 +53 *3483:30 *3719:44 8.94611e-05 +54 *3483:30 *3737:12 9.94986e-05 +55 *3483:44 *22815:B 3.82228e-05 +56 *3483:44 *3737:12 0.000727623 +57 *3483:44 *3737:15 7.92757e-06 +58 *3483:86 *4856:30 0.000123187 +59 *3483:124 *3496:142 0.00184643 +60 *3483:124 *3517:15 0.000328827 +61 *3483:124 *4827:51 0.00122633 +62 *3483:135 *21696:B1 0.00030368 +63 *3483:135 *3636:11 0.000163602 +64 *3483:135 *4815:98 3.77568e-05 +65 *3483:135 *4817:120 0.000301059 +66 *3483:135 *4827:79 2.9617e-06 +67 *3483:149 *4811:42 5.89592e-05 +68 *3483:149 *4815:122 0.000407617 +69 *3483:163 *3489:13 0.000105486 +70 *3483:163 *3509:151 0.000146329 +71 *3483:163 *4815:122 0.000474215 +72 *3483:206 *21696:B1 2.43368e-05 +73 *3483:206 *22650:B1 0.000102079 +74 *3483:206 *22675:C1 1.80071e-06 +75 *3483:206 *3531:43 0.000132739 +76 *3483:206 *3541:13 2.51389e-05 +77 *3483:206 *3541:29 0.000358976 +78 *3483:206 *4817:120 0.000810081 +79 *21320:A2 *22657:B2 0.00012858 +80 *21801:A2 *3483:163 0.000205101 +81 *21994:D *22747:B2 0.00026122 +82 *22160:A *3483:8 0.000302611 +83 *22165:A *3483:86 1.24546e-05 +84 *22185:B *3483:86 4.70202e-05 +85 *22185:C *3483:87 1.02986e-05 +86 *22185:D *3483:86 3.74344e-05 +87 *22185:D *3483:87 1.5714e-05 +88 *22195:A *3483:86 8.04172e-05 +89 *22195:A *3483:87 1.5714e-05 +90 *22195:C *3483:87 1.94347e-05 +91 *22195:D *3483:87 1.91391e-05 +92 *22205:A *3483:86 0.000178842 +93 *22282:A2 *3483:173 2.14842e-06 +94 *22408:B1 *3483:30 1.00846e-05 +95 *22531:B *3483:8 1.21461e-06 +96 *22540:A2 *3483:163 3.99086e-06 +97 *22540:B1 *3483:163 2.96784e-06 +98 *22602:A1 *22621:B2 6.61215e-06 +99 *22603:A1 *22621:B2 0.000107496 +100 *22603:A2 *22621:B2 1.81413e-05 +101 *22603:B1 *22621:B2 7.18018e-05 +102 *22603:B1 *3483:173 1.65872e-05 +103 *22621:A1 *22621:B2 3.82228e-05 +104 *22639:A2 *22657:B2 0 +105 *22639:A2 *3483:90 1.64789e-05 +106 *22675:B1 *22675:B2 0.000117455 +107 *22693:A1 *3483:135 0.000169446 +108 *22693:A2 *3483:149 3.41459e-05 +109 *22693:B1 *3483:135 0.000221362 +110 *22711:A2 *22675:B2 3.41459e-05 +111 *22711:B1 *22711:B2 2.57986e-05 +112 *22729:A1 *22729:B2 6.50727e-05 +113 *22729:A1 *3483:30 0.000112207 +114 *22729:B1 *22729:B2 4.97617e-05 +115 *22747:A2 *22747:B2 0.000111802 +116 *22747:B1 *22747:B2 3.15947e-05 +117 *22765:A2 *22765:B2 0.000101489 +118 *22783:A2 *22783:B2 0.000151268 +119 *22783:B1 *22783:B2 1.45853e-05 +120 *22801:A2 *22747:B2 6.08467e-05 +121 *22801:A2 *3483:44 2.65465e-05 +122 *543:12 *3483:30 4.0605e-06 +123 *1545:54 *3483:124 3.35866e-05 +124 *1561:154 *3483:163 0.000107496 +125 *1583:53 *3483:163 6.44879e-05 +126 *1583:74 *3483:163 7.20435e-06 +127 *1588:36 *22783:B2 0.000181995 +128 *1659:24 *3483:30 4.81257e-05 +129 *1688:91 *3483:206 0.000114237 +130 *1800:249 *22765:B2 7.60356e-05 +131 *2093:10 *3483:87 0.000135408 +132 *2244:73 *3483:124 0.00030534 +133 *2286:36 *3483:173 0.000149783 +134 *2313:56 *22711:B2 3.63593e-05 +135 *2378:33 *3483:8 0.000640009 +136 *2411:26 *3483:8 0.000425016 +137 *2503:67 *22621:B2 3.03864e-05 +138 *2503:67 *3483:173 7.92757e-06 +139 *2503:99 *3483:163 0.000722529 +140 *2503:99 *3483:173 2.41274e-06 +141 *2511:9 *22657:B2 0.00133642 +142 *2547:18 *3483:44 2.92012e-05 +143 *2547:26 *3483:44 7.09666e-06 +144 *2570:138 *22621:B2 0.000114192 +145 *2630:9 *22639:B2 2.16355e-05 +146 *2630:9 *22657:B2 0.000103843 +147 *2630:16 *22657:B2 2.16608e-05 +148 *2631:70 *22621:B2 4.15661e-05 +149 *2631:70 *3483:149 6.40861e-05 +150 *2636:28 *3483:124 0.000316354 +151 *2675:99 *3483:149 0.000822962 +152 *2675:99 *3483:163 7.54269e-06 +153 *2694:7 *3483:11 5.88657e-05 +154 *2694:36 *3483:11 7.00687e-05 +155 *2694:36 *3483:87 3.54949e-06 +156 *2694:38 *3483:87 0.000937793 +157 *2694:38 *3483:124 3.29232e-05 +158 *2751:8 *22747:B2 4.64509e-05 +159 *2772:15 *22675:B2 0.000154145 +160 *2772:48 *3483:149 0.00025175 +161 *2772:48 *3483:163 0.000200794 +162 *2814:24 *22621:B2 3.04443e-05 +163 *2814:38 *22621:B2 0.000144069 +164 *2923:16 *3483:44 0.000792961 +165 *3041:71 *3483:149 6.76686e-05 +166 *3071:67 *3483:163 0.000149754 +167 *3111:28 *3483:124 0.00108705 +168 *3117:74 *22765:B2 1.17955e-05 +169 *3118:27 *3483:8 0.000150271 +170 *3124:15 *3483:8 0.000689218 +171 *3128:26 *3483:124 2.05082e-05 +172 *3128:46 *3483:124 0.000898636 +173 *3136:29 *3483:86 1.24546e-05 +174 *3139:25 *3483:11 0.00201823 +175 *3139:25 *3483:15 0.000535782 +176 *3139:156 *3483:124 4.47494e-06 +177 *3144:92 *3483:86 0.000375016 +178 *3148:20 *3483:86 0.000422888 +179 *3148:24 *3483:86 0.000303987 +180 *3148:46 *3483:86 8.04172e-05 +181 *3168:7 *3483:11 0.00018744 +182 *3168:7 *3483:86 0.000257981 +183 *3175:37 *22729:B2 0.000200794 +184 *3175:37 *3483:30 0.000160617 +185 *3179:134 *3483:87 0.000272064 +186 *3179:134 *3483:124 3.91685e-05 +187 *3208:118 *3483:149 5.99529e-06 +188 *3208:118 *3483:163 0.00170494 +189 *3243:22 *3483:173 0.000110505 +190 *3471:15 *3483:124 0.00033364 +191 *3481:17 *3483:11 0.00262616 +192 *3481:21 *3483:11 4.66492e-05 +193 *3481:21 *3483:15 0.000442484 +194 *3481:37 *3483:30 3.26405e-05 +195 *3481:77 *3483:8 0.000351219 +196 *3481:153 *3483:149 0.000535951 +197 *3481:155 *22621:B2 0.000163128 +198 *3481:159 *22621:B2 1.65872e-05 +199 *3481:159 *3483:173 0.000107496 +200 *3481:215 *22675:B2 7.92757e-06 +201 *3481:215 *3483:135 4.70833e-05 +*RES +1 *22534:X *3483:8 46.6572 +2 *3483:8 *3483:10 4.5 +3 *3483:10 *3483:11 38.4364 +4 *3483:11 *3483:15 10.7694 +5 *3483:15 *22783:B2 28.3738 +6 *3483:15 *3483:30 13.9781 +7 *3483:30 *22729:B2 11.6364 +8 *3483:30 *3483:44 27.6378 +9 *3483:44 *22747:B2 31.2478 +10 *3483:44 *22801:B2 9.24915 +11 *3483:11 *22765:B2 21.3269 +12 *3483:10 *3483:86 34.9244 +13 *3483:86 *3483:87 21.9717 +14 *3483:87 *3483:90 5.07872 +15 *3483:90 *22657:B2 28.9014 +16 *3483:90 *22639:B2 9.97254 +17 *3483:87 *3483:124 13.6077 +18 *3483:124 *3483:135 18.2239 +19 *3483:135 *3483:149 32.6009 +20 *3483:149 *3483:163 41.3271 +21 *3483:163 *22540:B2 9.24915 +22 *3483:149 *3483:173 13.771 +23 *3483:173 *22621:B2 27.7034 +24 *3483:173 *22603:B2 9.24915 +25 *3483:135 *22693:B2 9.24915 +26 *3483:124 *3483:206 9.12319 +27 *3483:206 *22711:B2 18.894 +28 *3483:206 *22675:B2 16.9543 +*END + +*D_NET *3484 0.000398695 +*CONN +*I *22536:A I *D sky130_fd_sc_hd__buf_6 +*I *22535:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22536:A 5.78143e-05 +2 *22535:X 5.78143e-05 +3 *2089:37 *22536:A 0.000141533 +4 *3467:8 *22536:A 0.000141533 +*RES +1 *22535:X *22536:A 30.1608 +*END + +*D_NET *3485 0.0657329 +*CONN +*I *22638:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22710:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22674:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22692:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22602:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22539:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22620:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22746:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22656:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22764:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22782:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22728:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22800:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22536:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22638:A2 0.000856166 +2 *22710:A2 0.000183794 +3 *22674:A2 0 +4 *22692:A2 0.000233181 +5 *22602:A2 0.000105826 +6 *22539:A2 0.000350025 +7 *22620:A2 0 +8 *22746:A2 0.00112651 +9 *22656:A2 0 +10 *22764:A2 0.00144075 +11 *22782:A2 7.11794e-05 +12 *22728:A2 0.000357347 +13 *22800:A2 0.000181012 +14 *22536:X 0.00166132 +15 *3485:210 0.00114282 +16 *3485:197 0.00168134 +17 *3485:163 0.00138237 +18 *3485:147 0.00137062 +19 *3485:137 0.000497877 +20 *3485:136 0.000782625 +21 *3485:133 0.00178564 +22 *3485:115 0.00340098 +23 *3485:104 0.00213401 +24 *3485:94 0.000811736 +25 *3485:90 0.00205391 +26 *3485:35 0.000484696 +27 *3485:25 0.000722641 +28 *3485:21 0.00215925 +29 *3485:19 0.00335679 +30 *22602:A2 *22621:C1 5.88009e-05 +31 *22638:A2 *3509:24 0.000165993 +32 *22638:A2 *3527:16 1.4091e-06 +33 *22638:A2 *4827:32 6.35825e-05 +34 *22692:A2 *22692:B2 0.000289611 +35 *22692:A2 *22693:C1 6.50727e-05 +36 *22692:A2 *3487:233 6.08467e-05 +37 *22710:A2 *3531:43 0.000192587 +38 *22710:A2 *3541:13 0.000192587 +39 *22728:A2 *22728:B2 7.56446e-05 +40 *22728:A2 *22782:B2 0.000114584 +41 *22746:A2 *22746:B2 0.00019206 +42 *22764:A2 *3500:33 0.000209991 +43 *22764:A2 *4824:180 0.000107496 +44 *22782:A2 *22782:B2 8.48077e-06 +45 *22800:A2 *22782:B2 1.11638e-05 +46 *22800:A2 *22800:B2 4.17531e-06 +47 *22800:A2 *3487:30 2.10081e-05 +48 *22800:A2 *3487:33 7.5301e-06 +49 *22800:A2 *5854:490 0.000458986 +50 *3485:19 *21689:B1 3.54507e-05 +51 *3485:19 *22538:A 0.000154145 +52 *3485:19 *3487:13 0.00131118 +53 *3485:19 *4819:72 2.09832e-05 +54 *3485:19 *4865:24 7.67158e-05 +55 *3485:19 *5563:45 0 +56 *3485:19 *5859:258 5.94432e-05 +57 *3485:19 *5944:68 0.000126107 +58 *3485:35 *22782:B2 1.85923e-05 +59 *3485:90 *21062:B1 0.000119145 +60 *3485:90 *3487:81 0.00036051 +61 *3485:90 *4819:72 0.000701187 +62 *3485:90 *4824:180 0.000317566 +63 *3485:90 *4920:13 1.5714e-05 +64 *3485:94 *21064:B1 0.000140617 +65 *3485:133 *3498:132 4.67882e-05 +66 *3485:133 *3511:28 3.65403e-05 +67 *3485:133 *3587:8 0.000760004 +68 *3485:136 *3509:42 3.74542e-05 +69 *3485:163 *21622:A 0.00145729 +70 *3485:163 *21624:A1 0.000503488 +71 *3485:163 *22621:C1 4.49767e-05 +72 *3485:163 *3487:137 3.01013e-05 +73 *3485:163 *3519:332 4.49912e-05 +74 *3485:163 *4825:146 0.000445444 +75 *3485:197 *22651:B1 5.1493e-06 +76 *3485:197 *3487:214 5.91734e-05 +77 *3485:197 *3597:18 0.000273279 +78 *3485:210 *22675:C1 1.47978e-05 +79 *3485:210 *22710:B2 5.51483e-06 +80 *3485:210 *3487:233 0.00114464 +81 *21062:A2 *3485:90 4.49767e-05 +82 *21212:A1 *3485:133 6.39153e-06 +83 *21212:A2 *3485:133 3.77568e-05 +84 *21219:C1 *22746:A2 0.00158793 +85 *21321:A1 *3485:115 0.000107496 +86 *21321:B2 *3485:115 0.000207883 +87 *21333:B1 *3485:19 2.29454e-05 +88 *21351:B1 *22764:A2 1.9755e-05 +89 *21500:B1 *22539:A2 0.000110071 +90 *21500:D1 *22539:A2 6.35286e-05 +91 *21537:A1 *3485:163 4.15661e-05 +92 *21652:A2 *3485:90 3.52699e-05 +93 *21658:C1 *3485:197 0.000364261 +94 *21881:A1 *22764:A2 0.000144123 +95 *21995:D *22764:A2 0.000315815 +96 *22245:A *3485:19 0.000180685 +97 *22320:A2 *22746:A2 2.20583e-05 +98 *22320:B1 *22746:A2 0.000162739 +99 *22320:B2 *22746:A2 4.90699e-05 +100 *22330:A2 *3485:133 0.000358976 +101 *22338:A *3485:163 3.57883e-05 +102 *22602:A1 *22602:A2 2.4667e-06 +103 *22620:B1 *3485:163 1.9101e-05 +104 *22621:A1 *22602:A2 1.69738e-05 +105 *22674:A1 *22710:A2 6.08467e-05 +106 *22674:A1 *3485:210 3.06957e-05 +107 *22728:A1 *22728:A2 0.000163418 +108 *22746:A1 *22746:A2 0.000154145 +109 *22782:A1 *22782:A2 5.66418e-05 +110 *22782:B1 *22728:A2 1.77165e-05 +111 *22800:A1 *22800:A2 0.000406745 +112 *24773:D *3485:25 0.000345453 +113 *520:17 *22539:A2 8.08437e-05 +114 *520:27 *3485:133 6.03122e-05 +115 *545:23 *3485:19 8.11504e-05 +116 *1439:145 *3485:19 0.000524119 +117 *1502:201 *22746:A2 0.000871749 +118 *1502:201 *3485:115 0.000449106 +119 *1542:174 *3485:104 0.000163249 +120 *1553:36 *22764:A2 1.9101e-05 +121 *1576:31 *3485:197 2.07365e-05 +122 *1577:165 *3485:90 2.06155e-05 +123 *1583:35 *3485:137 0.000670096 +124 *1583:35 *3485:147 0.000277535 +125 *1583:35 *3485:197 0.000770693 +126 *1592:10 *22539:A2 0.000110297 +127 *1592:16 *3485:163 0.000345048 +128 *1669:181 *3485:115 3.13254e-05 +129 *1680:71 *3485:94 6.28614e-05 +130 *1680:71 *3485:104 0.000149783 +131 *1680:137 *3485:133 0.000717785 +132 *1735:91 *3485:90 0.000209071 +133 *1735:100 *3485:90 5.55275e-05 +134 *1749:80 *22764:A2 0 +135 *1749:95 *22764:A2 0 +136 *1749:120 *22764:A2 0 +137 *1788:32 *3485:104 1.0771e-05 +138 *1788:46 *3485:104 8.43713e-06 +139 *1790:19 *3485:25 0.000203124 +140 *1790:43 *22764:A2 1.5714e-05 +141 *2199:49 *3485:90 0.000221673 +142 *2258:69 *3485:137 0.000247443 +143 *2258:69 *3485:197 0.000107496 +144 *2278:46 *3485:133 0.000679777 +145 *2280:6 *3485:133 0.000714137 +146 *2282:88 *22638:A2 0.000103679 +147 *2282:88 *3485:115 1.0758e-05 +148 *2368:23 *3485:133 0.000566586 +149 *2378:46 *3485:133 4.45853e-05 +150 *2411:5 *3485:19 2.73184e-05 +151 *2425:7 *3485:19 0.000957521 +152 *2428:116 *22764:A2 0.000169453 +153 *2428:116 *3485:19 0.000314755 +154 *2428:116 *3485:21 0.000881542 +155 *2428:116 *3485:25 0.000137886 +156 *2429:25 *3485:19 2.70402e-05 +157 *2429:25 *3485:21 0.000859742 +158 *2429:25 *3485:25 0.00122318 +159 *2431:23 *3485:133 0.000862797 +160 *2557:20 *22539:A2 3.55578e-05 +161 *2577:36 *3485:90 5.11166e-05 +162 *2577:48 *3485:90 0.000297595 +163 *2577:48 *3485:94 0.000167239 +164 *2577:58 *22746:A2 0.000688799 +165 *2584:77 *3485:133 0.00036612 +166 *2637:29 *3485:104 5.1493e-06 +167 *2650:22 *22728:A2 1.58551e-05 +168 *2650:22 *22782:A2 0 +169 *2650:22 *22800:A2 0 +170 *2670:11 *22539:A2 8.6931e-05 +171 *2729:121 *22764:A2 3.69274e-06 +172 *2825:8 *3485:90 8.54788e-05 +173 *2825:12 *3485:90 8.39922e-05 +174 *2825:12 *3485:94 0.000956034 +175 *2907:6 *3485:90 7.22263e-05 +176 *2975:50 *3485:90 0.000290151 +177 *3023:42 *22539:A2 9.03922e-05 +178 *3052:78 *22602:A2 5.17042e-05 +179 *3052:78 *3485:163 7.09666e-06 +180 *3124:127 *3485:133 3.07634e-05 +181 *3139:22 *3485:90 0.000341506 +182 *3142:32 *3485:94 0.000298988 +183 *3144:103 *3485:133 5.60804e-05 +184 *3148:206 *3485:163 3.29488e-05 +185 *3151:141 *22638:A2 1.41976e-05 +186 *3162:21 *3485:90 7.21753e-05 +187 *3175:220 *22539:A2 0.000393863 +188 *3175:243 *22539:A2 6.34006e-05 +189 *3185:25 *22764:A2 3.955e-05 +190 *3204:299 *3485:136 3.29488e-05 +191 *3204:299 *3485:197 4.49767e-05 +192 *3274:8 *3485:197 4.15661e-05 +193 *3274:27 *3485:197 0.00063206 +*RES +1 *22536:X *3485:19 38.0783 +2 *3485:19 *3485:21 1.27373 +3 *3485:21 *3485:25 5.1397 +4 *3485:25 *22800:A2 20.949 +5 *3485:25 *3485:35 1.20912 +6 *3485:35 *22728:A2 21.2001 +7 *3485:35 *22782:A2 15.5811 +8 *3485:21 *22764:A2 30.0229 +9 *3485:19 *3485:90 35.1903 +10 *3485:90 *3485:94 23.9802 +11 *3485:94 *22656:A2 9.24915 +12 *3485:94 *3485:104 13.771 +13 *3485:104 *22746:A2 40.9339 +14 *3485:104 *3485:115 12.3701 +15 *3485:115 *3485:133 49.6518 +16 *3485:133 *3485:136 5.29386 +17 *3485:136 *3485:137 7.93324 +18 *3485:137 *22620:A2 9.24915 +19 *3485:137 *3485:147 8.57513 +20 *3485:147 *3485:163 45.7637 +21 *3485:163 *22539:A2 33.5103 +22 *3485:147 *22602:A2 15.8893 +23 *3485:136 *3485:197 36.2735 +24 *3485:197 *22692:A2 14.0477 +25 *3485:197 *3485:210 15.7218 +26 *3485:210 *22674:A2 9.24915 +27 *3485:210 *22710:A2 23.2961 +28 *3485:115 *22638:A2 32.0626 +*END + +*D_NET *3486 0.000788137 +*CONN +*I *22538:A I *D sky130_fd_sc_hd__buf_6 +*I *22537:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22538:A 0.00010461 +2 *22537:X 0.00010461 +3 *22538:A *3487:13 0.000154145 +4 *2100:12 *22538:A 0.000135313 +5 *3472:30 *22538:A 0.000135313 +6 *3485:19 *22538:A 0.000154145 +*RES +1 *22537:X *22538:A 31.9934 +*END + +*D_NET *3487 0.0646044 +*CONN +*I *22638:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22710:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22674:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22692:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22602:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22539:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22620:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22656:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22746:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22782:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22764:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22728:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22800:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22538:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22638:B2 0.000811075 +2 *22710:B2 0.000135276 +3 *22674:B2 0 +4 *22692:B2 0.000157948 +5 *22602:B2 0.000130187 +6 *22539:B2 0.00122206 +7 *22620:B2 4.62098e-05 +8 *22656:B2 9.60563e-05 +9 *22746:B2 0.00141432 +10 *22782:B2 0.000204072 +11 *22764:B2 0.000622013 +12 *22728:B2 0.000207376 +13 *22800:B2 8.74602e-05 +14 *22538:X 0.00130282 +15 *3487:233 0.000531141 +16 *3487:214 0.00199946 +17 *3487:151 0.00158632 +18 *3487:137 0.000480944 +19 *3487:133 0.0032092 +20 *3487:118 0.00264623 +21 *3487:85 0.00168178 +22 *3487:82 0.00168455 +23 *3487:81 0.00188956 +24 *3487:33 0.000555329 +25 *3487:32 0.000882506 +26 *3487:30 0.00115052 +27 *3487:13 0.00289792 +28 *22539:B2 *22664:A2 7.14968e-05 +29 *22638:B2 *3523:16 0.00029935 +30 *22638:B2 *3527:16 4.86817e-05 +31 *22692:B2 *22651:B1 6.08467e-05 +32 *22692:B2 *22693:C1 3.31745e-05 +33 *22692:B2 *3537:35 5.79229e-05 +34 *22710:B2 *22675:C1 6.08467e-05 +35 *22710:B2 *3531:43 0.00013115 +36 *22746:B2 *5475:83 2.52431e-05 +37 *22782:B2 *24773:CLK 5.04829e-06 +38 *22800:B2 *5854:490 9.99867e-06 +39 *3487:30 *20201:B1 1.5714e-05 +40 *3487:30 *20202:B1 1.0758e-05 +41 *3487:30 *4819:72 0.000821761 +42 *3487:30 *5854:490 6.08467e-05 +43 *3487:30 *5854:510 0.000966584 +44 *3487:30 *5870:542 0.000205006 +45 *3487:81 *3498:24 0.000398089 +46 *3487:81 *5373:16 1.28568e-05 +47 *3487:82 *5373:16 0.000137356 +48 *3487:133 *3527:54 0.000206449 +49 *3487:137 *22621:C1 9.12416e-06 +50 *3487:137 *4825:146 0.000110297 +51 *3487:151 *21695:A1 7.27023e-06 +52 *3487:151 *22621:C1 4.44699e-05 +53 *3487:214 *22651:B1 2.43314e-05 +54 *3487:214 *3502:138 0.000349097 +55 *3487:214 *3533:38 0.000357446 +56 *3487:214 *3537:35 0.000200794 +57 *3487:214 *3597:18 7.09666e-06 +58 *3487:214 *4825:133 1.55025e-05 +59 *3487:214 *4825:146 0.00123508 +60 *3487:233 *22651:B1 0.000424149 +61 *3487:233 *22651:B2 0.000247443 +62 *3487:233 *22675:C1 3.86194e-05 +63 *3487:233 *3537:19 5.82692e-05 +64 *3487:233 *3537:35 5.51483e-06 +65 *19929:B1 *3487:13 0 +66 *20202:A2 *3487:30 2.95884e-05 +67 *21193:B1 *3487:133 0.000326069 +68 *21219:C1 *22746:B2 1.9633e-05 +69 *21320:A1 *22638:B2 0.000111722 +70 *21320:A2 *3487:118 3.85185e-05 +71 *21321:B1 *22638:B2 0.000118374 +72 *21487:A1 *22539:B2 3.5744e-05 +73 *21487:A2 *22539:B2 1.2591e-05 +74 *21487:B1 *22539:B2 0.000315831 +75 *21652:A2 *3487:81 1.9101e-05 +76 *22320:B1 *22746:B2 6.36477e-05 +77 *22320:B2 *22746:B2 4.04556e-05 +78 *22322:B1 *22539:B2 3.84049e-05 +79 *22323:C1 *22539:B2 0.000349354 +80 *22334:A2 *3487:133 0.000469178 +81 *22364:A1 *3487:214 6.1578e-06 +82 *22474:A1 *22764:B2 0.000115495 +83 *22538:A *3487:13 0.000154145 +84 *22539:A1 *22539:B2 6.08467e-05 +85 *22602:B1 *22602:B2 3.65087e-05 +86 *22620:B1 *22620:B2 6.42107e-05 +87 *22620:B1 *3487:137 1.5714e-05 +88 *22621:A1 *22602:B2 0.000118267 +89 *22669:A1 *3487:133 4.9462e-05 +90 *22674:A1 *22710:B2 1.41976e-05 +91 *22674:A1 *3487:233 2.44952e-05 +92 *22692:A1 *22692:B2 0.000128295 +93 *22692:A2 *22692:B2 0.000289611 +94 *22692:A2 *3487:233 6.08467e-05 +95 *22728:A2 *22728:B2 7.56446e-05 +96 *22728:A2 *22782:B2 0.000114584 +97 *22746:A1 *22746:B2 0.000154145 +98 *22746:A2 *22746:B2 0.00019206 +99 *22782:A1 *22764:B2 1.4249e-05 +100 *22782:A2 *22782:B2 8.48077e-06 +101 *22782:B1 *22728:B2 7.98171e-06 +102 *22782:B1 *22782:B2 9.95922e-06 +103 *22800:A1 *22764:B2 6.36999e-05 +104 *22800:A1 *22800:B2 2.53145e-06 +105 *22800:A1 *3487:30 1.00824e-05 +106 *22800:A1 *3487:33 0.000203969 +107 *22800:A2 *22782:B2 1.11638e-05 +108 *22800:A2 *22800:B2 4.17531e-06 +109 *22800:A2 *3487:30 2.10081e-05 +110 *22800:A2 *3487:33 7.5301e-06 +111 *22800:B1 *22800:B2 9.95922e-06 +112 *24773:D *3487:30 5.04829e-06 +113 *494:44 *3487:30 9.82896e-06 +114 *494:58 *3487:30 0.000120366 +115 *520:27 *22539:B2 0.00107741 +116 *520:27 *3487:151 0.000349115 +117 *543:12 *22728:B2 9.49244e-05 +118 *547:33 *3487:13 0.000240818 +119 *1439:145 *3487:13 0.000106465 +120 *1542:150 *22746:B2 0.000449853 +121 *1545:54 *22638:B2 3.81068e-05 +122 *1545:54 *3487:118 1.22036e-05 +123 *1552:35 *3487:30 5.1493e-06 +124 *1564:37 *22746:B2 0.000200794 +125 *1577:183 *3487:13 0.00135689 +126 *1583:35 *22620:B2 0.000120508 +127 *1583:53 *22602:B2 5.01835e-05 +128 *1588:36 *22728:B2 9.49244e-05 +129 *1616:42 *3487:133 0.00131947 +130 *1648:52 *22539:B2 2.2199e-05 +131 *1680:59 *3487:82 8.62625e-06 +132 *1680:71 *22656:B2 9.72199e-05 +133 *1680:71 *3487:82 8.42178e-05 +134 *1680:86 *22638:B2 0.000214201 +135 *1680:86 *3487:118 0.0001646 +136 *1680:86 *3487:133 0.00022741 +137 *1691:87 *22638:B2 2.28363e-05 +138 *1691:87 *22746:B2 2.42732e-05 +139 *1706:157 *3487:82 0.00208071 +140 *1706:157 *3487:118 7.49251e-05 +141 *1715:127 *3487:30 0.000235513 +142 *1735:100 *3487:133 0.000458079 +143 *1735:132 *3487:133 0.00107165 +144 *1788:57 *3487:133 5.65669e-05 +145 *1788:77 *22539:B2 0.0010674 +146 *1788:77 *3487:151 0.000279343 +147 *2091:19 *3487:13 0.000110106 +148 *2093:10 *22638:B2 1.5714e-05 +149 *2244:36 *3487:133 0.000470744 +150 *2273:18 *3487:118 0.000101639 +151 *2286:36 *22602:B2 9.06144e-06 +152 *2407:41 *22746:B2 1.34851e-05 +153 *2411:5 *3487:13 0.000398169 +154 *2411:34 *3487:214 3.69452e-05 +155 *2418:28 *22710:B2 0.00013115 +156 *2427:16 *3487:30 0.000190666 +157 *2427:16 *3487:81 1.66626e-05 +158 *2428:116 *3487:13 0.000193071 +159 *2431:23 *3487:13 0.000187994 +160 *2503:124 *22602:B2 2.78922e-05 +161 *2529:19 *3487:30 0.00048545 +162 *2570:138 *3487:151 3.17436e-05 +163 *2577:58 *22746:B2 0.000706773 +164 *2596:18 *3487:82 0.00169306 +165 *2596:18 *3487:118 0.00012593 +166 *2610:83 *22746:B2 0.00023698 +167 *2610:83 *3487:85 0.000357383 +168 *2613:85 *22539:B2 0.000160912 +169 *2631:70 *3487:137 1.99996e-05 +170 *2631:70 *3487:151 8.51183e-06 +171 *2637:39 *22656:B2 0.000107496 +172 *2650:22 *3487:30 0 +173 *2693:19 *3487:81 3.97732e-05 +174 *2772:97 *22539:B2 0.000538761 +175 *2800:56 *3487:133 0.000170827 +176 *2800:56 *3487:137 4.60375e-07 +177 *2815:14 *22764:B2 0.000794798 +178 *2825:8 *3487:30 0.000195395 +179 *2825:8 *3487:81 0.000813966 +180 *2825:12 *22656:B2 9.72199e-05 +181 *2825:22 *3487:133 0.000175321 +182 *2825:22 *3487:137 5.11466e-05 +183 *2876:34 *3487:30 3.63738e-05 +184 *2894:19 *3487:30 0.000251669 +185 *2894:60 *22602:B2 9.46283e-05 +186 *2907:6 *3487:81 0.00015836 +187 *3117:37 *22638:B2 1.36227e-05 +188 *3117:37 *22746:B2 0.000857265 +189 *3117:37 *3487:85 0.000387883 +190 *3117:48 *22746:B2 5.83513e-05 +191 *3124:47 *22746:B2 3.43136e-06 +192 *3148:206 *22539:B2 0.000106559 +193 *3148:206 *22620:B2 1.8552e-05 +194 *3151:40 *22638:B2 0.000164829 +195 *3151:141 *22638:B2 0.000121511 +196 *3160:22 *3487:13 0.000106696 +197 *3166:15 *22656:B2 0.000111722 +198 *3179:134 *22638:B2 0.000110981 +199 *3206:15 *3487:13 7.1544e-05 +200 *3485:19 *3487:13 0.00131118 +201 *3485:35 *22782:B2 1.85923e-05 +202 *3485:90 *3487:81 0.00036051 +203 *3485:163 *3487:137 3.01013e-05 +204 *3485:197 *3487:214 5.91734e-05 +205 *3485:210 *22710:B2 5.51483e-06 +206 *3485:210 *3487:233 0.00114464 +*RES +1 *22538:X *3487:13 31.4981 +2 *3487:13 *3487:30 38.111 +3 *3487:30 *3487:32 4.5 +4 *3487:32 *3487:33 6.26943 +5 *3487:33 *22800:B2 11.1059 +6 *3487:33 *22728:B2 22.9024 +7 *3487:32 *22764:B2 36.0323 +8 *3487:30 *22782:B2 18.9623 +9 *3487:13 *3487:81 30.1791 +10 *3487:81 *3487:82 42.7343 +11 *3487:82 *3487:85 3.9541 +12 *3487:85 *22746:B2 37.1831 +13 *3487:85 *22656:B2 20.4356 +14 *3487:82 *3487:118 8.83719 +15 *3487:118 *3487:133 18.8876 +16 *3487:133 *3487:137 8.4405 +17 *3487:137 *22620:B2 15.6059 +18 *3487:137 *3487:151 5.16322 +19 *3487:151 *22539:B2 37.5634 +20 *3487:151 *22602:B2 21.7136 +21 *3487:133 *3487:214 45.7723 +22 *3487:214 *22692:B2 15.181 +23 *3487:214 *3487:233 15.9147 +24 *3487:233 *22674:B2 9.24915 +25 *3487:233 *22710:B2 22.0503 +26 *3487:118 *22638:B2 23.1158 +*END + +*D_NET *3488 0.00167638 +*CONN +*I *22540:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22539:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22540:C1 0.0004577 +2 *22539:X 0.0004577 +3 *22540:C1 *21707:A1 0.00026094 +4 *22540:C1 *21730:A1 9.54888e-05 +5 *22539:B1 *22540:C1 0.000193879 +6 *1583:74 *22540:C1 3.2363e-05 +7 *1588:107 *22540:C1 9.63635e-05 +8 *2772:97 *22540:C1 7.20515e-05 +9 *2773:41 *22540:C1 9.89385e-06 +*RES +1 *22539:X *22540:C1 39.0238 +*END + +*D_NET *3489 0.00746671 +*CONN +*I *22598:B I *D sky130_fd_sc_hd__and4_4 +*I *22540:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22598:B 0.000166764 +2 *22540:X 7.76471e-05 +3 *3489:17 0.00149425 +4 *3489:13 0.00140513 +5 *22598:B *22556:B1 2.25597e-05 +6 *22598:B *22598:C 2.16355e-05 +7 *22011:C1 *3489:17 0.000135958 +8 *1562:41 *3489:17 0.000158451 +9 *1583:53 *3489:13 0.000113861 +10 *1583:74 *3489:13 2.71904e-05 +11 *1662:80 *3489:17 1.1246e-05 +12 *1662:87 *3489:17 0.00165259 +13 *1717:133 *22598:B 2.16355e-05 +14 *1721:118 *22598:B 1.91246e-05 +15 *1744:219 *3489:17 0.000161234 +16 *2606:8 *3489:17 0.00132291 +17 *2805:22 *3489:17 4.12833e-05 +18 *2825:92 *3489:17 0.000194931 +19 *3023:42 *3489:17 5.15273e-05 +20 *3106:68 *22598:B 0 +21 *3186:14 *22598:B 3.11962e-05 +22 *3186:14 *3489:17 0.000175103 +23 *3471:227 *22598:B 5.49916e-05 +24 *3483:163 *3489:13 0.000105486 +*RES +1 *22540:X *3489:13 16.908 +2 *3489:13 *3489:17 46.3678 +3 *3489:17 *22598:B 22.2138 +*END + +*D_NET *3490 0.00138857 +*CONN +*I *22542:A I *D sky130_fd_sc_hd__buf_6 +*I *22541:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22542:A 0.000526742 +2 *22541:X 0.000526742 +3 *541:35 *22542:A 6.96038e-05 +4 *2111:20 *22542:A 0 +5 *2115:48 *22542:A 6.62343e-05 +6 *2117:13 *22542:A 1.12493e-05 +7 *2123:25 *22542:A 0.000124038 +8 *2467:126 *22542:A 1.7783e-05 +9 *3177:16 *22542:A 4.61732e-05 +10 *3179:12 *22542:A 0 +*RES +1 *22541:X *22542:A 40.3012 +*END + +*D_NET *3491 0.067814 +*CONN +*I *22715:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22607:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22556:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22625:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22697:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22679:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22643:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22805:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22787:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22733:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22769:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22751:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22661:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22542:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22715:A2 1.80994e-05 +2 *22607:A2 0.000196754 +3 *22556:A2 0.00150465 +4 *22625:A2 6.38198e-05 +5 *22697:A2 0.000111264 +6 *22679:A2 5.39014e-05 +7 *22643:A2 1.3311e-05 +8 *22805:A2 4.57789e-05 +9 *22787:A2 7.85995e-05 +10 *22733:A2 0.000497522 +11 *22769:A2 4.85108e-05 +12 *22751:A2 0.000181503 +13 *22661:A2 4.19569e-05 +14 *22542:X 0 +15 *3491:226 0.00220942 +16 *3491:204 0.00216142 +17 *3491:188 0.00249102 +18 *3491:178 0.00187984 +19 *3491:146 0.00186637 +20 *3491:140 0.00257267 +21 *3491:75 0.000942114 +22 *3491:70 0.00160042 +23 *3491:66 0.00145125 +24 *3491:51 0.00114738 +25 *3491:46 0.00230634 +26 *3491:27 0.00292653 +27 *3491:17 0.00244773 +28 *3491:10 0.00314924 +29 *3491:8 0.00170082 +30 *3491:5 0.00155339 +31 *22556:A2 *3502:179 0.000248257 +32 *22556:A2 *4848:30 0.000137472 +33 *22607:A2 *22617:C 0.000203604 +34 *22607:A2 *22617:D 0 +35 *22643:A2 *22643:A1 9.95922e-06 +36 *22643:A2 *22643:B1 9.95922e-06 +37 *22661:A2 *22661:C1 5.63274e-05 +38 *22661:A2 *22671:C 0.000113968 +39 *22679:A2 *22679:B1 4.69924e-06 +40 *22679:A2 *22689:C 5.58918e-06 +41 *22697:A2 *22697:B1 6.3657e-05 +42 *22697:A2 *22697:C1 3.79201e-05 +43 *22697:A2 *22707:C 3.79253e-05 +44 *22733:A2 *22769:B1 0.00015242 +45 *22751:A2 *22761:C 0.000152164 +46 *22751:A2 *22761:D 4.93805e-05 +47 *22751:A2 *3691:7 0.000175603 +48 *22769:A2 *22769:B1 1.88747e-05 +49 *22769:A2 *22769:C1 0 +50 *22769:A2 *22779:C 9.95542e-06 +51 *22787:A2 *22787:B1 3.39073e-05 +52 *22787:A2 *22797:D 0.000145294 +53 *22805:A2 *22805:B1 5.88253e-05 +54 *22805:A2 *3751:47 1.65872e-05 +55 *3491:10 *5944:90 1.76994e-05 +56 *3491:17 *22581:B 0.000357884 +57 *3491:17 *22582:A 7.12965e-05 +58 *3491:17 *22643:A1 5.51483e-06 +59 *3491:17 *22643:B1 2.16355e-05 +60 *3491:17 *3531:11 1.92336e-05 +61 *3491:17 *5948:140 5.481e-05 +62 *3491:27 *22643:A1 3.56199e-05 +63 *3491:27 *22661:C1 2.16355e-05 +64 *3491:27 *22671:C 9.32983e-05 +65 *3491:27 *3496:125 3.70278e-05 +66 *3491:27 *4807:82 0.000476843 +67 *3491:46 *22671:D 4.41716e-05 +68 *3491:51 *22761:A 0.000144955 +69 *3491:51 *22761:C 0.000156676 +70 *3491:66 *3739:14 0.000274256 +71 *3491:66 *4851:9 0.000432092 +72 *3491:70 *22804:A2 1.76666e-05 +73 *3491:70 *4851:9 0.0001484 +74 *3491:70 *4854:6 0.00136145 +75 *3491:75 *22769:B1 1.92988e-05 +76 *3491:75 *22769:C1 0.000699583 +77 *3491:75 *22779:A 0.000119035 +78 *3491:75 *22779:C 9.82896e-06 +79 *3491:75 *22797:D 0.000407429 +80 *3491:140 *22588:A 2.71991e-05 +81 *3491:140 *22640:A1 6.22482e-05 +82 *3491:140 *22643:A1 0.000231479 +83 *3491:140 *4809:40 0.000778714 +84 *3491:140 *4813:56 3.98345e-05 +85 *3491:140 *4819:53 4.46342e-05 +86 *3491:146 *22309:B1 2.98509e-05 +87 *3491:146 *3537:14 2.27175e-05 +88 *3491:146 *4826:141 0.000200618 +89 *3491:178 *22309:B1 1.34507e-05 +90 *3491:178 *22679:C1 0.000101169 +91 *3491:178 *22689:D 0.000339118 +92 *3491:178 *3498:211 3.33173e-06 +93 *3491:178 *3620:30 4.36134e-05 +94 *3491:188 *22707:C 5.62042e-05 +95 *3491:188 *3636:11 3.99086e-06 +96 *3491:204 *22677:B2 0.00043404 +97 *3491:204 *3498:256 0.00160315 +98 *3491:226 *22623:B2 1.9101e-05 +99 *3491:226 *3498:256 0.000156946 +100 *3491:226 *3500:152 0.00011192 +101 *3491:226 *5919:40 0.000626286 +102 *21212:B1 *3491:27 1.34424e-05 +103 *21212:C1 *3491:27 0.000451263 +104 *21247:B1 *3491:178 3.10028e-05 +105 *21279:B1 *3491:226 0.000446269 +106 *21320:A2 *3491:46 7.46136e-05 +107 *21422:A1 *3491:178 6.67835e-06 +108 *21590:A *3491:51 0.000156955 +109 *22147:A *3491:8 0.000109954 +110 *22162:A *3491:8 0.000149009 +111 *22233:A *3491:8 1.69595e-05 +112 *22255:A1 *3491:226 2.52866e-05 +113 *22288:A1 *3491:226 7.67626e-05 +114 *22305:A2 *3491:140 0.000266837 +115 *22306:C *3491:146 0.000149783 +116 *22392:A1 *3491:188 0.000448167 +117 *22392:B1 *3491:188 0.000111802 +118 *22392:B2 *3491:188 0.000106872 +119 *22414:A1 *3491:70 1.5714e-05 +120 *22464:A1 *22733:A2 0.00044008 +121 *22480:A2 *3491:70 3.40931e-05 +122 *22516:A *3491:10 0.000108301 +123 *22516:A *3491:140 0.000245573 +124 *22524:A *3491:140 2.57465e-06 +125 *22587:A *3491:140 3.63593e-05 +126 *22589:B *3491:140 5.88009e-05 +127 *22607:A1 *22607:A2 9.25033e-06 +128 *22625:A1 *3491:226 4.25507e-05 +129 *22657:A1 *3491:46 8.71956e-05 +130 *22679:A1 *22679:A2 2.62002e-05 +131 *22679:A1 *3491:146 3.43356e-05 +132 *22697:A1 *22697:A2 0.000389133 +133 *22697:A1 *3491:188 0.000169533 +134 *22711:A2 *3491:146 2.17142e-05 +135 *22711:B1 *3491:146 0.000123103 +136 *22715:A1 *22715:A2 9.95922e-06 +137 *22715:A1 *3491:178 2.57986e-05 +138 *22715:A1 *3491:188 2.16355e-05 +139 *22805:A1 *22805:A2 6.3504e-05 +140 *482:28 *3491:8 0.000233911 +141 *518:31 *3491:204 2.81262e-05 +142 *529:26 *3491:178 3.79369e-05 +143 *529:26 *3491:188 0.00068571 +144 *537:14 *3491:178 6.70195e-05 +145 *541:35 *3491:8 8.87105e-05 +146 *545:23 *3491:75 0.000128865 +147 *1449:84 *3491:70 0.000204518 +148 *1449:84 *3491:75 0.000205101 +149 *1449:85 *3491:70 1.50389e-06 +150 *1496:75 *22733:A2 0.000229746 +151 *1496:84 *22733:A2 4.77707e-05 +152 *1502:193 *3491:51 0.00082444 +153 *1502:201 *3491:51 0.000280948 +154 *1545:92 *3491:178 2.40706e-05 +155 *1551:67 *3491:8 9.22013e-06 +156 *1620:11 *3491:46 0.00154354 +157 *1620:35 *3491:226 0.00011503 +158 *1641:57 *22769:A2 7.18018e-05 +159 *1641:57 *3491:75 0.000995107 +160 *1652:12 *3491:8 0 +161 *1744:219 *22556:A2 3.17103e-05 +162 *2089:74 *3491:8 0.000200228 +163 *2089:74 *3491:10 0 +164 *2100:68 *3491:10 0.000222745 +165 *2100:68 *3491:140 9.09818e-05 +166 *2100:72 *3491:140 1.38817e-05 +167 *2100:76 *3491:140 0.000193555 +168 *2117:13 *3491:8 4.71895e-05 +169 *2117:20 *3491:8 0.00161167 +170 *2267:80 *3491:178 7.71734e-05 +171 *2275:9 *3491:17 0.000551534 +172 *2275:9 *3491:27 0.000179982 +173 *2286:53 *22625:A2 0.000106889 +174 *2286:53 *3491:226 4.37588e-05 +175 *2307:19 *3491:178 1.66626e-05 +176 *2313:25 *3491:8 0.000209361 +177 *2361:29 *3491:146 0.000126462 +178 *2440:67 *3491:146 0.000362999 +179 *2478:18 *22679:A2 1.16906e-05 +180 *2478:18 *3491:146 2.89495e-05 +181 *2478:18 *3491:178 2.61574e-05 +182 *2527:24 *22556:A2 2.32487e-05 +183 *2527:24 *3491:226 5.93547e-06 +184 *2529:24 *3491:46 0.000243944 +185 *2532:18 *3491:46 0.000117675 +186 *2542:35 *22556:A2 0.000135958 +187 *2546:28 *3491:46 0.000154964 +188 *2613:109 *3491:51 8.84939e-05 +189 *2613:114 *3491:51 6.35582e-05 +190 *2630:16 *3491:46 0.000207266 +191 *2636:28 *3491:46 2.01853e-05 +192 *2642:39 *3491:140 6.32895e-05 +193 *2690:34 *3491:17 0.000158357 +194 *2773:28 *3491:70 0.00123598 +195 *2773:35 *3491:66 4.93583e-05 +196 *2773:35 *3491:70 4.31485e-06 +197 *2825:12 *3491:46 1.37283e-05 +198 *2865:32 *22733:A2 0.000107496 +199 *2880:45 *3491:226 5.481e-05 +200 *2892:37 *22556:A2 0.00015755 +201 *2906:82 *3491:8 8.98845e-05 +202 *2915:19 *22787:A2 9.95208e-05 +203 *2915:19 *3491:75 3.14044e-05 +204 *3050:41 *22607:A2 7.92757e-06 +205 *3050:52 *22556:A2 4.03123e-05 +206 *3050:52 *22607:A2 5.57839e-05 +207 *3104:37 *3491:8 4.87047e-05 +208 *3116:17 *3491:8 7.05638e-05 +209 *3126:10 *3491:140 5.06488e-05 +210 *3142:233 *3491:140 0.000649838 +211 *3148:10 *3491:8 0.000140485 +212 *3153:26 *3491:8 3.3239e-06 +213 *3162:27 *22733:A2 4.24488e-05 +214 *3204:122 *3491:17 6.08467e-05 +215 *3208:165 *3491:204 0.000120237 +216 *3243:30 *22625:A2 0.000110247 +217 *3243:30 *3491:226 3.68026e-05 +218 *3315:14 *3491:204 0.000120237 +219 *3390:11 *22733:A2 0.00010914 +220 *3469:65 *3491:140 0.000125038 +221 *3471:116 *3491:146 5.88657e-05 +222 *3471:227 *22556:A2 0.00116663 +223 *3476:7 *3491:17 3.67273e-05 +224 *3476:195 *3491:178 4.75835e-05 +*RES +1 *22542:X *3491:5 13.7491 +2 *3491:5 *3491:8 45.8365 +3 *3491:8 *3491:10 4.53113 +4 *3491:10 *3491:17 26.2019 +5 *3491:17 *3491:27 22.4494 +6 *3491:27 *22661:A2 11.1059 +7 *3491:27 *3491:46 49.1365 +8 *3491:46 *3491:51 21.9912 +9 *3491:51 *22751:A2 14.6264 +10 *3491:51 *3491:66 13.3913 +11 *3491:66 *3491:70 38.3064 +12 *3491:70 *3491:75 19.2182 +13 *3491:75 *22769:A2 10.9612 +14 *3491:75 *22733:A2 41.516 +15 *3491:70 *22787:A2 12.7697 +16 *3491:66 *22805:A2 15.63 +17 *3491:17 *22643:A2 9.82786 +18 *3491:10 *3491:140 47.1694 +19 *3491:140 *3491:146 27.1301 +20 *3491:146 *22679:A2 15.2328 +21 *3491:146 *3491:178 26.7723 +22 *3491:178 *3491:188 21.8948 +23 *3491:188 *22697:A2 13.8789 +24 *3491:188 *3491:204 40.7338 +25 *3491:204 *22625:A2 15.9964 +26 *3491:204 *3491:226 27.2882 +27 *3491:226 *22556:A2 45.3919 +28 *3491:226 *22607:A2 14.2888 +29 *3491:178 *22715:A2 9.82786 +*END + +*D_NET *3492 0.00182856 +*CONN +*I *22544:A I *D sky130_fd_sc_hd__buf_6 +*I *22543:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22544:A 0.000292027 +2 *22543:X 0.000292027 +3 *22544:A *22588:A 0.000183066 +4 *22544:A *4806:24 0.000248392 +5 *2100:76 *22544:A 2.40371e-05 +6 *2546:20 *22544:A 0.000394507 +7 *2642:39 *22544:A 0.000394507 +*RES +1 *22543:X *22544:A 37.6732 +*END + +*D_NET *3493 0.0704905 +*CONN +*I *22640:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22658:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22712:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22676:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22622:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22604:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22545:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22802:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *22748:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22766:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *22730:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *22784:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22694:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22544:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22640:A2 0.000222217 +2 *22658:A2 0.000370932 +3 *22712:A2 0 +4 *22676:A2 0.000547265 +5 *22622:A2 0 +6 *22604:A2 4.51842e-05 +7 *22545:A2 0 +8 *22802:A2 5.21055e-05 +9 *22748:A2 0.000274724 +10 *22766:A2 0 +11 *22730:A2 4.38325e-05 +12 *22784:A2 0.00099665 +13 *22694:A2 0.000409081 +14 *22544:X 0 +15 *3493:202 0.00124394 +16 *3493:146 0.00109408 +17 *3493:137 0.00100772 +18 *3493:130 0.00106872 +19 *3493:101 0.00202083 +20 *3493:88 0.00322225 +21 *3493:78 0.00182799 +22 *3493:73 0.00111718 +23 *3493:57 0.00146081 +24 *3493:48 0.00137805 +25 *3493:45 0.00264023 +26 *3493:26 0.00275414 +27 *3493:20 0.000989811 +28 *3493:19 0.000306794 +29 *3493:15 0.00128811 +30 *3493:8 0.00254624 +31 *3493:4 0.00214325 +32 *22640:A2 *3498:163 5.11321e-05 +33 *22640:A2 *3527:24 0.000291327 +34 *22640:A2 *3598:11 1.8114e-05 +35 *22640:A2 *4818:79 0.000154591 +36 *22676:A2 *3620:14 0.000154145 +37 *22784:A2 *3722:8 6.36477e-05 +38 *22784:A2 *5456:29 5.01835e-05 +39 *3493:8 *21658:A1 7.55015e-05 +40 *3493:8 *22305:A1 0 +41 *3493:8 *22311:A 0.000269066 +42 *3493:8 *22689:B 4.24478e-05 +43 *3493:8 *4806:24 8.55668e-05 +44 *3493:8 *4817:108 3.51505e-05 +45 *3493:8 *4826:117 9.78397e-06 +46 *3493:15 *22725:A 5.95388e-05 +47 *3493:15 *3653:8 0.000872941 +48 *3493:19 *22715:B1 6.08467e-05 +49 *3493:48 *22723:C1 5.20546e-06 +50 *3493:78 *5904:64 1.88014e-05 +51 *3493:88 *3523:98 4.92599e-06 +52 *3493:88 *5904:64 9.86918e-05 +53 *3493:130 *3705:17 0.00102893 +54 *3493:202 *5944:102 0.000921479 +55 *19810:B1 *3493:101 9.39505e-05 +56 *21180:A1 *3493:45 2.16355e-05 +57 *21180:B1 *3493:45 2.137e-05 +58 *21180:B2 *3493:45 6.08467e-05 +59 *21181:A2 *3493:45 3.88213e-05 +60 *21181:C1 *3493:45 1.72919e-05 +61 *21188:A *3493:45 0.000101489 +62 *21309:C1 *3493:57 0.00045521 +63 *21423:B1 *3493:15 4.49912e-05 +64 *21808:D *22784:A2 7.12079e-05 +65 *21832:B2 *3493:101 8.82956e-05 +66 *21851:A1 *22784:A2 0.000862567 +67 *21851:A1 *3493:101 1.49011e-05 +68 *21851:A2 *22784:A2 5.62995e-06 +69 *21858:A *22784:A2 0.000111375 +70 *21858:A *3493:101 1.07248e-05 +71 *22011:A1 *3493:73 7.01586e-06 +72 *22029:B1 *3493:130 0.000157659 +73 *22029:B2 *22748:A2 8.94611e-05 +74 *22041:A1 *3493:73 3.82228e-05 +75 *22054:C1 *3493:88 0.00146072 +76 *22054:C1 *3493:101 4.15661e-05 +77 *22054:C1 *3493:130 7.60356e-05 +78 *22060:A1 *22748:A2 2.74733e-05 +79 *22270:A2 *3493:73 5.47093e-05 +80 *22270:B2 *3493:88 6.15576e-05 +81 *22312:A2 *3493:8 1.37385e-05 +82 *22312:C1 *3493:8 2.44976e-05 +83 *22380:C1 *3493:57 0.000456472 +84 *22488:B2 *22784:A2 9.7112e-06 +85 *22545:A1 *3493:78 4.66492e-05 +86 *22545:A1 *3493:88 6.36477e-05 +87 *22604:B2 *3493:57 5.06289e-05 +88 *22622:A1 *3493:48 3.82228e-05 +89 *22673:B2 *3493:15 3.29488e-05 +90 *22677:A1 *3493:26 0.000337052 +91 *22712:B1 *3493:19 7.92757e-06 +92 *22712:B2 *3493:19 2.1268e-06 +93 *22712:B2 *3493:26 0.000400339 +94 *22722:A1 *3493:45 1.59945e-05 +95 *22784:B1 *22784:A2 0.000158484 +96 *22784:B2 *22784:A2 1.48605e-05 +97 *22802:B1 *22748:A2 4.49912e-05 +98 *22802:B1 *3493:146 7.78431e-05 +99 *482:86 *22676:A2 0.00110433 +100 *1419:249 *3493:130 0.000155692 +101 *1435:281 *3493:88 7.40684e-06 +102 *1443:60 *3493:15 0.000133663 +103 *1454:104 *22784:A2 0.00019046 +104 *1496:130 *22784:A2 1.6815e-05 +105 *1496:141 *3493:101 0.000640274 +106 *1496:141 *3493:130 7.19039e-05 +107 *1542:34 *22784:A2 1.6457e-05 +108 *1542:34 *3493:101 0.000555266 +109 *1550:101 *3493:130 5.60804e-05 +110 *1554:49 *3493:78 0.000691553 +111 *1557:126 *3493:45 1.5714e-05 +112 *1558:24 *3493:26 5.01835e-05 +113 *1558:24 *3493:45 3.06602e-05 +114 *1561:39 *22784:A2 9.18912e-05 +115 *1561:88 *3493:130 0.000118843 +116 *1564:119 *22604:A2 6.08467e-05 +117 *1573:166 *3493:26 1.24044e-05 +118 *1573:166 *3493:45 6.61215e-06 +119 *1575:81 *22802:A2 0.000225851 +120 *1576:28 *3493:8 0.00032686 +121 *1584:156 *3493:8 0.000493423 +122 *1596:65 *3493:88 0.000276287 +123 *1596:65 *3493:130 0.000130379 +124 *1610:104 *22676:A2 0.000217047 +125 *1618:56 *3493:130 0.000125485 +126 *1620:60 *3493:73 0.000158869 +127 *1641:141 *3493:73 7.71657e-05 +128 *1647:68 *3493:45 0.000384505 +129 *1658:242 *3493:8 0.00025244 +130 *1658:242 *3493:15 0.000161436 +131 *1679:64 *3493:48 0.00168632 +132 *1708:95 *3493:88 0.000180894 +133 *1720:20 *22748:A2 0.000310031 +134 *1720:20 *3493:146 8.3506e-05 +135 *1721:154 *3493:88 5.60804e-05 +136 *1730:150 *3493:88 0.000217396 +137 *1730:150 *3493:130 6.23101e-05 +138 *1771:83 *22784:A2 8.6297e-06 +139 *1771:83 *3493:101 0.000112423 +140 *2093:149 *3493:48 0.000167423 +141 *2093:151 *3493:48 2.37827e-05 +142 *2093:158 *22748:A2 6.02659e-05 +143 *2093:181 *3493:88 0.000140108 +144 *2093:201 *22748:A2 6.1578e-06 +145 *2117:55 *3493:15 3.77755e-05 +146 *2254:23 *3493:45 6.51527e-05 +147 *2256:72 *3493:202 0.000231926 +148 *2258:64 *3493:45 3.04754e-05 +149 *2293:44 *3493:26 0.000534238 +150 *2293:44 *3493:45 0.000195605 +151 *2293:65 *3493:26 1.81626e-05 +152 *2294:64 *3493:45 1.00454e-05 +153 *2298:30 *22676:A2 0.000106833 +154 *2298:30 *3493:45 0.000167152 +155 *2344:11 *22730:A2 6.28634e-05 +156 *2344:11 *3493:137 0.000345048 +157 *2344:11 *3493:146 0.00101751 +158 *2344:15 *3493:48 0.000250254 +159 *2452:51 *3493:26 2.03906e-05 +160 *2452:51 *3493:45 8.39607e-05 +161 *2459:56 *3493:15 6.06525e-06 +162 *2476:8 *3493:45 0.000542057 +163 *2480:25 *3493:15 6.36477e-05 +164 *2480:25 *3493:19 0.000110306 +165 *2546:20 *3493:202 0.000318182 +166 *2546:21 *22658:A2 0.00145454 +167 *2546:21 *3493:202 0.000875376 +168 *2565:49 *22802:A2 0.00025456 +169 *2589:54 *3493:73 0.000173692 +170 *2613:47 *3493:73 7.68538e-06 +171 *2642:27 *3493:202 6.96578e-05 +172 *2642:39 *3493:202 0.000684511 +173 *2697:26 *3493:101 6.74234e-05 +174 *2697:39 *22784:A2 0.000158451 +175 *2777:17 *3493:45 1.84769e-05 +176 *2783:68 *3493:101 9.781e-05 +177 *2799:8 *3493:101 0.000629581 +178 *2799:8 *3493:130 2.29201e-05 +179 *2806:30 *3493:57 0.000111425 +180 *2806:30 *3493:73 0.000143732 +181 *2850:53 *3493:130 8.50356e-05 +182 *2850:56 *3493:101 4.29715e-05 +183 *2869:51 *3493:73 0.000344954 +184 *2884:17 *3493:57 0.000113541 +185 *2884:17 *3493:73 0.000140307 +186 *2888:81 *3493:73 0.000152574 +187 *2888:81 *3493:78 0.000975773 +188 *2889:45 *3493:101 0.000209407 +189 *2987:69 *22748:A2 6.3657e-05 +190 *3026:44 *22748:A2 0.000111802 +191 *3044:41 *3493:73 5.1493e-06 +192 *3064:44 *3493:73 0.000578294 +193 *3111:149 *22676:A2 0.00118637 +194 *3120:189 *3493:130 0.000493069 +195 *3122:64 *3493:8 7.72394e-06 +196 *3122:88 *3493:8 0.000204845 +197 *3122:105 *3493:15 2.14323e-05 +198 *3131:97 *3493:78 0.000261552 +199 *3131:97 *3493:88 6.08467e-05 +200 *3151:216 *22694:A2 7.41735e-05 +201 *3153:65 *3493:202 0.000356443 +202 *3177:298 *22676:A2 0.000110505 +203 *3177:298 *3493:45 0.000714096 +204 *3190:10 *3493:8 5.66524e-05 +205 *3192:57 *3493:8 1.82849e-05 +206 *3232:25 *3493:73 8.97578e-05 +207 *3284:7 *3493:73 6.08467e-05 +208 *3284:10 *3493:73 0.000138209 +209 *3327:33 *3493:48 0.00116178 +210 *3348:21 *3493:26 6.58333e-05 +211 *3471:108 *3493:8 0.00107457 +212 *3474:218 *3493:15 0 +213 *3476:29 *22658:A2 0.00070866 +214 *3476:29 *3493:202 0.000233246 +215 *3476:184 *22694:A2 0.000300565 +216 *3481:136 *22640:A2 3.29488e-05 +*RES +1 *22544:X *3493:4 9.24915 +2 *3493:4 *3493:8 49.8657 +3 *3493:8 *3493:15 33.4594 +4 *3493:15 *3493:19 12.7456 +5 *3493:19 *3493:20 104.301 +6 *3493:20 *3493:26 36.8081 +7 *3493:26 *22694:A2 20.0186 +8 *3493:26 *3493:45 44.7467 +9 *3493:45 *3493:48 30.7352 +10 *3493:48 *3493:57 30.0747 +11 *3493:57 *3493:73 45.242 +12 *3493:73 *3493:78 16.3005 +13 *3493:78 *3493:88 15.755 +14 *3493:88 *3493:101 41.3953 +15 *3493:101 *22784:A2 40.0572 +16 *3493:88 *3493:130 19.473 +17 *3493:130 *22730:A2 9.97254 +18 *3493:130 *3493:137 4.05102 +19 *3493:137 *22766:A2 9.24915 +20 *3493:137 *3493:146 18.1475 +21 *3493:146 *22748:A2 21.8422 +22 *3493:146 *22802:A2 16.691 +23 *3493:78 *22545:A2 9.24915 +24 *3493:57 *22604:A2 14.4725 +25 *3493:48 *22622:A2 9.24915 +26 *3493:45 *22676:A2 35.0753 +27 *3493:15 *22712:A2 9.24915 +28 *3493:4 *3493:202 28.6706 +29 *3493:202 *22658:A2 26.056 +30 *3493:202 *22640:A2 24.6489 +*END + +*D_NET *3494 0.00481413 +*CONN +*I *22556:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22545:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22556:B1 0.000252524 +2 *22545:X 0.00102616 +3 *3494:25 0.00127868 +4 *22556:B1 *3546:26 4.49767e-05 +5 *3494:25 *22773:A2 0.00024533 +6 *3494:25 *3541:180 7.68538e-06 +7 *3494:25 *3546:26 5.49509e-05 +8 *3494:25 *5924:37 0.000109235 +9 *19790:A2 *3494:25 0.00013396 +10 *21549:B1 *22556:B1 0.000110106 +11 *21874:B1 *3494:25 1.5714e-05 +12 *22324:A2 *3494:25 0.000264978 +13 *22529:A2 *3494:25 8.13025e-06 +14 *22529:B2 *3494:25 0.000107496 +15 *22545:A1 *3494:25 1.5613e-05 +16 *22545:B1 *3494:25 2.65667e-05 +17 *22598:A *22556:B1 0.000161426 +18 *22598:B *22556:B1 2.25597e-05 +19 *1573:196 *3494:25 0.000256037 +20 *1588:131 *22556:B1 3.73224e-05 +21 *1588:131 *3494:25 0.000228813 +22 *2093:181 *3494:25 7.16859e-06 +23 *2569:16 *3494:25 9.99737e-05 +24 *2569:27 *22556:B1 2.38715e-05 +25 *2569:27 *3494:25 6.34651e-06 +26 *3186:16 *3494:25 5.49916e-05 +27 *3466:228 *3494:25 8.07867e-05 +28 *3471:227 *22556:B1 0.000132728 +*RES +1 *22545:X *3494:25 45.3046 +2 *3494:25 *22556:B1 20.9794 +*END + +*D_NET *3495 0.00118652 +*CONN +*I *22547:A I *D sky130_fd_sc_hd__buf_6 +*I *22546:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22547:A 0.000307063 +2 *22546:X 0.000307063 +3 *2418:10 *22547:A 0.000110477 +4 *2978:99 *22547:A 0.00035144 +5 *3469:12 *22547:A 0.000110477 +*RES +1 *22546:X *22547:A 33.7966 +*END + +*D_NET *3496 0.0735942 +*CONN +*I *22714:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22696:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22624:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22606:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22555:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22678:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22642:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22660:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22804:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22750:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22732:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22786:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22768:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22547:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22714:A2 0.000551106 +2 *22696:A2 7.86328e-05 +3 *22624:A2 3.6503e-05 +4 *22606:A2 0.000109064 +5 *22555:A2 0.000989384 +6 *22678:A2 0.000352286 +7 *22642:A2 5.21426e-05 +8 *22660:A2 4.49421e-05 +9 *22804:A2 0.000325626 +10 *22750:A2 0 +11 *22732:A2 0 +12 *22786:A2 0.000332521 +13 *22768:A2 0 +14 *22547:X 0.00184007 +15 *3496:179 0.0013539 +16 *3496:174 0.00137365 +17 *3496:163 0.0016361 +18 *3496:155 0.00150633 +19 *3496:142 0.0026391 +20 *3496:125 0.00287395 +21 *3496:104 0.0019863 +22 *3496:52 0.00097895 +23 *3496:42 0.000890469 +24 *3496:36 0.0009456 +25 *3496:31 0.00265219 +26 *3496:29 0.00529447 +27 *3496:13 0.00458263 +28 *22555:A2 *22555:B2 0.000191101 +29 *22555:A2 *22556:C1 0.00043685 +30 *22606:A2 *3498:258 6.08467e-05 +31 *22606:A2 *4848:30 0.000115313 +32 *22642:A2 *22642:B2 1.47978e-05 +33 *22642:A2 *3511:28 0.000110027 +34 *22642:A2 *4808:29 5.04829e-06 +35 *22642:A2 *4808:58 3.29457e-05 +36 *22660:A2 *3500:89 8.96465e-06 +37 *22678:A2 *21636:B1 0.00077956 +38 *22696:A2 *22696:B2 7.30786e-05 +39 *22714:A2 *22714:C1 0.000233633 +40 *22714:A2 *4815:98 0.000139455 +41 *22786:A2 *22769:C1 3.95516e-05 +42 *22786:A2 *3498:35 3.19789e-05 +43 *22786:A2 *3500:50 5.73392e-05 +44 *22804:A2 *22805:C1 7.49402e-05 +45 *22804:A2 *3498:112 0.000331554 +46 *22804:A2 *4851:9 6.3657e-05 +47 *22804:A2 *4854:6 0.000190725 +48 *3496:13 *6115:DIODE 6.17774e-05 +49 *3496:13 *22546:D 1.03403e-05 +50 *3496:13 *24929:A 1.03403e-05 +51 *3496:13 *4868:14 1.00937e-05 +52 *3496:13 *5674:51 0.000107496 +53 *3496:13 *5674:57 0.000491748 +54 *3496:13 *5683:16 0.00035152 +55 *3496:13 *5948:39 7.30003e-05 +56 *3496:29 *21690:A1 5.35941e-05 +57 *3496:29 *21702:A1 1.93857e-05 +58 *3496:29 *24232:CLK 4.14941e-06 +59 *3496:29 *3502:105 2.9859e-05 +60 *3496:29 *4856:18 5.36465e-06 +61 *3496:29 *5476:51 0 +62 *3496:31 *24232:CLK 1.68241e-05 +63 *3496:31 *3500:89 0.000845529 +64 *3496:31 *4920:74 1.61565e-05 +65 *3496:36 *3498:75 2.21587e-05 +66 *3496:36 *3498:90 0.000338197 +67 *3496:36 *3500:89 0.000119055 +68 *3496:42 *3498:75 0.000122548 +69 *3496:52 *3498:44 3.40382e-05 +70 *3496:52 *3498:64 0.000456952 +71 *3496:104 *3500:89 2.66117e-05 +72 *3496:104 *5853:334 0.000114073 +73 *3496:125 *22643:B1 9.80784e-05 +74 *3496:125 *22659:A2 0.000158591 +75 *3496:125 *22659:B2 1.65872e-05 +76 *3496:125 *22661:C1 0.000329659 +77 *3496:125 *3500:89 8.35235e-06 +78 *3496:125 *3500:91 0.000166645 +79 *3496:125 *3502:105 0.000258956 +80 *3496:125 *3502:124 0.000165395 +81 *3496:125 *3523:17 0.000943092 +82 *3496:125 *3523:19 0.000434763 +83 *3496:125 *4807:82 0.000105036 +84 *3496:142 *22643:A1 1.08989e-05 +85 *3496:142 *22643:B1 5.35153e-05 +86 *3496:142 *22653:C 0.000382576 +87 *3496:142 *3498:163 0.000158397 +88 *3496:142 *3519:30 3.17436e-05 +89 *3496:142 *3531:43 2.81071e-05 +90 *3496:142 *4804:96 0.00124738 +91 *3496:142 *4815:98 0.000206449 +92 *3496:142 *4824:180 0.00044443 +93 *3496:155 *4815:98 0.00101237 +94 *3496:174 *22625:B1 4.39032e-05 +95 *3496:174 *22625:C1 0.000110297 +96 *3496:174 *22635:C 1.12447e-05 +97 *3496:174 *22635:D 2.93799e-05 +98 *3496:174 *22696:C1 0.000107496 +99 *3496:174 *3566:11 0.000156946 +100 *3496:179 *22624:B2 0.000205101 +101 *3496:179 *22624:C1 0.000125569 +102 *3496:179 *22667:A2 0.000110297 +103 *3496:179 *4848:30 0.000159515 +104 *19929:B1 *3496:29 5.60804e-05 +105 *20815:A *3496:13 9.88093e-06 +106 *21193:B1 *3496:29 0.000613097 +107 *21212:A2 *3496:125 0.000160617 +108 *21212:B1 *3496:125 0.000233989 +109 *21212:C1 *3496:125 3.38674e-05 +110 *21213:D *3496:125 0.000216526 +111 *21365:B2 *3496:29 0.000358585 +112 *21449:A1 *3496:36 0.000344728 +113 *21549:A1 *22555:A2 6.08467e-05 +114 *21549:B2 *22555:A2 3.99086e-06 +115 *21689:A2 *3496:13 9.5562e-05 +116 *21689:B2 *3496:13 2.16355e-05 +117 *21690:C1 *3496:29 4.87343e-05 +118 *21807:D *22555:A2 6.36477e-05 +119 *21808:D *22555:A2 0.000243813 +120 *22059:A1 *22555:A2 5.41483e-05 +121 *22059:A2 *22555:A2 3.03403e-05 +122 *22059:B2 *22555:A2 2.16355e-05 +123 *22223:A2 *22555:A2 3.40024e-05 +124 *22260:A1 *22606:A2 6.08467e-05 +125 *22458:B1 *3496:52 2.2923e-05 +126 *22480:A2 *22786:A2 3.53254e-05 +127 *22535:C *3496:13 0.000154145 +128 *22537:C *3496:13 4.00255e-05 +129 *22555:A1 *22555:A2 6.08467e-05 +130 *22606:A1 *3496:174 2.57465e-06 +131 *22667:A1 *3496:179 4.69414e-05 +132 *22696:A1 *22696:A2 1.52067e-05 +133 *22696:B1 *22696:A2 7.92757e-06 +134 *22732:B1 *3496:42 5.67744e-06 +135 *22732:B1 *3496:52 1.33243e-05 +136 *22768:A1 *22786:A2 0.000382385 +137 *22785:A1 *22786:A2 0.000254532 +138 *22786:B1 *22786:A2 3.59567e-05 +139 *22803:A1 *3496:36 4.60716e-05 +140 *22804:A1 *22804:A2 6.08467e-05 +141 *22804:B1 *22804:A2 3.49902e-05 +142 *24235:D *3496:104 3.63738e-05 +143 *324:27 *3496:163 0.00126329 +144 *494:44 *3496:13 6.08467e-05 +145 *529:14 *22696:A2 0.000151044 +146 *529:14 *3496:174 0.000250254 +147 *1449:85 *22804:A2 0.000245021 +148 *1497:172 *3496:13 1.28832e-05 +149 *1502:204 *3496:29 3.88655e-06 +150 *1553:64 *22555:A2 0.000387391 +151 *1564:62 *3496:142 0.000196339 +152 *1583:103 *22555:A2 1.58551e-05 +153 *1587:137 *3496:52 6.14246e-05 +154 *1620:11 *22660:A2 2.57986e-05 +155 *1636:68 *3496:29 5.93953e-05 +156 *1645:69 *3496:29 0.000660535 +157 *1645:94 *22714:A2 3.17436e-05 +158 *1652:12 *3496:29 0 +159 *1710:26 *3496:52 0.000465664 +160 *1715:151 *3496:29 0.000129208 +161 *1730:48 *3496:29 7.57527e-05 +162 *2089:28 *3496:13 0.000338531 +163 *2244:73 *3496:142 1.19038e-05 +164 *2368:64 *3496:163 1.5714e-05 +165 *2378:41 *3496:29 7.68008e-05 +166 *2398:100 *3496:29 6.23101e-05 +167 *2416:53 *3496:163 1.85244e-05 +168 *2418:38 *22678:A2 5.85325e-05 +169 *2424:8 *3496:29 0.000143047 +170 *2431:23 *3496:29 0.000573131 +171 *2477:34 *22714:A2 6.50176e-05 +172 *2511:30 *3496:104 5.49916e-05 +173 *2511:30 *3496:125 7.09666e-06 +174 *2542:35 *22606:A2 0.000108217 +175 *2542:35 *3496:179 0.000162925 +176 *2576:76 *3496:104 0.000491737 +177 *2576:85 *22660:A2 6.3657e-05 +178 *2576:85 *3496:104 0.000131981 +179 *2605:29 *22555:A2 0.000122488 +180 *2652:14 *3496:42 2.60011e-05 +181 *2652:14 *3496:52 0.000100645 +182 *2652:25 *3496:36 0.000138179 +183 *2652:25 *3496:42 9.76623e-06 +184 *2694:38 *3496:125 7.72394e-06 +185 *2694:38 *3496:142 1.91348e-05 +186 *2800:25 *3496:29 0.000205264 +187 *2813:47 *22678:A2 0.000764497 +188 *2825:57 *22555:A2 0.000296893 +189 *2880:45 *3496:163 0.00123574 +190 *2887:66 *22624:A2 2.61046e-05 +191 *2887:66 *3496:179 5.75327e-06 +192 *2894:39 *3496:104 0.000100511 +193 *2908:29 *22714:A2 0.000137865 +194 *2930:59 *3496:104 0.000227296 +195 *3021:43 *3496:174 0.000391697 +196 *3072:20 *22714:A2 0.000810593 +197 *3073:16 *22555:A2 0.000154145 +198 *3106:42 *3496:29 1.43499e-05 +199 *3111:28 *3496:142 0.000789178 +200 *3114:29 *3496:52 0.000188618 +201 *3117:245 *22678:A2 2.57465e-06 +202 *3128:26 *3496:142 2.63575e-05 +203 *3131:41 *3496:29 0.00130244 +204 *3131:41 *3496:31 0.00308852 +205 *3131:41 *3496:36 0.000741414 +206 *3136:248 *22678:A2 4.99151e-05 +207 *3142:158 *3496:179 0.000444819 +208 *3142:190 *22624:A2 7.56452e-06 +209 *3142:190 *3496:174 2.02822e-05 +210 *3142:190 *3496:179 0.000180512 +211 *3148:46 *3496:142 3.17436e-05 +212 *3148:72 *22714:A2 0.000159964 +213 *3148:72 *3496:142 0.000211993 +214 *3148:72 *3496:155 0.00103109 +215 *3151:17 *3496:29 6.73504e-05 +216 *3175:43 *3496:42 9.84424e-06 +217 *3185:107 *3496:174 6.74182e-05 +218 *3185:116 *22555:A2 8.29362e-05 +219 *3196:26 *3496:125 4.42987e-06 +220 *3198:23 *3496:142 0.000101304 +221 *3280:13 *3496:125 2.27901e-06 +222 *3284:10 *3496:174 1.78895e-05 +223 *3284:21 *3496:174 2.43314e-05 +224 *3348:21 *22714:A2 6.50176e-05 +225 *3406:14 *3496:36 6.60191e-06 +226 *3406:14 *3496:42 6.3481e-06 +227 *3406:14 *3496:52 0.00025433 +228 *3476:20 *3496:142 0.000133323 +229 *3479:13 *3496:13 8.88984e-06 +230 *3479:24 *3496:13 2.65831e-05 +231 *3481:136 *3496:142 0.000160612 +232 *3483:124 *3496:142 0.00184643 +233 *3491:27 *3496:125 3.70278e-05 +234 *3491:70 *22804:A2 1.76666e-05 +*RES +1 *22547:X *3496:13 46.302 +2 *3496:13 *3496:29 40.6242 +3 *3496:29 *3496:31 4.6393 +4 *3496:31 *3496:36 13.5884 +5 *3496:36 *3496:42 4.9586 +6 *3496:42 *3496:52 28.469 +7 *3496:52 *22768:A2 9.24915 +8 *3496:52 *22786:A2 20.0277 +9 *3496:42 *22732:A2 13.7491 +10 *3496:36 *22750:A2 13.7491 +11 *3496:31 *22804:A2 28.5508 +12 *3496:29 *3496:104 26.3176 +13 *3496:104 *22660:A2 15.2053 +14 *3496:104 *3496:125 42.6872 +15 *3496:125 *22642:A2 15.6059 +16 *3496:125 *3496:142 12.6406 +17 *3496:142 *22678:A2 19.8627 +18 *3496:142 *3496:155 2.45081 +19 *3496:155 *3496:163 10.4714 +20 *3496:163 *3496:174 30.1467 +21 *3496:174 *3496:179 15.2993 +22 *3496:179 *22555:A2 42.4439 +23 *3496:179 *22606:A2 17.135 +24 *3496:174 *22624:A2 10.4789 +25 *3496:163 *22696:A2 12.1066 +26 *3496:155 *22714:A2 20.3325 +*END + +*D_NET *3497 0.00113053 +*CONN +*I *22549:A I *D sky130_fd_sc_hd__buf_6 +*I *22548:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22549:A 0.000374904 +2 *22548:X 0.000374904 +3 *22548:B *22549:A 9.5562e-05 +4 *2100:37 *22549:A 0.000285154 +*RES +1 *22548:X *22549:A 27.589 +*END + +*D_NET *3498 0.0815477 +*CONN +*I *22786:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22732:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22660:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22624:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22555:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22606:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22696:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22714:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22678:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22642:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22804:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22750:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22768:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22549:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22786:B2 0 +2 *22732:B2 0 +3 *22660:B2 4.49574e-05 +4 *22624:B2 0.000299956 +5 *22555:B2 0.00133753 +6 *22606:B2 0 +7 *22696:B2 0.000300481 +8 *22714:B2 8.24196e-05 +9 *22678:B2 0.000261939 +10 *22642:B2 4.18393e-05 +11 *22804:B2 0 +12 *22750:B2 0 +13 *22768:B2 0 +14 *22549:X 0.000670513 +15 *3498:258 0.00151893 +16 *3498:256 0.00106983 +17 *3498:232 0.00116368 +18 *3498:231 0.00102018 +19 *3498:220 0.000796187 +20 *3498:219 5.07295e-05 +21 *3498:211 0.00106957 +22 *3498:179 0.00259782 +23 *3498:163 0.00323622 +24 *3498:132 0.00329219 +25 *3498:119 0.00288443 +26 *3498:112 0.00231754 +27 *3498:90 0.00129414 +28 *3498:75 0.000855445 +29 *3498:64 0.00117076 +30 *3498:44 0.00142366 +31 *3498:35 0.00160096 +32 *3498:24 0.00313878 +33 *3498:11 0.00286347 +34 *22624:B2 *22624:C1 6.36477e-05 +35 *22642:B2 *3511:28 2.16355e-05 +36 *22642:B2 *4808:58 3.07848e-05 +37 *22660:B2 *22660:C1 4.81452e-05 +38 *22696:B2 *22697:C1 0.000110297 +39 *22696:B2 *3500:105 0.000115313 +40 *3498:11 *3502:11 0.00175503 +41 *3498:11 *5944:69 0.000126194 +42 *3498:11 *5948:81 7.58067e-06 +43 *3498:24 *24233:SET_B 0.000223073 +44 *3498:24 *24233:CLK 9.2932e-05 +45 *3498:35 *22797:A 1.78704e-05 +46 *3498:44 *4854:6 1.5714e-05 +47 *3498:64 *22733:C1 0.000113619 +48 *3498:64 *5674:45 4.97935e-05 +49 *3498:75 *22732:C1 9.29156e-05 +50 *3498:75 *22733:C1 0.000391185 +51 *3498:90 *3668:18 0.000285424 +52 *3498:112 *22761:B 0.000117975 +53 *3498:112 *22805:B1 4.39979e-05 +54 *3498:119 *22660:C1 0.000156955 +55 *3498:132 *3500:99 0.00233065 +56 *3498:132 *3502:105 8.0828e-05 +57 *3498:163 *22642:B1 0.000104754 +58 *3498:163 *22653:C 2.87378e-05 +59 *3498:163 *3500:99 1.91246e-05 +60 *3498:163 *3517:15 1.76888e-05 +61 *3498:163 *3519:30 4.98185e-05 +62 *3498:163 *3531:11 0.000420932 +63 *3498:163 *3541:13 0.000404773 +64 *3498:163 *3598:11 5.45571e-05 +65 *3498:163 *4813:56 5.33881e-06 +66 *3498:163 *4827:51 2.63743e-05 +67 *3498:179 *22675:C1 0.000116751 +68 *3498:179 *22711:C1 2.12895e-05 +69 *3498:179 *3537:19 2.16355e-05 +70 *3498:179 *3541:13 0.000210123 +71 *3498:179 *4809:40 0.000304121 +72 *3498:179 *4813:56 2.57465e-06 +73 *3498:211 *3620:30 0.000249026 +74 *3498:211 *3666:13 0.000158451 +75 *3498:231 *3634:14 0.000250254 +76 *3498:232 *3500:105 6.8939e-05 +77 *3498:232 *3500:127 5.88009e-05 +78 *3498:256 *22607:C1 8.51641e-05 +79 *3498:258 *22607:C1 9.35475e-05 +80 *21212:B1 *3498:163 0.000275111 +81 *21219:C1 *3498:119 0.00171373 +82 *21352:A1 *3498:163 0.000492696 +83 *21372:C1 *3498:211 8.19236e-05 +84 *21423:A1 *3498:211 0.000104151 +85 *21455:B1 *3498:112 1.55025e-05 +86 *21583:B1 *3498:119 1.93125e-05 +87 *21589:B1 *3498:132 7.69944e-05 +88 *21702:A2 *3498:35 3.95516e-05 +89 *21702:B1 *3498:35 8.33408e-05 +90 *21814:B2 *22555:B2 0.00114239 +91 *21881:A2 *3498:44 8.82953e-06 +92 *21900:D *3498:35 6.36477e-05 +93 *21981:A2 *3498:132 9.76521e-05 +94 *21994:D *3498:112 0.000445463 +95 *22054:A1 *3498:112 1.02986e-05 +96 *22160:A *3498:11 6.03019e-05 +97 *22255:A1 *22624:B2 0.0003036 +98 *22260:A1 *22555:B2 2.77625e-06 +99 *22260:A1 *3498:258 2.81262e-05 +100 *22260:A2 *22555:B2 6.08467e-05 +101 *22260:B2 *22555:B2 0.000158451 +102 *22304:B2 *3498:179 0.000197083 +103 *22304:B2 *3498:211 2.81881e-05 +104 *22305:C1 *3498:179 0.000129495 +105 *22306:D *3498:179 3.74433e-05 +106 *22321:A1 *3498:119 0.000136396 +107 *22326:B1 *22660:B2 6.3657e-05 +108 *22326:B2 *3498:132 9.29815e-06 +109 *22348:A2 *3498:211 6.08467e-05 +110 *22348:B1 *3498:211 9.95922e-06 +111 *22401:B1 *3498:179 9.15966e-05 +112 *22453:B2 *3498:35 7.54269e-06 +113 *22453:C1 *3498:24 1.5714e-05 +114 *22458:B1 *3498:44 1.07248e-05 +115 *22458:B1 *3498:64 0.00036483 +116 *22475:B2 *3498:24 6.23715e-06 +117 *22480:A2 *3498:35 0.000158357 +118 *22555:A2 *22555:B2 0.000191101 +119 *22555:B1 *22555:B2 5.83326e-05 +120 *22606:A1 *3498:256 0.000367782 +121 *22606:A1 *3498:258 0.000345048 +122 *22606:A2 *3498:258 6.08467e-05 +123 *22606:B1 *22555:B2 7.90844e-05 +124 *22623:B1 *22624:B2 9.66145e-05 +125 *22624:B1 *22624:B2 6.08467e-05 +126 *22640:A2 *3498:163 5.11321e-05 +127 *22642:A2 *22642:B2 1.47978e-05 +128 *22675:B1 *3498:179 1.00238e-05 +129 *22678:A1 *22678:B2 6.3657e-05 +130 *22678:B1 *22678:B2 2.76431e-05 +131 *22696:A1 *22696:B2 1.39501e-05 +132 *22696:A2 *22696:B2 7.30786e-05 +133 *22696:B1 *22696:B2 4.83435e-05 +134 *22711:B2 *3498:179 6.84274e-05 +135 *22714:A1 *22714:B2 0.000154145 +136 *22714:A1 *3498:211 4.66492e-05 +137 *22732:A1 *3498:64 3.41459e-05 +138 *22732:B1 *3498:64 7.8601e-05 +139 *22732:B1 *3498:75 6.50727e-05 +140 *22747:B1 *3498:112 7.92757e-06 +141 *22747:B2 *3498:112 0.000107496 +142 *22783:B1 *3498:35 2.41274e-06 +143 *22786:A1 *3498:35 6.28701e-05 +144 *22786:A2 *3498:35 3.19789e-05 +145 *22786:B1 *3498:35 0 +146 *22804:A1 *3498:112 6.08467e-05 +147 *22804:A2 *3498:112 0.000331554 +148 *22804:B1 *3498:112 1.41976e-05 +149 *518:31 *3498:256 0.00145957 +150 *527:11 *22714:B2 0.000296412 +151 *527:11 *3498:211 0.00012391 +152 *527:11 *3498:231 0.000248257 +153 *529:14 *22696:B2 3.96379e-06 +154 *545:23 *3498:24 0.00113231 +155 *547:21 *3498:24 0.00114975 +156 *547:21 *3498:35 0.000343552 +157 *1544:76 *3498:44 0 +158 *1545:54 *3498:132 1.52978e-05 +159 *1562:21 *3498:231 0.000593951 +160 *1577:44 *3498:119 0 +161 *1587:137 *3498:75 0.000333673 +162 *1588:41 *3498:35 0.000107496 +163 *1620:11 *22660:B2 0.000205101 +164 *1620:11 *3498:119 0.000349336 +165 *1620:31 *3498:132 0.000104754 +166 *1627:79 *22555:B2 0.000162739 +167 *1645:49 *3498:64 4.907e-05 +168 *1691:80 *3498:24 4.20552e-05 +169 *1710:26 *3498:44 2.05342e-06 +170 *1710:26 *3498:64 0.000302433 +171 *1751:70 *3498:132 1.50739e-05 +172 *1771:31 *3498:44 1.5714e-05 +173 *1790:43 *3498:24 0.000162439 +174 *1800:8 *3498:75 1.5714e-05 +175 *2093:101 *3498:231 5.5493e-05 +176 *2100:37 *3498:11 8.6931e-05 +177 *2100:55 *3498:11 1.86178e-05 +178 *2256:72 *3498:163 0.00134189 +179 *2273:17 *3498:24 0.000502863 +180 *2274:95 *3498:163 2.40252e-05 +181 *2278:46 *3498:132 0.000144762 +182 *2293:65 *3498:232 5.20388e-05 +183 *2300:70 *3498:179 4.39185e-05 +184 *2304:65 *3498:211 0.000637757 +185 *2313:56 *3498:179 0.000166728 +186 *2354:55 *22696:B2 0.000110505 +187 *2354:55 *3498:232 0.000299939 +188 *2411:26 *3498:132 1.37385e-05 +189 *2474:37 *3498:231 6.83668e-05 +190 *2477:34 *3498:231 0.000239614 +191 *2478:18 *3498:211 2.77564e-05 +192 *2480:38 *3498:211 0.000272579 +193 *2481:17 *22678:B2 0.000285679 +194 *2481:17 *3498:179 0.00024251 +195 *2481:17 *3498:211 2.43314e-05 +196 *2511:30 *3498:119 0.000222068 +197 *2539:12 *22555:B2 0.000135973 +198 *2547:12 *3498:35 8.6931e-05 +199 *2576:85 *22660:B2 1.88014e-05 +200 *2586:61 *3498:90 0.000281827 +201 *2587:18 *3498:64 4.5309e-05 +202 *2590:36 *3498:112 0.00015587 +203 *2605:29 *22555:B2 7.92757e-06 +204 *2611:19 *3498:90 0.000256726 +205 *2631:38 *3498:231 0.0014301 +206 *2636:28 *3498:132 0.00137274 +207 *2652:14 *3498:64 3.45186e-05 +208 *2676:45 *3498:179 0.000195421 +209 *2693:19 *3498:24 1.1887e-05 +210 *2729:121 *3498:35 0.000158451 +211 *2751:8 *3498:112 0.00038094 +212 *2774:8 *22555:B2 0.000147751 +213 *2825:57 *22555:B2 1.65872e-05 +214 *2857:11 *22714:B2 4.97617e-05 +215 *2857:11 *3498:211 9.03909e-05 +216 *2858:34 *3498:44 7.08723e-06 +217 *2880:45 *3498:256 0.000123175 +218 *2887:66 *22624:B2 7.23404e-05 +219 *2909:17 *3498:24 7.6363e-05 +220 *2915:19 *3498:35 2.77625e-06 +221 *2930:59 *3498:132 9.30864e-05 +222 *2936:67 *3498:75 2.26985e-05 +223 *2950:125 *3498:75 0.000328266 +224 *3001:22 *3498:112 4.99151e-05 +225 *3007:19 *3498:112 0.000105901 +226 *3018:8 *3498:35 8.3506e-05 +227 *3050:35 *3498:231 8.51503e-05 +228 *3052:66 *3498:132 0.000106353 +229 *3063:45 *3498:112 0.000221881 +230 *3118:27 *3498:11 0.000393863 +231 *3124:15 *3498:11 0.00146667 +232 *3124:15 *3498:24 6.99058e-05 +233 *3124:127 *3498:132 8.62625e-06 +234 *3124:127 *3498:163 1.1539e-05 +235 *3126:25 *22678:B2 6.78998e-05 +236 *3126:25 *3498:179 0.000167017 +237 *3126:25 *3498:211 5.65123e-05 +238 *3136:248 *22678:B2 3.13154e-05 +239 *3142:98 *22660:B2 7.68538e-06 +240 *3142:108 *3498:132 0.000223132 +241 *3142:154 *22624:B2 4.15661e-05 +242 *3142:211 *3498:211 9.12416e-06 +243 *3142:233 *3498:211 3.83731e-05 +244 *3151:8 *3498:11 6.50586e-05 +245 *3151:64 *3498:35 0.000200794 +246 *3155:36 *3498:90 0.000253916 +247 *3179:40 *3498:64 0.00014214 +248 *3185:30 *3498:44 5.83569e-05 +249 *3185:79 *3498:132 5.55436e-06 +250 *3217:25 *22624:B2 4.40237e-05 +251 *3222:7 *22555:B2 0.000113107 +252 *3300:8 *3498:231 1.86469e-05 +253 *3306:15 *3498:211 9.65426e-05 +254 *3348:21 *3498:231 9.07931e-05 +255 *3406:14 *3498:75 0.000139782 +256 *3406:14 *3498:90 0.000332498 +257 *3427:28 *3498:44 0.000125627 +258 *3432:17 *3498:44 0.000125177 +259 *3471:15 *3498:163 0.000108997 +260 *3481:136 *3498:163 0.000397934 +261 *3483:8 *3498:11 7.5301e-06 +262 *3485:133 *3498:132 4.67882e-05 +263 *3487:81 *3498:24 0.000398089 +264 *3491:178 *3498:211 3.33173e-06 +265 *3491:204 *3498:256 0.00160315 +266 *3491:226 *3498:256 0.000156946 +267 *3496:36 *3498:75 2.21587e-05 +268 *3496:36 *3498:90 0.000338197 +269 *3496:42 *3498:75 0.000122548 +270 *3496:52 *3498:44 3.40382e-05 +271 *3496:52 *3498:64 0.000456952 +272 *3496:142 *3498:163 0.000158397 +273 *3496:179 *22624:B2 0.000205101 +*RES +1 *22549:X *3498:11 47.1709 +2 *3498:11 *3498:24 45.7056 +3 *3498:24 *3498:35 28.4105 +4 *3498:35 *3498:44 13.6838 +5 *3498:44 *22768:B2 13.7491 +6 *3498:44 *3498:64 31.2193 +7 *3498:64 *3498:75 19.9798 +8 *3498:75 *22750:B2 13.7491 +9 *3498:75 *3498:90 29.6566 +10 *3498:90 *22804:B2 9.24915 +11 *3498:90 *3498:112 42.0338 +12 *3498:112 *3498:119 38.6155 +13 *3498:119 *3498:132 23.5894 +14 *3498:132 *22642:B2 15.0513 +15 *3498:132 *3498:163 46.3436 +16 *3498:163 *3498:179 42.394 +17 *3498:179 *22678:B2 20.6214 +18 *3498:179 *3498:211 41.8877 +19 *3498:211 *22714:B2 12.7456 +20 *3498:211 *3498:219 9.24915 +21 *3498:219 *3498:220 57.9449 +22 *3498:220 *3498:231 47.6519 +23 *3498:231 *3498:232 6.81502 +24 *3498:232 *22696:B2 21.9758 +25 *3498:232 *3498:256 31.338 +26 *3498:256 *3498:258 5.71483 +27 *3498:258 *22606:B2 9.24915 +28 *3498:258 *22555:B2 48.7918 +29 *3498:256 *22624:B2 27.4514 +30 *3498:119 *22660:B2 11.6364 +31 *3498:64 *22732:B2 9.24915 +32 *3498:35 *22786:B2 9.24915 +*END + +*D_NET *3499 0.000583947 +*CONN +*I *22551:A I *D sky130_fd_sc_hd__buf_6 +*I *22550:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22551:A 8.54318e-05 +2 *22550:X 8.54318e-05 +3 *547:33 *22551:A 0.000206542 +4 *3109:17 *22551:A 0.000206542 +*RES +1 *22550:X *22551:A 31.4065 +*END + +*D_NET *3500 0.0733488 +*CONN +*I *22749:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22803:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22659:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22641:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22605:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22554:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22623:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22695:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22713:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22677:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22731:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22767:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22785:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22551:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22749:A2 3.60864e-05 +2 *22803:A2 0.000149504 +3 *22659:A2 0.000157711 +4 *22641:A2 2.63875e-05 +5 *22605:A2 0 +6 *22554:A2 0.00139269 +7 *22623:A2 0.000100665 +8 *22695:A2 8.88952e-05 +9 *22713:A2 0.000102937 +10 *22677:A2 0 +11 *22731:A2 4.46652e-05 +12 *22767:A2 7.93047e-05 +13 *22785:A2 5.91717e-05 +14 *22551:X 0.00130834 +15 *3500:168 0.0022888 +16 *3500:152 0.00227683 +17 *3500:127 0.00170728 +18 *3500:108 0.000966961 +19 *3500:105 0.00290892 +20 *3500:99 0.003752 +21 *3500:91 0.00229337 +22 *3500:89 0.00346576 +23 *3500:75 0.00404285 +24 *3500:72 0.000753895 +25 *3500:65 0.000738675 +26 *3500:50 0.00120736 +27 *3500:38 0.000943352 +28 *3500:33 0.00132442 +29 *3500:18 0.00235623 +30 *22554:A2 *22606:C1 0.000256037 +31 *22554:A2 *3502:197 0.000983077 +32 *22659:A2 *22659:B2 5.61251e-05 +33 *22659:A2 *3523:19 7.55464e-05 +34 *22731:A2 *3502:51 6.08467e-05 +35 *22749:A2 *3502:47 6.08467e-05 +36 *22785:A2 *22786:C1 5.24081e-05 +37 *3500:18 *21063:B1 3.14544e-05 +38 *3500:18 *4824:180 0.000584766 +39 *3500:33 *21725:A1 0.00166817 +40 *3500:33 *4824:180 0.00017608 +41 *3500:33 *5860:511 0.000100741 +42 *3500:38 *21725:A1 1.65872e-05 +43 *3500:65 *3502:58 5.32852e-05 +44 *3500:72 *3502:47 4.66492e-05 +45 *3500:72 *3502:51 0.000200794 +46 *3500:75 *22750:C1 0.000275494 +47 *3500:89 *24235:CLK 7.57081e-06 +48 *3500:89 *3719:44 7.93457e-06 +49 *3500:89 *4920:74 2.29878e-05 +50 *3500:89 *5476:51 0.000115679 +51 *3500:99 *22642:B1 0.000341245 +52 *3500:99 *3527:24 0.000122784 +53 *3500:99 *3527:54 3.88358e-05 +54 *3500:99 *3533:38 2.57465e-06 +55 *3500:99 *3587:8 6.11784e-05 +56 *3500:105 *3502:138 0.00114951 +57 *3500:105 *3533:38 0.000305639 +58 *3500:105 *4817:145 4.967e-05 +59 *3500:152 *5919:40 3.73639e-05 +60 *3500:168 *22606:C1 6.08467e-05 +61 *19981:C *3500:65 0.000436 +62 *20049:A1 *3500:65 1.5714e-05 +63 *20613:B *3500:99 0.000429092 +64 *21279:B1 *3500:152 0.000170316 +65 *21352:B2 *3500:18 0.000141126 +66 *21359:A1 *3500:105 2.57465e-06 +67 *21443:A *3500:105 0.000169608 +68 *21449:A1 *3500:89 0.000373088 +69 *21582:A1 *3500:89 0.000108144 +70 *21725:B1 *3500:38 6.08467e-05 +71 *21725:B2 *3500:38 0.00024184 +72 *21725:C1 *3500:38 0.000212104 +73 *21814:A2 *3500:152 0.000321847 +74 *21881:A1 *3500:33 3.17103e-05 +75 *21893:B1 *3500:18 0.000233873 +76 *21969:A1 *3500:75 7.32515e-05 +77 *22010:A1 *22554:A2 5.8973e-05 +78 *22010:A2 *22554:A2 0.000154145 +79 *22204:B *3500:18 0.000256743 +80 *22260:A1 *3500:168 0.000391697 +81 *22364:A1 *3500:105 0.000305188 +82 *22531:A *3500:18 4.86736e-05 +83 *22606:A1 *22623:A2 2.62383e-05 +84 *22606:A1 *3500:152 1.05272e-06 +85 *22606:A1 *3500:168 0.000111062 +86 *22606:B1 *3500:168 2.764e-05 +87 *22659:A1 *22659:A2 6.08467e-05 +88 *22660:A2 *3500:89 8.96465e-06 +89 *22677:A1 *22713:A2 6.22259e-05 +90 *22696:B2 *3500:105 0.000115313 +91 *22764:A2 *3500:33 0.000209991 +92 *22767:A1 *3500:65 1.5714e-05 +93 *22768:A1 *3500:38 8.03699e-06 +94 *22768:A1 *3500:50 3.88655e-06 +95 *22785:A1 *3500:50 0.000339425 +96 *22786:A2 *3500:50 5.73392e-05 +97 *518:31 *3500:152 7.68538e-06 +98 *522:18 *3500:18 8.01987e-05 +99 *527:11 *22713:A2 4.94594e-05 +100 *527:11 *3500:108 0.00119551 +101 *527:11 *3500:152 0.000627753 +102 *1502:201 *3500:89 2.57465e-06 +103 *1502:204 *3500:18 4.00504e-05 +104 *1544:76 *3500:65 0.000141639 +105 *1545:118 *3500:105 0.000167582 +106 *1552:52 *3500:89 0.000618292 +107 *1598:68 *22554:A2 0.000389768 +108 *1649:18 *3500:89 1.43499e-05 +109 *1649:57 *3500:89 0.000734805 +110 *1649:80 *3500:18 3.55859e-05 +111 *1655:61 *3500:168 0.000163146 +112 *1660:85 *3500:89 0.000101405 +113 *1662:87 *22554:A2 0.000248661 +114 *1669:156 *3500:89 5.866e-05 +115 *1684:85 *3500:75 0.000101546 +116 *1717:38 *3500:33 5.22909e-05 +117 *1717:38 *3500:38 6.08467e-05 +118 *1717:45 *3500:33 0.000484915 +119 *1728:10 *3500:65 1.88681e-05 +120 *1751:70 *3500:99 0.00166487 +121 *1771:24 *3500:38 2.61147e-05 +122 *1790:43 *3500:89 0.000104754 +123 *1800:8 *3500:65 4.89681e-06 +124 *1804:37 *3500:38 0.000107496 +125 *2088:113 *3500:18 2.57465e-06 +126 *2098:34 *3500:18 7.56369e-05 +127 *2100:23 *3500:18 6.70195e-05 +128 *2244:36 *3500:99 6.74182e-05 +129 *2244:36 *3500:105 2.57465e-06 +130 *2286:53 *3500:152 1.5714e-05 +131 *2293:65 *3500:127 6.36477e-05 +132 *2354:55 *3500:105 0.000636553 +133 *2368:64 *3500:152 6.51527e-05 +134 *2396:23 *3500:18 0.000237292 +135 *2407:41 *3500:89 6.3657e-05 +136 *2411:26 *3500:99 0.00142549 +137 *2411:34 *22641:A2 1.48746e-05 +138 *2411:34 *3500:99 1.55103e-05 +139 *2411:34 *3500:105 0.000432018 +140 *2416:53 *3500:152 6.51527e-05 +141 *2504:41 *3500:89 0.000133572 +142 *2541:36 *3500:168 0.000161741 +143 *2576:76 *3500:89 6.43372e-05 +144 *2577:36 *3500:18 3.82228e-05 +145 *2586:61 *3500:38 5.40265e-05 +146 *2586:61 *3500:50 2.34052e-05 +147 *2611:16 *3500:89 5.65463e-05 +148 *2611:23 *22803:A2 0.000254756 +149 *2611:23 *3500:75 2.01653e-05 +150 *2631:38 *3500:105 5.85751e-05 +151 *2631:38 *3500:127 1.13117e-05 +152 *2636:28 *3500:99 1.45891e-05 +153 *2636:40 *3500:89 8.02893e-06 +154 *2650:27 *3500:38 1.00981e-05 +155 *2729:121 *3500:18 4.31468e-05 +156 *2740:27 *3500:38 0.00012883 +157 *2746:55 *22767:A2 0.000107496 +158 *2746:55 *3500:50 0.000306993 +159 *2777:28 *3500:152 7.23877e-06 +160 *2815:14 *3500:33 3.55926e-05 +161 *2857:18 *3500:152 4.03336e-05 +162 *2865:11 *3500:33 5.481e-05 +163 *2880:45 *3500:152 0.000398804 +164 *2887:66 *3500:168 0.000154145 +165 *2892:37 *22554:A2 3.99086e-06 +166 *2895:33 *22785:A2 8.53519e-05 +167 *2908:29 *3500:152 0.000133334 +168 *2930:26 *3500:89 8.22553e-05 +169 *2930:59 *3500:89 0.000430218 +170 *2936:67 *3500:65 0.000186577 +171 *2974:40 *3500:75 9.17656e-06 +172 *3023:47 *22554:A2 0.000105515 +173 *3050:41 *22695:A2 3.82228e-05 +174 *3050:41 *3500:127 0.000139854 +175 *3052:66 *22659:A2 0.000153683 +176 *3052:66 *3500:91 0.000121156 +177 *3124:47 *3500:89 1.5714e-05 +178 *3124:127 *3500:99 0.000115282 +179 *3137:9 *3500:18 0.00125893 +180 *3142:32 *3500:89 3.53886e-05 +181 *3142:46 *3500:89 1.28501e-05 +182 *3142:98 *3500:89 7.22263e-05 +183 *3142:98 *3500:91 8.17829e-06 +184 *3144:23 *3500:33 0.00150758 +185 *3155:24 *3500:89 0.000155429 +186 *3185:18 *3500:18 0.00172325 +187 *3185:25 *3500:18 0.00111946 +188 *3185:30 *3500:50 0.000102899 +189 *3243:30 *3500:152 1.91246e-05 +190 *3284:10 *22623:A2 0.000128687 +191 *3284:10 *3500:152 7.06994e-05 +192 *3300:8 *22713:A2 2.00098e-05 +193 *3369:19 *3500:89 0.000501238 +194 *3406:14 *22803:A2 0.000259664 +195 *3406:14 *3500:75 0.000686639 +196 *3432:17 *22785:A2 6.50586e-05 +197 *3432:17 *3500:50 3.55859e-05 +198 *3479:41 *3500:18 0.000410551 +199 *3491:226 *3500:152 0.00011192 +200 *3496:31 *3500:89 0.000845529 +201 *3496:36 *3500:89 0.000119055 +202 *3496:104 *3500:89 2.66117e-05 +203 *3496:125 *22659:A2 0.000158591 +204 *3496:125 *3500:89 8.35235e-06 +205 *3496:125 *3500:91 0.000166645 +206 *3498:132 *3500:99 0.00233065 +207 *3498:163 *3500:99 1.91246e-05 +208 *3498:232 *3500:105 6.8939e-05 +209 *3498:232 *3500:127 5.88009e-05 +*RES +1 *22551:X *3500:18 49.8148 +2 *3500:18 *3500:33 49.0235 +3 *3500:33 *3500:38 13.8472 +4 *3500:38 *22785:A2 16.1364 +5 *3500:38 *3500:50 15.7897 +6 *3500:50 *22767:A2 10.5271 +7 *3500:50 *3500:65 23.3628 +8 *3500:65 *22731:A2 9.97254 +9 *3500:65 *3500:72 2.94181 +10 *3500:72 *3500:75 19.4124 +11 *3500:75 *3500:89 47.4562 +12 *3500:89 *3500:91 3.493 +13 *3500:91 *3500:99 42.9329 +14 *3500:99 *3500:105 45.922 +15 *3500:105 *3500:108 18.5339 +16 *3500:108 *22677:A2 9.24915 +17 *3500:108 *22713:A2 20.3893 +18 *3500:105 *3500:127 10.5224 +19 *3500:127 *22695:A2 10.5271 +20 *3500:127 *3500:152 38.0877 +21 *3500:152 *22623:A2 16.4116 +22 *3500:152 *3500:168 28.9773 +23 *3500:168 *22554:A2 49.4398 +24 *3500:168 *22605:A2 9.24915 +25 *3500:99 *22641:A2 14.4819 +26 *3500:91 *22659:A2 19.4217 +27 *3500:75 *22803:A2 19.1108 +28 *3500:72 *22749:A2 9.97254 +*END + +*D_NET *3501 0.000674471 +*CONN +*I *22553:A I *D sky130_fd_sc_hd__buf_6 +*I *22552:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22553:A 0.000152494 +2 *22552:X 0.000152494 +3 *22553:A *4876:7 0.000113107 +4 *2100:23 *22553:A 0.000126483 +5 *3109:17 *22553:A 0.000129893 +*RES +1 *22552:X *22553:A 31.4388 +*END + +*D_NET *3502 0.0717149 +*CONN +*I *22641:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22713:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22623:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22554:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22605:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22695:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22677:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22659:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22749:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22731:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22767:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22785:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22803:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22553:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22641:B2 0 +2 *22713:B2 0.000439868 +3 *22623:B2 0.000349188 +4 *22554:B2 0 +5 *22605:B2 0 +6 *22695:B2 2.3034e-05 +7 *22677:B2 0.000366088 +8 *22659:B2 0.000199906 +9 *22749:B2 2.80601e-05 +10 *22731:B2 5.31416e-05 +11 *22767:B2 0 +12 *22785:B2 0.000405756 +13 *22803:B2 0.00117171 +14 *22553:X 0.00135782 +15 *3502:197 0.00117221 +16 *3502:179 0.00161428 +17 *3502:172 0.000791257 +18 *3502:170 0.000105307 +19 *3502:169 0.00144878 +20 *3502:157 0.00154435 +21 *3502:156 0.000785138 +22 *3502:143 0.00111839 +23 *3502:138 0.00169314 +24 *3502:130 0.00200078 +25 *3502:124 0.001644 +26 *3502:105 0.00284824 +27 *3502:58 0.000959506 +28 *3502:51 0.000708415 +29 *3502:47 0.000316736 +30 *3502:21 0.00341032 +31 *3502:18 0.00540499 +32 *3502:11 0.0028145 +33 *22659:B2 *3523:19 1.01722e-05 +34 *22677:B2 *3621:10 0.000156955 +35 *22713:B2 *22714:C1 1.58551e-05 +36 *22785:B2 *22786:C1 0.000165521 +37 *22803:B2 *22804:C1 2.07503e-05 +38 *3502:21 *21702:A1 0.00241599 +39 *3502:21 *3719:44 0.000168692 +40 *3502:58 *3722:29 5.481e-05 +41 *3502:105 *19901:A 0.000112159 +42 *3502:105 *22661:C1 0.00022994 +43 *3502:105 *24232:CLK 0.000182694 +44 *3502:105 *4819:72 0.000572501 +45 *3502:105 *5476:51 1.40449e-05 +46 *3502:124 *22661:C1 0.000296903 +47 *3502:124 *4807:101 0.000298399 +48 *3502:130 *22641:B1 9.95922e-06 +49 *3502:130 *3511:28 0.00101233 +50 *3502:130 *4825:133 2.29454e-05 +51 *3502:130 *4825:146 8.93134e-05 +52 *3502:138 *22693:C1 0.000132635 +53 *3502:138 *3533:38 0.000137169 +54 *3502:143 *4817:145 0.000236166 +55 *3502:179 *22606:C1 0.000305506 +56 *3502:197 *22606:C1 3.16019e-05 +57 *3502:197 *3533:62 3.99086e-06 +58 *20049:A1 *22731:B2 4.15661e-05 +59 *20049:A1 *3502:58 3.77568e-05 +60 *21065:B2 *3502:105 0.000110649 +61 *21194:A2 *3502:124 7.92757e-06 +62 *21194:C1 *3502:124 0.000534455 +63 *21213:A *3502:124 0.000207911 +64 *21456:A2 *22803:B2 0.000479667 +65 *21543:B2 *3502:124 2.35405e-05 +66 *21589:B1 *3502:105 0.000381527 +67 *21657:B1 *3502:18 0.000111504 +68 *21882:A2 *3502:18 7.60356e-05 +69 *21894:B1 *3502:18 0.000545806 +70 *21969:A1 *22803:B2 3.2576e-05 +71 *22005:C1 *3502:21 5.60804e-05 +72 *22010:A1 *3502:197 0.000154145 +73 *22010:B1 *3502:197 0.00015647 +74 *22223:B1 *3502:197 2.95884e-05 +75 *22255:A1 *22623:B2 6.46192e-05 +76 *22332:A1 *3502:124 0.000134594 +77 *22431:A1 *22803:B2 0.000277674 +78 *22436:B1 *22803:B2 9.01968e-05 +79 *22554:A1 *3502:197 0.000145324 +80 *22554:A2 *3502:197 0.000983077 +81 *22554:B1 *3502:197 5.83326e-05 +82 *22556:A2 *3502:179 0.000248257 +83 *22605:A1 *3502:179 0.000305487 +84 *22659:A1 *22659:B2 6.3657e-05 +85 *22659:A2 *22659:B2 5.61251e-05 +86 *22677:B1 *22677:B2 6.08467e-05 +87 *22695:A1 *3502:157 0.000258222 +88 *22695:B1 *3502:169 0.000137746 +89 *22731:A1 *22731:B2 6.08467e-05 +90 *22731:A2 *3502:51 6.08467e-05 +91 *22749:A2 *3502:47 6.08467e-05 +92 *22803:B1 *22803:B2 0.000128429 +93 *518:31 *22677:B2 0.000154145 +94 *522:18 *3502:18 6.07515e-05 +95 *522:18 *3502:105 0.000104641 +96 *533:8 *3502:18 7.39764e-05 +97 *1443:49 *3502:21 0.00053112 +98 *1563:81 *22785:B2 6.62349e-05 +99 *1563:81 *3502:58 5.0905e-05 +100 *1587:137 *3502:21 6.76999e-06 +101 *1598:68 *3502:197 2.58891e-05 +102 *1610:33 *3502:130 0.000323176 +103 *1620:31 *3502:105 3.17436e-05 +104 *1645:49 *3502:18 0.000295999 +105 *1645:49 *3502:21 1.01908e-05 +106 *1655:77 *3502:179 2.54131e-05 +107 *1655:77 *3502:197 6.36477e-05 +108 *1661:24 *22731:B2 6.08467e-05 +109 *1661:24 *22749:B2 4.16359e-05 +110 *1661:24 *3502:47 0.000348669 +111 *1661:24 *3502:51 0.000182152 +112 *1662:29 *22803:B2 0.000195682 +113 *1662:87 *3502:197 3.19927e-05 +114 *1728:10 *22731:B2 3.58525e-05 +115 *1728:10 *22803:B2 5.98655e-05 +116 *1728:10 *3502:58 0.000183817 +117 *1730:240 *3502:124 0.000152597 +118 *1800:8 *22803:B2 0.000206322 +119 *1802:80 *22803:B2 0.000272632 +120 *1804:37 *22785:B2 0.000163819 +121 *1804:37 *3502:58 0.000428413 +122 *1804:64 *22803:B2 3.29488e-05 +123 *2098:34 *3502:11 0.000275776 +124 *2280:6 *3502:130 2.206e-05 +125 *2286:10 *3502:124 0.000311232 +126 *2293:65 *22713:B2 7.72043e-06 +127 *2354:55 *3502:143 0.000424366 +128 *2354:55 *3502:156 0.000200581 +129 *2361:74 *3502:143 0.000295264 +130 *2361:74 *3502:156 0.000206295 +131 *2368:34 *3502:130 0.00110414 +132 *2368:64 *3502:157 0.000207901 +133 *2411:26 *3502:18 0 +134 *2519:33 *3502:21 2.00178e-05 +135 *2527:24 *22623:B2 0.000245707 +136 *2529:19 *3502:21 0.000360357 +137 *2576:76 *3502:105 4.61462e-05 +138 *2581:61 *22659:B2 0.000128862 +139 *2586:61 *3502:47 1.5714e-05 +140 *2630:20 *3502:124 0.000233944 +141 *2652:14 *3502:47 1.5714e-05 +142 *2693:19 *3502:18 0.000228454 +143 *2696:11 *3502:138 0.000200794 +144 *2726:22 *22803:B2 0.000496844 +145 *2745:19 *22785:B2 0.0003009 +146 *2800:38 *3502:21 0.000164034 +147 *2857:11 *22677:B2 3.41459e-05 +148 *2857:18 *22677:B2 0.000218292 +149 *2887:66 *22623:B2 3.63738e-05 +150 *2892:37 *3502:197 0.000347858 +151 *2894:39 *3502:18 8.78035e-05 +152 *2894:39 *3502:105 7.77248e-05 +153 *2895:33 *22785:B2 7.92757e-06 +154 *2913:30 *22785:B2 9.12416e-06 +155 *2913:30 *3502:58 0.00027758 +156 *2916:20 *3502:21 6.11554e-05 +157 *2975:50 *3502:105 0.00118478 +158 *3015:28 *3502:197 0.000451074 +159 *3021:43 *22623:B2 3.7516e-05 +160 *3050:35 *22713:B2 2.53145e-06 +161 *3050:41 *22713:B2 0.000315469 +162 *3050:41 *3502:157 0.000192548 +163 *3050:41 *3502:169 0.000591908 +164 *3050:52 *3502:169 0.0003455 +165 *3050:52 *3502:179 2.13804e-05 +166 *3052:38 *3502:105 0.00031266 +167 *3073:16 *3502:197 0.000110297 +168 *3114:29 *22803:B2 0.000403649 +169 *3114:29 *3502:21 0.000286825 +170 *3118:27 *3502:11 0.000275776 +171 *3139:192 *22713:B2 0.000582581 +172 *3139:192 *3502:157 0.000449031 +173 *3148:183 *3502:130 1.88152e-05 +174 *3151:154 *3502:138 0.000250496 +175 *3151:176 *3502:138 6.51637e-05 +176 *3164:15 *3502:18 0.00177726 +177 *3179:202 *22677:B2 0.000156955 +178 *3185:116 *22623:B2 2.57847e-05 +179 *3196:26 *3502:105 7.77309e-06 +180 *3206:146 *3502:130 6.3657e-05 +181 *3322:11 *3502:143 0.000510829 +182 *3411:16 *22803:B2 0.000104919 +183 *3481:77 *3502:18 5.15545e-05 +184 *3483:8 *3502:18 1.20894e-05 +185 *3487:214 *3502:138 0.000349097 +186 *3491:204 *22677:B2 0.00043404 +187 *3491:226 *22623:B2 1.9101e-05 +188 *3496:29 *3502:105 2.9859e-05 +189 *3496:125 *22659:B2 1.65872e-05 +190 *3496:125 *3502:105 0.000258956 +191 *3496:125 *3502:124 0.000165395 +192 *3498:11 *3502:11 0.00175503 +193 *3498:132 *3502:105 8.0828e-05 +194 *3500:65 *3502:58 5.32852e-05 +195 *3500:72 *3502:47 4.66492e-05 +196 *3500:72 *3502:51 0.000200794 +197 *3500:105 *3502:138 0.00114951 +*RES +1 *22553:X *3502:11 48.4529 +2 *3502:11 *3502:18 23.641 +3 *3502:18 *3502:21 8.92596 +4 *3502:21 *22803:B2 35.3298 +5 *3502:21 *3502:47 12.853 +6 *3502:47 *3502:51 7.99641 +7 *3502:51 *3502:58 23.6321 +8 *3502:58 *22785:B2 25.7488 +9 *3502:58 *22767:B2 13.7491 +10 *3502:51 *22731:B2 15.474 +11 *3502:47 *22749:B2 10.2378 +12 *3502:18 *3502:105 35.1558 +13 *3502:105 *22659:B2 14.6505 +14 *3502:105 *3502:124 37.4301 +15 *3502:124 *3502:130 32.9701 +16 *3502:130 *3502:138 41.3886 +17 *3502:138 *3502:143 18.1001 +18 *3502:143 *22677:B2 25.5887 +19 *3502:143 *3502:156 9.23876 +20 *3502:156 *3502:157 8.48785 +21 *3502:157 *22695:B2 9.82786 +22 *3502:157 *3502:169 29.9624 +23 *3502:169 *3502:170 127.479 +24 *3502:170 *3502:172 9.24915 +25 *3502:172 *3502:179 10.7786 +26 *3502:179 *22605:B2 9.24915 +27 *3502:179 *3502:197 42.835 +28 *3502:197 *22554:B2 9.24915 +29 *3502:172 *22623:B2 26.9237 +30 *3502:156 *22713:B2 21.6433 +31 *3502:130 *22641:B2 9.24915 +*END + +*D_NET *3503 0.00113267 +*CONN +*I *22555:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22554:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22555:C1 0.00021219 +2 *22554:X 0.00021219 +3 *22555:C1 *3533:62 1.83795e-06 +4 *1583:103 *22555:C1 0.000247443 +5 *1721:94 *22555:C1 9.12416e-06 +6 *1721:107 *22555:C1 5.35941e-05 +7 *1788:89 *22555:C1 0.000122834 +8 *2605:29 *22555:C1 0.000247443 +9 *2674:8 *22555:C1 2.60172e-05 +*RES +1 *22554:X *22555:C1 33.826 +*END + +*D_NET *3504 0.00237506 +*CONN +*I *22556:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22555:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22556:C1 0.000622911 +2 *22555:X 0.000622911 +3 *21549:A2 *22556:C1 0.000170967 +4 *22555:A2 *22556:C1 0.00043685 +5 *1588:131 *22556:C1 0.000118987 +6 *2892:37 *22556:C1 7.94607e-05 +7 *3186:14 *22556:C1 0.000322969 +*RES +1 *22555:X *22556:C1 41.5498 +*END + +*D_NET *3505 0.00135709 +*CONN +*I *22598:C I *D sky130_fd_sc_hd__and4_4 +*I *22556:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22598:C 0.000391521 +2 *22556:X 0.000391521 +3 *22556:A1 *22598:C 2.57847e-05 +4 *22598:B *22598:C 2.16355e-05 +5 *1562:41 *22598:C 6.08467e-05 +6 *1598:68 *22598:C 0.000110505 +7 *1598:82 *22598:C 5.15415e-05 +8 *1717:133 *22598:C 2.05234e-05 +9 *1744:204 *22598:C 0.000158451 +10 *2774:12 *22598:C 3.43356e-05 +11 *3015:26 *22598:C 7.70944e-06 +12 *3106:68 *22598:C 2.187e-05 +13 *3106:81 *22598:C 6.08467e-05 +*RES +1 *22556:X *22598:C 36.899 +*END + +*D_NET *3506 0.00105103 +*CONN +*I *22558:A I *D sky130_fd_sc_hd__buf_8 +*I *22557:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22558:A 0.000307876 +2 *22557:X 0.000307876 +3 *22558:A *22562:A 0.000304871 +4 *2690:34 *22558:A 3.02981e-05 +5 *3469:24 *22558:A 0.000100106 +*RES +1 *22557:X *22558:A 33.242 +*END + +*D_NET *3507 0.107667 +*CONN +*I *22645:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22699:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22681:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22717:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22609:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22566:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22627:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22663:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22771:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22807:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22753:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22735:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22789:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22558:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22645:A2 3.60579e-05 +2 *22699:A2 0.000593731 +3 *22681:A2 0.000138342 +4 *22717:A2 0.000879654 +5 *22609:A2 1.8092e-05 +6 *22566:A2 0.000347779 +7 *22627:A2 0.000320346 +8 *22663:A2 0.00011274 +9 *22771:A2 0.00113754 +10 *22807:A2 0 +11 *22753:A2 2.3451e-05 +12 *22735:A2 0.000383818 +13 *22789:A2 4.68709e-05 +14 *22558:X 0.00250505 +15 *3507:229 0.001018 +16 *3507:227 0.00125481 +17 *3507:215 0.00270277 +18 *3507:180 0.00164615 +19 *3507:165 0.0012603 +20 *3507:156 0.00362016 +21 *3507:135 0.00314053 +22 *3507:117 0.00254148 +23 *3507:78 0.00119347 +24 *3507:75 0.00209287 +25 *3507:47 0.00193692 +26 *3507:39 0.00435752 +27 *3507:34 0.00560392 +28 *3507:19 0.00524877 +29 *22566:A2 *22566:B2 7.69504e-05 +30 *22627:A2 *22566:B2 1.13102e-05 +31 *22627:A2 *22597:D 0.000301209 +32 *22627:A2 *22627:C1 1.37669e-05 +33 *22627:A2 *3537:164 0.000391697 +34 *22627:A2 *3539:166 3.99086e-06 +35 *22645:A2 *3509:24 1.91391e-05 +36 *22645:A2 *3509:42 5.34415e-05 +37 *22645:A2 *4808:121 7.13655e-06 +38 *22645:A2 *4814:88 3.5534e-06 +39 *22663:A2 *24914:A 6.08467e-05 +40 *22663:A2 *5592:70 8.09106e-06 +41 *22681:A2 *22717:B2 6.08467e-05 +42 *22681:A2 *3539:201 1.37531e-05 +43 *22681:A2 *3543:97 0.000147498 +44 *22699:A2 *3509:241 5.1496e-05 +45 *22699:A2 *3543:121 0.000360893 +46 *22717:A2 *3543:97 0.000243156 +47 *22735:A2 *22735:B2 5.58497e-05 +48 *22735:A2 *5475:83 0.000283587 +49 *22771:A2 *22771:B2 0.000247443 +50 *22789:A2 *3509:60 3.15947e-05 +51 *22789:A2 *3509:74 3.41459e-05 +52 *3507:19 *4804:96 3.92964e-05 +53 *3507:19 *4810:66 0.000746473 +54 *3507:19 *4824:153 0.000608996 +55 *3507:34 *22662:A2 8.05301e-05 +56 *3507:34 *3511:34 3.29488e-05 +57 *3507:34 *3517:151 8.30839e-05 +58 *3507:34 *3519:332 0.000127073 +59 *3507:34 *3527:54 3.06979e-05 +60 *3507:34 *3607:10 0.000108634 +61 *3507:34 *4808:76 0.00221329 +62 *3507:34 *4810:66 0.00033307 +63 *3507:39 *5909:38 0.000453355 +64 *3507:47 *22796:A 0.000110297 +65 *3507:47 *22796:D 6.1578e-06 +66 *3507:47 *3509:60 0.000379647 +67 *3507:47 *3734:18 7.52117e-06 +68 *3507:75 *22796:C 6.3609e-05 +69 *3507:75 *22814:A 8.58125e-05 +70 *3507:75 *3511:104 0 +71 *3507:78 *22807:B2 9.12416e-06 +72 *3507:117 *4849:33 0.000487161 +73 *3507:135 *3511:63 3.17436e-05 +74 *3507:135 *5593:57 0.00057508 +75 *3507:135 *5909:38 2.2989e-05 +76 *3507:156 *21630:B1 5.99047e-05 +77 *3507:156 *3511:147 0.00186017 +78 *3507:165 *22610:A2 0.000261081 +79 *3507:165 *22611:A2 1.41976e-05 +80 *3507:165 *22611:B2 0.000296893 +81 *3507:165 *22615:C1 2.50138e-05 +82 *3507:165 *3511:192 0.000256311 +83 *3507:180 *22566:B2 6.7034e-05 +84 *3507:180 *22609:B2 0.00025175 +85 *3507:180 *22615:C1 0.000332891 +86 *3507:180 *3509:181 8.51458e-05 +87 *3507:180 *3511:161 0.000907639 +88 *3507:180 *3537:164 0.000116049 +89 *3507:180 *4942:22 0.000412561 +90 *3507:215 *22575:A2 1.05594e-05 +91 *3507:215 *3521:206 0.000300352 +92 *3507:215 *3521:208 0.000506038 +93 *3507:227 *3513:188 1.65872e-05 +94 *3507:227 *3519:260 0.000491084 +95 *3507:227 *3523:202 0.00154571 +96 *3507:227 *3581:13 0.00043404 +97 *6193:DIODE *3507:135 4.49431e-05 +98 *19847:A *22771:A2 9.18679e-06 +99 *21297:B1 *22699:A2 1.21461e-06 +100 *21321:B1 *3507:19 0.000106353 +101 *21476:B1 *3507:135 0.000159964 +102 *21476:B1 *3507:156 0.000911578 +103 *21577:A2 *3507:34 8.17829e-06 +104 *21599:B2 *3507:39 2.92819e-05 +105 *21604:A1 *3507:135 0.000454742 +106 *21643:C *22663:A2 2.57986e-05 +107 *21759:A1 *3507:180 0.000259657 +108 *21777:B1 *22771:A2 0.000947863 +109 *21777:C1 *3507:117 0.000926369 +110 *21851:B2 *3507:39 0.000108986 +111 *21856:A1 *22699:A2 0.000145165 +112 *21856:A2 *22699:A2 0.000635707 +113 *22210:A1 *3507:156 0.00128994 +114 *22210:A1 *3507:215 0.000612543 +115 *22231:B2 *22566:A2 7.92757e-06 +116 *22284:A *22699:A2 0.000298399 +117 *22334:A2 *3507:19 0.000892375 +118 *22334:A2 *3507:34 0.00123247 +119 *22335:A1 *3507:156 5.28904e-05 +120 *22373:A1 *22681:A2 0.000145498 +121 *22373:A1 *22717:A2 0.000145115 +122 *22402:B1 *22717:A2 6.3657e-05 +123 *22433:B1 *22735:A2 0.000267489 +124 *22473:B1 *3507:47 0.000146432 +125 *22473:C1 *3507:47 0.000397644 +126 *22473:C1 *3507:117 0.000458997 +127 *22482:B *3507:47 0.000141353 +128 *22494:C1 *3507:75 0.000117916 +129 *22495:B1 *3507:75 2.81262e-05 +130 *22499:B1 *3507:78 7.74958e-05 +131 *22566:A1 *22566:A2 2.58757e-05 +132 *22609:A1 *3507:165 2.99287e-05 +133 *22627:B1 *22627:A2 0.000301718 +134 *22681:A1 *22681:A2 0.000107496 +135 *22681:B1 *22681:A2 6.3657e-05 +136 *22699:A1 *22699:A2 0 +137 *22699:B1 *22699:A2 0.000212708 +138 *22789:A1 *3507:47 6.36477e-05 +139 *22789:A1 *3507:75 9.70097e-06 +140 *22798:B1 *3507:75 0.000192811 +141 *22807:A1 *3507:75 8.78262e-05 +142 *22807:B1 *3507:75 6.08467e-05 +143 *520:17 *3507:34 0.000381732 +144 *1435:197 *3507:39 0.00115233 +145 *1471:226 *3507:75 0.000203604 +146 *1542:201 *3507:19 0.000112924 +147 *1561:154 *3507:39 0.000934387 +148 *1584:49 *3507:156 0.000547263 +149 *1584:69 *3507:156 8.12259e-06 +150 *1610:33 *3507:34 5.4678e-05 +151 *1627:49 *3507:135 0.000159964 +152 *1627:49 *3507:156 0.000627434 +153 *1631:34 *22771:A2 7.09666e-06 +154 *1634:45 *3507:215 0.00109399 +155 *1655:61 *22663:A2 3.39118e-05 +156 *1658:215 *3507:39 0.000742552 +157 *1668:85 *3507:39 0.000159531 +158 *1708:95 *3507:156 1.92693e-05 +159 *1716:45 *3507:39 0.00243713 +160 *1720:20 *22566:A2 0.000178033 +161 *1720:20 *3507:180 0.00012774 +162 *1721:162 *22566:A2 4.89536e-05 +163 *1721:162 *3507:180 2.40371e-05 +164 *1722:40 *22735:A2 0.000134505 +165 *1722:40 *3507:78 0.000491339 +166 *1776:44 *22771:A2 1.02986e-05 +167 *1776:60 *22771:A2 0.000655996 +168 *1784:71 *3507:215 0.000252374 +169 *1788:77 *3507:34 3.18696e-05 +170 *1790:130 *22566:A2 3.99086e-06 +171 *2088:113 *3507:19 1.07248e-05 +172 *2088:122 *3507:19 0.000136711 +173 *2254:23 *22717:A2 0.000876723 +174 *2285:19 *22753:A2 6.08467e-05 +175 *2350:48 *3507:227 0.000154145 +176 *2360:78 *3507:227 0.000110297 +177 *2368:34 *22645:A2 8.28675e-06 +178 *2433:59 *22681:A2 0.000107496 +179 *2456:30 *3507:19 0.00218338 +180 *2476:8 *22717:A2 0.000801397 +181 *2508:23 *3507:34 0.000114945 +182 *2521:27 *3507:78 1.66626e-05 +183 *2536:28 *3507:75 2.84509e-05 +184 *2541:36 *3507:156 6.44494e-05 +185 *2541:48 *22663:A2 3.29488e-05 +186 *2561:52 *3507:78 0.000307848 +187 *2571:40 *3507:75 0.000231288 +188 *2583:27 *3507:78 3.82628e-05 +189 *2608:62 *3507:78 3.66677e-05 +190 *2614:55 *3507:75 4.88764e-06 +191 *2618:18 *22735:A2 0.000279343 +192 *2629:37 *3507:19 0.00114603 +193 *2660:94 *3507:117 5.35941e-05 +194 *2690:34 *3507:19 0.000147436 +195 *2697:65 *3507:39 0.000162935 +196 *2704:72 *3507:75 0.000191896 +197 *2777:60 *22663:A2 3.17436e-05 +198 *2780:25 *3507:180 0.000343543 +199 *2794:27 *3507:227 4.2372e-05 +200 *2812:18 *3507:39 2.28946e-05 +201 *2813:47 *22663:A2 0.000108997 +202 *2815:48 *3507:39 0.00141805 +203 *2838:79 *22771:A2 5.51483e-06 +204 *2838:89 *22771:A2 5.07331e-05 +205 *2844:74 *22771:A2 0.000528252 +206 *2856:9 *22771:A2 8.93134e-05 +207 *2870:40 *22699:A2 2.58521e-05 +208 *2870:40 *3507:227 7.60356e-05 +209 *2870:55 *22699:A2 0.00015599 +210 *2900:140 *3507:165 0.000475085 +211 *2900:140 *3507:180 0.000352281 +212 *2919:20 *3507:156 0.00147257 +213 *2919:20 *3507:215 0.000619852 +214 *2991:67 *3507:34 3.57291e-06 +215 *2996:72 *3507:156 0.00102018 +216 *2998:57 *22753:A2 1.03403e-05 +217 *3019:23 *3507:34 0.000734522 +218 *3019:23 *3507:135 0.000196994 +219 *3044:33 *22699:A2 1.04965e-05 +220 *3044:33 *3507:227 0.000188499 +221 *3046:35 *3507:165 3.29488e-05 +222 *3054:18 *3507:156 0.000296869 +223 *3058:22 *3507:75 8.03699e-06 +224 *3064:20 *3507:227 0.000133006 +225 *3066:26 *22735:A2 1.98263e-05 +226 *3066:26 *3507:78 0.000705507 +227 *3106:110 *22771:A2 1.97166e-05 +228 *3106:129 *22771:A2 4.87175e-05 +229 *3111:52 *3507:34 1.5714e-05 +230 *3114:96 *3507:34 0.000523014 +231 *3139:156 *3507:19 0.000447557 +232 *3146:157 *22699:A2 0.000349692 +233 *3146:157 *3507:227 0.000162529 +234 *3148:239 *3507:78 5.01835e-05 +235 *3151:141 *3507:19 0.00105838 +236 *3155:80 *3507:75 0.000118813 +237 *3155:104 *3507:75 0.000126989 +238 *3155:107 *3507:117 2.57465e-06 +239 *3157:113 *3507:75 0 +240 *3162:87 *3507:47 2.57465e-06 +241 *3162:102 *3507:47 0.00013855 +242 *3162:102 *3507:117 0.00032473 +243 *3164:54 *22735:A2 5.01835e-05 +244 *3166:51 *3507:75 3.42298e-05 +245 *3166:61 *3507:75 5.49916e-05 +246 *3166:82 *3507:75 5.33358e-06 +247 *3166:82 *3507:117 6.40849e-05 +248 *3173:23 *3507:156 6.03237e-05 +249 *3173:26 *3507:165 3.74433e-05 +250 *3177:208 *22735:A2 0.000135571 +251 *3177:208 *3507:78 4.90653e-05 +252 *3190:185 *3507:227 0.000992625 +253 *3192:170 *22627:A2 0.000112828 +254 *3206:146 *3507:34 0.0007501 +255 *3361:12 *22735:A2 5.36085e-05 +256 *3361:12 *3507:75 0.000379181 +257 *3382:8 *3507:75 3.16133e-05 +258 *3382:8 *3507:78 3.6405e-05 +259 *3421:32 *3507:75 0.000469868 +*RES +1 *22558:X *3507:19 29.836 +2 *3507:19 *3507:34 21.7047 +3 *3507:34 *3507:39 10.9471 +4 *3507:39 *3507:47 22.1375 +5 *3507:47 *22789:A2 10.5513 +6 *3507:47 *3507:75 47.9603 +7 *3507:75 *3507:78 27.5099 +8 *3507:78 *22735:A2 28.8937 +9 *3507:78 *22753:A2 14.4725 +10 *3507:75 *22807:A2 9.24915 +11 *3507:39 *3507:117 45.7672 +12 *3507:117 *22771:A2 46.1015 +13 *3507:34 *3507:135 2.62202 +14 *3507:135 *22663:A2 19.1764 +15 *3507:135 *3507:156 11.6503 +16 *3507:156 *3507:165 15.1482 +17 *3507:165 *3507:180 44.5801 +18 *3507:180 *22627:A2 23.9249 +19 *3507:180 *22566:A2 21.2114 +20 *3507:165 *22609:A2 9.82786 +21 *3507:156 *3507:215 6.97622 +22 *3507:215 *3507:227 47.3129 +23 *3507:227 *3507:229 4.5 +24 *3507:229 *22717:A2 38.8014 +25 *3507:229 *22681:A2 19.2141 +26 *3507:215 *22699:A2 34.3287 +27 *3507:19 *22645:A2 18.327 +*END + +*D_NET *3508 0.000667682 +*CONN +*I *22560:A I *D sky130_fd_sc_hd__buf_8 +*I *22559:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22560:A 0.000168606 +2 *22559:X 0.000168606 +3 *22560:A *22564:A 6.08467e-05 +4 *22560:A *4807:54 0.000112952 +5 *22559:A *22560:A 4.73169e-05 +6 *2690:34 *22560:A 0.000109354 +*RES +1 *22559:X *22560:A 31.7469 +*END + +*D_NET *3509 0.105262 +*CONN +*I *22663:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22609:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22681:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22717:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22699:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22566:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22627:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22771:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22807:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22753:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22735:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22789:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22645:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22560:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22663:B2 0.000375064 +2 *22609:B2 7.58953e-05 +3 *22681:B2 0 +4 *22717:B2 0.00100591 +5 *22699:B2 0 +6 *22566:B2 0.00069501 +7 *22627:B2 0 +8 *22771:B2 0.000918432 +9 *22807:B2 0.000280685 +10 *22753:B2 0.000182302 +11 *22735:B2 0.000985506 +12 *22789:B2 0 +13 *22645:B2 3.72019e-05 +14 *22560:X 0.000671111 +15 *3509:259 0.00118685 +16 *3509:256 0.000231668 +17 *3509:255 0.0010007 +18 *3509:241 0.00153587 +19 *3509:223 0.00317218 +20 *3509:189 0.00103497 +21 *3509:181 0.00116159 +22 *3509:175 0.0029365 +23 *3509:151 0.00370462 +24 *3509:136 0.00187164 +25 *3509:91 0.0020191 +26 *3509:81 0.00211632 +27 *3509:74 0.00240789 +28 *3509:60 0.00368193 +29 *3509:42 0.00616668 +30 *3509:24 0.00422263 +31 *3509:11 0.0025057 +32 *22566:B2 *22627:C1 0.000395985 +33 *22566:B2 *3537:146 1.65872e-05 +34 *22566:B2 *3537:164 6.63489e-05 +35 *22566:B2 *3539:166 0.00081577 +36 *22609:B2 *22615:C1 8.29362e-05 +37 *22645:B2 *22645:C1 2.53145e-06 +38 *22645:B2 *4814:83 2.53145e-06 +39 *22663:B2 *24914:A 0.000165521 +40 *22735:B2 *22734:A2 0.000353642 +41 *22735:B2 *22734:B2 3.31745e-05 +42 *22735:B2 *22735:C1 3.57059e-05 +43 *22735:B2 *22753:C1 2.38934e-06 +44 *22771:B2 *22771:C1 1.91246e-05 +45 *22771:B2 *3513:121 0.000827225 +46 *22771:B2 *3513:143 0.000116099 +47 *22807:B2 *4870:134 0.000352365 +48 *3509:24 *22643:B1 0.000605114 +49 *3509:24 *22646:A2 0.000133663 +50 *3509:24 *22647:B1 0.00031064 +51 *3509:24 *3521:26 8.56161e-05 +52 *3509:24 *3529:13 0 +53 *3509:24 *4808:121 1.34721e-05 +54 *3509:24 *4827:32 8.58536e-06 +55 *3509:42 *21695:A1 7.61846e-06 +56 *3509:42 *22645:B1 3.29488e-05 +57 *3509:42 *24917:A 8.53998e-05 +58 *3509:42 *3517:151 0.0010866 +59 *3509:42 *4814:88 2.27118e-06 +60 *3509:60 *21695:A1 0.000107789 +61 *3509:60 *21696:B1 1.07838e-05 +62 *3509:60 *22796:C 6.36477e-05 +63 *3509:60 *3517:151 0.000253434 +64 *3509:74 *22793:B2 1.05631e-05 +65 *3509:74 *22796:C 8.25603e-05 +66 *3509:81 *3513:58 0.000658803 +67 *3509:81 *3513:98 0.000605018 +68 *3509:81 *3527:91 3.85006e-05 +69 *3509:81 *3527:93 0.000271419 +70 *3509:81 *3533:227 6.14756e-06 +71 *3509:91 *3513:58 0.000464195 +72 *3509:91 *3513:68 0.000740912 +73 *3509:136 *3513:121 2.95411e-05 +74 *3509:136 *3527:91 0.000534837 +75 *3509:136 *3531:84 0.000111382 +76 *3509:136 *4849:33 0.000161262 +77 *3509:151 *4815:122 1.69337e-05 +78 *3509:175 *3511:147 0.000799286 +79 *3509:175 *5592:70 0.000263719 +80 *3509:181 *22615:C1 0.000110306 +81 *3509:181 *3523:154 0.000148911 +82 *3509:189 *3519:183 0.000487796 +83 *3509:223 *3519:250 0.00012765 +84 *3509:223 *3523:154 5.54598e-05 +85 *3509:241 *3581:13 0.000285131 +86 *3509:255 *22681:C1 0.000296412 +87 *3509:255 *3519:250 0.000740885 +88 *3509:255 *3539:198 0.00162945 +89 *3509:259 *22681:C1 0.000485976 +90 *3509:259 *3539:198 0.000107496 +91 *3509:259 *3539:201 3.46213e-05 +92 *6135:DIODE *3509:151 7.3747e-05 +93 *19864:B2 *3509:189 0.000162826 +94 *19908:A *22566:B2 0.000161658 +95 *20613:B *3509:24 0.00125529 +96 *21412:B2 *22717:B2 8.09814e-05 +97 *21482:A2 *3509:175 0.000151128 +98 *21487:B2 *3509:151 0.000144614 +99 *21643:C *22663:B2 3.7516e-05 +100 *21643:D *22663:B2 1.66531e-05 +101 *21746:A *3509:175 5.01835e-05 +102 *21746:B *22663:B2 9.31952e-05 +103 *21765:B1 *3509:223 0.00121053 +104 *22054:A1 *3509:175 0.00142886 +105 *22246:A2 *3509:60 1.87181e-05 +106 *22284:A *3509:241 0.000110949 +107 *22292:B1 *3509:241 2.38923e-05 +108 *22314:B1 *3509:42 0.000226438 +109 *22323:A1 *3509:42 5.43543e-05 +110 *22324:A2 *3509:175 0.000796865 +111 *22373:A1 *22717:B2 4.88818e-05 +112 *22402:B1 *22717:B2 1.65872e-05 +113 *22482:A *3509:60 0.000154145 +114 *22482:B *3509:60 0.000170699 +115 *22482:D *3509:60 6.3657e-05 +116 *22540:B1 *3509:60 0.000257971 +117 *22566:A2 *22566:B2 7.69504e-05 +118 *22566:B1 *22566:B2 1.2372e-05 +119 *22596:A1 *22566:B2 0.000154145 +120 *22627:A2 *22566:B2 1.13102e-05 +121 *22627:B1 *22566:B2 4.46663e-05 +122 *22638:A2 *3509:24 0.000165993 +123 *22645:A2 *3509:24 1.91391e-05 +124 *22645:A2 *3509:42 5.34415e-05 +125 *22681:A2 *22717:B2 6.08467e-05 +126 *22681:B1 *22717:B2 1.84068e-05 +127 *22681:B1 *3509:259 5.89344e-05 +128 *22699:A1 *3509:241 2.71226e-06 +129 *22699:A2 *3509:241 5.1496e-05 +130 *22699:B1 *3509:241 4.78601e-05 +131 *22717:A1 *22717:B2 1.03434e-05 +132 *22717:B1 *22717:B2 5.88253e-05 +133 *22722:A1 *22717:B2 0.000415667 +134 *22722:B1 *22717:B2 3.74542e-05 +135 *22735:A2 *22735:B2 5.58497e-05 +136 *22752:A1 *22753:B2 0.000154145 +137 *22753:B1 *22753:B2 8.65015e-05 +138 *22771:A2 *22771:B2 0.000247443 +139 *22789:A1 *3509:60 6.64392e-05 +140 *22789:A2 *3509:60 3.15947e-05 +141 *22789:A2 *3509:74 3.41459e-05 +142 *22793:A1 *3509:74 0.000166915 +143 *22793:A1 *3509:81 1.07248e-05 +144 *22793:A1 *3509:136 5.67337e-05 +145 *22806:B1 *3509:91 0.000424962 +146 *23924:B *3509:81 0.000106621 +147 *1435:182 *3509:60 0.000260674 +148 *1443:60 *3509:175 0.000140567 +149 *1537:117 *3509:136 0.00025317 +150 *1537:144 *3509:136 6.25233e-05 +151 *1542:201 *3509:24 1.49935e-05 +152 *1546:42 *3509:74 0.000156955 +153 *1570:15 *3509:81 0.000401276 +154 *1570:50 *3509:60 6.23101e-05 +155 *1576:35 *3509:42 0.00258029 +156 *1576:35 *3509:60 0.000232197 +157 *1576:59 *3509:175 0.00220491 +158 *1583:53 *3509:42 9.42362e-06 +159 *1610:104 *22717:B2 3.63593e-05 +160 *1614:75 *3509:175 0.000711226 +161 *1616:195 *3509:189 0.000169275 +162 *1620:31 *3509:42 0.000235762 +163 *1647:46 *3509:223 0.000721052 +164 *1647:46 *3509:255 9.89172e-05 +165 *1658:215 *3509:151 9.17656e-06 +166 *1688:91 *3509:42 0.000246594 +167 *1688:91 *3509:60 2.69265e-05 +168 *1688:117 *3509:60 0.00198956 +169 *1721:162 *22566:B2 8.91782e-05 +170 *1722:76 *22735:B2 7.59481e-06 +171 *1731:107 *3509:60 2.36441e-05 +172 *1751:70 *3509:24 6.77459e-05 +173 *1751:131 *3509:60 6.55666e-05 +174 *1756:83 *22735:B2 0 +175 *1761:40 *22735:B2 1.88183e-05 +176 *1776:26 *3509:136 3.31745e-05 +177 *1784:166 *3509:60 0.00024555 +178 *1784:166 *3509:74 4.78069e-06 +179 *1790:130 *22566:B2 3.99086e-06 +180 *1802:116 *3509:24 0.000268915 +181 *1802:136 *3509:24 8.165e-05 +182 *1802:143 *3509:42 2.1203e-06 +183 *2088:113 *3509:11 0.000149842 +184 *2088:122 *3509:11 6.62968e-05 +185 *2093:68 *3509:151 6.62712e-05 +186 *2254:23 *22717:B2 0.000314086 +187 *2281:13 *3509:91 0.000162778 +188 *2285:19 *22735:B2 3.4123e-05 +189 *2285:19 *22753:B2 3.37381e-05 +190 *2338:72 *3509:175 3.17436e-05 +191 *2378:46 *3509:24 0.00107165 +192 *2403:7 *3509:11 0.000787064 +193 *2403:18 *3509:24 6.24823e-05 +194 *2403:18 *3509:42 3.68556e-05 +195 *2418:24 *3509:11 0.000207513 +196 *2431:23 *3509:24 0.00108881 +197 *2503:67 *3509:42 0 +198 *2503:99 *3509:42 5.8518e-05 +199 *2520:91 *3509:24 7.98049e-05 +200 *2523:84 *3509:60 0.000258326 +201 *2523:123 *3509:151 7.13655e-06 +202 *2543:28 *3509:136 6.40758e-05 +203 *2543:88 *3509:60 5.82186e-05 +204 *2561:52 *22807:B2 6.14756e-06 +205 *2564:68 *22663:B2 2.81037e-05 +206 *2583:27 *22807:B2 3.63593e-05 +207 *2589:46 *3509:175 0.000134971 +208 *2589:53 *3509:175 3.84444e-05 +209 *2601:40 *3509:189 0.000318739 +210 *2602:32 *3509:175 0.000472065 +211 *2620:76 *3509:91 4.06573e-05 +212 *2642:39 *3509:24 0.000211989 +213 *2649:41 *3509:136 0.000213802 +214 *2655:38 *3509:136 4.36044e-05 +215 *2675:95 *3509:60 0.000250775 +216 *2682:27 *22663:B2 1.66741e-05 +217 *2703:60 *3509:81 0.000281111 +218 *2732:47 *3509:91 0.000214364 +219 *2734:24 *3509:60 1.24546e-05 +220 *2772:97 *3509:42 0.000431986 +221 *2794:27 *22717:B2 6.1096e-05 +222 *2806:14 *3509:241 0.000161069 +223 *2812:18 *3509:151 9.28861e-05 +224 *2822:12 *3509:175 0.000286324 +225 *2822:12 *3509:181 0.000145313 +226 *2822:12 *3509:223 0.000147752 +227 *2838:79 *22771:B2 6.63489e-05 +228 *2838:89 *22771:B2 6.08467e-05 +229 *2843:31 *22566:B2 0.000154707 +230 *2856:15 *22771:B2 5.84166e-05 +231 *2873:17 *3509:189 0.000484995 +232 *2883:64 *3509:175 0.000104754 +233 *2933:40 *22735:B2 7.09666e-06 +234 *2979:20 *3509:91 0.000205332 +235 *2997:14 *22807:B2 9.33847e-05 +236 *2998:57 *22753:B2 0.000107496 +237 *3003:57 *22735:B2 1.03403e-05 +238 *3019:23 *3509:175 5.83451e-05 +239 *3021:13 *3509:42 0.000518479 +240 *3022:45 *3509:175 0.000658884 +241 *3033:50 *3509:60 0.000558966 +242 *3041:61 *3509:42 1.75197e-05 +243 *3058:8 *22771:B2 0.00117168 +244 *3058:22 *3509:74 0.000107496 +245 *3064:20 *3509:259 0.000165437 +246 *3071:67 *3509:151 0.000458593 +247 *3071:67 *3509:175 7.2784e-05 +248 *3111:39 *3509:24 0.000206333 +249 *3114:160 *3509:60 0.000122792 +250 *3117:34 *3509:24 9.54639e-05 +251 *3117:132 *3509:24 0.000608501 +252 *3128:142 *3509:60 0.000131527 +253 *3134:62 *3509:81 2.27901e-06 +254 *3144:116 *3509:42 0.000291322 +255 *3144:129 *3509:42 0.000114203 +256 *3144:152 *3509:42 0.0001746 +257 *3148:183 *3509:42 0.000170428 +258 *3148:226 *3509:60 0.000161768 +259 *3148:239 *3509:81 0.00125141 +260 *3148:239 *3509:91 0.000165508 +261 *3151:141 *3509:24 0.00036675 +262 *3153:177 *3509:255 0.000458235 +263 *3177:298 *22717:B2 0.00118643 +264 *3179:103 *3509:91 0.000209938 +265 *3186:16 *3509:223 2.99292e-05 +266 *3190:103 *22566:B2 0.000159908 +267 *3192:135 *3509:241 0.000671592 +268 *3204:286 *3509:42 5.33358e-06 +269 *3204:299 *3509:42 9.64823e-05 +270 *3208:107 *3509:60 0.000107019 +271 *3233:8 *3509:241 0.000243278 +272 *3254:10 *3509:241 0.000164682 +273 *3382:8 *22807:B2 1.27831e-06 +274 *3394:26 *3509:60 0.000362073 +275 *3428:14 *22735:B2 0.000209688 +276 *3452:21 *3509:136 0.000410746 +277 *3481:153 *3509:42 3.83492e-06 +278 *3483:163 *3509:151 0.000146329 +279 *3485:136 *3509:42 3.74542e-05 +280 *3507:47 *3509:60 0.000379647 +281 *3507:78 *22807:B2 9.12416e-06 +282 *3507:180 *22566:B2 6.7034e-05 +283 *3507:180 *22609:B2 0.00025175 +284 *3507:180 *3509:181 8.51458e-05 +*RES +1 *22560:X *3509:11 36.1151 +2 *3509:11 *3509:24 35.4018 +3 *3509:24 *22645:B2 14.7378 +4 *3509:24 *3509:42 32.5235 +5 *3509:42 *3509:60 29.8082 +6 *3509:60 *22789:B2 9.24915 +7 *3509:60 *3509:74 14.8687 +8 *3509:74 *3509:81 13.8844 +9 *3509:81 *3509:91 15.8114 +10 *3509:91 *22735:B2 35.6849 +11 *3509:91 *22753:B2 14.4335 +12 *3509:81 *22807:B2 18.8355 +13 *3509:74 *3509:136 42.4939 +14 *3509:136 *22771:B2 42.1923 +15 *3509:42 *3509:151 18.5621 +16 *3509:151 *3509:175 30.4276 +17 *3509:175 *3509:181 9.43447 +18 *3509:181 *3509:189 26.0299 +19 *3509:189 *22627:B2 9.24915 +20 *3509:189 *22566:B2 47.7655 +21 *3509:181 *3509:223 26.0485 +22 *3509:223 *3509:241 46.7609 +23 *3509:241 *22699:B2 9.24915 +24 *3509:223 *3509:255 41.1027 +25 *3509:255 *3509:256 57.9449 +26 *3509:256 *3509:259 16.6278 +27 *3509:259 *22717:B2 47.9766 +28 *3509:259 *22681:B2 9.24915 +29 *3509:175 *22609:B2 12.191 +30 *3509:151 *22663:B2 21.7447 +*END + +*D_NET *3510 0.00265624 +*CONN +*I *22562:A I *D sky130_fd_sc_hd__buf_8 +*I *22561:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22562:A 0.000659311 +2 *22561:X 0.000659311 +3 *22562:A *22557:C 0.000393863 +4 *22562:A *22573:C 0.000194565 +5 *22562:A *5944:80 8.35425e-05 +6 *22562:A *5948:113 9.61086e-05 +7 *22558:A *22562:A 0.000304871 +8 *1542:201 *22562:A 1.91391e-05 +9 *2089:53 *22562:A 2.09102e-05 +10 *2282:88 *22562:A 0.000108686 +11 *2403:7 *22562:A 0.000115934 +*RES +1 *22561:X *22562:A 44.6663 +*END + +*D_NET *3511 0.0931142 +*CONN +*I *22644:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22680:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22716:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22698:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22565:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22626:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22608:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22788:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22806:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22734:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22752:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22770:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22662:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22562:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22644:A2 0 +2 *22680:A2 0 +3 *22716:A2 0.0008705 +4 *22698:A2 2.87635e-05 +5 *22565:A2 0.000290061 +6 *22626:A2 8.34377e-05 +7 *22608:A2 0 +8 *22788:A2 0 +9 *22806:A2 0 +10 *22734:A2 6.82365e-05 +11 *22752:A2 0 +12 *22770:A2 0.000423139 +13 *22662:A2 0.000166429 +14 *22562:X 0.000642741 +15 *3511:205 0.00172294 +16 *3511:192 0.0027016 +17 *3511:161 0.000736531 +18 *3511:151 0.000457668 +19 *3511:147 0.00454404 +20 *3511:109 0.000894262 +21 *3511:105 0.000953408 +22 *3511:104 0.0014407 +23 *3511:93 0.00225875 +24 *3511:68 0.0041918 +25 *3511:63 0.00850373 +26 *3511:34 0.00477556 +27 *3511:29 0.00207768 +28 *3511:28 0.00185612 +29 *3511:17 0.00197883 +30 *22565:A2 *3513:170 2.95884e-05 +31 *22565:A2 *3519:179 0.000581104 +32 *22698:A2 *3513:199 6.08467e-05 +33 *22716:A2 *22717:C1 5.61389e-05 +34 *22716:A2 *3513:212 0.000205006 +35 *22716:A2 *3649:8 1.28326e-05 +36 *22734:A2 *22734:B2 0.000256037 +37 *22734:A2 *22735:C1 7.11904e-05 +38 *22770:A2 *3533:62 0.000206333 +39 *22770:A2 *3543:192 4.6339e-05 +40 *3511:17 *22653:B 0.0004113 +41 *3511:17 *3529:7 0.000158451 +42 *3511:17 *4804:62 0.000151072 +43 *3511:17 *4807:58 0.000137813 +44 *3511:17 *4808:27 5.19758e-05 +45 *3511:28 *22643:C1 0.000387391 +46 *3511:28 *4808:27 0.000258829 +47 *3511:28 *4808:29 9.89774e-05 +48 *3511:28 *4808:58 1.02955e-05 +49 *3511:63 *3517:53 0.000625838 +50 *3511:63 *5592:70 2.28545e-05 +51 *3511:63 *5593:46 0.00013463 +52 *3511:63 *5909:38 6.42559e-05 +53 *3511:68 *24909:A 5.60804e-05 +54 *3511:68 *3533:62 0.00340294 +55 *3511:68 *3702:20 2.92413e-05 +56 *3511:93 *5909:38 4.35662e-05 +57 *3511:104 *22789:C1 0.000264475 +58 *3511:104 *22796:C 1.96834e-05 +59 *3511:105 *3529:117 0.000129497 +60 *3511:109 *22757:B2 0.000131401 +61 *3511:109 *3529:117 0.000487938 +62 *3511:147 *3533:38 6.23101e-05 +63 *3511:147 *5904:64 0.000309754 +64 *3511:151 *3523:154 3.74433e-05 +65 *3511:161 *22615:C1 2.92035e-05 +66 *3511:161 *3523:154 0.000118308 +67 *3511:192 *22610:A2 0.000152677 +68 *3511:192 *22611:C1 0.000102899 +69 *3511:192 *3537:215 0.000239761 +70 *3511:205 *22680:B2 3.79808e-05 +71 *3511:205 *3513:199 0.000441794 +72 *6193:DIODE *3511:63 0.000494975 +73 *19789:A *3511:68 0.000555054 +74 *19899:A *3511:63 7.40684e-06 +75 *21213:D *3511:28 7.33158e-05 +76 *21284:C1 *3511:205 0.000156955 +77 *21482:A2 *3511:147 0.000113478 +78 *21549:A1 *3511:68 5.32158e-05 +79 *21549:A1 *3511:147 1.68444e-05 +80 *21577:A2 *3511:34 0.000154145 +81 *21623:A1 *3511:63 0.000380061 +82 *21759:A2 *3511:151 0.00015927 +83 *21759:A2 *3511:192 0.000686466 +84 *21766:C *22565:A2 8.41527e-05 +85 *21808:A *22770:A2 5.15359e-05 +86 *21808:A *3511:68 0.000868729 +87 *21808:D *3511:68 0.000314507 +88 *21920:B2 *3511:93 0.00113107 +89 *22005:A1 *3511:63 5.60804e-05 +90 *22029:A1 *3511:192 1.5714e-05 +91 *22210:A1 *3511:147 3.51785e-06 +92 *22246:A2 *3511:63 0.000222439 +93 *22324:A1 *3511:147 1.11913e-05 +94 *22324:A2 *3511:147 3.0388e-05 +95 *22335:A1 *3511:147 0.000711956 +96 *22358:B1 *22716:A2 8.96465e-06 +97 *22358:B2 *22716:A2 0.000321727 +98 *22378:C1 *22716:A2 0.000118485 +99 *22406:B1 *3511:104 2.0633e-05 +100 *22428:B1 *3511:104 2.54678e-05 +101 *22455:A2 *22770:A2 6.08467e-05 +102 *22455:C1 *22770:A2 0.000240642 +103 *22455:C1 *3511:93 0.00114008 +104 *22494:C1 *3511:104 3.09152e-06 +105 *22498:B2 *3511:109 2.33068e-05 +106 *22503:A1 *3511:104 0.000157258 +107 *22503:A1 *3511:105 1.07248e-05 +108 *22554:B1 *3511:68 0.000372047 +109 *22565:A1 *22565:A2 6.08467e-05 +110 *22642:A2 *3511:28 0.000110027 +111 *22642:B2 *3511:28 2.16355e-05 +112 *22666:B1 *3511:147 6.71668e-05 +113 *22680:B1 *22716:A2 1.91971e-05 +114 *22680:B1 *3511:205 7.98171e-06 +115 *22716:B1 *22716:A2 0.000187333 +116 *22735:B2 *22734:A2 0.000353642 +117 *22752:A1 *3511:109 0.000119171 +118 *22753:B1 *3511:109 2.26985e-05 +119 *22789:B1 *3511:104 1.91246e-05 +120 *22807:B1 *3511:104 0.000110306 +121 *23924:B *3511:63 8.66643e-06 +122 *324:27 *3511:68 1.29859e-06 +123 *324:27 *3511:147 1.0159e-05 +124 *506:16 *3511:34 3.54024e-05 +125 *1471:226 *3511:104 5.51483e-06 +126 *1543:40 *22565:A2 0.000204361 +127 *1564:117 *22716:A2 5.8334e-05 +128 *1570:76 *3511:68 0.000406069 +129 *1576:59 *3511:63 0.000430706 +130 *1584:49 *3511:63 6.22732e-06 +131 *1603:59 *3511:104 9.32704e-05 +132 *1614:75 *3511:147 1.49912e-05 +133 *1649:18 *3511:63 0.000119809 +134 *1649:123 *3511:63 0.00128664 +135 *1708:70 *3511:68 0.000313775 +136 *1708:95 *3511:147 8.55635e-05 +137 *1716:45 *3511:93 0.000163116 +138 *1720:20 *22565:A2 0.000204361 +139 *1721:107 *3511:68 6.23101e-05 +140 *1723:100 *3511:93 0.000116324 +141 *1751:145 *3511:68 0.000859504 +142 *1751:145 *3511:147 0.000513539 +143 *1752:14 *3511:104 0.000566048 +144 *1800:126 *3511:192 1.5714e-05 +145 *2280:6 *3511:28 0.00109591 +146 *2343:32 *3511:192 4.69495e-06 +147 *2354:24 *22716:A2 0.000210104 +148 *2357:95 *22716:A2 8.47113e-05 +149 *2368:34 *3511:28 3.44264e-05 +150 *2409:33 *22716:A2 0.000139206 +151 *2416:53 *22716:A2 2.37478e-05 +152 *2488:14 *22716:A2 0.000152036 +153 *2511:9 *3511:17 3.31745e-05 +154 *2522:32 *3511:104 5.84166e-05 +155 *2531:91 *22662:A2 4.84017e-05 +156 *2535:40 *22626:A2 0.000111708 +157 *2541:24 *3511:192 1.86001e-05 +158 *2541:36 *3511:147 0.00108237 +159 *2564:68 *3511:68 0.000333109 +160 *2583:27 *3511:104 5.94319e-06 +161 *2601:58 *3511:147 0.000422469 +162 *2613:29 *22716:A2 0.000257697 +163 *2685:42 *22770:A2 3.17436e-05 +164 *2685:42 *3511:68 0.000206344 +165 *2763:20 *3511:63 0.00140678 +166 *2782:10 *22565:A2 3.39753e-05 +167 *2822:12 *3511:151 3.63738e-05 +168 *2822:12 *3511:161 0.000128815 +169 *2900:140 *3511:161 0.0010534 +170 *2900:143 *22626:A2 0.000255962 +171 *2900:143 *3511:161 0.000107496 +172 *2958:14 *3511:68 0.000177764 +173 *2979:20 *3511:109 0.000386981 +174 *2991:67 *22662:A2 0.000198942 +175 *2991:67 *3511:34 2.92771e-05 +176 *2991:67 *3511:63 8.04172e-05 +177 *2998:28 *3511:105 1.79629e-05 +178 *3011:55 *3511:192 0.000281987 +179 *3019:23 *3511:63 0.000252934 +180 *3022:45 *3511:147 0.00150809 +181 *3064:23 *3511:192 0.00109191 +182 *3064:35 *3511:192 0.000381966 +183 *3111:52 *22662:A2 2.7636e-05 +184 *3114:96 *3511:63 8.84761e-06 +185 *3114:102 *3511:29 0.00070835 +186 *3114:102 *3511:34 0.0011596 +187 *3117:132 *3511:17 0.000461904 +188 *3122:145 *22716:A2 5.88009e-05 +189 *3122:173 *3511:151 0.00015426 +190 *3122:173 *3511:192 0.000621537 +191 *3124:127 *3511:28 0.000216535 +192 *3128:60 *3511:63 7.63325e-05 +193 *3146:157 *3511:192 0.000640163 +194 *3148:275 *3511:104 9.70609e-05 +195 *3148:289 *3511:93 8.61448e-05 +196 *3148:289 *3511:104 0.00011537 +197 *3155:77 *3511:104 0.000494564 +198 *3155:80 *3511:104 8.68405e-05 +199 *3155:104 *3511:93 0.000156676 +200 *3155:104 *3511:104 8.53319e-05 +201 *3157:54 *3511:109 7.00521e-06 +202 *3157:62 *3511:109 9.8025e-05 +203 *3157:75 *3511:104 0.000106733 +204 *3157:91 *3511:104 1.5714e-05 +205 *3166:36 *3511:104 5.57273e-05 +206 *3166:51 *3511:104 0.000434213 +207 *3168:30 *3511:105 0.000177898 +208 *3168:30 *3511:109 0.000700105 +209 *3173:23 *3511:147 4.81733e-06 +210 *3175:87 *22770:A2 0.000368905 +211 *3175:87 *3511:93 3.02287e-05 +212 *3179:103 *3511:109 8.90185e-06 +213 *3179:212 *22716:A2 4.45676e-05 +214 *3204:122 *3511:17 0.000321238 +215 *3204:299 *3511:34 0.0002267 +216 *3206:146 *3511:63 0.000563205 +217 *3222:8 *3511:192 0.000159874 +218 *3315:18 *22716:A2 6.74605e-06 +219 *3327:33 *22716:A2 9.6719e-06 +220 *3337:14 *3511:205 0.000301209 +221 *3382:8 *3511:104 7.38999e-05 +222 *3485:133 *3511:28 3.65403e-05 +223 *3502:130 *3511:28 0.00101233 +224 *3507:34 *22662:A2 8.05301e-05 +225 *3507:34 *3511:34 3.29488e-05 +226 *3507:75 *3511:104 0 +227 *3507:135 *3511:63 3.17436e-05 +228 *3507:156 *3511:147 0.00186017 +229 *3507:165 *3511:192 0.000256311 +230 *3507:180 *3511:161 0.000907639 +231 *3509:175 *3511:147 0.000799286 +*RES +1 *22562:X *3511:17 47.1994 +2 *3511:17 *3511:28 46.5097 +3 *3511:28 *3511:29 8.48785 +4 *3511:29 *3511:34 29.3106 +5 *3511:34 *22662:A2 18.2803 +6 *3511:34 *3511:63 14.1674 +7 *3511:63 *3511:68 8.21771 +8 *3511:68 *22770:A2 26.0121 +9 *3511:68 *3511:93 13.8376 +10 *3511:93 *3511:104 46.2396 +11 *3511:104 *3511:105 3.90826 +12 *3511:105 *3511:109 28.7556 +13 *3511:109 *22752:A2 9.24915 +14 *3511:109 *22734:A2 13.3002 +15 *3511:105 *22806:A2 13.7491 +16 *3511:93 *22788:A2 13.7491 +17 *3511:63 *3511:147 11.8057 +18 *3511:147 *3511:151 4.40376 +19 *3511:151 *22608:A2 13.7491 +20 *3511:151 *3511:161 20.6418 +21 *3511:161 *22626:A2 12.191 +22 *3511:161 *22565:A2 29.5602 +23 *3511:147 *3511:192 23.0524 +24 *3511:192 *22698:A2 9.97254 +25 *3511:192 *3511:205 15.77 +26 *3511:205 *22716:A2 43.307 +27 *3511:205 *22680:A2 9.24915 +28 *3511:29 *22644:A2 9.24915 +*END + +*D_NET *3512 0.00275102 +*CONN +*I *22564:A I *D sky130_fd_sc_hd__buf_8 +*I *22563:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22564:A 0.000847309 +2 *22563:X 0.000847309 +3 *22564:A *3513:10 0.000158357 +4 *22560:A *22564:A 6.08467e-05 +5 *2637:29 *22564:A 1.61631e-05 +6 *2930:21 *22564:A 0.00034918 +7 *2930:26 *22564:A 0.000402248 +8 *3479:53 *22564:A 6.96078e-05 +*RES +1 *22563:X *22564:A 37.0655 +*END + +*D_NET *3513 0.0878759 +*CONN +*I *22644:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22662:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22770:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22565:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22698:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22680:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22716:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22608:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22626:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22788:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22806:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22752:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22734:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22564:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22644:B2 0.000103591 +2 *22662:B2 3.26389e-05 +3 *22770:B2 0.000150188 +4 *22565:B2 0.000518449 +5 *22698:B2 0 +6 *22680:B2 6.21149e-05 +7 *22716:B2 0 +8 *22608:B2 0.000271462 +9 *22626:B2 0 +10 *22788:B2 0.000362338 +11 *22806:B2 0.00025577 +12 *22752:B2 0 +13 *22734:B2 0.000132548 +14 *22564:X 0.000668837 +15 *3513:212 0.00102687 +16 *3513:199 0.00154081 +17 *3513:195 0.000597668 +18 *3513:188 0.00132912 +19 *3513:170 0.0023456 +20 *3513:165 0.00150635 +21 *3513:156 0.00109008 +22 *3513:143 0.0044011 +23 *3513:121 0.00492361 +24 *3513:98 0.00222669 +25 *3513:68 0.000830123 +26 *3513:58 0.00136011 +27 *3513:57 0.00422848 +28 *3513:39 0.0049615 +29 *3513:35 0.00175163 +30 *3513:32 9.16528e-05 +31 *3513:31 6.30198e-05 +32 *3513:26 0.00174635 +33 *3513:10 0.00240191 +34 *22565:B2 *3519:179 2.16355e-05 +35 *22644:B2 *22644:B1 3.9036e-05 +36 *22734:B2 *22735:C1 9.48194e-05 +37 *22734:B2 *22753:C1 4.33073e-05 +38 *22788:B2 *3529:99 8.4649e-05 +39 *22788:B2 *3531:84 0.000132899 +40 *22806:B2 *3527:95 3.10936e-05 +41 *3513:26 *22646:A1 0.000134305 +42 *3513:26 *22671:A 1.43259e-05 +43 *3513:26 *3519:30 3.17436e-05 +44 *3513:26 *3523:16 0.000152445 +45 *3513:26 *4807:101 4.71698e-05 +46 *3513:26 *4808:58 0.000263262 +47 *3513:26 *4819:72 0.000622126 +48 *3513:31 *3523:276 2.55604e-05 +49 *3513:32 *4808:62 5.79874e-05 +50 *3513:35 *3523:276 4.84693e-05 +51 *3513:39 *3523:276 0.000352165 +52 *3513:57 *3517:36 5.60804e-05 +53 *3513:57 *3519:130 0.000477252 +54 *3513:57 *3521:41 0.00106646 +55 *3513:57 *3607:10 0.000161243 +56 *3513:121 *20018:A 0.000141054 +57 *3513:121 *3531:84 0.000131057 +58 *3513:121 *3533:66 0.000175586 +59 *3513:121 *5592:40 1.04747e-05 +60 *3513:143 *22771:C1 2.26985e-05 +61 *3513:143 *22775:A2 5.49916e-05 +62 *3513:143 *3539:140 0.000134617 +63 *3513:143 *3541:148 0.000275688 +64 *3513:143 *3750:13 0.000860038 +65 *3513:156 *22627:C1 0.000158451 +66 *3513:165 *22627:C1 1.41853e-05 +67 *3513:170 *3519:179 2.85052e-05 +68 *3513:170 *3519:183 0.000620637 +69 *3513:188 *3523:154 0.000156946 +70 *3513:188 *3537:164 0.000410171 +71 *3513:188 *3537:192 0.000607935 +72 *3513:188 *3581:13 0.000953653 +73 *3513:188 *3581:25 0.000129131 +74 *3513:195 *22699:C1 0.000158451 +75 *3513:195 *3581:25 3.58531e-05 +76 *3513:199 *22699:C1 7.54269e-06 +77 *3513:199 *3581:25 0 +78 *3513:212 *3649:8 5.3821e-05 +79 *6198:DIODE *3513:156 3.95516e-05 +80 *19796:A *3513:143 5.4678e-05 +81 *20040:A1 *3513:156 0.000150256 +82 *20040:B1 *3513:156 0.000391697 +83 *20040:B2 *3513:156 0.000312164 +84 *20040:B2 *3513:165 5.1493e-06 +85 *21212:B1 *3513:26 4.54865e-05 +86 *21304:A *3513:212 0.000150247 +87 *21309:C1 *3513:188 7.77309e-06 +88 *21354:A *3513:212 5.20546e-06 +89 *21354:B *3513:212 5.25994e-05 +90 *21548:B1 *3513:121 1.5714e-05 +91 *21766:C *3513:170 0.000107496 +92 *21783:A1 *22565:B2 0.000137746 +93 *21783:B2 *3513:143 0 +94 *22005:A1 *3513:57 4.23858e-05 +95 *22005:A2 *3513:57 0 +96 *22053:B1 *3513:143 6.84755e-05 +97 *22060:B1 *22608:B2 0.000248875 +98 *22413:A1 *3513:143 0.000203254 +99 *22455:A2 *22770:B2 1.04818e-05 +100 *22466:A1 *3513:156 0.000149111 +101 *22482:C *22788:B2 0.000115313 +102 *22482:C *3513:121 5.87601e-05 +103 *22499:A1 *22806:B2 3.82228e-05 +104 *22564:A *3513:10 0.000158357 +105 *22565:A2 *3513:170 2.95884e-05 +106 *22608:A1 *22608:B2 6.57651e-05 +107 *22626:B1 *3513:156 9.95922e-06 +108 *22658:A1 *3513:26 0.000101503 +109 *22680:B1 *22680:B2 3.15947e-05 +110 *22698:A1 *3513:199 0.000113107 +111 *22698:A2 *3513:199 6.08467e-05 +112 *22716:A2 *3513:212 0.000205006 +113 *22734:A2 *22734:B2 0.000256037 +114 *22735:B2 *22734:B2 3.31745e-05 +115 *22757:A1 *3513:58 0.00110821 +116 *22757:A1 *3513:68 0.000496625 +117 *22757:A1 *3513:98 0.00113217 +118 *22757:A1 *3513:121 0.000243109 +119 *22757:A1 *3513:143 0.000263012 +120 *22770:B1 *22770:B2 0.000167543 +121 *22771:B2 *3513:121 0.000827225 +122 *22771:B2 *3513:143 0.000116099 +123 *22788:B1 *22788:B2 9.57665e-05 +124 *22806:B1 *22806:B2 0.000144762 +125 *22806:B1 *3513:68 7.12475e-05 +126 *22808:A1 *3513:57 3.08234e-05 +127 *22812:B1 *3513:143 1.86016e-05 +128 *22813:B1 *3513:143 0 +129 *23925:B *3513:57 0.00368258 +130 *482:86 *3513:199 0.000135891 +131 *1419:260 *3513:143 0.000633857 +132 *1535:22 *3513:156 0.000146644 +133 *1537:117 *3513:121 0.00024976 +134 *1537:144 *3513:121 6.96199e-05 +135 *1564:117 *3513:212 0.000443323 +136 *1575:29 *3513:143 3.92592e-05 +137 *1595:101 *3513:156 0.000107496 +138 *1597:45 *3513:68 5.60804e-05 +139 *1602:71 *3513:156 0.000247443 +140 *1608:30 *22644:B2 2.05972e-05 +141 *1608:30 *3513:26 8.86786e-06 +142 *1616:42 *3513:26 0.00016329 +143 *1637:53 *3513:57 3.63738e-05 +144 *1655:135 *22608:B2 1.64462e-05 +145 *1655:135 *3513:188 2.80017e-05 +146 *1666:12 *22565:B2 0.000133113 +147 *1691:105 *3513:26 0.00026727 +148 *1721:162 *22565:B2 0.000171334 +149 *1721:162 *3513:165 0.000100741 +150 *1722:40 *3513:57 0.000367925 +151 *1723:114 *22788:B2 5.04829e-06 +152 *1732:12 *3513:143 0 +153 *1750:45 *3513:31 6.51679e-05 +154 *1750:45 *3513:39 3.00777e-05 +155 *1762:86 *22788:B2 0.000162924 +156 *1787:40 *22565:B2 0.000133113 +157 *1788:57 *3513:26 7.6496e-05 +158 *1795:29 *3513:68 0.000119825 +159 *1801:75 *3513:143 0.000117831 +160 *1808:87 *3513:143 0.000157572 +161 *2117:143 *3513:143 0.000213458 +162 *2266:90 *3513:26 3.74433e-05 +163 *2273:18 *3513:26 3.73224e-05 +164 *2274:63 *22806:B2 0.000155692 +165 *2274:71 *3513:26 7.41739e-06 +166 *2355:53 *3513:212 1.87469e-05 +167 *2357:87 *22680:B2 7.92757e-06 +168 *2357:87 *3513:199 2.41274e-06 +169 *2357:87 *3513:212 0.000318204 +170 *2368:34 *3513:26 2.98884e-05 +171 *2375:60 *3513:212 0.000152718 +172 *2411:26 *3513:10 0.000865965 +173 *2530:90 *3513:212 0.000122784 +174 *2531:65 *3513:57 0.000190729 +175 *2531:73 *22662:B2 6.51628e-05 +176 *2531:73 *3513:39 1.58551e-05 +177 *2531:73 *3513:57 7.04982e-05 +178 *2536:28 *22788:B2 1.86819e-05 +179 *2543:38 *3513:121 5.11321e-05 +180 *2551:44 *22608:B2 0 +181 *2551:55 *22608:B2 0.000156946 +182 *2554:56 *3513:39 0.000685181 +183 *2570:138 *22644:B2 9.66954e-05 +184 *2583:61 *3513:57 0.00133447 +185 *2596:18 *3513:26 0.000260303 +186 *2637:29 *3513:10 0.000184778 +187 *2684:24 *3513:143 0.000523282 +188 *2685:42 *3513:143 0.00121049 +189 *2694:38 *3513:10 2.98519e-05 +190 *2708:32 *22806:B2 0.000157659 +191 *2733:5 *22788:B2 0.000437525 +192 *2780:25 *22565:B2 1.5714e-05 +193 *2782:10 *22565:B2 2.57986e-05 +194 *2812:18 *3513:121 5.82337e-05 +195 *2828:25 *22770:B2 3.58602e-05 +196 *2843:31 *22565:B2 0.000115552 +197 *2843:31 *3513:156 8.67924e-06 +198 *2843:31 *3513:165 0.000148565 +199 *2856:15 *3513:143 8.45161e-05 +200 *2873:17 *3513:188 0.00172369 +201 *2881:51 *3513:188 0.000155177 +202 *2888:41 *3513:195 1.58551e-05 +203 *2888:41 *3513:199 6.08467e-05 +204 *2900:143 *3513:156 2.07365e-05 +205 *2900:143 *3513:165 0.00011818 +206 *2930:26 *3513:10 0.000686658 +207 *2979:20 *3513:68 5.8518e-05 +208 *3015:14 *22608:B2 0.000305271 +209 *3015:14 *3513:188 7.98343e-05 +210 *3019:23 *3513:57 2.02832e-05 +211 *3058:8 *3513:121 9.18711e-05 +212 *3058:8 *3513:143 2.46902e-05 +213 *3071:57 *3513:57 3.29488e-05 +214 *3111:52 *3513:39 1.55001e-05 +215 *3122:39 *3513:26 5.77973e-05 +216 *3148:239 *3513:98 0.000108668 +217 *3148:250 *22806:B2 0.000107496 +218 *3164:113 *3513:143 0.000120392 +219 *3168:29 *3513:68 5.60804e-05 +220 *3168:78 *3513:98 0.000279239 +221 *3168:78 *3513:121 0.000154488 +222 *3168:113 *3513:143 6.29502e-06 +223 *3175:257 *3513:26 7.51378e-06 +224 *3175:257 *3513:39 5.61389e-05 +225 *3179:103 *3513:68 5.95477e-05 +226 *3179:224 *3513:212 0.000625764 +227 *3190:45 *3513:57 0.00146694 +228 *3196:23 *3513:26 0.000600484 +229 *3198:23 *3513:26 8.12939e-05 +230 *3204:299 *22644:B2 1.47046e-05 +231 *3315:18 *3513:212 5.05252e-05 +232 *3337:14 *3513:199 0.000298399 +233 *3407:23 *3513:68 0.000225395 +234 *3415:14 *3513:143 7.00554e-05 +235 *3419:12 *3513:143 2.43314e-05 +236 *3419:12 *3513:156 0.000154145 +237 *3428:14 *22806:B2 5.57273e-05 +238 *3452:6 *3513:121 0.000198435 +239 *3452:6 *3513:143 0.00138769 +240 *3452:21 *22788:B2 3.26582e-06 +241 *3452:21 *3513:121 0.000167028 +242 *3461:11 *3513:156 1.00981e-05 +243 *3481:77 *3513:10 6.36477e-05 +244 *3481:80 *3513:10 0.000695857 +245 *3481:102 *3513:10 4.65119e-05 +246 *3507:227 *3513:188 1.65872e-05 +247 *3509:81 *3513:58 0.000658803 +248 *3509:81 *3513:98 0.000605018 +249 *3509:91 *3513:58 0.000464195 +250 *3509:91 *3513:68 0.000740912 +251 *3509:136 *3513:121 2.95411e-05 +252 *3511:205 *22680:B2 3.79808e-05 +253 *3511:205 *3513:199 0.000441794 +*RES +1 *22564:X *3513:10 43.794 +2 *3513:10 *3513:26 46.1088 +3 *3513:26 *3513:31 14.4725 +4 *3513:31 *3513:32 81.1229 +5 *3513:32 *3513:35 9.97254 +6 *3513:35 *3513:39 24.0409 +7 *3513:39 *3513:57 26.8532 +8 *3513:57 *3513:58 2.56511 +9 *3513:58 *3513:68 12.1078 +10 *3513:68 *22734:B2 14.4576 +11 *3513:68 *22752:B2 9.24915 +12 *3513:58 *22806:B2 22.8778 +13 *3513:57 *3513:98 2.67942 +14 *3513:98 *22788:B2 26.3447 +15 *3513:98 *3513:121 35.5628 +16 *3513:121 *3513:143 49.7489 +17 *3513:143 *3513:156 33.1527 +18 *3513:156 *22626:B2 9.24915 +19 *3513:156 *3513:165 8.02525 +20 *3513:165 *3513:170 22.4793 +21 *3513:170 *22608:B2 22.5602 +22 *3513:170 *3513:188 46.2455 +23 *3513:188 *3513:195 8.59924 +24 *3513:195 *3513:199 14.058 +25 *3513:199 *3513:212 45.4129 +26 *3513:212 *22716:B2 9.24915 +27 *3513:199 *22680:B2 11.1059 +28 *3513:195 *22698:B2 9.24915 +29 *3513:165 *22565:B2 34.2337 +30 *3513:121 *22770:B2 16.9803 +31 *3513:39 *22662:B2 10.5513 +32 *3513:35 *22644:B2 20.6712 +*END + +*D_NET *3514 0.00227149 +*CONN +*I *22566:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22565:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22566:C1 0.000551265 +2 *22565:X 0.000551265 +3 *22566:C1 *22596:C1 5.33881e-06 +4 *22566:C1 *3519:179 0.000347764 +5 *19908:A *22566:C1 0.00043999 +6 *1535:22 *22566:C1 0.000322141 +7 *1724:76 *22566:C1 8.73244e-06 +8 *1790:130 *22566:C1 4.49912e-05 +*RES +1 *22565:X *22566:C1 40.8558 +*END + +*D_NET *3515 0.000630252 +*CONN +*I *22597:A I *D sky130_fd_sc_hd__and4_1 +*I *22566:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22597:A 0.000220556 +2 *22566:X 0.000220556 +3 *22231:A1 *22597:A 8.77951e-05 +4 *22231:B2 *22597:A 9.54357e-06 +5 *22231:C1 *22597:A 1.55025e-05 +6 *22566:A1 *22597:A 7.62993e-05 +*RES +1 *22566:X *22597:A 31.8957 +*END + +*D_NET *3516 0.000567631 +*CONN +*I *22568:A I *D sky130_fd_sc_hd__buf_8 +*I *22567:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22568:A 0.000128877 +2 *22567:X 0.000128877 +3 *22568:A *5944:80 0.000124169 +4 *2635:87 *22568:A 6.08467e-05 +5 *3472:82 *22568:A 0.000124862 +*RES +1 *22567:X *22568:A 30.8842 +*END + +*D_NET *3517 0.111908 +*CONN +*I *22647:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22719:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22683:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22701:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22576:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22629:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22611:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22773:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22665:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22809:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22737:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22755:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22791:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22568:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22647:A2 0.000323093 +2 *22719:A2 0 +3 *22683:A2 3.38463e-05 +4 *22701:A2 0.000341901 +5 *22576:A2 0.000403729 +6 *22629:A2 6.75041e-05 +7 *22611:A2 0.000430253 +8 *22773:A2 0.00328188 +9 *22665:A2 0.000345053 +10 *22809:A2 0 +11 *22737:A2 1.78964e-05 +12 *22755:A2 0.000195795 +13 *22791:A2 0.000656778 +14 *22568:X 0.00208689 +15 *3517:310 0.00119504 +16 *3517:281 0.00287208 +17 *3517:254 0.00307872 +18 *3517:225 0.00103807 +19 *3517:222 0.00225267 +20 *3517:197 0.00247303 +21 *3517:170 0.00598435 +22 *3517:151 0.00330611 +23 *3517:121 0.000766804 +24 *3517:96 0.00139488 +25 *3517:83 0.00110592 +26 *3517:53 0.00375207 +27 *3517:36 0.00666229 +28 *3517:15 0.00492356 +29 *22576:A2 *22576:B2 0.000171378 +30 *22611:A2 *22615:B2 0.000254532 +31 *22611:A2 *22615:C1 3.73237e-05 +32 *22611:A2 *3537:192 2.77625e-06 +33 *22611:A2 *3537:215 2.92975e-05 +34 *22629:A2 *3575:17 6.39153e-06 +35 *22647:A2 *22647:B2 0.000427384 +36 *22665:A2 *5593:57 0.000573012 +37 *22701:A2 *22701:B2 1.47978e-05 +38 *22701:A2 *22705:C1 0.000100992 +39 *22701:A2 *22706:C 6.89923e-05 +40 *22737:A2 *3678:21 1.2975e-05 +41 *22755:A2 *22742:B 6.08467e-05 +42 *22755:A2 *22755:B2 6.3657e-05 +43 *22755:A2 *3521:101 4.3116e-06 +44 *22755:A2 *3523:49 2.9768e-05 +45 *22773:A2 *3519:159 1.64924e-05 +46 *22773:A2 *3519:174 3.5534e-06 +47 *22773:A2 *5453:21 5.60804e-05 +48 *22773:A2 *5924:37 7.98208e-05 +49 *22791:A2 *22790:B2 7.98171e-06 +50 *22791:A2 *22791:B2 2.57847e-05 +51 *22791:A2 *22796:B 2.45663e-05 +52 *22791:A2 *3521:46 4.99151e-05 +53 *22791:A2 *3521:59 0.000181351 +54 *3517:15 *22582:A 0.000118738 +55 *3517:15 *22584:A 9.44661e-05 +56 *3517:15 *3519:24 1.5714e-05 +57 *3517:15 *3531:11 1.66607e-05 +58 *3517:15 *3533:12 0.000359054 +59 *3517:15 *3541:13 6.01329e-05 +60 *3517:15 *4808:27 0.000179613 +61 *3517:36 *3519:47 0.000356998 +62 *3517:36 *3521:41 6.23101e-05 +63 *3517:53 *4808:76 5.33397e-05 +64 *3517:83 *22809:B2 2.41568e-05 +65 *3517:83 *22814:B 4.97617e-05 +66 *3517:83 *3521:41 7.77309e-06 +67 *3517:96 *22809:B2 7.92757e-06 +68 *3517:96 *22809:C1 0.000113107 +69 *3517:96 *22814:B 9.55988e-06 +70 *3517:96 *3521:101 0.000457586 +71 *3517:96 *3523:49 0.000337705 +72 *3517:121 *3519:113 0.000200794 +73 *3517:121 *3523:19 1.45065e-05 +74 *3517:121 *3523:28 0.00025405 +75 *3517:151 *21695:A1 0.000181317 +76 *3517:151 *3519:332 0.00033307 +77 *3517:151 *3615:12 0.000386999 +78 *3517:151 *5593:57 0.000125342 +79 *3517:222 *22610:A2 3.03615e-05 +80 *3517:281 *22687:C1 0.0001484 +81 *3517:310 *22683:C1 4.60155e-05 +82 *3517:310 *22724:C 0.000140492 +83 *3517:310 *22724:D 4.3663e-05 +84 *3517:310 *3519:279 0.000269318 +85 *3517:310 *3543:97 1.50389e-06 +86 *3517:310 *3663:10 0.000365139 +87 *3517:310 *5888:14 2.87975e-05 +88 *19789:A *22773:A2 3.80969e-05 +89 *19789:B *22773:A2 0.000356317 +90 *19790:B1 *22773:A2 0.00209914 +91 *19801:A1 *22773:A2 0.000519036 +92 *19895:A2 *3517:225 0.00131741 +93 *19895:A2 *3517:254 0.000404643 +94 *19897:A *3517:53 0.000117822 +95 *20050:B1 *3517:53 0.000103791 +96 *20651:B *3517:281 0.00184288 +97 *21412:B1 *3517:310 0.000196148 +98 *21412:C1 *3517:310 0.000133323 +99 *21436:B1 *3517:281 3.75697e-05 +100 *21467:A1 *22791:A2 0.000345048 +101 *21467:A1 *3517:53 0.000573987 +102 *21468:B2 *3517:121 0.000138836 +103 *21476:B1 *3517:36 0.000109796 +104 *21476:B1 *3517:197 0.000231011 +105 *21531:B1 *3517:36 4.3817e-05 +106 *21604:A1 *22665:A2 0.000553122 +107 *21604:A1 *3517:151 0.000163327 +108 *21623:B1 *3517:53 0.000527764 +109 *21800:A1 *3517:151 5.61389e-05 +110 *21856:A2 *3517:254 0.000822613 +111 *21874:A1 *22773:A2 0.000372458 +112 *21987:A1 *3517:170 2.45408e-05 +113 *22005:A1 *3517:36 0.000516386 +114 *22005:A1 *3517:151 2.05082e-05 +115 *22210:A2 *22773:A2 0.00095909 +116 *22210:B2 *22773:A2 5.78635e-05 +117 *22246:A2 *3517:53 2.36659e-05 +118 *22276:B1 *3517:151 1.4827e-05 +119 *22305:A2 *3517:15 0.000553527 +120 *22324:A2 *22773:A2 0.000206732 +121 *22335:B1 *3517:36 0.000718821 +122 *22481:B1 *22791:A2 6.33418e-05 +123 *22481:B2 *22791:A2 0 +124 *22481:C1 *22791:A2 2.77419e-05 +125 *22486:A2 *3517:121 7.03991e-05 +126 *22508:A2 *3517:121 0.000118649 +127 *22514:A *3517:83 1.34424e-05 +128 *22514:A *3517:96 0.000256037 +129 *22575:A1 *22629:A2 1.19682e-05 +130 *22576:A1 *22576:A2 5.61488e-05 +131 *22576:B1 *22576:A2 1.41976e-05 +132 *22593:A *3517:15 0.000110865 +133 *22611:A1 *22611:A2 2.79645e-05 +134 *22615:A1 *22611:A2 2.16355e-05 +135 *22619:B1 *3517:197 8.89328e-05 +136 *22624:B1 *3517:151 0.000161811 +137 *22629:B1 *22576:A2 0.00029357 +138 *22658:B2 *3517:15 0.000219829 +139 *22658:B2 *3517:36 0.000919464 +140 *22664:A1 *3517:151 0.000400291 +141 *22665:A1 *22665:A2 1.06706e-05 +142 *22665:B1 *22665:A2 6.87743e-05 +143 *22676:B2 *3517:310 4.86736e-05 +144 *22682:B1 *3517:281 0 +145 *22683:B1 *3517:310 3.57291e-06 +146 *22685:A1 *3517:281 0.000159903 +147 *22701:A1 *22701:A2 3.99086e-06 +148 *22726:B1 *3517:53 0.000104754 +149 *22736:A1 *3517:121 7.13972e-05 +150 *22773:B1 *22773:A2 0.000426056 +151 *22790:A1 *3517:53 9.22833e-06 +152 *22791:B1 *22791:A2 4.31603e-06 +153 *22809:A1 *3517:83 6.3657e-05 +154 *22809:B1 *3517:96 6.36477e-05 +155 *23924:B *3517:53 0.000207873 +156 *531:23 *3517:15 0.000438266 +157 *1435:251 *22773:A2 0.000923039 +158 *1461:275 *3517:83 0.000413641 +159 *1515:101 *3517:121 0.00108072 +160 *1537:36 *3517:121 4.58907e-05 +161 *1545:118 *22773:A2 3.58821e-05 +162 *1553:64 *22665:A2 4.09154e-05 +163 *1558:42 *22773:A2 0.00126411 +164 *1560:76 *22773:A2 1.0167e-05 +165 *1564:62 *3517:15 0.00107913 +166 *1564:62 *3517:36 0.00258578 +167 *1565:17 *22773:A2 6.26584e-07 +168 *1566:31 *22773:A2 3.21156e-06 +169 *1570:15 *3517:53 6.84371e-05 +170 *1570:50 *3517:53 0.000511906 +171 *1587:121 *22773:A2 8.09106e-06 +172 *1598:15 *3517:121 0.000505435 +173 *1614:75 *3517:225 0.000646272 +174 *1618:104 *22629:A2 2.1203e-06 +175 *1627:13 *3517:36 2.55046e-05 +176 *1637:53 *3517:36 0.0002203 +177 *1645:156 *3517:254 0.000403649 +178 *1647:35 *22773:A2 0.000460156 +179 *1647:58 *3517:197 0.000610541 +180 *1647:58 *3517:222 2.05082e-05 +181 *1649:11 *3517:53 0.000206333 +182 *1668:85 *3517:53 0.000873715 +183 *1688:75 *3517:225 7.96833e-05 +184 *1688:75 *3517:254 0.00146641 +185 *1688:75 *3517:281 5.95328e-05 +186 *1720:52 *22773:A2 1.24546e-05 +187 *1784:91 *3517:225 0.00041192 +188 *1784:91 *3517:254 0.000136287 +189 *1790:100 *22611:A2 2.99982e-05 +190 *1805:12 *3517:121 0.00016098 +191 *1809:17 *22773:A2 3.81793e-05 +192 *2093:29 *3517:15 0.000406879 +193 *2093:68 *22665:A2 0.000107496 +194 *2093:136 *3517:310 0.000246888 +195 *2093:149 *3517:310 8.04172e-05 +196 *2098:49 *3517:15 0.000488935 +197 *2098:58 *3517:15 4.27675e-05 +198 *2098:82 *3517:15 0.000148877 +199 *2250:55 *3517:281 0.000157659 +200 *2306:56 *22683:A2 1.69093e-05 +201 *2306:56 *3517:281 0.0001056 +202 *2306:56 *3517:310 4.019e-05 +203 *2306:75 *3517:310 3.31733e-05 +204 *2338:72 *3517:36 0.000407424 +205 *2338:72 *3517:151 0.00063732 +206 *2354:11 *3517:281 0.000240678 +207 *2355:21 *3517:310 0.000349354 +208 *2359:27 *22701:A2 4.15661e-05 +209 *2359:27 *3517:254 9.03052e-05 +210 *2359:27 *3517:281 0.000844546 +211 *2360:66 *22701:A2 8.48264e-05 +212 *2360:78 *22701:A2 4.99151e-05 +213 *2368:34 *22647:A2 0.000427384 +214 *2418:24 *3517:15 0.000180335 +215 *2418:28 *3517:15 7.25108e-06 +216 *2472:15 *3517:310 2.57629e-05 +217 *2508:23 *3517:170 7.43088e-05 +218 *2508:23 *3517:197 0.00032277 +219 *2521:41 *3517:121 0.000189533 +220 *2523:65 *22791:A2 0.000166249 +221 *2523:84 *3517:151 0.000358205 +222 *2524:8 *3517:121 1.05272e-06 +223 *2531:91 *3517:36 1.24546e-05 +224 *2542:50 *22665:A2 3.29488e-05 +225 *2545:67 *3517:15 0.000491079 +226 *2554:56 *3517:151 0.000383574 +227 *2584:77 *3517:36 6.03287e-05 +228 *2613:69 *3517:151 0.000110306 +229 *2680:57 *3517:151 0.000161811 +230 *2708:44 *3517:53 0 +231 *2776:47 *22576:A2 9.75148e-06 +232 *2794:58 *22611:A2 7.40684e-06 +233 *2827:29 *3517:222 0.000514197 +234 *2857:52 *22773:A2 1.87619e-06 +235 *2870:40 *3517:281 0.000157107 +236 *2893:20 *3517:197 0.000420749 +237 *2893:20 *3517:222 0.000196016 +238 *2900:140 *22611:A2 0.000657357 +239 *2906:39 *3517:254 0.000217569 +240 *2954:74 *22773:A2 0.000120765 +241 *2959:35 *22791:A2 0.000130508 +242 *3002:26 *22755:A2 0.000299784 +243 *3002:26 *3517:121 6.08467e-05 +244 *3019:23 *3517:151 0.000258478 +245 *3023:42 *3517:36 2.06136e-05 +246 *3039:46 *22611:A2 3.01558e-05 +247 *3051:54 *3517:197 0.000307541 +248 *3064:23 *22611:A2 3.18935e-05 +249 *3071:57 *3517:36 4.90403e-05 +250 *3117:204 *3517:170 0.000448364 +251 *3120:125 *3517:281 2.62973e-05 +252 *3120:130 *3517:254 2.99649e-05 +253 *3122:161 *22611:A2 5.4325e-05 +254 *3124:210 *3517:170 0.00160644 +255 *3124:210 *3517:197 4.64851e-05 +256 *3124:242 *22773:A2 0.000100778 +257 *3128:87 *3517:83 0.000483532 +258 *3146:157 *3517:197 0.00127656 +259 *3151:195 *3517:170 0.00192618 +260 *3151:195 *3517:197 0.000113478 +261 *3162:87 *22791:A2 2.27901e-06 +262 *3190:55 *3517:83 0.000104441 +263 *3192:230 *22773:A2 3.88213e-05 +264 *3196:108 *3517:83 6.3504e-06 +265 *3198:33 *3517:121 0.000162739 +266 *3198:38 *3517:121 2.08319e-05 +267 *3233:8 *22611:A2 0.000118828 +268 *3301:8 *22683:A2 5.15155e-05 +269 *3301:8 *3517:281 0.000484899 +270 *3305:8 *3517:281 7.80184e-05 +271 *3406:29 *3517:53 0.000709751 +272 *3442:20 *3517:83 0.000225317 +273 *3463:27 *22755:A2 0.000236814 +274 *3471:15 *3517:15 0.00011666 +275 *3474:38 *3517:36 1.49216e-05 +276 *3474:42 *3517:36 4.18576e-05 +277 *3474:79 *3517:53 5.01835e-05 +278 *3476:71 *3517:53 5.4678e-05 +279 *3481:136 *3517:15 5.60804e-05 +280 *3483:124 *3517:15 0.000328827 +281 *3494:25 *22773:A2 0.00024533 +282 *3498:163 *3517:15 1.76888e-05 +283 *3507:34 *3517:151 8.30839e-05 +284 *3507:165 *22611:A2 1.41976e-05 +285 *3509:42 *3517:151 0.0010866 +286 *3509:60 *3517:151 0.000253434 +287 *3511:63 *3517:53 0.000625838 +288 *3513:57 *3517:36 5.60804e-05 +*RES +1 *22568:X *3517:15 46.8947 +2 *3517:15 *3517:36 29.8466 +3 *3517:36 *3517:53 21.6113 +4 *3517:53 *22791:A2 33.3807 +5 *3517:53 *3517:83 27.8504 +6 *3517:83 *3517:96 19.3642 +7 *3517:96 *22755:A2 20.8723 +8 *3517:96 *3517:121 46.3155 +9 *3517:121 *22737:A2 14.1952 +10 *3517:83 *22809:A2 9.24915 +11 *3517:36 *3517:151 37.5319 +12 *3517:151 *22665:A2 21.7549 +13 *3517:151 *3517:170 5.79935 +14 *3517:170 *22773:A2 27.4694 +15 *3517:170 *3517:197 6.02113 +16 *3517:197 *22611:A2 30.5068 +17 *3517:197 *3517:222 2.14162 +18 *3517:222 *3517:225 5.36917 +19 *3517:225 *22629:A2 14.9583 +20 *3517:225 *22576:A2 22.4781 +21 *3517:222 *3517:254 3.59005 +22 *3517:254 *22701:A2 25.2206 +23 *3517:254 *3517:281 31.9791 +24 *3517:281 *22683:A2 14.7506 +25 *3517:281 *3517:310 47.4036 +26 *3517:310 *22719:A2 9.24915 +27 *3517:15 *22647:A2 26.6321 +*END + +*D_NET *3518 0.000963103 +*CONN +*I *22570:A I *D sky130_fd_sc_hd__buf_8 +*I *22569:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22570:A 0.00014261 +2 *22569:X 0.00014261 +3 *22570:A *3519:24 0.000109789 +4 *22570:A *5948:152 0.000255539 +5 *22521:A *22570:A 0.000173846 +6 *3469:52 *22570:A 6.56365e-05 +7 *3469:65 *22570:A 6.57048e-05 +8 *3472:89 *22570:A 7.36804e-06 +*RES +1 *22569:X *22570:A 33.1026 +*END + +*D_NET *3519 0.0991234 +*CONN +*I *22647:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22665:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22737:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22701:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22719:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22683:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22629:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22576:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22611:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22773:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22791:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22809:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22755:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22570:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22647:B2 0.000617504 +2 *22665:B2 0.000445456 +3 *22737:B2 0.00021814 +4 *22701:B2 0.00022454 +5 *22719:B2 0 +6 *22683:B2 2.15683e-05 +7 *22629:B2 0 +8 *22576:B2 0.000218995 +9 *22611:B2 0.000207307 +10 *22773:B2 0 +11 *22791:B2 0.000106572 +12 *22809:B2 3.86053e-05 +13 *22755:B2 0.000207781 +14 *22570:X 0.000897027 +15 *3519:332 0.00207557 +16 *3519:279 0.001001 +17 *3519:260 0.00250869 +18 *3519:250 0.00306365 +19 *3519:217 0.00062375 +20 *3519:205 0.00199441 +21 *3519:183 0.000982896 +22 *3519:179 0.001526 +23 *3519:174 0.00252771 +24 *3519:159 0.00387667 +25 *3519:130 0.00315979 +26 *3519:113 0.00131868 +27 *3519:97 0.00121407 +28 *3519:93 0.00257581 +29 *3519:60 0.00196499 +30 *3519:59 0.000947032 +31 *3519:47 0.00411546 +32 *3519:30 0.00274939 +33 *3519:24 0.00143987 +34 *22576:B2 *3575:17 2.16355e-05 +35 *22611:B2 *22615:C1 8.93134e-05 +36 *22611:B2 *22616:A 6.14756e-06 +37 *22647:B2 *22647:B1 0.000349827 +38 *22647:B2 *4808:58 6.41495e-05 +39 *22701:B2 *22705:C1 2.57847e-05 +40 *22737:B2 *22737:C1 2.16608e-05 +41 *22737:B2 *3523:28 2.0456e-06 +42 *22737:B2 *3678:21 6.51628e-05 +43 *22755:B2 *22742:B 0.000179625 +44 *22755:B2 *3678:21 1.05272e-06 +45 *22809:B2 *22814:B 6.08467e-05 +46 *3519:24 *22581:B 0.000158357 +47 *3519:24 *22581:D 2.15348e-05 +48 *3519:24 *22584:A 1.91391e-05 +49 *3519:24 *22643:A1 0.000606029 +50 *3519:24 *22643:B1 1.91246e-05 +51 *3519:24 *3598:11 0.000211137 +52 *3519:24 *4804:62 2.75454e-05 +53 *3519:24 *4808:27 0.000160893 +54 *3519:24 *4808:29 5.33185e-05 +55 *3519:24 *4808:58 5.19843e-06 +56 *3519:24 *5948:152 3.07561e-05 +57 *3519:30 *4808:58 3.08636e-06 +58 *3519:47 *4819:72 0.000265872 +59 *3519:47 *4856:18 5.11419e-05 +60 *3519:93 *3668:18 0.000202584 +61 *3519:93 *3685:22 2.77096e-05 +62 *3519:93 *3719:26 0.000861342 +63 *3519:93 *4870:134 3.60384e-05 +64 *3519:93 *5455:12 3.15253e-05 +65 *3519:159 *3529:80 6.67761e-06 +66 *3519:159 *3539:70 6.21462e-05 +67 *3519:174 *3541:119 0.000231956 +68 *3519:179 *22614:A2 9.32983e-05 +69 *3519:179 *22614:B2 0.00049902 +70 *3519:179 *3537:136 9.35221e-05 +71 *3519:179 *3537:146 6.31931e-05 +72 *3519:179 *3541:119 0.000815846 +73 *3519:179 *3543:164 0.000340742 +74 *3519:205 *22616:A 2.1203e-06 +75 *3519:205 *22633:A2 0.000133453 +76 *3519:217 *22634:A 0 +77 *3519:250 *22699:C1 4.49767e-05 +78 *3519:260 *22683:C1 2.29454e-05 +79 *3519:279 *22723:A2 0.000646564 +80 *3519:279 *3521:191 0.000654739 +81 *3519:332 *21695:A1 0.000327144 +82 *3519:332 *22664:A2 2.82252e-05 +83 *3519:332 *3521:33 0.000255006 +84 *3519:332 *3521:41 0.00043969 +85 *3519:332 *3607:10 3.65373e-05 +86 *21194:A2 *3519:47 0.000111802 +87 *21194:B1 *3519:30 4.3648e-05 +88 *21212:B1 *3519:30 2.31296e-05 +89 *21213:A *3519:30 5.4678e-05 +90 *21279:B1 *22701:B2 1.47978e-05 +91 *21476:A2 *3519:47 0.000261629 +92 *21476:A2 *3519:59 9.94284e-06 +93 *21476:B1 *3519:59 2.07503e-05 +94 *21476:B2 *3519:59 5.51483e-06 +95 *21476:C1 *3519:59 0.000154145 +96 *21537:A1 *3519:332 5.59982e-05 +97 *21537:A2 *3519:59 0.000409033 +98 *21543:B2 *3519:47 0.000581095 +99 *21576:A2 *3519:332 0.000345904 +100 *21577:A2 *3519:332 4.79289e-05 +101 *21589:B1 *3519:47 0.000259264 +102 *21591:B *3519:93 1.91391e-05 +103 *21647:B2 *3519:159 3.20069e-06 +104 *21765:B1 *3519:217 0.000212189 +105 *21765:B1 *3519:250 0.00070835 +106 *21766:B *3519:179 0.000671592 +107 *21766:B *3519:183 2.77625e-06 +108 *21945:B1 *3519:130 0.000709118 +109 *21945:B1 *3519:159 0.000654726 +110 *21987:A1 *3519:59 0.000392389 +111 *21987:A1 *3519:332 0.000539554 +112 *22230:A1 *22576:B2 8.67924e-06 +113 *22323:B1 *22665:B2 5.11321e-05 +114 *22336:A2 *3519:332 0.000263539 +115 *22482:C *3519:159 0.000203701 +116 *22483:A2 *3519:159 6.97393e-05 +117 *22495:A1 *22809:B2 8.67924e-06 +118 *22504:A *22755:B2 4.25507e-05 +119 *22504:A *3519:113 0.000272792 +120 *22565:A2 *3519:179 0.000581104 +121 *22565:B1 *3519:179 6.23875e-05 +122 *22565:B2 *3519:179 2.16355e-05 +123 *22566:C1 *3519:179 0.000347764 +124 *22570:A *3519:24 0.000109789 +125 *22575:A1 *3519:217 0 +126 *22576:A2 *22576:B2 0.000171378 +127 *22576:B1 *22576:B2 2.07365e-05 +128 *22581:A *3519:24 0.000107496 +129 *22591:D *3519:24 0.00013814 +130 *22609:A1 *22611:B2 4.54683e-05 +131 *22611:B1 *22611:B2 6.36477e-05 +132 *22614:A1 *3519:179 3.82228e-05 +133 *22629:B1 *22576:B2 6.42561e-05 +134 *22647:A2 *22647:B2 0.000427384 +135 *22662:B1 *3519:332 0.000115006 +136 *22667:B1 *22665:B2 1.12479e-05 +137 *22683:B1 *22683:B2 4.31603e-06 +138 *22683:B1 *3519:260 0.000126884 +139 *22701:A2 *22701:B2 1.47978e-05 +140 *22736:A1 *22737:B2 0.000111625 +141 *22736:B1 *22737:B2 1.72919e-05 +142 *22737:B1 *22737:B2 7.76105e-06 +143 *22755:A1 *22755:B2 6.08467e-05 +144 *22755:A2 *22755:B2 6.3657e-05 +145 *22763:A2 *3519:159 0.000757878 +146 *22773:A2 *3519:159 1.64924e-05 +147 *22773:A2 *3519:174 3.5534e-06 +148 *22773:B1 *3519:159 4.3663e-05 +149 *22791:A2 *22791:B2 2.57847e-05 +150 *23925:B *3519:130 0.000462815 +151 *23925:B *3519:332 0.000175994 +152 *24910:A *22791:B2 0.000104754 +153 *522:17 *3519:93 3.2927e-05 +154 *1435:125 *3519:97 0.000464825 +155 *1496:106 *3519:93 3.93446e-05 +156 *1510:117 *3519:93 0.00012277 +157 *1542:127 *3519:93 0.000207272 +158 *1547:26 *3519:174 1.91246e-05 +159 *1550:82 *3519:174 0.000494921 +160 *1553:64 *22665:B2 0.000135775 +161 *1564:50 *3519:59 1.5714e-05 +162 *1598:15 *3519:113 0.000200794 +163 *1608:13 *3519:59 0.000402579 +164 *1608:13 *3519:93 0.00010831 +165 *1610:33 *3519:332 1.49935e-05 +166 *1636:108 *3519:93 0.000167204 +167 *1642:39 *3519:93 0.00023309 +168 *1688:117 *3519:130 0.000688499 +169 *1688:117 *3519:159 0.000426978 +170 *1691:105 *3519:30 2.60935e-05 +171 *1725:115 *3519:159 0.000179089 +172 *1730:240 *3519:93 0.00177414 +173 *1744:197 *3519:159 0.000389727 +174 *2117:143 *3519:174 2.27135e-05 +175 *2117:148 *3519:174 0.000300195 +176 *2266:90 *3519:30 7.35113e-05 +177 *2274:63 *3519:93 0.000145523 +178 *2298:30 *3519:260 0.000119593 +179 *2306:75 *3519:279 8.6297e-06 +180 *2331:29 *22701:B2 0.000294906 +181 *2331:29 *3519:260 0.000119059 +182 *2343:32 *3519:250 4.15661e-05 +183 *2355:17 *3519:279 0.000107496 +184 *2355:21 *3519:260 5.2561e-05 +185 *2355:21 *3519:279 4.12794e-05 +186 *2355:42 *3519:260 0.000249467 +187 *2360:78 *3519:260 0.000107496 +188 *2368:34 *22647:B2 0.000102099 +189 *2368:34 *3519:30 3.7873e-05 +190 *2377:26 *22701:B2 0.000291348 +191 *2377:26 *3519:260 0.000122656 +192 *2464:51 *3519:260 0.000259905 +193 *2472:27 *3519:279 0.00029165 +194 *2502:59 *3519:93 1.5714e-05 +195 *2503:99 *3519:332 5.35941e-05 +196 *2509:23 *22755:B2 0.000633475 +197 *2520:119 *3519:93 3.88729e-05 +198 *2523:65 *22791:B2 9.95542e-06 +199 *2532:18 *3519:59 7.08723e-06 +200 *2541:24 *3519:205 1.20766e-05 +201 *2541:48 *3519:332 0.000216268 +202 *2542:50 *22665:B2 0.000477485 +203 *2546:28 *3519:47 1.5714e-05 +204 *2563:26 *3519:93 0.000717004 +205 *2563:26 *3519:97 0.00100434 +206 *2565:36 *3519:174 5.05252e-05 +207 *2613:85 *22665:B2 0.000379843 +208 *2634:29 *3519:59 0.000299419 +209 *2671:38 *3519:159 0.00125809 +210 *2671:38 *3519:174 0.000108399 +211 *2690:39 *3519:24 0.000355348 +212 *2694:38 *3519:24 4.69495e-06 +213 *2746:43 *3519:93 5.76913e-05 +214 *2746:43 *3519:97 3.17436e-05 +215 *2750:56 *3519:159 1.70059e-05 +216 *2764:82 *3519:250 0.000263289 +217 *2776:47 *22576:B2 0.000574113 +218 *2782:10 *3519:179 7.55693e-06 +219 *2794:58 *3519:217 0.000209388 +220 *2794:58 *3519:250 0.00119335 +221 *2795:20 *3519:174 0.00122418 +222 *2796:30 *3519:174 4.7615e-05 +223 *2812:18 *3519:159 0.00064308 +224 *2873:17 *3519:183 0.000303342 +225 *2959:18 *22791:B2 4.18008e-05 +226 *2985:36 *22611:B2 0.000104666 +227 *2985:44 *22611:B2 1.38656e-05 +228 *2991:67 *3519:332 6.48384e-06 +229 *2997:30 *22737:B2 2.19138e-05 +230 *3026:5 *3519:279 0.00043404 +231 *3029:33 *3519:97 0.000151032 +232 *3033:50 *3519:159 0.00030536 +233 *3038:23 *3519:217 0.000289127 +234 *3054:18 *3519:59 4.11569e-05 +235 *3054:18 *3519:332 7.07018e-05 +236 *3064:20 *3519:260 0.00012682 +237 *3069:18 *3519:174 4.97444e-05 +238 *3106:42 *3519:47 1.60156e-05 +239 *3111:234 *3519:174 0 +240 *3114:160 *3519:159 2.71817e-05 +241 *3122:145 *3519:260 0.000727064 +242 *3122:145 *3519:279 0.000285679 +243 *3122:211 *3519:174 0.000392126 +244 *3128:98 *22755:B2 8.04524e-06 +245 *3128:98 *3519:113 0.000277899 +246 *3136:149 *3519:24 6.098e-05 +247 *3148:46 *3519:24 6.77316e-05 +248 *3151:141 *3519:24 0.000111938 +249 *3153:177 *3519:250 0.000783394 +250 *3162:87 *22791:B2 1.06344e-05 +251 *3175:219 *22791:B2 0.000109796 +252 *3175:243 *3519:332 0.000301209 +253 *3179:167 *3519:332 8.5792e-05 +254 *3190:144 *22611:B2 6.46557e-05 +255 *3190:144 *3519:205 2.79525e-05 +256 *3190:144 *3519:217 0.000129658 +257 *3192:170 *3519:217 8.48931e-06 +258 *3192:230 *3519:159 2.83242e-05 +259 *3192:230 *3519:174 0.000630945 +260 *3196:41 *3519:59 0.000401719 +261 *3196:41 *3519:93 0.000567669 +262 *3198:23 *3519:30 0.000679038 +263 *3204:299 *3519:332 0.000298399 +264 *3394:26 *3519:113 0.000559677 +265 *3394:26 *3519:130 0.000386046 +266 *3398:17 *3519:174 4.67357e-05 +267 *3406:14 *3519:93 5.62197e-06 +268 *3415:22 *3519:159 2.37478e-05 +269 *3432:32 *3519:113 0.000551155 +270 *3432:32 *3519:130 0.000393142 +271 *3457:20 *3519:159 0.000956663 +272 *3466:47 *3519:47 1.91246e-05 +273 *3466:47 *3519:93 0.000233389 +274 *3474:38 *3519:47 0.000859479 +275 *3474:42 *3519:47 0.000498386 +276 *3485:163 *3519:332 4.49912e-05 +277 *3496:142 *3519:30 3.17436e-05 +278 *3498:163 *3519:30 4.98185e-05 +279 *3507:34 *3519:332 0.000127073 +280 *3507:165 *22611:B2 0.000296893 +281 *3507:227 *3519:260 0.000491084 +282 *3509:189 *3519:183 0.000487796 +283 *3509:223 *3519:250 0.00012765 +284 *3509:255 *3519:250 0.000740885 +285 *3513:26 *3519:30 3.17436e-05 +286 *3513:57 *3519:130 0.000477252 +287 *3513:170 *3519:179 2.85052e-05 +288 *3513:170 *3519:183 0.000620637 +289 *3517:15 *3519:24 1.5714e-05 +290 *3517:36 *3519:47 0.000356998 +291 *3517:83 *22809:B2 2.41568e-05 +292 *3517:96 *22809:B2 7.92757e-06 +293 *3517:121 *3519:113 0.000200794 +294 *3517:151 *3519:332 0.00033307 +295 *3517:310 *3519:279 0.000269318 +*RES +1 *22570:X *3519:24 47.2945 +2 *3519:24 *3519:30 11.0672 +3 *3519:30 *3519:47 24.8652 +4 *3519:47 *3519:59 27.3948 +5 *3519:59 *3519:60 57.9449 +6 *3519:60 *3519:93 45.5745 +7 *3519:93 *3519:97 4.87198 +8 *3519:97 *22755:B2 21.9216 +9 *3519:97 *3519:113 28.5469 +10 *3519:113 *22809:B2 15.0513 +11 *3519:113 *3519:130 14.3646 +12 *3519:130 *22791:B2 19.3158 +13 *3519:130 *3519:159 36.3573 +14 *3519:159 *22773:B2 13.7491 +15 *3519:159 *3519:174 45.58 +16 *3519:174 *3519:179 37.1252 +17 *3519:179 *3519:183 20.1977 +18 *3519:183 *22611:B2 21.0561 +19 *3519:183 *3519:205 10.7654 +20 *3519:205 *3519:217 19.4236 +21 *3519:217 *22576:B2 17.3994 +22 *3519:217 *22629:B2 9.24915 +23 *3519:205 *3519:250 49.6103 +24 *3519:250 *3519:260 46.0567 +25 *3519:260 *22683:B2 9.82786 +26 *3519:260 *3519:279 46.5155 +27 *3519:279 *22719:B2 9.24915 +28 *3519:250 *22701:B2 21.0358 +29 *3519:93 *22737:B2 22.4022 +30 *3519:47 *3519:332 44.5616 +31 *3519:332 *22665:B2 25.7548 +32 *3519:30 *22647:B2 29.2845 +*END + +*D_NET *3520 0.00120348 +*CONN +*I *22572:A I *D sky130_fd_sc_hd__buf_8 +*I *22571:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22572:A 0.000265916 +2 *22571:X 0.000265916 +3 *22572:A *4808:27 0.000353642 +4 *22572:A *5944:80 8.09916e-05 +5 *22571:A *22572:A 6.78549e-05 +6 *531:23 *22572:A 0.000140271 +7 *3126:10 *22572:A 2.88905e-05 +*RES +1 *22571:X *22572:A 35.4237 +*END + +*D_NET *3521 0.104362 +*CONN +*I *22682:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22700:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22628:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22575:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22610:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22718:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22646:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22664:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22808:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22736:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22754:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22772:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22790:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22572:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22682:A2 0.000182027 +2 *22700:A2 0.000165587 +3 *22628:A2 0.000189445 +4 *22575:A2 0.00125905 +5 *22610:A2 0.000822119 +6 *22718:A2 0.000128696 +7 *22646:A2 0.000166618 +8 *22664:A2 0.00128146 +9 *22808:A2 0 +10 *22736:A2 0.000600679 +11 *22754:A2 2.41516e-05 +12 *22772:A2 0.000418894 +13 *22790:A2 0 +14 *22572:X 0.00123999 +15 *3521:208 0.00233072 +16 *3521:206 0.00144587 +17 *3521:198 0.0028726 +18 *3521:191 0.00294869 +19 *3521:165 0.00390403 +20 *3521:101 0.00148677 +21 *3521:84 0.000978622 +22 *3521:81 0.000196995 +23 *3521:80 0.000153813 +24 *3521:64 0.00215069 +25 *3521:59 0.0023381 +26 *3521:46 0.00101496 +27 *3521:41 0.00362192 +28 *3521:33 0.00565897 +29 *3521:26 0.00313114 +30 *3521:14 0.00567559 +31 *22575:A2 *22634:D 0.000116596 +32 *22575:A2 *3543:123 0.00116813 +33 *22628:A2 *22628:B2 1.5562e-05 +34 *22646:A2 *4808:101 0.000107496 +35 *22664:A2 *22664:B2 0.00023494 +36 *22700:A2 *22700:B2 4.31603e-06 +37 *22700:A2 *3523:202 2.16355e-05 +38 *22700:A2 *5890:36 0.000174741 +39 *22736:A2 *3523:35 0.000493629 +40 *22736:A2 *3678:21 6.50452e-05 +41 *3521:14 *22643:A1 7.95598e-05 +42 *3521:14 *4808:27 5.04829e-06 +43 *3521:26 *3529:13 2.5662e-05 +44 *3521:46 *3523:68 8.6297e-06 +45 *3521:59 *22790:B2 3.88655e-06 +46 *3521:59 *22796:B 0.000221854 +47 *3521:59 *3523:68 2.03969e-05 +48 *3521:64 *5924:37 0.000597262 +49 *3521:101 *22809:C1 0.000122834 +50 *3521:101 *3523:49 1.3706e-05 +51 *3521:101 *3695:14 8.20978e-05 +52 *3521:191 *22683:C1 0.000101503 +53 *3521:191 *22723:A2 8.96423e-05 +54 *3521:191 *22723:B2 0.000778808 +55 *3521:191 *22723:C1 1.14979e-05 +56 *3521:191 *22724:D 1.91391e-05 +57 *3521:191 *3620:14 0 +58 *3521:191 *3632:19 2.78238e-05 +59 *3521:191 *3666:13 0.000279066 +60 *3521:198 *22688:C 0.000103531 +61 *3521:198 *22723:B2 0.000104494 +62 *3521:198 *3537:224 0.000107641 +63 *19790:B1 *3521:64 4.96914e-05 +64 *19855:B *3521:41 0.000105737 +65 *19997:A1 *3521:41 6.59132e-05 +66 *21467:A1 *3521:46 0.000133684 +67 *21537:A1 *22664:A2 0.000646577 +68 *21560:A1 *22736:A2 0.000574186 +69 *21560:A1 *22754:A2 0.000110306 +70 *21565:A2 *22736:A2 4.69495e-06 +71 *21565:D1 *22736:A2 3.86893e-05 +72 *21759:A2 *22610:A2 0.000490671 +73 *21826:A1 *3521:64 0.000198509 +74 *22042:C *22575:A2 2.44914e-05 +75 *22293:A *3521:206 0.000193976 +76 *22304:B2 *3521:165 0.000358359 +77 *22329:A2 *3521:26 0.00125954 +78 *22330:A2 *3521:26 0.00139731 +79 *22330:A2 *3521:33 0.000776166 +80 *22335:A1 *3521:41 4.0986e-05 +81 *22335:A2 *3521:41 5.60804e-05 +82 *22352:B1 *3521:191 4.00504e-05 +83 *22355:B *3521:191 8.51781e-05 +84 *22399:A *3521:191 6.1061e-06 +85 *22481:C1 *3521:59 3.27606e-06 +86 *22482:C *3521:59 0.00031295 +87 *22495:A1 *3521:101 4.09154e-05 +88 *22503:B2 *3521:101 2.37478e-05 +89 *22504:D *3521:101 0.000144917 +90 *22539:B2 *22664:A2 7.14968e-05 +91 *22619:B1 *22610:A2 0.000214158 +92 *22628:B1 *22628:A2 6.3657e-05 +93 *22667:B1 *22664:A2 0.000226668 +94 *22682:B1 *3521:191 5.05252e-05 +95 *22700:A1 *22700:A2 9.95922e-06 +96 *22700:B1 *22700:A2 6.1478e-06 +97 *22736:B1 *22736:A2 5.57412e-05 +98 *22755:A1 *3521:101 1.5714e-05 +99 *22755:A2 *3521:101 4.3116e-06 +100 *22772:B1 *22772:A2 0.000203604 +101 *22791:A2 *3521:46 4.99151e-05 +102 *22791:A2 *3521:59 0.000181351 +103 *22799:B1 *3521:41 0.000380291 +104 *22799:B1 *3521:46 0.000111708 +105 *22808:B1 *3521:101 2.39954e-05 +106 *22809:B1 *3521:46 0.000312308 +107 *22809:B1 *3521:80 0.000130061 +108 *22809:B1 *3521:101 1.13071e-05 +109 *23925:B *3521:33 2.69702e-06 +110 *23925:B *3521:41 5.12942e-05 +111 *531:23 *3521:14 6.08467e-05 +112 *1435:125 *22736:A2 0.000769178 +113 *1435:125 *22754:A2 0.000107496 +114 *1461:262 *3521:41 0.000343552 +115 *1461:262 *3521:46 0.000107496 +116 *1461:275 *3521:41 1.9101e-05 +117 *1461:302 *3521:41 4.81452e-05 +118 *1537:71 *3521:41 4.03021e-05 +119 *1544:108 *3521:41 0.00014695 +120 *1552:70 *22664:A2 0 +121 *1564:114 *3521:191 2.57465e-06 +122 *1569:54 *22772:A2 6.3657e-05 +123 *1569:95 *22772:A2 0.00061645 +124 *1605:29 *3521:64 9.77956e-05 +125 *1614:75 *22575:A2 0.000111504 +126 *1634:45 *3521:206 0.000244424 +127 *1647:87 *22628:A2 4.3242e-05 +128 *1655:61 *22664:A2 1.91391e-05 +129 *1679:68 *3521:206 0.000689083 +130 *1717:68 *3521:165 0.000401879 +131 *1717:102 *3521:165 0.00197952 +132 *1735:132 *3521:33 0.000701819 +133 *1750:49 *3521:41 9.59231e-05 +134 *1757:120 *3521:64 6.22259e-05 +135 *1784:71 *22575:A2 0.00028534 +136 *1790:100 *3521:206 0.000420754 +137 *1798:36 *3521:41 5.60804e-05 +138 *1807:20 *3521:41 5.60804e-05 +139 *1808:87 *22772:A2 0.000180822 +140 *2249:38 *3521:191 8.45896e-06 +141 *2266:90 *3521:26 9.32704e-05 +142 *2274:95 *22718:A2 0.000446624 +143 *2274:95 *3521:14 0.000726432 +144 *2274:95 *3521:191 2.43368e-05 +145 *2293:38 *3521:165 0.000586039 +146 *2300:70 *3521:14 0.000420847 +147 *2306:75 *22718:A2 7.12632e-06 +148 *2313:25 *3521:14 0.000426765 +149 *2313:56 *3521:165 0.000419595 +150 *2355:17 *3521:191 0.000260263 +151 *2370:8 *3521:206 0.000201271 +152 *2377:26 *22700:A2 0.000169466 +153 *2403:18 *22646:A2 0.000125603 +154 *2403:18 *3521:26 8.90266e-05 +155 *2415:64 *22718:A2 0.000432507 +156 *2415:64 *3521:191 5.60804e-05 +157 *2417:82 *3521:165 8.89328e-05 +158 *2457:16 *3521:165 0.000118735 +159 *2472:27 *22718:A2 2.26985e-05 +160 *2474:14 *3521:26 0.000456561 +161 *2479:52 *3521:165 1.40776e-05 +162 *2502:42 *22736:A2 4.27766e-05 +163 *2503:48 *3521:165 0.00172046 +164 *2503:99 *22664:A2 0.000260109 +165 *2511:9 *3521:14 7.92757e-06 +166 *2539:35 *3521:41 0.000546419 +167 *2541:48 *22664:A2 2.20498e-05 +168 *2571:40 *3521:101 4.60518e-05 +169 *2583:61 *3521:41 0.000243228 +170 *2584:77 *3521:33 0.00111826 +171 *2608:62 *22736:A2 0.000394599 +172 *2613:69 *22664:A2 7.9748e-05 +173 *2613:85 *22664:A2 3.39248e-05 +174 *2613:101 *22664:A2 0.00058347 +175 *2622:9 *22736:A2 6.3657e-05 +176 *2629:37 *3521:26 0.000215897 +177 *2629:37 *3521:33 3.99873e-05 +178 *2641:80 *22736:A2 1.5714e-05 +179 *2667:99 *3521:41 9.29815e-06 +180 *2685:42 *3521:64 0.000268132 +181 *2685:47 *3521:64 0.000646094 +182 *2690:40 *3521:26 0.0001584 +183 *2746:43 *3521:41 0.000370686 +184 *2794:27 *22682:A2 0.000233246 +185 *2794:27 *3521:198 0.00205781 +186 *2794:27 *3521:206 0.000107025 +187 *2794:34 *3521:206 0.000104323 +188 *2806:14 *3521:206 4.31485e-06 +189 *2819:38 *22610:A2 0.000656251 +190 *2854:21 *3521:64 5.01835e-05 +191 *2863:27 *3521:64 0.000442069 +192 *2869:51 *22628:A2 0.000116227 +193 *2870:40 *3521:198 0.000344769 +194 *2870:40 *3521:206 5.41256e-05 +195 *2880:20 *3521:14 0.000156996 +196 *2880:20 *3521:165 2.31555e-05 +197 *2959:35 *3521:46 0.000146559 +198 *2997:30 *22736:A2 6.22976e-05 +199 *3002:26 *3521:101 0.000223175 +200 *3011:55 *22610:A2 3.17436e-05 +201 *3046:35 *22610:A2 5.35941e-05 +202 *3111:125 *3521:165 0.00174023 +203 *3114:160 *3521:64 9.10158e-05 +204 *3114:180 *3521:64 0.000397523 +205 *3122:32 *3521:14 0.000363081 +206 *3128:133 *3521:59 0 +207 *3128:140 *3521:59 0.000105915 +208 *3128:142 *3521:59 4.42689e-05 +209 *3128:142 *3521:64 0.00166026 +210 *3128:155 *3521:64 6.82895e-05 +211 *3144:116 *3521:33 0.00114547 +212 *3144:116 *3521:41 0.000129091 +213 *3148:206 *22664:A2 7.36063e-05 +214 *3151:141 *3521:26 0.000157659 +215 *3153:193 *22575:A2 0.000358899 +216 *3153:193 *3521:206 0.00018294 +217 *3153:193 *3521:208 6.89782e-05 +218 *3153:266 *3521:64 4.71094e-05 +219 *3155:62 *3521:101 0.000399775 +220 *3157:126 *22772:A2 2.01428e-05 +221 *3157:139 *22772:A2 6.18531e-05 +222 *3162:87 *3521:59 7.77309e-06 +223 *3162:102 *3521:59 5.66458e-05 +224 *3166:101 *22772:A2 0.000262341 +225 *3173:26 *22610:A2 5.80995e-05 +226 *3190:10 *3521:14 0.00041843 +227 *3190:45 *3521:41 0.00147238 +228 *3190:55 *3521:59 0.000112427 +229 *3190:55 *3521:64 8.03993e-05 +230 *3190:189 *3521:198 0.00138975 +231 *3192:116 *22700:A2 1.03403e-05 +232 *3192:135 *22628:A2 2.57986e-05 +233 *3196:60 *22736:A2 1.9101e-05 +234 *3196:108 *3521:41 3.55859e-05 +235 *3198:18 *3521:14 0.000223647 +236 *3198:123 *3521:14 0.000915812 +237 *3198:123 *3521:165 0.00064935 +238 *3208:89 *3521:84 0.000152158 +239 *3217:28 *22575:A2 0.000313316 +240 *3222:41 *22610:A2 0.000308112 +241 *3233:22 *3521:208 0.000226438 +242 *3301:8 *3521:191 3.90689e-06 +243 *3358:11 *3521:191 0.000185098 +244 *3394:26 *3521:80 0.000130061 +245 *3427:28 *3521:59 2.01503e-05 +246 *3453:8 *3521:101 4.1798e-05 +247 *3507:165 *22610:A2 0.000261081 +248 *3507:215 *22575:A2 1.05594e-05 +249 *3507:215 *3521:206 0.000300352 +250 *3507:215 *3521:208 0.000506038 +251 *3509:24 *22646:A2 0.000133663 +252 *3509:24 *3521:26 8.56161e-05 +253 *3511:192 *22610:A2 0.000152677 +254 *3513:57 *3521:41 0.00106646 +255 *3517:36 *3521:41 6.23101e-05 +256 *3517:83 *3521:41 7.77309e-06 +257 *3517:96 *3521:101 0.000457586 +258 *3517:222 *22610:A2 3.03615e-05 +259 *3519:279 *3521:191 0.000654739 +260 *3519:332 *22664:A2 2.82252e-05 +261 *3519:332 *3521:33 0.000255006 +262 *3519:332 *3521:41 0.00043969 +*RES +1 *22572:X *3521:14 30.6635 +2 *3521:14 *3521:26 35.151 +3 *3521:26 *3521:33 7.15006 +4 *3521:33 *3521:41 20.4317 +5 *3521:41 *3521:46 15.915 +6 *3521:46 *22790:A2 13.7491 +7 *3521:46 *3521:59 17.062 +8 *3521:59 *3521:64 47.6661 +9 *3521:64 *22772:A2 30.8281 +10 *3521:41 *3521:80 20.9116 +11 *3521:80 *3521:81 104.301 +12 *3521:81 *3521:84 11.0817 +13 *3521:84 *3521:101 34.0373 +14 *3521:101 *22754:A2 10.5271 +15 *3521:101 *22736:A2 38.8043 +16 *3521:84 *22808:A2 9.24915 +17 *3521:33 *22664:A2 49.8448 +18 *3521:26 *22646:A2 17.8973 +19 *3521:14 *3521:165 12.2004 +20 *3521:165 *22718:A2 18.1201 +21 *3521:165 *3521:191 48.3435 +22 *3521:191 *3521:198 17.3557 +23 *3521:198 *3521:206 13.4556 +24 *3521:206 *3521:208 0.776542 +25 *3521:208 *22610:A2 21.7969 +26 *3521:208 *22575:A2 37.9146 +27 *3521:206 *22628:A2 21.0379 +28 *3521:198 *22700:A2 22.1207 +29 *3521:191 *22682:A2 12.191 +*END + +*D_NET *3522 0.000500272 +*CONN +*I *22574:A I *D sky130_fd_sc_hd__buf_8 +*I *22573:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22574:A 9.18607e-05 +2 *22573:X 9.18607e-05 +3 *22574:A *3523:16 6.08467e-05 +4 *2089:74 *22574:A 6.08467e-05 +5 *2100:68 *22574:A 0.000139023 +6 *2117:20 *22574:A 5.58336e-05 +*RES +1 *22573:X *22574:A 30.8842 +*END + +*D_NET *3523 0.109734 +*CONN +*I *22646:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22736:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22790:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22700:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22682:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22718:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22628:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22575:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22610:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22772:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22664:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22808:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22754:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22574:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22646:B2 0 +2 *22736:B2 7.16766e-05 +3 *22790:B2 9.76576e-05 +4 *22700:B2 2.05211e-05 +5 *22682:B2 0.000309411 +6 *22718:B2 0.00086364 +7 *22628:B2 6.3317e-05 +8 *22575:B2 1.47608e-05 +9 *22610:B2 0.000429513 +10 *22772:B2 0.00080517 +11 *22664:B2 0.00163041 +12 *22808:B2 2.46592e-05 +13 *22754:B2 4.27108e-05 +14 *22574:X 0.00160428 +15 *3523:276 0.00103909 +16 *3523:202 0.00231786 +17 *3523:192 0.00238329 +18 *3523:172 0.00242185 +19 *3523:154 0.00206752 +20 *3523:127 0.00373357 +21 *3523:98 0.00515536 +22 *3523:74 0.00470431 +23 *3523:68 0.00186935 +24 *3523:49 0.00148695 +25 *3523:35 0.00164969 +26 *3523:28 0.0010692 +27 *3523:22 0.000270236 +28 *3523:21 7.35057e-05 +29 *3523:19 0.00367541 +30 *3523:17 0.00540763 +31 *3523:16 0.0022974 +32 *22575:B2 *22597:B 6.08467e-05 +33 *22664:B2 *21599:B1 0.000820152 +34 *22664:B2 *21696:B1 0.00138295 +35 *22682:B2 *3632:19 0.000163418 +36 *22718:B2 *22723:B2 1.66626e-05 +37 *22718:B2 *3537:224 6.03237e-05 +38 *22736:B2 *22737:C1 4.31703e-05 +39 *22772:B2 *5458:13 0.00124475 +40 *3523:16 *22578:A 0.00040097 +41 *3523:19 *3685:22 9.80242e-07 +42 *3523:49 *22809:C1 0.000126245 +43 *3523:98 *3705:17 8.3051e-06 +44 *3523:98 *5458:13 0.000524977 +45 *3523:127 *21630:B1 0.00103469 +46 *3523:154 *22597:B 0.000107496 +47 *3523:172 *22616:C 4.66206e-06 +48 *3523:172 *3575:17 0.000256056 +49 *3523:172 *3579:23 0.000339681 +50 *3523:276 *22646:A1 0.000118985 +51 *3523:276 *22647:C1 6.53312e-05 +52 *3523:276 *22655:C1 9.66809e-05 +53 *3523:276 *4808:76 6.17774e-05 +54 *19789:A *3523:98 0.000788924 +55 *19796:A *22772:B2 0.000315021 +56 *19820:A2 *3523:127 0.000130365 +57 *19864:A1 *3523:172 0.000543871 +58 *21180:A1 *22718:B2 2.93365e-05 +59 *21212:B2 *3523:16 8.4653e-05 +60 *21259:B2 *22718:B2 0.000201117 +61 *21279:A2 *3523:192 5.19758e-05 +62 *21294:B2 *22718:B2 0.000226131 +63 *21456:B1 *3523:35 9.4385e-05 +64 *21467:A1 *3523:68 9.80784e-05 +65 *21468:A1 *3523:19 5.90389e-05 +66 *21468:B1 *3523:19 1.03403e-05 +67 *21468:B2 *3523:19 1.8523e-05 +68 *21469:A *3523:19 0.000107101 +69 *21469:D *3523:19 2.61436e-06 +70 *21525:D *3523:19 1.8528e-05 +71 *21543:B2 *3523:276 5.9781e-05 +72 *21592:A *3523:19 0.00207388 +73 *21629:A2 *3523:127 2.63258e-05 +74 *21765:A1 *3523:154 0.000137356 +75 *21800:A1 *22664:B2 0.000359157 +76 *21800:A2 *22664:B2 1.65872e-05 +77 *21800:B2 *22664:B2 6.6641e-05 +78 *21801:A1 *22664:B2 1.54577e-05 +79 *21857:A1 *3523:172 4.12833e-05 +80 *21857:A2 *3523:172 5.13635e-05 +81 *21944:A2 *3523:127 0.000153427 +82 *22029:A1 *22610:B2 6.3657e-05 +83 *22029:B1 *3523:127 0.000860144 +84 *22054:C1 *3523:98 1.79239e-05 +85 *22238:B *3523:127 0.000111938 +86 *22247:A2 *22610:B2 0.000129631 +87 *22285:A1 *3523:192 0.000121004 +88 *22292:C1 *22610:B2 6.08467e-05 +89 *22332:A1 *3523:276 9.80784e-05 +90 *22338:C *3523:276 0.000319655 +91 *22381:D *3523:202 1.88014e-05 +92 *22422:B1 *22772:B2 1.79334e-05 +93 *22488:A2 *22772:B2 0.00015927 +94 *22571:A *3523:16 6.87482e-05 +95 *22571:C *3523:16 0.000205101 +96 *22573:B *3523:16 1.65872e-05 +97 *22574:A *3523:16 6.08467e-05 +98 *22576:A1 *3523:154 0.000112778 +99 *22576:A1 *3523:172 3.03575e-05 +100 *22576:B1 *3523:154 1.11717e-05 +101 *22608:A1 *22610:B2 0.000111802 +102 *22628:A2 *22628:B2 1.5562e-05 +103 *22628:B1 *22628:B2 6.57406e-05 +104 *22628:B1 *3523:192 0.000168411 +105 *22638:B2 *3523:16 0.00029935 +106 *22659:A2 *3523:19 7.55464e-05 +107 *22659:B2 *3523:19 1.01722e-05 +108 *22664:A2 *22664:B2 0.00023494 +109 *22682:B1 *22682:B2 2.75423e-05 +110 *22700:A1 *22700:B2 4.62872e-06 +111 *22700:A1 *3523:202 0.000155336 +112 *22700:A2 *22700:B2 4.31603e-06 +113 *22700:A2 *3523:202 2.16355e-05 +114 *22700:B1 *22700:B2 9.95922e-06 +115 *22700:B1 *3523:192 4.87439e-05 +116 *22736:A1 *22736:B2 8.67924e-06 +117 *22736:A1 *3523:19 0.000302686 +118 *22736:A2 *3523:35 0.000493629 +119 *22736:B1 *3523:28 2.77564e-05 +120 *22736:B1 *3523:35 9.4385e-05 +121 *22737:B2 *3523:28 2.0456e-06 +122 *22755:A2 *3523:49 2.9768e-05 +123 *22790:A1 *22790:B2 6.36477e-05 +124 *22791:A1 *22790:B2 0.000223382 +125 *22791:A2 *22790:B2 7.98171e-06 +126 *22808:B1 *22808:B2 6.3657e-05 +127 *23924:B *3523:74 0.00173361 +128 *1435:281 *22772:B2 4.92144e-06 +129 *1435:281 *3523:98 0.000607462 +130 *1462:22 *3523:68 1.5714e-05 +131 *1462:25 *22808:B2 5.04829e-06 +132 *1510:116 *3523:19 7.98171e-06 +133 *1538:17 *3523:127 6.70195e-05 +134 *1538:25 *3523:127 0.000103224 +135 *1545:54 *3523:16 0.00204037 +136 *1561:50 *3523:98 7.07018e-05 +137 *1561:88 *3523:127 0.000882497 +138 *1570:15 *3523:74 7.71146e-05 +139 *1570:106 *22772:B2 0.000257959 +140 *1583:74 *22664:B2 0.000135238 +141 *1596:65 *3523:127 5.99202e-06 +142 *1618:18 *3523:127 5.52239e-05 +143 *1618:104 *3523:154 6.26203e-05 +144 *1641:158 *3523:127 2.49247e-05 +145 *1655:135 *3523:154 6.79609e-05 +146 *1655:161 *3523:154 8.17829e-06 +147 *1688:75 *3523:172 0.0016511 +148 *1691:105 *3523:16 0.000105906 +149 *1708:70 *3523:74 0.000853064 +150 *1708:70 *3523:98 0.00133549 +151 *1721:66 *22664:B2 0.000207143 +152 *1725:115 *3523:35 0.000339786 +153 *1725:144 *3523:127 0.00026833 +154 *1725:163 *3523:127 0.000167672 +155 *1725:181 *3523:127 8.26809e-05 +156 *1730:150 *3523:98 0.00131192 +157 *1731:107 *22664:B2 2.08126e-05 +158 *1750:45 *3523:276 1.39416e-05 +159 *1762:119 *22664:B2 7.40684e-06 +160 *1771:106 *22575:B2 6.08467e-05 +161 *1784:74 *3523:172 2.04539e-05 +162 *1800:128 *3523:192 0 +163 *2089:74 *3523:16 4.88765e-05 +164 *2091:72 *3523:16 3.04443e-05 +165 *2091:83 *3523:16 5.43178e-05 +166 *2098:49 *3523:16 8.83446e-05 +167 *2246:30 *22718:B2 3.63593e-05 +168 *2252:43 *22718:B2 0.00133707 +169 *2254:17 *3523:202 0.000133894 +170 *2286:10 *3523:276 0.000122307 +171 *2293:38 *22718:B2 3.32373e-05 +172 *2350:40 *3523:202 0.000284228 +173 *2364:8 *3523:202 0.000284228 +174 *2368:34 *3523:16 5.75543e-05 +175 *2380:84 *3523:16 0.00127738 +176 *2415:64 *22718:B2 0.000443947 +177 *2417:82 *22718:B2 0.00144062 +178 *2468:37 *3523:172 0.000102001 +179 *2472:27 *22718:B2 0.00011503 +180 *2502:42 *3523:35 0.001492 +181 *2508:11 *3523:192 0.00026374 +182 *2521:41 *3523:28 0.000256037 +183 *2522:39 *3523:68 7.89747e-05 +184 *2531:54 *3523:68 5.04829e-06 +185 *2551:55 *22610:B2 6.08467e-05 +186 *2551:55 *3523:127 0.000220402 +187 *2556:19 *3523:98 0.000240622 +188 *2564:33 *3523:127 0.000266199 +189 *2564:68 *3523:127 0.000653263 +190 *2569:41 *22664:B2 1.38817e-05 +191 *2570:138 *3523:276 0.000221666 +192 *2571:40 *3523:49 1.13e-05 +193 *2571:40 *3523:68 0.000103535 +194 *2581:61 *3523:19 0.000753392 +195 *2608:62 *3523:35 0.000174717 +196 *2613:69 *22664:B2 2.65465e-05 +197 *2613:85 *22664:B2 6.08467e-05 +198 *2630:20 *3523:276 0.000173625 +199 *2632:83 *3523:28 4.64457e-05 +200 *2659:17 *3523:127 8.57421e-05 +201 *2661:30 *22772:B2 3.77642e-05 +202 *2661:30 *3523:98 5.71949e-05 +203 *2661:30 *3523:127 1.90088e-05 +204 *2666:8 *3523:68 5.51483e-06 +205 *2746:43 *3523:28 1.05334e-05 +206 *2776:48 *3523:154 0.000116858 +207 *2778:28 *3523:127 0.000394485 +208 *2794:27 *22682:B2 0.000199159 +209 *2794:27 *3523:202 0.000112534 +210 *2812:18 *22664:B2 0.00192541 +211 *2822:12 *3523:127 7.02358e-06 +212 *2822:12 *3523:154 1.43058e-05 +213 *2825:12 *3523:276 0.000479367 +214 *2825:22 *3523:276 0.000125393 +215 *2843:51 *3523:127 0.000285011 +216 *2873:10 *3523:172 2.27175e-05 +217 *2873:17 *3523:127 6.03237e-05 +218 *2883:64 *3523:127 0.000224577 +219 *2888:41 *3523:192 6.08467e-05 +220 *2906:39 *3523:192 0.000671592 +221 *2959:35 *3523:68 0.000362374 +222 *3002:69 *22772:B2 0.000175585 +223 *3015:14 *3523:154 0.000516127 +224 *3015:28 *22664:B2 7.08433e-05 +225 *3039:55 *22610:B2 2.87606e-05 +226 *3064:13 *22718:B2 5.83513e-05 +227 *3067:26 *3523:127 5.48977e-05 +228 *3114:96 *3523:74 0.00144819 +229 *3114:180 *22772:B2 0.000223265 +230 *3128:87 *3523:68 2.1203e-06 +231 *3128:133 *22790:B2 4.69495e-06 +232 *3128:133 *3523:68 4.54995e-05 +233 *3128:155 *22772:B2 5.65931e-05 +234 *3134:142 *3523:127 0.00108935 +235 *3139:122 *3523:16 7.95172e-05 +236 *3162:70 *22754:B2 7.93531e-05 +237 *3162:70 *3523:49 0.000757982 +238 *3162:87 *3523:49 0.000185708 +239 *3162:87 *3523:68 0.000429373 +240 *3175:257 *3523:276 0.000349354 +241 *3179:134 *3523:16 8.7394e-06 +242 *3186:16 *3523:127 0.000240285 +243 *3186:16 *3523:154 0.000434569 +244 *3186:16 *3523:172 3.29488e-05 +245 *3190:185 *3523:202 0.000933455 +246 *3192:116 *3523:192 7.91691e-05 +247 *3192:116 *3523:202 1.96048e-06 +248 *3192:135 *22628:B2 0.000117723 +249 *3192:135 *3523:172 2.41173e-05 +250 *3233:22 *3523:172 0.000205101 +251 *3238:44 *3523:192 4.39931e-06 +252 *3301:8 *22718:B2 2.01503e-05 +253 *3406:29 *3523:74 0.000858612 +254 *3406:29 *3523:98 0.00166655 +255 *3463:27 *22754:B2 8.34464e-05 +256 *3463:27 *3523:49 0.000342614 +257 *3493:88 *3523:98 4.92599e-06 +258 *3496:125 *3523:17 0.000943092 +259 *3496:125 *3523:19 0.000434763 +260 *3507:227 *3523:202 0.00154571 +261 *3509:181 *3523:154 0.000148911 +262 *3509:223 *3523:154 5.54598e-05 +263 *3511:151 *3523:154 3.74433e-05 +264 *3511:161 *3523:154 0.000118308 +265 *3513:26 *3523:16 0.000152445 +266 *3513:31 *3523:276 2.55604e-05 +267 *3513:35 *3523:276 4.84693e-05 +268 *3513:39 *3523:276 0.000352165 +269 *3513:188 *3523:154 0.000156946 +270 *3517:96 *3523:49 0.000337705 +271 *3517:121 *3523:19 1.45065e-05 +272 *3517:121 *3523:28 0.00025405 +273 *3521:46 *3523:68 8.6297e-06 +274 *3521:59 *22790:B2 3.88655e-06 +275 *3521:59 *3523:68 2.03969e-05 +276 *3521:101 *3523:49 1.3706e-05 +*RES +1 *22574:X *3523:16 47.8127 +2 *3523:16 *3523:17 11.2609 +3 *3523:17 *3523:19 57.8476 +4 *3523:19 *3523:21 9.24915 +5 *3523:21 *3523:22 104.301 +6 *3523:22 *3523:28 19.7687 +7 *3523:28 *3523:35 11.0453 +8 *3523:35 *22754:B2 15.3735 +9 *3523:35 *3523:49 24.5854 +10 *3523:49 *22808:B2 14.4725 +11 *3523:49 *3523:68 26.6833 +12 *3523:68 *3523:74 8.35132 +13 *3523:74 *22664:B2 41.0866 +14 *3523:74 *3523:98 8.85105 +15 *3523:98 *22772:B2 24.8538 +16 *3523:98 *3523:127 31.0026 +17 *3523:127 *22610:B2 23.9249 +18 *3523:127 *3523:154 42.8747 +19 *3523:154 *22575:B2 14.4725 +20 *3523:154 *3523:172 21.3108 +21 *3523:172 *22628:B2 12.0945 +22 *3523:172 *3523:192 32.8176 +23 *3523:192 *3523:202 43.631 +24 *3523:202 *22718:B2 32.6504 +25 *3523:202 *22682:B2 18.0022 +26 *3523:192 *22700:B2 9.82786 +27 *3523:68 *22790:B2 17.0937 +28 *3523:28 *22736:B2 15.6059 +29 *3523:17 *3523:276 40.871 +30 *3523:276 *22646:B2 9.24915 +*END + +*D_NET *3524 0.00225095 +*CONN +*I *22576:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22575:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22576:C1 0.000780831 +2 *22575:X 0.000780831 +3 *22576:C1 *22597:B 0.00043404 +4 *22576:C1 *22597:D 1.07529e-05 +5 *22230:A1 *22576:C1 2.0567e-05 +6 *22230:A2 *22576:C1 1.4091e-06 +7 *22230:B1 *22576:C1 2.44118e-05 +8 *22230:B2 *22576:C1 2.16355e-05 +9 *22231:A1 *22576:C1 7.09666e-06 +10 *22231:C1 *22576:C1 0.000111802 +11 *22576:A1 *22576:C1 0 +12 *1770:58 *22576:C1 1.91391e-05 +13 *2551:44 *22576:C1 1.4979e-05 +14 *2770:68 *22576:C1 1.9101e-05 +15 *3153:225 *22576:C1 4.3564e-06 +*RES +1 *22575:X *22576:C1 40.2241 +*END + +*D_NET *3525 0.00229934 +*CONN +*I *22597:B I *D sky130_fd_sc_hd__and4_1 +*I *22576:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22597:B 0.000426823 +2 *22576:X 0.000426823 +3 *22597:B *3546:7 0.000390192 +4 *22575:A1 *22597:B 0.000107496 +5 *22575:B2 *22597:B 6.08467e-05 +6 *22576:C1 *22597:B 0.00043404 +7 *1771:106 *22597:B 0.000177116 +8 *2764:72 *22597:B 8.42542e-05 +9 *3070:36 *22597:B 8.42542e-05 +10 *3523:154 *22597:B 0.000107496 +*RES +1 *22576:X *22597:B 41.1458 +*END + +*D_NET *3526 0.00163781 +*CONN +*I *22578:A I *D sky130_fd_sc_hd__buf_8 +*I *22577:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22578:A 0.000377233 +2 *22577:X 0.000377233 +3 *22578:A *22580:A 1.03434e-05 +4 *22578:A *3527:16 2.33994e-05 +5 *22578:A *5944:80 8.95237e-05 +6 *22573:B *22578:A 0.000229192 +7 *22579:A *22578:A 1.03403e-05 +8 *22579:C *22578:A 0 +9 *2089:62 *22578:A 7.77309e-06 +10 *3467:31 *22578:A 0.000111802 +11 *3523:16 *22578:A 0.00040097 +*RES +1 *22577:X *22578:A 38.2522 +*END + +*D_NET *3527 0.106913 +*CONN +*I *22667:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22586:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22631:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22721:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22685:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22703:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22613:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22775:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22793:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22811:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22739:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22757:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22649:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22578:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22667:A2 0.000247193 +2 *22586:A2 5.97233e-05 +3 *22631:A2 7.35933e-05 +4 *22721:A2 0.000265892 +5 *22685:A2 0.000532924 +6 *22703:A2 0.000357118 +7 *22613:A2 7.45948e-05 +8 *22775:A2 0.000218678 +9 *22793:A2 0 +10 *22811:A2 1.47608e-05 +11 *22739:A2 0 +12 *22757:A2 0.000116839 +13 *22649:A2 5.82925e-05 +14 *22578:X 0.00134308 +15 *3527:227 0.00138237 +16 *3527:207 0.00215041 +17 *3527:186 0.00217674 +18 *3527:172 0.00216941 +19 *3527:166 0.00179443 +20 *3527:162 0.00125914 +21 *3527:156 0.00265014 +22 *3527:121 0.00243544 +23 *3527:95 0.000544667 +24 *3527:93 0.00122473 +25 *3527:91 0.00195376 +26 *3527:84 0.00379695 +27 *3527:70 0.00378206 +28 *3527:54 0.00384589 +29 *3527:24 0.00300824 +30 *3527:16 0.00214551 +31 *22613:A2 *22613:B2 3.54024e-05 +32 *22631:A2 *3529:178 4.66171e-05 +33 *22649:A2 *22299:A1 5.481e-05 +34 *22649:A2 *22649:B1 1.65872e-05 +35 *22649:A2 *22649:B2 6.50727e-05 +36 *22649:A2 *22652:B 7.92757e-06 +37 *22667:A2 *22670:C 1.54479e-05 +38 *22667:A2 *3529:39 3.31745e-05 +39 *22667:A2 *4848:30 0.000138755 +40 *22685:A2 *22684:B2 0.000587086 +41 *22685:A2 *22685:B2 0.000816576 +42 *22685:A2 *3543:97 0.000133189 +43 *22703:A2 *3531:211 5.71684e-06 +44 *22721:A2 *22684:B2 1.5714e-05 +45 *22721:A2 *22685:B2 2.92771e-05 +46 *22721:A2 *22720:B2 1.72347e-05 +47 *22721:A2 *3533:148 6.08467e-05 +48 *22721:A2 *5888:14 1.37385e-05 +49 *22757:A2 *22738:A2 6.50465e-05 +50 *22757:A2 *22757:B2 1.91246e-05 +51 *22775:A2 *22778:C 2.41568e-05 +52 *22775:A2 *3717:14 5.83513e-05 +53 *22811:A2 *22811:B2 6.08467e-05 +54 *3527:16 *22638:A1 2.16355e-05 +55 *3527:16 *22639:C1 0.000107496 +56 *3527:16 *3529:13 4.8878e-06 +57 *3527:16 *4804:62 3.82228e-05 +58 *3527:24 *22648:A2 0.000154145 +59 *3527:24 *22649:C1 0.000215467 +60 *3527:24 *3529:13 3.58315e-06 +61 *3527:24 *3582:10 0.000640682 +62 *3527:24 *3587:8 9.83674e-06 +63 *3527:24 *3598:11 4.82779e-06 +64 *3527:24 *4819:57 0.000487796 +65 *3527:54 *22617:B 5.11321e-05 +66 *3527:54 *22644:A1 0.000585633 +67 *3527:54 *22649:C1 2.21587e-05 +68 *3527:54 *3529:39 6.95086e-06 +69 *3527:54 *3587:8 3.57291e-06 +70 *3527:54 *4808:76 6.03237e-05 +71 *3527:54 *4810:66 0.000826735 +72 *3527:54 *4822:80 0.000990448 +73 *3527:54 *5456:11 0.000205101 +74 *3527:70 *5456:11 0.00126141 +75 *3527:91 *3531:84 0.00057705 +76 *3527:91 *3531:128 3.77876e-05 +77 *3527:91 *5909:38 0.000256311 +78 *3527:93 *3529:106 7.70172e-06 +79 *3527:93 *3531:84 4.27675e-05 +80 *3527:93 *3531:89 0.00106717 +81 *3527:93 *3531:92 0.000206776 +82 *3527:93 *3533:227 1.60399e-05 +83 *3527:95 *22738:A2 0.000166418 +84 *3527:95 *3531:92 0.000470695 +85 *3527:186 *3533:126 0.000257959 +86 *3527:186 *3533:143 0.000631828 +87 *3527:186 *4873:17 3.73639e-05 +88 *3527:207 *3531:211 6.31349e-05 +89 *3527:207 *4873:17 4.05731e-06 +90 *3527:207 *5890:36 7.20344e-05 +91 *3527:227 *3531:211 1.77321e-05 +92 *19848:A *3527:162 4.95575e-05 +93 *19848:B *3527:162 0.000725358 +94 *19863:B2 *3527:186 5.60804e-05 +95 *19865:B1 *3527:162 0.000313357 +96 *21278:B2 *3527:186 0.000308945 +97 *21278:B2 *3527:207 3.17436e-05 +98 *21320:A1 *3527:16 0.000111708 +99 *21776:C1 *3527:70 0.0017219 +100 *21807:C *3527:70 3.63738e-05 +101 *21808:A *3527:84 7.29453e-06 +102 *21808:B *3527:84 0.000294093 +103 *21813:B1 *3527:70 0.000149866 +104 *21825:A1 *3527:84 0.000803679 +105 *21832:B1 *22775:A2 6.03237e-05 +106 *21838:B2 *3527:70 4.31603e-06 +107 *21838:B2 *3527:84 0.000815807 +108 *21857:A1 *3527:186 0.000227595 +109 *21901:B *3527:70 6.11393e-06 +110 *21920:B2 *3527:84 1.31782e-05 +111 *22255:A1 *3527:54 5.88009e-05 +112 *22281:A2 *3527:186 7.90348e-05 +113 *22469:B *3527:84 7.71503e-05 +114 *22578:A *3527:16 2.33994e-05 +115 *22579:A *3527:16 0.000355732 +116 *22579:C *3527:16 1.00981e-05 +117 *22613:A1 *22613:A2 0.000307653 +118 *22613:A1 *3527:207 0.000217381 +119 *22638:A2 *3527:16 1.4091e-06 +120 *22638:B2 *3527:16 4.86817e-05 +121 *22640:A2 *3527:24 0.000291327 +122 *22667:A1 *22667:A2 0.000205101 +123 *22669:A1 *3527:54 0.00176601 +124 *22699:A1 *22703:A2 1.34257e-06 +125 *22699:B1 *3527:207 4.76807e-05 +126 *22703:B1 *22703:A2 6.08467e-05 +127 *22757:A1 *3527:91 0.0013616 +128 *22757:A1 *3527:121 0.000800679 +129 *22757:A1 *3527:156 0.00103921 +130 *22774:A1 *22775:A2 6.36477e-05 +131 *22775:A1 *22775:A2 9.14772e-05 +132 *22775:B1 *22775:A2 8.46526e-06 +133 *22806:B1 *3527:95 3.89332e-06 +134 *22806:B2 *3527:95 3.10936e-05 +135 *22811:A1 *22811:A2 6.08467e-05 +136 *500:13 *22703:A2 0.000112 +137 *1459:87 *3527:93 0.000186707 +138 *1459:87 *3527:95 0.000184869 +139 *1461:261 *3527:93 0.000202491 +140 *1535:51 *3527:70 0.000240938 +141 *1539:18 *3527:54 6.22732e-06 +142 *1547:43 *3527:156 0.000522928 +143 *1569:23 *3527:156 0.000576641 +144 *1583:103 *3527:70 0.000154145 +145 *1583:103 *3527:84 2.07365e-05 +146 *1586:103 *3527:166 0.000306993 +147 *1596:102 *3527:227 0.00147904 +148 *1602:161 *3527:227 0.00157122 +149 *1610:134 *22703:A2 4.65404e-05 +150 *1610:134 *3527:207 3.92955e-05 +151 *1610:155 *22703:A2 0.000260643 +152 *1611:8 *22586:A2 0.000103549 +153 *1611:8 *3527:166 8.58125e-05 +154 *1614:104 *22613:A2 0.000411725 +155 *1614:104 *3527:186 6.62712e-05 +156 *1614:104 *3527:207 4.81452e-05 +157 *1615:20 *3527:186 0.000560233 +158 *1615:20 *3527:207 0.000382358 +159 *1616:42 *3527:54 0.000367176 +160 *1617:21 *22721:A2 5.39247e-05 +161 *1617:33 *3527:207 1.20352e-05 +162 *1618:126 *3527:207 1.15895e-05 +163 *1618:137 *3527:207 0.00054054 +164 *1620:93 *3527:186 0.00021968 +165 *1620:107 *3527:186 9.73471e-05 +166 *1625:83 *3527:54 0.000328709 +167 *1625:83 *3527:70 0.00206822 +168 *1625:83 *3527:84 0.000574393 +169 *1635:42 *3527:54 0.000337302 +170 *1641:130 *3527:54 5.11321e-05 +171 *1647:121 *3527:207 0.000160617 +172 *1658:43 *3527:70 5.41148e-05 +173 *1708:73 *3527:70 0.000107496 +174 *1716:45 *3527:91 7.85724e-05 +175 *1720:20 *22586:A2 0.000109262 +176 *1720:20 *3527:166 8.23875e-05 +177 *1730:113 *3527:84 0.00199712 +178 *1771:127 *3527:186 8.57676e-05 +179 *1772:33 *3527:93 0.00025819 +180 *1784:71 *3527:156 0.000582983 +181 *1788:134 *3527:166 0.000158451 +182 *1790:144 *3527:207 0.000134269 +183 *1800:76 *3527:54 0.000968712 +184 *2093:10 *3527:16 0.000704607 +185 *2093:98 *3527:54 0.000438874 +186 *2250:55 *22721:A2 0.000317173 +187 *2254:17 *22721:A2 0.000277896 +188 *2288:14 *3527:156 0.00150275 +189 *2350:40 *22703:A2 2.01503e-05 +190 *2375:60 *22703:A2 6.49244e-05 +191 *2375:60 *3527:207 3.77354e-05 +192 *2375:60 *3527:227 1.97788e-05 +193 *2391:100 *22685:A2 7.92757e-06 +194 *2404:25 *22703:A2 0 +195 *2508:11 *3527:207 0.000303301 +196 *2527:24 *3527:54 2.00098e-05 +197 *2554:21 *22703:A2 0.000114642 +198 *2613:48 *22667:A2 0.000195318 +199 *2629:37 *3527:54 0.00100078 +200 *2684:24 *3527:91 0.000415111 +201 *2684:24 *3527:121 0.000811698 +202 *2684:24 *3527:156 0.00137036 +203 *2686:29 *3527:54 0.000968712 +204 *2703:60 *3527:93 0.000115589 +205 *2770:46 *22631:A2 7.64622e-05 +206 *2770:46 *3527:172 1.5714e-05 +207 *2776:47 *3527:186 2.10515e-05 +208 *2779:41 *3527:156 0.00203989 +209 *2783:86 *3527:162 0.0021271 +210 *2791:50 *3527:70 0.000102819 +211 *2825:57 *3527:54 8.3051e-06 +212 *2827:61 *3527:54 0.000195941 +213 *2827:61 *3527:70 0.00126563 +214 *2850:42 *3527:162 0.000142494 +215 *2854:21 *3527:84 0.000182257 +216 *2869:46 *3527:207 7.03364e-05 +217 *2870:40 *22685:A2 0.000117455 +218 *2878:51 *22775:A2 2.77625e-06 +219 *2954:28 *3527:162 0.000340658 +220 *2996:72 *3527:186 0.000214158 +221 *3010:68 *3527:207 0.00016585 +222 *3028:50 *22757:A2 2.83972e-05 +223 *3038:17 *22631:A2 4.42742e-06 +224 *3051:20 *22721:A2 0.000200794 +225 *3051:21 *3527:207 0.000936458 +226 *3051:54 *3527:207 1.99184e-05 +227 *3058:8 *22775:A2 1.84334e-05 +228 *3071:67 *3527:70 3.29488e-05 +229 *3128:162 *3527:166 0.00131674 +230 *3131:133 *3527:162 0.00117248 +231 *3142:158 *22667:A2 6.85769e-05 +232 *3151:141 *3527:16 9.64548e-06 +233 *3168:78 *3527:91 0.000754531 +234 *3168:119 *3527:156 0.000519503 +235 *3179:134 *3527:16 0.000755274 +236 *3179:134 *3527:24 4.31485e-06 +237 *3209:6 *3527:70 3.71249e-05 +238 *3241:9 *3527:172 0.00169054 +239 *3428:14 *22757:A2 0.000166909 +240 *3428:14 *3527:95 0.000347084 +241 *3474:115 *3527:84 7.71503e-05 +242 *3481:136 *3527:24 0.000149104 +243 *3487:133 *3527:54 0.000206449 +244 *3496:179 *22667:A2 0.000110297 +245 *3500:99 *3527:24 0.000122784 +246 *3500:99 *3527:54 3.88358e-05 +247 *3507:34 *3527:54 3.06979e-05 +248 *3509:81 *3527:91 3.85006e-05 +249 *3509:81 *3527:93 0.000271419 +250 *3509:136 *3527:91 0.000534837 +251 *3513:143 *22775:A2 5.49916e-05 +*RES +1 *22578:X *3527:16 44.741 +2 *3527:16 *3527:24 31.8745 +3 *3527:24 *22649:A2 15.5817 +4 *3527:24 *3527:54 45.5699 +5 *3527:54 *3527:70 44.0786 +6 *3527:70 *3527:84 28.2379 +7 *3527:84 *3527:91 19.3961 +8 *3527:91 *3527:93 26.7471 +9 *3527:93 *3527:95 13.4591 +10 *3527:95 *22757:A2 17.2421 +11 *3527:95 *22739:A2 13.7491 +12 *3527:93 *22811:A2 14.4725 +13 *3527:91 *22793:A2 13.7491 +14 *3527:84 *3527:121 1.87929 +15 *3527:121 *22775:A2 23.084 +16 *3527:121 *3527:156 27.1788 +17 *3527:156 *3527:162 43.2987 +18 *3527:162 *3527:166 21.4751 +19 *3527:166 *3527:172 28.9585 +20 *3527:172 *3527:186 19.2274 +21 *3527:186 *22613:A2 13.8548 +22 *3527:186 *3527:207 31.47 +23 *3527:207 *22703:A2 20.1027 +24 *3527:207 *3527:227 5.75162 +25 *3527:227 *22685:A2 31.3488 +26 *3527:227 *22721:A2 32.33 +27 *3527:172 *22631:A2 15.7888 +28 *3527:166 *22586:A2 15.9964 +29 *3527:54 *22667:A2 26.0691 +*END + +*D_NET *3528 0.000731981 +*CONN +*I *22580:A I *D sky130_fd_sc_hd__buf_8 +*I *22579:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22580:A 0.000221571 +2 *22579:X 0.000221571 +3 *22580:A *4808:27 2.77564e-05 +4 *22578:A *22580:A 1.03434e-05 +5 *22579:A *22580:A 2.65831e-05 +6 *22579:B *22580:A 6.98337e-06 +7 *22579:C *22580:A 1.03434e-05 +8 *3136:149 *22580:A 9.54074e-05 +9 *3469:46 *22580:A 0.000111422 +*RES +1 *22579:X *22580:A 33.1972 +*END + +*D_NET *3529 0.110686 +*CONN +*I *22721:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22685:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22703:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22613:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22631:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22586:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22793:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22739:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22757:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22811:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22775:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22667:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22649:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22580:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22721:B2 0.000123251 +2 *22685:B2 0.000839633 +3 *22703:B2 9.86222e-05 +4 *22613:B2 0.000487569 +5 *22631:B2 0 +6 *22586:B2 0 +7 *22793:B2 7.13906e-05 +8 *22739:B2 0.000111626 +9 *22757:B2 0.000270462 +10 *22811:B2 7.1654e-05 +11 *22775:B2 4.50501e-05 +12 *22667:B2 0 +13 *22649:B2 2.13386e-05 +14 *22580:X 0.000245506 +15 *3529:212 0.00223997 +16 *3529:200 0.00307265 +17 *3529:185 0.00247753 +18 *3529:178 0.00150407 +19 *3529:161 0.00124702 +20 *3529:159 0.00401255 +21 *3529:157 0.00399841 +22 *3529:155 0.0022011 +23 *3529:117 0.000872968 +24 *3529:106 0.00142967 +25 *3529:99 0.00298841 +26 *3529:92 0.00456336 +27 *3529:80 0.00375088 +28 *3529:62 0.0043986 +29 *3529:39 0.00388424 +30 *3529:13 0.00407461 +31 *3529:7 0.00144152 +32 *22613:B2 *22612:A2 0.000300227 +33 *22649:B2 *22299:A1 7.54269e-06 +34 *22649:B2 *22649:B1 0.000111802 +35 *22685:B2 *22684:A2 4.70954e-05 +36 *22685:B2 *22720:A2 5.91275e-05 +37 *22685:B2 *22720:B2 1.14175e-05 +38 *22685:B2 *22721:C1 0.000146264 +39 *22703:B2 *22703:C1 3.41459e-05 +40 *22739:B2 *22742:C 2.16355e-05 +41 *22793:B2 *22792:A2 0.000107496 +42 *3529:7 *22653:B 0.000301209 +43 *3529:7 *4807:58 0.00011306 +44 *3529:7 *4808:27 9.85247e-05 +45 *3529:13 *22299:A1 1.42855e-05 +46 *3529:13 *22643:A1 0.000155403 +47 *3529:13 *22643:C1 3.88655e-06 +48 *3529:13 *22649:B1 3.27457e-05 +49 *3529:13 *22653:C 6.75845e-06 +50 *3529:13 *3582:10 0.000758925 +51 *3529:13 *4808:121 0.000110297 +52 *3529:13 *4810:53 0.000203595 +53 *3529:13 *4827:51 1.66771e-05 +54 *3529:39 *22667:C1 8.67924e-06 +55 *3529:39 *3531:43 0.00198363 +56 *3529:39 *4810:66 0.00012551 +57 *3529:39 *4815:116 0.000167672 +58 *3529:62 *22667:C1 3.76578e-05 +59 *3529:62 *3531:49 9.61086e-05 +60 *3529:62 *3531:53 0.000635825 +61 *3529:62 *3533:62 0.000588068 +62 *3529:62 *4848:7 0.000585316 +63 *3529:80 *3537:88 0.00153116 +64 *3529:80 *3539:70 1.21344e-05 +65 *3529:80 *3699:23 0.000107223 +66 *3529:80 *5453:21 0.00136949 +67 *3529:80 *5456:29 0.0013824 +68 *3529:92 *5924:37 0.000697237 +69 *3529:99 *22814:D 0.00031476 +70 *3529:99 *3531:84 4.88466e-05 +71 *3529:99 *3533:217 0.000358677 +72 *3529:106 *22814:C 9.31248e-05 +73 *3529:106 *22814:D 3.73224e-05 +74 *3529:106 *3531:84 2.95757e-05 +75 *3529:106 *3531:89 0.00106717 +76 *3529:155 *3531:157 0.000104333 +77 *3529:155 *4138:127 0.000943231 +78 *3529:155 *5858:40 0.000169154 +79 *3529:155 *5858:59 0.000147655 +80 *3529:155 *5861:112 0.000231588 +81 *3529:155 *5867:46 0.000124125 +82 *3529:159 *24450:CLK 0.000102952 +83 *3529:159 *5861:97 0.000228354 +84 *3529:159 *5861:112 0.000208488 +85 *3529:178 *3577:7 0.000343543 +86 *3529:178 *4942:22 1.56236e-05 +87 *3529:200 *22612:A2 9.9966e-05 +88 *3529:200 *22702:B2 0.000161262 +89 *3529:200 *22703:C1 0.000168568 +90 *3529:200 *3531:196 2.38934e-06 +91 *3529:212 *3646:23 1.35499e-05 +92 *19864:A1 *22613:B2 0 +93 *19895:A2 *3529:178 0.000203595 +94 *19895:B1 *3529:159 2.77625e-06 +95 *19895:B1 *3529:178 0.000409305 +96 *19895:B2 *3529:178 7.92757e-06 +97 *19895:C1 *3529:178 9.58642e-06 +98 *19907:C *3529:178 2.16355e-05 +99 *21352:A1 *3529:39 0.00233305 +100 *21647:B2 *3529:80 0.000163315 +101 *21753:A2 *3529:159 0.000107078 +102 *21753:B1 *3529:159 1.03403e-05 +103 *21753:B2 *3529:159 1.17054e-05 +104 *21753:C1 *3529:159 1.80122e-05 +105 *21772:A1 *3529:99 0.00100397 +106 *21772:A1 *3529:155 0.000528836 +107 *21807:C *3529:62 0.000158132 +108 *21808:B *3529:80 0.000323785 +109 *21857:A1 *22613:B2 0.000430603 +110 *21857:A1 *3529:200 2.43314e-05 +111 *22255:A1 *3529:39 2.35827e-05 +112 *22261:A1 *3529:200 1.96891e-05 +113 *22262:D *3529:200 3.5534e-06 +114 *22272:A *3529:200 1.88014e-05 +115 *22272:B *3529:200 0.000154145 +116 *22279:C1 *3529:200 1.77657e-05 +117 *22280:A2 *3529:159 3.53886e-05 +118 *22280:B2 *3529:159 3.31745e-05 +119 *22314:B1 *3529:39 1.6803e-05 +120 *22371:C1 *3529:212 1.19721e-05 +121 *22459:A1 *22775:B2 7.58067e-06 +122 *22498:B2 *22757:B2 0.000131401 +123 *22498:B2 *3529:117 0.000135067 +124 *22503:A1 *3529:106 3.01683e-06 +125 *22503:A1 *3529:117 1.41976e-05 +126 *22554:A1 *3529:62 8.25353e-05 +127 *22586:A1 *3529:178 0.000158451 +128 *22586:B1 *3529:159 9.43616e-05 +129 *22586:B1 *3529:161 9.95922e-06 +130 *22612:A1 *22613:B2 7.01586e-06 +131 *22613:A1 *22613:B2 0.00021498 +132 *22613:A2 *22613:B2 3.54024e-05 +133 *22613:B1 *22613:B2 3.20118e-05 +134 *22631:A2 *3529:178 4.66171e-05 +135 *22631:B1 *3529:178 3.12828e-05 +136 *22631:B1 *3529:185 0.00101961 +137 *22639:A2 *3529:13 7.60356e-05 +138 *22649:A2 *22649:B2 6.50727e-05 +139 *22667:A1 *3529:39 0.000345048 +140 *22667:A2 *3529:39 3.31745e-05 +141 *22685:A2 *22685:B2 0.000816576 +142 *22685:B1 *22685:B2 0.000307108 +143 *22686:A1 *22685:B2 0.000148986 +144 *22702:A1 *22703:B2 1.58808e-05 +145 *22703:A1 *3529:212 3.82228e-05 +146 *22703:B1 *22703:B2 6.08467e-05 +147 *22703:B1 *3529:212 5.97576e-05 +148 *22721:A2 *22685:B2 2.92771e-05 +149 *22721:B1 *22721:B2 7.21423e-05 +150 *22721:B1 *3529:212 2.33852e-05 +151 *22739:B1 *22739:B2 0.000302686 +152 *22757:A1 *22757:B2 6.23101e-05 +153 *22757:A2 *22757:B2 1.91246e-05 +154 *22763:A2 *3529:80 0.000359006 +155 *22788:B2 *3529:99 8.4649e-05 +156 *22793:A1 *22793:B2 5.481e-05 +157 *22798:B1 *3529:99 0.000676639 +158 *22806:B1 *3529:117 1.01851e-05 +159 *22811:A1 *22811:B2 2.81262e-05 +160 *22811:A1 *3529:106 1.09551e-05 +161 *22811:A2 *22811:B2 6.08467e-05 +162 *22811:B1 *3529:106 0 +163 *24357:D *3529:159 0.000200794 +164 *500:13 *3529:200 0.000122888 +165 *510:16 *22685:B2 0.000226214 +166 *510:41 *22685:B2 9.4503e-05 +167 *531:23 *3529:7 0.000113107 +168 *1435:105 *22757:B2 0.000257818 +169 *1459:87 *3529:106 0.000185511 +170 *1471:226 *3529:117 0.000107496 +171 *1539:18 *3529:39 1.21355e-05 +172 *1566:11 *3529:80 0.000352365 +173 *1569:54 *3529:92 9.25476e-05 +174 *1570:76 *3529:62 0.000116391 +175 *1575:29 *3529:155 9.43334e-05 +176 *1587:121 *3529:80 0.00136434 +177 *1591:39 *3529:178 0.000131827 +178 *1592:93 *3529:39 8.91853e-05 +179 *1603:48 *3529:99 0.000878103 +180 *1614:104 *22613:B2 1.19721e-05 +181 *1616:71 *3529:39 6.03237e-05 +182 *1625:83 *3529:62 0.00101372 +183 *1625:83 *3529:80 0.000113253 +184 *1627:128 *3529:212 0.000538117 +185 *1635:42 *3529:39 0.00140706 +186 *1649:143 *3529:39 0.000827212 +187 *1655:213 *3529:212 1.65872e-05 +188 *1658:43 *3529:80 0.000489933 +189 *1720:61 *3529:62 0.000478779 +190 *1722:20 *3529:106 0.000177463 +191 *1722:40 *3529:106 0.000157331 +192 *1750:45 *3529:13 0.000398786 +193 *1751:145 *3529:39 8.18845e-05 +194 *1751:145 *3529:62 6.3502e-06 +195 *1752:14 *22811:B2 1.1718e-05 +196 *1752:14 *3529:117 3.33519e-05 +197 *1762:86 *3529:99 0.000117534 +198 *1770:58 *3529:178 6.62461e-05 +199 *1771:127 *22613:B2 0.000102851 +200 *1773:76 *3529:80 0.000119313 +201 *1784:166 *22793:B2 3.73375e-05 +202 *1800:75 *3529:62 0.000116268 +203 *1801:75 *3529:155 0.000359293 +204 *1802:136 *3529:39 9.74756e-05 +205 *2093:10 *3529:13 2.41192e-05 +206 *2093:51 *3529:39 5.02797e-05 +207 *2257:46 *22685:B2 0.000115508 +208 *2266:90 *3529:13 0.000616025 +209 *2378:46 *3529:39 6.14756e-06 +210 *2391:100 *22685:B2 1.88014e-05 +211 *2411:26 *3529:39 7.08723e-06 +212 *2468:53 *22685:B2 7.22263e-05 +213 *2502:42 *22757:B2 8.24242e-05 +214 *2511:9 *3529:7 3.75404e-05 +215 *2527:24 *3529:39 7.59601e-05 +216 *2535:8 *3529:155 0.00110933 +217 *2536:28 *3529:99 0.000970037 +218 *2536:28 *3529:155 0.000498747 +219 *2543:28 *3529:155 0 +220 *2548:7 *22811:B2 7.55603e-05 +221 *2548:7 *3529:117 1.37531e-05 +222 *2569:27 *3529:62 1.5714e-05 +223 *2589:46 *3529:178 0 +224 *2608:26 *3529:155 0.000776644 +225 *2608:43 *22811:B2 5.04829e-06 +226 *2620:76 *22757:B2 2.98904e-05 +227 *2629:37 *3529:39 0.000116287 +228 *2630:9 *3529:7 0.000186798 +229 *2662:37 *3529:80 0.000561605 +230 *2672:40 *3529:99 0.000873206 +231 *2672:40 *3529:155 0.00111606 +232 *2674:8 *3529:62 7.78467e-05 +233 *2684:24 *3529:80 0.000158469 +234 *2686:29 *3529:62 0.000578294 +235 *2690:40 *3529:13 1.02819e-05 +236 *2697:32 *3529:80 0.000122724 +237 *2764:55 *3529:159 1.76457e-05 +238 *2764:71 *3529:159 5.68099e-05 +239 *2766:14 *3529:159 0.000207266 +240 *2770:46 *3529:178 0.000225215 +241 *2770:46 *3529:185 3.82228e-05 +242 *2772:38 *3529:39 0.000362882 +243 *2779:41 *22775:B2 3.29488e-05 +244 *2779:41 *3529:80 0.000144975 +245 *2783:24 *3529:155 0.00148903 +246 *2784:42 *3529:99 0.00100765 +247 *2820:62 *3529:185 0.000322731 +248 *2820:62 *3529:200 1.0758e-05 +249 *2827:61 *3529:62 7.31894e-05 +250 *2860:20 *3529:80 0.000188313 +251 *2863:27 *3529:92 0.000426174 +252 *2868:24 *3529:200 0.000104549 +253 *2870:40 *22685:B2 2.29454e-05 +254 *2871:8 *22613:B2 3.80436e-07 +255 *2871:8 *3529:200 4.83562e-06 +256 *2871:18 *22613:B2 0.000161234 +257 *2887:66 *3529:39 0.000187049 +258 *2998:18 *3529:106 0.000442634 +259 *2998:28 *3529:106 7.51295e-05 +260 *2998:28 *3529:117 0.000437957 +261 *3015:28 *3529:62 1.91391e-05 +262 *3028:50 *22757:B2 4.6012e-05 +263 *3039:15 *3529:200 8.51781e-05 +264 *3069:6 *3529:155 1.01851e-05 +265 *3071:67 *3529:62 0.000154707 +266 *3106:206 *3529:159 0.000126087 +267 *3128:184 *3529:200 7.67564e-05 +268 *3128:209 *3529:212 0.000160617 +269 *3128:227 *22721:B2 7.92757e-06 +270 *3128:227 *3529:212 0.000303914 +271 *3131:142 *3529:159 0.000279745 +272 *3134:84 *3529:92 0.000118513 +273 *3142:158 *3529:39 2.77625e-06 +274 *3142:158 *3529:62 6.36477e-05 +275 *3144:103 *3529:39 0.0006713 +276 *3151:141 *3529:13 4.0083e-05 +277 *3164:121 *3529:159 0.000160617 +278 *3177:315 *22685:B2 9.72918e-06 +279 *3204:165 *3529:39 5.71321e-06 +280 *3217:14 *3529:39 0.000129091 +281 *3368:14 *22775:B2 5.35941e-05 +282 *3368:14 *3529:80 0.000142383 +283 *3421:32 *3529:80 0.0012036 +284 *3428:14 *22757:B2 8.6297e-06 +285 *3452:21 *3529:99 0.000152287 +286 *3452:21 *3529:106 6.47258e-05 +287 *3476:20 *3529:13 2.33459e-05 +288 *3481:136 *3529:13 0.000434429 +289 *3509:24 *3529:13 0 +290 *3509:74 *22793:B2 1.05631e-05 +291 *3511:17 *3529:7 0.000158451 +292 *3511:105 *3529:117 0.000129497 +293 *3511:109 *22757:B2 0.000131401 +294 *3511:109 *3529:117 0.000487938 +295 *3519:159 *3529:80 6.67761e-06 +296 *3521:26 *3529:13 2.5662e-05 +297 *3527:16 *3529:13 4.8878e-06 +298 *3527:24 *3529:13 3.58315e-06 +299 *3527:54 *3529:39 6.95086e-06 +300 *3527:93 *3529:106 7.70172e-06 +*RES +1 *22580:X *3529:7 23.9008 +2 *3529:7 *3529:13 39.3382 +3 *3529:13 *22649:B2 10.5271 +4 *3529:13 *3529:39 34.4395 +5 *3529:39 *22667:B2 9.24915 +6 *3529:39 *3529:62 45.7557 +7 *3529:62 *3529:80 43.1105 +8 *3529:80 *22775:B2 14.9583 +9 *3529:80 *3529:92 4.82792 +10 *3529:92 *3529:99 15.0228 +11 *3529:99 *3529:106 32.1434 +12 *3529:106 *22811:B2 11.6364 +13 *3529:106 *3529:117 19.7917 +14 *3529:117 *22757:B2 24.6595 +15 *3529:117 *22739:B2 17.2456 +16 *3529:99 *22793:B2 16.1364 +17 *3529:92 *3529:155 49.8388 +18 *3529:155 *3529:157 0.578717 +19 *3529:157 *3529:159 58.6795 +20 *3529:159 *3529:161 0.988641 +21 *3529:161 *22586:B2 9.24915 +22 *3529:161 *3529:178 40.5822 +23 *3529:178 *22631:B2 9.24915 +24 *3529:178 *3529:185 16.3155 +25 *3529:185 *22613:B2 28.1007 +26 *3529:185 *3529:200 31.1764 +27 *3529:200 *22703:B2 11.9257 +28 *3529:200 *3529:212 20.1586 +29 *3529:212 *22685:B2 43.531 +30 *3529:212 *22721:B2 12.2151 +*END + +*D_NET *3530 0.000941507 +*CONN +*I *22582:A I *D sky130_fd_sc_hd__buf_8 +*I *22581:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22582:A 0.000160069 +2 *22581:X 0.000160069 +3 *22582:A *22584:A 0.000118738 +4 *2690:34 *22582:A 6.08467e-05 +5 *3476:7 *22582:A 0.00025175 +6 *3491:17 *22582:A 7.12965e-05 +7 *3517:15 *22582:A 0.000118738 +*RES +1 *22581:X *22582:A 33.1026 +*END + +*D_NET *3531 0.107534 +*CONN +*I *22666:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22585:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22702:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22720:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22684:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22612:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22630:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22774:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22792:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22810:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22756:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22738:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22648:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22582:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22666:A2 0 +2 *22585:A2 0.000187892 +3 *22702:A2 8.42264e-05 +4 *22720:A2 0.000459035 +5 *22684:A2 0.000474859 +6 *22612:A2 0.000362353 +7 *22630:A2 5.05653e-05 +8 *22774:A2 3.27399e-05 +9 *22792:A2 0.000102909 +10 *22810:A2 0 +11 *22756:A2 3.5604e-05 +12 *22738:A2 0.000333013 +13 *22648:A2 0.000904679 +14 *22582:X 0.000980646 +15 *3531:211 0.00220407 +16 *3531:196 0.00263004 +17 *3531:184 0.00256025 +18 *3531:174 0.00186909 +19 *3531:171 0.00242526 +20 *3531:163 0.00313881 +21 *3531:157 0.00483968 +22 *3531:128 0.00460486 +23 *3531:92 0.000840915 +24 *3531:89 0.00115811 +25 *3531:84 0.00149889 +26 *3531:73 0.0045968 +27 *3531:63 0.00321323 +28 *3531:54 0.000955772 +29 *3531:53 0.000547481 +30 *3531:49 0.000537028 +31 *3531:43 0.00409345 +32 *3531:11 0.0058902 +33 *22585:A2 *3533:119 8.49662e-05 +34 *22612:A2 *3541:71 0.00025175 +35 *22630:A2 *3533:119 6.22114e-05 +36 *22648:A2 *4819:57 0.000227782 +37 *22684:A2 *22684:B2 2.16355e-05 +38 *22684:A2 *3541:56 1.5714e-05 +39 *22702:A2 *22703:C1 2.54895e-05 +40 *22720:A2 *22721:C1 0.000110306 +41 *22738:A2 *22739:C1 1.88014e-05 +42 *22774:A2 *22775:C1 7.92757e-06 +43 *22792:A2 *22793:C1 0.000107496 +44 *22792:A2 *3533:227 7.92757e-06 +45 *3531:11 *22581:B 0.000205127 +46 *3531:11 *4813:56 0.00076704 +47 *3531:11 *4819:53 1.27127e-05 +48 *3531:11 *4819:57 5.73392e-05 +49 *3531:11 *5948:169 0.000290135 +50 *3531:43 *22304:B1 0.000130579 +51 *3531:43 *22650:B1 3.43356e-05 +52 *3531:43 *3533:38 0.0013912 +53 *3531:43 *3541:13 0.000152188 +54 *3531:43 *4817:120 7.10539e-05 +55 *3531:43 *4827:79 0.000657101 +56 *3531:63 *3533:62 2.48424e-05 +57 *3531:63 *3539:70 0.00182131 +58 *3531:63 *4850:17 0.000143545 +59 *3531:73 *5593:46 0.000907398 +60 *3531:84 *5593:46 5.1888e-05 +61 *3531:92 *3533:227 1.77462e-05 +62 *3531:92 *3533:235 6.69146e-05 +63 *3531:128 *22794:A2 0.00148172 +64 *3531:128 *3717:14 9.63467e-06 +65 *3531:157 *22014:A 5.77352e-05 +66 *3531:157 *3750:22 9.16402e-05 +67 *3531:157 *5815:30 0.000105359 +68 *3531:196 *22703:C1 6.11872e-05 +69 *3531:211 *4873:17 0.000156198 +70 *3531:211 *5890:36 0.000106353 +71 *19848:A *3531:163 0.00131748 +72 *19877:B *3531:73 3.40635e-05 +73 *19885:A2 *3531:73 6.66607e-05 +74 *19907:C *3531:174 0.00025175 +75 *19907:D *22585:A2 6.08467e-05 +76 *20021:A1 *3531:73 0.00146119 +77 *20021:A1 *3531:84 0.000156087 +78 *21278:B1 *3531:211 9.01968e-05 +79 *21476:B1 *3531:43 0.000543092 +80 *21542:A1 *3531:92 1.5714e-05 +81 *21605:B2 *3531:73 0.000155503 +82 *21617:A1 *3531:73 0.00109962 +83 *21776:A2 *3531:73 2.43831e-05 +84 *21807:C *3531:63 0.000226192 +85 *21832:B1 *3531:128 0.000118294 +86 *21882:A1 *3531:43 5.11419e-05 +87 *22224:A1 *22585:A2 2.9384e-05 +88 *22224:A1 *3531:171 7.08723e-06 +89 *22249:B *3531:174 3.99086e-06 +90 *22261:A1 *22612:A2 0.00027955 +91 *22269:B1 *3531:43 0.000159964 +92 *22298:B2 *22648:A2 0.000200794 +93 *22316:B *3531:43 0.000129958 +94 *22344:A1 *22684:A2 6.22114e-05 +95 *22370:B1 *3531:43 0.000213062 +96 *22372:A *3531:211 5.60804e-05 +97 *22413:A2 *3531:157 0.000364405 +98 *22455:C1 *3531:128 0.000101467 +99 *22482:C *3531:84 1.68435e-05 +100 *22484:B1 *3531:73 0.000133626 +101 *22488:A2 *3531:73 0.000153427 +102 *22488:C1 *3531:73 0.000192967 +103 *22566:A1 *3531:171 0.000322765 +104 *22585:A1 *22585:A2 6.41655e-05 +105 *22612:A1 *22612:A2 0.000284686 +106 *22613:B2 *22612:A2 0.000300227 +107 *22674:A1 *3531:43 0.000239558 +108 *22675:B1 *3531:43 5.76799e-05 +109 *22685:B1 *22684:A2 0.000122784 +110 *22685:B2 *22684:A2 4.70954e-05 +111 *22685:B2 *22720:A2 5.91275e-05 +112 *22686:A1 *22684:A2 0.000145813 +113 *22697:A1 *3531:43 0.000104754 +114 *22703:A2 *3531:211 5.71684e-06 +115 *22710:A2 *3531:43 0.000192587 +116 *22710:B2 *3531:43 0.00013115 +117 *22739:A1 *22756:A2 4.07355e-05 +118 *22739:B1 *22738:A2 6.08467e-05 +119 *22756:A1 *22756:A2 6.50586e-05 +120 *22757:A2 *22738:A2 6.50465e-05 +121 *22774:A1 *22774:A2 6.08467e-05 +122 *22788:B2 *3531:84 0.000132899 +123 *22790:A1 *3531:73 0.000410766 +124 *22793:A1 *22792:A2 7.92757e-06 +125 *22793:B2 *22792:A2 0.000107496 +126 *24359:D *3531:157 8.94907e-05 +127 *500:13 *3531:196 9.68909e-05 +128 *500:13 *3531:211 4.28332e-05 +129 *510:16 *22720:A2 8.49432e-05 +130 *518:31 *3531:43 3.84608e-05 +131 *518:44 *3531:43 0.000404451 +132 *1539:30 *3531:63 0.000215964 +133 *1544:151 *3531:73 0.00034061 +134 *1545:118 *3531:43 0.000116391 +135 *1560:52 *22738:A2 6.64392e-05 +136 *1591:9 *22720:A2 9.15084e-05 +137 *1592:140 *3531:211 5.94162e-05 +138 *1594:59 *3531:163 1.66626e-05 +139 *1594:59 *3531:171 0.00146535 +140 *1596:92 *22612:A2 4.25313e-05 +141 *1596:102 *3531:211 0.000278955 +142 *1602:30 *3531:63 0.000438346 +143 *1603:25 *3531:163 0.00115319 +144 *1610:134 *3531:211 0.000322964 +145 *1623:18 *3531:171 0.000859731 +146 *1625:91 *3531:157 0.0011671 +147 *1649:143 *3531:43 0.000404153 +148 *1655:61 *3531:49 1.5714e-05 +149 *1658:27 *3531:73 0.000134239 +150 *1658:43 *3531:73 5.67796e-06 +151 *1720:14 *22585:A2 0.000103348 +152 *1720:20 *22585:A2 8.12625e-05 +153 *1720:20 *3531:171 0.000410711 +154 *1723:114 *3531:84 0.000119217 +155 *1724:61 *3531:157 8.60272e-05 +156 *1751:145 *3531:43 0.00124522 +157 *1761:40 *22738:A2 1.4091e-06 +158 *1776:26 *3531:84 0.00025175 +159 *1784:166 *22792:A2 0.000340742 +160 *1947:70 *3531:157 2.73604e-05 +161 *2098:49 *3531:11 1.55462e-05 +162 *2098:58 *3531:11 7.77309e-06 +163 *2244:73 *3531:43 0.00100026 +164 *2257:29 *22720:A2 0.000181887 +165 *2266:90 *3531:43 2.17361e-05 +166 *2288:14 *3531:157 0 +167 *2294:63 *22720:A2 1.45067e-05 +168 *2358:16 *3531:211 9.30719e-05 +169 *2360:57 *22720:A2 3.17103e-05 +170 *2367:65 *3531:211 0.00149361 +171 *2367:79 *3531:211 0.000163327 +172 *2404:25 *3531:211 0.000425408 +173 *2409:22 *3531:211 0.000313998 +174 *2418:28 *3531:43 0.000372669 +175 *2418:38 *3531:43 1.48805e-05 +176 *2468:53 *22684:A2 6.86706e-05 +177 *2541:36 *3531:49 1.9101e-05 +178 *2543:28 *3531:157 0 +179 *2551:38 *3531:174 4.61168e-06 +180 *2571:40 *3531:89 0.000107496 +181 *2604:50 *3531:128 4.2429e-05 +182 *2608:62 *3531:92 0.000290643 +183 *2632:67 *22756:A2 0.000154145 +184 *2655:38 *3531:84 0 +185 *2662:14 *3531:157 0.000410782 +186 *2679:41 *3531:43 2.87866e-05 +187 *2684:24 *3531:128 0.00104056 +188 *2764:71 *3531:171 0.000350024 +189 *2765:39 *3531:163 7.14746e-05 +190 *2765:39 *3531:171 5.20546e-06 +191 *2779:41 *3531:157 3.85121e-05 +192 *2783:16 *3531:157 9.43117e-05 +193 *2783:24 *3531:157 0.000373745 +194 *2795:12 *3531:163 3.45397e-05 +195 *2807:8 *3531:157 2.11664e-05 +196 *2807:26 *3531:157 2.45452e-05 +197 *2810:60 *3531:128 0.000228703 +198 *2820:62 *3531:196 0.00091345 +199 *2825:57 *3531:43 4.65834e-05 +200 *2844:74 *3531:128 2.09883e-05 +201 *2856:15 *3531:128 0.000202101 +202 *2868:24 *3531:196 0.000111722 +203 *2878:51 *22774:A2 0.000107496 +204 *2906:43 *22684:A2 2.57847e-05 +205 *2956:48 *3531:163 0.000167543 +206 *3002:69 *3531:157 0.000309991 +207 *3023:42 *3531:63 0.000226192 +208 *3028:16 *3531:157 0.000204591 +209 *3028:30 *22738:A2 2.43314e-05 +210 *3028:30 *3531:92 0.000129401 +211 *3028:50 *22738:A2 0.00021428 +212 *3040:18 *3531:157 5.60804e-05 +213 *3058:8 *3531:84 0.000124243 +214 *3070:36 *22630:A2 6.22114e-05 +215 *3106:129 *3531:128 0.000225798 +216 *3106:129 *3531:157 4.89183e-05 +217 *3114:200 *3531:174 8.93134e-05 +218 *3117:245 *3531:43 1.5714e-05 +219 *3122:256 *3531:157 1.39403e-05 +220 *3128:166 *3531:174 0.00025175 +221 *3128:176 *3531:174 0.000326544 +222 *3128:176 *3531:184 0.00107912 +223 *3128:184 *3531:184 8.29362e-05 +224 *3128:184 *3531:196 8.05178e-05 +225 *3134:84 *3531:157 7.25378e-05 +226 *3134:107 *3531:157 0.000669788 +227 *3136:169 *22648:A2 0.00025175 +228 *3136:178 *3531:43 0.000357697 +229 *3148:72 *3531:43 0.000911435 +230 *3153:70 *3531:11 1.41976e-05 +231 *3168:78 *3531:128 0.000648803 +232 *3175:125 *22585:A2 1.47978e-05 +233 *3177:298 *22684:A2 0.000142024 +234 *3177:303 *22684:A2 4.32856e-05 +235 *3185:116 *3531:43 0.000429856 +236 *3185:155 *3531:43 0.000128045 +237 *3209:6 *3531:73 6.08904e-05 +238 *3368:14 *3531:128 5.41428e-05 +239 *3368:14 *3531:157 0.000224809 +240 *3452:21 *3531:84 9.67141e-05 +241 *3476:7 *3531:11 0.000107496 +242 *3483:206 *3531:43 0.000132739 +243 *3491:17 *3531:11 1.92336e-05 +244 *3496:142 *3531:43 2.81071e-05 +245 *3498:163 *3531:11 0.000420932 +246 *3509:136 *3531:84 0.000111382 +247 *3513:121 *3531:84 0.000131057 +248 *3517:15 *3531:11 1.66607e-05 +249 *3527:24 *22648:A2 0.000154145 +250 *3527:91 *3531:84 0.00057705 +251 *3527:91 *3531:128 3.77876e-05 +252 *3527:93 *3531:84 4.27675e-05 +253 *3527:93 *3531:89 0.00106717 +254 *3527:93 *3531:92 0.000206776 +255 *3527:95 *22738:A2 0.000166418 +256 *3527:95 *3531:92 0.000470695 +257 *3527:207 *3531:211 6.31349e-05 +258 *3527:227 *3531:211 1.77321e-05 +259 *3529:39 *3531:43 0.00198363 +260 *3529:62 *3531:49 9.61086e-05 +261 *3529:62 *3531:53 0.000635825 +262 *3529:99 *3531:84 4.88466e-05 +263 *3529:106 *3531:84 2.95757e-05 +264 *3529:106 *3531:89 0.00106717 +265 *3529:155 *3531:157 0.000104333 +266 *3529:200 *22612:A2 9.9966e-05 +267 *3529:200 *3531:196 2.38934e-06 +*RES +1 *22582:X *3531:11 43.8178 +2 *3531:11 *22648:A2 23.283 +3 *3531:11 *3531:43 46.7236 +4 *3531:43 *3531:49 9.5254 +5 *3531:49 *3531:53 16.6278 +6 *3531:53 *3531:54 127.479 +7 *3531:54 *3531:63 46.4795 +8 *3531:63 *3531:73 22.7457 +9 *3531:73 *3531:84 31.8809 +10 *3531:84 *3531:89 27.5421 +11 *3531:89 *3531:92 18.7896 +12 *3531:92 *22738:A2 21.4297 +13 *3531:92 *22756:A2 15.5817 +14 *3531:89 *22810:A2 9.24915 +15 *3531:84 *22792:A2 17.8002 +16 *3531:73 *3531:128 13.8624 +17 *3531:128 *22774:A2 15.0271 +18 *3531:128 *3531:157 34.7299 +19 *3531:157 *3531:163 40.5313 +20 *3531:163 *3531:171 42.3165 +21 *3531:171 *3531:174 18.5339 +22 *3531:174 *22630:A2 19.6659 +23 *3531:174 *3531:184 15.1431 +24 *3531:184 *22612:A2 30.0822 +25 *3531:184 *3531:196 20.1345 +26 *3531:196 *3531:211 18.658 +27 *3531:211 *22684:A2 25.2325 +28 *3531:211 *22720:A2 25.3113 +29 *3531:196 *22702:A2 10.5271 +30 *3531:171 *22585:A2 19.7794 +31 *3531:49 *22666:A2 9.24915 +*END + +*D_NET *3532 0.00175946 +*CONN +*I *22584:A I *D sky130_fd_sc_hd__buf_8 +*I *22583:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22584:A 0.000385642 +2 *22583:X 0.000385642 +3 *22582:A *22584:A 0.000118738 +4 *22591:C *22584:A 0.000107496 +5 *22593:C *22584:A 0.000203595 +6 *2418:28 *22584:A 0.00041157 +7 *3474:12 *22584:A 3.31745e-05 +8 *3517:15 *22584:A 9.44661e-05 +9 *3519:24 *22584:A 1.91391e-05 +*RES +1 *22583:X *22584:A 38.7768 +*END + +*D_NET *3533 0.110749 +*CONN +*I *22810:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22756:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22738:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22792:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22774:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22585:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22630:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22702:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22720:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22684:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22612:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22666:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22648:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22584:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22810:B2 0 +2 *22756:B2 8.03039e-06 +3 *22738:B2 0.000294676 +4 *22792:B2 0 +5 *22774:B2 0.000315485 +6 *22585:B2 1.04871e-05 +7 *22630:B2 1.98947e-05 +8 *22702:B2 0.000271389 +9 *22720:B2 0.000101016 +10 *22684:B2 0.00052472 +11 *22612:B2 6.30917e-05 +12 *22666:B2 0 +13 *22648:B2 0 +14 *22584:X 0.00117911 +15 *3533:235 0.000850898 +16 *3533:227 0.00140228 +17 *3533:217 0.00198449 +18 *3533:148 0.00117966 +19 *3533:143 0.00194546 +20 *3533:126 0.00208762 +21 *3533:119 0.00178691 +22 *3533:108 0.00181037 +23 *3533:100 0.00413646 +24 *3533:98 0.00353139 +25 *3533:95 0.00160868 +26 *3533:88 0.00240918 +27 *3533:79 0.00295788 +28 *3533:66 0.00286757 +29 *3533:62 0.00371025 +30 *3533:43 0.00350854 +31 *3533:38 0.00301138 +32 *3533:30 0.00366798 +33 *3533:12 0.00186146 +34 *22612:B2 *3541:71 0.000107496 +35 *22630:B2 *3577:7 6.08467e-05 +36 *22684:B2 *3543:97 0.000144826 +37 *22702:B2 *22703:C1 0.000100153 +38 *22738:B2 *21620:A 4.50451e-05 +39 *22738:B2 *22739:C1 6.64392e-05 +40 *22738:B2 *22757:C1 1.15048e-05 +41 *22774:B2 *22775:C1 8.02333e-05 +42 *22774:B2 *3717:14 4.907e-05 +43 *3533:12 *22304:B1 9.06916e-05 +44 *3533:12 *22642:B1 0.000266721 +45 *3533:12 *22648:B1 1.07248e-05 +46 *3533:12 *3541:13 5.1595e-05 +47 *3533:30 *22648:B1 6.77212e-05 +48 *3533:30 *3598:11 0.000164487 +49 *3533:30 *4812:38 3.17436e-05 +50 *3533:30 *4831:25 0.000104754 +51 *3533:38 *22693:C1 0.000132635 +52 *3533:38 *3587:8 0.000124862 +53 *3533:38 *4811:42 0.000572012 +54 *3533:62 *22667:C1 6.61183e-05 +55 *3533:79 *5270:10 0.00010072 +56 *3533:79 *5904:86 0.000163208 +57 *3533:79 *5904:91 1.01553e-05 +58 *3533:88 *3717:14 0.000111535 +59 *3533:88 *5869:718 0.000202933 +60 *3533:95 *20930:A1 8.52968e-05 +61 *3533:95 *21486:A 5.12223e-05 +62 *3533:95 *3671:20 4.00259e-05 +63 *3533:95 *4932:73 5.75075e-05 +64 *3533:95 *5851:25 3.87512e-05 +65 *3533:95 *5851:42 0.000210856 +66 *3533:95 *5858:26 0.000104496 +67 *3533:95 *5858:28 0.000193657 +68 *3533:95 *5858:40 0.000300257 +69 *3533:95 *5858:59 0.000209068 +70 *3533:98 *20932:B1 0.000247443 +71 *3533:100 *4932:31 0.000113478 +72 *3533:100 *5867:78 1.17431e-05 +73 *3533:100 *5867:99 0.00088773 +74 *3533:143 *5890:36 0.000954458 +75 *3533:227 *22793:C1 8.82817e-05 +76 *19842:A2 *3533:126 0.000131071 +77 *19842:A2 *3533:143 0.00150104 +78 *19895:A2 *3533:126 5.60804e-05 +79 *19895:C1 *22630:B2 2.16355e-05 +80 *21278:B1 *22702:B2 4.30801e-05 +81 *21359:A1 *3533:38 0.000171018 +82 *21443:A *3533:38 5.8656e-05 +83 *21443:B *3533:38 2.37478e-05 +84 *21498:A2 *3533:108 1.23455e-05 +85 *21542:A1 *3533:227 1.5714e-05 +86 *21753:A2 *3533:108 0.00018075 +87 *21753:B2 *3533:108 4.05126e-05 +88 *21772:C1 *3533:79 1.5147e-05 +89 *21777:A2 *3533:79 3.94734e-05 +90 *21808:A *3533:62 4.0179e-05 +91 *21813:B2 *3533:62 0.000156955 +92 *21845:B2 *3533:62 0.000455775 +93 *22223:A1 *3533:62 5.51483e-06 +94 *22223:B1 *3533:62 0.00072902 +95 *22223:B2 *3533:62 0.000294561 +96 *22224:A1 *3533:119 0.00100398 +97 *22281:A2 *3533:126 9.91483e-05 +98 *22330:A2 *3533:30 1.57664e-05 +99 *22365:C1 *3533:38 0.000118854 +100 *22370:B1 *3533:38 0.000211741 +101 *22477:C1 *3533:217 0.000105599 +102 *22479:C1 *3533:217 4.6012e-05 +103 *22555:C1 *3533:62 1.83795e-06 +104 *22585:A2 *3533:119 8.49662e-05 +105 *22612:B1 *22612:B2 1.5714e-05 +106 *22630:A2 *3533:119 6.22114e-05 +107 *22666:B1 *3533:43 3.63593e-05 +108 *22684:A1 *22684:B2 4.07542e-05 +109 *22684:A2 *22684:B2 2.16355e-05 +110 *22684:B1 *22684:B2 0.000279627 +111 *22685:A2 *22684:B2 0.000587086 +112 *22685:B2 *22720:B2 1.14175e-05 +113 *22721:A2 *22684:B2 1.5714e-05 +114 *22721:A2 *22720:B2 1.72347e-05 +115 *22721:A2 *3533:148 6.08467e-05 +116 *22739:A1 *22756:B2 6.64392e-05 +117 *22757:A1 *3533:217 0.000153443 +118 *22758:A1 *3533:88 2.95752e-05 +119 *22770:A2 *3533:62 0.000206333 +120 *22774:A1 *22774:B2 1.19523e-05 +121 *22792:A1 *3533:217 0.00125354 +122 *22792:A2 *3533:227 7.92757e-06 +123 *24318:D *3533:95 7.14746e-05 +124 *24451:D *3533:100 7.82634e-05 +125 *24552:D *3533:79 1.43259e-05 +126 *324:27 *3533:38 1.1475e-05 +127 *1461:261 *3533:227 5.68347e-05 +128 *1537:171 *3533:66 0.000109247 +129 *1537:171 *3533:79 3.17436e-05 +130 *1545:118 *3533:38 7.40684e-06 +131 *1560:42 *22738:B2 2.57465e-06 +132 *1560:52 *22738:B2 2.1203e-06 +133 *1561:21 *3533:79 0.000151677 +134 *1584:49 *3533:43 3.63593e-05 +135 *1591:39 *3533:119 0.000109247 +136 *1594:27 *3533:88 0.00180685 +137 *1595:60 *3533:108 7.34838e-05 +138 *1596:92 *22612:B2 4.87301e-05 +139 *1601:16 *3533:108 0 +140 *1604:91 *3533:235 0 +141 *1611:8 *22585:B2 4.5435e-07 +142 *1611:8 *3533:119 0.000121291 +143 *1615:20 *3533:143 8.92463e-05 +144 *1615:59 *3533:126 0.000436229 +145 *1615:59 *3533:143 7.90734e-05 +146 *1617:21 *3533:148 0.00209761 +147 *1634:70 *3533:62 7.95249e-05 +148 *1635:131 *3533:108 0.000312094 +149 *1643:14 *3533:62 7.59424e-05 +150 *1655:199 *3533:119 1.12829e-05 +151 *1658:43 *3533:62 4.4514e-05 +152 *1658:73 *3533:108 0.000255317 +153 *1689:168 *3533:79 0.00027744 +154 *1716:16 *3533:108 0.000158469 +155 *1720:14 *22585:B2 7.86825e-06 +156 *1720:14 *3533:119 4.31485e-06 +157 *1720:20 *3533:119 6.34564e-05 +158 *1723:114 *3533:217 8.28675e-06 +159 *1725:181 *3533:119 2.36441e-05 +160 *1729:34 *22585:B2 7.09666e-06 +161 *1733:45 *3533:88 6.20477e-05 +162 *1733:45 *3533:95 7.70102e-05 +163 *1733:75 *3533:108 0.000107238 +164 *1740:139 *3533:79 3.20455e-05 +165 *1750:45 *3533:30 2.1203e-06 +166 *1751:145 *3533:38 0.00269913 +167 *1751:145 *3533:62 0.000202101 +168 *1761:40 *22738:B2 6.36477e-05 +169 *1762:86 *3533:217 0.000353163 +170 *1771:127 *3533:143 0.000226438 +171 *1775:12 *3533:79 0.000381175 +172 *1776:61 *3533:79 7.00554e-05 +173 *1800:128 *22702:B2 0.000379937 +174 *1800:128 *3533:143 0.000138268 +175 *2127:14 *3533:100 0.000300565 +176 *2244:36 *3533:38 8.05411e-05 +177 *2250:55 *22684:B2 4.32286e-05 +178 *2257:46 *22720:B2 0.00011191 +179 *2340:32 *22702:B2 6.14756e-06 +180 *2343:32 *22702:B2 0.000239753 +181 *2343:32 *3533:143 0.000134727 +182 *2368:49 *3533:38 0.000624507 +183 *2377:13 *3533:148 0.000165521 +184 *2411:26 *3533:12 1.51653e-05 +185 *2411:26 *3533:30 5.46774e-06 +186 *2418:28 *3533:12 4.96403e-05 +187 *2468:34 *3533:100 2.30009e-05 +188 *2543:28 *3533:88 0.00182777 +189 *2554:21 *3533:119 0.0013763 +190 *2554:35 *3533:108 5.39404e-06 +191 *2556:19 *3533:108 1.04568e-05 +192 *2584:77 *3533:12 0.00145798 +193 *2608:26 *3533:79 8.93085e-05 +194 *2608:62 *3533:235 0.000295137 +195 *2614:17 *3533:100 0.000247443 +196 *2614:35 *3533:79 8.19057e-05 +197 *2614:38 *3533:79 0.000532665 +198 *2616:13 *3533:95 1.58126e-05 +199 *2629:37 *3533:30 0.000180035 +200 *2632:67 *22756:B2 2.99287e-05 +201 *2642:39 *3533:12 0.000338164 +202 *2662:14 *3533:217 6.69933e-05 +203 *2662:37 *3533:66 0.000294512 +204 *2662:37 *3533:79 0.000129091 +205 *2679:41 *3533:38 0.00126522 +206 *2694:38 *3533:12 9.46283e-05 +207 *2694:38 *3533:30 0.000144974 +208 *2703:60 *3533:227 0.000880984 +209 *2708:32 *3533:235 2.38565e-05 +210 *2723:19 *3533:217 0.000135091 +211 *2723:19 *3533:227 9.78029e-05 +212 *2769:13 *3533:108 1.17351e-05 +213 *2770:68 *3533:119 7.09666e-06 +214 *2770:68 *3533:126 0.00025931 +215 *2779:10 *3533:98 0.000610257 +216 *2779:10 *3533:100 6.68822e-06 +217 *2822:8 *3533:119 0.000138877 +218 *2822:8 *3533:126 0.00025931 +219 *2825:57 *3533:38 2.75882e-05 +220 *2844:67 *3533:79 0.000282328 +221 *2852:27 *3533:79 0.000256037 +222 *2860:20 *3533:62 0.00314571 +223 *2860:20 *3533:66 2.92606e-05 +224 *2863:14 *22774:B2 0.000150264 +225 *2863:14 *3533:88 0.000414063 +226 *2869:46 *3533:143 0.000240427 +227 *2878:51 *22774:B2 0.00012139 +228 *2906:43 *22684:B2 8.78407e-06 +229 *3027:8 *3533:95 0.00101198 +230 *3027:17 *3533:95 0.000308027 +231 *3028:30 *3533:235 0.000140525 +232 *3028:50 *3533:235 3.5534e-06 +233 *3046:29 *22612:B2 1.5714e-05 +234 *3051:20 *3533:148 0.00076093 +235 *3059:11 *3533:95 0.000329047 +236 *3066:26 *3533:217 0.000772422 +237 *3070:36 *3533:119 3.22794e-05 +238 *3111:39 *3533:12 0.000531752 +239 *3111:94 *3533:12 0.000301048 +240 *3114:102 *3533:30 0.000454029 +241 *3114:190 *3533:100 0.000216068 +242 *3114:200 *3533:100 1.36444e-05 +243 *3131:70 *22774:B2 0.000124237 +244 *3134:62 *3533:227 0.000259085 +245 *3146:65 *3533:217 1.35239e-05 +246 *3146:65 *3533:227 5.42506e-06 +247 *3151:154 *3533:38 0.000253907 +248 *3151:176 *3533:38 5.8067e-05 +249 *3177:315 *22684:B2 0.000329939 +250 *3177:315 *22720:B2 0.000170911 +251 *3185:155 *3533:38 8.95692e-05 +252 *3241:9 *3533:100 0.00118394 +253 *3388:13 *22774:B2 0.000127778 +254 *3428:23 *3533:227 0.000858117 +255 *3428:23 *3533:235 0.000456664 +256 *3452:6 *3533:66 0.00018038 +257 *3481:136 *3533:30 0.000272315 +258 *3487:214 *3533:38 0.000357446 +259 *3500:99 *3533:38 2.57465e-06 +260 *3500:105 *3533:38 0.000305639 +261 *3502:138 *3533:38 0.000137169 +262 *3502:197 *3533:62 3.99086e-06 +263 *3509:81 *3533:227 6.14756e-06 +264 *3511:68 *3533:62 0.00340294 +265 *3511:147 *3533:38 6.23101e-05 +266 *3513:121 *3533:66 0.000175586 +267 *3517:15 *3533:12 0.000359054 +268 *3527:93 *3533:227 1.60399e-05 +269 *3527:186 *3533:126 0.000257959 +270 *3527:186 *3533:143 0.000631828 +271 *3529:62 *3533:62 0.000588068 +272 *3529:99 *3533:217 0.000358677 +273 *3529:200 *22702:B2 0.000161262 +274 *3531:43 *3533:38 0.0013912 +275 *3531:63 *3533:62 2.48424e-05 +276 *3531:92 *3533:227 1.77462e-05 +277 *3531:92 *3533:235 6.69146e-05 +*RES +1 *22584:X *3533:12 35.8931 +2 *3533:12 *22648:B2 13.7491 +3 *3533:12 *3533:30 29.4089 +4 *3533:30 *3533:38 48.6301 +5 *3533:38 *3533:43 8.66265 +6 *3533:43 *22666:B2 9.24915 +7 *3533:43 *3533:62 47.8139 +8 *3533:62 *3533:66 7.33237 +9 *3533:66 *3533:79 41.3254 +10 *3533:79 *3533:88 9.49673 +11 *3533:88 *3533:95 47.7229 +12 *3533:95 *3533:98 10.019 +13 *3533:98 *3533:100 49.8058 +14 *3533:100 *3533:108 21.3479 +15 *3533:108 *3533:119 16.4584 +16 *3533:119 *3533:126 10.3966 +17 *3533:126 *22612:B2 19.3533 +18 *3533:126 *3533:143 9.50138 +19 *3533:143 *3533:148 34.126 +20 *3533:148 *22684:B2 29.8982 +21 *3533:148 *22720:B2 17.2421 +22 *3533:143 *22702:B2 23.806 +23 *3533:119 *22630:B2 14.4725 +24 *3533:108 *22585:B2 14.1278 +25 *3533:79 *22774:B2 24.4578 +26 *3533:66 *3533:217 16.1207 +27 *3533:217 *22792:B2 9.24915 +28 *3533:217 *3533:227 32.2761 +29 *3533:227 *3533:235 15.0956 +30 *3533:235 *22738:B2 18.8449 +31 *3533:235 *22756:B2 14.4725 +32 *3533:227 *22810:B2 13.7491 +*END + +*D_NET *3534 0.000993946 +*CONN +*I *22586:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22585:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22586:C1 0.000281883 +2 *22585:X 0.000281883 +3 *19907:D *22586:C1 0.000111802 +4 *19908:D *22586:C1 0.000136179 +5 *1721:162 *22586:C1 6.50286e-05 +6 *2843:20 *22586:C1 0.000117171 +*RES +1 *22585:X *22586:C1 34.7608 +*END + +*D_NET *3535 0.00226783 +*CONN +*I *22597:C I *D sky130_fd_sc_hd__and4_1 +*I *22586:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22597:C 0 +2 *22586:X 0.000458478 +3 *3535:14 0.000458478 +4 *3535:14 *3541:81 6.51637e-05 +5 *3535:14 *4942:22 0.000127799 +6 *19842:A1 *3535:14 6.08467e-05 +7 *22231:A1 *3535:14 2.69685e-05 +8 *22566:A1 *3535:14 0.000522148 +9 *1591:48 *3535:14 0.000491597 +10 *1622:14 *3535:14 3.31745e-05 +11 *1770:58 *3535:14 2.31787e-05 +*RES +1 *22586:X *3535:14 41.8813 +2 *3535:14 *22597:C 9.24915 +*END + +*D_NET *3536 0.00155516 +*CONN +*I *22588:A I *D sky130_fd_sc_hd__buf_8 +*I *22587:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22588:A 0.00035443 +2 *22587:X 0.00035443 +3 *22588:A *3539:12 0.00017668 +4 *22588:A *4806:24 5.2811e-05 +5 *22305:A2 *22588:A 0.000344332 +6 *22544:A *22588:A 0.000183066 +7 *2100:76 *22588:A 6.22114e-05 +8 *3491:140 *22588:A 2.71991e-05 +*RES +1 *22587:X *22588:A 38.0506 +*END + +*D_NET *3537 0.0784416 +*CONN +*I *22651:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22669:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22705:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22687:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22723:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22615:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22633:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22596:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22813:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22777:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22759:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22741:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22795:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22588:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22651:A2 2.15683e-05 +2 *22669:A2 0 +3 *22705:A2 0.000302871 +4 *22687:A2 0.000163909 +5 *22723:A2 0.000899986 +6 *22615:A2 2.3034e-05 +7 *22633:A2 0.000295872 +8 *22596:A2 0 +9 *22813:A2 2.85991e-05 +10 *22777:A2 0 +11 *22759:A2 0 +12 *22741:A2 0.000122861 +13 *22795:A2 1.98947e-05 +14 *22588:X 0.00081724 +15 *3537:224 0.00268859 +16 *3537:215 0.00377371 +17 *3537:192 0.0025409 +18 *3537:164 0.00231292 +19 *3537:146 0.00178628 +20 *3537:137 0.00051828 +21 *3537:136 0.00130648 +22 *3537:126 0.00175115 +23 *3537:110 0.000934189 +24 *3537:103 0.00101708 +25 *3537:88 0.00238388 +26 *3537:67 0.00243068 +27 *3537:58 0.00250664 +28 *3537:41 0.00212007 +29 *3537:35 0.00240917 +30 *3537:19 0.00312608 +31 *3537:14 0.00185208 +32 *22633:A2 *22634:A 3.07091e-05 +33 *22633:A2 *3539:177 6.3657e-05 +34 *22651:A2 *22651:B1 4.31603e-06 +35 *22687:A2 *22688:C 6.98648e-06 +36 *22687:A2 *22688:D 5.84077e-05 +37 *22705:A2 *22681:C1 0.000112366 +38 *22705:A2 *22705:B2 1.03403e-05 +39 *22723:A2 *22688:B 1.24564e-05 +40 *22723:A2 *22688:C 1.2693e-05 +41 *22723:A2 *22688:D 3.91685e-05 +42 *22723:A2 *3620:14 0.000117658 +43 *22741:A2 *5904:77 1.7883e-05 +44 *22795:A2 *22795:B2 6.08467e-05 +45 *3537:14 *22309:B1 9.96222e-05 +46 *3537:14 *3539:12 0.000365255 +47 *3537:14 *4806:24 0.000375379 +48 *3537:14 *4809:40 0.000200794 +49 *3537:14 *4820:14 0.000135958 +50 *3537:19 *22651:A1 6.36477e-05 +51 *3537:19 *22651:B2 5.19897e-05 +52 *3537:19 *22675:C1 0.000347437 +53 *3537:19 *3597:18 0.000190903 +54 *3537:35 *22651:B1 0.000190033 +55 *3537:35 *22651:B2 2.16355e-05 +56 *3537:35 *22693:C1 2.77625e-06 +57 *3537:35 *3597:18 0.000535932 +58 *3537:41 *3539:48 0.000165872 +59 *3537:58 *21605:A1 0.00036072 +60 *3537:58 *24914:A 1.37669e-05 +61 *3537:58 *3539:48 1.27355e-05 +62 *3537:58 *3539:60 0.000393816 +63 *3537:58 *4808:90 0.000101503 +64 *3537:58 *4817:161 4.79321e-06 +65 *3537:58 *5454:15 0.000156955 +66 *3537:67 *22795:B2 0.000156955 +67 *3537:88 *3699:23 2.84983e-05 +68 *3537:88 *5458:13 6.03237e-05 +69 *3537:88 *5592:70 0.000353163 +70 *3537:103 *3539:109 0.000272197 +71 *3537:103 *3699:23 0.000135562 +72 *3537:110 *3539:129 0.000511372 +73 *3537:110 *3539:140 1.50633e-05 +74 *3537:126 *22813:B2 1.17054e-05 +75 *3537:126 *22813:C1 6.85778e-05 +76 *3537:126 *3539:140 0.00032535 +77 *3537:136 *22614:B2 3.56002e-05 +78 *3537:136 *22813:B2 7.04982e-05 +79 *3537:136 *3543:164 0.000290889 +80 *3537:136 *3562:16 0.000393863 +81 *3537:136 *3750:13 0.000154145 +82 *3537:146 *22596:C1 0.000250254 +83 *3537:146 *3539:158 0.00014138 +84 *3537:146 *3539:166 1.00766e-05 +85 *3537:164 *22627:C1 0.000154145 +86 *3537:164 *3539:166 7.67734e-06 +87 *3537:164 *4942:22 1.07529e-05 +88 *3537:192 *22615:B2 1.03403e-05 +89 *3537:192 *22616:C 6.50206e-05 +90 *3537:192 *4857:12 1.79629e-05 +91 *3537:215 *21283:A1 0.000605774 +92 *3537:215 *22616:D 0.000156946 +93 *3537:215 *22681:C1 8.45896e-06 +94 *3537:224 *21283:A1 7.39827e-05 +95 *19820:A1 *22741:A2 8.70854e-05 +96 *20021:A1 *3537:88 0.000367555 +97 *20651:B *3537:215 0.000371047 +98 *20651:B *3537:224 4.35662e-05 +99 *20859:B *3537:126 1.69394e-06 +100 *21283:B2 *3537:215 5.04515e-05 +101 *21604:B1 *3537:67 5.01822e-05 +102 *21605:B2 *3537:58 0.000965067 +103 *21617:A1 *3537:67 2.33852e-05 +104 *21746:B *3537:58 0.000203595 +105 *21782:A1 *3537:146 1.5714e-05 +106 *21813:B2 *3537:58 9.80784e-05 +107 *21851:A2 *3537:67 1.19856e-05 +108 *21851:B1 *3537:67 0.000302625 +109 *21851:B2 *3537:67 0.000111708 +110 *21945:B1 *3537:88 0.000461025 +111 *22053:A1 *3537:103 0.00015587 +112 *22053:A1 *3537:110 1.86178e-05 +113 *22053:B1 *3537:103 1.91246e-05 +114 *22231:A2 *3537:164 6.74182e-05 +115 *22231:B1 *3537:164 4.35912e-05 +116 *22248:A *3537:164 3.99762e-05 +117 *22254:A2 *3537:35 0.000286481 +118 *22255:B2 *3537:41 4.69319e-05 +119 *22263:A2 *3537:192 6.51527e-05 +120 *22276:A1 *3537:35 6.31683e-05 +121 *22306:C *3537:14 2.25583e-07 +122 *22355:B *22723:A2 7.71721e-05 +123 *22484:B1 *3537:67 2.07503e-05 +124 *22484:B2 *3537:67 0.000107496 +125 *22487:B *22795:A2 2.16355e-05 +126 *22487:B *3537:67 2.53147e-05 +127 *22566:B2 *3537:146 1.65872e-05 +128 *22566:B2 *3537:164 6.63489e-05 +129 *22575:A1 *22633:A2 0.000117677 +130 *22596:A1 *3537:164 0.000154145 +131 *22611:A2 *3537:192 2.77625e-06 +132 *22611:A2 *3537:215 2.92975e-05 +133 *22614:A1 *3537:136 0.000111722 +134 *22614:B1 *3537:136 0.000291692 +135 *22615:A1 *3537:215 6.08467e-05 +136 *22627:A2 *3537:164 0.000391697 +137 *22627:B1 *3537:164 7.09666e-06 +138 *22669:A1 *3537:35 0.00118226 +139 *22669:A1 *3537:41 0.000298399 +140 *22682:B1 *22687:A2 0.000114239 +141 *22682:B1 *22723:A2 4.69495e-06 +142 *22687:B1 *22687:A2 2.57847e-05 +143 *22692:A1 *3537:35 0.000158357 +144 *22692:B1 *3537:35 0.000107496 +145 *22692:B2 *3537:35 5.79229e-05 +146 *22705:A1 *22705:A2 1.47978e-05 +147 *22711:A1 *3537:19 2.44829e-05 +148 *22711:B1 *3537:19 0.00015693 +149 *22711:B2 *3537:19 2.137e-05 +150 *22718:B2 *3537:224 6.03237e-05 +151 *22723:B1 *22723:A2 4.06083e-05 +152 *22776:A1 *3537:110 1.56279e-05 +153 *22795:A1 *3537:67 0.000298399 +154 *22813:B1 *3537:126 2.16355e-05 +155 *482:79 *22723:A2 0.000140487 +156 *1435:306 *3537:136 0.000110306 +157 *1547:26 *3537:88 1.5714e-05 +158 *1547:26 *3537:103 0.000162583 +159 *1554:36 *3537:103 0.000545205 +160 *1561:39 *3537:67 0.000835318 +161 *1561:154 *3537:67 4.46136e-05 +162 *1566:55 *3537:103 0.000696023 +163 *1569:34 *3537:88 5.59363e-05 +164 *1586:11 *3537:58 6.32373e-05 +165 *1586:77 *3537:146 8.23875e-05 +166 *1586:103 *3537:146 0.000203232 +167 *1596:76 *3537:146 4.64659e-05 +168 *1596:91 *3537:146 0.000128022 +169 *1605:46 *3537:88 0.000228837 +170 *1618:61 *3537:164 0.000951254 +171 *1635:23 *3537:58 2.77625e-06 +172 *1635:42 *3537:58 1.58551e-05 +173 *1641:117 *3537:35 9.96222e-05 +174 *1641:130 *3537:35 0.000324361 +175 *1647:58 *3537:215 0.000206344 +176 *1690:132 *22741:A2 0.000107496 +177 *1725:115 *3537:88 0.00113672 +178 *1725:144 *3537:88 9.19393e-05 +179 *1732:35 *3537:103 1.88152e-05 +180 *1790:100 *3537:164 0.000217419 +181 *2117:77 *22723:A2 3.80436e-07 +182 *2352:8 *3537:215 0 +183 *2360:78 *22705:A2 0.000380871 +184 *2360:78 *3537:215 0.000137745 +185 *2368:49 *3537:35 0.000154145 +186 *2433:59 *22687:A2 6.36477e-05 +187 *2503:67 *3537:35 1.58871e-05 +188 *2527:24 *3537:41 0.00013626 +189 *2536:8 *3537:126 0.000284512 +190 *2536:28 *3537:126 4.12977e-05 +191 *2541:24 *22633:A2 0.000123525 +192 *2542:28 *3537:192 0.000172572 +193 *2565:36 *3537:136 0.000250254 +194 *2589:46 *3537:164 6.1096e-05 +195 *2655:64 *3537:67 1.65872e-05 +196 *2660:113 *3537:67 0.000822639 +197 *2664:14 *3537:58 0.000484995 +198 *2770:68 *3537:164 0.000353163 +199 *2777:60 *3537:41 0.000624943 +200 *2783:56 *22741:A2 0.000447615 +201 *2794:27 *3537:215 1.89774e-05 +202 *2794:27 *3537:224 0.000818974 +203 *2794:34 *3537:215 6.38266e-05 +204 *2794:58 *3537:215 0.000179268 +205 *2813:47 *3537:35 0.00119089 +206 *2814:38 *3537:35 1.67675e-05 +207 *2867:11 *3537:67 0.000624943 +208 *2869:51 *3537:215 3.77568e-05 +209 *2959:18 *3537:88 1.9101e-05 +210 *3002:69 *3537:88 6.88205e-06 +211 *3011:55 *3537:215 8.5867e-06 +212 *3013:16 *22723:A2 5.36085e-05 +213 *3021:39 *3537:35 9.23856e-05 +214 *3027:27 *3537:103 9.12416e-06 +215 *3027:27 *3537:110 5.8518e-05 +216 *3038:23 *3537:164 5.74984e-05 +217 *3042:11 *22723:A2 1.71251e-05 +218 *3051:54 *3537:215 4.25507e-05 +219 *3052:101 *3537:41 3.58315e-06 +220 *3057:29 *3537:136 0.000111708 +221 *3064:13 *3537:224 0.000320518 +222 *3064:23 *3537:215 0.000430405 +223 *3065:30 *3537:110 0.0004087 +224 *3114:160 *3537:88 9.39849e-05 +225 *3122:136 *22723:A2 7.74353e-05 +226 *3122:161 *3537:215 0.000203604 +227 *3126:12 *3537:14 0.000520591 +228 *3151:187 *3537:41 7.17919e-05 +229 *3153:266 *3537:88 1.5714e-05 +230 *3153:266 *3537:103 0.00016968 +231 *3155:127 *3537:110 3.20853e-05 +232 *3155:127 *3537:126 9.92046e-06 +233 *3157:113 *3537:88 1.5714e-05 +234 *3168:113 *3537:103 5.47243e-05 +235 *3168:113 *3537:110 2.71926e-05 +236 *3168:113 *3537:126 2.11411e-05 +237 *3179:224 *22705:A2 0.000215023 +238 *3190:15 *3537:14 0.000203595 +239 *3190:55 *3537:67 0.000247443 +240 *3190:103 *3537:146 0.000632211 +241 *3190:144 *22633:A2 0 +242 *3190:189 *3537:224 9.898e-06 +243 *3192:170 *22633:A2 2.96974e-05 +244 *3263:33 *3537:19 2.90638e-06 +245 *3421:32 *3537:88 4.1236e-05 +246 *3481:153 *3537:35 0.00067588 +247 *3481:155 *3537:35 6.08467e-05 +248 *3487:214 *3537:35 0.000200794 +249 *3487:233 *3537:19 5.82692e-05 +250 *3487:233 *3537:35 5.51483e-06 +251 *3491:146 *3537:14 2.27175e-05 +252 *3498:179 *3537:19 2.16355e-05 +253 *3507:180 *3537:164 0.000116049 +254 *3511:192 *3537:215 0.000239761 +255 *3513:188 *3537:164 0.000410171 +256 *3513:188 *3537:192 0.000607935 +257 *3519:179 *3537:136 9.35221e-05 +258 *3519:179 *3537:146 6.31931e-05 +259 *3519:205 *22633:A2 0.000133453 +260 *3519:279 *22723:A2 0.000646564 +261 *3521:191 *22723:A2 8.96423e-05 +262 *3521:198 *3537:224 0.000107641 +263 *3529:80 *3537:88 0.00153116 +*RES +1 *22588:X *3537:14 40.8127 +2 *3537:14 *3537:19 18.109 +3 *3537:19 *3537:35 47.1935 +4 *3537:35 *3537:41 19.7814 +5 *3537:41 *3537:58 46.0667 +6 *3537:58 *3537:67 29.9486 +7 *3537:67 *22795:A2 9.97254 +8 *3537:67 *3537:88 19.2632 +9 *3537:88 *22741:A2 18.9094 +10 *3537:88 *3537:103 29.3147 +11 *3537:103 *22759:A2 13.7491 +12 *3537:103 *3537:110 13.4591 +13 *3537:110 *22777:A2 13.7491 +14 *3537:110 *3537:126 16.7064 +15 *3537:126 *22813:A2 9.82786 +16 *3537:126 *3537:136 38.8119 +17 *3537:136 *3537:137 104.301 +18 *3537:137 *3537:146 32.8903 +19 *3537:146 *22596:A2 9.24915 +20 *3537:146 *3537:164 33.9462 +21 *3537:164 *22633:A2 26.3494 +22 *3537:164 *3537:192 21.7284 +23 *3537:192 *22615:A2 9.82786 +24 *3537:192 *3537:215 24.1388 +25 *3537:215 *3537:224 10.2129 +26 *3537:224 *22723:A2 36.5578 +27 *3537:224 *22687:A2 18.2465 +28 *3537:215 *22705:A2 23.3197 +29 *3537:41 *22669:A2 9.24915 +30 *3537:19 *22651:A2 9.82786 +*END + +*D_NET *3538 0.00130223 +*CONN +*I *22590:A I *D sky130_fd_sc_hd__buf_8 +*I *22589:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22590:A 0.000302292 +2 *22589:X 0.000302292 +3 *1584:141 *22590:A 0.000117326 +4 *2313:25 *22590:A 2.60879e-06 +5 *3111:94 *22590:A 5.20615e-05 +6 *3126:12 *22590:A 0.000286741 +7 *3153:65 *22590:A 0.000127961 +8 *3192:25 *22590:A 0.000110949 +*RES +1 *22589:X *22590:A 36.9792 +*END + +*D_NET *3539 0.080298 +*CONN +*I *22669:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22777:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22596:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22705:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22687:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22723:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22615:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22633:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22813:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22759:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22741:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22795:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22651:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *22590:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22669:B2 0 +2 *22777:B2 0 +3 *22596:B2 2.3034e-05 +4 *22705:B2 0.000436905 +5 *22687:B2 8.58456e-05 +6 *22723:B2 0.000960365 +7 *22615:B2 0.000299259 +8 *22633:B2 1.69488e-05 +9 *22813:B2 9.25951e-05 +10 *22759:B2 4.10589e-05 +11 *22741:B2 3.15832e-05 +12 *22795:B2 0.000315843 +13 *22651:B2 7.65345e-05 +14 *22590:X 0.00135063 +15 *3539:201 0.00140006 +16 *3539:198 0.00195102 +17 *3539:177 0.00174648 +18 *3539:166 0.00171627 +19 *3539:158 0.00205917 +20 *3539:157 0.00211105 +21 *3539:140 0.00229595 +22 *3539:129 0.00126501 +23 *3539:109 0.00109557 +24 *3539:96 0.00100948 +25 *3539:94 0.00161024 +26 *3539:70 0.00445918 +27 *3539:60 0.00340991 +28 *3539:48 0.00144948 +29 *3539:27 0.00220122 +30 *3539:12 0.00258341 +31 *22615:B2 *22615:C1 1.88014e-05 +32 *22615:B2 *22616:B 7.21753e-05 +33 *22651:B2 *22651:A1 6.08467e-05 +34 *22687:B2 *22687:C1 4.76794e-05 +35 *22723:B2 *22688:A 9.32891e-05 +36 *22723:B2 *22688:B 6.56365e-05 +37 *22723:B2 *22688:C 4.2874e-05 +38 *22723:B2 *22723:C1 0.000246837 +39 *22723:B2 *3666:7 5.04829e-06 +40 *22741:B2 *5904:77 2.40736e-05 +41 *22759:B2 *22759:C1 4.31603e-06 +42 *22813:B2 *3543:164 6.08467e-05 +43 *22813:B2 *3750:13 6.08467e-05 +44 *3539:12 *21696:B1 0.000159261 +45 *3539:12 *4806:24 0.000546476 +46 *3539:12 *4828:169 0.00137612 +47 *3539:27 *21636:A1 0.000101738 +48 *3539:27 *21696:B1 0.000158774 +49 *3539:27 *22651:A1 3.55731e-06 +50 *3539:48 *24914:A 9.95922e-06 +51 *3539:48 *4853:18 1.61631e-05 +52 *3539:60 *24914:A 0.000263135 +53 *3539:60 *3613:10 0.000171825 +54 *3539:60 *4817:161 0.000149783 +55 *3539:70 *4850:17 2.77625e-06 +56 *3539:140 *3543:164 0.000165047 +57 *3539:157 *3541:110 8.96596e-05 +58 *3539:157 *3543:164 2.09495e-05 +59 *3539:158 *22596:C1 0.000253055 +60 *3539:166 *3579:23 4.2372e-05 +61 *3539:198 *22681:C1 0.000105901 +62 *3539:201 *22681:C1 0.000394659 +63 *3539:201 *22687:C1 0.000156076 +64 *19808:B *3539:70 0.000485701 +65 *20859:B *22813:B2 9.56715e-05 +66 *21605:B2 *3539:70 9.06192e-05 +67 *21636:A2 *3539:27 8.65421e-06 +68 *21641:A1 *3539:48 8.51781e-05 +69 *21647:B2 *3539:70 2.67684e-05 +70 *21746:A *3539:60 1.26119e-05 +71 *21746:B *3539:60 7.09666e-06 +72 *21746:C *3539:48 0.00093369 +73 *21746:C *3539:60 8.77154e-06 +74 *21764:A1 *3539:157 5.89592e-05 +75 *21764:B1 *3539:157 0.000118971 +76 *21783:B1 *3539:157 7.64781e-05 +77 *21783:B2 *3539:157 0.000250254 +78 *21945:B1 *3539:70 6.03122e-05 +79 *21945:B1 *3539:94 0.000218331 +80 *21945:B2 *3539:94 0.000351059 +81 *22042:C *22615:B2 0.000317748 +82 *22042:C *3539:177 0.000140134 +83 *22048:B1 *3539:129 5.481e-05 +84 *22053:A1 *3539:109 0.000126497 +85 *22053:A1 *3539:129 6.70195e-05 +86 *22053:B1 *3539:109 2.02035e-05 +87 *22305:A2 *3539:12 7.88078e-05 +88 *22306:C *3539:12 5.12361e-05 +89 *22306:D *3539:12 3.58315e-06 +90 *22365:A2 *3539:27 0.00016999 +91 *22365:B1 *3539:27 5.51483e-06 +92 *22365:B2 *3539:27 0.000107496 +93 *22374:C1 *22705:B2 0.000111533 +94 *22449:B1 *3539:94 0.000250496 +95 *22449:B1 *3539:96 5.1493e-06 +96 *22473:C1 *22795:B2 0.000293886 +97 *22487:B *22795:B2 1.17054e-05 +98 *22566:B2 *3539:166 0.00081577 +99 *22588:A *3539:12 0.00017668 +100 *22611:A1 *22615:B2 7.9371e-05 +101 *22611:A2 *22615:B2 0.000254532 +102 *22624:B1 *3539:48 5.60635e-05 +103 *22627:A1 *3539:166 5.481e-05 +104 *22627:A2 *3539:166 3.99086e-06 +105 *22633:A1 *22633:B2 2.71226e-06 +106 *22633:A1 *3539:177 0.00034763 +107 *22633:A2 *3539:177 6.3657e-05 +108 *22633:B1 *22633:B2 9.95922e-06 +109 *22633:B1 *3539:166 5.0107e-05 +110 *22669:A1 *3539:48 0.000298399 +111 *22681:A1 *3539:201 0.000302705 +112 *22681:A2 *3539:201 1.37531e-05 +113 *22681:B1 *3539:201 0.000166106 +114 *22687:B1 *22687:B2 5.99445e-05 +115 *22701:A1 *22705:B2 0.000411161 +116 *22705:A1 *22705:B2 1.62503e-05 +117 *22705:A2 *22705:B2 1.03403e-05 +118 *22718:B2 *22723:B2 1.66626e-05 +119 *22723:B1 *22723:B2 2.57986e-05 +120 *22741:B1 *3539:109 0.000109126 +121 *22759:B1 *22759:B2 2.16355e-05 +122 *22777:B1 *3539:129 4.2372e-05 +123 *22795:A2 *22795:B2 6.08467e-05 +124 *22795:B1 *22795:B2 3.75603e-05 +125 *22812:B1 *3539:140 3.54082e-05 +126 *1427:116 *3539:70 0.0014833 +127 *1539:25 *3539:60 1.41976e-05 +128 *1539:30 *3539:60 5.32857e-05 +129 *1539:30 *3539:70 1.54577e-05 +130 *1544:151 *3539:70 0.000534446 +131 *1561:21 *3539:70 0.000106597 +132 *1561:125 *3539:157 9.55272e-05 +133 *1566:11 *3539:70 1.80583e-05 +134 *1576:28 *3539:12 0.000312475 +135 *1583:28 *3539:12 4.15661e-05 +136 *1605:11 *22795:B2 7.12632e-06 +137 *1605:11 *3539:94 1.30956e-05 +138 *1616:102 *22723:B2 0.000152445 +139 *1625:83 *3539:70 5.25962e-05 +140 *1645:94 *3539:27 0.000110306 +141 *1647:46 *3539:198 6.36477e-05 +142 *1647:87 *3539:198 1.5714e-05 +143 *1658:223 *3539:27 0.00227338 +144 *1658:226 *3539:27 2.43314e-05 +145 *1679:68 *3539:198 0.000919763 +146 *1773:76 *3539:70 1.5714e-05 +147 *1790:100 *3539:198 5.39404e-06 +148 *2357:87 *22705:B2 5.71272e-05 +149 *2416:34 *22705:B2 0.000107484 +150 *2416:36 *22705:B2 1.17614e-05 +151 *2433:59 *22687:B2 0.000115821 +152 *2433:59 *3539:201 4.12682e-05 +153 *2475:18 *22723:B2 1.91246e-05 +154 *2475:23 *22723:B2 0.00018029 +155 *2567:35 *3539:129 0.000156955 +156 *2572:33 *3539:27 0.000680205 +157 *2631:70 *3539:27 0.000140018 +158 *2660:113 *22795:B2 0.000254551 +159 *2661:30 *3539:70 0.000160624 +160 *2662:37 *3539:70 1.61821e-05 +161 *2678:17 *3539:27 8.97894e-05 +162 *2680:57 *3539:48 0.000153193 +163 *2682:27 *3539:48 6.22259e-05 +164 *2697:32 *3539:70 1.91246e-05 +165 *2769:13 *3539:158 0.000391697 +166 *2772:38 *3539:27 6.09616e-05 +167 *2777:60 *3539:48 3.00296e-05 +168 *2777:80 *3539:198 0.000728958 +169 *2780:25 *3539:157 0.00025456 +170 *2783:56 *22741:B2 0.000111802 +171 *2791:50 *3539:70 0.000290315 +172 *2814:24 *3539:12 0.00140081 +173 *2814:38 *3539:27 0.000154145 +174 *2838:101 *3539:94 9.80784e-05 +175 *2850:42 *3539:157 8.61187e-05 +176 *2862:17 *3539:94 7.20593e-05 +177 *2862:21 *3539:94 0.000391697 +178 *2888:51 *3539:177 0.000139947 +179 *2906:39 *22705:B2 6.6528e-05 +180 *3019:23 *3539:60 6.08467e-05 +181 *3019:23 *3539:70 1.65872e-05 +182 *3041:71 *3539:27 0.000140018 +183 *3042:11 *22723:B2 0.000110306 +184 *3052:101 *3539:48 6.14756e-06 +185 *3064:20 *3539:198 0.00216145 +186 *3065:30 *3539:129 8.88218e-05 +187 *3120:143 *3539:198 1.91246e-05 +188 *3124:191 *3539:48 6.36477e-05 +189 *3134:142 *22759:B2 5.07032e-05 +190 *3151:176 *3539:48 0.000438346 +191 *3151:187 *3539:48 2.91336e-05 +192 *3153:162 *22687:B2 0.000117746 +193 *3153:162 *3539:201 0.00116298 +194 *3155:116 *3539:94 5.96598e-05 +195 *3155:116 *3539:96 0.000183003 +196 *3155:125 *3539:96 0.000470245 +197 *3155:125 *3539:109 0.000199108 +198 *3155:127 *3539:109 0.000271858 +199 *3155:127 *3539:129 0.000158779 +200 *3155:127 *3539:140 0.000366497 +201 *3157:139 *3539:157 7.64414e-05 +202 *3162:102 *22795:B2 4.83562e-06 +203 *3162:102 *3539:94 1.88579e-05 +204 *3162:106 *3539:140 0.000165047 +205 *3162:106 *3539:157 0.000216372 +206 *3164:95 *22795:B2 0.000346575 +207 *3164:95 *3539:94 0.000668761 +208 *3166:97 *3539:94 3.26262e-05 +209 *3166:101 *3539:96 1.50389e-06 +210 *3168:106 *3539:96 0.000313444 +211 *3168:106 *3539:109 8.03951e-06 +212 *3168:113 *3539:109 7.39223e-06 +213 *3168:113 *3539:129 0.000506513 +214 *3168:113 *3539:140 6.22482e-05 +215 *3177:288 *3539:12 0.000154508 +216 *3177:288 *3539:27 0.000415965 +217 *3190:103 *3539:158 0.000122984 +218 *3192:170 *3539:166 2.88562e-05 +219 *3192:170 *3539:177 0.000594305 +220 *3217:28 *22615:B2 5.35461e-05 +221 *3217:28 *3539:177 4.65926e-05 +222 *3222:41 *22615:B2 7.45608e-05 +223 *3222:41 *3539:198 3.73639e-05 +224 *3301:8 *22723:B2 0.000153152 +225 *3327:33 *22705:B2 6.75135e-05 +226 *3327:33 *3539:198 6.74426e-05 +227 *3338:10 *22705:B2 7.02287e-05 +228 *3338:10 *3539:198 2.12421e-05 +229 *3474:111 *3539:70 0.000104754 +230 *3487:233 *22651:B2 0.000247443 +231 *3509:255 *3539:198 0.00162945 +232 *3509:259 *3539:198 0.000107496 +233 *3509:259 *3539:201 3.46213e-05 +234 *3513:143 *3539:140 0.000134617 +235 *3519:159 *3539:70 6.21462e-05 +236 *3521:191 *22723:B2 0.000778808 +237 *3521:198 *22723:B2 0.000104494 +238 *3529:80 *3539:70 1.21344e-05 +239 *3531:63 *3539:70 0.00182131 +240 *3537:14 *3539:12 0.000365255 +241 *3537:19 *22651:B2 5.19897e-05 +242 *3537:35 *22651:B2 2.16355e-05 +243 *3537:41 *3539:48 0.000165872 +244 *3537:58 *3539:48 1.27355e-05 +245 *3537:58 *3539:60 0.000393816 +246 *3537:67 *22795:B2 0.000156955 +247 *3537:103 *3539:109 0.000272197 +248 *3537:110 *3539:129 0.000511372 +249 *3537:110 *3539:140 1.50633e-05 +250 *3537:126 *22813:B2 1.17054e-05 +251 *3537:126 *3539:140 0.00032535 +252 *3537:136 *22813:B2 7.04982e-05 +253 *3537:146 *3539:158 0.00014138 +254 *3537:146 *3539:166 1.00766e-05 +255 *3537:164 *3539:166 7.67734e-06 +256 *3537:192 *22615:B2 1.03403e-05 +*RES +1 *22590:X *3539:12 46.5367 +2 *3539:12 *22651:B2 16.691 +3 *3539:12 *3539:27 48.7287 +4 *3539:27 *3539:48 40.2193 +5 *3539:48 *3539:60 21.3344 +6 *3539:60 *3539:70 44.3649 +7 *3539:70 *22795:B2 24.7076 +8 *3539:70 *3539:94 37.3849 +9 *3539:94 *3539:96 13.4591 +10 *3539:96 *22741:B2 15.0271 +11 *3539:96 *3539:109 13.9476 +12 *3539:109 *22759:B2 15.0513 +13 *3539:109 *3539:129 30.6559 +14 *3539:129 *3539:140 23.4319 +15 *3539:140 *22813:B2 17.2456 +16 *3539:140 *3539:157 39.2354 +17 *3539:157 *3539:158 15.1431 +18 *3539:158 *3539:166 22.0154 +19 *3539:166 *22633:B2 9.82786 +20 *3539:166 *3539:177 15.164 +21 *3539:177 *22615:B2 23.2984 +22 *3539:177 *3539:198 46.8586 +23 *3539:198 *3539:201 20.1977 +24 *3539:201 *22723:B2 44.1003 +25 *3539:201 *22687:B2 12.7938 +26 *3539:198 *22705:B2 26.6523 +27 *3539:158 *22596:B2 9.82786 +28 *3539:129 *22777:B2 9.24915 +29 *3539:48 *22669:B2 9.24915 +*END + +*D_NET *3540 0.000939359 +*CONN +*I *22592:A I *D sky130_fd_sc_hd__buf_8 +*I *22591:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22592:A 0.000310368 +2 *22591:X 0.000310368 +3 *22592:A *4807:107 2.08198e-05 +4 *22592:A *5944:102 2.46347e-05 +5 *22591:C *22592:A 2.57986e-05 +6 *22593:C *22592:A 9.32704e-05 +7 *2546:20 *22592:A 9.12416e-06 +8 *3474:12 *22592:A 0.000144975 +*RES +1 *22591:X *22592:A 33.791 +*END + +*D_NET *3541 0.0840571 +*CONN +*I *22686:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22704:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22595:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22614:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22812:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22776:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22758:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22740:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22668:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22794:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22632:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22722:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22650:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22592:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22686:A2 4.52548e-05 +2 *22704:A2 3.93497e-05 +3 *22595:A2 0 +4 *22614:A2 7.81033e-05 +5 *22812:A2 0 +6 *22776:A2 3.05053e-05 +7 *22758:A2 7.73869e-05 +8 *22740:A2 0.000179448 +9 *22668:A2 7.70558e-05 +10 *22794:A2 0.000990834 +11 *22632:A2 4.64689e-05 +12 *22722:A2 0.000526308 +13 *22650:A2 0.000460879 +14 *22592:X 0.00129097 +15 *3541:181 0.00016207 +16 *3541:180 0.00363925 +17 *3541:150 0.00493353 +18 *3541:148 0.00102608 +19 *3541:140 0.000977931 +20 *3541:135 0.00112354 +21 *3541:119 0.00163074 +22 *3541:110 0.00157344 +23 *3541:95 0.000976614 +24 *3541:81 0.0018786 +25 *3541:71 0.00240573 +26 *3541:63 0.00187155 +27 *3541:56 0.00192187 +28 *3541:29 0.00386161 +29 *3541:14 0.00285435 +30 *3541:13 0.00133558 +31 *22650:A2 *22650:B1 0.000620637 +32 *22668:A2 *22668:B2 3.75591e-05 +33 *22686:A2 *3543:97 1.88014e-05 +34 *22722:A2 *22722:B2 2.81262e-05 +35 *22722:A2 *3663:10 1.66771e-05 +36 *22740:A2 *3543:192 5.74949e-05 +37 *22740:A2 *5458:13 1.22761e-05 +38 *22776:A2 *22776:B2 1.56384e-05 +39 *22776:A2 *3543:171 2.59516e-05 +40 *22794:A2 *5454:15 6.23101e-05 +41 *3541:13 *22304:B1 1.0452e-05 +42 *3541:29 *3543:75 5.40524e-05 +43 *3541:29 *4812:38 0.00142191 +44 *3541:56 *4812:38 0.00156388 +45 *3541:63 *3543:97 2.07503e-05 +46 *3541:63 *3543:111 0.000545205 +47 *3541:63 *3646:23 0.000208285 +48 *3541:71 *22613:C1 0.000391697 +49 *3541:81 *22595:B2 0.000239798 +50 *3541:81 *3543:123 0.000549526 +51 *3541:81 *4942:22 0.000115458 +52 *3541:95 *22595:B2 0.000129409 +53 *3541:119 *3543:164 1.65872e-05 +54 *3541:135 *22777:C1 3.17436e-05 +55 *3541:135 *3543:171 0.000121559 +56 *3541:148 *19813:A 0.000207035 +57 *3541:150 *19813:A 0.000120815 +58 *3541:180 *3546:26 0.000110297 +59 *3541:180 *5924:37 7.91253e-06 +60 *19832:A1 *3541:148 0.000212985 +61 *19841:B1 *3541:119 0.000543353 +62 *19841:B1 *3541:135 6.08467e-05 +63 *19842:A2 *3541:95 0.000283789 +64 *19842:B1 *22632:A2 1.41976e-05 +65 *19842:B1 *3541:95 0.000290722 +66 *20021:A2 *22794:A2 0.000205006 +67 *21396:A1 *22722:A2 0.000136287 +68 *21396:A1 *3541:56 0.000593726 +69 *21559:A1 *3541:119 0 +70 *21832:B1 *3541:180 0.000368076 +71 *22011:A2 *3541:180 0.000110297 +72 *22016:A1 *3541:148 0.000208059 +73 *22283:B2 *3541:71 0.000166348 +74 *22283:C1 *3541:71 0.000124811 +75 *22294:A *3541:71 0.00169055 +76 *22304:B2 *3541:13 1.9101e-05 +77 *22316:B *3541:13 0.000123072 +78 *22345:B2 *3541:56 0.000104754 +79 *22378:A1 *3541:29 5.63858e-05 +80 *22401:B1 *3541:29 0.00337316 +81 *22455:C1 *22794:A2 0.000768052 +82 *22509:A *3541:119 3.82228e-05 +83 *22509:B *3541:119 1.58551e-05 +84 *22510:B1 *3541:135 0.000154145 +85 *22528:A1 *3541:180 3.92592e-05 +86 *22529:B2 *3541:180 6.85769e-05 +87 *22612:A2 *3541:71 0.00025175 +88 *22612:B2 *3541:71 0.000107496 +89 *22632:A1 *22632:A2 6.08467e-05 +90 *22632:A1 *3541:110 0.000116064 +91 *22668:A1 *22668:A2 5.04829e-06 +92 *22668:B1 *22668:A2 0.000202177 +93 *22674:A1 *3541:14 5.01835e-05 +94 *22674:A1 *3541:29 7.71503e-05 +95 *22684:A2 *3541:56 1.5714e-05 +96 *22704:A1 *3541:63 0.000200794 +97 *22710:A2 *3541:13 0.000192587 +98 *22722:A1 *22722:A2 6.08467e-05 +99 *22722:B1 *22722:A2 0.000243028 +100 *22730:B1 *3541:110 7.53354e-05 +101 *22776:A1 *22776:A2 3.58602e-05 +102 *22812:A1 *3541:119 0.000387391 +103 *22812:B1 *3541:119 1.65872e-05 +104 *22812:B1 *3541:135 7.92757e-06 +105 *1419:249 *22722:A2 0.000305264 +106 *1419:249 *3541:135 0.000425625 +107 *1435:318 *3541:110 2.92076e-05 +108 *1535:8 *3541:110 0.000145716 +109 *1535:15 *3541:110 0.000112837 +110 *1566:67 *3541:140 0.000167377 +111 *1566:67 *3541:148 5.11321e-05 +112 *1573:196 *22668:A2 0.000354434 +113 *1575:39 *3541:135 0.000110597 +114 *1596:92 *3541:71 0.000170256 +115 *1596:92 *3541:81 6.7671e-06 +116 *1600:19 *3541:95 1.25417e-05 +117 *1602:128 *3541:63 0.000387391 +118 *1602:128 *3541:71 0.000733326 +119 *1614:104 *3541:71 0.000634211 +120 *1618:137 *3541:63 5.43327e-05 +121 *1618:137 *3541:71 8.49748e-05 +122 *1627:118 *3541:63 2.77419e-05 +123 *1631:34 *22794:A2 5.60804e-05 +124 *1679:64 *22722:A2 0.000184498 +125 *1688:75 *3541:56 0.000108986 +126 *1688:91 *3541:29 0.00036616 +127 *1716:35 *3541:180 0.000313702 +128 *1716:45 *3541:180 0.000107782 +129 *1721:118 *3541:180 0.000241554 +130 *1770:58 *3541:81 0.000342818 +131 *1771:104 *3541:95 0.000518544 +132 *2093:136 *3541:29 0.000478207 +133 *2244:73 *3541:29 0.00275388 +134 *2245:27 *22722:A2 0.000347858 +135 *2246:30 *3541:29 3.13555e-05 +136 *2266:90 *3541:13 0.000213816 +137 *2297:86 *22722:A2 0.00015927 +138 *2306:56 *22722:A2 1.66771e-05 +139 *2313:56 *3541:13 0.000229316 +140 *2394:47 *3541:13 0.000182008 +141 *2416:34 *3541:63 4.1837e-05 +142 *2418:38 *3541:14 5.4678e-05 +143 *2418:38 *3541:29 7.00536e-05 +144 *2451:48 *3541:56 0.000931124 +145 *2530:90 *3541:29 6.60037e-05 +146 *2530:90 *3541:56 1.48618e-05 +147 *2534:23 *22722:A2 3.52909e-05 +148 *2535:36 *3541:110 0.000243569 +149 *2537:27 *3541:135 0.000156955 +150 *2565:36 *3541:119 7.36804e-06 +151 *2569:27 *3541:180 5.11321e-05 +152 *2604:50 *3541:180 0.000493173 +153 *2684:24 *3541:148 0.000120322 +154 *2684:24 *3541:150 0.000210937 +155 *2685:42 *22794:A2 0.000710504 +156 *2685:42 *3541:148 0.000831224 +157 *2685:42 *3541:150 0.000697989 +158 *2750:28 *22794:A2 0.000119122 +159 *2764:71 *3541:81 0.00087544 +160 *2771:33 *3541:180 0.00171337 +161 *2783:56 *22740:A2 0.000110306 +162 *2795:20 *3541:119 0.000255258 +163 *2799:8 *3541:110 7.14746e-05 +164 *2837:49 *22740:A2 6.11074e-05 +165 *2850:42 *3541:110 5.59485e-05 +166 *2856:15 *3541:180 0.000615817 +167 *2856:29 *3541:180 0.00142691 +168 *2889:22 *3541:140 6.68138e-05 +169 *2906:8 *3541:71 3.58525e-05 +170 *2906:12 *3541:71 0.0001214 +171 *3002:69 *22740:A2 0.000110597 +172 *3015:26 *3541:180 5.45571e-05 +173 *3021:13 *3541:13 0.000184013 +174 *3051:21 *3541:71 0.00101601 +175 *3065:27 *22776:A2 6.08467e-05 +176 *3106:68 *3541:180 0.000129091 +177 *3134:142 *22758:A2 0.000110297 +178 *3155:127 *3541:140 0.000499839 +179 *3155:127 *3541:148 5.11321e-05 +180 *3157:139 *3541:135 0.000354824 +181 *3162:106 *3541:135 0.000117961 +182 *3166:105 *3541:135 0.000363346 +183 *3175:87 *22794:A2 0.000122533 +184 *3177:303 *3541:56 1.9101e-05 +185 *3179:224 *3541:63 0.000347214 +186 *3338:10 *3541:63 4.90844e-05 +187 *3466:228 *22668:A2 7.45652e-06 +188 *3466:228 *3541:180 9.5472e-05 +189 *3474:139 *3541:180 0.000629592 +190 *3476:127 *3541:180 2.47758e-05 +191 *3483:206 *3541:13 2.51389e-05 +192 *3483:206 *3541:29 0.000358976 +193 *3494:25 *3541:180 7.68538e-06 +194 *3498:163 *3541:13 0.000404773 +195 *3498:179 *3541:13 0.000210123 +196 *3513:143 *3541:148 0.000275688 +197 *3517:15 *3541:13 6.01329e-05 +198 *3519:174 *3541:119 0.000231956 +199 *3519:179 *22614:A2 9.32983e-05 +200 *3519:179 *3541:119 0.000815846 +201 *3531:43 *3541:13 0.000152188 +202 *3531:128 *22794:A2 0.00148172 +203 *3533:12 *3541:13 5.1595e-05 +204 *3535:14 *3541:81 6.51637e-05 +205 *3539:157 *3541:110 8.96596e-05 +*RES +1 *22592:X *3541:13 49.2841 +2 *3541:13 *3541:14 1.20912 +3 *3541:14 *22650:A2 21.1278 +4 *3541:14 *3541:29 16.3689 +5 *3541:29 *22722:A2 24.6539 +6 *3541:29 *3541:56 12.7254 +7 *3541:56 *3541:63 30.7173 +8 *3541:63 *3541:71 49.4344 +9 *3541:71 *3541:81 36.6971 +10 *3541:81 *3541:95 14.7332 +11 *3541:95 *22632:A2 10.5271 +12 *3541:95 *3541:110 23.6193 +13 *3541:110 *3541:119 32.957 +14 *3541:119 *3541:135 33.5558 +15 *3541:135 *3541:140 10.9675 +16 *3541:140 *3541:148 7.15143 +17 *3541:148 *3541:150 1.65068 +18 *3541:150 *22794:A2 26.4339 +19 *3541:150 *3541:180 34.241 +20 *3541:180 *3541:181 104.301 +21 *3541:181 *22668:A2 22.5493 +22 *3541:148 *22740:A2 20.1463 +23 *3541:140 *22758:A2 15.0271 +24 *3541:135 *22776:A2 15.0513 +25 *3541:119 *22812:A2 9.24915 +26 *3541:110 *22614:A2 10.5271 +27 *3541:81 *22595:A2 9.24915 +28 *3541:63 *22704:A2 10.2378 +29 *3541:56 *22686:A2 9.97254 +*END + +*D_NET *3542 0.0013527 +*CONN +*I *22594:A I *D sky130_fd_sc_hd__buf_6 +*I *22593:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22594:A 0.000268853 +2 *22593:X 0.000268853 +3 *22594:A *3543:15 2.77419e-05 +4 *22329:A2 *22594:A 0.000186063 +5 *1584:141 *22594:A 6.16829e-05 +6 *2418:28 *22594:A 0.00026141 +7 *3192:25 *22594:A 8.29362e-06 +8 *3204:140 *22594:A 0.000269805 +*RES +1 *22593:X *22594:A 35.87 +*END + +*D_NET *3543 0.0750548 +*CONN +*I *22704:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22632:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22614:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22812:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22776:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22758:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22740:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22794:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22595:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22686:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22722:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22668:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22650:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22594:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22704:B2 7.43097e-05 +2 *22632:B2 1.9821e-05 +3 *22614:B2 0.000116846 +4 *22812:B2 0 +5 *22776:B2 1.66258e-05 +6 *22758:B2 8.36892e-05 +7 *22740:B2 1.39582e-05 +8 *22794:B2 0 +9 *22595:B2 0.000114672 +10 *22686:B2 1.55939e-05 +11 *22722:B2 0.000105544 +12 *22668:B2 0.0005489 +13 *22650:B2 0 +14 *22594:X 0.000828755 +15 *3543:192 0.00151493 +16 *3543:188 0.00219258 +17 *3543:176 0.00116043 +18 *3543:171 0.000924422 +19 *3543:164 0.00104101 +20 *3543:156 0.00129795 +21 *3543:149 0.00154257 +22 *3543:123 0.0018153 +23 *3543:121 0.00211104 +24 *3543:111 0.00225687 +25 *3543:97 0.00212905 +26 *3543:75 0.00283418 +27 *3543:50 0.00175084 +28 *3543:33 0.00419653 +29 *3543:16 0.00198173 +30 *3543:15 0.00131532 +31 *22722:B2 *22723:C1 0.000294093 +32 *22740:B2 *22741:C1 6.36477e-05 +33 *22740:B2 *5904:77 2.57847e-05 +34 *22758:B2 *22759:C1 1.1718e-05 +35 *3543:15 *4825:127 0.000651425 +36 *3543:15 *4825:133 6.64392e-05 +37 *3543:16 *21696:B1 3.89332e-06 +38 *3543:16 *22313:A1 1.48603e-05 +39 *3543:16 *22650:A1 2.94057e-05 +40 *3543:16 *22651:A1 0.000186247 +41 *3543:16 *4820:18 3.404e-05 +42 *3543:33 *21653:B1 0.0003164 +43 *3543:33 *21658:A1 0.00015904 +44 *3543:33 *22651:A1 0.000122149 +45 *3543:33 *5919:72 9.13942e-05 +46 *3543:50 *3547:17 0.000718492 +47 *3543:75 *3547:17 0.000556435 +48 *3543:75 *4813:110 5.60804e-05 +49 *3543:97 *22687:C1 0.000127536 +50 *3543:111 *22687:C1 7.5301e-06 +51 *3543:149 *3579:23 0.00038221 +52 *3543:156 *3579:23 0.000110949 +53 *3543:164 *3750:13 7.23857e-05 +54 *3543:171 *3716:10 8.50356e-05 +55 *6197:DIODE *22668:B2 6.08467e-05 +56 *20859:B *3543:164 0.000246571 +57 *21273:B1 *3543:50 0.000680785 +58 *21294:B2 *3543:75 3.38973e-05 +59 *21297:A1 *3543:111 0.000121159 +60 *21297:A2 *3543:111 6.32878e-05 +61 *21297:B1 *22704:B2 0.000131421 +62 *21297:B1 *3543:111 1.38609e-05 +63 *21297:B1 *3543:121 1.4091e-06 +64 *21297:B2 *3543:111 4.6671e-05 +65 *21395:B2 *3543:75 5.24879e-05 +66 *21412:B1 *3543:97 0.000702573 +67 *21653:B2 *3543:33 8.80434e-05 +68 *21658:B1 *3543:75 0.000842983 +69 *21856:A2 *3543:121 0.000933474 +70 *21856:B2 *3543:121 9.99867e-06 +71 *21857:A1 *3543:121 1.24189e-05 +72 *21857:B1 *3543:121 5.66725e-05 +73 *21857:B1 *3543:123 1.67225e-05 +74 *21857:B2 *3543:123 0.000110306 +75 *21876:B *3543:50 0.000178327 +76 *21876:C *22668:B2 2.23105e-05 +77 *22306:A *3543:15 8.69165e-05 +78 *22357:A1 *3543:33 0.000101489 +79 *22373:A1 *3543:97 3.08958e-05 +80 *22376:A1 *3543:33 0.000159201 +81 *22401:B1 *3543:75 0.00120584 +82 *22418:A1 *3543:156 5.35941e-05 +83 *22443:A *3543:171 0.00010559 +84 *22450:B2 *3543:192 2.33697e-05 +85 *22450:C1 *3543:192 5.84166e-05 +86 *22455:B1 *3543:192 0.000329697 +87 *22455:C1 *3543:192 0.000300908 +88 *22462:A1 *3543:156 2.35827e-05 +89 *22509:A *3543:156 5.65354e-05 +90 *22575:A2 *3543:123 0.00116813 +91 *22594:A *3543:15 2.77419e-05 +92 *22595:A1 *22595:B2 2.16355e-05 +93 *22614:B1 *22614:B2 0.000305889 +94 *22632:A1 *22632:B2 3.56089e-05 +95 *22632:A1 *3543:149 3.54598e-05 +96 *22632:A1 *3543:156 3.07133e-05 +97 *22632:B1 *3543:156 0.000309083 +98 *22636:A2 *3543:16 1.05746e-05 +99 *22668:A1 *22668:B2 6.08467e-05 +100 *22668:A2 *22668:B2 3.75591e-05 +101 *22668:B1 *22668:B2 3.52929e-06 +102 *22681:A1 *3543:97 2.1463e-05 +103 *22681:A2 *3543:97 0.000147498 +104 *22684:B2 *3543:97 0.000144826 +105 *22685:A2 *3543:97 0.000133189 +106 *22685:B1 *3543:97 8.54931e-06 +107 *22686:A2 *3543:97 1.88014e-05 +108 *22686:B1 *22686:B2 3.41459e-05 +109 *22686:B1 *3543:111 0.000303375 +110 *22697:A1 *3543:33 0.000190298 +111 *22699:A1 *3543:111 0.000181863 +112 *22699:A2 *3543:121 0.000360893 +113 *22717:A2 *3543:97 0.000243156 +114 *22722:A1 *22722:B2 0.000107496 +115 *22722:A2 *22722:B2 2.81262e-05 +116 *22722:B1 *22722:B2 1.78868e-05 +117 *22740:A1 *3543:188 9.12416e-06 +118 *22740:A1 *3543:192 9.75895e-05 +119 *22740:A2 *3543:192 5.74949e-05 +120 *22758:A1 *22758:B2 8.67924e-06 +121 *22758:B1 *22758:B2 5.04829e-06 +122 *22770:A2 *3543:192 4.6339e-05 +123 *22776:A1 *3543:171 6.3657e-05 +124 *22776:A2 *22776:B2 1.56384e-05 +125 *22776:A2 *3543:171 2.59516e-05 +126 *22776:B1 *3543:176 0.000161262 +127 *22813:B2 *3543:164 6.08467e-05 +128 *1435:318 *3543:149 4.4196e-06 +129 *1535:8 *3543:149 2.32756e-05 +130 *1542:89 *3543:50 0.000775575 +131 *1546:29 *3543:192 7.2962e-05 +132 *1554:36 *3543:188 0.00010997 +133 *1564:84 *3543:33 0.000199911 +134 *1573:196 *22668:B2 0.00172519 +135 *1593:26 *3543:149 0.000245209 +136 *1594:85 *3543:149 0.000299448 +137 *1646:35 *3543:149 1.66626e-05 +138 *1666:11 *3543:149 4.00826e-05 +139 *1688:43 *3543:149 0.00109557 +140 *1688:75 *3543:149 0.000182008 +141 *1721:162 *3543:149 1.66626e-05 +142 *1771:104 *22595:B2 0.000159638 +143 *1771:104 *3543:123 5.08374e-05 +144 *1771:106 *3543:123 0.00235349 +145 *1776:79 *3543:188 0.000258866 +146 *2093:136 *3543:75 0.000563326 +147 *2244:73 *3543:75 0.000130571 +148 *2245:27 *22722:B2 6.08467e-05 +149 *2245:34 *3543:75 0.000289724 +150 *2245:34 *3543:97 1.72347e-05 +151 *2246:30 *3543:75 0.000374532 +152 *2250:55 *3543:97 0.000115998 +153 *2337:40 *22704:B2 0.000131421 +154 *2354:55 *3543:50 0.000239304 +155 *2357:61 *3543:111 0.000107078 +156 *2358:16 *22704:B2 9.12416e-06 +157 *2360:66 *3543:111 7.92757e-06 +158 *2361:74 *3543:50 0.000234809 +159 *2373:51 *3543:111 6.78364e-06 +160 *2384:59 *3543:50 0.000722447 +161 *2384:59 *3543:75 0.00036096 +162 *2417:82 *3543:75 6.96412e-06 +163 *2418:28 *3543:15 0.00049045 +164 *2468:37 *3543:149 0.000394594 +165 *2472:15 *3543:75 0.000177949 +166 *2472:15 *3543:97 0.000304229 +167 *2476:8 *3543:97 0.000330487 +168 *2501:32 *3543:33 0.000724371 +169 *2552:31 *3543:156 0.000564133 +170 *2565:22 *3543:156 4.0605e-06 +171 *2565:36 *3543:156 8.89612e-06 +172 *2565:36 *3543:164 0.000247443 +173 *2603:104 *3543:171 0.000538733 +174 *2603:104 *3543:176 8.13122e-05 +175 *2676:45 *3543:15 0.000396664 +176 *2690:40 *3543:16 0.000621155 +177 *2690:40 *3543:33 0.000423268 +178 *2697:25 *3543:149 2.14262e-05 +179 *2750:18 *3543:192 0.00016968 +180 *2750:28 *3543:192 0.000477143 +181 *2776:47 *3543:121 4.78526e-05 +182 *2783:86 *3543:149 5.57532e-05 +183 *2837:49 *3543:188 0.000116967 +184 *2837:49 *3543:192 0.000107279 +185 *2872:15 *3543:121 0.000149712 +186 *2872:15 *3543:123 0.000351642 +187 *2878:59 *3543:192 0.000209246 +188 *2880:51 *22668:B2 6.08467e-05 +189 *2889:22 *3543:176 0.000144567 +190 *2889:22 *3543:188 0.000177641 +191 *3057:14 *3543:156 7.71503e-05 +192 *3057:29 *22614:B2 0.000107496 +193 *3057:29 *3543:156 0.00029158 +194 *3065:27 *3543:171 1.30449e-05 +195 *3065:27 *3543:176 2.29454e-05 +196 *3124:174 *3543:33 0 +197 *3136:169 *3543:15 6.69861e-06 +198 *3139:177 *3543:33 0.000136818 +199 *3148:72 *3543:15 6.0628e-05 +200 *3148:289 *3543:192 0.00105231 +201 *3153:244 *3543:156 1.80257e-05 +202 *3155:116 *3543:192 7.83998e-06 +203 *3155:125 *3543:188 4.82647e-05 +204 *3155:125 *3543:192 7.8756e-07 +205 *3157:139 *3543:164 0.000196423 +206 *3157:139 *3543:171 0.000469528 +207 *3162:106 *3543:164 4.31485e-06 +208 *3162:106 *3543:171 0.000101689 +209 *3164:112 *3543:192 0.000483949 +210 *3168:97 *3543:192 1.26672e-05 +211 *3175:87 *3543:192 7.85871e-06 +212 *3175:110 *3543:192 3.80436e-07 +213 *3175:112 *3543:176 0.000421543 +214 *3175:112 *3543:188 0.000523842 +215 *3175:267 *3543:15 0.000277959 +216 *3177:262 *3543:16 3.45827e-05 +217 *3177:288 *3543:33 0 +218 *3204:140 *3543:15 2.1203e-06 +219 *3206:190 *3543:33 0.000140678 +220 *3373:15 *3543:156 1.91246e-05 +221 *3466:228 *22668:B2 0.000571235 +222 *3471:195 *22668:B2 4.89095e-05 +223 *3471:195 *3543:50 0.000354219 +224 *3474:139 *22668:B2 0.000325141 +225 *3474:166 *22668:B2 8.51641e-05 +226 *3517:310 *3543:97 1.50389e-06 +227 *3519:179 *22614:B2 0.00049902 +228 *3519:179 *3543:164 0.000340742 +229 *3537:136 *22614:B2 3.56002e-05 +230 *3537:136 *3543:164 0.000290889 +231 *3539:140 *3543:164 0.000165047 +232 *3539:157 *3543:164 2.09495e-05 +233 *3541:29 *3543:75 5.40524e-05 +234 *3541:63 *3543:97 2.07503e-05 +235 *3541:63 *3543:111 0.000545205 +236 *3541:81 *22595:B2 0.000239798 +237 *3541:81 *3543:123 0.000549526 +238 *3541:95 *22595:B2 0.000129409 +239 *3541:119 *3543:164 1.65872e-05 +240 *3541:135 *3543:171 0.000121559 +*RES +1 *22594:X *3543:15 45.8757 +2 *3543:15 *3543:16 13.0438 +3 *3543:16 *22650:B2 13.7491 +4 *3543:16 *3543:33 43.7582 +5 *3543:33 *3543:50 35.0644 +6 *3543:50 *22668:B2 33.0971 +7 *3543:33 *3543:75 15.9503 +8 *3543:75 *22722:B2 17.8243 +9 *3543:75 *3543:97 37.9362 +10 *3543:97 *22686:B2 9.82786 +11 *3543:97 *3543:111 20.3515 +12 *3543:111 *3543:121 30.8835 +13 *3543:121 *3543:123 32.8904 +14 *3543:123 *22595:B2 14.4576 +15 *3543:123 *3543:149 20.9133 +16 *3543:149 *3543:156 27.6193 +17 *3543:156 *3543:164 23.1896 +18 *3543:164 *3543:171 21.0699 +19 *3543:171 *3543:176 16.1937 +20 *3543:176 *3543:188 27.2986 +21 *3543:188 *3543:192 48.2725 +22 *3543:192 *22794:B2 9.24915 +23 *3543:188 *22740:B2 14.4725 +24 *3543:176 *22758:B2 15.4612 +25 *3543:171 *22776:B2 9.82786 +26 *3543:164 *22812:B2 13.7491 +27 *3543:156 *22614:B2 15.5427 +28 *3543:149 *22632:B2 10.0027 +29 *3543:111 *22704:B2 20.9116 +*END + +*D_NET *3544 0.0017429 +*CONN +*I *22596:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22595:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22596:C1 0.000367143 +2 *22595:X 0.000367143 +3 *19908:A *22596:C1 0 +4 *21831:B1 *22596:C1 2.18821e-05 +5 *22566:C1 *22596:C1 5.33881e-06 +6 *1535:22 *22596:C1 1.75682e-05 +7 *1666:12 *22596:C1 0.000278914 +8 *1724:76 *22596:C1 5.85325e-05 +9 *1771:104 *22596:C1 0.000107496 +10 *1790:130 *22596:C1 1.55721e-05 +11 *3537:146 *22596:C1 0.000250254 +12 *3539:158 *22596:C1 0.000253055 +*RES +1 *22595:X *22596:C1 37.7026 +*END + +*D_NET *3545 0.00138388 +*CONN +*I *22597:D I *D sky130_fd_sc_hd__and4_1 +*I *22596:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22597:D 0.000411089 +2 *22596:X 0.000411089 +3 *22597:D *4942:22 3.67773e-05 +4 *22231:A1 *22597:D 4.49767e-05 +5 *22231:A2 *22597:D 0.000102903 +6 *22248:A *22597:D 5.12239e-06 +7 *22576:C1 *22597:D 1.07529e-05 +8 *22627:A2 *22597:D 0.000301209 +9 *22627:B1 *22597:D 1.5714e-05 +10 *22629:B1 *22597:D 2.51087e-05 +11 *1770:58 *22597:D 1.91391e-05 +*RES +1 *22596:X *22597:D 35.7335 +*END + +*D_NET *3546 0.0113342 +*CONN +*I *22598:D I *D sky130_fd_sc_hd__and4_4 +*I *22597:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22598:D 0 +2 *22597:X 9.01383e-05 +3 *3546:26 0.00130659 +4 *3546:13 0.00244111 +5 *3546:7 0.00122465 +6 *3546:13 *4813:110 0.000177764 +7 *3546:26 *5924:37 0.000138599 +8 *19790:A2 *3546:26 1.84769e-05 +9 *21874:B1 *3546:26 0.000112941 +10 *21874:B2 *3546:26 8.2996e-05 +11 *22054:A1 *3546:26 9.79259e-05 +12 *22054:B2 *3546:13 0.000177764 +13 *22061:B *3546:26 7.86847e-05 +14 *22210:B1 *3546:26 3.85185e-05 +15 *22270:B2 *3546:26 1.91391e-05 +16 *22324:A2 *3546:26 0.000129091 +17 *22529:A2 *3546:26 0.000195298 +18 *22529:B2 *3546:26 6.08467e-05 +19 *22556:B1 *3546:26 4.49767e-05 +20 *22597:B *3546:7 0.000390192 +21 *22598:A *3546:26 1.70577e-05 +22 *22608:B1 *3546:26 0.000121746 +23 *1576:86 *3546:26 0.000143728 +24 *1617:40 *3546:13 0.000172372 +25 *1617:50 *3546:13 0.00107229 +26 *1771:106 *3546:7 0.000390192 +27 *2093:181 *3546:26 0.000162003 +28 *2117:180 *3546:13 0.000226764 +29 *2601:40 *3546:13 0.00134485 +30 *3002:85 *3546:26 1.9366e-05 +31 *3015:14 *3546:13 6.70569e-05 +32 *3015:16 *3546:13 0.000175702 +33 *3015:16 *3546:26 8.64587e-05 +34 *3015:26 *3546:26 1.63536e-05 +35 *3111:186 *3546:26 0.000120086 +36 *3186:16 *3546:26 7.93771e-05 +37 *3466:228 *3546:26 2.78219e-06 +38 *3471:227 *3546:26 0.000125098 +39 *3494:25 *3546:26 5.49509e-05 +40 *3541:180 *3546:26 0.000110297 +*RES +1 *22597:X *3546:7 18.3548 +2 *3546:7 *3546:13 40.6157 +3 *3546:13 *3546:26 46.5914 +4 *3546:26 *22598:D 13.7491 +*END + +*D_NET *3547 0.0629448 +*CONN +*I *22599:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6105:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22598:X O *D sky130_fd_sc_hd__and4_4 +*CAP +1 *22599:A 0 +2 *6105:DIODE 4.49024e-05 +3 *22598:X 0.00368486 +4 *3547:26 0.014686 +5 *3547:17 0.018326 +6 *3547:26 *4836:21 0.000186408 +7 *3547:26 *5857:368 2.87136e-06 +8 *21229:B1 *3547:17 0.00209748 +9 *21279:B1 *3547:17 0.000505767 +10 *21395:B2 *3547:17 3.19906e-05 +11 *21396:A2 *3547:17 0.000602344 +12 *21953:A1 *6105:DIODE 6.73186e-05 +13 *22011:B2 *3547:17 0.000360262 +14 *22011:C1 *3547:17 0.000154145 +15 *22335:A1 *3547:17 1.1235e-05 +16 *22600:A1 *3547:17 0.000642822 +17 *22713:B1 *3547:17 0.000308841 +18 *74:18 *3547:26 5.54857e-05 +19 *490:105 *3547:17 4.16959e-05 +20 *497:107 *3547:26 0.000191397 +21 *499:18 *3547:26 0.000816916 +22 *501:14 *3547:26 0 +23 *504:24 *3547:26 0 +24 *508:28 *3547:26 3.70897e-05 +25 *512:56 *3547:26 0 +26 *516:26 *3547:26 8.11463e-06 +27 *1558:24 *3547:17 0.00112606 +28 *1584:69 *3547:17 0.000205321 +29 *1614:55 *3547:17 3.29488e-05 +30 *1627:79 *3547:17 5.96019e-05 +31 *1636:33 *3547:26 0.0003967 +32 *1696:62 *6105:DIODE 6.23875e-05 +33 *1696:62 *3547:26 0.000770046 +34 *1717:68 *3547:26 0 +35 *1717:133 *3547:17 0.000526341 +36 *1749:47 *3547:26 0.000362753 +37 *1790:73 *3547:17 0.000535802 +38 *1884:45 *3547:26 0.00387677 +39 *2093:136 *3547:17 0.000162752 +40 *2379:17 *3547:26 0.00218873 +41 *2384:59 *3547:17 2.92163e-05 +42 *2415:19 *3547:26 0.000102765 +43 *2440:54 *3547:26 0.000228598 +44 *2456:22 *3547:17 7.34383e-05 +45 *2457:16 *3547:26 0 +46 *2472:27 *3547:17 2.31486e-05 +47 *2527:13 *3547:17 0.000123657 +48 *2541:36 *3547:17 0.000250775 +49 *2604:50 *3547:17 5.86498e-05 +50 *2687:68 *3547:17 0.000134132 +51 *2687:68 *3547:26 0.000955295 +52 *2822:12 *3547:17 3.74433e-05 +53 *2892:21 *3547:17 0.000499184 +54 *3106:42 *3547:17 0.000261675 +55 *3106:68 *3547:17 0.000154145 +56 *3120:41 *3547:26 0.00100074 +57 *3122:64 *3547:26 0.00186429 +58 *3196:12 *3547:26 0.000309138 +59 *3196:135 *3547:26 0.000226228 +60 *3196:152 *3547:26 1.14957e-05 +61 *3196:163 *3547:17 0.00107198 +62 *3198:154 *3547:26 0.00108369 +63 *3543:50 *3547:17 0.000718492 +64 *3543:75 *3547:17 0.000556435 +*RES +1 *22598:X *3547:17 30.8247 +2 *3547:17 *3547:26 49.5572 +3 *3547:26 *6105:DIODE 11.0817 +4 *3547:26 *22599:A 9.24915 +*END + +*D_NET *3548 0.00134552 +*CONN +*I *22601:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22600:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22601:C1 0.000200103 +2 *22600:X 0.000200103 +3 *22601:C1 *3564:28 0.000294093 +4 *22255:A1 *22601:C1 0.00011393 +5 *1562:39 *22601:C1 3.31745e-05 +6 *2527:24 *22601:C1 0.00011393 +7 *3471:227 *22601:C1 0.000390192 +*RES +1 *22600:X *22601:C1 34.7664 +*END + +*D_NET *3549 0.00110027 +*CONN +*I *22617:A I *D sky130_fd_sc_hd__nand4_4 +*I *22601:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22617:A 0.000399072 +2 *22601:X 0.000399072 +3 *22695:B1 *22617:A 5.8518e-05 +4 *1562:39 *22617:A 6.7671e-06 +5 *1608:43 *22617:A 5.04829e-06 +6 *1635:47 *22617:A 1.28732e-05 +7 *2857:18 *22617:A 0.000107968 +8 *2990:66 *22617:A 0.000110949 +*RES +1 *22601:X *22617:A 34.3512 +*END + +*D_NET *3550 0.000450575 +*CONN +*I *22603:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22602:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22603:C1 0.000140943 +2 *22602:X 0.000140943 +3 *22282:A2 *22603:C1 5.38612e-06 +4 *22602:B1 *22603:C1 6.3657e-05 +5 *2286:36 *22603:C1 2.31895e-05 +6 *2503:124 *22603:C1 6.28473e-05 +7 *2772:57 *22603:C1 1.36104e-05 +*RES +1 *22602:X *22603:C1 30.4689 +*END + +*D_NET *3551 0.00535605 +*CONN +*I *22617:B I *D sky130_fd_sc_hd__nand4_4 +*I *22603:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22617:B 0.000668274 +2 *22603:X 0.000775438 +3 *3551:16 0.00144371 +4 *22617:B *22625:B1 3.27594e-05 +5 *22617:B *22625:C1 8.51015e-05 +6 *22617:B *5919:72 4.51619e-05 +7 *22254:A2 *3551:16 0.000309771 +8 *518:31 *22617:B 0.000111201 +9 *1641:130 *22617:B 0.000175663 +10 *1641:130 *3551:16 4.92749e-05 +11 *2286:53 *22617:B 3.94438e-05 +12 *2631:70 *22617:B 0.000197377 +13 *2631:70 *3551:16 0.000921308 +14 *2814:38 *3551:16 0.000409561 +15 *3185:155 *22617:B 4.0875e-05 +16 *3527:54 *22617:B 5.11321e-05 +*RES +1 *22603:X *3551:16 36.2338 +2 *3551:16 *22617:B 29.2967 +*END + +*D_NET *3552 0.00484781 +*CONN +*I *22607:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22604:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22607:B1 0 +2 *22604:X 0.00106569 +3 *3552:6 0.00106569 +4 *3552:6 *22617:D 1.61659e-05 +5 *3552:6 *3564:28 0.00012774 +6 *21875:A1 *3552:6 0.000210936 +7 *22287:A1 *3552:6 8.80635e-05 +8 *22600:A1 *3552:6 7.85226e-05 +9 *2777:28 *3552:6 0.00152366 +10 *2827:30 *3552:6 0.000507737 +11 *2880:45 *3552:6 1.02986e-05 +12 *3044:41 *3552:6 0.000147978 +13 *3284:10 *3552:6 5.33881e-06 +*RES +1 *22604:X *3552:6 45.8946 +2 *3552:6 *22607:B1 13.7491 +*END + +*D_NET *3553 0.00136925 +*CONN +*I *22606:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22605:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22606:C1 0.000239164 +2 *22605:X 0.000239164 +3 *22260:A2 *22606:C1 4.49767e-05 +4 *22554:A2 *22606:C1 0.000256037 +5 *1655:61 *22606:C1 3.59507e-05 +6 *2589:54 *22606:C1 0.000156005 +7 *3500:168 *22606:C1 6.08467e-05 +8 *3502:179 *22606:C1 0.000305506 +9 *3502:197 *22606:C1 3.16019e-05 +*RES +1 *22605:X *22606:C1 35.7363 +*END + +*D_NET *3554 0.00173261 +*CONN +*I *22607:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22606:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22607:C1 0.000573205 +2 *22606:X 0.000573205 +3 *1620:35 *22607:C1 0.000125206 +4 *2777:28 *22607:C1 0.000118854 +5 *2880:45 *22607:C1 0.000163428 +6 *3498:256 *22607:C1 8.51641e-05 +7 *3498:258 *22607:C1 9.35475e-05 +*RES +1 *22606:X *22607:C1 37.5394 +*END + +*D_NET *3555 0.00142334 +*CONN +*I *22617:C I *D sky130_fd_sc_hd__nand4_4 +*I *22607:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22617:C 0.000456102 +2 *22607:X 0.000456102 +3 *22617:C *22617:D 0.000227863 +4 *22617:C *5919:40 1.41976e-05 +5 *22607:A2 *22617:C 0.000203604 +6 *3050:41 *22617:C 6.54752e-05 +*RES +1 *22607:X *22617:C 27.0585 +*END + +*D_NET *3556 0.00148613 +*CONN +*I *22609:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22608:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22609:C1 0.000393361 +2 *22608:X 0.000393361 +3 *22247:A2 *22609:C1 0.000438346 +4 *2589:46 *22609:C1 0.000130532 +5 *3038:23 *22609:C1 0.000130532 +*RES +1 *22608:X *22609:C1 35.321 +*END + +*D_NET *3557 0.00132096 +*CONN +*I *22616:A I *D sky130_fd_sc_hd__and4_1 +*I *22609:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22616:A 0.000310317 +2 *22609:X 0.000310317 +3 *22616:A *22616:B 6.08467e-05 +4 *22611:B2 *22616:A 6.14756e-06 +5 *2541:24 *22616:A 7.94462e-05 +6 *2873:17 *22616:A 8.51503e-05 +7 *2985:36 *22616:A 0.000169707 +8 *3222:41 *22616:A 0.000296903 +9 *3519:205 *22616:A 2.1203e-06 +*RES +1 *22609:X *22616:A 35.5997 +*END + +*D_NET *3558 0.00054397 +*CONN +*I *22611:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22610:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22611:C1 0.000110815 +2 *22610:X 0.000110815 +3 *22247:A2 *22611:C1 6.3657e-05 +4 *2541:24 *22611:C1 0.000137936 +5 *2984:24 *22611:C1 9.12416e-06 +6 *3222:8 *22611:C1 8.72537e-06 +7 *3511:192 *22611:C1 0.000102899 +*RES +1 *22610:X *22611:C1 30.8842 +*END + +*D_NET *3559 0.000549574 +*CONN +*I *22616:B I *D sky130_fd_sc_hd__and4_1 +*I *22611:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22616:B 0.000165973 +2 *22611:X 0.000165973 +3 *22615:B2 *22616:B 7.21753e-05 +4 *22616:A *22616:B 6.08467e-05 +5 *2873:17 *22616:B 1.58551e-05 +6 *3217:28 *22616:B 6.87503e-05 +*RES +1 *22611:X *22616:B 30.7476 +*END + +*D_NET *3560 0.00217447 +*CONN +*I *22613:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22612:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22613:C1 0.00068127 +2 *22612:X 0.00068127 +3 *19864:A1 *22613:C1 5.18423e-05 +4 *19864:A2 *22613:C1 2.25135e-05 +5 *19864:B1 *22613:C1 2.27981e-05 +6 *19864:B2 *22613:C1 7.92757e-06 +7 *19864:C1 *22613:C1 6.88088e-06 +8 *22576:A1 *22613:C1 9.54357e-06 +9 *22613:B1 *22613:C1 5.85458e-05 +10 *1596:92 *22613:C1 0.000137746 +11 *1615:59 *22613:C1 8.82964e-05 +12 *1618:104 *22613:C1 1.3164e-05 +13 *1622:14 *22613:C1 9.80242e-07 +14 *3541:71 *22613:C1 0.000391697 +*RES +1 *22612:X *22613:C1 42.0124 +*END + +*D_NET *3561 0.00257622 +*CONN +*I *22616:C I *D sky130_fd_sc_hd__and4_1 +*I *22613:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22616:C 0.000641867 +2 *22613:X 0.000641867 +3 *22616:C *3575:17 8.86025e-05 +4 *22616:C *4857:12 0.000291086 +5 *21857:A2 *22616:C 2.06529e-05 +6 *22629:A1 *22616:C 0.00030396 +7 *1614:104 *22616:C 6.08467e-05 +8 *1771:127 *22616:C 0.00010133 +9 *1784:74 *22616:C 0.000245049 +10 *2542:28 *22616:C 4.93411e-05 +11 *2777:80 *22616:C 5.01835e-05 +12 *3192:135 *22616:C 1.17512e-05 +13 *3523:172 *22616:C 4.66206e-06 +14 *3537:192 *22616:C 6.50206e-05 +*RES +1 *22613:X *22616:C 44.1723 +*END + +*D_NET *3562 0.00818614 +*CONN +*I *22615:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22614:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22615:C1 0.00108619 +2 *22614:X 0.00121334 +3 *3562:16 0.00229952 +4 *22615:C1 *22627:C1 0.000305497 +5 *20040:B1 *3562:16 1.5714e-05 +6 *20040:B2 *3562:16 8.14684e-05 +7 *21766:A *3562:16 0.000133626 +8 *22060:B1 *22615:C1 0.000296903 +9 *22609:A1 *22615:C1 0.000397499 +10 *22609:B2 *22615:C1 8.29362e-05 +11 *22611:A1 *22615:C1 0.000107496 +12 *22611:A2 *22615:C1 3.73237e-05 +13 *22611:B1 *22615:C1 6.08467e-05 +14 *22611:B2 *22615:C1 8.93134e-05 +15 *22615:B2 *22615:C1 1.88014e-05 +16 *1595:101 *3562:16 0.000112771 +17 *1720:20 *3562:16 2.54271e-05 +18 *1721:162 *3562:16 7.48886e-05 +19 *1771:104 *3562:16 1.9101e-05 +20 *2780:25 *3562:16 5.88554e-05 +21 *2843:51 *22615:C1 6.36477e-05 +22 *2843:51 *3562:16 2.39846e-05 +23 *2845:22 *3562:16 2.25877e-05 +24 *2900:143 *22615:C1 6.63489e-05 +25 *2954:44 *3562:16 3.72256e-05 +26 *3122:198 *3562:16 0.000563558 +27 *3507:165 *22615:C1 2.50138e-05 +28 *3507:180 *22615:C1 0.000332891 +29 *3509:181 *22615:C1 0.000110306 +30 *3511:161 *22615:C1 2.92035e-05 +31 *3537:136 *3562:16 0.000393863 +*RES +1 *22614:X *3562:16 33.5575 +2 *3562:16 *22615:C1 37.7268 +*END + +*D_NET *3563 0.00075632 +*CONN +*I *22616:D I *D sky130_fd_sc_hd__and4_1 +*I *22615:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22616:D 0.000204111 +2 *22615:X 0.000204111 +3 *22616:D *4857:12 6.51527e-05 +4 *22263:A2 *22616:D 6.08467e-05 +5 *22292:B1 *22616:D 6.51527e-05 +6 *3537:215 *22616:D 0.000156946 +*RES +1 *22615:X *22616:D 31.471 +*END + +*D_NET *3564 0.0104405 +*CONN +*I *22617:D I *D sky130_fd_sc_hd__nand4_4 +*I *22616:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22617:D 0.000193202 +2 *22616:X 0.000824872 +3 *3564:28 0.00150219 +4 *3564:14 0.00213386 +5 *3564:14 *4857:12 1.12793e-05 +6 *21876:C *3564:28 6.1063e-05 +7 *22041:A1 *3564:28 0.000814746 +8 *22041:B2 *3564:28 2.94835e-05 +9 *22264:A1 *3564:14 5.37221e-05 +10 *22264:A1 *3564:28 6.34651e-06 +11 *22264:A2 *3564:14 0.00036243 +12 *22264:B1 *3564:14 8.05608e-05 +13 *22271:A *3564:14 6.67835e-06 +14 *22271:A *3564:28 2.37988e-05 +15 *22271:B *3564:28 1.19455e-05 +16 *22271:C *3564:14 1.5714e-05 +17 *22287:B1 *3564:28 2.51881e-05 +18 *22292:B1 *3564:14 0.000268157 +19 *22292:B2 *3564:14 0.000134531 +20 *22601:C1 *3564:28 0.000294093 +21 *22607:A2 *22617:D 0 +22 *22610:A1 *3564:14 0.0004621 +23 *22615:A1 *3564:14 3.26582e-06 +24 *22617:C *22617:D 0.000227863 +25 *1562:39 *3564:28 0.000352281 +26 *1744:219 *3564:28 8.78153e-05 +27 *2527:24 *3564:28 8.17829e-06 +28 *2613:47 *3564:28 0.000140089 +29 *2613:48 *3564:28 0.00109526 +30 *2777:28 *22617:D 1.42293e-05 +31 *2819:38 *3564:14 1.06085e-05 +32 *2819:38 *3564:28 7.24827e-06 +33 *2873:17 *3564:14 1.03403e-05 +34 *2880:45 *22617:D 8.68509e-05 +35 *2880:45 *3564:28 0.000120643 +36 *2880:51 *3564:28 0.000238531 +37 *3039:46 *3564:14 0.000238586 +38 *3050:41 *22617:D 4.16913e-05 +39 *3050:52 *3564:28 9.80784e-05 +40 *3064:44 *3564:28 1.11285e-05 +41 *3111:160 *3564:14 3.69274e-05 +42 *3122:161 *3564:14 1.24195e-05 +43 *3471:227 *3564:28 0.000128351 +44 *3476:154 *3564:28 1.02986e-05 +45 *3552:6 *22617:D 1.61659e-05 +46 *3552:6 *3564:28 0.00012774 +*RES +1 *22616:X *3564:14 37.2503 +2 *3564:14 *3564:28 49.795 +3 *3564:28 *22617:D 19.7325 +*END + +*D_NET *3565 0.00160721 +*CONN +*I *22619:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22618:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22619:C1 0.000343108 +2 *22618:X 0.000343108 +3 *22619:C1 *3569:13 0.000276182 +4 *22288:A2 *22619:C1 8.90266e-05 +5 *22618:A2 *22619:C1 0.000111802 +6 *2882:74 *22619:C1 4.23622e-05 +7 *3198:190 *22619:C1 0.000349354 +8 *3243:30 *22619:C1 5.22667e-05 +*RES +1 *22618:X *22619:C1 37.5338 +*END + +*D_NET *3566 0.0039815 +*CONN +*I *22635:A I *D sky130_fd_sc_hd__nand4_4 +*I *22619:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22635:A 0 +2 *22619:X 0.000916669 +3 *3566:11 0.000916669 +4 *21229:A1 *3566:11 0.000120237 +5 *21236:C *3566:11 0.000227247 +6 *1542:86 *3566:11 0.000212333 +7 *1608:43 *3566:11 0.000457745 +8 *1616:71 *3566:11 0.000888714 +9 *2286:63 *3566:11 6.08467e-05 +10 *3238:16 *3566:11 2.40945e-05 +11 *3496:174 *3566:11 0.000156946 +*RES +1 *22619:X *3566:11 42.4355 +2 *3566:11 *22635:A 9.24915 +*END + +*D_NET *3567 0.00059041 +*CONN +*I *22621:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22620:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22621:C1 0.000155709 +2 *22620:X 0.000155709 +3 *22602:A1 *22621:C1 2.67744e-05 +4 *22602:A2 *22621:C1 5.88009e-05 +5 *22621:A1 *22621:C1 3.90625e-05 +6 *2570:138 *22621:C1 4.87595e-05 +7 *2631:70 *22621:C1 0 +8 *3052:78 *22621:C1 7.02358e-06 +9 *3485:163 *22621:C1 4.49767e-05 +10 *3487:137 *22621:C1 9.12416e-06 +11 *3487:151 *22621:C1 4.44699e-05 +*RES +1 *22620:X *22621:C1 32.0416 +*END + +*D_NET *3568 0.00523746 +*CONN +*I *22635:B I *D sky130_fd_sc_hd__nand4_4 +*I *22621:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22635:B 0 +2 *22621:X 0.00116513 +3 *3568:8 0.00116513 +4 *3568:8 *22644:B1 1.41307e-05 +5 *3568:8 *4810:66 9.97045e-06 +6 *21635:B1 *3568:8 2.70362e-05 +7 *21636:C1 *3568:8 0.000217306 +8 *1592:70 *3568:8 0.000290444 +9 *1592:93 *3568:8 8.45091e-05 +10 *1608:30 *3568:8 0.000713592 +11 *1608:43 *3568:8 8.62262e-06 +12 *1625:83 *3568:8 9.04241e-05 +13 *1631:34 *3568:8 8.56161e-05 +14 *1635:42 *3568:8 0.00030674 +15 *1641:109 *3568:8 0.000317359 +16 *2682:19 *3568:8 0.000155166 +17 *2887:41 *3568:8 6.517e-05 +18 *3238:16 *3568:8 0.000521108 +*RES +1 *22621:X *3568:8 47.8637 +2 *3568:8 *22635:B 13.7491 +*END + +*D_NET *3569 0.00967269 +*CONN +*I *22625:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22622:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22625:B1 0.000902265 +2 *22622:X 7.6077e-05 +3 *3569:13 0.00226836 +4 *3569:7 0.00144217 +5 *22625:B1 *22625:C1 4.57739e-05 +6 *22625:B1 *22635:C 0.000369976 +7 *22625:B1 *22635:D 0.0001154 +8 *22625:B1 *5919:72 4.9425e-05 +9 *6079:DIODE *3569:13 2.08842e-05 +10 *22379:A2 *3569:13 0.000455765 +11 *22601:A2 *22625:B1 1.77111e-05 +12 *22601:A2 *3569:13 0.000115778 +13 *22617:B *22625:B1 3.27594e-05 +14 *22618:A1 *3569:13 7.58067e-06 +15 *22619:B1 *3569:13 0.000186173 +16 *22619:B2 *3569:13 3.88655e-06 +17 *22619:C1 *3569:13 0.000276182 +18 *518:15 *22625:B1 1.0627e-05 +19 *518:31 *22625:B1 4.60716e-05 +20 *527:8 *22625:B1 3.63593e-05 +21 *1557:133 *3569:13 4.99151e-05 +22 *1573:183 *3569:13 0.000131452 +23 *1635:42 *22625:B1 2.77419e-05 +24 *1635:47 *22625:B1 3.49333e-05 +25 *1645:118 *3569:13 0.000139739 +26 *1721:118 *3569:13 0.000111802 +27 *2093:153 *3569:7 0.000111802 +28 *2286:53 *22625:B1 0.000197001 +29 *2286:53 *3569:13 1.33276e-05 +30 *2295:82 *22625:B1 8.30669e-06 +31 *2508:23 *3569:13 0.00102186 +32 *2613:47 *3569:13 0.000250819 +33 *2857:18 *22625:B1 3.63593e-05 +34 *2880:45 *22625:B1 4.89536e-05 +35 *2908:29 *22625:B1 0.000455534 +36 *2990:62 *22625:B1 0.000148852 +37 *3243:30 *22625:B1 0.000179836 +38 *3243:30 *3569:13 5.60749e-05 +39 *3243:41 *3569:13 1.44033e-05 +40 *3471:195 *3569:13 1.02986e-05 +41 *3474:166 *3569:13 0.000100546 +42 *3496:174 *22625:B1 4.39032e-05 +*RES +1 *22622:X *3569:7 15.0271 +2 *3569:7 *3569:13 46.9912 +3 *3569:13 *22625:B1 40.5425 +*END + +*D_NET *3570 0.00109095 +*CONN +*I *22624:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22623:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22624:C1 0.00023615 +2 *22623:X 0.00023615 +3 *22255:A1 *22624:C1 2.52776e-05 +4 *22624:B2 *22624:C1 6.36477e-05 +5 *22667:A1 *22624:C1 0.000110055 +6 *2527:24 *22624:C1 0.000106656 +7 *2887:66 *22624:C1 6.08467e-05 +8 *3021:43 *22624:C1 0.000107496 +9 *3185:116 *22624:C1 1.9101e-05 +10 *3496:179 *22624:C1 0.000125569 +*RES +1 *22623:X *22624:C1 34.1583 +*END + +*D_NET *3571 0.00160197 +*CONN +*I *22625:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22624:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22625:C1 0.000463461 +2 *22624:X 0.000463461 +3 *22625:C1 *22635:D 9.26423e-06 +4 *22617:B *22625:C1 8.51015e-05 +5 *22625:B1 *22625:C1 4.57739e-05 +6 *1641:130 *22625:C1 9.50926e-05 +7 *3021:39 *22625:C1 0.00025456 +8 *3142:190 *22625:C1 7.49541e-05 +9 *3496:174 *22625:C1 0.000110297 +*RES +1 *22624:X *22625:C1 37.5601 +*END + +*D_NET *3572 0.00155649 +*CONN +*I *22635:C I *D sky130_fd_sc_hd__nand4_4 +*I *22625:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22635:C 0.0005634 +2 *22625:X 0.0005634 +3 *22635:C *22635:D 2.71226e-06 +4 *22623:A1 *22635:C 2.16355e-05 +5 *22625:A1 *22635:C 1.33419e-05 +6 *22625:B1 *22635:C 0.000369976 +7 *3021:43 *22635:C 1.07795e-05 +8 *3496:174 *22635:C 1.12447e-05 +*RES +1 *22625:X *22635:C 27.4202 +*END + +*D_NET *3573 0.00369435 +*CONN +*I *22627:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22626:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22627:C1 0.000831573 +2 *22626:X 0.000831573 +3 *22627:C1 *4942:22 0.000422081 +4 *22231:B1 *22627:C1 0.000123496 +5 *22566:B2 *22627:C1 0.000395985 +6 *22615:C1 *22627:C1 0.000305497 +7 *22627:A2 *22627:C1 1.37669e-05 +8 *22627:B1 *22627:C1 0.000207883 +9 *1543:40 *22627:C1 0.00011445 +10 *2843:31 *22627:C1 0.000107496 +11 *2843:51 *22627:C1 1.37669e-05 +12 *3513:156 *22627:C1 0.000158451 +13 *3513:165 *22627:C1 1.41853e-05 +14 *3537:164 *22627:C1 0.000154145 +*RES +1 *22626:X *22627:C1 48.3738 +*END + +*D_NET *3574 0.00247868 +*CONN +*I *22634:A I *D sky130_fd_sc_hd__and4_2 +*I *22627:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22634:A 0.000794875 +2 *22627:X 0.000794875 +3 *22634:A *22634:D 0 +4 *22575:A1 *22634:A 0.000108556 +5 *22633:A2 *22634:A 3.07091e-05 +6 *2776:47 *22634:A 6.30534e-05 +7 *3192:170 *22634:A 0.000686613 +8 *3519:217 *22634:A 0 +*RES +1 *22627:X *22634:A 41.8838 +*END + +*D_NET *3575 0.00329962 +*CONN +*I *22629:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22628:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22629:C1 0 +2 *22628:X 0.000998039 +3 *3575:17 0.000998039 +4 *21857:C1 *3575:17 6.08467e-05 +5 *22575:A1 *3575:17 0.00030853 +6 *22576:B2 *3575:17 2.16355e-05 +7 *22616:C *3575:17 8.86025e-05 +8 *22629:A1 *3575:17 2.41274e-06 +9 *22629:A2 *3575:17 6.39153e-06 +10 *22629:B1 *3575:17 6.08467e-05 +11 *1618:104 *3575:17 7.22263e-05 +12 *1771:106 *3575:17 0.000200376 +13 *2542:28 *3575:17 9.2013e-05 +14 *2776:47 *3575:17 1.47488e-05 +15 *3038:23 *3575:17 0.000118854 +16 *3523:172 *3575:17 0.000256056 +*RES +1 *22628:X *3575:17 48.3335 +2 *3575:17 *22629:C1 9.24915 +*END + +*D_NET *3576 0.000318233 +*CONN +*I *22634:B I *D sky130_fd_sc_hd__and4_2 +*I *22629:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22634:B 6.05963e-05 +2 *22629:X 6.05963e-05 +3 *22634:B *22634:C 3.08288e-07 +4 *22629:A1 *22634:B 6.75815e-05 +5 *2776:47 *22634:B 0.000129151 +*RES +1 *22629:X *22634:B 20.8614 +*END + +*D_NET *3577 0.00118033 +*CONN +*I *22631:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22630:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22631:C1 4.93434e-05 +2 *22630:X 9.44043e-05 +3 *3577:8 0.000100073 +4 *3577:7 0.000145134 +5 *19895:C1 *22631:C1 0.000108325 +6 *19895:C1 *3577:7 2.27351e-05 +7 *22630:B1 *3577:7 6.08467e-05 +8 *22630:B2 *3577:7 6.08467e-05 +9 *2770:46 *22631:C1 8.95752e-05 +10 *2770:46 *3577:7 4.46618e-05 +11 *2770:68 *3577:7 6.08467e-05 +12 *3529:178 *3577:7 0.000343543 +*RES +1 *22630:X *3577:7 22.5493 +2 *3577:7 *3577:8 57.9449 +3 *3577:8 *22631:C1 20.355 +*END + +*D_NET *3578 0.00293211 +*CONN +*I *22634:C I *D sky130_fd_sc_hd__and4_2 +*I *22631:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22634:C 0.000663938 +2 *22631:X 0.000663938 +3 *22634:B *22634:C 3.08288e-07 +4 *2820:62 *22634:C 0.000200794 +5 *3046:29 *22634:C 0.000673168 +6 *3046:35 *22634:C 1.54854e-05 +7 *3173:33 *22634:C 0.000714473 +*RES +1 *22631:X *22634:C 45.684 +*END + +*D_NET *3579 0.0114732 +*CONN +*I *22633:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22632:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22633:C1 0 +2 *22632:X 0.00254399 +3 *3579:23 0.00254399 +4 *3579:23 *5908:87 0.00119431 +5 *21814:B1 *3579:23 0.000166294 +6 *22633:B1 *3579:23 0.000107496 +7 *1666:11 *3579:23 0.000480783 +8 *1688:75 *3579:23 0.000367046 +9 *1799:34 *3579:23 5.11419e-05 +10 *2468:37 *3579:23 0.00212803 +11 *2552:31 *3579:23 0.000139605 +12 *2589:46 *3579:23 0.000370086 +13 *2853:23 *3579:23 0 +14 *3057:14 *3579:23 0.000131689 +15 *3070:36 *3579:23 0.000373497 +16 *3523:172 *3579:23 0.000339681 +17 *3539:166 *3579:23 4.2372e-05 +18 *3543:149 *3579:23 0.00038221 +19 *3543:156 *3579:23 0.000110949 +*RES +1 *22632:X *3579:23 47.5004 +2 *3579:23 *22633:C1 9.24915 +*END + +*D_NET *3580 0.00156684 +*CONN +*I *22634:D I *D sky130_fd_sc_hd__and4_2 +*I *22633:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22634:D 0.000452586 +2 *22633:X 0.000452586 +3 *22042:C *22634:D 0.000126755 +4 *22575:A2 *22634:D 0.000116596 +5 *22629:A1 *22634:D 5.89592e-05 +6 *22633:A1 *22634:D 0.000120938 +7 *22634:A *22634:D 0 +8 *2776:47 *22634:D 5.89592e-05 +9 *3039:46 *22634:D 0.000156955 +10 *3190:169 *22634:D 1.88563e-05 +11 *3217:28 *22634:D 3.64497e-06 +*RES +1 *22633:X *22634:D 37.7565 +*END + +*D_NET *3581 0.0157123 +*CONN +*I *22635:D I *D sky130_fd_sc_hd__nand4_4 +*I *22634:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *22635:D 0.000566378 +2 *22634:X 0.00108654 +3 *3581:29 0.00220013 +4 *3581:25 0.00212296 +5 *3581:13 0.00157575 +6 *21225:A2 *3581:29 5.01835e-05 +7 *21225:B2 *3581:29 7.25274e-05 +8 *21229:B1 *22635:D 2.26985e-05 +9 *21235:C1 *3581:29 0.000124732 +10 *21309:C1 *3581:25 0.000307769 +11 *22290:A1 *3581:25 0.000158451 +12 *22293:C *3581:25 0.00011155 +13 *22379:B2 *3581:29 1.66626e-05 +14 *22604:B2 *3581:29 0.000474083 +15 *22625:B1 *22635:D 0.0001154 +16 *22625:C1 *22635:D 9.26423e-06 +17 *22635:C *22635:D 2.71226e-06 +18 *1608:46 *3581:29 0.000332002 +19 *1635:47 *3581:29 0.000535703 +20 *1641:130 *22635:D 0.000766084 +21 *1800:112 *22635:D 6.22114e-05 +22 *1800:119 *3581:25 7.92757e-06 +23 *1800:119 *3581:29 0.000205305 +24 *2344:18 *3581:29 0.000216823 +25 *2344:21 *3581:25 6.08467e-05 +26 *2776:47 *3581:13 0.000573987 +27 *2857:18 *22635:D 9.23123e-05 +28 *2873:10 *3581:13 0.00039939 +29 *2880:45 *22635:D 0.00019387 +30 *2881:51 *3581:25 6.99117e-05 +31 *2888:28 *3581:29 0.000403769 +32 *2990:66 *22635:D 0.000232052 +33 *3020:26 *3581:29 0.000144946 +34 *3122:153 *3581:25 9.80242e-07 +35 *3233:8 *3581:13 3.43356e-05 +36 *3238:16 *3581:29 0.00029407 +37 *3471:195 *3581:29 0.000200794 +38 *3496:174 *22635:D 2.93799e-05 +39 *3507:227 *3581:13 0.00043404 +40 *3509:241 *3581:13 0.000285131 +41 *3513:188 *3581:13 0.000953653 +42 *3513:188 *3581:25 0.000129131 +43 *3513:195 *3581:25 3.58531e-05 +44 *3513:199 *3581:25 0 +*RES +1 *22634:X *3581:13 49.7253 +2 *3581:13 *3581:25 29.8757 +3 *3581:25 *3581:29 46.9224 +4 *3581:29 *22635:D 36.2493 +*END + +*D_NET *3582 0.00471846 +*CONN +*I *22637:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22636:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22637:C1 0 +2 *22636:X 0.00118573 +3 *3582:10 0.00118573 +4 *3582:10 *22299:A1 4.0605e-06 +5 *3582:10 *22313:A1 9.19632e-06 +6 *3582:10 *22641:A1 0.000140307 +7 *3582:10 *22649:B1 3.0724e-05 +8 *3582:10 *4808:121 0.000130501 +9 *3582:10 *4827:51 4.69495e-06 +10 *3148:183 *3582:10 6.51527e-05 +11 *3204:150 *3582:10 0.000461578 +12 *3481:136 *3582:10 0.000101188 +13 *3527:24 *3582:10 0.000640682 +14 *3529:13 *3582:10 0.000758925 +*RES +1 *22636:X *3582:10 48.7658 +2 *3582:10 *22637:C1 9.24915 +*END + +*D_NET *3583 0.00109453 +*CONN +*I *22653:A I *D sky130_fd_sc_hd__nand4_4 +*I *22637:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22653:A 0.000299995 +2 *22637:X 0.000299995 +3 *22653:A *4805:73 1.37492e-05 +4 *22591:C *22653:A 0.000110297 +5 *22591:D *22653:A 1.03403e-05 +6 *22593:C *22653:A 6.22114e-05 +7 *531:16 *22653:A 2.99287e-05 +8 *2690:34 *22653:A 3.20069e-06 +9 *2690:39 *22653:A 2.57986e-05 +10 *3136:149 *22653:A 0.000175352 +11 *3151:141 *22653:A 0 +12 *3179:134 *22653:A 6.3657e-05 +13 *3204:140 *22653:A 0 +*RES +1 *22637:X *22653:A 35.0718 +*END + +*D_NET *3584 0.0009872 +*CONN +*I *22639:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22638:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22639:C1 0.000298329 +2 *22638:X 0.000298329 +3 *21320:A1 *22639:C1 7.31445e-05 +4 *2694:38 *22639:C1 0.000103246 +5 *3481:80 *22639:C1 0.000106656 +6 *3527:16 *22639:C1 0.000107496 +*RES +1 *22638:X *22639:C1 33.242 +*END + +*D_NET *3585 0.00223391 +*CONN +*I *22653:B I *D sky130_fd_sc_hd__nand4_4 +*I *22639:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22653:B 0.000357453 +2 *22639:X 0.000357453 +3 *22653:B *4804:62 0.000486765 +4 *2630:9 *22653:B 0.000298399 +5 *3117:132 *22653:B 2.13294e-05 +6 *3511:17 *22653:B 0.0004113 +7 *3529:7 *22653:B 0.000301209 +*RES +1 *22639:X *22653:B 40.7165 +*END + +*D_NET *3586 0.00279707 +*CONN +*I *22643:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22640:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22643:B1 0.000501509 +2 *22640:X 0.000501509 +3 *22643:B1 *22643:A1 4.13565e-05 +4 *22643:A2 *22643:B1 9.95922e-06 +5 *2411:26 *22643:B1 0.000303002 +6 *2520:91 *22643:B1 0.000610265 +7 *2694:38 *22643:B1 2.91309e-05 +8 *3117:132 *22643:B1 2.87136e-06 +9 *3491:17 *22643:B1 2.16355e-05 +10 *3496:125 *22643:B1 9.80784e-05 +11 *3496:142 *22643:B1 5.35153e-05 +12 *3509:24 *22643:B1 0.000605114 +13 *3519:24 *22643:B1 1.91246e-05 +*RES +1 *22640:X *22643:B1 43.7328 +*END + +*D_NET *3587 0.00395859 +*CONN +*I *22642:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22641:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22642:C1 0 +2 *22641:X 0.000928074 +3 *3587:8 0.000928074 +4 *3587:8 *22649:C1 0.000128803 +5 *3587:8 *4811:42 0.000303415 +6 *2280:6 *3587:8 7.00754e-05 +7 *3124:127 *3587:8 0.000640694 +8 *3485:133 *3587:8 0.000760004 +9 *3500:99 *3587:8 6.11784e-05 +10 *3527:24 *3587:8 9.83674e-06 +11 *3527:54 *3587:8 3.57291e-06 +12 *3533:38 *3587:8 0.000124862 +*RES +1 *22641:X *3587:8 44.9963 +2 *3587:8 *22642:C1 9.24915 +*END + +*D_NET *3588 0.00139554 +*CONN +*I *22643:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22642:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22643:C1 0.000442217 +2 *22642:X 0.000442217 +3 *22643:C1 *22643:A1 7.24818e-05 +4 *3117:132 *22643:C1 2.17814e-05 +5 *3476:20 *22643:C1 2.55703e-05 +6 *3511:28 *22643:C1 0.000387391 +7 *3529:13 *22643:C1 3.88655e-06 +*RES +1 *22642:X *22643:C1 35.6702 +*END + +*D_NET *3589 0.00178607 +*CONN +*I *22653:C I *D sky130_fd_sc_hd__nand4_4 +*I *22643:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22653:C 0.000388296 +2 *22643:X 0.000388296 +3 *22653:C *22643:A1 0.000131362 +4 *22653:C *4827:51 6.69933e-05 +5 *21352:A1 *22653:C 1.48618e-05 +6 *2274:95 *22653:C 0.000168468 +7 *2275:9 *22653:C 6.50586e-05 +8 *2694:38 *22653:C 5.80995e-05 +9 *3179:134 *22653:C 5.01835e-05 +10 *3476:20 *22653:C 3.63738e-05 +11 *3496:142 *22653:C 0.000382576 +12 *3498:163 *22653:C 2.87378e-05 +13 *3529:13 *22653:C 6.75845e-06 +*RES +1 *22643:X *22653:C 38.5919 +*END + +*D_NET *3590 0.00116275 +*CONN +*I *22645:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22644:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22645:C1 0.000395994 +2 *22644:X 0.000395994 +3 *22645:C1 *22644:B1 0.000110306 +4 *22645:B2 *22645:C1 2.53145e-06 +5 *3204:299 *22645:C1 0.000257921 +*RES +1 *22644:X *22645:C1 26.4556 +*END + +*D_NET *3591 0.000669609 +*CONN +*I *22652:A I *D sky130_fd_sc_hd__and4_1 +*I *22645:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22652:A 9.31898e-05 +2 *22645:X 9.31898e-05 +3 *22652:A *4808:121 0.000349336 +4 *22652:A *4814:83 0.000133894 +*RES +1 *22645:X *22652:A 22.5493 +*END + +*D_NET *3592 0.000686281 +*CONN +*I *22647:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22646:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22647:C1 0.000129876 +2 *22646:X 0.000129876 +3 *22647:C1 *22646:A1 3.57572e-05 +4 *22647:C1 *4808:101 0.000217944 +5 *1750:45 *22647:C1 0.000107496 +6 *3523:276 *22647:C1 6.53312e-05 +*RES +1 *22646:X *22647:C1 23.128 +*END + +*D_NET *3593 0.00111568 +*CONN +*I *22652:B I *D sky130_fd_sc_hd__and4_1 +*I *22647:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22652:B 0.000360737 +2 *22647:X 0.000360737 +3 *22652:B *22299:A1 2.38934e-06 +4 *22652:B *22649:B1 0.000110306 +5 *22652:B *22652:C 6.22259e-05 +6 *22652:B *3597:18 3.5534e-06 +7 *22652:B *4808:121 0.000105509 +8 *22649:A2 *22652:B 7.92757e-06 +9 *2411:26 *22652:B 9.66954e-05 +10 *2694:38 *22652:B 5.60291e-06 +*RES +1 *22647:X *22652:B 33.7966 +*END + +*D_NET *3594 0.000763954 +*CONN +*I *22649:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22648:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22649:C1 0.00018729 +2 *22648:X 0.00018729 +3 *22649:C1 *4819:57 2.29454e-05 +4 *3527:24 *22649:C1 0.000215467 +5 *3527:54 *22649:C1 2.21587e-05 +6 *3587:8 *22649:C1 0.000128803 +*RES +1 *22648:X *22649:C1 31.9934 +*END + +*D_NET *3595 0.000591968 +*CONN +*I *22652:C I *D sky130_fd_sc_hd__and4_1 +*I *22649:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22652:C 0.000170884 +2 *22649:X 0.000170884 +3 *22652:C *22649:B1 0.000156946 +4 *22652:C *4810:66 1.5714e-05 +5 *22652:B *22652:C 6.22259e-05 +6 *2694:38 *22652:C 1.53148e-05 +*RES +1 *22649:X *22652:C 30.7476 +*END + +*D_NET *3596 0.000522696 +*CONN +*I *22651:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22650:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22651:C1 0.000168724 +2 *22650:X 0.000168724 +3 *22651:C1 *4815:98 3.54474e-05 +4 *22651:C1 *4815:116 7.08288e-05 +5 *22651:C1 *4827:79 1.53148e-05 +6 *3481:215 *22651:C1 6.3657e-05 +*RES +1 *22650:X *22651:C1 30.8842 +*END + +*D_NET *3597 0.00374938 +*CONN +*I *22652:D I *D sky130_fd_sc_hd__and4_1 +*I *22651:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22652:D 0 +2 *22651:X 0.00110447 +3 *3597:18 0.00110447 +4 *3597:18 *21695:A1 3.77568e-05 +5 *21658:C1 *3597:18 9.90479e-05 +6 *22652:B *3597:18 3.5534e-06 +7 *2411:26 *3597:18 7.03163e-06 +8 *2411:34 *3597:18 0.000238603 +9 *2694:38 *3597:18 0.000147238 +10 *3485:197 *3597:18 0.000273279 +11 *3487:214 *3597:18 7.09666e-06 +12 *3537:19 *3597:18 0.000190903 +13 *3537:35 *3597:18 0.000535932 +*RES +1 *22651:X *3597:18 41.1731 +2 *3597:18 *22652:D 9.24915 +*END + +*D_NET *3598 0.0039874 +*CONN +*I *22653:D I *D sky130_fd_sc_hd__nand4_4 +*I *22652:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22653:D 0 +2 *22652:X 0.000847451 +3 *3598:11 0.000847451 +4 *3598:11 *22305:A1 2.27135e-05 +5 *3598:11 *4808:27 2.65465e-05 +6 *3598:11 *4808:29 0.000156946 +7 *3598:11 *4812:38 6.29131e-05 +8 *3598:11 *4818:79 0.000158016 +9 *22640:A2 *3598:11 1.8114e-05 +10 *2694:38 *3598:11 0.00100373 +11 *3179:134 *3598:11 0.000126324 +12 *3481:136 *3598:11 0.000282191 +13 *3498:163 *3598:11 5.45571e-05 +14 *3519:24 *3598:11 0.000211137 +15 *3527:24 *3598:11 4.82779e-06 +16 *3533:30 *3598:11 0.000164487 +*RES +1 *22652:X *3598:11 42.9792 +2 *3598:11 *22653:D 9.24915 +*END + +*D_NET *3599 0.00281413 +*CONN +*I *22655:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22654:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22655:C1 0.000801627 +2 *22654:X 0.000801627 +3 *2825:12 *22655:C1 9.66809e-05 +4 *3476:29 *22655:C1 0.000863368 +5 *3476:36 *22655:C1 0.000154145 +6 *3523:276 *22655:C1 9.66809e-05 +*RES +1 *22654:X *22655:C1 41.561 +*END + +*D_NET *3600 0.00289051 +*CONN +*I *22671:A I *D sky130_fd_sc_hd__nand4_4 +*I *22655:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22671:A 0.000607258 +2 *22655:X 0.000607258 +3 *22671:A *4807:101 0.000152445 +4 *21193:A1 *22671:A 0.000251761 +5 *1645:69 *22671:A 1.4059e-05 +6 *2273:18 *22671:A 0.000360169 +7 *2595:15 *22671:A 0.000200794 +8 *2635:95 *22671:A 0.000205006 +9 *3139:122 *22671:A 0.000276639 +10 *3196:23 *22671:A 0.000200794 +11 *3513:26 *22671:A 1.43259e-05 +*RES +1 *22655:X *22671:A 44.486 +*END + +*D_NET *3601 0.00155949 +*CONN +*I *22657:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22656:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22657:C1 0.000398773 +2 *22656:X 0.000398773 +3 *2577:48 *22657:C1 2.33403e-05 +4 *2581:42 *22657:C1 0.000182081 +5 *2581:61 *22657:C1 9.12416e-06 +6 *2637:39 *22657:C1 0.000111722 +7 *2825:12 *22657:C1 0.000435672 +*RES +1 *22656:X *22657:C1 38.5714 +*END + +*D_NET *3602 0.000672093 +*CONN +*I *22671:B I *D sky130_fd_sc_hd__nand4_4 +*I *22657:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22671:B 0.000157453 +2 *22657:X 0.000157453 +3 *21193:B1 *22671:B 0.000115045 +4 *2273:18 *22671:B 0.000123662 +5 *2596:18 *22671:B 3.88655e-06 +6 *2630:16 *22671:B 0.000114594 +*RES +1 *22657:X *22671:B 31.4388 +*END + +*D_NET *3603 0.00200304 +*CONN +*I *22661:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22658:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22661:B1 0.000501093 +2 *22658:X 0.000501093 +3 *22661:B1 *22671:D 0.000165587 +4 *22661:B1 *4807:101 6.24179e-05 +5 *22655:B1 *22661:B1 0.000103044 +6 *1730:240 *22661:B1 0.000129818 +7 *2546:28 *22661:B1 3.11618e-05 +8 *2825:12 *22661:B1 7.52025e-05 +9 *3466:47 *22661:B1 0.0003443 +10 *3471:15 *22661:B1 2.84783e-05 +11 *3476:29 *22661:B1 6.08467e-05 +*RES +1 *22658:X *22661:B1 40.8502 +*END + +*D_NET *3604 0.00132374 +*CONN +*I *22660:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22659:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22660:C1 0.000281402 +2 *22659:X 0.000281402 +3 *21583:B1 *22660:C1 7.08288e-05 +4 *22660:B2 *22660:C1 4.81452e-05 +5 *1552:65 *22660:C1 0.000281486 +6 *2919:51 *22660:C1 0.000181887 +7 *3142:98 *22660:C1 2.16355e-05 +8 *3498:119 *22660:C1 0.000156955 +*RES +1 *22659:X *22660:C1 35.87 +*END + +*D_NET *3605 0.0022836 +*CONN +*I *22661:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22660:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22661:C1 0.000487659 +2 *22660:X 0.000487659 +3 *22661:C1 *22671:C 7.94841e-05 +4 *22661:C1 *4807:82 2.53145e-06 +5 *22661:A1 *22661:C1 6.08467e-05 +6 *22661:A2 *22661:C1 5.63274e-05 +7 *2894:39 *22661:C1 2.37266e-05 +8 *3196:26 *22661:C1 0.000104188 +9 *3280:13 *22661:C1 0.000103044 +10 *3491:27 *22661:C1 2.16355e-05 +11 *3496:125 *22661:C1 0.000329659 +12 *3502:105 *22661:C1 0.00022994 +13 *3502:124 *22661:C1 0.000296903 +*RES +1 *22660:X *22661:C1 42.5976 +*END + +*D_NET *3606 0.00123658 +*CONN +*I *22671:C I *D sky130_fd_sc_hd__nand4_4 +*I *22661:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22671:C 0.000356246 +2 *22661:X 0.000356246 +3 *22661:A1 *22671:C 2.16355e-05 +4 *22661:A2 *22671:C 0.000113968 +5 *22661:C1 *22671:C 7.94841e-05 +6 *1788:46 *22671:C 0.000107852 +7 *2546:28 *22671:C 0.000107852 +8 *3491:27 *22671:C 9.32983e-05 +*RES +1 *22661:X *22671:C 34.3512 +*END + +*D_NET *3607 0.00460706 +*CONN +*I *22663:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22662:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22663:C1 0 +2 *22662:X 0.00122879 +3 *3607:10 0.00122879 +4 *21801:A1 *3607:10 4.63888e-05 +5 *21801:A2 *3607:10 4.75903e-05 +6 *22336:A2 *3607:10 3.3239e-06 +7 *22663:B1 *3607:10 9.38799e-05 +8 *1614:30 *3607:10 0.00119378 +9 *2523:123 *3607:10 4.49912e-05 +10 *2541:48 *3607:10 4.85316e-05 +11 *2991:67 *3607:10 0.000163194 +12 *2991:70 *3607:10 2.45949e-05 +13 *3179:167 *3607:10 0.000176781 +14 *3507:34 *3607:10 0.000108634 +15 *3513:57 *3607:10 0.000161243 +16 *3519:332 *3607:10 3.65373e-05 +*RES +1 *22662:X *3607:10 48.9051 +2 *3607:10 *22663:C1 9.24915 +*END + +*D_NET *3608 0.000240248 +*CONN +*I *22670:A I *D sky130_fd_sc_hd__and4_1 +*I *22663:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22670:A 2.29935e-05 +2 *22663:X 2.29935e-05 +3 *22670:A *24914:A 1.88014e-05 +4 *21643:C *22670:A 0.000111802 +5 *22663:A1 *22670:A 6.3657e-05 +*RES +1 *22663:X *22670:A 19.7763 +*END + +*D_NET *3609 0.000528994 +*CONN +*I *22665:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22664:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22665:C1 0.00011794 +2 *22664:X 0.00011794 +3 *21800:A1 *22665:C1 2.17277e-05 +4 *21800:A2 *22665:C1 5.04829e-06 +5 *22667:B1 *22665:C1 0.000126324 +6 *1655:61 *22665:C1 0.000140013 +*RES +1 *22664:X *22665:C1 30.8842 +*END + +*D_NET *3610 0.000622257 +*CONN +*I *22670:B I *D sky130_fd_sc_hd__and4_1 +*I *22665:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22670:B 0.00016191 +2 *22665:X 0.00016191 +3 *22670:B *22670:C 3.12828e-05 +4 *22665:A1 *22670:B 6.64392e-05 +5 *1552:70 *22670:B 0.000118854 +6 *2093:68 *22670:B 6.64392e-05 +7 *2554:56 *22670:B 1.54212e-05 +*RES +1 *22665:X *22670:B 31.617 +*END + +*D_NET *3611 0.000527005 +*CONN +*I *22667:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22666:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22667:C1 0.000207275 +2 *22666:X 0.000207275 +3 *3529:39 *22667:C1 8.67924e-06 +4 *3529:62 *22667:C1 3.76578e-05 +5 *3533:62 *22667:C1 6.61183e-05 +*RES +1 *22666:X *22667:C1 23.128 +*END + +*D_NET *3612 0.0019396 +*CONN +*I *22670:C I *D sky130_fd_sc_hd__and4_1 +*I *22667:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22670:C 0.000472166 +2 *22667:X 0.000472166 +3 *22670:C *22670:D 0.000133978 +4 *22670:C *4848:30 8.56681e-05 +5 *22667:A2 *22670:C 1.54479e-05 +6 *22670:B *22670:C 3.12828e-05 +7 *1552:70 *22670:C 1.26094e-05 +8 *2542:35 *22670:C 0.000528717 +9 *2554:51 *22670:C 0.000145973 +10 *2554:56 *22670:C 1.42333e-05 +11 *2613:48 *22670:C 2.73601e-05 +*RES +1 *22667:X *22670:C 40.5421 +*END + +*D_NET *3613 0.00470981 +*CONN +*I *22669:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22668:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22669:C1 4.51932e-05 +2 *22668:X 0.00126896 +3 *3613:10 0.00131416 +4 *22669:C1 *24914:A 0.000262451 +5 *22669:C1 *4817:161 0.000110306 +6 *3613:10 *24914:A 2.67447e-05 +7 *21746:A *3613:10 9.12416e-06 +8 *21746:C *22669:C1 6.46921e-05 +9 *22010:A1 *3613:10 1.5714e-05 +10 *22668:A1 *3613:10 0 +11 *1539:18 *3613:10 0 +12 *1614:30 *3613:10 0 +13 *1627:49 *3613:10 5.42518e-05 +14 *1627:79 *3613:10 0 +15 *1800:75 *3613:10 5.35941e-05 +16 *2825:92 *3613:10 5.01835e-05 +17 *3019:28 *3613:10 1.25395e-05 +18 *3071:67 *3613:10 6.61711e-06 +19 *3072:20 *3613:10 6.08112e-05 +20 *3172:6 *3613:10 0.00115186 +21 *3466:228 *3613:10 3.07848e-05 +22 *3539:60 *3613:10 0.000171825 +*RES +1 *22668:X *3613:10 47.7959 +2 *3613:10 *22669:C1 12.191 +*END + +*D_NET *3614 0.00136746 +*CONN +*I *22670:D I *D sky130_fd_sc_hd__and4_1 +*I *22669:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22670:D 0.000356057 +2 *22669:X 0.000356057 +3 *22670:C *22670:D 0.000133978 +4 *2554:56 *22670:D 0.000133978 +5 *2777:60 *22670:D 0.000387391 +*RES +1 *22669:X *22670:D 34.7664 +*END + +*D_NET *3615 0.0109344 +*CONN +*I *22671:D I *D sky130_fd_sc_hd__nand4_4 +*I *22670:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22671:D 0.000697448 +2 *22670:X 0.00014417 +3 *3615:12 0.00227703 +4 *3615:11 0.00172376 +5 *21483:A1 *22671:D 0.000205101 +6 *21577:A1 *3615:12 0.000154573 +7 *21583:A2 *3615:12 0.000313279 +8 *22323:A2 *3615:12 8.08437e-05 +9 *22327:C1 *3615:12 0.0014158 +10 *22332:A2 *22671:D 0.000116111 +11 *22661:B1 *22671:D 0.000165587 +12 *22665:A1 *3615:11 6.13373e-05 +13 *22665:B1 *3615:12 3.29488e-05 +14 *1552:70 *3615:12 0.000138597 +15 *1577:74 *22671:D 0.000347849 +16 *1592:16 *3615:12 0.000136357 +17 *1616:42 *22671:D 0.000139075 +18 *1658:223 *3615:11 0.000158451 +19 *2527:24 *3615:11 9.44068e-05 +20 *2527:34 *3615:11 4.63742e-05 +21 *2546:28 *22671:D 2.27901e-06 +22 *2554:56 *3615:11 0.000137356 +23 *2554:56 *3615:12 9.81495e-05 +24 *2589:71 *3615:12 0.000691412 +25 *2630:31 *22671:D 1.74855e-05 +26 *2634:29 *3615:12 0.000396576 +27 *2825:12 *22671:D 0.000295847 +28 *3126:228 *22671:D 0.000254551 +29 *3198:29 *22671:D 0.000149596 +30 *3476:36 *3615:12 1.0927e-05 +31 *3491:46 *22671:D 4.41716e-05 +32 *3517:151 *3615:12 0.000386999 +*RES +1 *22670:X *3615:11 27.6595 +2 *3615:11 *3615:12 46.264 +3 *3615:12 *22671:D 43.7374 +*END + +*D_NET *3616 0.000559648 +*CONN +*I *22673:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22672:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22673:C1 0.000195738 +2 *22672:X 0.000195738 +3 *22672:A1 *22673:C1 6.40982e-05 +4 *22672:A2 *22673:C1 4.78069e-06 +5 *2307:19 *22673:C1 9.92928e-05 +*RES +1 *22672:X *22673:C1 23.128 +*END + +*D_NET *3617 0.0017624 +*CONN +*I *22689:A I *D sky130_fd_sc_hd__nand4_4 +*I *22673:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22689:A 0.000378639 +2 *22673:X 0.000378639 +3 *22673:A2 *22689:A 7.94462e-05 +4 *22673:B1 *22689:A 0.000154145 +5 *2307:19 *22689:A 4.1377e-05 +6 *2492:32 *22689:A 0.000265841 +7 *3192:69 *22689:A 0.000464311 +*RES +1 *22673:X *22689:A 38.6374 +*END + +*D_NET *3618 0.00166065 +*CONN +*I *22675:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22674:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22675:C1 0.000435425 +2 *22674:X 0.000435425 +3 *22675:C1 *22650:B1 2.27901e-06 +4 *22674:A1 *22675:C1 7.09367e-05 +5 *22675:B1 *22675:C1 1.26168e-05 +6 *22675:B2 *22675:C1 3.29488e-05 +7 *22710:B2 *22675:C1 6.08467e-05 +8 *22711:B2 *22675:C1 9.07661e-05 +9 *3483:206 *22675:C1 1.80071e-06 +10 *3485:210 *22675:C1 1.47978e-05 +11 *3487:233 *22675:C1 3.86194e-05 +12 *3498:179 *22675:C1 0.000116751 +13 *3537:19 *22675:C1 0.000347437 +*RES +1 *22674:X *22675:C1 38.5857 +*END + +*D_NET *3619 0.00254016 +*CONN +*I *22689:B I *D sky130_fd_sc_hd__nand4_4 +*I *22675:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22689:B 0.000696151 +2 *22675:X 0.000696151 +3 *22689:B *21658:A1 5.19452e-05 +4 *22689:B *22311:A 5.54585e-05 +5 *22689:B *4817:108 3.14713e-05 +6 *22711:B1 *22689:B 1.88152e-05 +7 *1443:60 *22689:B 0.000283435 +8 *1658:242 *22689:B 0.00020874 +9 *2361:13 *22689:B 0.000447615 +10 *2440:67 *22689:B 7.92757e-06 +11 *3493:8 *22689:B 4.24478e-05 +*RES +1 *22675:X *22689:B 42.659 +*END + +*D_NET *3620 0.0111728 +*CONN +*I *22679:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22676:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22679:B1 0.000101474 +2 *22676:X 0.00138182 +3 *3620:30 0.00171456 +4 *3620:14 0.00299491 +5 *22679:B1 *22689:C 6.95168e-05 +6 *3620:14 *22724:D 1.27831e-06 +7 *3620:14 *3632:25 3.37826e-05 +8 *3620:30 *22309:B1 0.000129702 +9 *3620:30 *22689:D 5.36343e-05 +10 *3620:30 *22725:C 0.000206622 +11 *3620:30 *3632:25 1.57199e-05 +12 *21247:B1 *3620:30 1.27831e-06 +13 *21372:C1 *3620:30 0.000211573 +14 *21395:A2 *3620:30 0.000344039 +15 *21395:B2 *3620:30 1.5714e-05 +16 *21422:A1 *3620:30 0.000170442 +17 *22354:C1 *3620:14 2.03182e-05 +18 *22387:A1 *3620:14 0.000511704 +19 *22399:A *3620:14 7.36379e-05 +20 *22399:B *3620:14 7.02358e-06 +21 *22400:C1 *3620:14 0.000134817 +22 *22676:A2 *3620:14 0.000154145 +23 *22679:A1 *22679:B1 3.26732e-05 +24 *22679:A2 *22679:B1 4.69924e-06 +25 *22708:A1 *3620:30 9.2937e-05 +26 *22719:A1 *3620:14 0.000268089 +27 *22723:A2 *3620:14 0.000117658 +28 *482:79 *3620:14 0.000115588 +29 *2117:77 *3620:14 0.000596937 +30 *2243:22 *3620:14 1.3706e-05 +31 *2304:65 *3620:14 2.57042e-05 +32 *2304:65 *3620:30 6.29542e-05 +33 *2306:75 *3620:14 1.09087e-05 +34 *2477:26 *3620:14 0.000126219 +35 *2480:38 *3620:30 0.000422035 +36 *3111:125 *3620:14 2.08413e-05 +37 *3111:142 *3620:14 7.49659e-06 +38 *3198:170 *3620:14 0.000211883 +39 *3306:15 *3620:30 8.41325e-05 +40 *3343:19 *3620:14 3.63738e-05 +41 *3358:11 *3620:14 3.90689e-06 +42 *3474:218 *3620:30 4.50764e-05 +43 *3476:195 *3620:30 0.000232637 +44 *3491:178 *3620:30 4.36134e-05 +45 *3498:211 *3620:30 0.000249026 +46 *3521:191 *3620:14 0 +*RES +1 *22676:X *3620:14 49.9866 +2 *3620:14 *3620:30 49.4561 +3 *3620:30 *22679:B1 16.7754 +*END + +*D_NET *3621 0.00340549 +*CONN +*I *22678:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22677:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22678:C1 0 +2 *22677:X 0.000901841 +3 *3621:10 0.000901841 +4 *3621:10 *21659:A1 2.37478e-05 +5 *3621:10 *3634:14 3.3738e-05 +6 *21659:A2 *3621:10 5.57273e-05 +7 *22392:B2 *3621:10 0.000177043 +8 *22677:B2 *3621:10 0.000156955 +9 *529:14 *3621:10 4.58897e-06 +10 *537:14 *3621:10 6.22259e-05 +11 *1564:84 *3621:10 3.88655e-06 +12 *2258:64 *3621:10 0 +13 *2687:68 *3621:10 0.000653548 +14 *2857:11 *3621:10 0.000111802 +15 *2857:18 *3621:10 7.5301e-06 +16 *3139:176 *3621:10 0.00016329 +17 *3300:8 *3621:10 0.000147722 +*RES +1 *22677:X *3621:10 41.0181 +2 *3621:10 *22678:C1 9.24915 +*END + +*D_NET *3622 0.00220282 +*CONN +*I *22679:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22678:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22679:C1 0.000537842 +2 *22678:X 2.8296e-05 +3 *3622:8 0.000588571 +4 *3622:7 7.90255e-05 +5 *22679:C1 *22309:B1 0.000118724 +6 *22679:C1 *22689:C 0.000207911 +7 *2478:18 *22679:C1 5.88009e-05 +8 *2481:17 *22679:C1 5.51483e-06 +9 *2481:17 *3622:7 0.000107496 +10 *3126:25 *22679:C1 0.000105515 +11 *3126:25 *3622:7 0.000105509 +12 *3306:15 *22679:C1 0.000158451 +13 *3491:178 *22679:C1 0.000101169 +*RES +1 *22678:X *3622:7 19.7763 +2 *3622:7 *3622:8 57.9449 +3 *3622:8 *22679:C1 46.4612 +*END + +*D_NET *3623 0.00120694 +*CONN +*I *22689:C I *D sky130_fd_sc_hd__nand4_4 +*I *22679:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22689:C 0.00043909 +2 *22679:X 0.00043909 +3 *22679:A1 *22689:C 1.88211e-05 +4 *22679:A2 *22689:C 5.58918e-06 +5 *22679:B1 *22689:C 6.95168e-05 +6 *22679:C1 *22689:C 0.000207911 +7 *2459:56 *22689:C 5.29029e-06 +8 *2481:17 *22689:C 2.16355e-05 +*RES +1 *22679:X *22689:C 27.4684 +*END + +*D_NET *3624 0.0025392 +*CONN +*I *22681:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22680:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22681:C1 0.000443879 +2 *22680:X 0.000443879 +3 *22705:A2 *22681:C1 0.000112366 +4 *2357:87 *22681:C1 9.12416e-06 +5 *2360:78 *22681:C1 0.000144984 +6 *3179:224 *22681:C1 9.35651e-05 +7 *3509:255 *22681:C1 0.000296412 +8 *3509:259 *22681:C1 0.000485976 +9 *3537:215 *22681:C1 8.45896e-06 +10 *3539:198 *22681:C1 0.000105901 +11 *3539:201 *22681:C1 0.000394659 +*RES +1 *22680:X *22681:C1 42.5253 +*END + +*D_NET *3625 0.00169408 +*CONN +*I *22688:A I *D sky130_fd_sc_hd__and4_2 +*I *22681:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22688:A 0.000460307 +2 *22681:X 0.000460307 +3 *22723:B2 *22688:A 9.32891e-05 +4 *2433:59 *22688:A 0.000586888 +5 *3301:8 *22688:A 9.32891e-05 +*RES +1 *22681:X *22688:A 36.1543 +*END + +*D_NET *3626 0.00310175 +*CONN +*I *22683:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22682:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22683:C1 0.000555071 +2 *22682:X 0.000555071 +3 *22683:C1 *21283:A1 8.70316e-05 +4 *22683:C1 *3632:19 0.000133112 +5 *22683:C1 *5888:14 3.58315e-06 +6 *21283:B2 *22683:C1 0.000329103 +7 *22355:B *22683:C1 8.41511e-06 +8 *22683:B1 *22683:C1 0.000173249 +9 *477:180 *22683:C1 0.000536514 +10 *2355:21 *22683:C1 0.000107496 +11 *2475:18 *22683:C1 0.000442636 +12 *3517:310 *22683:C1 4.60155e-05 +13 *3519:260 *22683:C1 2.29454e-05 +14 *3521:191 *22683:C1 0.000101503 +*RES +1 *22682:X *22683:C1 40.4516 +*END + +*D_NET *3627 0.000193721 +*CONN +*I *22688:B I *D sky130_fd_sc_hd__and4_2 +*I *22683:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22688:B 5.78142e-05 +2 *22683:X 5.78142e-05 +3 *22723:A2 *22688:B 1.24564e-05 +4 *22723:B2 *22688:B 6.56365e-05 +*RES +1 *22683:X *22688:B 28.915 +*END + +*D_NET *3628 0.000895246 +*CONN +*I *22685:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22684:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22685:C1 0.000176918 +2 *22684:X 0.000176918 +3 *22374:B1 *22685:C1 4.31485e-06 +4 *2298:30 *22685:C1 0.000118724 +5 *2391:100 *22685:C1 0.000257342 +6 *2464:51 *22685:C1 0.000112467 +7 *2870:40 *22685:C1 1.03403e-05 +8 *3192:104 *22685:C1 3.82228e-05 +*RES +1 *22684:X *22685:C1 33.1026 +*END + +*D_NET *3629 0.002003 +*CONN +*I *22688:C I *D sky130_fd_sc_hd__and4_2 +*I *22685:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22688:C 0.000628602 +2 *22685:X 0.000628602 +3 *22688:C *22688:D 0.00013413 +4 *22687:A2 *22688:C 6.98648e-06 +5 *22723:A2 *22688:C 1.2693e-05 +6 *22723:B2 *22688:C 4.2874e-05 +7 *2391:100 *22688:C 0.000445581 +8 *3521:198 *22688:C 0.000103531 +*RES +1 *22685:X *22688:C 39.0583 +*END + +*D_NET *3630 0.00312408 +*CONN +*I *22687:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22686:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22687:C1 0.000792457 +2 *22686:X 0.000792457 +3 *22350:B *22687:C1 9.07931e-05 +4 *22685:B1 *22687:C1 0.000302686 +5 *22686:B1 *22687:C1 4.23858e-05 +6 *22687:B2 *22687:C1 4.76794e-05 +7 *2306:56 *22687:C1 0.000432537 +8 *2334:15 *22687:C1 1.91246e-05 +9 *2354:11 *22687:C1 3.73224e-05 +10 *2433:59 *22687:C1 8.20416e-05 +11 *3301:8 *22687:C1 4.08793e-05 +12 *3305:8 *22687:C1 4.17069e-06 +13 *3517:281 *22687:C1 0.0001484 +14 *3539:201 *22687:C1 0.000156076 +15 *3543:97 *22687:C1 0.000127536 +16 *3543:111 *22687:C1 7.5301e-06 +*RES +1 *22686:X *22687:C1 48.7891 +*END + +*D_NET *3631 0.00100738 +*CONN +*I *22688:D I *D sky130_fd_sc_hd__and4_2 +*I *22687:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22688:D 0.000258728 +2 *22687:X 0.000258728 +3 *22687:A2 *22688:D 5.84077e-05 +4 *22688:C *22688:D 0.00013413 +5 *22723:A2 *22688:D 3.91685e-05 +6 *2433:59 *22688:D 0.000258222 +*RES +1 *22687:X *22688:D 33.1026 +*END + +*D_NET *3632 0.0141537 +*CONN +*I *22689:D I *D sky130_fd_sc_hd__nand4_4 +*I *22688:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *22689:D 0.000632708 +2 *22688:X 0.00127332 +3 *3632:25 0.00191084 +4 *3632:19 0.00255145 +5 *22689:D *22309:B1 4.4196e-06 +6 *3632:19 *4813:110 1.08989e-05 +7 *3632:19 *4815:91 0.0001646 +8 *3632:25 *22715:B1 0.000268931 +9 *21395:A2 *3632:25 6.89572e-05 +10 *22354:A2 *3632:25 1.3706e-05 +11 *22354:C1 *3632:25 0.000155093 +12 *22355:B *3632:19 5.94052e-06 +13 *22356:B2 *3632:19 0.000154591 +14 *22398:A1 *3632:25 6.46145e-05 +15 *22399:A *3632:19 8.26881e-05 +16 *22403:B *3632:25 0.000388376 +17 *22682:B1 *3632:19 0.000107496 +18 *22682:B2 *3632:19 0.000163418 +19 *22683:C1 *3632:19 0.000133112 +20 *22712:B1 *3632:25 9.29875e-05 +21 *324:27 *3632:25 0.000185784 +22 *537:14 *22689:D 6.3609e-05 +23 *1608:98 *3632:19 0.000160303 +24 *2117:55 *22689:D 0.000760367 +25 *2243:14 *3632:19 9.88e-05 +26 *2249:38 *3632:19 0.000669429 +27 *2320:81 *3632:19 4.49912e-05 +28 *2477:26 *3632:25 7.60356e-05 +29 *2479:52 *3632:19 0.000727275 +30 *2794:27 *3632:19 2.07365e-05 +31 *2949:71 *3632:19 0.000158469 +32 *3076:23 *3632:19 3.17436e-05 +33 *3111:125 *3632:25 0.000182688 +34 *3111:142 *3632:19 0.000542043 +35 *3122:136 *3632:19 1.61885e-05 +36 *3139:254 *3632:25 0.00034028 +37 *3198:170 *3632:25 3.83274e-05 +38 *3343:19 *3632:19 0.000734768 +39 *3474:218 *3632:25 0.000356683 +40 *3476:195 *3632:25 0.000226979 +41 *3491:178 *22689:D 0.000339118 +42 *3521:191 *3632:19 2.78238e-05 +43 *3620:14 *3632:25 3.37826e-05 +44 *3620:30 *22689:D 5.36343e-05 +45 *3620:30 *3632:25 1.57199e-05 +*RES +1 *22688:X *3632:19 46.461 +2 *3632:19 *3632:25 37.0861 +3 *3632:25 *22689:D 30.3226 +*END + +*D_NET *3633 0.0014688 +*CONN +*I *22691:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22690:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22691:C1 0.000243976 +2 *22690:X 0.000243976 +3 *21273:B1 *22691:C1 0.000247443 +4 *22690:B1 *22691:C1 8.86032e-05 +5 *22691:B2 *22691:C1 6.08467e-05 +6 *2336:15 *22691:C1 0.00013132 +7 *2354:50 *22691:C1 6.67835e-06 +8 *2354:55 *22691:C1 0.000171573 +9 *3126:42 *22691:C1 7.13264e-06 +10 *3471:195 *22691:C1 0.000203595 +11 *3476:172 *22691:C1 6.3657e-05 +*RES +1 *22690:X *22691:C1 35.9755 +*END + +*D_NET *3634 0.00484629 +*CONN +*I *22707:A I *D sky130_fd_sc_hd__nand4_4 +*I *22691:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22707:A 0 +2 *22691:X 0.00118497 +3 *3634:14 0.00118497 +4 *3634:14 *22714:C1 0.000143219 +5 *22677:A1 *3634:14 0.000308273 +6 *22713:B1 *3634:14 0.000146854 +7 *2258:64 *3634:14 5.41147e-05 +8 *2474:37 *3634:14 0.00027585 +9 *3050:35 *3634:14 0.000247443 +10 *3300:8 *3634:14 0.000283294 +11 *3466:185 *3634:14 0.000733307 +12 *3498:231 *3634:14 0.000250254 +13 *3621:10 *3634:14 3.3738e-05 +*RES +1 *22691:X *3634:14 49.8851 +2 *3634:14 *22707:A 13.7491 +*END + +*D_NET *3635 0.00101205 +*CONN +*I *22693:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22692:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22693:C1 0.000313746 +2 *22692:X 0.000313746 +3 *22692:A2 *22693:C1 6.50727e-05 +4 *22692:B1 *22693:C1 1.82679e-05 +5 *22692:B2 *22693:C1 3.31745e-05 +6 *3502:138 *22693:C1 0.000132635 +7 *3533:38 *22693:C1 0.000132635 +8 *3537:35 *22693:C1 2.77625e-06 +*RES +1 *22692:X *22693:C1 33.6572 +*END + +*D_NET *3636 0.00508735 +*CONN +*I *22707:B I *D sky130_fd_sc_hd__nand4_4 +*I *22693:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22707:B 5.28587e-05 +2 *22693:X 0.00140381 +3 *3636:11 0.00145667 +4 *3636:11 *4811:29 7.57527e-05 +5 *3636:11 *4815:98 1.65305e-05 +6 *3636:11 *4827:79 0.000174806 +7 *21653:B2 *3636:11 3.93219e-05 +8 *21658:B1 *3636:11 3.19182e-05 +9 *22693:A1 *3636:11 5.40676e-05 +10 *22697:A1 *3636:11 0.000396003 +11 *2361:54 *3636:11 1.78063e-05 +12 *3124:146 *3636:11 2.10515e-05 +13 *3124:174 *3636:11 0.000140171 +14 *3136:178 *3636:11 1.85244e-05 +15 *3179:202 *3636:11 0.000399442 +16 *3208:150 *3636:11 0.000400361 +17 *3208:165 *3636:11 5.3627e-06 +18 *3315:14 *3636:11 0.000215309 +19 *3483:135 *3636:11 0.000163602 +20 *3491:188 *3636:11 3.99086e-06 +*RES +1 *22693:X *3636:11 49.9128 +2 *3636:11 *22707:B 10.6477 +*END + +*D_NET *3637 0.00394327 +*CONN +*I *22697:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22694:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22697:B1 1.2835e-05 +2 *22694:X 0.00103762 +3 *3637:18 0.00105045 +4 *22697:B1 *22697:C1 6.08467e-05 +5 *3637:18 *22707:D 0.000514605 +6 *3637:18 *3649:8 3.26172e-05 +7 *22375:A1 *3637:18 0.00011393 +8 *22691:B1 *3637:18 0.000121386 +9 *22697:A2 *22697:B1 6.3657e-05 +10 *518:31 *3637:18 0.00012774 +11 *2293:65 *3637:18 0.000107496 +12 *3126:34 *3637:18 0.000457481 +13 *3179:212 *3637:18 3.94119e-05 +14 *3322:11 *3637:18 3.33374e-05 +15 *3474:195 *3637:18 1.5714e-05 +16 *3476:184 *3637:18 0.000154145 +*RES +1 *22694:X *3637:18 46.3537 +2 *3637:18 *22697:B1 14.4725 +*END + +*D_NET *3638 0.00144139 +*CONN +*I *22696:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22695:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22696:C1 0.000417459 +2 *22695:X 0.000417459 +3 *1645:94 *22696:C1 0.000132381 +4 *1802:143 *22696:C1 0.000248351 +5 *3050:41 *22696:C1 7.77744e-05 +6 *3144:152 *22696:C1 4.04705e-05 +7 *3496:174 *22696:C1 0.000107496 +*RES +1 *22695:X *22696:C1 36.7328 +*END + +*D_NET *3639 0.000936039 +*CONN +*I *22697:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22696:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22697:C1 0.00018305 +2 *22696:X 0.00018305 +3 *22697:C1 *22707:C 0.000340742 +4 *22696:B2 *22697:C1 0.000110297 +5 *22697:A1 *22697:C1 2.01333e-05 +6 *22697:A2 *22697:C1 3.79201e-05 +7 *22697:B1 *22697:C1 6.08467e-05 +*RES +1 *22696:X *22697:C1 24.2372 +*END + +*D_NET *3640 0.00148321 +*CONN +*I *22707:C I *D sky130_fd_sc_hd__nand4_4 +*I *22697:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22707:C 0.000524168 +2 *22697:X 0.000524168 +3 *22697:A2 *22707:C 3.79253e-05 +4 *22697:C1 *22707:C 0.000340742 +5 *3491:188 *22707:C 5.62042e-05 +*RES +1 *22697:X *22707:C 26.8656 +*END + +*D_NET *3641 0.00295185 +*CONN +*I *22699:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22698:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22699:C1 0.000742198 +2 *22698:X 0.000742198 +3 *22698:A1 *22699:C1 4.23858e-05 +4 *1800:126 *22699:C1 0.000430272 +5 *1800:128 *22699:C1 0.000291697 +6 *2343:32 *22699:C1 0.000492131 +7 *3513:195 *22699:C1 0.000158451 +8 *3513:199 *22699:C1 7.54269e-06 +9 *3519:250 *22699:C1 4.49767e-05 +*RES +1 *22698:X *22699:C1 46.53 +*END + +*D_NET *3642 0.00164982 +*CONN +*I *22706:A I *D sky130_fd_sc_hd__and4_1 +*I *22699:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22706:A 0.000381931 +2 *22699:X 0.000381931 +3 *21297:B1 *22706:A 0.000200794 +4 *22700:A1 *22706:A 6.33448e-05 +5 *2350:40 *22706:A 0.000276452 +6 *2364:8 *22706:A 0.000267935 +7 *3192:116 *22706:A 7.7434e-05 +*RES +1 *22699:X *22706:A 37.4803 +*END + +*D_NET *3643 0.000925246 +*CONN +*I *22701:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22700:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22701:C1 0.000183706 +2 *22700:X 0.000183706 +3 *22701:C1 *22705:C1 0.000110306 +4 *22701:C1 *5890:36 0.000125512 +5 *21279:B1 *22701:C1 0.000110306 +6 *22700:B1 *22701:C1 9.71161e-05 +7 *3192:116 *22701:C1 0.000114594 +*RES +1 *22700:X *22701:C1 32.7168 +*END + +*D_NET *3644 0.000493723 +*CONN +*I *22706:B I *D sky130_fd_sc_hd__and4_1 +*I *22701:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22706:B 0.000104475 +2 *22701:X 0.000104475 +3 *22706:B *22706:C 4.63048e-05 +4 *22700:A1 *22706:B 0.000101839 +5 *2352:8 *22706:B 0.00013158 +6 *3153:177 *22706:B 5.04829e-06 +*RES +1 *22701:X *22706:B 30.8842 +*END + +*D_NET *3645 0.000733485 +*CONN +*I *22703:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22702:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22703:C1 0.000148395 +2 *22702:X 0.000148395 +3 *22702:A1 *22703:C1 4.71525e-05 +4 *22702:A2 *22703:C1 2.54895e-05 +5 *22702:B2 *22703:C1 0.000100153 +6 *22703:B2 *22703:C1 3.41459e-05 +7 *3529:200 *22703:C1 0.000168568 +8 *3531:196 *22703:C1 6.11872e-05 +*RES +1 *22702:X *22703:C1 24.2855 +*END + +*D_NET *3646 0.00561295 +*CONN +*I *22706:C I *D sky130_fd_sc_hd__and4_1 +*I *22703:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22706:C 0.000561195 +2 *22703:X 0.00106989 +3 *3646:23 0.00163109 +4 *21297:A2 *22706:C 9.66954e-05 +5 *21297:B2 *22706:C 7.05242e-06 +6 *22371:C1 *3646:23 0.00024817 +7 *22372:D *3646:23 0.000133051 +8 *22700:A1 *22706:C 3.63593e-05 +9 *22701:A2 *22706:C 6.89923e-05 +10 *22703:A1 *3646:23 6.98337e-06 +11 *22706:B *22706:C 4.63048e-05 +12 *1596:102 *22706:C 0 +13 *1618:137 *3646:23 3.82228e-05 +14 *1627:118 *3646:23 0.000150809 +15 *1655:213 *3646:23 0.000109025 +16 *2352:8 *22706:C 0.000165848 +17 *2359:27 *22706:C 5.4678e-05 +18 *2360:66 *22706:C 2.55661e-06 +19 *2360:78 *22706:C 0.000148288 +20 *2373:51 *22706:C 0.000133186 +21 *2416:34 *3646:23 0.000587975 +22 *3128:227 *3646:23 3.5876e-05 +23 *3328:7 *3646:23 5.88594e-05 +24 *3529:212 *3646:23 1.35499e-05 +25 *3541:63 *3646:23 0.000208285 +*RES +1 *22703:X *3646:23 49.4357 +2 *3646:23 *22706:C 28.4784 +*END + +*D_NET *3647 0.00206192 +*CONN +*I *22705:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22704:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22705:C1 0.000499424 +2 *22704:X 0.000499424 +3 *21279:A1 *22705:C1 0.000156076 +4 *21279:B1 *22705:C1 6.03896e-05 +5 *21279:C1 *22705:C1 0.00024853 +6 *22701:A1 *22705:C1 0.000109056 +7 *22701:A2 *22705:C1 0.000100992 +8 *22701:B2 *22705:C1 2.57847e-05 +9 *22701:C1 *22705:C1 0.000110306 +10 *2343:32 *22705:C1 0.000251941 +*RES +1 *22704:X *22705:C1 42.4905 +*END + +*D_NET *3648 0.000965603 +*CONN +*I *22706:D I *D sky130_fd_sc_hd__and4_1 +*I *22705:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22706:D 0.000228666 +2 *22705:X 0.000228666 +3 *22706:D *3649:7 0.000136742 +4 *22371:C1 *22706:D 4.08768e-05 +5 *22373:B1 *22706:D 9.19945e-06 +6 *22705:A1 *22706:D 6.08467e-05 +7 *2488:14 *22706:D 9.85544e-05 +8 *3153:177 *22706:D 3.31745e-05 +9 *3192:116 *22706:D 0.000128878 +*RES +1 *22705:X *22706:D 34.1583 +*END + +*D_NET *3649 0.011541 +*CONN +*I *22707:D I *D sky130_fd_sc_hd__nand4_4 +*I *22706:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22707:D 0.000806579 +2 *22706:X 3.12851e-05 +3 *3649:8 0.00260168 +4 *3649:7 0.00182639 +5 *6091:DIODE *3649:8 2.27135e-05 +6 *21262:D *3649:8 0.000156627 +7 *21304:A *3649:8 0.000404867 +8 *21328:C *3649:8 2.07321e-05 +9 *21354:B *3649:8 2.27901e-06 +10 *22375:A1 *22707:D 0.000109252 +11 *22375:B2 *22707:D 4.49912e-05 +12 *22378:C1 *3649:8 4.37999e-05 +13 *22691:B1 *3649:8 1.10633e-05 +14 *22706:D *3649:7 0.000136742 +15 *22716:A2 *3649:8 1.28326e-05 +16 *1562:21 *22707:D 0.000141877 +17 *2354:47 *3649:8 0.000160779 +18 *2357:95 *3649:8 0.000749586 +19 *2361:74 *22707:D 3.99537e-05 +20 *2361:74 *3649:8 0.000737572 +21 *2409:33 *3649:8 0.00013072 +22 *2488:14 *3649:8 0.000619385 +23 *3126:34 *22707:D 2.97737e-05 +24 *3126:36 *22707:D 0.000118724 +25 *3151:216 *3649:8 7.08288e-05 +26 *3153:177 *3649:7 0.000158451 +27 *3179:202 *22707:D 0.000199722 +28 *3179:224 *3649:8 0.0010526 +29 *3315:14 *22707:D 0.000463386 +30 *3471:183 *22707:D 1.5714e-05 +31 *3474:195 *3649:8 1.9101e-05 +32 *3513:212 *3649:8 5.3821e-05 +33 *3637:18 *22707:D 0.000514605 +34 *3637:18 *3649:8 3.26172e-05 +*RES +1 *22706:X *3649:7 15.5817 +2 *3649:7 *3649:8 52.5538 +3 *3649:8 *22707:D 43.9229 +*END + +*D_NET *3650 0.000901864 +*CONN +*I *22709:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22708:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22709:C1 0.000280851 +2 *22708:X 0.000280851 +3 *22708:A1 *22709:C1 1.77843e-05 +4 *22709:B2 *22709:C1 4.90836e-05 +5 *3466:185 *22709:C1 0.000273294 +*RES +1 *22708:X *22709:C1 23.538 +*END + +*D_NET *3651 0.00237134 +*CONN +*I *22725:A I *D sky130_fd_sc_hd__nand4_4 +*I *22709:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22725:A 0.000547289 +2 *22709:X 0.000547289 +3 *527:22 *22725:A 0.000108928 +4 *2117:55 *22725:A 4.1946e-06 +5 *2117:63 *22725:A 0.000430077 +6 *2311:33 *22725:A 0.00011302 +7 *2480:25 *22725:A 0.000440552 +8 *3474:218 *22725:A 0.000120454 +9 *3493:15 *22725:A 5.95388e-05 +*RES +1 *22709:X *22725:A 43.9139 +*END + +*D_NET *3652 0.00145609 +*CONN +*I *22711:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22710:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22711:C1 0.000436546 +2 *22710:X 0.000436546 +3 *22711:C1 *4809:40 0.00010914 +4 *22711:C1 *4826:141 0.000402387 +5 *22401:B1 *22711:C1 5.01835e-05 +6 *3498:179 *22711:C1 2.12895e-05 +*RES +1 *22710:X *22711:C1 35.4604 +*END + +*D_NET *3653 0.00579881 +*CONN +*I *22725:B I *D sky130_fd_sc_hd__nand4_4 +*I *22711:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22725:B 0 +2 *22711:X 0.00127106 +3 *3653:8 0.00127106 +4 *3653:8 *21658:A1 0.00056627 +5 *1443:60 *3653:8 9.39114e-06 +6 *2117:55 *3653:8 0.00148977 +7 *3111:125 *3653:8 1.5714e-05 +8 *3263:33 *3653:8 0.000302611 +9 *3493:15 *3653:8 0.000872941 +*RES +1 *22711:X *3653:8 49.391 +2 *3653:8 *22725:B 13.7491 +*END + +*D_NET *3654 0.00105471 +*CONN +*I *22715:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22712:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22715:B1 0.00022869 +2 *22712:X 0.00022869 +3 *22715:B1 *22715:C1 7.58067e-06 +4 *22398:A1 *22715:B1 0.000134066 +5 *22712:B1 *22715:B1 5.04829e-06 +6 *22712:B2 *22715:B1 3.99086e-06 +7 *22715:A1 *22715:B1 0.000116868 +8 *3493:19 *22715:B1 6.08467e-05 +9 *3632:25 *22715:B1 0.000268931 +*RES +1 *22712:X *22715:B1 34.2062 +*END + +*D_NET *3655 0.00147004 +*CONN +*I *22714:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22713:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22714:C1 0.000274825 +2 *22713:X 0.000274825 +3 *22677:A1 *22714:C1 2.1203e-06 +4 *22713:B2 *22714:C1 1.58551e-05 +5 *22714:A2 *22714:C1 0.000233633 +6 *2258:64 *22714:C1 0.000140852 +7 *2293:65 *22714:C1 0.000107496 +8 *2908:29 *22714:C1 0.000243877 +9 *3050:41 *22714:C1 1.41976e-05 +10 *3300:8 *22714:C1 1.91391e-05 +11 *3634:14 *22714:C1 0.000143219 +*RES +1 *22713:X *22714:C1 39.5337 +*END + +*D_NET *3656 0.00270416 +*CONN +*I *22715:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22714:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22715:C1 0.000588281 +2 *22714:X 0.000588281 +3 *22715:A1 *22715:C1 6.74182e-05 +4 *22715:B1 *22715:C1 7.58067e-06 +5 *490:114 *22715:C1 2.27135e-05 +6 *1542:101 *22715:C1 0.000288972 +7 *2117:55 *22715:C1 8.80635e-05 +8 *2857:11 *22715:C1 6.08467e-05 +9 *2908:29 *22715:C1 0.000139077 +10 *3072:20 *22715:C1 0.000837211 +11 *3342:12 *22715:C1 1.5714e-05 +*RES +1 *22714:X *22715:C1 38.6512 +*END + +*D_NET *3657 0.00130528 +*CONN +*I *22725:C I *D sky130_fd_sc_hd__nand4_4 +*I *22715:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22725:C 0.000292061 +2 *22715:X 0.000292061 +3 *527:11 *22725:C 5.481e-05 +4 *529:26 *22725:C 0.000107496 +5 *2480:38 *22725:C 0.000154145 +6 *3476:195 *22725:C 0.000198085 +7 *3620:30 *22725:C 0.000206622 +*RES +1 *22715:X *22725:C 34.9324 +*END + +*D_NET *3658 0.000946264 +*CONN +*I *22717:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22716:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22717:C1 0.000212877 +2 *22716:X 0.000212877 +3 *22402:B1 *22717:C1 3.82228e-05 +4 *22716:A1 *22717:C1 7.16939e-05 +5 *22716:A2 *22717:C1 5.61389e-05 +6 *22716:B1 *22717:C1 0.000107496 +7 *22717:B1 *22717:C1 0.000242047 +8 *1564:117 *22717:C1 4.91225e-06 +*RES +1 *22716:X *22717:C1 24.7918 +*END + +*D_NET *3659 0.000545428 +*CONN +*I *22724:A I *D sky130_fd_sc_hd__and4_1 +*I *22717:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22724:A 0.000124144 +2 *22717:X 0.000124144 +3 *21294:B2 *22724:A 9.48222e-05 +4 *22717:A1 *22724:A 0.000107496 +5 *2472:27 *22724:A 9.48222e-05 +*RES +1 *22717:X *22724:A 30.6083 +*END + +*D_NET *3660 0.000647155 +*CONN +*I *22719:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22718:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22719:C1 9.58024e-05 +2 *22718:X 9.58024e-05 +3 *21180:A1 *22719:C1 0.000110473 +4 *21235:A1 *22719:C1 2.07503e-05 +5 *22402:B1 *22719:C1 6.08467e-05 +6 *22717:A1 *22719:C1 0.000107496 +7 *2245:34 *22719:C1 2.09495e-05 +8 *2476:8 *22719:C1 0.000135035 +*RES +1 *22718:X *22719:C1 31.4388 +*END + +*D_NET *3661 0.000973104 +*CONN +*I *22724:B I *D sky130_fd_sc_hd__and4_1 +*I *22719:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22724:B 0.000358284 +2 *22719:X 0.000358284 +3 *22724:B *22724:C 1.03403e-05 +4 *22724:B *3666:7 0.000107496 +5 *22724:B *3666:13 5.88009e-05 +6 *22719:A1 *22724:B 1.03403e-05 +7 *3042:11 *22724:B 1.0758e-05 +8 *3301:8 *22724:B 5.88009e-05 +*RES +1 *22719:X *22724:B 33.1348 +*END + +*D_NET *3662 0.00069249 +*CONN +*I *22721:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22720:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22721:C1 0.000138094 +2 *22720:X 0.000138094 +3 *22685:B2 *22721:C1 0.000146264 +4 *22720:A2 *22721:C1 0.000110306 +5 *1591:9 *22721:C1 0.000107496 +6 *3177:315 *22721:C1 5.22367e-05 +*RES +1 *22720:X *22721:C1 31.854 +*END + +*D_NET *3663 0.00784232 +*CONN +*I *22724:C I *D sky130_fd_sc_hd__and4_1 +*I *22721:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22724:C 0.000177306 +2 *22721:X 1.47608e-05 +3 *3663:10 0.0018017 +4 *3663:7 0.00163915 +5 *3663:10 *5888:14 0.00168402 +6 *22344:A1 *3663:10 1.9101e-05 +7 *22345:B2 *3663:10 4.04556e-05 +8 *22722:A2 *3663:10 1.66771e-05 +9 *22723:B1 *22724:C 0 +10 *22724:B *22724:C 1.03403e-05 +11 *1616:102 *3663:10 8.42687e-05 +12 *1645:182 *3663:10 0.000103246 +13 *2093:136 *22724:C 9.51132e-06 +14 *2093:136 *3663:10 1.02986e-05 +15 *2249:35 *3663:7 6.08467e-05 +16 *2254:11 *3663:10 4.15661e-05 +17 *2306:48 *3663:10 0.00017545 +18 *2306:56 *22724:C 0.000162025 +19 *2306:56 *3663:10 0.00106358 +20 *3013:16 *3663:10 8.05411e-05 +21 *3042:11 *22724:C 6.08467e-05 +22 *3051:14 *3663:10 2.01503e-05 +23 *3128:227 *3663:7 6.08467e-05 +24 *3517:310 *22724:C 0.000140492 +25 *3517:310 *3663:10 0.000365139 +*RES +1 *22721:X *3663:7 14.4725 +2 *3663:7 *3663:10 46.7892 +3 *3663:10 *22724:C 18.7519 +*END + +*D_NET *3664 0.00285574 +*CONN +*I *22723:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22722:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22723:C1 0.000921383 +2 *22722:X 0.000921383 +3 *22722:B1 *22723:C1 3.99086e-06 +4 *22722:B2 *22723:C1 0.000294093 +5 *22723:B2 *22723:C1 0.000246837 +6 *1679:64 *22723:C1 9.80242e-07 +7 *2245:27 *22723:C1 0.000178627 +8 *3301:8 *22723:C1 0.00027174 +9 *3493:48 *22723:C1 5.20546e-06 +10 *3521:191 *22723:C1 1.14979e-05 +*RES +1 *22722:X *22723:C1 44.1891 +*END + +*D_NET *3665 0.00174641 +*CONN +*I *22724:D I *D sky130_fd_sc_hd__and4_1 +*I *22723:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22724:D 0.00045688 +2 *22723:X 0.00045688 +3 *22724:D *4813:110 9.5305e-05 +4 *22399:A *22724:D 2.1203e-06 +5 *22723:B1 *22724:D 0.000255258 +6 *2306:56 *22724:D 3.91944e-05 +7 *2949:71 *22724:D 0.00037669 +8 *3517:310 *22724:D 4.3663e-05 +9 *3521:191 *22724:D 1.91391e-05 +10 *3620:14 *22724:D 1.27831e-06 +*RES +1 *22723:X *22724:D 38.9355 +*END + +*D_NET *3666 0.00660361 +*CONN +*I *22725:D I *D sky130_fd_sc_hd__nand4_4 +*I *22724:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22725:D 0 +2 *22724:X 6.39129e-05 +3 *3666:13 0.00162638 +4 *3666:7 0.00169029 +5 *21241:A2 *3666:13 1.90165e-05 +6 *21241:B1 *3666:13 0.000135132 +7 *22343:A2 *3666:13 6.56365e-05 +8 *22397:B1 *3666:13 0.000613009 +9 *22398:C1 *3666:13 0.00026134 +10 *22712:B2 *3666:13 0.0001389 +11 *22723:B2 *3666:7 5.04829e-06 +12 *22724:B *3666:7 0.000107496 +13 *22724:B *3666:13 5.88009e-05 +14 *527:11 *3666:13 0.000260343 +15 *1558:24 *3666:13 0.000155539 +16 *1564:114 *3666:13 2.78219e-06 +17 *2304:65 *3666:13 0.000252938 +18 *2306:75 *3666:13 0.000505086 +19 *2311:33 *3666:13 0 +20 *2480:38 *3666:13 2.16355e-05 +21 *2857:11 *3666:13 5.51483e-06 +22 *3042:11 *3666:7 2.95884e-05 +23 *3124:242 *3666:13 9.72888e-05 +24 *3301:8 *3666:13 3.37401e-05 +25 *3348:21 *3666:13 1.66771e-05 +26 *3498:211 *3666:13 0.000158451 +27 *3521:191 *3666:13 0.000279066 +*RES +1 *22724:X *3666:7 15.5817 +2 *3666:7 *3666:13 47.2252 +3 *3666:13 *22725:D 9.24915 +*END + +*D_NET *3667 0.000625757 +*CONN +*I *22727:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22726:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22727:C1 0.000182312 +2 *22726:X 0.000182312 +3 *1797:41 *22727:C1 3.82228e-05 +4 *3033:50 *22727:C1 5.60754e-05 +5 *3126:206 *22727:C1 3.61472e-05 +6 *3476:56 *22727:C1 0.000130688 +*RES +1 *22726:X *22727:C1 32.3426 +*END + +*D_NET *3668 0.0121967 +*CONN +*I *22743:A I *D sky130_fd_sc_hd__nand4_4 +*I *22727:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22743:A 1.15981e-05 +2 *22727:X 0.000818719 +3 *3668:24 5.76002e-05 +4 *3668:23 0.000362947 +5 *3668:18 0.00197064 +6 *3668:13 0.00247242 +7 *3668:13 *3722:14 0.000175891 +8 *3668:13 *3736:18 0.000178481 +9 *3668:13 *4870:134 4.57321e-06 +10 *19997:B1 *3668:13 0.000205101 +11 *21469:B *3668:18 6.22259e-05 +12 *22502:B1 *3668:18 0.00041609 +13 *22750:B1 *22743:A 6.50586e-05 +14 *1459:87 *3668:13 3.11663e-05 +15 *1459:89 *3668:13 1.53613e-05 +16 *1542:127 *3668:18 9.52394e-05 +17 *1720:62 *3668:18 0.000866502 +18 *1800:33 *3668:18 0.000204029 +19 *2506:10 *3668:18 0.000585662 +20 *2510:73 *3668:18 0.000119505 +21 *2586:61 *3668:18 6.486e-05 +22 *2641:68 *3668:18 0.000327117 +23 *2652:25 *3668:18 0.000706007 +24 *2746:43 *3668:13 0.00011195 +25 *3005:64 *3668:18 0.000146421 +26 *3364:23 *22743:A 6.31727e-05 +27 *3364:23 *3668:23 0.000442838 +28 *3427:28 *3668:13 0.000108986 +29 *3471:51 *3668:13 0.000180436 +30 *3474:42 *3668:13 0.000838126 +31 *3498:90 *3668:18 0.000285424 +32 *3519:93 *3668:18 0.000202584 +*RES +1 *22727:X *3668:13 28.0778 +2 *3668:13 *3668:18 48.6211 +3 *3668:18 *3668:23 18.9094 +4 *3668:23 *3668:24 57.9449 +5 *3668:24 *22743:A 19.2217 +*END + +*D_NET *3669 0.0056285 +*CONN +*I *22729:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22728:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22729:C1 0 +2 *22728:X 0.00120495 +3 *3669:8 0.00120495 +4 *19894:B1 *3669:8 4.99151e-05 +5 *21702:A2 *3669:8 0.00033899 +6 *22728:B1 *3669:8 1.58551e-05 +7 *22765:A1 *3669:8 3.78945e-05 +8 *1577:17 *3669:8 0.00012853 +9 *1639:99 *3669:8 0.000200794 +10 *1650:14 *3669:8 0.00151358 +11 *1661:24 *3669:8 7.39022e-06 +12 *1730:43 *3669:8 0.000228689 +13 *2547:12 *3669:8 1.51584e-05 +14 *2773:10 *3669:8 1.8114e-05 +15 *2773:12 *3669:8 0.000512797 +16 *3385:18 *3669:8 0.000150897 +*RES +1 *22728:X *3669:8 49.391 +2 *3669:8 *22729:C1 13.7491 +*END + +*D_NET *3670 0.0053634 +*CONN +*I *22743:B I *D sky130_fd_sc_hd__nand4_4 +*I *22729:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22743:B 0 +2 *22729:X 0.00158637 +3 *3670:11 0.00158637 +4 *3670:11 *22733:C1 0.000876056 +5 *22732:A1 *3670:11 2.38934e-06 +6 *1563:81 *3670:11 0.000129307 +7 *1728:10 *3670:11 0.000125896 +8 *3175:37 *3670:11 0.00104909 +9 *3369:19 *3670:11 7.92757e-06 +*RES +1 *22729:X *3670:11 45.097 +2 *3670:11 *22743:B 9.24915 +*END + +*D_NET *3671 0.059458 +*CONN +*I *22733:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22730:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *22733:B1 0.000125791 +2 *22730:X 0.00346382 +3 *3671:95 0.00122008 +4 *3671:83 0.00222309 +5 *3671:76 0.00278473 +6 *3671:67 0.00266707 +7 *3671:57 0.0028093 +8 *3671:54 0.00230228 +9 *3671:51 0.00126076 +10 *3671:43 0.00187963 +11 *3671:31 0.00326391 +12 *3671:20 0.00560474 +13 *22733:B1 *22743:C 5.56791e-05 +14 *3671:20 *22777:C1 2.0346e-05 +15 *3671:20 *5854:706 0.000199571 +16 *3671:20 *5856:108 1.9101e-05 +17 *3671:20 *5869:718 0.000214104 +18 *3671:31 *20723:A1 0.000491387 +19 *3671:31 *20723:B1 7.92757e-06 +20 *3671:31 *24420:RESET_B 1.9101e-05 +21 *3671:31 *3857:59 0.000893269 +22 *3671:31 *5868:51 2.29752e-05 +23 *3671:31 *5868:57 5.69404e-05 +24 *3671:43 *23859:A1 5.51483e-06 +25 *3671:43 *24421:RESET_B 2.41274e-06 +26 *3671:43 *24421:CLK 2.68504e-05 +27 *3671:43 *4702:28 0.000718085 +28 *3671:43 *4751:27 0.000480019 +29 *3671:43 *4755:11 5.04829e-06 +30 *3671:43 *4798:39 9.42653e-05 +31 *3671:43 *4861:19 0.000200341 +32 *3671:51 *23487:A 0.000107496 +33 *3671:51 *4074:8 0.000164872 +34 *3671:51 *4074:15 9.71323e-06 +35 *3671:51 *4106:162 0.000211209 +36 *3671:51 *4189:6 0.000250321 +37 *3671:51 *4801:20 0.000195154 +38 *3671:51 *5456:31 9.94284e-06 +39 *3671:51 *5456:41 9.46767e-05 +40 *3671:54 *4601:10 0.00102154 +41 *3671:54 *4601:14 0.000164853 +42 *3671:54 *4803:12 0.00141051 +43 *3671:57 *23165:B1 7.13972e-05 +44 *3671:57 *3999:53 2.16355e-05 +45 *3671:57 *3999:57 1.34424e-05 +46 *3671:57 *4001:164 0.000103139 +47 *3671:67 *24888:CLK 0.000144123 +48 *3671:67 *5680:8 0.000153956 +49 *3671:67 *5680:18 0.000209511 +50 *3671:67 *5681:19 8.86331e-05 +51 *3671:67 *5851:111 0.000132385 +52 *3671:67 *5851:116 0.000666978 +53 *3671:67 *5858:112 0.000394865 +54 *3671:67 *5860:661 0.000410361 +55 *3671:67 *5860:696 7.52343e-05 +56 *3671:67 *5869:448 2.77419e-05 +57 *3671:67 *5869:464 0.000156432 +58 *3671:76 *21835:A 3.39588e-06 +59 *3671:76 *4927:40 2.96793e-05 +60 *3671:76 *5475:83 0.000926458 +61 *19635:A2 *3671:67 0.000127164 +62 *20560:A *3671:57 0.000484995 +63 *20567:B *3671:67 0.000340742 +64 *20605:B *3671:83 4.97617e-05 +65 *20635:A *3671:76 0.000179635 +66 *20723:B2 *3671:31 0.000107496 +67 *20885:A *3671:20 6.42311e-06 +68 *21676:B1 *3671:76 5.61834e-05 +69 *21789:C1 *22733:B1 0.000209326 +70 *22411:A1 *3671:83 5.50955e-05 +71 *22419:A1 *3671:95 0.000154145 +72 *22438:C *3671:83 0.000154145 +73 *22463:A1 *22733:B1 7.48744e-05 +74 *22463:A1 *3671:95 6.74182e-05 +75 *22464:A1 *3671:95 6.74182e-05 +76 *22731:A1 *3671:95 0.000156955 +77 *22733:A1 *22733:B1 0.000135127 +78 *24422:D *3671:31 1.07038e-05 +79 *24422:D *3671:43 8.01687e-05 +80 *24498:D *3671:76 0 +81 *1419:249 *3671:20 1.48801e-06 +82 *1435:79 *3671:83 0.000586918 +83 *1454:46 *3671:83 0.000151147 +84 *1455:99 *3671:57 2.75453e-05 +85 *1467:184 *3671:67 1.88878e-05 +86 *1471:213 *3671:76 6.01588e-05 +87 *1485:170 *3671:43 0.000429502 +88 *1506:125 *3671:51 0.000148144 +89 *1541:136 *3671:76 0 +90 *1560:62 *3671:83 0.00140217 +91 *1575:39 *3671:20 0.0013948 +92 *1581:21 *3671:31 0.000717342 +93 *1587:137 *3671:95 0.000131355 +94 *1597:30 *3671:76 0.00110289 +95 *1645:31 *22733:B1 8.81137e-05 +96 *1661:9 *3671:95 0.00124286 +97 *1661:24 *3671:95 6.98729e-05 +98 *1726:28 *3671:83 0.00117244 +99 *1732:34 *3671:20 0.000204366 +100 *1732:81 *3671:83 0.000111708 +101 *1733:45 *3671:20 0.000434966 +102 *1756:67 *3671:83 1.65872e-05 +103 *1761:42 *3671:83 0.000152595 +104 *1784:58 *3671:31 0.000269664 +105 *1791:39 *3671:95 5.27536e-05 +106 *1793:14 *3671:83 0.00022766 +107 *1794:25 *3671:76 8.94611e-05 +108 *1795:29 *3671:76 7.10953e-05 +109 *1795:62 *3671:20 0.000952528 +110 *1801:75 *3671:20 6.02414e-05 +111 *1802:38 *22733:B1 1.92172e-05 +112 *1947:167 *3671:76 0.000264019 +113 *1997:7 *3671:57 2.05789e-05 +114 *2049:16 *3671:31 0.000107496 +115 *2344:11 *3671:20 6.08467e-05 +116 *2565:49 *3671:20 0.000122705 +117 *2616:34 *3671:67 0.000224958 +118 *2619:71 *3671:83 0.000733338 +119 *2661:30 *3671:20 1.5714e-05 +120 *2684:24 *3671:20 0.000725396 +121 *2922:54 *3671:76 7.02269e-06 +122 *2932:8 *3671:76 0.000334753 +123 *2933:26 *3671:76 0.00034386 +124 *2964:59 *3671:95 0.000330572 +125 *2971:23 *3671:76 8.98119e-05 +126 *2974:40 *3671:95 0.000129091 +127 *2987:50 *3671:20 1.5714e-05 +128 *3027:17 *3671:20 0.000125295 +129 *3060:43 *3671:76 6.91422e-06 +130 *3368:27 *3671:43 0.000340742 +131 *3368:27 *3671:51 0.000170577 +132 *3390:11 *3671:95 0.000441147 +133 *3463:12 *3671:95 0.000473393 +134 *3533:95 *3671:20 4.00259e-05 +*RES +1 *22730:X *3671:20 47.4705 +2 *3671:20 *3671:31 47.5455 +3 *3671:31 *3671:43 49.1463 +4 *3671:43 *3671:51 37.3782 +5 *3671:51 *3671:54 30.0014 +6 *3671:54 *3671:57 30.1806 +7 *3671:57 *3671:67 46.8014 +8 *3671:67 *3671:76 49.0567 +9 *3671:76 *3671:83 49.5738 +10 *3671:83 *3671:95 47.9977 +11 *3671:95 *22733:B1 22.2628 +*END + +*D_NET *3672 0.00116861 +*CONN +*I *22732:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22731:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22732:C1 0.000393073 +2 *22731:X 0.000393073 +3 *22732:C1 *22733:C1 2.56976e-05 +4 *20049:A2 *22732:C1 7.63448e-05 +5 *20049:B1 *22732:C1 9.2013e-05 +6 *1563:81 *22732:C1 9.54888e-05 +7 *3498:75 *22732:C1 9.29156e-05 +*RES +1 *22731:X *22732:C1 36.733 +*END + +*D_NET *3673 0.00280329 +*CONN +*I *22733:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22732:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22733:C1 0.000409336 +2 *22732:X 0.000409336 +3 *22733:C1 *22743:C 7.98968e-05 +4 *20049:A2 *22733:C1 0.000350165 +5 *22732:A1 *22733:C1 1.01177e-05 +6 *22732:C1 *22733:C1 2.56976e-05 +7 *1802:38 *22733:C1 6.63895e-05 +8 *1805:10 *22733:C1 6.64392e-05 +9 *3369:19 *22733:C1 5.04829e-06 +10 *3498:64 *22733:C1 0.000113619 +11 *3498:75 *22733:C1 0.000391185 +12 *3670:11 *22733:C1 0.000876056 +*RES +1 *22732:X *22733:C1 34.7747 +*END + +*D_NET *3674 0.00144403 +*CONN +*I *22743:C I *D sky130_fd_sc_hd__nand4_4 +*I *22733:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22743:C 0.000438901 +2 *22733:X 0.000438901 +3 *22733:A1 *22743:C 4.65164e-05 +4 *22733:B1 *22743:C 5.56791e-05 +5 *22733:C1 *22743:C 7.98968e-05 +6 *1496:84 *22743:C 0.000172466 +7 *1510:99 *22743:C 0.000172466 +8 *1802:38 *22743:C 3.9203e-05 +*RES +1 *22733:X *22743:C 37.1643 +*END + +*D_NET *3675 0.000689857 +*CONN +*I *22735:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22734:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22735:C1 0.000189117 +2 *22734:X 0.000189117 +3 *22735:C1 *22753:C1 6.3657e-05 +4 *22734:A1 *22735:C1 2.3666e-05 +5 *22734:A2 *22735:C1 7.11904e-05 +6 *22734:B2 *22735:C1 9.48194e-05 +7 *22735:B2 *22735:C1 3.57059e-05 +8 *1722:43 *22735:C1 1.03403e-05 +9 *1722:76 *22735:C1 7.92757e-06 +10 *1739:58 *22735:C1 4.31603e-06 +*RES +1 *22734:X *22735:C1 24.2855 +*END + +*D_NET *3676 0.00222472 +*CONN +*I *22742:A I *D sky130_fd_sc_hd__and4_1 +*I *22735:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22742:A 0.000563 +2 *22735:X 0.000563 +3 *22742:A *22760:A 0.000250781 +4 *22742:A *3695:14 7.21753e-05 +5 *1722:76 *22742:A 0.00025447 +6 *2628:10 *22742:A 6.22114e-05 +7 *3164:54 *22742:A 0.000139074 +8 *3361:12 *22742:A 6.87209e-05 +9 *3382:8 *22742:A 0.00012913 +10 *3421:32 *22742:A 0.000122161 +*RES +1 *22735:X *22742:A 42.2382 +*END + +*D_NET *3677 0.000582316 +*CONN +*I *22737:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22736:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22737:C1 0.000175052 +2 *22736:X 0.000175052 +3 *21456:B1 *22737:C1 5.04829e-06 +4 *22736:A1 *22737:C1 4.1554e-05 +5 *22736:B2 *22737:C1 4.31703e-05 +6 *22737:B1 *22737:C1 0.000120779 +7 *22737:B2 *22737:C1 2.16608e-05 +*RES +1 *22736:X *22737:C1 23.128 +*END + +*D_NET *3678 0.00687634 +*CONN +*I *22742:B I *D sky130_fd_sc_hd__and4_1 +*I *22737:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22742:B 0.000621505 +2 *22737:X 0.00110219 +3 *3678:21 0.0017237 +4 *22742:B *22742:C 6.08467e-05 +5 *3678:21 *3722:14 0.000175653 +6 *21456:B1 *3678:21 6.08467e-05 +7 *21560:A2 *3678:21 8.6297e-06 +8 *21565:D1 *3678:21 3.74433e-05 +9 *22507:B1 *3678:21 0 +10 *22736:A2 *3678:21 6.50452e-05 +11 *22737:A1 *3678:21 4.52511e-05 +12 *22737:A2 *3678:21 1.2975e-05 +13 *22737:B2 *3678:21 6.51628e-05 +14 *22755:A1 *22742:B 6.08467e-05 +15 *22755:A2 *22742:B 6.08467e-05 +16 *22755:B1 *22742:B 0.00013222 +17 *22755:B2 *22742:B 0.000179625 +18 *22755:B2 *3678:21 1.05272e-06 +19 *1723:47 *3678:21 0.000228709 +20 *1751:23 *3678:21 0.000179078 +21 *2502:42 *3678:21 5.30932e-06 +22 *2509:23 *22742:B 4.30381e-05 +23 *2608:62 *3678:21 0.000580102 +24 *3004:31 *22742:B 0.000107496 +25 *3128:98 *3678:21 0.000208624 +26 *3166:36 *3678:21 0.00110554 +27 *3448:20 *3678:21 4.60221e-06 +*RES +1 *22737:X *3678:21 40.1072 +2 *3678:21 *22742:B 23.4759 +*END + +*D_NET *3679 0.000747569 +*CONN +*I *22739:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22738:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22739:C1 0.000114365 +2 *22738:X 0.000114365 +3 *22738:A2 *22739:C1 1.88014e-05 +4 *22738:B2 *22739:C1 6.64392e-05 +5 *22739:B1 *22739:C1 1.47978e-05 +6 *1560:52 *22739:C1 0.000111802 +7 *1761:17 *22739:C1 0.000107496 +8 *1761:40 *22739:C1 4.53562e-05 +9 *2509:15 *22739:C1 0.000154145 +*RES +1 *22738:X *22739:C1 23.128 +*END + +*D_NET *3680 0.00122819 +*CONN +*I *22742:C I *D sky130_fd_sc_hd__and4_1 +*I *22739:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22742:C 0.000458993 +2 *22739:X 0.000458993 +3 *22739:B1 *22742:C 3.13254e-05 +4 *22739:B2 *22742:C 2.16355e-05 +5 *22742:B *22742:C 6.08467e-05 +6 *3004:31 *22742:C 0.000196398 +*RES +1 *22739:X *22742:C 28.0953 +*END + +*D_NET *3681 0.000778419 +*CONN +*I *22741:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22740:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22741:C1 0.000106089 +2 *22740:X 0.000106089 +3 *22741:C1 *5904:77 3.71311e-05 +4 *22740:B1 *22741:C1 0.000111802 +5 *22740:B2 *22741:C1 6.36477e-05 +6 *2783:56 *22741:C1 0.000353661 +*RES +1 *22740:X *22741:C1 23.128 +*END + +*D_NET *3682 0.0168894 +*CONN +*I *22742:D I *D sky130_fd_sc_hd__and4_1 +*I *22741:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22742:D 0 +2 *22741:X 0.0031999 +3 *3682:22 0.0031999 +4 *3682:22 *3699:23 0.00564527 +5 *3682:22 *3751:14 7.12632e-06 +6 *3682:22 *5455:12 1.16089e-05 +7 *3682:22 *5458:13 1.43499e-05 +8 *21920:B2 *3682:22 0.000526772 +9 *22472:B1 *3682:22 0.00197305 +10 *1722:40 *3682:22 0.00145807 +11 *2563:26 *3682:22 3.49643e-05 +12 *2783:56 *3682:22 0.000158451 +13 *3002:69 *3682:22 1.43499e-05 +14 *3157:139 *3682:22 4.69495e-06 +15 *3166:82 *3682:22 0.000599085 +16 *3166:101 *3682:22 2.27135e-05 +17 *3175:57 *3682:22 1.91246e-05 +*RES +1 *22741:X *3682:22 42.0066 +2 *3682:22 *22742:D 9.24915 +*END + +*D_NET *3683 0.00852714 +*CONN +*I *22743:D I *D sky130_fd_sc_hd__nand4_4 +*I *22742:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22743:D 0.000451214 +2 *22742:X 0.00117065 +3 *3683:8 0.00162186 +4 *21564:C1 *3683:8 7.05604e-05 +5 *21993:A2 *3683:8 0.000262208 +6 *22433:A1 *22743:D 0.00103108 +7 *22464:A1 *22743:D 0.000154939 +8 *1496:84 *22743:D 3.37714e-06 +9 *1544:98 *22743:D 1.92974e-05 +10 *1560:62 *22743:D 0.000646378 +11 *1794:118 *3683:8 2.01283e-05 +12 *2509:23 *3683:8 0.000294093 +13 *2620:76 *3683:8 1.00004e-05 +14 *3002:8 *3683:8 3.69938e-05 +15 *3002:26 *3683:8 0.000331271 +16 *3126:166 *22743:D 0.000431125 +17 *3155:53 *3683:8 0.000265236 +18 *3157:43 *22743:D 0.000207266 +19 *3162:62 *3683:8 0.000873639 +20 *3162:70 *3683:8 3.91083e-05 +21 *3198:53 *22743:D 1.10848e-05 +22 *3385:19 *22743:D 7.26048e-05 +23 *3390:11 *22743:D 0.000112673 +24 *3417:29 *22743:D 6.50586e-05 +25 *3463:27 *3683:8 0.00032529 +*RES +1 *22742:X *3683:8 45.6537 +2 *3683:8 *22743:D 42.9097 +*END + +*D_NET *3684 0.000518924 +*CONN +*I *22745:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22744:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22745:C1 0.000169239 +2 *22744:X 0.000169239 +3 *22486:A2 *22745:C1 1.13237e-05 +4 *22745:B1 *22745:C1 3.5534e-06 +5 *3198:93 *22745:C1 0.000120278 +6 *3461:26 *22745:C1 1.94916e-05 +7 *3476:52 *22745:C1 2.57986e-05 +*RES +1 *22744:X *22745:C1 31.1285 +*END + +*D_NET *3685 0.00740913 +*CONN +*I *22761:A I *D sky130_fd_sc_hd__nand4_4 +*I *22745:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22761:A 0.000962785 +2 *22745:X 0.000809581 +3 *3685:22 0.00177237 +4 *21456:A2 *3685:22 0.000135958 +5 *21468:A2 *3685:22 6.30741e-05 +6 *21468:B2 *3685:22 1.41976e-05 +7 *22745:B2 *3685:22 0.000164044 +8 *1435:125 *3685:22 0.000219244 +9 *1449:85 *22761:A 7.94462e-05 +10 *1496:111 *3685:22 0.000369168 +11 *1502:193 *22761:A 0.000815846 +12 *1510:116 *3685:22 0.000209787 +13 *1636:108 *3685:22 4.59247e-05 +14 *1662:40 *3685:22 0.000117341 +15 *1751:23 *3685:22 0.000205101 +16 *2520:119 *3685:22 9.19182e-05 +17 *2548:41 *3685:22 0.000141289 +18 *2611:23 *22761:A 1.41976e-05 +19 *2613:114 *22761:A 0.000441157 +20 *2622:9 *22761:A 3.92271e-05 +21 *2922:58 *22761:A 7.60356e-05 +22 *3406:14 *3685:22 2.21559e-05 +23 *3471:25 *3685:22 0.000425645 +24 *3491:51 *22761:A 0.000144955 +25 *3519:93 *3685:22 2.77096e-05 +26 *3523:19 *3685:22 9.80242e-07 +*RES +1 *22745:X *3685:22 47.398 +2 *3685:22 *22761:A 42.9072 +*END + +*D_NET *3686 0.00127734 +*CONN +*I *22747:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22746:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22747:C1 0.000388039 +2 *22746:X 0.000388039 +3 *22747:C1 *3719:44 2.54482e-05 +4 *22747:B2 *22747:C1 3.12828e-05 +5 *1542:150 *22747:C1 0.000347849 +6 *2751:8 *22747:C1 9.66809e-05 +*RES +1 *22746:X *22747:C1 34.5294 +*END + +*D_NET *3687 0.00187349 +*CONN +*I *22761:B I *D sky130_fd_sc_hd__nand4_4 +*I *22747:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22761:B 0.000368578 +2 *22747:X 0.000368578 +3 *22761:B *3719:44 0.000147165 +4 *21219:C1 *22761:B 0.00025175 +5 *22054:A1 *22761:B 0.000140018 +6 *22747:B1 *22761:B 6.31223e-05 +7 *2590:36 *22761:B 5.1493e-06 +8 *2751:8 *22761:B 0.000156618 +9 *2999:65 *22761:B 0.000254532 +10 *3498:112 *22761:B 0.000117975 +*RES +1 *22747:X *22761:B 47.8435 +*END + +*D_NET *3688 0.0231316 +*CONN +*I *22751:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22748:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22751:B1 0 +2 *22748:X 0.00439309 +3 *3688:22 0.00439309 +4 *3688:22 *4813:110 0.000401837 +5 *19808:B *3688:22 5.51746e-06 +6 *19971:A1 *3688:22 0.000472898 +7 *20022:A1 *3688:22 0.000427015 +8 *21630:A2 *3688:22 1.66626e-05 +9 *21814:B1 *3688:22 0.00159951 +10 *22238:B *3688:22 0.000353241 +11 *1459:110 *3688:22 0.00096877 +12 *1544:133 *3688:22 0.000354209 +13 *1550:101 *3688:22 0.000651503 +14 *1561:50 *3688:22 5.97376e-05 +15 *1561:88 *3688:22 0.000144948 +16 *1602:45 *3688:22 0.000401725 +17 *1642:39 *3688:22 0.000415144 +18 *1668:85 *3688:22 0.00115252 +19 *1716:45 *3688:22 0.00220326 +20 *1721:44 *3688:22 0.000409588 +21 *1721:66 *3688:22 0.00128651 +22 *1723:47 *3688:22 3.73639e-05 +23 *1751:131 *3688:22 0.00155993 +24 *1770:59 *3688:22 2.98713e-05 +25 *1796:10 *3688:22 1.66626e-05 +26 *2502:42 *3688:22 0.000603943 +27 *2519:38 *3688:22 0.000204039 +28 *2556:19 *3688:22 1.24827e-05 +29 *2652:28 *3688:22 0.000204039 +30 *2726:22 *3688:22 0.000305014 +31 *3031:18 *3688:22 2.57986e-05 +32 *3069:42 *3688:22 2.16355e-05 +*RES +1 *22748:X *3688:22 49.2078 +2 *3688:22 *22751:B1 9.24915 +*END + +*D_NET *3689 0.00131798 +*CONN +*I *22750:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22749:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22750:C1 0.000320282 +2 *22749:X 0.000320282 +3 *1661:24 *22750:C1 0.000159694 +4 *1684:85 *22750:C1 0.000218337 +5 *1800:8 *22750:C1 8.17829e-06 +6 *2974:40 *22750:C1 1.5714e-05 +7 *3500:75 *22750:C1 0.000275494 +*RES +1 *22749:X *22750:C1 35.3154 +*END + +*D_NET *3690 0.0045849 +*CONN +*I *22751:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22750:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22751:C1 0 +2 *22750:X 0.00106247 +3 *3690:14 0.00106247 +4 *21449:B2 *3690:14 0.000252033 +5 *1563:103 *3690:14 0.000437893 +6 *1651:52 *3690:14 0.000149846 +7 *2519:38 *3690:14 0.000700819 +8 *2773:28 *3690:14 4.39081e-05 +9 *2773:35 *3690:14 1.27046e-05 +10 *3134:20 *3690:14 1.66626e-05 +11 *3185:53 *3690:14 0.000110844 +12 *3185:68 *3690:14 0.000484986 +13 *3364:23 *3690:14 0.000250254 +*RES +1 *22750:X *3690:14 48.0114 +2 *3690:14 *22751:C1 9.24915 +*END + +*D_NET *3691 0.0016429 +*CONN +*I *22761:C I *D sky130_fd_sc_hd__nand4_4 +*I *22751:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22761:C 0.000116804 +2 *22751:X 0.000316303 +3 *3691:8 0.000167533 +4 *3691:7 0.000367033 +5 *22761:C *22761:D 3.60456e-05 +6 *3691:7 *22761:D 2.77625e-06 +7 *22751:A1 *3691:7 2.61955e-05 +8 *22751:A2 *22761:C 0.000152164 +9 *22751:A2 *3691:7 0.000175603 +10 *22805:A1 *22761:C 0.000110297 +11 *2613:114 *22761:C 1.54703e-05 +12 *3491:51 *22761:C 0.000156676 +*RES +1 *22751:X *3691:7 23.1039 +2 *3691:7 *3691:8 57.9449 +3 *3691:8 *22761:C 22.5734 +*END + +*D_NET *3692 0.00109527 +*CONN +*I *22753:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22752:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22753:C1 0.000391603 +2 *22752:X 0.000391603 +3 *22734:B2 *22753:C1 4.33073e-05 +4 *22735:B2 *22753:C1 2.38934e-06 +5 *22735:C1 *22753:C1 6.3657e-05 +6 *2976:58 *22753:C1 1.99382e-05 +7 *2998:28 *22753:C1 5.15415e-05 +8 *3003:57 *22753:C1 2.16355e-05 +9 *3157:62 *22753:C1 0.000109598 +*RES +1 *22752:X *22753:C1 34.3512 +*END + +*D_NET *3693 0.000795097 +*CONN +*I *22760:A I *D sky130_fd_sc_hd__and4_1 +*I *22753:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22760:A 0.000146768 +2 *22753:X 0.000146768 +3 *22742:A *22760:A 0.000250781 +4 *3382:8 *22760:A 0.000250781 +*RES +1 *22753:X *22760:A 32.6523 +*END + +*D_NET *3694 0.000416049 +*CONN +*I *22755:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22754:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22755:C1 8.13241e-05 +2 *22754:X 8.13241e-05 +3 *22755:C1 *3751:14 0.0001267 +4 *3164:55 *22755:C1 0.0001267 +*RES +1 *22754:X *22755:C1 30.1608 +*END + +*D_NET *3695 0.00237665 +*CONN +*I *22760:B I *D sky130_fd_sc_hd__and4_1 +*I *22755:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22760:B 0 +2 *22755:X 0.000703718 +3 *3695:14 0.000703718 +4 *3695:14 *22761:D 0.000250254 +5 *21560:C1 *3695:14 0.000258219 +6 *22742:A *3695:14 7.21753e-05 +7 *3002:26 *3695:14 1.77435e-05 +8 *3155:62 *3695:14 0.000220141 +9 *3361:12 *3695:14 6.85778e-05 +10 *3521:101 *3695:14 8.20978e-05 +*RES +1 *22755:X *3695:14 41.3379 +2 *3695:14 *22760:B 9.24915 +*END + +*D_NET *3696 0.0014401 +*CONN +*I *22757:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22756:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22757:C1 0.00041592 +2 *22756:X 0.00041592 +3 *22498:B1 *22757:C1 1.5714e-05 +4 *22738:B2 *22757:C1 1.15048e-05 +5 *22739:A1 *22757:C1 0.000231004 +6 *1560:42 *22757:C1 2.02035e-05 +7 *1560:52 *22757:C1 8.1669e-05 +8 *1761:40 *22757:C1 0.000237409 +9 *3028:50 *22757:C1 1.07529e-05 +*RES +1 *22756:X *22757:C1 36.4246 +*END + +*D_NET *3697 0.00165418 +*CONN +*I *22760:C I *D sky130_fd_sc_hd__and4_1 +*I *22757:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22760:C 0.000266265 +2 *22757:X 0.000266265 +3 *21560:A1 *22760:C 0.000776605 +4 *2976:58 *22760:C 0.000345048 +*RES +1 *22757:X *22760:C 27.5407 +*END + +*D_NET *3698 0.000712538 +*CONN +*I *22759:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22758:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22759:C1 0.000126323 +2 *22758:X 0.000126323 +3 *22758:A1 *22759:C1 3.15947e-05 +4 *22758:B1 *22759:C1 0.000107496 +5 *22758:B2 *22759:C1 1.1718e-05 +6 *22759:B1 *22759:C1 4.31603e-06 +7 *22759:B2 *22759:C1 4.31603e-06 +8 *3134:142 *22759:C1 0.000300451 +*RES +1 *22758:X *22759:C1 23.128 +*END + +*D_NET *3699 0.0204294 +*CONN +*I *22760:D I *D sky130_fd_sc_hd__and4_1 +*I *22759:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22760:D 0 +2 *22759:X 0.00361198 +3 *3699:23 0.00361198 +4 *3699:23 *3700:10 6.98337e-06 +5 *3699:23 *3751:14 3.29488e-05 +6 *21560:A1 *3699:23 0.000107496 +7 *21920:B2 *3699:23 4.06334e-05 +8 *21945:B1 *3699:23 9.45955e-05 +9 *21992:A1 *3699:23 3.7135e-05 +10 *22016:A1 *3699:23 0.000206512 +11 *22472:B1 *3699:23 5.61464e-05 +12 *22757:A1 *3699:23 1.2797e-05 +13 *1566:55 *3699:23 8.12259e-06 +14 *1569:34 *3699:23 0.000726956 +15 *1574:38 *3699:23 0.00052562 +16 *1722:40 *3699:23 1.48618e-05 +17 *2502:42 *3699:23 1.43499e-05 +18 *2608:62 *3699:23 6.03237e-05 +19 *3134:142 *3699:23 0.000154145 +20 *3166:36 *3699:23 0.00128341 +21 *3168:113 *3699:23 0.000127541 +22 *3421:17 *3699:23 6.55666e-06 +23 *3421:32 *3699:23 0.00377174 +24 *3529:80 *3699:23 0.000107223 +25 *3537:88 *3699:23 2.84983e-05 +26 *3537:103 *3699:23 0.000135562 +27 *3682:22 *3699:23 0.00564527 +*RES +1 *22759:X *3699:23 48.4018 +2 *3699:23 *22760:D 9.24915 +*END + +*D_NET *3700 0.00757138 +*CONN +*I *22761:D I *D sky130_fd_sc_hd__nand4_4 +*I *22760:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22761:D 0.000859557 +2 *22760:X 0.000273317 +3 *3700:10 0.00113287 +4 *22761:D *3751:27 0.000645767 +5 *21560:A1 *3700:10 2.33852e-05 +6 *21560:A2 *22761:D 6.98729e-05 +7 *21560:C1 *22761:D 0.000203595 +8 *22751:A1 *22761:D 0.00129095 +9 *22751:A2 *22761:D 4.93805e-05 +10 *22761:C *22761:D 3.60456e-05 +11 *2613:114 *22761:D 0.00252747 +12 *2964:59 *3700:10 3.82228e-05 +13 *3162:70 *3700:10 8.22553e-05 +14 *3463:27 *3700:10 7.86728e-05 +15 *3691:7 *22761:D 2.77625e-06 +16 *3695:14 *22761:D 0.000250254 +17 *3699:23 *3700:10 6.98337e-06 +*RES +1 *22760:X *3700:10 23.023 +2 *3700:10 *22761:D 46.0459 +*END + +*D_NET *3701 0.000870815 +*CONN +*I *22763:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22762:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22763:C1 0.000232879 +2 *22762:X 0.000232879 +3 *21838:B1 *22763:C1 4.09154e-05 +4 *1605:29 *22763:C1 0.000132291 +5 *2862:21 *22763:C1 0.000205101 +6 *2954:74 *22763:C1 8.57859e-06 +7 *3406:29 *22763:C1 1.8172e-05 +*RES +1 *22762:X *22763:C1 32.548 +*END + +*D_NET *3702 0.024852 +*CONN +*I *22779:A I *D sky130_fd_sc_hd__nand4_4 +*I *22763:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22779:A 5.13677e-05 +2 *22763:X 0.00496223 +3 *3702:20 0.0050136 +4 *22779:A *22769:C1 6.08467e-05 +5 *3702:20 *22769:C1 2.95757e-05 +6 *3702:20 *3704:8 0.000131599 +7 *3702:20 *3705:17 6.1515e-05 +8 *3702:20 *3722:29 1.91246e-05 +9 *3702:20 *5674:45 0.00138465 +10 *19789:A *3702:20 3.17436e-05 +11 *21455:A2 *3702:20 0.000180405 +12 *21808:A *3702:20 5.83513e-05 +13 *21839:B2 *3702:20 0.000107496 +14 *22458:B1 *3702:20 4.87401e-05 +15 *22488:A2 *3702:20 0.00100353 +16 *22726:B1 *3702:20 9.08828e-05 +17 *22727:A1 *3702:20 0.000454706 +18 *22763:A1 *3702:20 1.65872e-05 +19 *22790:A1 *3702:20 0.000854556 +20 *545:23 *22779:A 0.000154145 +21 *1544:76 *3702:20 1.54479e-05 +22 *1625:83 *3702:20 0.000392389 +23 *1645:15 *3702:20 2.43172e-05 +24 *1645:49 *3702:20 0.000132122 +25 *1730:113 *3702:20 1.46282e-05 +26 *1802:30 *3702:20 0.000715079 +27 *2697:65 *3702:20 0.0056659 +28 *2851:48 *3702:20 0.000156182 +29 *2854:21 *3702:20 6.08467e-05 +30 *2866:21 *3702:20 5.24523e-05 +31 *2915:19 *22779:A 2.07365e-05 +32 *2936:67 *3702:20 5.76799e-05 +33 *2994:55 *3702:20 0.000554599 +34 *3377:20 *3702:20 0.000172295 +35 *3411:16 *3702:20 2.89691e-06 +36 *3417:29 *3702:20 0.00152474 +37 *3474:111 *3702:20 0.000261973 +38 *3476:98 *3702:20 0.000163783 +39 *3491:75 *22779:A 0.000119035 +40 *3511:68 *3702:20 2.92413e-05 +*RES +1 *22763:X *3702:20 48.7096 +2 *3702:20 *22779:A 16.1364 +*END + +*D_NET *3703 0.00204719 +*CONN +*I *22765:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22764:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22765:C1 0.000590106 +2 *22764:X 0.000590106 +3 *21900:D *22765:C1 2.23682e-05 +4 *22474:A2 *22765:C1 6.64392e-05 +5 *22475:B2 *22765:C1 9.7868e-05 +6 *1800:249 *22765:C1 6.3657e-05 +7 *2667:72 *22765:C1 6.36477e-05 +8 *2895:33 *22765:C1 8.2603e-05 +9 *2935:13 *22765:C1 0.000444591 +10 *3117:74 *22765:C1 0 +11 *3162:21 *22765:C1 2.57986e-05 +*RES +1 *22764:X *22765:C1 41.0246 +*END + +*D_NET *3704 0.00660559 +*CONN +*I *22779:B I *D sky130_fd_sc_hd__nand4_4 +*I *22765:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22779:B 0 +2 *22765:X 0.00197033 +3 *3704:8 0.00197033 +4 *1544:76 *3704:8 0.000131599 +5 *1660:17 *3704:8 0.00129389 +6 *3162:21 *3704:8 0.000980193 +7 *3162:27 *3704:8 0.00012765 +8 *3702:20 *3704:8 0.000131599 +*RES +1 *22765:X *3704:8 47.0836 +2 *3704:8 *22779:B 13.7491 +*END + +*D_NET *3705 0.0289141 +*CONN +*I *22769:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22766:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *22769:B1 0.000208074 +2 *22766:X 0.00527045 +3 *3705:17 0.00547852 +4 *22769:B1 *22769:C1 5.14966e-06 +5 *22769:B1 *22779:C 6.60937e-05 +6 *19789:A *3705:17 0.00119217 +7 *21565:A2 *3705:17 0.000108436 +8 *22029:B1 *3705:17 0.000109247 +9 *22733:A2 *22769:B1 0.00015242 +10 *22769:A2 *22769:B1 1.88747e-05 +11 *24910:A *3705:17 0.00121134 +12 *1435:125 *3705:17 8.3051e-06 +13 *1435:281 *3705:17 0.000640233 +14 *1496:75 *22769:B1 3.42665e-05 +15 *1510:99 *22769:B1 6.80304e-05 +16 *1544:98 *22769:B1 3.63593e-05 +17 *1544:108 *3705:17 0.00254139 +18 *1586:77 *3705:17 0.000123007 +19 *1618:56 *3705:17 9.96301e-05 +20 *1625:115 *3705:17 0.000113478 +21 *1625:146 *3705:17 0.000359169 +22 *1645:49 *3705:17 1.43499e-05 +23 *1730:150 *3705:17 9.63961e-05 +24 *1730:184 *3705:17 0.000171484 +25 *1801:75 *3705:17 0 +26 *2556:19 *3705:17 2.17898e-06 +27 *2746:43 *3705:17 0.00275438 +28 *3029:33 *3705:17 0.00598183 +29 *3120:189 *3705:17 2.97235e-05 +30 *3406:29 *3705:17 0.000360587 +31 *3427:28 *3705:17 0.000540432 +32 *3491:75 *22769:B1 1.92988e-05 +33 *3493:130 *3705:17 0.00102893 +34 *3523:98 *3705:17 8.3051e-06 +35 *3702:20 *3705:17 6.1515e-05 +*RES +1 *22766:X *3705:17 47.316 +2 *3705:17 *22769:B1 19.7806 +*END + +*D_NET *3706 0.00109165 +*CONN +*I *22768:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22767:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22768:C1 0.000173952 +2 *22767:X 0.000173952 +3 *22767:A1 *22768:C1 0.000107496 +4 *1449:84 *22768:C1 0.000538648 +5 *2746:55 *22768:C1 9.27953e-05 +6 *2858:34 *22768:C1 4.80635e-06 +*RES +1 *22767:X *22768:C1 25.3464 +*END + +*D_NET *3707 0.0025919 +*CONN +*I *22769:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22768:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22769:C1 0.000422386 +2 *22768:X 0.000422386 +3 *22769:C1 *22779:C 0.000161933 +4 *22458:B1 *22769:C1 0.000147884 +5 *22768:A1 *22769:C1 0.000107496 +6 *22769:A2 *22769:C1 0 +7 *22769:B1 *22769:C1 5.14966e-06 +8 *22779:A *22769:C1 6.08467e-05 +9 *22785:A1 *22769:C1 2.12616e-05 +10 *22786:A2 *22769:C1 3.95516e-05 +11 *1449:84 *22769:C1 0.000345048 +12 *1544:76 *22769:C1 2.83631e-05 +13 *2858:34 *22769:C1 2.57986e-05 +14 *2915:19 *22769:C1 7.46327e-05 +15 *3491:75 *22769:C1 0.000699583 +16 *3702:20 *22769:C1 2.95757e-05 +*RES +1 *22768:X *22769:C1 43.8624 +*END + +*D_NET *3708 0.001378 +*CONN +*I *22779:C I *D sky130_fd_sc_hd__nand4_4 +*I *22769:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22779:C 0.000164466 +2 *22769:X 0.000164466 +3 *22769:A2 *22779:C 9.95542e-06 +4 *22769:B1 *22779:C 6.60937e-05 +5 *22769:C1 *22779:C 0.000161933 +6 *1641:57 *22779:C 2.77625e-06 +7 *2864:93 *22779:C 0.000154145 +8 *2915:19 *22779:C 0.000644332 +9 *3491:75 *22779:C 9.82896e-06 +*RES +1 *22769:X *22779:C 26.4556 +*END + +*D_NET *3709 0.00139155 +*CONN +*I *22771:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22770:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22771:C1 0.000286323 +2 *22770:X 0.000286323 +3 *22771:C1 *5919:40 5.12145e-05 +4 *22771:B2 *22771:C1 1.91246e-05 +5 *3058:8 *22771:C1 0.000274052 +6 *3106:81 *22771:C1 0.000177764 +7 *3175:110 *22771:C1 0.000274052 +8 *3513:143 *22771:C1 2.26985e-05 +*RES +1 *22770:X *22771:C1 40.6707 +*END + +*D_NET *3710 0.000999739 +*CONN +*I *22778:A I *D sky130_fd_sc_hd__and4_2 +*I *22771:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22778:A 0.000273109 +2 *22771:X 0.000273109 +3 *22449:B2 *22778:A 0.000161262 +4 *22450:C1 *22778:A 6.08467e-05 +5 *22451:C1 *22778:A 8.3506e-05 +6 *3157:126 *22778:A 1.27402e-05 +7 *3166:101 *22778:A 0.0001214 +8 *3168:97 *22778:A 1.37669e-05 +*RES +1 *22771:X *22778:A 33.1026 +*END + +*D_NET *3711 0.00119398 +*CONN +*I *22773:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22772:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22773:C1 0.000320835 +2 *22772:X 0.000320835 +3 *1808:87 *22773:C1 0.000349336 +4 *3120:179 *22773:C1 0.000101489 +5 *3394:8 *22773:C1 0.000101489 +*RES +1 *22772:X *22773:C1 33.7966 +*END + +*D_NET *3712 0.00218364 +*CONN +*I *22778:B I *D sky130_fd_sc_hd__and4_2 +*I *22773:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22778:B 0.000632599 +2 *22773:X 0.000632599 +3 *22778:B *22778:C 2.16355e-05 +4 *22450:A1 *22778:B 0.000412141 +5 *22469:A *22778:B 1.88152e-05 +6 *22773:A1 *22778:B 0.000118738 +7 *2778:54 *22778:B 0.000157407 +8 *2889:45 *22778:B 7.09701e-05 +9 *2954:74 *22778:B 0.000118738 +*RES +1 *22773:X *22778:B 43.2784 +*END + +*D_NET *3713 0.000572015 +*CONN +*I *22775:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22774:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22775:C1 0.000216035 +2 *22774:X 0.000216035 +3 *22774:A1 *22775:C1 3.15947e-05 +4 *22774:A2 *22775:C1 7.92757e-06 +5 *22774:B2 *22775:C1 8.02333e-05 +6 *22775:B1 *22775:C1 1.60245e-05 +7 *2878:51 *22775:C1 4.16437e-06 +*RES +1 *22774:X *22775:C1 23.128 +*END + +*D_NET *3714 0.00166905 +*CONN +*I *22778:C I *D sky130_fd_sc_hd__and4_2 +*I *22775:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22778:C 0.00066658 +2 *22775:X 0.00066658 +3 *22450:A1 *22778:C 4.23279e-05 +4 *22451:C1 *22778:C 0.000107496 +5 *22775:A1 *22778:C 3.72738e-05 +6 *22775:A2 *22778:C 2.41568e-05 +7 *22775:B1 *22778:C 0 +8 *22778:B *22778:C 2.16355e-05 +9 *2889:29 *22778:C 9.29156e-05 +10 *2889:45 *22778:C 1.00835e-05 +*RES +1 *22775:X *22778:C 30.5308 +*END + +*D_NET *3715 0.00103784 +*CONN +*I *22777:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22776:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22777:C1 0.000253092 +2 *22776:X 0.000253092 +3 *1419:249 *22777:C1 5.57601e-05 +4 *1575:39 *22777:C1 0.000217966 +5 *2889:22 *22777:C1 2.83103e-05 +6 *3155:127 *22777:C1 0.000165952 +7 *3175:112 *22777:C1 1.1573e-05 +8 *3541:135 *22777:C1 3.17436e-05 +9 *3671:20 *22777:C1 2.0346e-05 +*RES +1 *22776:X *22777:C1 38.2557 +*END + +*D_NET *3716 0.00576561 +*CONN +*I *22778:D I *D sky130_fd_sc_hd__and4_2 +*I *22777:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22778:D 0 +2 *22777:X 0.000114467 +3 *3716:10 0.0011642 +4 *3716:7 0.00127867 +5 *22777:A1 *3716:7 0.000154145 +6 *1598:91 *3716:10 4.15661e-05 +7 *2958:14 *3716:10 0.000923063 +8 *3157:139 *3716:10 0.000252614 +9 *3162:106 *3716:10 0.00175185 +10 *3543:171 *3716:10 8.50356e-05 +*RES +1 *22777:X *3716:7 15.5817 +2 *3716:7 *3716:10 40.7979 +3 *3716:10 *22778:D 9.24915 +*END + +*D_NET *3717 0.0446419 +*CONN +*I *22779:D I *D sky130_fd_sc_hd__nand4_4 +*I *22778:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *22779:D 0.00228183 +2 *22778:X 0.00741127 +3 *3717:14 0.0096931 +4 *22779:D *5674:45 0.000232206 +5 *3717:14 *24057:A1 0 +6 *3717:14 *5924:37 0.00275368 +7 *3717:14 *6021:14 0 +8 *19795:A *22779:D 0.00012883 +9 *21683:A1 *22779:D 0.00280112 +10 *21832:B1 *3717:14 0.000284705 +11 *21850:A1 *22779:D 2.0994e-05 +12 *22047:B1 *3717:14 0.00445868 +13 *22450:C1 *3717:14 0.000189928 +14 *22459:B1 *3717:14 1.91246e-05 +15 *22767:A1 *22779:D 1.56354e-05 +16 *22774:B2 *3717:14 4.907e-05 +17 *22775:A2 *3717:14 5.83513e-05 +18 *1454:46 *22779:D 0.000231497 +19 *1510:99 *22779:D 2.7257e-05 +20 *1563:81 *22779:D 8.10824e-05 +21 *1569:71 *3717:14 6.76424e-05 +22 *1724:61 *3717:14 0.00677659 +23 *1757:106 *22779:D 0.00308333 +24 *1766:82 *22779:D 0 +25 *1795:29 *3717:14 4.11569e-05 +26 *1802:30 *22779:D 2.07556e-06 +27 *2537:35 *3717:14 0.000102893 +28 *2655:32 *3717:14 0.000108729 +29 *2697:65 *22779:D 0.000449398 +30 *2810:60 *3717:14 1.04966e-05 +31 *2863:14 *3717:14 0.000162338 +32 *3131:41 *3717:14 0.000216434 +33 *3162:106 *3717:14 1.91246e-05 +34 *3164:15 *22779:D 0.00245318 +35 *3164:19 *22779:D 0.000118513 +36 *3368:27 *3717:14 1.12404e-05 +37 *3421:32 *3717:14 0.000158358 +38 *3427:28 *22779:D 8.81948e-07 +39 *3531:128 *3717:14 9.63467e-06 +40 *3533:88 *3717:14 0.000111535 +*RES +1 *22778:X *3717:14 43.1761 +2 *3717:14 *22779:D 27.6268 +*END + +*D_NET *3718 0.000836496 +*CONN +*I *22781:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *22780:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22781:C1 0.000211418 +2 *22780:X 0.000211418 +3 *22763:A2 *22781:C1 9.80784e-05 +4 *22781:B1 *22781:C1 0.000119375 +5 *2543:88 *22781:C1 0.000156946 +6 *3466:82 *22781:C1 3.62144e-05 +7 *3476:71 *22781:C1 3.04577e-06 +*RES +1 *22780:X *22781:C1 32.1522 +*END + +*D_NET *3719 0.0206341 +*CONN +*I *22797:A I *D sky130_fd_sc_hd__nand4_4 +*I *22781:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *22797:A 5.93668e-05 +2 *22781:X 0.000866519 +3 *3719:44 0.00219875 +4 *3719:26 0.00385609 +5 *3719:10 0.00258322 +6 *3719:26 *24912:A 2.87798e-05 +7 *3719:44 *5476:51 6.21462e-05 +8 *19855:B *3719:26 7.65608e-05 +9 *20050:A1 *3719:26 0.000301209 +10 *21467:A2 *3719:10 1.48832e-05 +11 *21467:B1 *3719:10 0.000107968 +12 *21517:A2 *3719:26 9.95542e-06 +13 *21517:B1 *3719:26 0.000164254 +14 *21517:B2 *3719:26 3.99086e-06 +15 *21525:B *3719:26 4.1843e-05 +16 *21599:C1 *3719:26 6.58523e-05 +17 *21696:A1 *3719:10 0.000141737 +18 *21730:B1 *3719:10 0.00043404 +19 *21909:B2 *3719:44 1.91391e-05 +20 *21994:D *3719:44 9.2346e-06 +21 *22005:C1 *3719:44 6.00033e-05 +22 *22502:A2 *3719:26 5.88009e-05 +23 *22747:A2 *3719:44 5.37563e-05 +24 *22747:B2 *3719:44 0.000396937 +25 *22747:C1 *3719:44 2.54482e-05 +26 *22761:B *3719:44 0.000147165 +27 *22783:A2 *3719:44 0.000260538 +28 *22783:B2 *3719:44 0.000277349 +29 *547:21 *22797:A 6.98337e-06 +30 *1443:49 *3719:44 0.000621242 +31 *1535:56 *3719:10 2.47967e-05 +32 *1537:71 *3719:26 0.000119263 +33 *1542:19 *3719:10 0.00028042 +34 *1588:77 *3719:26 0.000106261 +35 *1616:17 *3719:26 4.75951e-05 +36 *1649:57 *3719:44 2.81147e-06 +37 *1662:63 *3719:26 0.000134301 +38 *1725:97 *3719:44 0.000263281 +39 *1751:120 *3719:10 0.000246387 +40 *1762:132 *3719:26 0.000109568 +41 *1771:60 *3719:10 0.000638398 +42 *1786:71 *3719:10 3.91944e-05 +43 *1802:80 *3719:26 1.41689e-05 +44 *1802:80 *3719:44 0.000224087 +45 *1805:12 *3719:10 0.000196819 +46 *2523:84 *3719:10 3.55859e-05 +47 *2547:12 *3719:44 7.01264e-05 +48 *2563:26 *3719:26 0.000136325 +49 *2572:67 *3719:26 2.57847e-05 +50 *2583:61 *3719:26 0.000441147 +51 *2590:36 *3719:26 0.000243465 +52 *2590:36 *3719:44 0.000442919 +53 *2652:28 *3719:26 0.00035748 +54 *2675:68 *3719:44 0.000111627 +55 *2751:8 *3719:26 4.41072e-05 +56 *2751:8 *3719:44 9.73163e-05 +57 *2763:20 *3719:44 0.000784686 +58 *2915:19 *22797:A 0.000107496 +59 *3142:46 *3719:44 0.000219982 +60 *3196:41 *3719:26 0.000867707 +61 *3481:37 *3719:44 0.000101932 +62 *3481:46 *3719:44 0 +63 *3483:30 *3719:44 8.94611e-05 +64 *3498:35 *22797:A 1.78704e-05 +65 *3500:89 *3719:44 7.93457e-06 +66 *3502:21 *3719:44 0.000168692 +67 *3519:93 *3719:26 0.000861342 +*RES +1 *22781:X *3719:10 43.5125 +2 *3719:10 *3719:26 49.6277 +3 *3719:26 *3719:44 46.7224 +4 *3719:44 *22797:A 15.6059 +*END + +*D_NET *3720 0.00310012 +*CONN +*I *22783:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22782:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22783:C1 0.000563879 +2 *22782:X 0.000563879 +3 *22474:B1 *22783:C1 0.000213292 +4 *22782:B1 *22783:C1 6.50586e-05 +5 *22783:A2 *22783:C1 7.55603e-05 +6 *22783:B1 *22783:C1 5.5398e-05 +7 *22783:B2 *22783:C1 7.92757e-06 +8 *2650:22 *22783:C1 0.000429012 +9 *2895:33 *22783:C1 0.000545401 +10 *2935:13 *22783:C1 0.000580718 +*RES +1 *22782:X *22783:C1 46.8679 +*END + +*D_NET *3721 0.00119811 +*CONN +*I *22797:B I *D sky130_fd_sc_hd__nand4_4 +*I *22783:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22797:B 0.000284754 +2 *22783:X 0.000284754 +3 *22797:B *3737:12 0.000271508 +4 *22783:A2 *22797:B 3.53886e-05 +5 *22783:B2 *22797:B 0.00032171 +*RES +1 *22783:X *22797:B 34.9002 +*END + +*D_NET *3722 0.0200163 +*CONN +*I *22787:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22784:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22787:B1 2.30822e-05 +2 *22784:X 0.00118183 +3 *3722:29 0.000693457 +4 *3722:14 0.00279346 +5 *3722:13 0.00227107 +6 *3722:8 0.00132982 +7 *22787:B1 *22797:D 1.68414e-05 +8 *3722:29 *22787:C1 5.04829e-06 +9 *3722:29 *22797:D 8.24822e-05 +10 *3722:29 *3734:18 4.86175e-05 +11 *19995:B *3722:14 0.000300055 +12 *20050:A1 *3722:13 1.65872e-05 +13 *20050:B1 *3722:8 8.38786e-05 +14 *21517:B1 *3722:8 0.000145115 +15 *21696:C1 *3722:8 0.000275883 +16 *21707:B1 *3722:8 3.88655e-06 +17 *22458:B1 *3722:29 0.000150203 +18 *22485:A1 *3722:8 2.88759e-05 +19 *22488:B2 *3722:8 1.92588e-05 +20 *22727:B1 *3722:8 0.000331964 +21 *22737:B1 *3722:8 2.18438e-05 +22 *22737:B1 *3722:14 2.68948e-05 +23 *22784:A2 *3722:8 6.36477e-05 +24 *22784:B2 *3722:8 7.60356e-05 +25 *22787:A2 *22787:B1 3.39073e-05 +26 *1435:197 *3722:8 2.52921e-05 +27 *1496:84 *3722:14 5.36471e-05 +28 *1496:130 *3722:8 0.000122182 +29 *1510:99 *3722:14 1.58517e-05 +30 *1544:108 *3722:14 6.52046e-06 +31 *1563:81 *3722:14 0.000361214 +32 *1570:15 *3722:8 0.000169222 +33 *1642:39 *3722:14 0.00100611 +34 *1677:187 *3722:14 3.77568e-05 +35 *1751:23 *3722:14 0.000523997 +36 *1751:120 *3722:8 1.51176e-05 +37 *1753:16 *3722:14 6.34564e-05 +38 *1772:71 *3722:8 0.000787398 +39 *1798:84 *3722:8 8.22431e-05 +40 *1800:8 *3722:29 2.91021e-05 +41 *1800:249 *3722:29 0.00110299 +42 *1805:10 *3722:14 3.3057e-05 +43 *1805:12 *3722:14 0.00269409 +44 *2583:61 *3722:8 2.77564e-05 +45 *2583:61 *3722:13 0.000158451 +46 *2697:39 *3722:8 2.433e-05 +47 *2724:24 *3722:8 0.000137358 +48 *2751:8 *3722:29 0.000143988 +49 *2915:19 *3722:29 7.92757e-06 +50 *2997:30 *3722:14 6.91476e-06 +51 *3063:30 *3722:29 0.000143988 +52 *3139:27 *3722:29 0.000586888 +53 *3162:27 *3722:29 0.00110579 +54 *3471:51 *3722:14 9.44741e-05 +55 *3502:58 *3722:29 5.481e-05 +56 *3668:13 *3722:14 0.000175891 +57 *3678:21 *3722:14 0.000175653 +58 *3702:20 *3722:29 1.91246e-05 +*RES +1 *22784:X *3722:8 45.6509 +2 *3722:8 *3722:13 11.3872 +3 *3722:13 *3722:14 65.7808 +4 *3722:14 *3722:29 49.1276 +5 *3722:29 *22787:B1 10.2378 +*END + +*D_NET *3723 0.00177245 +*CONN +*I *22786:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22785:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22786:C1 0.000541021 +2 *22785:X 0.000541021 +3 *22786:C1 *4854:6 1.15099e-05 +4 *22480:A2 *22786:C1 4.78118e-05 +5 *22768:A1 *22786:C1 3.62787e-05 +6 *22785:A2 *22786:C1 5.24081e-05 +7 *22785:B2 *22786:C1 0.000165521 +8 *22786:B1 *22786:C1 0.000115573 +9 *2895:33 *22786:C1 6.7671e-06 +10 *3432:17 *22786:C1 0.000254541 +*RES +1 *22785:X *22786:C1 37.5394 +*END + +*D_NET *3724 0.000471469 +*CONN +*I *22787:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22786:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22787:C1 9.38908e-05 +2 *22786:X 9.38908e-05 +3 *22787:C1 *22797:D 7.92757e-06 +4 *2751:8 *22787:C1 0.00010322 +5 *2915:19 *22787:C1 6.08467e-05 +6 *3063:30 *22787:C1 0.000106645 +7 *3722:29 *22787:C1 5.04829e-06 +*RES +1 *22786:X *22787:C1 30.4689 +*END + +*D_NET *3725 0.0014215 +*CONN +*I *22797:C I *D sky130_fd_sc_hd__nand4_4 +*I *22787:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22797:C 0.000468461 +2 *22787:X 0.000468461 +3 *547:21 *22797:C 1.47978e-05 +4 *2915:19 *22797:C 0.000469777 +*RES +1 *22787:X *22797:C 26.311 +*END + +*D_NET *3726 0.00138828 +*CONN +*I *22789:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22788:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22789:C1 0.00033065 +2 *22788:X 0.00033065 +3 *2733:5 *22789:C1 0.000200794 +4 *3155:104 *22789:C1 0.000261716 +5 *3511:104 *22789:C1 0.000264475 +*RES +1 *22788:X *22789:C1 35.4548 +*END + +*D_NET *3727 0.000525845 +*CONN +*I *22796:A I *D sky130_fd_sc_hd__and4_2 +*I *22789:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22796:A 0.000151386 +2 *22789:X 0.000151386 +3 *22796:A *22796:C 3.0496e-05 +4 *22789:A1 *22796:A 5.88009e-05 +5 *2649:64 *22796:A 2.34804e-05 +6 *3507:47 *22796:A 0.000110297 +*RES +1 *22789:X *22796:A 30.6083 +*END + +*D_NET *3728 0.000993767 +*CONN +*I *22791:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22790:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22791:C1 0.00031073 +2 *22790:X 0.00031073 +3 *22494:B2 *22791:C1 2.57465e-06 +4 *22791:A1 *22791:C1 1.41976e-05 +5 *22791:B1 *22791:C1 6.08467e-05 +6 *22799:B1 *22791:C1 3.53886e-05 +7 *1603:81 *22791:C1 7.09666e-06 +8 *2523:65 *22791:C1 2.16355e-05 +9 *2536:28 *22791:C1 0.00010443 +10 *2536:33 *22791:C1 6.08467e-05 +11 *3157:113 *22791:C1 6.52902e-05 +*RES +1 *22790:X *22791:C1 33.4107 +*END + +*D_NET *3729 0.00193699 +*CONN +*I *22796:B I *D sky130_fd_sc_hd__and4_2 +*I *22791:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22796:B 0.000622837 +2 *22791:X 0.000622837 +3 *22796:B *22796:C 3.99086e-06 +4 *22796:B *22796:D 0.000154145 +5 *22473:A2 *22796:B 6.08467e-05 +6 *22473:B2 *22796:B 3.46254e-05 +7 *22482:B *22796:B 2.41274e-06 +8 *22791:A2 *22796:B 2.45663e-05 +9 *2723:19 *22796:B 9.80242e-07 +10 *3162:87 *22796:B 0.000187895 +11 *3521:59 *22796:B 0.000221854 +*RES +1 *22791:X *22796:B 39.5791 +*END + +*D_NET *3730 0.000712237 +*CONN +*I *22793:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22792:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22793:C1 9.9298e-05 +2 *22792:X 9.9298e-05 +3 *22792:A2 *22793:C1 0.000107496 +4 *1784:166 *22793:C1 3.45034e-05 +5 *2614:55 *22793:C1 0.000161234 +6 *2723:5 *22793:C1 0.000114584 +7 *2723:19 *22793:C1 7.54269e-06 +8 *3533:227 *22793:C1 8.82817e-05 +*RES +1 *22792:X *22793:C1 23.128 +*END + +*D_NET *3731 0.00237379 +*CONN +*I *22796:C I *D sky130_fd_sc_hd__and4_2 +*I *22793:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22796:C 0.000477205 +2 *22793:X 0.000477205 +3 *22477:A1 *22796:C 1.07248e-05 +4 *22482:B *22796:C 0.000161234 +5 *22789:A1 *22796:C 2.27901e-06 +6 *22789:B1 *22796:C 7.12632e-06 +7 *22796:A *22796:C 3.0496e-05 +8 *22796:B *22796:C 3.99086e-06 +9 *1784:166 *22796:C 0.000589679 +10 *2649:51 *22796:C 6.00782e-06 +11 *2649:64 *22796:C 1.86389e-05 +12 *2723:19 *22796:C 0.000256056 +13 *3058:22 *22796:C 3.82228e-05 +14 *3148:275 *22796:C 3.54013e-05 +15 *3148:289 *22796:C 2.19276e-05 +16 *3166:82 *22796:C 8.10016e-06 +17 *3507:75 *22796:C 6.3609e-05 +18 *3509:60 *22796:C 6.36477e-05 +19 *3509:74 *22796:C 8.25603e-05 +20 *3511:104 *22796:C 1.96834e-05 +*RES +1 *22793:X *22796:C 42.9915 +*END + +*D_NET *3732 0.000755496 +*CONN +*I *22795:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22794:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22795:C1 0.000111493 +2 *22794:X 0.000111493 +3 *20021:A2 *22795:C1 0.000354312 +4 *22487:B *22795:C1 5.12492e-05 +5 *22794:B1 *22795:C1 0.000113968 +6 *22795:B1 *22795:C1 1.2981e-05 +*RES +1 *22794:X *22795:C1 23.128 +*END + +*D_NET *3733 0.00224068 +*CONN +*I *22796:D I *D sky130_fd_sc_hd__and4_2 +*I *22795:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22796:D 0.000468856 +2 *22795:X 0.000468856 +3 *22796:D *3734:18 2.77564e-05 +4 *22473:B2 *22796:D 3.82228e-05 +5 *22473:C1 *22796:D 0.000609196 +6 *22796:B *22796:D 0.000154145 +7 *2723:19 *22796:D 3.99086e-06 +8 *3164:76 *22796:D 8.03699e-06 +9 *3164:95 *22796:D 0.000455461 +10 *3507:47 *22796:D 6.1578e-06 +*RES +1 *22795:X *22796:D 42.3747 +*END + +*D_NET *3734 0.0279153 +*CONN +*I *22797:D I *D sky130_fd_sc_hd__nand4_4 +*I *22796:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *22797:D 0.00101195 +2 *22796:X 0.00411199 +3 *3734:18 0.00512393 +4 *20049:A1 *3734:18 0.00302579 +5 *21920:B2 *3734:18 0.00306816 +6 *21969:A1 *3734:18 4.6263e-05 +7 *22411:B1 *3734:18 0.000392389 +8 *22437:B2 *3734:18 0.000359176 +9 *22455:C1 *3734:18 0.00220383 +10 *22458:B1 *22797:D 1.88152e-05 +11 *22473:B1 *3734:18 0.000503105 +12 *22482:B *3734:18 8.02582e-05 +13 *22787:A2 *22797:D 0.000145294 +14 *22787:B1 *22797:D 1.68414e-05 +15 *22787:C1 *22797:D 7.92757e-06 +16 *22796:D *3734:18 2.77564e-05 +17 *1541:169 *3734:18 0.000511407 +18 *1641:57 *22797:D 0.000111722 +19 *1800:8 *3734:18 0.000194659 +20 *2586:61 *22797:D 0.000236352 +21 *2652:14 *22797:D 0.00023995 +22 *2732:47 *3734:18 0.000271695 +23 *2753:21 *3734:18 8.20799e-06 +24 *2915:19 *22797:D 7.30027e-05 +25 *2955:48 *3734:18 0.00184018 +26 *2964:35 *3734:18 0.000586758 +27 *2974:40 *3734:18 7.31585e-05 +28 *3114:29 *3734:18 0.00208689 +29 *3139:27 *22797:D 5.51483e-06 +30 *3164:76 *3734:18 0.000175168 +31 *3406:13 *22797:D 0.000296893 +32 *3406:14 *3734:18 1.67313e-05 +33 *3411:16 *3734:18 5.91695e-05 +34 *3421:17 *3734:18 0.000438299 +35 *3491:75 *22797:D 0.000407429 +36 *3507:47 *3734:18 7.52117e-06 +37 *3722:29 *22797:D 8.24822e-05 +38 *3722:29 *3734:18 4.86175e-05 +*RES +1 *22796:X *3734:18 45.6872 +2 *3734:18 *22797:D 44.8065 +*END + +*D_NET *3735 0.000656704 +*CONN +*I *22799:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22798:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22799:C1 0.00017889 +2 *22798:X 0.00017889 +3 *2573:30 *22799:C1 3.82228e-05 +4 *2851:26 *22799:C1 0.000132754 +5 *3466:67 *22799:C1 3.40268e-05 +6 *3466:82 *22799:C1 9.39195e-05 +*RES +1 *22798:X *22799:C1 31.4388 +*END + +*D_NET *3736 0.0138335 +*CONN +*I *22815:A I *D sky130_fd_sc_hd__nand4_4 +*I *22799:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22815:A 0 +2 *22799:X 0.00194606 +3 *3736:31 0.00130748 +4 *3736:18 0.00325354 +5 *20050:A1 *3736:18 5.76509e-05 +6 *21517:B1 *3736:18 0.00049362 +7 *21517:B2 *3736:18 6.08467e-05 +8 *21525:B *3736:18 0.00038621 +9 *21590:A *3736:31 0.000319463 +10 *21591:B *3736:31 0.000285466 +11 *22005:A1 *3736:18 0.000170643 +12 *22726:A2 *3736:18 0.000107496 +13 *543:10 *3736:31 0.000457278 +14 *1459:89 *3736:18 0.000187272 +15 *1459:110 *3736:18 0.000183499 +16 *1496:111 *3736:18 0.000235441 +17 *1588:77 *3736:18 9.04884e-05 +18 *1588:88 *3736:18 0.000305716 +19 *1608:13 *3736:31 0.000531645 +20 *1627:13 *3736:18 0.000280467 +21 *1637:46 *3736:31 1.49077e-05 +22 *1637:53 *3736:31 0.000171849 +23 *1723:47 *3736:18 0.000774555 +24 *1797:41 *3736:18 0.000340742 +25 *1798:36 *3736:18 5.01835e-05 +26 *2502:59 *3736:31 0.000401269 +27 *2524:8 *3736:18 0.000277268 +28 *2590:25 *3736:31 9.85247e-05 +29 *2641:51 *3736:31 0.000646037 +30 *2931:26 *3736:31 3.79009e-05 +31 *3023:42 *3736:31 5.7054e-05 +32 *3071:57 *3736:31 1.24272e-05 +33 *3208:107 *3736:18 0.000104754 +34 *3474:42 *3736:18 7.27023e-06 +35 *3668:13 *3736:18 0.000178481 +*RES +1 *22799:X *3736:18 49.4838 +2 *3736:18 *3736:31 49.5317 +3 *3736:31 *22815:A 9.24915 +*END + +*D_NET *3737 0.00854102 +*CONN +*I *22801:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22800:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22801:C1 2.71577e-05 +2 *22800:X 0.000171429 +3 *3737:16 9.18806e-05 +4 *3737:15 0.000326024 +5 *3737:12 0.00187996 +6 *3737:8 0.00179009 +7 *3737:12 *21702:A1 0.000608688 +8 *3737:15 *22815:B 9.80242e-07 +9 *19894:A1 *3737:12 4.49912e-05 +10 *21703:C *3737:12 0.000582475 +11 *22409:C1 *3737:12 2.57465e-06 +12 *22729:A1 *3737:12 1.66626e-05 +13 *22729:B1 *3737:12 7.60356e-05 +14 *22747:A2 *3737:15 0.000114584 +15 *22747:B2 *22801:C1 6.12989e-05 +16 *22747:B2 *3737:15 2.03542e-05 +17 *22782:B1 *3737:8 0.000170131 +18 *22783:A1 *3737:12 6.34651e-06 +19 *22783:B2 *3737:12 0.00010971 +20 *22797:B *3737:12 0.000271508 +21 *22801:A1 *3737:15 2.41274e-06 +22 *22801:A2 *3737:15 9.32983e-05 +23 *1588:36 *3737:12 5.93375e-06 +24 *1648:45 *3737:12 0.000160657 +25 *1660:66 *3737:12 0.000114217 +26 *1660:85 *3737:12 4.93937e-05 +27 *1731:136 *3737:8 3.11247e-05 +28 *2547:18 *3737:12 7.14746e-05 +29 *2727:8 *3737:8 2.15485e-05 +30 *2727:8 *3737:12 9.42362e-06 +31 *2923:16 *3737:8 0.000331712 +32 *2923:16 *3737:12 3.51643e-05 +33 *3206:24 *3737:12 0.000101489 +34 *3206:88 *3737:12 0.000305245 +35 *3483:30 *3737:12 9.94986e-05 +36 *3483:44 *3737:12 0.000727623 +37 *3483:44 *3737:15 7.92757e-06 +*RES +1 *22800:X *3737:8 20.6741 +2 *3737:8 *3737:12 49.7258 +3 *3737:12 *3737:15 13.3002 +4 *3737:15 *3737:16 81.1229 +5 *3737:16 *22801:C1 19.2217 +*END + +*D_NET *3738 0.000981441 +*CONN +*I *22815:B I *D sky130_fd_sc_hd__nand4_4 +*I *22801:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22815:B 0.000337352 +2 *22801:X 0.000337352 +3 *22747:A2 *22815:B 0.000119182 +4 *22747:B2 *22815:B 2.54243e-05 +5 *22801:A1 *22815:B 2.16355e-05 +6 *22801:B1 *22815:B 6.51637e-05 +7 *549:23 *22815:B 2.37478e-05 +8 *2923:14 *22815:B 1.23804e-05 +9 *3483:44 *22815:B 3.82228e-05 +10 *3737:15 *22815:B 9.80242e-07 +*RES +1 *22801:X *22815:B 33.7966 +*END + +*D_NET *3739 0.0224574 +*CONN +*I *22805:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22802:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *22805:B1 0.000351737 +2 *22802:X 7.98254e-05 +3 *3739:14 0.00178681 +4 *3739:8 0.00455605 +5 *3739:7 0.0032008 +6 *22805:B1 *22815:C 2.77625e-06 +7 *22805:B1 *3751:47 8.71609e-05 +8 *3739:8 *4848:8 0.000132228 +9 *3739:14 *4848:8 0.000595389 +10 *3739:14 *4851:9 9.18679e-06 +11 *19897:A *3739:14 0.000130333 +12 *21455:B1 *22805:B1 0.000104572 +13 *21599:A2 *3739:8 0.000115909 +14 *21605:C1 *3739:8 0.000122149 +15 *21921:C1 *22805:B1 1.55025e-05 +16 *22236:A2 *3739:8 0.000220551 +17 *22239:A1 *3739:8 2.29175e-05 +18 *22528:A2 *3739:8 7.16355e-05 +19 *22554:B1 *3739:8 2.02035e-05 +20 *22555:B1 *3739:8 0.000238833 +21 *22805:A1 *22805:B1 6.91718e-05 +22 *22805:A1 *3739:14 0.000205473 +23 *22805:A2 *22805:B1 5.88253e-05 +24 *518:15 *3739:8 2.0385e-05 +25 *1537:80 *3739:8 0.000251514 +26 *1537:80 *3739:14 2.74292e-05 +27 *1537:101 *3739:8 0.00012774 +28 *1543:39 *3739:8 7.01586e-06 +29 *1543:40 *3739:8 0.000730159 +30 *1562:50 *3739:8 0.000161628 +31 *1563:103 *3739:14 1.41629e-05 +32 *1563:105 *3739:14 0.00117605 +33 *1570:76 *3739:8 0.00052777 +34 *1598:46 *3739:8 0.00024702 +35 *1598:46 *3739:14 3.37714e-06 +36 *1598:47 *3739:8 0.00038633 +37 *1635:23 *3739:8 0.000210291 +38 *1658:27 *3739:8 0.00017926 +39 *1668:85 *3739:8 0.000309453 +40 *1708:95 *3739:8 0.000394232 +41 *1716:35 *3739:8 0.000438119 +42 *1720:20 *3739:8 0.00108177 +43 *1721:88 *3739:8 0.000298507 +44 *1762:132 *3739:8 4.60375e-07 +45 *1771:47 *22805:B1 0.00016034 +46 *1788:89 *3739:8 6.60633e-06 +47 *1800:47 *3739:8 1.04965e-05 +48 *1800:75 *3739:8 0.000763707 +49 *2093:79 *3739:8 2.21241e-05 +50 *2093:157 *3739:7 0.000107496 +51 *2556:28 *3739:8 7.41501e-05 +52 *2571:67 *3739:14 2.57465e-05 +53 *2632:112 *3739:14 0.000873661 +54 *2773:35 *3739:14 0.000669667 +55 *2811:26 *3739:8 9.76691e-05 +56 *3001:22 *22805:B1 0.000157794 +57 *3063:45 *22805:B1 7.09666e-06 +58 *3206:207 *3739:8 0.000297361 +59 *3474:130 *3739:8 7.45608e-05 +60 *3491:66 *3739:14 0.000274256 +61 *3498:112 *22805:B1 4.39979e-05 +*RES +1 *22802:X *3739:7 15.0271 +2 *3739:7 *3739:8 91.1967 +3 *3739:8 *3739:14 49.335 +4 *3739:14 *22805:B1 28.9226 +*END + +*D_NET *3740 0.000796075 +*CONN +*I *22804:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22803:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22804:C1 0.000160267 +2 *22803:X 0.000160267 +3 *22803:A1 *22804:C1 6.08467e-05 +4 *22803:B1 *22804:C1 0.000113968 +5 *22803:B2 *22804:C1 2.07503e-05 +6 *22804:B1 *22804:C1 3.01683e-06 +7 *3007:19 *22804:C1 0.000276958 +*RES +1 *22803:X *22804:C1 24.2372 +*END + +*D_NET *3741 0.000878637 +*CONN +*I *22805:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *22804:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22805:C1 0.000276442 +2 *22804:X 0.000276442 +3 *22805:C1 *4851:9 0.000154117 +4 *22804:A2 *22805:C1 7.49402e-05 +5 *1449:85 *22805:C1 9.66954e-05 +*RES +1 *22804:X *22805:C1 32.6874 +*END + +*D_NET *3742 0.0014167 +*CONN +*I *22815:C I *D sky130_fd_sc_hd__nand4_4 +*I *22805:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *22815:C 0.000471279 +2 *22805:X 0.000471279 +3 *22815:C *3751:47 0.000448782 +4 *21921:C1 *22815:C 2.25839e-05 +5 *22805:B1 *22815:C 2.77625e-06 +*RES +1 *22805:X *22815:C 26.311 +*END + +*D_NET *3743 0.00147757 +*CONN +*I *22807:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22806:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22807:C1 0.000420162 +2 *22806:X 0.000420162 +3 *22499:A1 *22807:C1 0.000310656 +4 *22503:A1 *22807:C1 9.32704e-05 +5 *1471:219 *22807:C1 0.000111802 +6 *2583:27 *22807:C1 7.5909e-06 +7 *3004:31 *22807:C1 0.00011393 +*RES +1 *22806:X *22807:C1 35.321 +*END + +*D_NET *3744 0.000434194 +*CONN +*I *22814:A I *D sky130_fd_sc_hd__and4_1 +*I *22807:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22814:A 9.76617e-05 +2 *22807:X 9.76617e-05 +3 *22495:B1 *22814:A 6.36477e-05 +4 *3382:8 *22814:A 8.94101e-05 +5 *3507:75 *22814:A 8.58125e-05 +*RES +1 *22807:X *22814:A 30.0537 +*END + +*D_NET *3745 0.00117489 +*CONN +*I *22809:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22808:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22809:C1 0.000248649 +2 *22808:X 0.000248649 +3 *22495:A1 *22809:C1 0.000110306 +4 *3208:89 *22809:C1 0.000205101 +5 *3517:96 *22809:C1 0.000113107 +6 *3521:101 *22809:C1 0.000122834 +7 *3523:49 *22809:C1 0.000126245 +*RES +1 *22808:X *22809:C1 33.826 +*END + +*D_NET *3746 0.00226809 +*CONN +*I *22814:B I *D sky130_fd_sc_hd__and4_1 +*I *22809:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22814:B 0.000895908 +2 *22809:X 0.000895908 +3 *22814:B *22814:C 3.20431e-05 +4 *22814:B *22814:D 0.00010523 +5 *22814:B *3751:14 4.31216e-05 +6 *22495:A1 *22814:B 5.8973e-05 +7 *22495:A2 *22814:B 1.03403e-05 +8 *22495:B2 *22814:B 8.61132e-05 +9 *22809:A1 *22814:B 9.94284e-06 +10 *22809:B1 *22814:B 1.03403e-05 +11 *22809:B2 *22814:B 6.08467e-05 +12 *3517:83 *22814:B 4.97617e-05 +13 *3517:96 *22814:B 9.55988e-06 +*RES +1 *22809:X *22814:B 33.9307 +*END + +*D_NET *3747 0.000444911 +*CONN +*I *22811:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22810:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22811:C1 0.000133617 +2 *22810:X 0.000133617 +3 *21542:A1 *22811:C1 7.82454e-06 +4 *1604:91 *22811:C1 1.4036e-05 +5 *2571:15 *22811:C1 2.41274e-06 +6 *3146:65 *22811:C1 5.01835e-05 +7 *3428:23 *22811:C1 0.00010322 +*RES +1 *22810:X *22811:C1 30.4689 +*END + +*D_NET *3748 0.00182683 +*CONN +*I *22814:C I *D sky130_fd_sc_hd__and4_1 +*I *22811:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22814:C 0.000723244 +2 *22811:X 0.000723244 +3 *22814:C *22814:D 0.000127247 +4 *22810:A1 *22814:C 3.82228e-05 +5 *22814:B *22814:C 3.20431e-05 +6 *2998:28 *22814:C 8.96998e-05 +7 *3529:106 *22814:C 9.31248e-05 +*RES +1 *22811:X *22814:C 38.9273 +*END + +*D_NET *3749 0.000840982 +*CONN +*I *22813:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *22812:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *22813:C1 0.000252766 +2 *22812:X 0.000252766 +3 *21559:B1 *22813:C1 6.6702e-05 +4 *21559:B2 *22813:C1 6.08467e-05 +5 *22813:B1 *22813:C1 9.12416e-06 +6 *2536:8 *22813:C1 1.3706e-05 +7 *3155:127 *22813:C1 0.000116493 +8 *3537:126 *22813:C1 6.85778e-05 +*RES +1 *22812:X *22813:C1 32.6874 +*END + +*D_NET *3750 0.0273249 +*CONN +*I *22814:D I *D sky130_fd_sc_hd__and4_1 +*I *22813:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *22814:D 0.00302727 +2 *22813:X 0.00104401 +3 *3750:22 0.00461665 +4 *3750:13 0.00263339 +5 *21542:A1 *22814:D 0.000418456 +6 *21772:A1 *22814:D 0.000949734 +7 *21772:A1 *3750:22 2.79751e-05 +8 *22413:A2 *3750:22 1.1e-05 +9 *22428:B1 *22814:D 2.99649e-05 +10 *22501:B2 *3750:22 0.000263701 +11 *22794:A1 *22814:D 0.000925147 +12 *22798:B1 *22814:D 7.86689e-05 +13 *22810:A1 *22814:D 0.000107496 +14 *22813:B2 *3750:13 6.08467e-05 +15 *22814:B *22814:D 0.00010523 +16 *22814:C *22814:D 0.000127247 +17 *1459:87 *22814:D 0.000129091 +18 *1537:171 *3750:22 6.09999e-05 +19 *1547:34 *3750:13 0.000787016 +20 *1575:29 *3750:13 9.66016e-05 +21 *1587:121 *3750:22 0.000255923 +22 *1594:30 *3750:22 0.000129319 +23 *1598:110 *3750:22 1.54479e-05 +24 *1603:48 *22814:D 0.00107132 +25 *1625:91 *22814:D 0.00015806 +26 *1625:91 *3750:22 0.000360943 +27 *1689:107 *3750:22 2.43671e-05 +28 *1689:135 *3750:22 0.000266316 +29 *1764:94 *22814:D 0.000251171 +30 *1801:75 *3750:13 0.000222872 +31 *2571:40 *22814:D 0.000682996 +32 *2593:14 *3750:22 9.76405e-05 +33 *2662:14 *3750:13 1.89527e-06 +34 *2703:60 *22814:D 0.000425403 +35 *2783:56 *3750:22 1.91246e-05 +36 *2807:26 *3750:22 5.90354e-06 +37 *2956:62 *3750:13 0.000268543 +38 *2997:14 *22814:D 0.000663626 +39 *2998:28 *22814:D 3.38973e-05 +40 *3002:69 *3750:22 0.000260283 +41 *3106:149 *3750:22 0 +42 *3106:152 *3750:22 9.33486e-05 +43 *3106:169 *3750:22 9.81091e-05 +44 *3122:248 *3750:22 0.000271258 +45 *3122:256 *3750:22 4.31485e-06 +46 *3131:66 *22814:D 0.00186366 +47 *3131:112 *3750:22 0.000320111 +48 *3131:125 *3750:22 0.000684115 +49 *3134:62 *22814:D 0.00112211 +50 *3134:84 *3750:22 0.000266199 +51 *3146:92 *3750:22 9.49448e-05 +52 *3162:106 *3750:13 0.000260901 +53 *3513:143 *3750:13 0.000860038 +54 *3529:99 *22814:D 0.00031476 +55 *3529:106 *22814:D 3.73224e-05 +56 *3531:157 *3750:22 9.16402e-05 +57 *3537:136 *3750:13 0.000154145 +58 *3543:164 *3750:13 7.23857e-05 +*RES +1 *22813:X *3750:13 30.0256 +2 *3750:13 *3750:22 40.2843 +3 *3750:22 *22814:D 42.1886 +*END + +*D_NET *3751 0.0139178 +*CONN +*I *22815:D I *D sky130_fd_sc_hd__nand4_4 +*I *22814:X O *D sky130_fd_sc_hd__and4_1 +*CAP +1 *22815:D 0 +2 *22814:X 0.00108536 +3 *3751:47 0.000900327 +4 *3751:27 0.00189798 +5 *3751:14 0.00208302 +6 *21455:A2 *3751:47 1.77472e-05 +7 *21455:B1 *3751:47 1.64789e-05 +8 *21456:C1 *3751:47 0.000258758 +9 *21468:B2 *3751:47 0.000244175 +10 *21560:A2 *3751:27 0.000300565 +11 *21921:C1 *3751:47 9.95922e-06 +12 *21987:A1 *3751:47 5.78268e-05 +13 *21987:B1 *3751:47 2.16608e-05 +14 *21987:C1 *3751:47 6.98337e-06 +15 *21992:A1 *3751:14 0.000166977 +16 *22447:A *3751:27 0.000278296 +17 *22495:B1 *3751:14 0.000123673 +18 *22504:B *3751:14 0.000189606 +19 *22751:A1 *3751:27 0.000535951 +20 *22755:C1 *3751:14 0.0001267 +21 *22761:D *3751:27 0.000645767 +22 *22805:A1 *3751:47 1.63893e-05 +23 *22805:A2 *3751:47 1.65872e-05 +24 *22805:B1 *3751:47 8.71609e-05 +25 *22814:B *3751:14 4.31216e-05 +26 *22815:C *3751:47 0.000448782 +27 *1496:106 *3751:47 0.000244175 +28 *1502:177 *3751:27 0.00132258 +29 *1563:94 *3751:47 0.000396817 +30 *1563:103 *3751:47 5.10641e-05 +31 *1722:76 *3751:27 0.000592461 +32 *1788:21 *3751:47 1.65872e-05 +33 *2509:23 *3751:14 8.71956e-05 +34 *2510:73 *3751:47 4.23858e-05 +35 *2611:23 *3751:47 0.000167076 +36 *2619:83 *3751:27 0.000281893 +37 *2708:44 *3751:14 7.14156e-05 +38 *2964:59 *3751:14 0.000368972 +39 *2999:65 *3751:47 4.23858e-05 +40 *3058:49 *3751:14 6.85778e-05 +41 *3162:62 *3751:14 0 +42 *3162:70 *3751:14 7.60871e-07 +43 *3164:55 *3751:14 0.000299627 +44 *3175:57 *3751:14 8.03881e-05 +45 *3406:14 *3751:47 0.000163549 +46 *3682:22 *3751:14 7.12632e-06 +47 *3699:23 *3751:14 3.29488e-05 +*RES +1 *22814:X *3751:14 48.1229 +2 *3751:14 *3751:27 46.0814 +3 *3751:27 *3751:47 41.3223 +4 *3751:47 *22815:D 9.24915 +*END + +*D_NET *3752 0.00136974 +*CONN +*I *22817:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22816:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22817:A 0.00027254 +2 *22816:X 0.00027254 +3 *22817:A *22816:A 3.82228e-05 +4 *22817:A *25246:A 0.000272363 +5 *2266:63 *22817:A 0.000111722 +6 *2291:32 *22817:A 1.23804e-05 +7 *2291:61 *22817:A 4.61962e-05 +8 *2295:42 *22817:A 0.000343774 +*RES +1 *22816:X *22817:A 36.5934 +*END + +*D_NET *3753 0.000496039 +*CONN +*I *22819:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22818:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22819:A 0.000194272 +2 *22818:X 0.000194272 +3 *22819:A *4808:158 0.000107496 +*RES +1 *22818:X *22819:A 22.5975 +*END + +*D_NET *3754 0.00107058 +*CONN +*I *22821:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22820:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22821:A 0.000285113 +2 *22820:X 0.000285113 +3 *22821:A *5874:54 0.000301172 +4 *22821:A *5908:23 1.27071e-05 +5 *2295:39 *22821:A 4.69495e-06 +6 *2301:18 *22821:A 1.26839e-05 +7 *2389:49 *22821:A 0.000169093 +*RES +1 *22820:X *22821:A 34.9002 +*END + +*D_NET *3755 0.000489979 +*CONN +*I *22823:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22822:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22823:A 0.000181955 +2 *22822:X 0.000181955 +3 *22823:A *22822:A 0.000118451 +4 *22823:A *25242:A 5.20546e-06 +5 *2291:31 *22823:A 2.41274e-06 +*RES +1 *22822:X *22823:A 22.5975 +*END + +*D_NET *3756 0.00168289 +*CONN +*I *22827:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *22828:A I *D sky130_fd_sc_hd__inv_2 +*I *22826:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *22827:B1 0.000168291 +2 *22828:A 6.38793e-05 +3 *22826:Y 0 +4 *3756:8 0.000104122 +5 *3756:7 0.000113489 +6 *3756:4 0.000241537 +7 *22827:B1 *22827:A1 0.000250814 +8 *22827:B1 *24200:CLK 0.000123582 +9 *22827:B1 *5439:21 6.43474e-05 +10 *22827:B1 *5473:104 3.51113e-05 +11 *22828:A *22826:B 6.65878e-05 +12 *22828:A *3757:8 1.00846e-05 +13 *22828:A *5439:30 4.94582e-05 +14 *1697:28 *22827:B1 6.08467e-05 +15 *1697:28 *22828:A 0.000215254 +16 *1697:28 *3756:7 0.000115483 +*RES +1 *22826:Y *3756:4 9.24915 +2 *3756:4 *3756:7 10.5271 +3 *3756:7 *3756:8 57.9449 +4 *3756:8 *22828:A 21.4642 +5 *3756:4 *22827:B1 24.5418 +*END + +*D_NET *3757 0.00540405 +*CONN +*I *22831:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *22830:B I *D sky130_fd_sc_hd__and3_1 +*I *22829:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *22829:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *22828:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22831:A2 0.000153578 +2 *22830:B 0 +3 *22829:B2 7.47734e-05 +4 *22829:A2_N 7.98254e-05 +5 *22828:Y 0.000221707 +6 *3757:26 0.000666881 +7 *3757:17 0.000663583 +8 *3757:8 0.000377039 +9 *22831:A2 *22830:C 8.27671e-05 +10 *22831:A2 *22832:B 0.000111722 +11 *22831:A2 *24053:A1 6.50727e-05 +12 *22831:A2 *5440:67 1.65872e-05 +13 *22831:A2 *5441:29 0.000216103 +14 *22831:A2 *5441:38 1.07248e-05 +15 *3757:8 *24200:CLK 2.652e-05 +16 *3757:8 *5438:61 7.50722e-05 +17 *3757:8 *5439:30 0.000169041 +18 *3757:17 *24200:CLK 4.20662e-05 +19 *3757:17 *5438:61 0.00010836 +20 *3757:26 *22830:C 0.000111326 +21 *3757:26 *24200:CLK 0.000117653 +22 *3757:26 *24201:CLK 3.44473e-05 +23 *3757:26 *4863:114 0 +24 *3757:26 *5438:61 0.00080953 +25 *22828:A *3757:8 1.00846e-05 +26 *443:10 *22829:B2 0.000113968 +27 *1668:59 *22831:A2 0.000297372 +28 *1668:59 *3757:26 0.000604389 +29 *1697:28 *3757:8 7.98425e-06 +30 *2922:33 *22829:A2_N 0.000107496 +31 *3014:56 *3757:26 2.83767e-05 +*RES +1 *22828:Y *3757:8 18.1077 +2 *3757:8 *22829:A2_N 15.0271 +3 *3757:8 *3757:17 2.24725 +4 *3757:17 *22829:B2 15.0271 +5 *3757:17 *3757:26 20.1031 +6 *3757:26 *22830:B 13.7491 +7 *3757:26 *22831:A2 20.5964 +*END + +*D_NET *3758 0.00302735 +*CONN +*I *22833:B I *D sky130_fd_sc_hd__nand2_1 +*I *22834:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *22832:A I *D sky130_fd_sc_hd__nor2_1 +*I *22830:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *22833:B 8.74429e-06 +2 *22834:A2 3.64836e-05 +3 *22832:A 0 +4 *22830:X 0.000284731 +5 *3758:18 0.000549736 +6 *3758:8 0.000789239 +7 *22833:B *22833:A 4.80635e-06 +8 *22834:A2 *22834:A1 2.65667e-05 +9 *22834:A2 *22834:B1 0.000130447 +10 *22834:A2 *5082:57 1.00981e-05 +11 *3758:8 *24027:S 0.000105985 +12 *3758:8 *4863:114 9.19886e-06 +13 *3758:18 *22834:A1 3.82228e-05 +14 *3758:18 *22834:B1 4.66492e-05 +15 *3758:18 *4876:83 0.000254726 +16 *3758:18 *5442:39 4.0752e-05 +17 *460:8 *3758:18 8.62625e-06 +18 *2469:19 *3758:8 0.000234457 +19 *2469:19 *3758:18 6.18026e-05 +20 *2561:26 *3758:8 8.04608e-05 +21 *2561:26 *3758:18 0.000284668 +22 *3014:56 *3758:8 2.09468e-05 +*RES +1 *22830:X *3758:8 21.151 +2 *3758:8 *22832:A 13.7491 +3 *3758:8 *3758:18 19.931 +4 *3758:18 *22834:A2 11.1059 +5 *3758:18 *22833:B 9.82786 +*END + +*D_NET *3759 0.000422591 +*CONN +*I *22832:B I *D sky130_fd_sc_hd__nor2_1 +*I *22831:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *22832:B 8.92482e-05 +2 *22831:Y 8.92482e-05 +3 *22832:B *24053:A1 2.41483e-05 +4 *22832:B *4876:74 1.61631e-05 +5 *22832:B *5440:67 7.92757e-06 +6 *22831:A2 *22832:B 0.000111722 +7 *2469:11 *22832:B 8.41339e-05 +*RES +1 *22831:Y *22832:B 22.0429 +*END + +*D_NET *3760 0.00148625 +*CONN +*I *22835:A I *D sky130_fd_sc_hd__inv_2 +*I *22834:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *22833:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *22835:A 8.11152e-05 +2 *22834:B1 0.000268998 +3 *22833:Y 0 +4 *3760:5 0.000350114 +5 *22834:B1 *4876:83 0.000122098 +6 *22834:B1 *5082:57 7.98171e-06 +7 *22835:A *24022:A1 3.07848e-05 +8 *22835:A *24055:A1 6.08467e-05 +9 *22835:A *4876:83 0.000137921 +10 *22834:A2 *22834:B1 0.000130447 +11 *1668:59 *22834:B1 0.000122098 +12 *1668:59 *22835:A 0.000127196 +13 *3758:18 *22834:B1 4.66492e-05 +*RES +1 *22833:Y *3760:5 13.7491 +2 *3760:5 *22834:B1 19.9268 +3 *3760:5 *22835:A 17.135 +*END + +*D_NET *3761 0.00219085 +*CONN +*I *22836:B I *D sky130_fd_sc_hd__nand2_1 +*I *22837:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *22835:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22836:B 0.000107065 +2 *22837:A2 4.94261e-05 +3 *22835:Y 0.000117034 +4 *3761:7 0.000273525 +5 *22836:B *5437:23 6.08467e-05 +6 *22836:B *5443:30 6.08467e-05 +7 *3761:7 *24021:A1 1.65872e-05 +8 *3761:7 *24021:S 0.000347214 +9 *3761:7 *24055:A1 0.000125893 +10 *448:15 *22836:B 0.000116971 +11 *1515:60 *22836:B 3.74883e-05 +12 *1515:60 *22837:A2 3.77659e-05 +13 *1522:21 *3761:7 0.000540283 +14 *1725:33 *22836:B 0.000200267 +15 *1725:33 *22837:A2 9.96342e-05 +*RES +1 *22835:Y *3761:7 20.0186 +2 *3761:7 *22837:A2 15.5811 +3 *3761:7 *22836:B 18.3808 +*END + +*D_NET *3762 0.0025188 +*CONN +*I *22837:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *22838:A I *D sky130_fd_sc_hd__inv_2 +*I *22836:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *22837:B1 0.000159332 +2 *22838:A 0.000175066 +3 *22836:Y 3.38791e-05 +4 *3762:5 0.000368276 +5 *22837:B1 *24016:A1 2.95757e-05 +6 *22837:B1 *5443:8 3.31733e-05 +7 *22837:B1 *5443:25 0.000369375 +8 *22838:A *24016:A1 0.000273032 +9 *22838:A *5437:23 1.19721e-05 +10 *22838:A *5443:30 6.08467e-05 +11 *22838:A *5444:36 9.12416e-06 +12 *22838:A *5485:172 0.000112861 +13 *3762:5 *5443:30 9.75243e-05 +14 *3762:5 *5485:172 6.08467e-05 +15 *1699:20 *22838:A 0.000315632 +16 *1725:33 *22837:B1 0.000408283 +*RES +1 *22836:Y *3762:5 10.5271 +2 *3762:5 *22838:A 25.5117 +3 *3762:5 *22837:B1 25.8947 +*END + +*D_NET *3763 0.00176925 +*CONN +*I *22842:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *22840:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *22839:B I *D sky130_fd_sc_hd__nand2_1 +*I *22838:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22842:A2 6.75721e-05 +2 *22840:A2 2.7855e-05 +3 *22839:B 8.13458e-05 +4 *22838:Y 0.000109415 +5 *3763:19 0.000142306 +6 *3763:7 0.000237639 +7 *22839:B *22839:A 3.01146e-05 +8 *22839:B *24016:A1 2.20702e-05 +9 *22839:B *24016:S 0.000171572 +10 *22840:A2 *22842:B2 6.08467e-05 +11 *3763:7 *24016:S 0.000160617 +12 *1699:20 *22839:B 5.05252e-05 +13 *1699:20 *22842:A2 0.000136721 +14 *1699:20 *3763:19 0.000147005 +15 *2803:48 *22839:B 5.41377e-05 +16 *2803:48 *22842:A2 0.000129624 +17 *2803:48 *3763:19 0.000139878 +*RES +1 *22838:Y *3763:7 15.5817 +2 *3763:7 *22839:B 17.162 +3 *3763:7 *3763:19 2.6625 +4 *3763:19 *22840:A2 14.4725 +5 *3763:19 *22842:A2 16.4116 +*END + +*D_NET *3764 0.00132738 +*CONN +*I *22842:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *22840:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *22839:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *22842:B2 0.000227558 +2 *22840:B1 0 +3 *22839:Y 8.70339e-05 +4 *3764:9 0.000314592 +5 *22842:B2 *22840:A1 2.65667e-05 +6 *22842:B2 *24060:A0 0.000189318 +7 *22842:B2 *5444:32 8.98279e-05 +8 *22842:B2 *5445:22 3.20069e-06 +9 *22842:B2 *5485:199 1.91391e-05 +10 *22840:A2 *22842:B2 6.08467e-05 +11 *24205:D *22842:B2 1.47978e-05 +12 *448:15 *22842:B2 0 +13 *1427:44 *3764:9 0.000143901 +14 *2803:48 *3764:9 0.000150603 +*RES +1 *22839:Y *3764:9 21.635 +2 *3764:9 *22840:B1 9.24915 +3 *3764:9 *22842:B2 24.4322 +*END + +*D_NET *3765 0.000713794 +*CONN +*I *22842:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *22841:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22842:A3 0.000121289 +2 *22841:Y 0.000121289 +3 *22842:A3 *22841:A 5.90172e-05 +4 *22842:A3 *22842:B1 2.7381e-05 +5 *22842:A3 *5444:21 1.82679e-05 +6 *22842:A3 *5444:32 7.92757e-06 +7 *2939:13 *22842:A3 0.000358623 +*RES +1 *22841:Y *22842:A3 23.128 +*END + +*D_NET *3766 0.00347238 +*CONN +*I *22845:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22846:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *22847:A1 I *D sky130_fd_sc_hd__a31oi_1 +*I *22844:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22845:A1 0.000121947 +2 *22846:A1 0 +3 *22847:A1 0.000361015 +4 *22844:Y 2.38617e-05 +5 *3766:10 0.000754473 +6 *3766:5 0.000539267 +7 *22845:A1 *22845:B2 5.01077e-05 +8 *22845:A1 *5450:26 0.000164843 +9 *22847:A1 *20105:A 9.32983e-05 +10 *22847:A1 *22847:A3 0.000248542 +11 *22847:A1 *22847:B1 0 +12 *22847:A1 *5451:27 9.55447e-05 +13 *3766:5 *5450:26 6.50586e-05 +14 *22847:A2 *22847:A1 6.50727e-05 +15 *24029:A0 *22845:A1 0.000161546 +16 *1841:16 *3766:10 0.000219348 +17 *2426:16 *3766:10 0.000178804 +18 *2447:7 *22845:A1 4.56334e-05 +19 *2864:44 *3766:10 0.000284019 +*RES +1 *22844:Y *3766:5 9.97254 +2 *3766:5 *3766:10 22.0438 +3 *3766:10 *22847:A1 17.0859 +4 *3766:10 *22846:A1 9.24915 +5 *3766:5 *22845:A1 14.0477 +*END + +*D_NET *3767 0.000249569 +*CONN +*I *22847:B1 I *D sky130_fd_sc_hd__a31oi_1 +*I *22846:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *22847:B1 5.36997e-05 +2 *22846:Y 5.36997e-05 +3 *22847:B1 *20105:A 1.80296e-05 +4 *22847:B1 *22847:A3 5.14966e-06 +5 *22847:B1 *5451:27 2.16355e-05 +6 *22846:A2 *22847:B1 0 +7 *22847:A1 *22847:B1 0 +8 *22847:A2 *22847:B1 9.73548e-05 +*RES +1 *22846:Y *22847:B1 20.2344 +*END + +*D_NET *3768 0.00108807 +*CONN +*I *22849:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22848:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22849:A 0.000438647 +2 *22848:X 0.000438647 +3 *22849:A *24184:CLK 0.000185148 +4 *1478:34 *22849:A 2.56311e-05 +*RES +1 *22848:X *22849:A 35.321 +*END + +*D_NET *3769 0.000485199 +*CONN +*I *22852:B1 I *D sky130_fd_sc_hd__a211o_1 +*I *22850:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *22852:B1 0.000102942 +2 *22850:X 0.000102942 +3 *22852:B1 *22852:C1 6.92705e-05 +4 *22852:B1 *5678:37 3.77804e-05 +5 *22852:B1 *6018:38 3.10924e-05 +6 *22852:B1 *6018:49 1.07248e-05 +7 *22852:B1 *6019:52 1.64789e-05 +8 *1868:11 *22852:B1 0.000113968 +*RES +1 *22850:X *22852:B1 31.187 +*END + +*D_NET *3770 0.000688872 +*CONN +*I *22852:C1 I *D sky130_fd_sc_hd__a211o_1 +*I *22851:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *22852:C1 0.000173156 +2 *22851:X 0.000173156 +3 *22852:C1 *20174:A2 5.04879e-05 +4 *22852:C1 *6020:53 0.000122098 +5 *22852:B1 *22852:C1 6.92705e-05 +6 *1868:11 *22852:C1 0.000100705 +*RES +1 *22851:X *22852:C1 33.242 +*END + +*D_NET *3771 0.000541523 +*CONN +*I *22854:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *22853:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22854:C1 0.000202673 +2 *22853:X 0.000202673 +3 *22854:C1 *22858:B2 0 +4 *22854:C1 *5636:10 0 +5 *22854:C1 *5934:24 0.000117376 +6 *24078:S *22854:C1 1.88011e-05 +7 *574:17 *22854:C1 0 +*RES +1 *22853:X *22854:C1 31.4388 +*END + +*D_NET *3772 0.00133532 +*CONN +*I *22856:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *22855:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22856:C1 0.000457705 +2 *22855:X 0.000457705 +3 *22856:C1 *22854:A1 1.00846e-05 +4 *22856:C1 *22854:A2 1.87469e-05 +5 *22856:C1 *22854:B1 0.000158371 +6 *22856:C1 *22855:A 1.00937e-05 +7 *22856:C1 *22856:A2 0.000111708 +8 *22856:C1 *22856:B2 5.41227e-05 +9 *22856:C1 *6020:82 1.00981e-05 +10 *22856:C1 *6020:119 4.66876e-05 +*RES +1 *22855:X *22856:C1 35.3238 +*END + +*D_NET *3773 0.0034879 +*CONN +*I *22858:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *22857:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22858:C1 0.000163213 +2 *22857:X 0.000886261 +3 *3773:11 0.00104947 +4 *22858:C1 *6019:52 5.35128e-05 +5 *22858:C1 *6019:59 4.42742e-06 +6 *3773:11 *5656:26 0.00076344 +7 *3773:11 *5661:17 5.65822e-05 +8 *3773:11 *5677:16 0.000366157 +9 *3773:11 *6018:65 1.91391e-05 +10 *3773:11 *6018:72 0.000125693 +11 *572:15 *3773:11 0 +*RES +1 *22857:X *3773:11 48.7288 +2 *3773:11 *22858:C1 17.6574 +*END + +*D_NET *3774 0.00477608 +*CONN +*I *22860:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *22859:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22860:C1 0.000741001 +2 *22859:X 0.000575394 +3 *3774:16 0.0013164 +4 *22860:C1 *22860:A1 4.25812e-05 +5 *22860:C1 *22860:A2 9.29178e-05 +6 *22860:C1 *22860:B2 8.49938e-05 +7 *22860:C1 *22868:A1 3.55437e-06 +8 *22860:C1 *22868:B1 7.32024e-06 +9 *22860:C1 *22868:B2 1.17812e-05 +10 *22860:C1 *22868:C1 3.01592e-06 +11 *22860:C1 *6019:88 4.77168e-06 +12 *3774:16 *22855:B 0.00043038 +13 *3774:16 *22857:B 2.65831e-05 +14 *3774:16 *22859:B 0.000279264 +15 *3774:16 *22864:A2 4.26566e-05 +16 *3774:16 *22865:A 0.000165653 +17 *3774:16 *5644:14 2.00098e-05 +18 *3774:16 *5655:7 6.99864e-05 +19 *3774:16 *5676:23 9.6321e-06 +20 *3774:16 *6018:72 7.09666e-06 +21 *3774:16 *6018:83 0.000175485 +22 *24084:A0 *3774:16 1.92336e-05 +23 *25084:A *3774:16 0.000171273 +24 *25085:A *3774:16 0.000175485 +25 *25086:A *3774:16 0.000175485 +26 *570:15 *22860:C1 0.00012413 +*RES +1 *22859:X *3774:16 47.1865 +2 *3774:16 *22860:C1 22.5114 +*END + +*D_NET *3775 0.00434907 +*CONN +*I *22862:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *22861:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22862:C1 0.00040358 +2 *22861:X 0.00040358 +3 *22862:C1 *22854:A2 5.714e-06 +4 *22862:C1 *22866:B1 9.06734e-05 +5 *22862:C1 *22866:B2 0.000202933 +6 *22862:C1 *22866:C1 6.24909e-05 +7 *22862:C1 *22867:A 7.13655e-06 +8 *22862:C1 *22868:C1 9.47176e-05 +9 *22862:C1 *5658:13 0.00143056 +10 *22862:C1 *5676:23 8.8847e-05 +11 *22862:C1 *5678:18 0.00143184 +12 *22862:C1 *6018:65 5.30612e-06 +13 *22862:C1 *6019:100 6.08467e-05 +14 *22862:C1 *6020:119 6.08467e-05 +*RES +1 *22861:X *22862:C1 39.3833 +*END + +*D_NET *3776 0.00208479 +*CONN +*I *22864:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *22863:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22864:C1 0.000523455 +2 *22863:X 0.000523455 +3 *22864:C1 *22862:A2 7.19012e-05 +4 *22864:C1 *22863:B 5.56461e-05 +5 *22864:C1 *22864:A1 6.94208e-05 +6 *22864:C1 *22864:B2 9.86341e-06 +7 *22864:C1 *22866:A1 9.84953e-06 +8 *22864:C1 *22866:B2 0 +9 *22864:C1 *22868:A1 5.48015e-06 +10 *22864:C1 *5644:14 0.000120237 +11 *22864:C1 *5661:17 7.09666e-06 +12 *22864:C1 *5934:25 0.000271389 +13 *22864:C1 *6020:121 5.47097e-07 +14 *571:19 *22864:C1 2.28682e-06 +15 *572:15 *22864:C1 0.000370801 +16 *573:16 *22864:C1 4.33605e-05 +*RES +1 *22863:X *22864:C1 43.7882 +*END + +*D_NET *3777 0.00360726 +*CONN +*I *22866:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *22865:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22866:C1 0.000779099 +2 *22865:X 0.000779099 +3 *22866:C1 *22864:B2 4.4197e-05 +4 *22866:C1 *22866:A1 1.5714e-05 +5 *22866:C1 *22866:B1 4.31194e-05 +6 *22866:C1 *22866:B2 3.38056e-05 +7 *22866:C1 *5658:13 0.000438957 +8 *22866:C1 *5662:13 0.000407145 +9 *22866:C1 *5677:16 0.000265409 +10 *22866:C1 *5934:25 0.000107496 +11 *22866:C1 *6018:65 0.000630724 +12 *22862:C1 *22866:C1 6.24909e-05 +*RES +1 *22865:X *22866:C1 49.4717 +*END + +*D_NET *3778 0.00367884 +*CONN +*I *22868:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *22867:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *22868:C1 0.000619329 +2 *22867:X 0.000619329 +3 *22868:C1 *22854:A2 9.08176e-05 +4 *22868:C1 *22861:B 2.87136e-06 +5 *22868:C1 *22862:B2 4.61705e-05 +6 *22868:C1 *22863:B 0.00015866 +7 *22868:C1 *22867:A 0 +8 *22868:C1 *22868:A1 9.6497e-05 +9 *22868:C1 *22868:B1 5.88052e-06 +10 *22868:C1 *22868:B2 4.55034e-05 +11 *22868:C1 *5658:13 2.58354e-05 +12 *22868:C1 *5676:23 0.000499047 +13 *22868:C1 *5678:18 0.00137116 +14 *22860:C1 *22868:C1 3.01592e-06 +15 *22862:C1 *22868:C1 9.47176e-05 +*RES +1 *22867:X *22868:C1 42.4552 +*END + +*D_NET *3779 0.0216651 +*CONN +*I *22931:D I *D sky130_fd_sc_hd__or4_1 +*I *23196:B I *D sky130_fd_sc_hd__or3_1 +*I *22884:B I *D sky130_fd_sc_hd__or3_2 +*I *23073:C I *D sky130_fd_sc_hd__or3_1 +*I *22963:B I *D sky130_fd_sc_hd__or4_1 +*I *22871:B I *D sky130_fd_sc_hd__or3_4 +*I *22938:B I *D sky130_fd_sc_hd__or3_4 +*I *23049:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23511:B I *D sky130_fd_sc_hd__or4_2 +*I *22918:A I *D sky130_fd_sc_hd__or2_1 +*I *22957:B I *D sky130_fd_sc_hd__or4_1 +*I *22869:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22931:D 7.77355e-05 +2 *23196:B 0.000374321 +3 *22884:B 0.000540253 +4 *23073:C 0 +5 *22963:B 0 +6 *22871:B 0.000131682 +7 *22938:B 0.000223208 +8 *23049:A1 0.000425472 +9 *23511:B 4.24784e-05 +10 *22918:A 0 +11 *22957:B 5.47084e-05 +12 *22869:Y 0 +13 *3779:119 0.00104257 +14 *3779:111 0.000279368 +15 *3779:106 0.000526208 +16 *3779:73 0.000995981 +17 *3779:58 0.000515244 +18 *3779:47 0.000551321 +19 *3779:40 0.00109584 +20 *3779:24 0.000931691 +21 *3779:11 0.00116781 +22 *3779:4 0.00112622 +23 *22871:B *22870:B 0.000112149 +24 *22871:B *3905:11 0.000107496 +25 *22884:B *23765:A2 0.000408759 +26 *22884:B *3792:64 8.8567e-05 +27 *22884:B *3792:66 5.22654e-06 +28 *22884:B *3796:48 0.000127684 +29 *22884:B *3925:12 0.000692698 +30 *22884:B *3982:8 5.05252e-05 +31 *22931:D *22916:A 2.6046e-05 +32 *22931:D *3789:120 0.000122083 +33 *22931:D *3800:57 0.000195914 +34 *22931:D *5631:22 5.85741e-05 +35 *22938:B *22938:C 6.08467e-05 +36 *22938:B *3820:18 0.000534838 +37 *22938:B *3927:12 0.000527589 +38 *22957:B *22957:C 6.08467e-05 +39 *23049:A1 *3820:18 0.000169093 +40 *23049:A1 *3927:12 0.000177772 +41 *23196:B *3826:45 0.000216336 +42 *23196:B *3925:12 7.65861e-05 +43 *23196:B *3982:8 7.15574e-05 +44 *23511:B *23240:A1 6.50727e-05 +45 *3779:11 *3789:6 0.000361625 +46 *3779:11 *3789:8 0.000863373 +47 *3779:11 *4134:30 0 +48 *3779:11 *5452:67 0.000103294 +49 *3779:11 *5624:27 1.82679e-05 +50 *3779:11 *5624:36 1.19856e-05 +51 *3779:11 *5628:14 0 +52 *3779:11 *5825:10 0 +53 *3779:24 *22957:A 5.0187e-05 +54 *3779:24 *22963:C 1.265e-05 +55 *3779:24 *22963:D 3.70205e-05 +56 *3779:24 *4134:30 0 +57 *3779:24 *5452:65 2.36781e-05 +58 *3779:24 *5452:67 1.55025e-05 +59 *3779:24 *5624:70 9.98029e-06 +60 *3779:24 *5825:10 8.78565e-06 +61 *3779:40 *22957:C 0.000306974 +62 *3779:40 *22958:A 0.000498537 +63 *3779:40 *22962:A 9.75356e-05 +64 *3779:40 *3831:12 0.000196497 +65 *3779:40 *3831:21 8.12388e-06 +66 *3779:40 *3866:35 2.78407e-05 +67 *3779:40 *3877:12 8.96314e-06 +68 *3779:40 *3980:8 0.000647568 +69 *3779:40 *5624:67 1.40978e-05 +70 *3779:47 *22918:B 3.79808e-05 +71 *3779:47 *23235:B 0.000640028 +72 *3779:47 *3831:21 2.31919e-05 +73 *3779:47 *3980:8 0.000747132 +74 *3779:47 *5923:20 0.000110463 +75 *3779:58 *22918:B 0.000154145 +76 *3779:58 *22919:A 6.08467e-05 +77 *3779:58 *3791:15 7.23866e-05 +78 *3779:58 *3840:20 0.000170577 +79 *3779:73 *22947:A 3.75603e-05 +80 *3779:73 *22947:B 0.000393863 +81 *3779:73 *22947:D 7.89747e-05 +82 *3779:73 *23240:A1 0.000148652 +83 *3779:73 *5631:59 1.68354e-05 +84 *3779:73 *5631:118 2.64183e-05 +85 *3779:106 *22916:A 9.60366e-05 +86 *3779:106 *3800:57 1.72799e-05 +87 *3779:106 *5624:36 0.00011985 +88 *3779:106 *5631:22 9.77726e-05 +89 *3779:111 *23336:A 0.000111722 +90 *3779:111 *23336:B 6.08467e-05 +91 *3779:111 *3789:120 1.38754e-05 +92 *3779:111 *3826:15 3.52434e-05 +93 *3779:111 *5627:26 0.00049794 +94 *3779:119 *23701:A3 0.000107496 +95 *3779:119 *3826:15 2.15184e-05 +96 *3779:119 *3826:21 0.000160617 +97 *3779:119 *3826:45 0.000186597 +98 *3779:119 *3982:8 3.58208e-05 +99 *22076:B2 *3779:24 2.53145e-06 +*RES +1 *22869:Y *3779:4 9.24915 +2 *3779:4 *3779:11 33.4594 +3 *3779:11 *3779:24 15.9447 +4 *3779:24 *22957:B 10.5513 +5 *3779:24 *3779:40 26.3808 +6 *3779:40 *3779:47 21.6189 +7 *3779:47 *22918:A 9.24915 +8 *3779:47 *3779:58 13.9104 +9 *3779:58 *23511:B 9.97254 +10 *3779:58 *3779:73 13.6148 +11 *3779:73 *23049:A1 23.0963 +12 *3779:73 *22938:B 24.1943 +13 *3779:40 *22871:B 17.2456 +14 *3779:11 *22963:B 9.24915 +15 *3779:4 *3779:106 11.3501 +16 *3779:106 *3779:111 10.7935 +17 *3779:111 *23073:C 9.24915 +18 *3779:111 *3779:119 9.10562 +19 *3779:119 *22884:B 30.5681 +20 *3779:119 *23196:B 20.8807 +21 *3779:106 *22931:D 18.0727 +*END + +*D_NET *3780 0.00721008 +*CONN +*I *22871:C I *D sky130_fd_sc_hd__or3_4 +*I *22883:A I *D sky130_fd_sc_hd__inv_2 +*I *22955:B I *D sky130_fd_sc_hd__or4_1 +*I *22938:C I *D sky130_fd_sc_hd__or3_4 +*I *22880:C I *D sky130_fd_sc_hd__or3_1 +*I *22929:C I *D sky130_fd_sc_hd__or3_1 +*I *22870:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *22871:C 0.000152394 +2 *22883:A 0 +3 *22955:B 5.67213e-05 +4 *22938:C 0.000333149 +5 *22880:C 1.0654e-05 +6 *22929:C 4.29245e-05 +7 *22870:X 0 +8 *3780:32 0.000477494 +9 *3780:29 0.000702444 +10 *3780:19 0.000686674 +11 *3780:10 0.000300766 +12 *3780:4 0.000349036 +13 *22871:C *22870:A 0.000114584 +14 *22871:C *22870:B 5.73392e-05 +15 *22929:C *5624:75 2.65667e-05 +16 *22938:C *22938:A 3.24896e-05 +17 *22938:C *22955:A 6.92705e-05 +18 *22938:C *23210:A 2.44031e-06 +19 *22938:C *23625:A4 1.58594e-05 +20 *22938:C *3833:20 3.91944e-05 +21 *22938:C *3848:16 7.65176e-05 +22 *22938:C *3864:15 5.10435e-05 +23 *22938:C *3864:19 1.49921e-05 +24 *22938:C *3925:30 1.2693e-05 +25 *22938:C *4001:26 3.05582e-05 +26 *22938:C *4014:10 7.00991e-05 +27 *22955:B *22955:A 2.57986e-05 +28 *22955:B *22955:C 0 +29 *22955:B *3848:16 2.36123e-05 +30 *3780:10 *22870:A 1.37189e-05 +31 *3780:10 *22870:B 1.57593e-05 +32 *3780:10 *22929:A 1.32772e-05 +33 *3780:10 *4134:30 2.5386e-05 +34 *3780:10 *5624:75 7.50872e-05 +35 *3780:19 *22929:A 0.000178241 +36 *3780:19 *5624:75 2.57986e-05 +37 *3780:19 *5624:81 1.37531e-05 +38 *3780:29 *22880:A 0.000107496 +39 *3780:29 *22923:A 0.000413238 +40 *3780:29 *22929:A 0.000358514 +41 *3780:29 *23092:A 8.3078e-05 +42 *3780:29 *3789:38 0.000440512 +43 *3780:29 *3833:12 0.000241546 +44 *3780:29 *4001:9 0.000589697 +45 *3780:29 *4001:26 1.09551e-05 +46 *3780:29 *5624:87 8.55661e-05 +47 *3780:29 *5624:100 0.000338938 +48 *3780:32 *4001:26 0.00017959 +49 *3780:32 *4014:10 0.000163769 +50 *22938:B *22938:C 6.08467e-05 +*RES +1 *22870:X *3780:4 9.24915 +2 *3780:4 *3780:10 13.0799 +3 *3780:10 *22929:C 10.5513 +4 *3780:10 *3780:19 2.38721 +5 *3780:19 *22880:C 9.82786 +6 *3780:19 *3780:29 24.0409 +7 *3780:29 *3780:32 7.993 +8 *3780:32 *22938:C 27.4229 +9 *3780:32 *22955:B 15.63 +10 *3780:29 *22883:A 9.24915 +11 *3780:4 *22871:C 13.7583 +*END + +*D_NET *3781 0.0557083 +*CONN +*I *23393:A I *D sky130_fd_sc_hd__or3_2 +*I *22872:A I *D sky130_fd_sc_hd__inv_2 +*I *23451:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *23326:A I *D sky130_fd_sc_hd__or2_1 +*I *23611:A3 I *D sky130_fd_sc_hd__a311oi_2 +*I *23385:A I *D sky130_fd_sc_hd__nor2_1 +*I *23777:A I *D sky130_fd_sc_hd__or3_1 +*I *23332:A I *D sky130_fd_sc_hd__or2_2 +*I *22871:X O *D sky130_fd_sc_hd__or3_4 +*CAP +1 *23393:A 0.000509192 +2 *22872:A 0 +3 *23451:B1 0.000164038 +4 *23326:A 2.06635e-05 +5 *23611:A3 0.000451884 +6 *23385:A 0 +7 *23777:A 0.000958513 +8 *23332:A 0.000364661 +9 *22871:X 7.0239e-05 +10 *3781:101 0.00232082 +11 *3781:97 0.00222964 +12 *3781:83 0.00142507 +13 *3781:68 0.00215647 +14 *3781:66 0.00267087 +15 *3781:43 0.00294279 +16 *3781:39 0.0013193 +17 *3781:28 0.00094719 +18 *3781:25 0.00133147 +19 *3781:14 0.0019577 +20 *3781:7 0.0010591 +21 *23326:A *22985:A 1.09551e-05 +22 *23332:A *3792:102 1.93714e-05 +23 *23332:A *3861:11 1.00114e-05 +24 *23332:A *3892:11 0.000905449 +25 *23332:A *3899:13 0.000213614 +26 *23332:A *3931:16 1.3262e-05 +27 *23393:A *23050:A 0.000501236 +28 *23393:A *23183:A 3.31745e-05 +29 *23393:A *23393:B 8.79845e-05 +30 *23393:A *3847:26 7.13972e-05 +31 *23393:A *4014:23 0.000674469 +32 *23393:A *4355:32 0.000497639 +33 *23393:A *4374:59 0.000489487 +34 *23451:B1 *23719:A1 2.07758e-05 +35 *23451:B1 *3838:39 4.81452e-05 +36 *23451:B1 *3838:47 0.000182562 +37 *23451:B1 *3868:76 1.04624e-05 +38 *23451:B1 *4091:8 4.04556e-05 +39 *23611:A3 *22985:A 0.000115423 +40 *23611:A3 *23325:A 6.88804e-05 +41 *23611:A3 *23325:B 6.08467e-05 +42 *23611:A3 *23344:B 0.00012896 +43 *23611:A3 *23611:A1 1.96521e-05 +44 *23611:A3 *23611:A2 8.70622e-06 +45 *23611:A3 *3917:72 0.000151859 +46 *23611:A3 *4233:166 7.92757e-06 +47 *23611:A3 *4234:13 0.000212333 +48 *23611:A3 *5455:32 1.76936e-05 +49 *23611:A3 *5921:20 0.00019109 +50 *23777:A *23777:C 0.000111708 +51 *23777:A *3846:51 5.83451e-05 +52 *23777:A *4001:53 7.12079e-05 +53 *23777:A *4531:14 6.65016e-05 +54 *23777:A *4681:20 2.56038e-06 +55 *3781:7 *22870:B 4.07355e-05 +56 *3781:7 *22922:B 6.50586e-05 +57 *3781:7 *3905:11 0.000311235 +58 *3781:7 *5600:55 3.8122e-05 +59 *3781:14 *22923:A 0.000143047 +60 *3781:14 *22995:B 0.000307387 +61 *3781:14 *3786:46 0.000360398 +62 *3781:14 *3786:54 0.000851719 +63 *3781:14 *3831:21 9.94924e-06 +64 *3781:14 *3840:20 0.000926773 +65 *3781:14 *3922:26 0.00037861 +66 *3781:14 *3922:36 3.60268e-05 +67 *3781:14 *4026:38 0.000134451 +68 *3781:14 *4245:6 0.000198501 +69 *3781:14 *4418:10 0.000886061 +70 *3781:25 *3892:11 0.000528332 +71 *3781:25 *4014:23 0.00043404 +72 *3781:25 *4374:69 4.15527e-05 +73 *3781:28 *3786:80 2.56482e-05 +74 *3781:28 *3996:65 0.000116215 +75 *3781:28 *4031:48 0.000391632 +76 *3781:39 *23002:A 0.000835209 +77 *3781:39 *23451:A2 8.12259e-06 +78 *3781:39 *23503:A 1.08484e-06 +79 *3781:39 *23719:C1 0.000847422 +80 *3781:39 *3782:53 0.000935028 +81 *3781:39 *3786:80 2.14842e-06 +82 *3781:39 *3974:60 0.000368292 +83 *3781:39 *4031:48 2.27135e-05 +84 *3781:43 *3791:42 0.000391501 +85 *3781:43 *3791:50 4.04556e-05 +86 *3781:43 *3868:76 2.50216e-05 +87 *3781:43 *4091:8 0.000731934 +88 *3781:43 *4199:8 2.53624e-06 +89 *3781:43 *4371:42 0.000205901 +90 *3781:43 *4450:21 3.88655e-06 +91 *3781:66 *23658:A 1.55125e-05 +92 *3781:66 *3846:51 0.000641312 +93 *3781:66 *3868:93 8.5176e-06 +94 *3781:66 *4081:11 0.000553689 +95 *3781:66 *4120:34 0.000291305 +96 *3781:66 *4199:8 6.76486e-05 +97 *3781:66 *5920:22 0.00224906 +98 *3781:68 *23490:A 0.000200197 +99 *3781:68 *23587:B 3.38973e-05 +100 *3781:68 *4077:34 2.96862e-05 +101 *3781:68 *4080:24 0.000317888 +102 *3781:68 *4081:11 1.90143e-05 +103 *3781:68 *4190:15 1.67453e-05 +104 *3781:68 *4195:8 7.50872e-05 +105 *3781:68 *4199:8 1.9101e-05 +106 *3781:68 *4298:8 8.11817e-05 +107 *3781:68 *4300:45 0.000231762 +108 *3781:68 *4397:21 1.05601e-05 +109 *3781:68 *4447:10 0.00119413 +110 *3781:68 *5671:106 9.65596e-05 +111 *3781:68 *5671:112 0.00202264 +112 *3781:68 *5920:22 0.000110809 +113 *3781:83 *23489:D 0.000813217 +114 *3781:83 *23585:B 0.000807172 +115 *3781:83 *23819:B 6.03237e-05 +116 *3781:83 *23858:A3 5.60804e-05 +117 *3781:83 *4294:7 0.000110306 +118 *3781:83 *4550:53 0.00134879 +119 *3781:83 *4702:16 3.31745e-05 +120 *3781:97 *23231:A 0.000278209 +121 *3781:97 *23385:B 0.00062923 +122 *3781:97 *4294:7 1.65872e-05 +123 *3781:97 *4702:16 0.000791881 +124 *3781:101 *22985:A 6.93384e-05 +125 *3781:101 *23231:A 0.000652282 +126 *3781:101 *23241:A2 9.0148e-07 +127 *3781:101 *23327:A 0.000107496 +128 *3781:101 *23459:A1 1.37189e-05 +129 *3781:101 *23459:A2 0.000263107 +130 *3781:101 *23636:B1 0.000347858 +131 *3781:101 *23756:C1 3.57772e-05 +132 *3781:101 *3895:8 0.000586906 +133 *3781:101 *4140:5 2.67448e-05 +134 *3781:101 *4141:11 1.65872e-05 +135 *657:181 *3781:83 0.00135198 +136 *1808:78 *3781:83 3.52909e-05 +137 *1809:115 *23777:A 0 +138 *2963:93 *3781:83 0.000250775 +139 *3373:24 *3781:83 4.77759e-05 +*RES +1 *22871:X *3781:7 17.2456 +2 *3781:7 *3781:14 47.9305 +3 *3781:14 *23332:A 29.8175 +4 *3781:14 *3781:25 14.0339 +5 *3781:25 *3781:28 12.1455 +6 *3781:28 *3781:39 10.209 +7 *3781:39 *3781:43 17.069 +8 *3781:43 *23777:A 21.4525 +9 *3781:43 *3781:66 20.5317 +10 *3781:66 *3781:68 47.5097 +11 *3781:68 *3781:83 32.1011 +12 *3781:83 *23385:A 9.24915 +13 *3781:83 *3781:97 14.9622 +14 *3781:97 *3781:101 43.7293 +15 *3781:101 *23611:A3 32.8045 +16 *3781:101 *23326:A 9.82786 +17 *3781:39 *23451:B1 18.0635 +18 *3781:28 *22872:A 13.7491 +19 *3781:25 *23393:A 38.9853 +*END + +*D_NET *3782 0.0110068 +*CONN +*I *23449:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *22878:A I *D sky130_fd_sc_hd__and3_2 +*I *23416:A I *D sky130_fd_sc_hd__nor2_1 +*I *23425:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *22872:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23449:A1 0.000224494 +2 *22878:A 0 +3 *23416:A 0.000425194 +4 *23425:A2 0.000140402 +5 *22872:Y 4.27376e-05 +6 *3782:53 0.000608422 +7 *3782:26 0.00128122 +8 *3782:7 0.00114229 +9 *23416:A *23416:B 8.63705e-05 +10 *23416:A *23425:A1 0.000154145 +11 *23416:A *23624:A1 0.000325863 +12 *23416:A *23624:B2 9.09451e-05 +13 *23416:A *23654:A1 0.000398169 +14 *23416:A *3907:37 4.4196e-06 +15 *23416:A *4001:53 0.000261345 +16 *23416:A *4085:27 7.3287e-05 +17 *23416:A *4089:55 7.75615e-05 +18 *23416:A *4090:9 0 +19 *23416:A *4126:98 0.000256717 +20 *23416:A *4146:60 0 +21 *23416:A *4146:64 1.16596e-05 +22 *23416:A *4530:18 7.95065e-05 +23 *23425:A2 *23004:A2 1.65872e-05 +24 *23425:A2 *23425:A1 0.000453443 +25 *23425:A2 *3909:40 0.000411291 +26 *23449:A1 *22878:B 0.000148615 +27 *23449:A1 *22953:C 6.16795e-05 +28 *23449:A1 *23227:B 7.03929e-05 +29 *23449:A1 *23449:A2 6.50586e-05 +30 *23449:A1 *23717:A 7.01586e-06 +31 *23449:A1 *23719:B2 0.000211478 +32 *23449:A1 *3785:29 6.08467e-05 +33 *23449:A1 *3834:8 5.0715e-05 +34 *23449:A1 *3847:29 4.20184e-06 +35 *23449:A1 *4020:34 0.000129223 +36 *3782:7 *22997:A 6.3657e-05 +37 *3782:26 *23663:A 1.14115e-05 +38 *3782:26 *23663:B 4.02976e-05 +39 *3782:26 *3787:8 0.000142669 +40 *3782:26 *3877:33 4.5625e-05 +41 *3782:26 *3877:58 6.08467e-05 +42 *3782:26 *3991:22 0.000802794 +43 *3782:26 *4010:10 2.57465e-06 +44 *3782:26 *4031:48 0.000273137 +45 *3782:26 *4568:10 1.85424e-05 +46 *3782:26 *4568:14 0.000858399 +47 *3782:53 *22953:C 7.09666e-06 +48 *3782:53 *23227:B 4.12977e-05 +49 *3782:53 *3833:247 1.11638e-05 +50 *3782:53 *3974:60 0.000316973 +51 *3781:39 *3782:53 0.000935028 +*RES +1 *22872:Y *3782:7 14.4725 +2 *3782:7 *3782:26 41.0682 +3 *3782:26 *23425:A2 15.5427 +4 *3782:26 *23416:A 34.9638 +5 *3782:7 *3782:53 8.88165 +6 *3782:53 *22878:A 13.7491 +7 *3782:53 *23449:A1 30.4936 +*END + +*D_NET *3783 0.00113114 +*CONN +*I *22874:A I *D sky130_fd_sc_hd__buf_4 +*I *22873:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22874:A 0.000277205 +2 *22873:X 0.000277205 +3 *22874:A *3784:10 0.000122378 +4 *22874:A *5801:107 0.000383703 +5 *22873:B *22874:A 0 +6 *24818:D *22874:A 7.06457e-05 +*RES +1 *22873:X *22874:A 37.1186 +*END + +*D_NET *3784 0.029676 +*CONN +*I *23067:C I *D sky130_fd_sc_hd__or3_1 +*I *22927:A I *D sky130_fd_sc_hd__or2_1 +*I *22875:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22925:A I *D sky130_fd_sc_hd__nor2_2 +*I *23719:B1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23627:C I *D sky130_fd_sc_hd__nor3_1 +*I *23777:C I *D sky130_fd_sc_hd__or3_1 +*I *23778:A1 I *D sky130_fd_sc_hd__o311a_1 +*I *22945:C I *D sky130_fd_sc_hd__or3_1 +*I *22874:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23067:C 8.22787e-05 +2 *22927:A 0.000295119 +3 *22875:A 0.000127068 +4 *22925:A 0 +5 *23719:B1 1.5481e-05 +6 *23627:C 0.000225133 +7 *23777:C 0.000219818 +8 *23778:A1 0.000131093 +9 *22945:C 0.000431912 +10 *22874:X 0.000512067 +11 *3784:88 0.000525811 +12 *3784:75 0.0006447 +13 *3784:67 0.000629026 +14 *3784:56 0.000895845 +15 *3784:34 0.00156702 +16 *3784:29 0.000948218 +17 *3784:24 0.000814439 +18 *3784:22 0.000882679 +19 *3784:14 0.00222391 +20 *3784:10 0.00205381 +21 *22875:A *23209:A 7.13972e-05 +22 *22927:A *22928:A 6.92705e-05 +23 *22927:A *3838:7 0.000298304 +24 *22927:A *4538:12 8.95762e-05 +25 *22927:A *5629:103 0.000546741 +26 *22945:C *22937:A 1.09551e-05 +27 *22945:C *22945:A 6.08467e-05 +28 *22945:C *23183:A 6.50727e-05 +29 *22945:C *23393:B 1.4091e-06 +30 *22945:C *3846:87 3.93344e-05 +31 *22945:C *3847:26 0.000200794 +32 *23067:C *23067:A 1.41976e-05 +33 *23067:C *4495:7 5.481e-05 +34 *23627:C *4034:56 0.000203311 +35 *23627:C *4533:10 7.34948e-06 +36 *23719:B1 *23719:B2 9.95922e-06 +37 *23777:C *4034:56 0.000107496 +38 *23778:A1 *23126:A 0 +39 *23778:A1 *23778:B1 6.08467e-05 +40 *23778:A1 *23778:C1 6.50727e-05 +41 *23778:A1 *3840:285 6.08467e-05 +42 *23778:A1 *3840:292 1.15389e-05 +43 *23778:A1 *3846:37 0.000300565 +44 *23778:A1 *3877:58 9.71051e-05 +45 *3784:10 *22950:A 0.000381058 +46 *3784:10 *24818:CLK 0.000739448 +47 *3784:10 *3809:32 0 +48 *3784:10 *4116:10 0 +49 *3784:10 *4130:8 0 +50 *3784:10 *5801:107 6.75302e-05 +51 *3784:14 *23225:A 0.000126117 +52 *3784:14 *23397:B 0.000541661 +53 *3784:14 *23496:A_N 7.06329e-05 +54 *3784:14 *23497:B 1.51692e-05 +55 *3784:14 *23698:A2 3.71637e-05 +56 *3784:14 *24818:CLK 8.58645e-06 +57 *3784:14 *3838:118 8.72005e-05 +58 *3784:14 *4116:10 0.000318361 +59 *3784:14 *4116:20 0 +60 *3784:14 *4134:8 0.000149958 +61 *3784:14 *4134:16 8.76385e-05 +62 *3784:14 *4146:10 0 +63 *3784:14 *4227:18 0 +64 *3784:14 *4227:20 0 +65 *3784:14 *4301:50 2.19138e-05 +66 *3784:14 *4302:8 0.000154146 +67 *3784:14 *4308:29 3.55968e-05 +68 *3784:14 *4400:8 0.00028413 +69 *3784:22 *22913:B 2.33103e-06 +70 *3784:22 *23069:A 1.9101e-05 +71 *3784:22 *23406:A1 0 +72 *3784:22 *23406:A2 0.000101641 +73 *3784:22 *3786:30 0.000439857 +74 *3784:22 *3838:118 0.000709641 +75 *3784:22 *3872:7 0.000209326 +76 *3784:22 *4031:29 0.000405161 +77 *3784:22 *4116:20 0 +78 *3784:22 *4116:32 0 +79 *3784:22 *4127:18 0.000344559 +80 *3784:22 *4308:29 4.52469e-05 +81 *3784:22 *4315:11 1.42919e-05 +82 *3784:22 *4560:23 2.36813e-05 +83 *3784:22 *5452:67 7.77744e-05 +84 *3784:24 *4031:29 0.000350265 +85 *3784:24 *4127:18 0.000345306 +86 *3784:29 *23209:A 5.04829e-06 +87 *3784:29 *23625:A1 2.75742e-06 +88 *3784:29 *3785:82 6.2227e-05 +89 *3784:29 *3829:12 6.36477e-05 +90 *3784:29 *4031:29 0.00075858 +91 *3784:29 *4127:18 0.00071459 +92 *3784:29 *4127:30 5.64867e-05 +93 *3784:34 *4031:29 0.00021764 +94 *3784:34 *4323:10 0.000137018 +95 *3784:34 *4355:32 0.000413659 +96 *3784:34 *4396:35 0.000104469 +97 *3784:34 *4495:13 0.00102179 +98 *3784:56 *23183:A 0.000239718 +99 *3784:56 *23183:B 0.000106246 +100 *3784:56 *3840:307 0.000418728 +101 *3784:56 *3849:12 0.000116971 +102 *3784:56 *3852:22 0.000101231 +103 *3784:56 *4014:23 3.4123e-05 +104 *3784:56 *4228:20 0.000670889 +105 *3784:67 *23183:B 0.000157828 +106 *3784:67 *23719:A1 4.46347e-05 +107 *3784:67 *23719:A2 1.79315e-05 +108 *3784:67 *23719:B2 3.33088e-05 +109 *3784:67 *3849:12 0.000162686 +110 *3784:67 *4623:11 0.000151935 +111 *3784:75 *23717:A 0.000511637 +112 *3784:75 *23719:B2 4.37484e-05 +113 *3784:75 *23719:C1 0.000111708 +114 *3784:75 *3788:8 7.21753e-05 +115 *3784:75 *3846:37 0.000237472 +116 *3784:75 *3877:58 2.16355e-05 +117 *3784:75 *3877:318 2.81262e-05 +118 *3784:75 *4020:34 0.000178636 +119 *3784:75 *4089:76 0.000107496 +120 *3784:75 *4623:11 0.000107496 +121 *3784:88 *23779:A 0.000160384 +122 *3784:88 *3833:247 0.000116971 +123 *3784:88 *4146:60 1.70077e-05 +124 *22874:A *3784:10 0.000122378 +125 *23777:A *23777:C 0.000111708 +126 *24818:D *3784:10 2.65831e-05 +*RES +1 *22874:X *3784:10 33.5087 +2 *3784:10 *3784:14 48.3158 +3 *3784:14 *3784:22 34.3604 +4 *3784:22 *3784:24 6.39977 +5 *3784:24 *3784:29 21.1768 +6 *3784:29 *3784:34 27.8573 +7 *3784:34 *22945:C 17.2306 +8 *3784:34 *3784:56 34.0224 +9 *3784:56 *3784:67 11.7011 +10 *3784:67 *3784:75 24.6054 +11 *3784:75 *23778:A1 14.4335 +12 *3784:75 *3784:88 12.0778 +13 *3784:88 *23777:C 14.4335 +14 *3784:88 *23627:C 15.5427 +15 *3784:67 *23719:B1 9.82786 +16 *3784:56 *22925:A 13.7491 +17 *3784:29 *22875:A 12.2151 +18 *3784:24 *22927:A 23.3462 +19 *3784:22 *23067:C 15.5817 +*END + +*D_NET *3785 0.0102833 +*CONN +*I *23625:A1 I *D sky130_fd_sc_hd__a41o_1 +*I *23399:C I *D sky130_fd_sc_hd__and3_1 +*I *23051:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23183:C I *D sky130_fd_sc_hd__and3_1 +*I *23628:A1 I *D sky130_fd_sc_hd__a211o_1 +*I *22878:B I *D sky130_fd_sc_hd__and3_2 +*I *23449:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23054:A1 I *D sky130_fd_sc_hd__a311oi_1 +*I *22875:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23625:A1 0.000255943 +2 *23399:C 0 +3 *23051:A1 0.00011173 +4 *23183:C 1.12612e-05 +5 *23628:A1 0.00016914 +6 *22878:B 0.000112571 +7 *23449:A2 4.9551e-05 +8 *23054:A1 5.81894e-05 +9 *22875:Y 0 +10 *3785:82 0.000577993 +11 *3785:29 0.000373801 +12 *3785:24 0.00065491 +13 *3785:20 0.000614564 +14 *3785:10 0.000480122 +15 *3785:6 0.000334771 +16 *3785:5 0.000452371 +17 *22878:B *23227:B 5.1493e-06 +18 *22878:B *23717:A 5.88009e-05 +19 *23051:A1 *23050:A 0.000124083 +20 *23051:A1 *23050:B 0.000158357 +21 *23051:A1 *23051:B1 0.000165684 +22 *23051:A1 *23052:B 0.000165684 +23 *23183:C *23628:A2 2.23105e-05 +24 *23449:A2 *23449:A3 3.41459e-05 +25 *23449:A2 *23717:A 6.08467e-05 +26 *23449:A2 *3834:8 1.00981e-05 +27 *23625:A1 *23399:A 6.50586e-05 +28 *23625:A1 *23625:B1 1.918e-05 +29 *23625:A1 *3829:12 8.76921e-05 +30 *23628:A1 *22925:B 7.79534e-05 +31 *23628:A1 *23628:A2 0.000114594 +32 *23628:A1 *3835:7 6.71423e-05 +33 *23628:A1 *3835:27 8.86879e-05 +34 *23628:A1 *4357:21 0.000443323 +35 *23628:A1 *4534:10 6.7671e-06 +36 *3785:6 *3838:24 0.000108691 +37 *3785:6 *3852:18 0.00027274 +38 *3785:6 *3856:21 4.3116e-06 +39 *3785:10 *3852:18 0.000290629 +40 *3785:10 *3856:10 0.000269679 +41 *3785:10 *3856:21 4.3116e-06 +42 *3785:20 *23055:B 3.24105e-05 +43 *3785:20 *4092:10 0.000343436 +44 *3785:20 *4092:23 0.000109851 +45 *3785:20 *4228:20 0.000452733 +46 *3785:24 *23057:A2 1.87269e-05 +47 *3785:24 *23183:B 0.000631023 +48 *3785:24 *4092:10 5.41227e-05 +49 *3785:24 *4228:20 0.000733738 +50 *3785:29 *22925:B 7.28218e-05 +51 *3785:29 *3834:8 2.37827e-05 +52 *3785:29 *4357:21 0.000110272 +53 *3785:82 *23209:A 0.000200794 +54 *3785:82 *3838:24 5.21927e-05 +55 *3785:82 *3852:18 0.000116454 +56 *3785:82 *5624:116 8.62625e-06 +57 *23449:A1 *22878:B 0.000148615 +58 *23449:A1 *23449:A2 6.50586e-05 +59 *23449:A1 *3785:29 6.08467e-05 +60 *3784:29 *23625:A1 2.75742e-06 +61 *3784:29 *3785:82 6.2227e-05 +*RES +1 *22875:Y *3785:5 13.7491 +2 *3785:5 *3785:6 5.15401 +3 *3785:6 *3785:10 9.65401 +4 *3785:10 *23054:A1 9.97254 +5 *3785:10 *3785:20 15.3632 +6 *3785:20 *3785:24 17.9591 +7 *3785:24 *3785:29 5.61838 +8 *3785:29 *23449:A2 11.1059 +9 *3785:29 *22878:B 20.8045 +10 *3785:24 *23628:A1 16.0973 +11 *3785:20 *23183:C 14.0144 +12 *3785:6 *23051:A1 18.5477 +13 *3785:5 *3785:82 11.9075 +14 *3785:82 *23399:C 9.24915 +15 *3785:82 *23625:A1 15.5668 +*END + +*D_NET *3786 0.02683 +*CONN +*I *23121:A I *D sky130_fd_sc_hd__or2_1 +*I *23067:A I *D sky130_fd_sc_hd__or3_1 +*I *22955:C I *D sky130_fd_sc_hd__or4_1 +*I *23511:D I *D sky130_fd_sc_hd__or4_2 +*I *23119:A I *D sky130_fd_sc_hd__or2_1 +*I *22924:A I *D sky130_fd_sc_hd__or2_2 +*I *22877:A I *D sky130_fd_sc_hd__inv_2 +*I *22997:A I *D sky130_fd_sc_hd__or2_2 +*I *22999:A I *D sky130_fd_sc_hd__or2_4 +*I *22876:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23121:A 4.36938e-05 +2 *23067:A 7.31902e-05 +3 *22955:C 8.7893e-05 +4 *23511:D 1.47608e-05 +5 *23119:A 2.80066e-05 +6 *22924:A 0 +7 *22877:A 0 +8 *22997:A 0.000149916 +9 *22999:A 9.44959e-05 +10 *22876:X 0.000570072 +11 *3786:80 0.000394163 +12 *3786:71 0.000546703 +13 *3786:61 0.000715191 +14 *3786:54 0.000946346 +15 *3786:46 0.00157268 +16 *3786:38 0.00184254 +17 *3786:30 0.00152168 +18 *3786:22 0.000973594 +19 *3786:17 0.000848307 +20 *22955:C *22955:A 6.50727e-05 +21 *22955:C *23208:C 0.000107496 +22 *22955:C *3833:275 1.8627e-05 +23 *22955:C *3865:8 0.000107496 +24 *22997:A *23727:B1 1.58551e-05 +25 *22997:A *3787:8 1.41976e-05 +26 *22997:A *3787:36 9.95922e-06 +27 *22997:A *3907:23 9.66247e-05 +28 *22999:A *3862:113 8.32399e-05 +29 *22999:A *3874:32 7.02193e-05 +30 *22999:A *4135:8 0.000235992 +31 *23067:A *4495:7 7.92757e-06 +32 *23119:A *23119:B 6.50727e-05 +33 *23511:D *23511:A 6.08467e-05 +34 *23511:D *5631:59 6.08467e-05 +35 *3786:17 *22876:A 0.000253916 +36 *3786:17 *22876:D 2.57365e-05 +37 *3786:17 *22904:A 0.000347214 +38 *3786:17 *22904:B 9.98307e-05 +39 *3786:17 *23405:A3 3.77804e-05 +40 *3786:17 *23406:A2 0.000462023 +41 *3786:17 *23493:B 0 +42 *3786:17 *23765:A1 0.000220044 +43 *3786:17 *23765:A2 4.3731e-05 +44 *3786:17 *23765:B1 6.08467e-05 +45 *3786:17 *3811:8 3.1218e-05 +46 *3786:17 *4138:165 5.49209e-05 +47 *3786:17 *4225:20 9.22013e-06 +48 *3786:17 *5629:60 0.00042886 +49 *3786:17 *5629:73 0.000759213 +50 *3786:22 *23493:B 0.000118186 +51 *3786:22 *23765:A1 1.65872e-05 +52 *3786:22 *4030:6 8.98943e-05 +53 *3786:22 *4138:165 5.51483e-06 +54 *3786:22 *5456:140 8.12681e-05 +55 *3786:30 *23405:B1 0.000344968 +56 *3786:30 *3838:118 1.37925e-05 +57 *3786:30 *3996:26 0.000444515 +58 *3786:30 *4030:6 3.31733e-05 +59 *3786:30 *4031:17 5.87601e-05 +60 *3786:30 *4308:29 0.000864385 +61 *3786:30 *4315:11 1.30711e-05 +62 *3786:30 *5456:140 0.000175674 +63 *3786:38 *3842:24 0.00015537 +64 *3786:38 *3877:20 0.000163982 +65 *3786:38 *3996:26 0.000686118 +66 *3786:38 *4001:244 0.000103759 +67 *3786:38 *4308:29 0.00068784 +68 *3786:46 *3789:67 0.00100882 +69 *3786:46 *3800:72 0.000304791 +70 *3786:46 *3842:24 0.000173945 +71 *3786:46 *3877:20 0.000177542 +72 *3786:46 *4245:6 0.00036201 +73 *3786:54 *23337:A1 0.000121159 +74 *3786:54 *23337:B1 0.000340742 +75 *3786:54 *3791:18 0.00023344 +76 *3786:54 *4037:13 0.000335658 +77 *3786:54 *4245:6 0.000855317 +78 *3786:54 *4871:32 3.53414e-05 +79 *3786:61 *4028:10 0.000284078 +80 *3786:61 *4037:13 3.42586e-05 +81 *3786:61 *4871:32 0.000381667 +82 *3786:71 *3833:234 6.08467e-05 +83 *3786:71 *3833:247 2.81262e-05 +84 *3786:71 *3996:65 6.00782e-06 +85 *3786:80 *3833:247 0.000396503 +86 *3786:80 *3834:8 0.000298399 +87 *3786:80 *3996:65 7.65861e-05 +88 *3786:80 *4015:8 0.000205623 +89 *22955:B *22955:C 0 +90 *23067:C *23067:A 1.41976e-05 +91 *3086:20 *3786:17 0.00101735 +92 *3781:14 *3786:46 0.000360398 +93 *3781:14 *3786:54 0.000851719 +94 *3781:28 *3786:80 2.56482e-05 +95 *3781:39 *3786:80 2.14842e-06 +96 *3782:7 *22997:A 6.3657e-05 +97 *3784:22 *3786:30 0.000439857 +*RES +1 *22876:X *3786:17 46.9727 +2 *3786:17 *3786:22 9.82841 +3 *3786:22 *3786:30 32.9098 +4 *3786:30 *3786:38 31.1151 +5 *3786:38 *3786:46 36.6695 +6 *3786:46 *3786:54 35.4014 +7 *3786:54 *3786:61 14.435 +8 *3786:61 *22999:A 22.5727 +9 *3786:61 *3786:71 5.71483 +10 *3786:71 *3786:80 18.0926 +11 *3786:80 *22997:A 13.3243 +12 *3786:80 *22877:A 9.24915 +13 *3786:71 *22924:A 9.24915 +14 *3786:54 *23119:A 14.4725 +15 *3786:46 *23511:D 14.4725 +16 *3786:38 *22955:C 16.7151 +17 *3786:30 *23067:A 15.63 +18 *3786:22 *23121:A 14.4725 +*END + +*D_NET *3787 0.0103756 +*CONN +*I *22878:C I *D sky130_fd_sc_hd__and3_2 +*I *22953:A I *D sky130_fd_sc_hd__and3_1 +*I *23425:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23654:A1 I *D sky130_fd_sc_hd__a311o_1 +*I *22877:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22878:C 0.000188459 +2 *22953:A 0 +3 *23425:A1 0.000129726 +4 *23654:A1 0.00111024 +5 *22877:Y 0 +6 *3787:36 0.000374258 +7 *3787:8 0.00179475 +8 *3787:4 0.000740586 +9 *22878:C *22953:C 0.000191041 +10 *22878:C *23719:B2 0.000440512 +11 *23425:A1 *22996:A 6.50727e-05 +12 *23425:A1 *23004:A2 6.08467e-05 +13 *23425:A1 *23624:A1 0.0002886 +14 *23425:A1 *3906:7 5.73392e-05 +15 *23425:A1 *4126:98 5.51483e-06 +16 *23654:A1 *23179:B 8.62625e-06 +17 *23654:A1 *23556:B1 0 +18 *23654:A1 *23654:A2 1.28758e-05 +19 *23654:A1 *23654:B1 5.64922e-06 +20 *23654:A1 *23675:A 0.000413006 +21 *23654:A1 *3834:21 0.000548728 +22 *23654:A1 *4015:8 5.05252e-05 +23 *23654:A1 *4035:8 5.41227e-05 +24 *23654:A1 *4126:98 0.000252534 +25 *23654:A1 *4228:20 6.51527e-05 +26 *23654:A1 *4579:14 1.2366e-05 +27 *3787:8 *23126:A 0.000341237 +28 *3787:8 *3877:33 0.00014041 +29 *3787:8 *3907:23 5.51483e-06 +30 *3787:8 *3991:22 3.49957e-05 +31 *3787:8 *4015:8 0.00124308 +32 *3787:8 *4035:8 0.000349948 +33 *3787:8 *5918:14 0.000117769 +34 *3787:36 *23719:B2 4.47134e-05 +35 *3787:36 *3907:23 5.481e-05 +36 *22997:A *3787:8 1.41976e-05 +37 *22997:A *3787:36 9.95922e-06 +38 *23416:A *23425:A1 0.000154145 +39 *23416:A *23654:A1 0.000398169 +40 *23425:A2 *23425:A1 0.000453443 +41 *3782:26 *3787:8 0.000142669 +*RES +1 *22877:Y *3787:4 9.24915 +2 *3787:4 *3787:8 27.818 +3 *3787:8 *23654:A1 47.5599 +4 *3787:8 *23425:A1 20.5732 +5 *3787:4 *3787:36 4.07513 +6 *3787:36 *22953:A 9.24915 +7 *3787:36 *22878:C 15.5668 +*END + +*D_NET *3788 0.0196086 +*CONN +*I *23060:A I *D sky130_fd_sc_hd__or2_1 +*I *23500:A I *D sky130_fd_sc_hd__or2_1 +*I *23298:C I *D sky130_fd_sc_hd__or4b_1 +*I *23454:A I *D sky130_fd_sc_hd__or3_1 +*I *23681:A I *D sky130_fd_sc_hd__or4b_1 +*I *23753:A I *D sky130_fd_sc_hd__or4_2 +*I *22878:X O *D sky130_fd_sc_hd__and3_2 +*CAP +1 *23060:A 0 +2 *23500:A 0 +3 *23298:C 0.00029958 +4 *23454:A 0 +5 *23681:A 0.000262996 +6 *23753:A 3.17189e-05 +7 *22878:X 3.7853e-05 +8 *3788:66 0.000931217 +9 *3788:50 0.00162868 +10 *3788:45 0.000651451 +11 *3788:33 0.000339116 +12 *3788:14 0.000429836 +13 *3788:11 0.00231302 +14 *3788:8 0.00213021 +15 *23298:C *23298:A 6.08467e-05 +16 *23298:C *23299:B 0.000115934 +17 *23298:C *23550:A 3.82228e-05 +18 *23298:C *4457:8 2.20702e-05 +19 *23681:A *23707:B1 3.82228e-05 +20 *23681:A *23753:B 8.93134e-05 +21 *23681:A *23790:D 0.000212506 +22 *23753:A *23753:B 2.16355e-05 +23 *23753:A *23753:C 5.04829e-06 +24 *3788:8 *4020:34 6.85778e-05 +25 *3788:11 *4136:7 1.2253e-05 +26 *3788:11 *4371:42 0.00208478 +27 *3788:11 *4579:14 2.91399e-05 +28 *3788:14 *23681:C 5.56367e-05 +29 *3788:14 *4457:10 0.000379553 +30 *3788:14 *4506:12 0.00043166 +31 *3788:33 *4457:10 0.000334095 +32 *3788:33 *4506:12 0.000351415 +33 *3788:45 *23600:A 6.61347e-05 +34 *3788:45 *4457:10 0.000522975 +35 *3788:45 *4506:12 0.000445421 +36 *3788:45 *4611:12 3.41747e-05 +37 *3788:50 *23631:A 0.000341222 +38 *3788:50 *4371:36 0.000171224 +39 *3788:50 *4611:12 0.00115208 +40 *3788:66 *23409:A 2.01653e-05 +41 *3788:66 *23550:A 1.65872e-05 +42 *3788:66 *4211:11 0.00115138 +43 *3788:66 *4211:31 0.000777153 +44 *3788:66 *4320:12 0.000407633 +45 *3788:66 *4664:40 0.000225666 +46 *657:224 *3788:66 0.000189326 +47 *1482:16 *3788:50 0.000396197 +48 *1483:14 *23681:A 0.000212506 +49 *3784:75 *3788:8 7.21753e-05 +*RES +1 *22878:X *3788:8 19.6659 +2 *3788:8 *3788:11 36.8358 +3 *3788:11 *3788:14 12.1455 +4 *3788:14 *23753:A 9.97254 +5 *3788:14 *23681:A 26.3772 +6 *3788:11 *3788:33 5.98452 +7 *3788:33 *23454:A 13.7491 +8 *3788:33 *3788:45 16.2179 +9 *3788:45 *3788:50 29.5183 +10 *3788:50 *23298:C 16.0732 +11 *3788:50 *3788:66 46.3761 +12 *3788:66 *23500:A 9.24915 +13 *3788:45 *23060:A 9.24915 +*END + +*D_NET *3789 0.0247284 +*CONN +*I *22931:C I *D sky130_fd_sc_hd__or4_1 +*I *23073:B I *D sky130_fd_sc_hd__or3_1 +*I *23457:D I *D sky130_fd_sc_hd__and4b_1 +*I *22884:A I *D sky130_fd_sc_hd__or3_2 +*I *22921:B I *D sky130_fd_sc_hd__or3_4 +*I *22963:A I *D sky130_fd_sc_hd__or4_1 +*I *22961:A I *D sky130_fd_sc_hd__or4_1 +*I *22938:A I *D sky130_fd_sc_hd__or3_4 +*I *23625:A3 I *D sky130_fd_sc_hd__a41o_1 +*I *23511:A I *D sky130_fd_sc_hd__or4_2 +*I *23235:A I *D sky130_fd_sc_hd__or3b_1 +*I *22880:A I *D sky130_fd_sc_hd__or3_1 +*I *22879:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22931:C 0 +2 *23073:B 0 +3 *23457:D 0.000809987 +4 *22884:A 0.000682912 +5 *22921:B 0 +6 *22963:A 0 +7 *22961:A 2.7855e-05 +8 *22938:A 0.000433055 +9 *23625:A3 0 +10 *23511:A 0.000108274 +11 *23235:A 2.11456e-05 +12 *22880:A 4.07309e-05 +13 *22879:Y 0 +14 *3789:120 0.00118432 +15 *3789:114 0.000580572 +16 *3789:93 0.000905012 +17 *3789:67 0.000564906 +18 *3789:56 0.00159737 +19 *3789:42 0.000316866 +20 *3789:38 0.00100809 +21 *3789:28 0.000511827 +22 *3789:21 0.000914854 +23 *3789:8 0.000912023 +24 *3789:6 0.000671762 +25 *3789:5 0.000381017 +26 *22880:A *22881:A 7.34948e-06 +27 *22884:A *22902:C 2.7645e-05 +28 *22884:A *22909:B 1.65872e-05 +29 *22884:A *22917:B 0.000253916 +30 *22884:A *22921:A 6.18695e-05 +31 *22884:A *23765:A2 0.00011818 +32 *22884:A *3792:64 2.08649e-05 +33 *22884:A *3793:30 3.14978e-05 +34 *22884:A *3794:10 3.42931e-05 +35 *22884:A *3794:17 0.000167076 +36 *22884:A *3820:8 0.000222348 +37 *22884:A *3827:8 6.08467e-05 +38 *22884:A *4134:24 8.90311e-06 +39 *22884:A *5624:67 5.04829e-06 +40 *22961:A *5627:51 6.08467e-05 +41 *23235:A *23240:A4 5.04829e-06 +42 *23235:A *5631:63 6.50586e-05 +43 *23457:D *22932:A 2.16355e-05 +44 *23457:D *23336:A 0.000101133 +45 *23457:D *23457:A_N 7.82634e-05 +46 *23457:D *3842:11 2.65831e-05 +47 *23457:D *3866:30 0.000166167 +48 *23457:D *3972:7 0.000154145 +49 *23457:D *4225:11 4.44663e-05 +50 *23457:D *4225:20 6.78619e-05 +51 *23457:D *4227:18 1.20971e-05 +52 *23457:D *5632:28 9.75356e-05 +53 *23511:A *23240:A1 1.65872e-05 +54 *23511:A *23240:A4 1.65872e-05 +55 *23511:A *5612:72 4.85871e-05 +56 *23511:A *5631:59 9.10217e-05 +57 *23511:A *5631:63 0.000105509 +58 *3789:6 *4368:8 1.62054e-05 +59 *3789:6 *5612:18 0.000488834 +60 *3789:6 *5624:27 0.000122098 +61 *3789:6 *5628:14 0 +62 *3789:8 *22963:C 9.62217e-06 +63 *3789:8 *5612:18 0.000931126 +64 *3789:8 *5825:10 0 +65 *3789:21 *22963:C 1.09386e-05 +66 *3789:21 *5612:18 2.02035e-05 +67 *3789:21 *5923:20 4.08979e-05 +68 *3789:28 *4240:8 0.000754321 +69 *3789:28 *5612:37 6.31996e-05 +70 *3789:28 *5612:52 0.000537958 +71 *3789:28 *5612:72 0.000175955 +72 *3789:38 *22881:A 1.92172e-05 +73 *3789:38 *23235:B 0.000116454 +74 *3789:38 *3831:21 0.000123582 +75 *3789:42 *23235:B 0.000600213 +76 *3789:42 *3831:21 0.000650937 +77 *3789:42 *3980:8 1.14248e-05 +78 *3789:56 *3840:7 6.08467e-05 +79 *3789:67 *23210:A 9.19597e-05 +80 *3789:67 *23625:A2 8.10487e-05 +81 *3789:67 *23625:A4 0.00061158 +82 *3789:67 *23625:B1 0.000160617 +83 *3789:67 *3792:68 0.000283455 +84 *3789:67 *3800:72 3.07561e-05 +85 *3789:67 *3848:59 7.92757e-06 +86 *3789:67 *3996:26 4.03125e-05 +87 *3789:67 *4127:30 4.96202e-06 +88 *3789:67 *5631:48 0.000279872 +89 *3789:93 *4134:24 3.21973e-05 +90 *3789:93 *5624:41 3.07133e-05 +91 *3789:93 *5624:67 1.88014e-05 +92 *3789:114 *3866:30 0.000207266 +93 *3789:114 *4368:8 7.586e-05 +94 *3789:114 *5624:27 0.0002452 +95 *3789:120 *3826:15 0.00035144 +96 *3789:120 *5631:22 0.000113374 +97 *22077:A1 *3789:21 6.21462e-05 +98 *22931:D *3789:120 0.000122083 +99 *22938:C *22938:A 3.24896e-05 +100 *23511:D *23511:A 6.08467e-05 +101 *3078:19 *23457:D 9.81123e-06 +102 *3078:19 *3789:114 0.000335809 +103 *3779:11 *3789:6 0.000361625 +104 *3779:11 *3789:8 0.000863373 +105 *3779:111 *3789:120 1.38754e-05 +106 *3780:29 *22880:A 0.000107496 +107 *3780:29 *3789:38 0.000440512 +108 *3786:46 *3789:67 0.00100882 +*RES +1 *22879:Y *3789:5 13.7491 +2 *3789:5 *3789:6 9.72179 +3 *3789:6 *3789:8 16.3658 +4 *3789:8 *3789:21 9.08706 +5 *3789:21 *3789:28 17.9591 +6 *3789:28 *22880:A 10.5271 +7 *3789:28 *3789:38 11.9075 +8 *3789:38 *3789:42 15.8828 +9 *3789:42 *23235:A 9.97254 +10 *3789:42 *23511:A 12.7456 +11 *3789:38 *3789:56 12.4332 +12 *3789:56 *3789:67 40.8203 +13 *3789:67 *23625:A3 9.24915 +14 *3789:56 *22938:A 15.398 +15 *3789:21 *22961:A 14.4725 +16 *3789:8 *22963:A 13.7491 +17 *3789:6 *3789:93 9.10562 +18 *3789:93 *22921:B 9.24915 +19 *3789:93 *22884:A 35.6689 +20 *3789:5 *3789:114 13.4291 +21 *3789:114 *3789:120 16.4075 +22 *3789:120 *23457:D 38.1721 +23 *3789:120 *23073:B 9.24915 +24 *3789:114 *22931:C 9.24915 +*END + +*D_NET *3790 0.000158201 +*CONN +*I *22881:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *22880:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22881:A 6.58171e-05 +2 *22880:X 6.58171e-05 +3 *22880:A *22881:A 7.34948e-06 +4 *3789:38 *22881:A 1.92172e-05 +*RES +1 *22880:X *22881:A 19.8004 +*END + +*D_NET *3791 0.0940308 +*CONN +*I *22915:A I *D sky130_fd_sc_hd__nor2_2 +*I *23056:A2 I *D sky130_fd_sc_hd__o21ba_1 +*I *23451:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23627:A I *D sky130_fd_sc_hd__nor3_1 +*I *23708:A1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23338:A I *D sky130_fd_sc_hd__or3_1 +*I *23383:A I *D sky130_fd_sc_hd__nor2_1 +*I *23346:A I *D sky130_fd_sc_hd__nor2_1 +*I *23359:A I *D sky130_fd_sc_hd__or2_1 +*I *23375:A I *D sky130_fd_sc_hd__nor2_1 +*I *23366:A I *D sky130_fd_sc_hd__or2_1 +*I *23352:A I *D sky130_fd_sc_hd__or2_1 +*I *23322:A I *D sky130_fd_sc_hd__nor3_2 +*I *23391:A I *D sky130_fd_sc_hd__nor3_1 +*I *23333:A I *D sky130_fd_sc_hd__or2_2 +*I *22881:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *22915:A 0.00126344 +2 *23056:A2 1.47608e-05 +3 *23451:A2 0.000130245 +4 *23627:A 0.000183884 +5 *23708:A1 0.000265383 +6 *23338:A 0.00030959 +7 *23383:A 0 +8 *23346:A 0.000138839 +9 *23359:A 0 +10 *23375:A 0.000246718 +11 *23366:A 0.000123214 +12 *23352:A 0 +13 *23322:A 0.000477137 +14 *23391:A 2.50285e-05 +15 *23333:A 0.000573173 +16 *22881:X 0.000733054 +17 *3791:273 0.00150107 +18 *3791:227 0.00232401 +19 *3791:185 0.00156015 +20 *3791:174 0.00261263 +21 *3791:161 0.00180088 +22 *3791:154 0.00114295 +23 *3791:142 0.00169457 +24 *3791:123 0.00214543 +25 *3791:118 0.00226 +26 *3791:108 0.00250469 +27 *3791:106 0.00206411 +28 *3791:81 0.00205825 +29 *3791:66 0.00219175 +30 *3791:50 0.00334468 +31 *3791:42 0.00109187 +32 *3791:34 0.00100581 +33 *3791:27 0.00192877 +34 *3791:18 0.00219946 +35 *3791:15 0.00111578 +36 *22915:A *23056:B1_N 4.52469e-05 +37 *22915:A *23212:A 0.00049786 +38 *22915:A *23677:A 8.63005e-06 +39 *22915:A *3833:279 6.08467e-05 +40 *22915:A *3868:38 0.0011912 +41 *22915:A *3978:29 2.42138e-05 +42 *22915:A *4091:8 0.000309989 +43 *22915:A *4602:34 0.000103329 +44 *23056:A2 *23211:A 6.08467e-05 +45 *23056:A2 *3838:30 6.08467e-05 +46 *23322:A *23322:B 2.51189e-05 +47 *23322:A *23322:C 0.000158451 +48 *23322:A *3840:138 3.8122e-05 +49 *23322:A *3840:147 3.82228e-05 +50 *23333:A *23226:A 0.00108862 +51 *23333:A *23333:B 6.50586e-05 +52 *23333:A *4026:5 3.31745e-05 +53 *23333:A *4135:7 6.50727e-05 +54 *23333:A *4242:8 0.000114518 +55 *23338:A *23686:B1 0.000111241 +56 *23338:A *3931:31 1.41181e-05 +57 *23346:A *23346:B 0.000107496 +58 *23346:A *23474:B 2.49511e-06 +59 *23346:A *3903:19 0.000107496 +60 *23346:A *4255:5 0.000118166 +61 *23366:A *4345:11 0.000142282 +62 *23366:A *4870:22 9.60908e-06 +63 *23366:A *4870:26 2.26985e-05 +64 *23375:A *23038:A1 0.000301361 +65 *23375:A *23038:A2 0.000205101 +66 *23375:A *23375:B 6.08467e-05 +67 *23375:A *23376:B 5.76386e-05 +68 *23375:A *4284:8 0.000252176 +69 *23391:A *23541:A2 2.16355e-05 +70 *23391:A *4298:8 2.16355e-05 +71 *23451:A2 *23503:A 2.82027e-05 +72 *23451:A2 *23719:C1 1.9101e-05 +73 *23451:A2 *3831:42 0.000107496 +74 *23451:A2 *3838:39 6.3657e-05 +75 *23451:A2 *3838:47 6.08467e-05 +76 *23451:A2 *3974:60 5.32361e-06 +77 *23451:A2 *4091:8 1.5714e-05 +78 *23627:A *23627:B 6.98337e-06 +79 *23627:A *4034:56 7.16509e-05 +80 *23627:A *4533:10 0.000203595 +81 *23708:A1 *22996:B 3.52699e-05 +82 *23708:A1 *3840:57 2.44031e-06 +83 *23708:A1 *3911:14 0.000196675 +84 *23708:A1 *4589:8 0.000182647 +85 *23708:A1 *4660:10 1.91246e-05 +86 *3791:15 *22918:B 1.5714e-05 +87 *3791:15 *22995:B 3.1218e-05 +88 *3791:15 *23092:A 0.00012568 +89 *3791:15 *23240:A1 0.000161593 +90 *3791:15 *3799:42 0.000246312 +91 *3791:15 *3840:20 0.000156895 +92 *3791:15 *4880:5 6.69603e-05 +93 *3791:18 *22982:A 0.000203351 +94 *3791:18 *22982:B 2.50598e-05 +95 *3791:18 *3799:42 6.43474e-05 +96 *3791:18 *3799:44 4.55115e-05 +97 *3791:18 *3892:8 0 +98 *3791:18 *4037:13 4.6455e-05 +99 *3791:18 *4871:32 0.000889111 +100 *3791:27 *22934:A 0.000459887 +101 *3791:27 *23057:A2 0.000237472 +102 *3791:27 *23117:A 0.00059339 +103 *3791:27 *4020:31 0.000924255 +104 *3791:27 *4026:5 0.000239799 +105 *3791:27 *4031:39 0.000135227 +106 *3791:27 *4135:7 0.000142194 +107 *3791:34 *3838:39 0.000292299 +108 *3791:34 *3868:38 0.000177512 +109 *3791:34 *4097:8 7.83998e-06 +110 *3791:34 *4097:12 3.21787e-05 +111 *3791:34 *4357:21 1.7003e-05 +112 *3791:34 *4397:21 1.87227e-05 +113 *3791:34 *4602:34 0.000438024 +114 *3791:34 *5920:22 0.000424186 +115 *3791:42 *3974:60 5.67842e-06 +116 *3791:42 *4450:21 0.000386513 +117 *3791:42 *4602:34 0.000501637 +118 *3791:42 *5920:22 0.000486471 +119 *3791:50 *23820:C 6.64392e-05 +120 *3791:50 *3831:50 0.000269609 +121 *3791:50 *4091:8 1.561e-05 +122 *3791:50 *4120:34 0.000366632 +123 *3791:50 *4199:8 1.88656e-05 +124 *3791:50 *4450:21 0.000392861 +125 *3791:50 *4620:41 0.000260983 +126 *3791:66 *23182:A 0.000191556 +127 *3791:66 *23544:A 4.85134e-05 +128 *3791:66 *23591:A 8.72414e-05 +129 *3791:66 *23654:B1 1.5714e-05 +130 *3791:66 *23797:C 0 +131 *3791:66 *3831:50 0.000111082 +132 *3791:66 *3958:27 0.000356443 +133 *3791:66 *4120:34 6.78248e-05 +134 *3791:66 *4136:10 3.58321e-05 +135 *3791:66 *4136:18 0 +136 *3791:66 *4199:8 0.000371571 +137 *3791:66 *4450:45 0.00051971 +138 *3791:66 *4559:11 7.13972e-05 +139 *3791:66 *4620:41 0.000114679 +140 *3791:81 *23541:A1 6.50727e-05 +141 *3791:81 *23541:A2 0.000103686 +142 *3791:81 *23542:C 0.000823153 +143 *3791:81 *23544:A 0.000470811 +144 *3791:81 *23591:A 9.91586e-05 +145 *3791:81 *23797:B 8.16827e-05 +146 *3791:81 *4298:8 3.82228e-05 +147 *3791:81 *4301:40 0 +148 *3791:81 *4494:18 0.000478226 +149 *3791:81 *4495:48 0 +150 *3791:81 *4538:51 6.71634e-05 +151 *3791:81 *4693:35 0.000785823 +152 *3791:106 *23390:B 0.000110306 +153 *3791:106 *23810:B 2.60447e-05 +154 *3791:106 *23819:B 1.5714e-05 +155 *3791:106 *23825:C 0.000247725 +156 *3791:106 *4009:95 0.000168598 +157 *3791:106 *4077:59 0.000586564 +158 *3791:106 *4080:18 0.000323388 +159 *3791:106 *4190:15 0.000100741 +160 *3791:106 *4297:9 6.08467e-05 +161 *3791:106 *4298:8 0.000478856 +162 *3791:106 *4298:10 2.77564e-05 +163 *3791:106 *4300:45 7.65861e-05 +164 *3791:106 *4446:16 0.000233208 +165 *3791:106 *4492:8 9.65878e-06 +166 *3791:106 *4494:13 0.00021944 +167 *3791:106 *4665:42 0.000177927 +168 *3791:106 *4693:35 4.43396e-05 +169 *3791:106 *4715:9 5.36804e-05 +170 *3791:108 *23278:B 0.000177787 +171 *3791:108 *23488:B 0.000316237 +172 *3791:108 *23489:B 0.00011476 +173 *3791:108 *23585:B 0.00146501 +174 *3791:108 *4190:15 0.000115799 +175 *3791:108 *4350:8 0.000103044 +176 *3791:108 *4492:8 0.000524743 +177 *3791:108 *4665:10 0.00118426 +178 *3791:108 *4665:25 2.6506e-05 +179 *3791:108 *4693:33 0.000160911 +180 *3791:108 *4693:35 3.37621e-05 +181 *3791:108 *4713:14 8.86481e-05 +182 *3791:108 *5671:106 3.06917e-06 +183 *3791:118 *23278:B 0.000366114 +184 *3791:118 *23489:B 1.0397e-05 +185 *3791:118 *4009:35 0.000305846 +186 *3791:118 *4011:22 8.35594e-05 +187 *3791:118 *4393:8 2.7614e-05 +188 *3791:118 *4485:8 9.12137e-05 +189 *3791:118 *4575:13 1.01851e-05 +190 *3791:118 *4600:22 0.000427152 +191 *3791:118 *4647:17 6.34651e-06 +192 *3791:118 *4665:10 0.000309435 +193 *3791:118 *5681:25 0.00140367 +194 *3791:123 *22980:B 0.00278232 +195 *3791:123 *4106:175 0.00203145 +196 *3791:142 *23775:A 0.00025175 +197 *3791:142 *23793:A 0.000205332 +198 *3791:142 *4126:184 0.000487335 +199 *3791:142 *4153:11 2.01595e-05 +200 *3791:142 *4254:21 0.000107496 +201 *3791:142 *4380:14 0.000728952 +202 *3791:142 *4677:11 0.000538667 +203 *3791:142 *5454:26 0.000181974 +204 *3791:142 *5456:61 0.000129242 +205 *3791:142 *5682:35 0.000333801 +206 *3791:154 *21214:A 0.000127164 +207 *3791:154 *21344:A 0.000115448 +208 *3791:154 *5454:26 5.93828e-05 +209 *3791:154 *5454:28 0.00127278 +210 *3791:154 *5456:61 0.00111851 +211 *3791:154 *5563:9 3.08017e-05 +212 *3791:154 *5785:6 0.000246179 +213 *3791:161 *23025:B 1.30449e-05 +214 *3791:161 *23351:A 1.41976e-05 +215 *3791:161 *23351:B 2.57986e-05 +216 *3791:161 *23352:B 6.36477e-05 +217 *3791:161 *4262:8 0.000116632 +218 *3791:161 *4326:100 0.000177512 +219 *3791:161 *4525:15 6.08467e-05 +220 *3791:161 *5456:72 0.000214104 +221 *3791:161 *5456:79 5.33358e-06 +222 *3791:174 *23352:B 0.000390444 +223 *3791:174 *23713:B1 4.66859e-05 +224 *3791:174 *3874:194 8.93134e-05 +225 *3791:174 *3874:204 2.57847e-05 +226 *3791:174 *3895:39 0.000136768 +227 *3791:174 *3895:41 0.000680554 +228 *3791:174 *3895:43 0.000357619 +229 *3791:174 *3895:48 2.24484e-05 +230 *3791:174 *4329:15 0.000357993 +231 *3791:174 *4525:15 0.000525217 +232 *3791:174 *5929:38 0.00118881 +233 *3791:185 *23038:A1 0.000107496 +234 *3791:185 *3895:48 7.73977e-05 +235 *3791:185 *4275:29 0.000110081 +236 *3791:185 *5929:38 7.36639e-05 +237 *3791:227 *3907:37 0.000347214 +238 *3791:227 *4090:9 0.000340204 +239 *3791:227 *4228:29 2.61955e-05 +240 *3791:273 *23056:B1_N 5.22654e-06 +241 *3791:273 *3838:30 0.000174047 +242 *3791:273 *3838:32 5.23577e-05 +243 *3791:273 *3838:39 0.000351365 +244 *3791:273 *3868:38 0.000591798 +245 *3791:273 *4091:8 5.2504e-06 +246 *25022:A *3791:154 0.000103557 +247 *25023:A *3791:154 0.000156475 +248 *25032:A *3791:142 9.61451e-05 +249 *25114:A *3791:154 4.51176e-05 +250 *25128:A *3791:154 9.61451e-05 +251 *1587:51 *3791:185 0.00030471 +252 *1587:91 *3791:123 1.09551e-05 +253 *1587:137 *3791:185 0.00152208 +254 *1705:16 *3791:185 0 +255 *1818:38 *23375:A 0.000435856 +256 *1818:38 *3791:185 0.000109421 +257 *2405:26 *3791:161 9.46352e-05 +258 *2405:34 *3791:174 3.10304e-06 +259 *2406:10 *3791:161 0.000300565 +260 *2803:58 *23366:A 0.000218001 +261 *2803:58 *3791:185 0.000278092 +262 *2955:40 *3791:185 1.91246e-05 +263 *3060:43 *3791:161 4.01682e-05 +264 *3779:58 *3791:15 7.23866e-05 +265 *3781:39 *23451:A2 8.12259e-06 +266 *3781:43 *3791:42 0.000391501 +267 *3781:43 *3791:50 4.04556e-05 +268 *3786:54 *3791:18 0.00023344 +*RES +1 *22881:X *3791:15 43.1982 +2 *3791:15 *3791:18 20.8658 +3 *3791:18 *23333:A 24.9468 +4 *3791:18 *3791:27 37.945 +5 *3791:27 *3791:34 10.7283 +6 *3791:34 *3791:42 12.0883 +7 *3791:42 *3791:50 25.1566 +8 *3791:50 *3791:66 49.1457 +9 *3791:66 *3791:81 47.7528 +10 *3791:81 *23391:A 9.97254 +11 *3791:81 *3791:106 49.8527 +12 *3791:106 *3791:108 46.8624 +13 *3791:108 *3791:118 46.2891 +14 *3791:118 *3791:123 35.0124 +15 *3791:123 *23322:A 18.8703 +16 *3791:123 *3791:142 46.601 +17 *3791:142 *3791:154 43.8513 +18 *3791:154 *3791:161 21.1496 +19 *3791:161 *23352:A 9.24915 +20 *3791:161 *3791:174 45.343 +21 *3791:174 *3791:185 22.1521 +22 *3791:185 *23366:A 22.5727 +23 *3791:185 *23375:A 19.6178 +24 *3791:174 *23359:A 13.7491 +25 *3791:142 *23346:A 17.8243 +26 *3791:108 *23383:A 13.7491 +27 *3791:50 *3791:227 41.2726 +28 *3791:227 *23338:A 16.0732 +29 *3791:227 *23708:A1 29.1429 +30 *3791:42 *23627:A 18.3548 +31 *3791:34 *23451:A2 19.3158 +32 *3791:27 *3791:273 10.9675 +33 *3791:273 *23056:A2 14.4725 +34 *3791:273 *22915:A 46.9322 +*END + +*D_NET *3792 0.0347066 +*CONN +*I *22893:A I *D sky130_fd_sc_hd__or4_1 +*I *23306:A I *D sky130_fd_sc_hd__nor2_1 +*I *23081:A1 I *D sky130_fd_sc_hd__a21bo_2 +*I *22908:A I *D sky130_fd_sc_hd__nor2_1 +*I *22910:A1 I *D sky130_fd_sc_hd__a21o_2 +*I *22902:B I *D sky130_fd_sc_hd__or3_1 +*I *22926:D I *D sky130_fd_sc_hd__or4_1 +*I *23020:B I *D sky130_fd_sc_hd__or4_1 +*I *23006:B I *D sky130_fd_sc_hd__or4_1 +*I *22947:D I *D sky130_fd_sc_hd__or4_4 +*I *23727:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23612:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22989:B I *D sky130_fd_sc_hd__or4_4 +*I *22982:D I *D sky130_fd_sc_hd__or4_4 +*I *23075:A I *D sky130_fd_sc_hd__or2_1 +*I *23074:B I *D sky130_fd_sc_hd__or3_1 +*I *23307:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23394:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *22882:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *22893:A 0.000413376 +2 *23306:A 0 +3 *23081:A1 5.58529e-05 +4 *22908:A 0.000171305 +5 *22910:A1 0 +6 *22902:B 0 +7 *22926:D 1.98947e-05 +8 *23020:B 0 +9 *23006:B 0.000165992 +10 *22947:D 5.62501e-05 +11 *23727:A1 0.000344796 +12 *23612:B1 6.58133e-05 +13 *22989:B 0.000197961 +14 *22982:D 0 +15 *23075:A 0 +16 *23074:B 8.97749e-05 +17 *23307:A1 2.59949e-05 +18 *23394:A2 0.000140808 +19 *22882:Y 0.000102665 +20 *3792:163 0.000210039 +21 *3792:139 0.000817118 +22 *3792:102 0.00116676 +23 *3792:83 0.00183527 +24 *3792:75 0.00126531 +25 *3792:70 0.000484525 +26 *3792:68 0.00107291 +27 *3792:66 0.00115385 +28 *3792:64 0.00113921 +29 *3792:60 0.00141106 +30 *3792:56 0.00146128 +31 *3792:47 0.000490988 +32 *3792:37 0.000425816 +33 *3792:33 0.000421687 +34 *3792:21 0.000293635 +35 *3792:10 0.00100745 +36 *3792:5 0.00127567 +37 *22893:A *22893:D 0.000115934 +38 *22893:A *4882:113 0.000497635 +39 *22893:A *5600:33 0.000187107 +40 *22908:A *3794:17 3.01683e-06 +41 *22908:A *3818:7 0.000159322 +42 *22926:D *22995:B 6.08467e-05 +43 *22926:D *3905:11 2.16355e-05 +44 *22947:D *5631:59 0.000113968 +45 *22947:D *5631:118 0.000107496 +46 *22989:B *22989:C 1.5714e-05 +47 *22989:B *23001:A 2.32288e-05 +48 *22989:B *23001:B 3.77568e-05 +49 *22989:B *23001:C 0.000187415 +50 *22989:B *23012:A 3.46522e-06 +51 *23006:B *5631:79 9.17814e-05 +52 *23074:B *3800:32 0.000163465 +53 *23074:B *3800:34 0.000125695 +54 *23074:B *5632:28 0.00028916 +55 *23081:A1 *23081:A2 2.16355e-05 +56 *23081:A1 *23494:C 1.58551e-05 +57 *23081:A1 *4374:12 0.000154145 +58 *23307:A1 *23394:A3 0 +59 *23307:A1 *23394:B1 3.67528e-06 +60 *23307:A1 *4223:6 0 +61 *23307:A1 *4370:10 5.92192e-05 +62 *23394:A2 *23394:A3 3.81056e-05 +63 *23394:A2 *4303:10 1.4091e-06 +64 *23612:B1 *23612:A2 1.37189e-05 +65 *23612:B1 *23612:B2 6.78596e-05 +66 *23727:A1 *23002:B 0.000110297 +67 *23727:A1 *23612:A1 6.08467e-05 +68 *23727:A1 *23612:B2 4.88955e-05 +69 *23727:A1 *23727:A2 0.00024401 +70 *23727:A1 *3912:19 0.000247443 +71 *23727:A1 *4143:36 3.82228e-05 +72 *23727:A1 *4241:16 0.000239453 +73 *23727:A1 *4368:8 0.000230896 +74 *3792:5 *4882:113 7.13972e-05 +75 *3792:5 *5600:33 2.16355e-05 +76 *3792:10 *22956:A 0.000139435 +77 *3792:10 *4218:8 0.000132665 +78 *3792:10 *4220:10 0 +79 *3792:10 *4222:10 0.000122083 +80 *3792:10 *4223:6 0.0011346 +81 *3792:10 *4370:10 0 +82 *3792:10 *5600:18 5.56367e-05 +83 *3792:10 *5624:16 3.88655e-06 +84 *3792:10 *5624:18 6.04912e-06 +85 *3792:10 *5629:23 0 +86 *3792:10 *5632:16 0.000409197 +87 *3792:21 *23394:A3 1.41976e-05 +88 *3792:21 *23394:B2 2.20702e-05 +89 *3792:21 *4215:9 2.85274e-05 +90 *3792:33 *23306:B 6.13007e-06 +91 *3792:33 *23307:A2 2.16355e-05 +92 *3792:33 *4215:9 8.62957e-06 +93 *3792:37 *4215:9 0 +94 *3792:47 *23075:B 0.000113968 +95 *3792:56 *23075:B 0.000154145 +96 *3792:56 *3984:27 0.000160617 +97 *3792:56 *4006:6 0.000539743 +98 *3792:56 *4219:22 0.000238831 +99 *3792:60 *23105:A 4.94867e-05 +100 *3792:60 *23105:B_N 0.000102032 +101 *3792:60 *23196:C 0 +102 *3792:60 *23496:C 0 +103 *3792:60 *3794:35 0.00024413 +104 *3792:60 *3842:11 6.09999e-05 +105 *3792:60 *3866:22 0.000127142 +106 *3792:60 *3972:15 0 +107 *3792:60 *4006:6 0.000891002 +108 *3792:60 *4014:8 0 +109 *3792:60 *4219:22 0.000120863 +110 *3792:64 *22909:B 3.58208e-05 +111 *3792:64 *3796:48 0.000193108 +112 *3792:64 *3818:7 6.92705e-05 +113 *3792:64 *3827:8 1.03403e-05 +114 *3792:66 *22906:B1 2.02035e-05 +115 *3792:66 *22964:A 5.64929e-05 +116 *3792:66 *23017:A 0.000242164 +117 *3792:66 *23645:B 0.000393329 +118 *3792:66 *3796:48 0.000587702 +119 *3792:66 *3800:62 6.48092e-05 +120 *3792:66 *3812:10 4.90264e-05 +121 *3792:66 *3814:10 0.000121052 +122 *3792:66 *3815:8 0.000154309 +123 *3792:66 *3815:10 0.000160482 +124 *3792:66 *3816:8 0.000140472 +125 *3792:66 *3925:12 4.95102e-05 +126 *3792:66 *5631:44 0.000162033 +127 *3792:66 *5631:48 3.20069e-06 +128 *3792:66 *5632:48 0.000376023 +129 *3792:68 *5631:48 0.000265584 +130 *3792:68 *5632:48 7.25274e-05 +131 *3792:68 *5632:50 0.000853106 +132 *3792:68 *5632:52 8.61737e-06 +133 *3792:70 *5631:48 1.84334e-05 +134 *3792:70 *5632:52 4.93938e-05 +135 *3792:75 *22982:B 0.0001839 +136 *3792:75 *22982:C 0.000177132 +137 *3792:75 *3874:28 0.000168074 +138 *3792:75 *5629:114 1.25165e-05 +139 *3792:75 *5631:48 9.13616e-06 +140 *3792:75 *5632:52 0.000302239 +141 *3792:83 *23001:A 1.65872e-05 +142 *3792:83 *3911:8 9.08873e-06 +143 *3792:102 *23001:A 5.14448e-05 +144 *3792:102 *23001:C 2.75449e-05 +145 *3792:102 *23012:A 0 +146 *3792:102 *3899:13 0.000835243 +147 *3792:102 *4134:30 0 +148 *3792:102 *4241:16 4.70005e-05 +149 *3792:102 *4242:8 0 +150 *3792:102 *4368:8 0 +151 *3792:139 *22918:B 2.42518e-05 +152 *3792:139 *4134:30 0 +153 *3792:139 *5612:72 2.1203e-06 +154 *3792:139 *5629:153 0.00147178 +155 *3792:139 *5631:63 1.41976e-05 +156 *3792:139 *5631:79 6.36477e-05 +157 *3792:163 *3818:7 5.31074e-05 +158 *22884:A *3792:64 2.08649e-05 +159 *22884:B *3792:64 8.8567e-05 +160 *22884:B *3792:66 5.22654e-06 +161 *23332:A *3792:102 1.93714e-05 +162 *3779:73 *22947:D 7.89747e-05 +163 *3789:67 *3792:68 0.000283455 +*RES +1 *22882:Y *3792:5 11.6364 +2 *3792:5 *3792:10 37.8234 +3 *3792:10 *23394:A2 11.6605 +4 *3792:10 *3792:21 2.96592 +5 *3792:21 *23307:A1 19.2506 +6 *3792:21 *3792:33 3.37585 +7 *3792:33 *3792:37 5.18434 +8 *3792:37 *23074:B 23.4032 +9 *3792:37 *3792:47 1.8326 +10 *3792:47 *23075:A 9.24915 +11 *3792:47 *3792:56 19.382 +12 *3792:56 *3792:60 36.6454 +13 *3792:60 *3792:64 12.5986 +14 *3792:64 *3792:66 30.8996 +15 *3792:66 *3792:68 16.5734 +16 *3792:68 *3792:70 1.20912 +17 *3792:70 *3792:75 16.3331 +18 *3792:75 *22982:D 9.24915 +19 *3792:75 *3792:83 11.8396 +20 *3792:83 *22989:B 22.6049 +21 *3792:83 *3792:102 30.4221 +22 *3792:102 *23612:B1 11.1059 +23 *3792:102 *23727:A1 30.1201 +24 *3792:70 *22947:D 16.1364 +25 *3792:68 *3792:139 14.9569 +26 *3792:139 *23006:B 12.191 +27 *3792:139 *23020:B 9.24915 +28 *3792:66 *22926:D 14.4725 +29 *3792:64 *22902:B 13.7491 +30 *3792:60 *3792:163 0.723396 +31 *3792:163 *22910:A1 9.24915 +32 *3792:163 *22908:A 12.625 +33 *3792:56 *23081:A1 15.5817 +34 *3792:33 *23306:A 9.24915 +35 *3792:5 *22893:A 23.8617 +*END + +*D_NET *3793 0.00745069 +*CONN +*I *22884:C I *D sky130_fd_sc_hd__or3_2 +*I *23625:A4 I *D sky130_fd_sc_hd__a41o_1 +*I *22883:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22884:C 0 +2 *23625:A4 0.000376098 +3 *22883:Y 5.56756e-05 +4 *3793:30 0.00104961 +5 *3793:7 0.00148138 +6 *23625:A4 *23210:A 0.000500092 +7 *23625:A4 *23625:B1 1.65872e-05 +8 *23625:A4 *3800:72 7.3964e-05 +9 *23625:A4 *3864:12 0.000103304 +10 *23625:A4 *3865:8 0.000136721 +11 *23625:A4 *3990:54 1.91246e-05 +12 *23625:A4 *3996:26 4.3116e-06 +13 *23625:A4 *4001:26 0.000142582 +14 *23625:A4 *4014:10 1.40909e-05 +15 *23625:A4 *4116:32 4.5435e-07 +16 *23625:A4 *4116:38 1.51556e-05 +17 *23625:A4 *4127:18 2.78917e-05 +18 *23625:A4 *4127:30 1.44467e-05 +19 *3793:7 *4001:9 1.21461e-06 +20 *3793:7 *4001:244 3.99086e-06 +21 *3793:30 *23202:A 1.2693e-05 +22 *3793:30 *23765:A2 5.4225e-05 +23 *3793:30 *3850:10 9.3588e-05 +24 *3793:30 *3864:12 0.000458584 +25 *3793:30 *3877:12 0.000430161 +26 *3793:30 *4001:26 6.88908e-05 +27 *3793:30 *4014:8 0.000281797 +28 *3793:30 *4014:10 0.000998027 +29 *3793:30 *4126:26 0.00035709 +30 *22884:A *3793:30 3.14978e-05 +31 *22938:C *23625:A4 1.58594e-05 +32 *3789:67 *23625:A4 0.00061158 +*RES +1 *22883:Y *3793:7 14.4725 +2 *3793:7 *23625:A4 37.5262 +3 *3793:7 *3793:30 43.8471 +4 *3793:30 *22884:C 9.24915 +*END + +*D_NET *3794 0.0106938 +*CONN +*I *22908:B I *D sky130_fd_sc_hd__nor2_1 +*I *22910:A2 I *D sky130_fd_sc_hd__a21o_2 +*I *22893:B I *D sky130_fd_sc_hd__or4_1 +*I *22902:C I *D sky130_fd_sc_hd__or3_1 +*I *22884:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *22908:B 0 +2 *22910:A2 0 +3 *22893:B 0.000920105 +4 *22902:C 0.000211744 +5 *22884:X 0.000197486 +6 *3794:35 0.00212656 +7 *3794:17 0.00146404 +8 *3794:11 6.03028e-05 +9 *3794:10 0.000211949 +10 *22893:B *23075:B 1.9101e-05 +11 *22893:B *23077:A 5.41227e-05 +12 *22893:B *23097:B 0.000190013 +13 *22893:B *23111:B 5.41227e-05 +14 *22893:B *23311:A 0.000253826 +15 *22893:B *3803:10 0 +16 *22893:B *3866:16 0 +17 *22893:B *3984:6 0.000440812 +18 *22893:B *3988:8 0.000285517 +19 *22893:B *4006:6 0 +20 *22893:B *4219:22 0 +21 *22902:C *22902:A 3.75382e-05 +22 *22902:C *22909:B 0.000158885 +23 *22902:C *23765:A2 0.000307023 +24 *22902:C *3812:10 1.82679e-05 +25 *22902:C *3819:8 4.30017e-06 +26 *3794:10 *23765:A2 0.000164829 +27 *3794:10 *3820:8 7.14746e-05 +28 *3794:10 *4014:8 2.21765e-05 +29 *3794:11 *3818:7 6.50586e-05 +30 *3794:11 *3820:8 1.00846e-05 +31 *3794:17 *22909:B 6.50727e-05 +32 *3794:17 *3818:7 6.81122e-05 +33 *3794:17 *3820:8 2.15348e-05 +34 *3794:35 *22909:B 2.24484e-05 +35 *3794:35 *23075:B 4.69204e-06 +36 *3794:35 *23081:B1_N 0.000354778 +37 *3794:35 *3925:12 0.000513772 +38 *3794:35 *3984:27 0.000148129 +39 *3794:35 *3990:15 0.000563095 +40 *3794:35 *4002:9 0.000870681 +41 *3794:35 *4006:6 0.000235995 +42 *3794:35 *4014:8 0 +43 *22884:A *22902:C 2.7645e-05 +44 *22884:A *3794:10 3.42931e-05 +45 *22884:A *3794:17 0.000167076 +46 *22908:A *3794:17 3.01683e-06 +47 *3792:60 *3794:35 0.00024413 +*RES +1 *22884:X *3794:10 22.7442 +2 *3794:10 *3794:11 0.723396 +3 *3794:11 *3794:17 7.46592 +4 *3794:17 *22902:C 20.902 +5 *3794:17 *3794:35 45.348 +6 *3794:35 *22893:B 43.1954 +7 *3794:11 *22910:A2 9.24915 +8 *3794:10 *22908:B 9.24915 +*END + +*D_NET *3795 0.00122963 +*CONN +*I *22893:C I *D sky130_fd_sc_hd__or4_1 +*I *23083:A I *D sky130_fd_sc_hd__or3_1 +*I *22885:Y O *D sky130_fd_sc_hd__nand4_1 +*CAP +1 *22893:C 0.000131651 +2 *23083:A 5.66764e-05 +3 *22885:Y 0.000326511 +4 *3795:9 0.000514839 +5 *22893:C *23083:B 9.35753e-06 +6 *22893:C *23219:B 0 +7 *22893:C *3984:6 3.22726e-05 +8 *23083:A *3992:5 5.04829e-06 +9 *3795:9 *22885:B 0 +10 *3795:9 *23083:B 0 +11 *3795:9 *3802:6 0 +12 *3795:9 *3992:5 8.40003e-05 +13 *3795:9 *3992:17 6.92705e-05 +*RES +1 *22885:Y *3795:9 27.1755 +2 *3795:9 *23083:A 10.5271 +3 *3795:9 *22893:C 21.3269 +*END + +*D_NET *3796 0.0282851 +*CONN +*I *22892:A I *D sky130_fd_sc_hd__or4_1 +*I *23079:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22906:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *23020:D I *D sky130_fd_sc_hd__or4_1 +*I *22992:D I *D sky130_fd_sc_hd__or4_4 +*I *23001:B I *D sky130_fd_sc_hd__or3_2 +*I *23011:D I *D sky130_fd_sc_hd__or4_1 +*I *23006:D I *D sky130_fd_sc_hd__or4_1 +*I *23310:A I *D sky130_fd_sc_hd__or3_4 +*I *23309:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *22886:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22892:A 0.000564776 +2 *23079:B1 1.98947e-05 +3 *22906:B1 8.74074e-05 +4 *23020:D 0 +5 *22992:D 0 +6 *23001:B 0.000449919 +7 *23011:D 3.37624e-05 +8 *23006:D 9.17662e-05 +9 *23310:A 2.37273e-05 +10 *23309:B1 1.93962e-05 +11 *22886:Y 0.000324108 +12 *3796:82 0.000633351 +13 *3796:75 0.000360056 +14 *3796:61 0.000353864 +15 *3796:59 0.000235056 +16 *3796:57 0.00156712 +17 *3796:48 0.00250659 +18 *3796:44 0.0018341 +19 *3796:34 0.000948879 +20 *3796:24 0.000351908 +21 *3796:21 0.000897025 +22 *3796:11 0.000477875 +23 *22892:A *21043:B 0 +24 *22892:A *21045:A 0 +25 *22892:A *22892:B 7.34948e-06 +26 *22892:A *22892:C 5.53934e-05 +27 *22892:A *22892:D 6.50727e-05 +28 *22892:A *3799:20 0.000393329 +29 *22892:A *3799:26 0.000338286 +30 *22892:A *3800:32 0.000111737 +31 *22892:A *3802:6 0 +32 *22892:A *5629:23 0 +33 *22906:B1 *22905:A1 1.82895e-05 +34 *22906:B1 *22906:A2 3.75221e-05 +35 *22906:B1 *3814:10 1.66626e-05 +36 *23001:B *22989:D 6.08467e-05 +37 *23001:B *23001:C 1.74504e-05 +38 *23001:B *23011:A 0.000213878 +39 *23001:B *23011:C 5.04829e-06 +40 *23001:B *23012:A 0.000200955 +41 *23001:B *3894:15 6.7671e-06 +42 *23001:B *5628:23 0.000125891 +43 *23006:D *5631:79 4.21651e-05 +44 *23006:D *5631:86 0.000111722 +45 *23011:D *23011:C 2.16355e-05 +46 *23079:B1 *3988:8 2.16355e-05 +47 *23079:B1 *5801:107 6.08467e-05 +48 *23309:B1 *3866:7 3.01683e-06 +49 *23310:A *3985:28 2.65667e-05 +50 *3796:11 *22956:A 0.000107496 +51 *3796:11 *3799:20 5.04829e-06 +52 *3796:11 *3866:7 1.92172e-05 +53 *3796:11 *5624:16 0.000713663 +54 *3796:11 *5631:11 0.000717245 +55 *3796:11 *5932:13 5.481e-05 +56 *3796:11 *5934:7 1.9633e-05 +57 *3796:21 *3866:7 5.49209e-05 +58 *3796:21 *3866:15 0.000570328 +59 *3796:21 *5932:13 0.000297807 +60 *3796:24 *3799:26 0.000223504 +61 *3796:24 *3800:32 0.000110291 +62 *3796:34 *3799:26 0 +63 *3796:34 *3800:32 0.000170592 +64 *3796:34 *3985:28 4.58003e-05 +65 *3796:44 *23075:B 0.000322456 +66 *3796:44 *23078:A1 1.61631e-05 +67 *3796:44 *23078:B1 3.77659e-05 +68 *3796:44 *23312:B 7.6719e-06 +69 *3796:44 *3799:26 0 +70 *3796:44 *3800:32 0.000160384 +71 *3796:44 *3800:34 1.75625e-05 +72 *3796:44 *3800:41 1.36691e-05 +73 *3796:44 *3866:93 0.000207266 +74 *3796:44 *3982:8 0.000227787 +75 *3796:44 *3982:10 9.99057e-05 +76 *3796:44 *3983:8 0.000190057 +77 *3796:44 *3983:18 0.000927642 +78 *3796:44 *3984:6 0.000868455 +79 *3796:44 *5632:24 2.04806e-05 +80 *3796:44 *5632:28 0 +81 *3796:48 *3800:62 0.000205133 +82 *3796:48 *3826:26 0.000576244 +83 *3796:48 *3982:8 2.10685e-05 +84 *3796:48 *4605:10 0.00108164 +85 *3796:48 *5629:41 0.000348552 +86 *3796:57 *22929:A 0.000129339 +87 *3796:57 *22958:A 0.000143032 +88 *3796:57 *23235:C_N 3.29e-05 +89 *3796:57 *3866:35 0.000367553 +90 *3796:57 *3905:14 0.000937204 +91 *3796:57 *3980:8 0.000398932 +92 *3796:57 *4368:8 0.000462174 +93 *3796:57 *5624:67 0.000140303 +94 *3796:57 *5627:74 0.000565488 +95 *3796:57 *5627:76 4.0143e-05 +96 *3796:59 *23020:A 6.50586e-05 +97 *3796:59 *5631:63 9.30593e-05 +98 *3796:59 *5631:79 4.45999e-05 +99 *3796:61 *5631:79 4.45999e-05 +100 *3796:75 *22992:A 0.000170579 +101 *3796:75 *22992:C 7.45372e-05 +102 *3796:75 *23007:A 0.000116227 +103 *3796:75 *4134:30 3.22726e-05 +104 *3796:75 *4149:11 0.00035001 +105 *3796:75 *4880:5 3.47971e-05 +106 *3796:82 *22992:C 0.000107496 +107 *3796:82 *4149:11 0.000107496 +108 *21053:C *22892:A 7.24449e-05 +109 *22077:A1 *22906:B1 1.25094e-05 +110 *22077:A1 *3796:57 0.000136014 +111 *22884:B *3796:48 0.000127684 +112 *22989:B *23001:B 3.77568e-05 +113 *3087:24 *3796:82 0.000216669 +114 *3088:10 *3796:82 0.000220281 +115 *3792:64 *3796:48 0.000193108 +116 *3792:66 *22906:B1 2.02035e-05 +117 *3792:66 *3796:48 0.000587702 +*RES +1 *22886:Y *3796:11 33.9883 +2 *3796:11 *23309:B1 9.82786 +3 *3796:11 *3796:21 12.4332 +4 *3796:21 *3796:24 11.7303 +5 *3796:24 *23310:A 9.97254 +6 *3796:24 *3796:34 8.85575 +7 *3796:34 *3796:44 42.983 +8 *3796:44 *3796:48 45.8955 +9 *3796:48 *3796:57 47.8666 +10 *3796:57 *3796:59 4.60562 +11 *3796:59 *3796:61 1.278 +12 *3796:61 *23006:D 11.6364 +13 *3796:61 *3796:75 16.4463 +14 *3796:75 *3796:82 14.6015 +15 *3796:82 *23011:D 9.97254 +16 *3796:82 *23001:B 29.9219 +17 *3796:75 *22992:D 9.24915 +18 *3796:59 *23020:D 9.24915 +19 *3796:48 *22906:B1 18.1455 +20 *3796:34 *23079:B1 14.4725 +21 *3796:21 *22892:A 32.9174 +*END + +*D_NET *3797 0.000315582 +*CONN +*I *22892:B I *D sky130_fd_sc_hd__or4_1 +*I *22887:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *22892:B 0.000104437 +2 *22887:Y 0.000104437 +3 *22892:B *21043:B 6.50727e-05 +4 *21053:C *22892:B 3.42853e-05 +5 *22892:A *22892:B 7.34948e-06 +*RES +1 *22887:Y *22892:B 21.4642 +*END + +*D_NET *3798 0.00160809 +*CONN +*I *22892:C I *D sky130_fd_sc_hd__or4_1 +*I *22888:Y O *D sky130_fd_sc_hd__nand4_1 +*CAP +1 *22892:C 0.000461387 +2 *22888:Y 0.000461387 +3 *22892:C *21043:B 0 +4 *22892:C *3802:6 0 +5 *22892:C *5934:7 0.000629922 +6 *22892:A *22892:C 5.53934e-05 +*RES +1 *22888:Y *22892:C 36.5696 +*END + +*D_NET *3799 0.0243574 +*CONN +*I *23076:A I *D sky130_fd_sc_hd__or3_2 +*I *23078:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *22904:A I *D sky130_fd_sc_hd__or2_1 +*I *22973:C I *D sky130_fd_sc_hd__or4_4 +*I *22984:A I *D sky130_fd_sc_hd__or4_4 +*I *22989:C I *D sky130_fd_sc_hd__or4_4 +*I *22982:A I *D sky130_fd_sc_hd__or4_4 +*I *22891:A_N I *D sky130_fd_sc_hd__nand4bb_1 +*I *22889:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23076:A 0 +2 *23078:A1 6.45503e-05 +3 *22904:A 7.69023e-05 +4 *22973:C 9.809e-05 +5 *22984:A 0 +6 *22989:C 0.000418522 +7 *22982:A 0.000114784 +8 *22891:A_N 7.3026e-05 +9 *22889:Y 0 +10 *3799:56 0.000627669 +11 *3799:44 0.000348591 +12 *3799:42 0.000715006 +13 *3799:40 0.00153975 +14 *3799:28 0.00270223 +15 *3799:26 0.0022649 +16 *3799:20 0.00101571 +17 *3799:4 0.000566222 +18 *22891:A_N *5624:16 0.000157487 +19 *22891:A_N *5631:6 0.000161085 +20 *22904:A *22876:D 0.000160617 +21 *22904:A *22904:B 0.000113968 +22 *22973:C *22935:B 8.51681e-05 +23 *22973:C *5632:64 6.08467e-05 +24 *22982:A *22982:B 0.000225615 +25 *22982:A *22982:C 6.08467e-05 +26 *22989:C *22989:D 2.41568e-05 +27 *22989:C *23011:A 2.6022e-05 +28 *22989:C *23012:A 6.23715e-06 +29 *22989:C *3800:104 7.09666e-06 +30 *22989:C *3800:116 3.29488e-05 +31 *22989:C *3883:11 0.00056292 +32 *22989:C *3894:15 2.38316e-05 +33 *22989:C *3905:14 3.29488e-05 +34 *22989:C *3917:19 6.03122e-05 +35 *22989:C *5628:29 6.50586e-05 +36 *22989:C *5629:153 0 +37 *22989:C *5632:64 1.15389e-05 +38 *23078:A1 *23312:A 5.17579e-05 +39 *23078:A1 *23312:B 0.000104935 +40 *23078:A1 *3866:93 8.41339e-05 +41 *3799:20 *22888:A 6.92705e-05 +42 *3799:20 *22888:B 4.29931e-05 +43 *3799:20 *22888:D 6.08467e-05 +44 *3799:20 *5629:23 0 +45 *3799:20 *5934:7 0.000483404 +46 *3799:26 *23078:B1 4.12533e-05 +47 *3799:26 *23310:C 0.000306497 +48 *3799:26 *3985:20 0.000439634 +49 *3799:26 *5600:33 1.41761e-05 +50 *3799:26 *5600:39 3.89332e-06 +51 *3799:26 *5629:23 0 +52 *3799:28 *23078:B1 2.22342e-05 +53 *3799:28 *23216:A 0.000198752 +54 *3799:28 *23336:A 0.000728601 +55 *3799:28 *3800:32 0 +56 *3799:28 *3987:11 3.01634e-05 +57 *3799:28 *4244:16 0.000183849 +58 *3799:28 *5600:39 0.000891988 +59 *3799:28 *5600:50 0.000712164 +60 *3799:28 *5600:55 0.000339785 +61 *3799:28 *5627:26 2.47663e-05 +62 *3799:28 *5627:28 0.000199013 +63 *3799:28 *5627:41 0.000155715 +64 *3799:28 *5632:28 0.000362361 +65 *3799:28 *5923:20 0.000106928 +66 *3799:40 *3800:62 0.000899799 +67 *3799:40 *5600:55 4.99564e-05 +68 *3799:40 *5627:41 0.000479077 +69 *3799:40 *5632:32 0.00012468 +70 *3799:40 *5632:48 1.36247e-05 +71 *3799:42 *22995:B 0 +72 *3799:42 *23092:A 0.000122068 +73 *3799:42 *23240:A1 0.000229284 +74 *3799:42 *4871:32 1.37925e-05 +75 *3799:42 *5600:55 0 +76 *3799:42 *5632:48 0.000113177 +77 *3799:42 *5632:50 0.000842346 +78 *3799:42 *5632:52 0.000337629 +79 *3799:44 *22982:B 2.95757e-05 +80 *3799:44 *5632:52 2.09495e-05 +81 *3799:56 *5628:29 0.000263947 +82 *3799:56 *5632:64 0.000726865 +83 *22892:A *3799:20 0.000393329 +84 *22892:A *3799:26 0.000338286 +85 *22989:B *22989:C 1.5714e-05 +86 *3086:20 *22904:A 2.81262e-05 +87 *3786:17 *22904:A 0.000347214 +88 *3791:15 *3799:42 0.000246312 +89 *3791:18 *22982:A 0.000203351 +90 *3791:18 *3799:42 6.43474e-05 +91 *3791:18 *3799:44 4.55115e-05 +92 *3796:11 *3799:20 5.04829e-06 +93 *3796:24 *3799:26 0.000223504 +94 *3796:34 *3799:26 0 +95 *3796:44 *23078:A1 1.61631e-05 +96 *3796:44 *3799:26 0 +*RES +1 *22889:Y *3799:4 9.24915 +2 *3799:4 *22891:A_N 21.3269 +3 *3799:4 *3799:20 21.105 +4 *3799:20 *3799:26 20.1764 +5 *3799:26 *3799:28 63.7046 +6 *3799:28 *3799:40 30.1302 +7 *3799:40 *3799:42 23.4373 +8 *3799:42 *3799:44 1.00149 +9 *3799:44 *22982:A 18.3808 +10 *3799:44 *3799:56 12.9878 +11 *3799:56 *22989:C 28.5594 +12 *3799:56 *22984:A 9.24915 +13 *3799:42 *22973:C 15.3044 +14 *3799:28 *22904:A 17.8002 +15 *3799:26 *23078:A1 17.2938 +16 *3799:20 *23076:A 13.7491 +*END + +*D_NET *3800 0.0411037 +*CONN +*I *23093:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *23074:A I *D sky130_fd_sc_hd__or3_1 +*I *23394:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *23467:A I *D sky130_fd_sc_hd__or3_4 +*I *23700:A I *D sky130_fd_sc_hd__nor3_1 +*I *22902:A I *D sky130_fd_sc_hd__or3_1 +*I *23655:A I *D sky130_fd_sc_hd__or4_1 +*I *22947:C I *D sky130_fd_sc_hd__or4_4 +*I *22935:C I *D sky130_fd_sc_hd__or4_1 +*I *23020:A I *D sky130_fd_sc_hd__or4_1 +*I *22989:A I *D sky130_fd_sc_hd__or4_4 +*I *23011:A I *D sky130_fd_sc_hd__or4_1 +*I *23001:C I *D sky130_fd_sc_hd__or3_2 +*I *22984:C I *D sky130_fd_sc_hd__or4_4 +*I *23128:A I *D sky130_fd_sc_hd__or3_2 +*I *23082:A I *D sky130_fd_sc_hd__or2_2 +*I *23076:B I *D sky130_fd_sc_hd__or3_2 +*I *22891:B_N I *D sky130_fd_sc_hd__nand4bb_1 +*I *22890:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *23093:A1 6.66694e-05 +2 *23074:A 0 +3 *23394:B1 0.000257588 +4 *23467:A 7.23391e-05 +5 *23700:A 2.94291e-05 +6 *22902:A 0.000122065 +7 *23655:A 0.000316855 +8 *22947:C 0.000426496 +9 *22935:C 0 +10 *23020:A 0.000191466 +11 *22989:A 0 +12 *23011:A 0.000180645 +13 *23001:C 0.0001915 +14 *22984:C 7.99544e-06 +15 *23128:A 0.000186643 +16 *23082:A 2.04554e-05 +17 *23076:B 0 +18 *22891:B_N 0 +19 *22890:Y 3.51345e-05 +20 *3800:122 0.000430427 +21 *3800:116 0.000326348 +22 *3800:104 0.00100624 +23 *3800:89 0.00121623 +24 *3800:84 0.000221721 +25 *3800:74 0.000253816 +26 *3800:72 0.00144847 +27 *3800:65 0.0025092 +28 *3800:62 0.00195516 +29 *3800:57 0.00205111 +30 *3800:48 0.00144832 +31 *3800:42 0.000317198 +32 *3800:41 0.000929072 +33 *3800:34 0.000675866 +34 *3800:32 0.00134522 +35 *3800:23 0.00239544 +36 *3800:5 0.00124622 +37 *22902:A *23765:A2 2.99978e-05 +38 *22947:C *22935:A 1.59634e-05 +39 *22947:C *22947:B 7.04808e-05 +40 *22947:C *3857:5 4.03381e-05 +41 *22947:C *5631:118 3.99086e-06 +42 *22984:C *22989:D 3.29586e-05 +43 *22984:C *5628:29 3.29586e-05 +44 *23001:C *23001:A 0.000106364 +45 *23011:A *22989:D 5.61389e-05 +46 *23011:A *23012:A 0.000253916 +47 *23011:A *3894:15 0.000100537 +48 *23020:A *23001:A 0.000199406 +49 *23020:A *3917:19 0.00010801 +50 *23020:A *4240:8 8.23418e-05 +51 *23020:A *5631:63 6.50586e-05 +52 *23082:A *23101:B 3.31733e-05 +53 *23082:A *3990:54 2.09495e-05 +54 *23082:A *4014:10 5.41227e-05 +55 *23093:A1 *23216:A 0.000311249 +56 *23093:A1 *4126:299 0.000124658 +57 *23128:A *23128:B 0.000145473 +58 *23128:A *23128:C 7.78924e-05 +59 *23128:A *3820:18 0.000116439 +60 *23128:A *3864:20 2.10816e-05 +61 *23128:A *3927:12 0.0003216 +62 *23394:B1 *23308:A 0.000191541 +63 *23394:B1 *23394:A3 3.26195e-05 +64 *23394:B1 *4216:8 0.000134323 +65 *23394:B1 *4223:8 8.21849e-06 +66 *23394:B1 *4304:6 7.87416e-05 +67 *23394:B1 *4370:10 4.78949e-05 +68 *23467:A *4217:13 8.85947e-05 +69 *23467:A *4223:20 0.000160047 +70 *23655:A *22913:A 2.23105e-05 +71 *23655:A *23202:A 2.41274e-06 +72 *23655:A *23588:A 7.72722e-05 +73 *23655:A *3868:19 8.90311e-06 +74 *23655:A *4111:8 7.50872e-05 +75 *23655:A *4116:32 0.000188007 +76 *23655:A *4127:18 0.000311608 +77 *23655:A *5629:85 0.00010503 +78 *23700:A *4605:10 0.000109427 +79 *3800:5 *22891:D 1.41976e-05 +80 *3800:5 *5600:17 4.73169e-05 +81 *3800:5 *5624:13 1.98996e-05 +82 *3800:23 *21045:B 7.51113e-05 +83 *3800:23 *22888:A 4.6905e-05 +84 *3800:23 *22888:C 1.61918e-05 +85 *3800:23 *22891:D 6.08467e-05 +86 *3800:23 *23076:C 1.31764e-05 +87 *3800:23 *23309:A2 1.55779e-05 +88 *3800:23 *3985:5 2.18523e-06 +89 *3800:23 *5600:17 1.5962e-05 +90 *3800:23 *5604:13 1.91246e-05 +91 *3800:23 *5624:13 7.92757e-06 +92 *3800:23 *5629:23 0.000203014 +93 *3800:23 *5631:6 2.33103e-06 +94 *3800:23 *5632:8 0 +95 *3800:23 *5632:16 0 +96 *3800:23 *5935:20 0 +97 *3800:32 *22893:D 4.31118e-05 +98 *3800:32 *23076:C 2.41916e-05 +99 *3800:32 *23078:B1 1.32509e-05 +100 *3800:32 *23079:B2 5.41377e-05 +101 *3800:32 *3802:6 2.352e-05 +102 *3800:32 *3983:8 3.49417e-05 +103 *3800:32 *3983:18 0.000207885 +104 *3800:32 *3984:6 0 +105 *3800:32 *3985:28 0.000168546 +106 *3800:32 *3987:11 3.92275e-05 +107 *3800:32 *5632:16 5.47537e-05 +108 *3800:32 *5632:24 0.000575257 +109 *3800:32 *5632:28 0.000178532 +110 *3800:34 *3983:8 5.04734e-05 +111 *3800:34 *5632:28 2.15801e-05 +112 *3800:41 *23216:B 0.000105652 +113 *3800:41 *23464:A 4.00689e-05 +114 *3800:41 *3982:17 6.50586e-05 +115 *3800:41 *4370:11 0.000164843 +116 *3800:41 *4371:9 8.90311e-06 +117 *3800:41 *5632:28 2.36813e-05 +118 *3800:42 *23700:C 3.87022e-06 +119 *3800:42 *4223:8 3.06647e-05 +120 *3800:42 *4304:6 5.74021e-05 +121 *3800:48 *23700:B 9.29777e-05 +122 *3800:48 *23700:C 4.14715e-05 +123 *3800:48 *4304:6 5.55772e-05 +124 *3800:57 *22916:A 0.000122083 +125 *3800:57 *23396:B 8.50796e-05 +126 *3800:57 *23428:B 0.000116764 +127 *3800:57 *23461:A1 0.000195139 +128 *3800:57 *23461:A2 3.49272e-05 +129 *3800:57 *23461:B2 1.36556e-05 +130 *3800:57 *23765:A2 7.97203e-05 +131 *3800:57 *3866:30 0.0008844 +132 *3800:57 *4239:25 0.000198777 +133 *3800:57 *4304:6 0.000325402 +134 *3800:57 *4368:8 5.76081e-05 +135 *3800:57 *5624:18 0 +136 *3800:57 *5624:36 0.000241588 +137 *3800:57 *5624:41 0.000151726 +138 *3800:57 *5624:67 6.29837e-05 +139 *3800:57 *5631:20 0 +140 *3800:57 *5631:22 1.32509e-05 +141 *3800:57 *5833:9 0.000101148 +142 *3800:62 *22964:A 0.00033614 +143 *3800:62 *23017:A 1.90335e-05 +144 *3800:62 *3816:8 5.12351e-05 +145 *3800:62 *5629:41 0.000186445 +146 *3800:62 *5632:32 0.000137388 +147 *3800:62 *5632:48 0.000123188 +148 *3800:65 *5456:133 0.000190043 +149 *3800:72 *23625:B1 0.000253916 +150 *3800:72 *3838:14 5.02833e-05 +151 *3800:72 *3990:54 0.000264583 +152 *3800:72 *4014:10 0.000259569 +153 *3800:72 *4116:32 8.01741e-05 +154 *3800:72 *4127:18 0.000724868 +155 *3800:72 *5624:116 8.47521e-05 +156 *3800:74 *3990:54 0.000436757 +157 *3800:74 *4014:10 0.00042813 +158 *3800:84 *22935:A 0.000107496 +159 *3800:84 *22936:A 0.000154145 +160 *3800:84 *23082:B 0.000107496 +161 *3800:89 *22935:B 6.08467e-05 +162 *3800:89 *22935:D 2.16355e-05 +163 *3800:89 *3820:18 7.65861e-05 +164 *3800:89 *3927:12 7.15574e-05 +165 *3800:104 *22935:D 0.000339489 +166 *3800:104 *3905:14 1.21652e-05 +167 *3800:104 *4368:8 5.12109e-05 +168 *3800:104 *5628:29 0.000919934 +169 *3800:116 *22989:D 0.000193135 +170 *3800:116 *3894:15 0.000205101 +171 *3800:116 *3905:14 2.57465e-06 +172 *3800:116 *4368:8 3.58525e-05 +173 *3800:122 *22989:D 8.41775e-05 +174 *3800:122 *3894:15 0.000129112 +175 *22892:A *3800:32 0.000111737 +176 *22902:C *22902:A 3.75382e-05 +177 *22931:D *3800:57 0.000195914 +178 *22989:B *23001:C 0.000187415 +179 *22989:C *23011:A 2.6022e-05 +180 *22989:C *3800:104 7.09666e-06 +181 *22989:C *3800:116 3.29488e-05 +182 *23001:B *23001:C 1.74504e-05 +183 *23001:B *23011:A 0.000213878 +184 *23074:B *3800:32 0.000163465 +185 *23074:B *3800:34 0.000125695 +186 *23307:A1 *23394:B1 3.67528e-06 +187 *23625:A4 *3800:72 7.3964e-05 +188 *2328:13 *23700:A 4.24629e-05 +189 *3082:39 *23128:A 0.000205687 +190 *3779:106 *3800:57 1.72799e-05 +191 *3786:46 *3800:72 0.000304791 +192 *3789:67 *3800:72 3.07561e-05 +193 *3792:66 *3800:62 6.48092e-05 +194 *3792:102 *23001:C 2.75449e-05 +195 *3796:24 *3800:32 0.000110291 +196 *3796:34 *3800:32 0.000170592 +197 *3796:44 *3800:32 0.000160384 +198 *3796:44 *3800:34 1.75625e-05 +199 *3796:44 *3800:41 1.36691e-05 +200 *3796:48 *3800:62 0.000205133 +201 *3796:59 *23020:A 6.50586e-05 +202 *3799:28 *3800:32 0 +203 *3799:40 *3800:62 0.000899799 +*RES +1 *22890:Y *3800:5 10.5271 +2 *3800:5 *22891:B_N 9.24915 +3 *3800:5 *3800:23 31.7277 +4 *3800:23 *23076:B 9.24915 +5 *3800:23 *3800:32 44.2915 +6 *3800:32 *3800:34 3.493 +7 *3800:34 *3800:41 18.4893 +8 *3800:41 *3800:42 2.6625 +9 *3800:42 *3800:48 4.81204 +10 *3800:48 *3800:57 47.2828 +11 *3800:57 *3800:62 36.1624 +12 *3800:62 *3800:65 22.4161 +13 *3800:65 *3800:72 34.5765 +14 *3800:72 *3800:74 7.64553 +15 *3800:74 *23082:A 14.7506 +16 *3800:74 *3800:84 7.99641 +17 *3800:84 *3800:89 7.74935 +18 *3800:89 *23128:A 22.1209 +19 *3800:89 *3800:104 24.59 +20 *3800:104 *22984:C 14.141 +21 *3800:104 *3800:116 7.8887 +22 *3800:116 *3800:122 2.58011 +23 *3800:122 *23001:C 23.7382 +24 *3800:122 *23011:A 16.0973 +25 *3800:122 *22989:A 9.24915 +26 *3800:116 *23020:A 24.9571 +27 *3800:84 *22935:C 9.24915 +28 *3800:72 *22947:C 19.5785 +29 *3800:65 *23655:A 32.6635 +30 *3800:57 *22902:A 12.2151 +31 *3800:48 *23700:A 15.0271 +32 *3800:42 *23467:A 16.7151 +33 *3800:41 *23394:B1 22.2985 +34 *3800:34 *23074:A 13.7491 +35 *3800:32 *23093:A1 17.2456 +*END + +*D_NET *3801 0.00150959 +*CONN +*I *22892:D I *D sky130_fd_sc_hd__or4_1 +*I *22891:Y O *D sky130_fd_sc_hd__nand4bb_1 +*CAP +1 *22892:D 0.000431974 +2 *22891:Y 0.000431974 +3 *22892:D *21044:A 6.08467e-05 +4 *22892:D *21044:D 1.7747e-05 +5 *22892:D *21045:B 8.14234e-05 +6 *22892:D *5604:13 1.65872e-05 +7 *22892:D *5624:13 0.000163418 +8 *21045:C *22892:D 6.50586e-05 +9 *21053:C *22892:D 0.000175485 +10 *22892:A *22892:D 6.50727e-05 +*RES +1 *22891:Y *22892:D 29.7592 +*END + +*D_NET *3802 0.00147401 +*CONN +*I *23083:C I *D sky130_fd_sc_hd__or3_1 +*I *22893:D I *D sky130_fd_sc_hd__or4_1 +*I *22892:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23083:C 0 +2 *22893:D 0.000103981 +3 *22892:X 0.000354091 +4 *3802:6 0.000458071 +5 *22893:D *23083:B 2.4562e-05 +6 *22893:D *3984:6 0.000139435 +7 *22893:D *4882:113 0.000111722 +8 *3802:6 *21043:B 1.07248e-05 +9 *3802:6 *22885:B 0 +10 *3802:6 *23083:B 8.88627e-05 +11 *22892:A *3802:6 0 +12 *22892:C *3802:6 0 +13 *22893:A *22893:D 0.000115934 +14 *3795:9 *3802:6 0 +15 *3800:32 *22893:D 4.31118e-05 +16 *3800:32 *3802:6 2.352e-05 +*RES +1 *22892:X *3802:6 23.0557 +2 *3802:6 *22893:D 18.1049 +3 *3802:6 *23083:C 13.7491 +*END + +*D_NET *3803 0.0018766 +*CONN +*I *22895:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22900:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *22894:A I *D sky130_fd_sc_hd__inv_2 +*I *22893:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22895:B2 0 +2 *22900:B2 0.000122783 +3 *22894:A 0 +4 *22893:X 0.000292698 +5 *3803:19 0.000209188 +6 *3803:10 0.000379103 +7 *22900:B2 *22895:B1 1.07248e-05 +8 *22900:B2 *22900:C1 5.51483e-06 +9 *22900:B2 *23221:B1 9.14346e-05 +10 *22900:B2 *3805:8 0.000143032 +11 *22900:B2 *4131:8 2.15801e-05 +12 *3803:10 *23219:A 5.64929e-05 +13 *3803:10 *3866:16 7.09395e-05 +14 *3803:10 *4882:113 1.92793e-05 +15 *3803:19 *23220:A 0.000321606 +16 *3803:19 *4129:7 0.000132219 +17 *22893:B *3803:10 0 +*RES +1 *22893:X *3803:10 25.0964 +2 *3803:10 *22894:A 9.24915 +3 *3803:10 *3803:19 4.65385 +4 *3803:19 *22900:B2 22.5432 +5 *3803:19 *22895:B2 9.24915 +*END + +*D_NET *3804 0.00130983 +*CONN +*I *22900:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *22895:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22894:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22900:A2 9.36163e-05 +2 *22895:A2 0 +3 *22894:Y 0.000119599 +4 *3804:5 0.000213216 +5 *22900:A2 *22900:B1 5.94977e-06 +6 *22900:A2 *23221:B1 0.000169078 +7 *22900:A2 *3810:8 5.94977e-06 +8 *22900:A2 *3864:12 0.000165495 +9 *22900:A2 *4129:7 6.08467e-05 +10 *3804:5 *3805:8 0.000111708 +11 *3804:5 *4129:7 0.00036437 +*RES +1 *22894:Y *3804:5 13.3002 +2 *3804:5 *22895:A2 9.24915 +3 *3804:5 *22900:A2 22.2492 +*END + +*D_NET *3805 0.00433622 +*CONN +*I *23014:A I *D sky130_fd_sc_hd__inv_2 +*I *22901:A I *D sky130_fd_sc_hd__or2_1 +*I *22895:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *23014:A 0 +2 *22901:A 0.000159575 +3 *22895:X 0.000701233 +4 *3805:8 0.000860808 +5 *22901:A *22901:B 6.50586e-05 +6 *22901:A *23015:A 3.31882e-05 +7 *22901:A *23125:C 0.000213725 +8 *22901:A *23317:C 0.000229879 +9 *22901:A *3810:8 0 +10 *22901:A *3924:6 0.00011497 +11 *22901:A *4371:9 1.65872e-05 +12 *3805:8 *23221:B1 5.2504e-06 +13 *3805:8 *23317:C 2.24484e-05 +14 *3805:8 *3807:10 0.00015887 +15 *3805:8 *3810:8 0 +16 *3805:8 *3989:8 4.55535e-05 +17 *3805:8 *4131:8 0.00145433 +18 *22900:B2 *3805:8 0.000143032 +19 *3804:5 *3805:8 0.000111708 +*RES +1 *22895:X *3805:8 40.5285 +2 *3805:8 *22901:A 20.5992 +3 *3805:8 *23014:A 13.7491 +*END + +*D_NET *3806 0.00660145 +*CONN +*I *23206:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22897:A I *D sky130_fd_sc_hd__inv_2 +*I *23335:B I *D sky130_fd_sc_hd__or3_2 +*I *23125:B I *D sky130_fd_sc_hd__or3_4 +*I *22896:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *23206:A0 2.7855e-05 +2 *22897:A 3.10032e-05 +3 *23335:B 0 +4 *23125:B 5.7084e-05 +5 *22896:Y 0.000345617 +6 *3806:16 0.000407935 +7 *3806:10 0.000762452 +8 *3806:8 0.000754069 +9 *22897:A *3807:5 0.000113968 +10 *23125:B *23125:A 2.65831e-05 +11 *23125:B *23224:C 9.60366e-05 +12 *23125:B *3809:50 9.60366e-05 +13 *23125:B *4371:9 6.08467e-05 +14 *23206:A0 *4882:113 6.08467e-05 +15 *3806:8 *22885:D 1.82832e-05 +16 *3806:8 *22896:A2 5.04829e-06 +17 *3806:8 *3809:10 0.000598707 +18 *3806:8 *3993:10 4.03125e-05 +19 *3806:8 *5934:9 6.08467e-05 +20 *3806:10 *3809:10 0.000515473 +21 *3806:10 *3993:10 3.66465e-05 +22 *3806:10 *4115:8 0.0010565 +23 *3806:10 *4130:8 0.000280892 +24 *3806:16 *23087:A 0.000360702 +25 *3806:16 *23224:C 8.52652e-05 +26 *3806:16 *23237:A 0.000174205 +27 *3806:16 *3809:47 7.11969e-05 +28 *3806:16 *3809:50 4.84944e-05 +29 *3806:16 *4115:8 0.000219686 +30 *3806:16 *4130:8 0.000150643 +31 *1809:184 *22897:A 3.82228e-05 +*RES +1 *22896:Y *3806:8 25.0248 +2 *3806:8 *3806:10 20.1031 +3 *3806:10 *3806:16 15.6086 +4 *3806:16 *23125:B 16.3045 +5 *3806:16 *23335:B 13.7491 +6 *3806:10 *22897:A 15.0271 +7 *3806:8 *23206:A0 14.4725 +*END + +*D_NET *3807 0.0026956 +*CONN +*I *23086:A I *D sky130_fd_sc_hd__or2_1 +*I *23221:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *22900:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22897:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23086:A 0 +2 *23221:B1 0.000216023 +3 *22900:A1 0 +4 *22897:Y 9.67259e-05 +5 *3807:10 0.000605478 +6 *3807:5 0.000486182 +7 *23221:B1 *22895:B1 5.66868e-06 +8 *23221:B1 *3864:11 3.91832e-05 +9 *23221:B1 *3864:12 1.2693e-05 +10 *23221:B1 *3993:10 0 +11 *23221:B1 *4129:7 5.07314e-05 +12 *3807:10 *3810:8 0.000492446 +13 *3807:10 *3864:12 1.2693e-05 +14 *22895:A1 *23221:B1 3.31733e-05 +15 *22897:A *3807:5 0.000113968 +16 *22900:A2 *23221:B1 0.000169078 +17 *22900:B2 *23221:B1 9.14346e-05 +18 *1809:184 *3807:5 1.75004e-05 +19 *1809:184 *3807:10 8.8496e-05 +20 *3805:8 *23221:B1 5.2504e-06 +21 *3805:8 *3807:10 0.00015887 +*RES +1 *22897:Y *3807:5 11.6364 +2 *3807:5 *3807:10 17.9969 +3 *3807:10 *22900:A1 13.7491 +4 *3807:10 *23221:B1 20.8751 +5 *3807:5 *23086:A 9.24915 +*END + +*D_NET *3808 0.00092993 +*CONN +*I *22899:A3 I *D sky130_fd_sc_hd__a32o_2 +*I *22898:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *22899:A3 0.000151996 +2 *22898:Y 0.000151996 +3 *22899:A3 *22898:A 0.000111722 +4 *22899:A3 *22898:B 0.000107496 +5 *21052:C *22899:A3 6.22868e-05 +6 *21052:D_N *22899:A3 2.93844e-05 +7 *22899:B1 *22899:A3 0.000315049 +*RES +1 *22898:Y *22899:A3 24.7918 +*END + +*D_NET *3809 0.00955267 +*CONN +*I *23207:A I *D sky130_fd_sc_hd__or4bb_4 +*I *23335:A I *D sky130_fd_sc_hd__or3_2 +*I *23125:A I *D sky130_fd_sc_hd__or3_4 +*I *23224:A I *D sky130_fd_sc_hd__or3_1 +*I *23317:A I *D sky130_fd_sc_hd__or3_2 +*I *23085:B I *D sky130_fd_sc_hd__or2_1 +*I *22900:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *22899:X O *D sky130_fd_sc_hd__a32o_2 +*CAP +1 *23207:A 0 +2 *23335:A 0 +3 *23125:A 5.11556e-05 +4 *23224:A 5.05834e-05 +5 *23317:A 1.89742e-05 +6 *23085:B 0.000124064 +7 *22900:C1 0.000524999 +8 *22899:X 0.000620381 +9 *3809:54 0.000189553 +10 *3809:50 0.000287999 +11 *3809:47 0.000428705 +12 *3809:35 0.000687735 +13 *3809:32 0.000810881 +14 *3809:10 0.00170445 +15 *22900:C1 *22900:B1 0.000585429 +16 *23085:B *23085:A 6.99486e-05 +17 *23085:B *23207:B 1.98996e-05 +18 *23125:A *4371:9 1.00846e-05 +19 *23224:A *23317:B 0.00016195 +20 *23224:A *23410:A1 1.73356e-05 +21 *23224:A *4226:13 3.58759e-05 +22 *23317:A *23317:B 4.61271e-05 +23 *23317:A *23494:C 4.89251e-05 +24 *3809:10 *22885:D 0 +25 *3809:10 *22949:B 7.58217e-06 +26 *3809:10 *23206:S 9.91932e-05 +27 *3809:10 *4130:8 7.14746e-05 +28 *3809:10 *5610:6 0 +29 *3809:10 *5613:10 4.83622e-05 +30 *3809:10 *5613:12 9.73293e-05 +31 *3809:10 *5613:14 3.4693e-05 +32 *3809:10 *5613:16 7.19094e-05 +33 *3809:10 *5617:11 8.62625e-06 +34 *3809:32 *22900:B1 9.55447e-05 +35 *3809:32 *22949:B 8.62625e-06 +36 *3809:32 *22950:A 0 +37 *3809:32 *4130:8 0.000544516 +38 *3809:35 *23085:A 4.31703e-05 +39 *3809:35 *23207:B 4.58529e-05 +40 *3809:47 *23224:C 4.88955e-05 +41 *3809:47 *23237:A 1.32509e-05 +42 *3809:47 *23237:B 0.000101133 +43 *3809:47 *3864:12 0.000173465 +44 *3809:47 *4132:5 6.50727e-05 +45 *3809:50 *23224:C 2.04806e-05 +46 *3809:50 *3864:12 0 +47 *3809:54 *23224:C 7.05737e-05 +48 *3809:54 *3811:8 0 +49 *3809:54 *3864:12 0 +50 *21053:A *3809:10 5.04829e-06 +51 *22900:B2 *22900:C1 5.51483e-06 +52 *22949:C *3809:32 2.08059e-05 +53 *23125:B *23125:A 2.65831e-05 +54 *23125:B *3809:50 9.60366e-05 +55 *3784:10 *3809:32 0 +56 *3806:8 *3809:10 0.000598707 +57 *3806:10 *3809:10 0.000515473 +58 *3806:16 *3809:47 7.11969e-05 +59 *3806:16 *3809:50 4.84944e-05 +*RES +1 *22899:X *3809:10 41.7065 +2 *3809:10 *22900:C1 18.9185 +3 *3809:10 *3809:32 25.4713 +4 *3809:32 *3809:35 5.59426 +5 *3809:35 *23085:B 12.191 +6 *3809:35 *3809:47 19.9998 +7 *3809:47 *3809:50 7.993 +8 *3809:50 *3809:54 7.57775 +9 *3809:54 *23317:A 10.5271 +10 *3809:54 *23224:A 11.6605 +11 *3809:50 *23125:A 15.0271 +12 *3809:47 *23335:A 9.24915 +13 *3809:32 *23207:A 9.24915 +*END + +*D_NET *3810 0.00449348 +*CONN +*I *22901:B I *D sky130_fd_sc_hd__or2_1 +*I *23015:B I *D sky130_fd_sc_hd__or2_4 +*I *22900:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *22901:B 2.6055e-05 +2 *23015:B 0.000126836 +3 *22900:X 0.000701459 +4 *3810:8 0.00085435 +5 *23015:B *23015:A 9.28103e-05 +6 *23015:B *23317:C 8.8047e-05 +7 *23015:B *23494:C 0.000302956 +8 *23015:B *3864:12 0.000116791 +9 *23015:B *4374:12 1.00901e-05 +10 *3810:8 *22900:B1 1.17582e-05 +11 *3810:8 *23015:A 9.98029e-06 +12 *3810:8 *3864:12 0.00151211 +13 *3810:8 *3924:6 3.12316e-05 +14 *3810:8 *3989:8 4.55535e-05 +15 *22900:A2 *3810:8 5.94977e-06 +16 *22901:A *22901:B 6.50586e-05 +17 *22901:A *3810:8 0 +18 *3805:8 *3810:8 0 +19 *3807:10 *3810:8 0.000492446 +*RES +1 *22900:X *3810:8 41.359 +2 *3810:8 *23015:B 19.4928 +3 *3810:8 *22901:B 14.4725 +*END + +*D_NET *3811 0.0101142 +*CONN +*I *22941:C I *D sky130_fd_sc_hd__or3_1 +*I *23068:D I *D sky130_fd_sc_hd__or4_1 +*I *22913:A I *D sky130_fd_sc_hd__or2_1 +*I *23201:A I *D sky130_fd_sc_hd__or2_1 +*I *22901:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22941:C 8.24606e-05 +2 *23068:D 0 +3 *22913:A 0.000405116 +4 *23201:A 7.82005e-05 +5 *22901:X 4.84285e-05 +6 *3811:38 8.24606e-05 +7 *3811:10 0.00059133 +8 *3811:8 0.00158401 +9 *3811:7 0.00152442 +10 *22913:A *22912:A 4.64267e-05 +11 *22913:A *22913:B 7.40421e-05 +12 *22913:A *23069:A 1.94997e-06 +13 *22913:A *23655:C 0.000129205 +14 *22913:A *4560:23 2.16355e-05 +15 *22941:C *22941:A 8.54233e-05 +16 *22941:C *22941:B 7.92757e-06 +17 *22941:C *22942:A 0 +18 *22941:C *23068:A 6.3657e-05 +19 *22941:C *3874:296 0.000311186 +20 *23201:A *23202:A 6.50586e-05 +21 *23201:A *3817:12 4.25398e-05 +22 *23201:A *3842:24 6.61114e-05 +23 *23201:A *3864:12 0.000141924 +24 *23201:A *4550:10 6.08467e-05 +25 *3811:7 *23125:C 1.43983e-05 +26 *3811:8 *23094:A 5.99155e-05 +27 *3811:8 *23197:A 3.6482e-05 +28 *3811:8 *3817:8 9.24241e-05 +29 *3811:8 *3864:12 0.00304082 +30 *3811:8 *4225:11 0.000328752 +31 *3811:8 *4225:20 0 +32 *3811:8 *4227:10 0 +33 *3811:8 *4374:12 0.000188503 +34 *3811:8 *5629:73 0.000277054 +35 *3811:10 *3817:8 4.84944e-05 +36 *3811:10 *3817:10 0.000160467 +37 *3811:10 *3817:12 4.42142e-05 +38 *3811:10 *3864:12 0.000254758 +39 *23655:A *22913:A 2.23105e-05 +40 *3786:17 *3811:8 3.1218e-05 +41 *3809:54 *3811:8 0 +*RES +1 *22901:X *3811:7 14.4725 +2 *3811:7 *3811:8 54.9843 +3 *3811:8 *3811:10 4.73876 +4 *3811:10 *23201:A 17.135 +5 *3811:10 *22913:A 23.3221 +6 *3811:8 *3811:38 4.5 +7 *3811:38 *23068:D 9.24915 +8 *3811:38 *22941:C 13.3243 +*END + +*D_NET *3812 0.00113528 +*CONN +*I *22904:B I *D sky130_fd_sc_hd__or2_1 +*I *22903:A I *D sky130_fd_sc_hd__inv_2 +*I *22902:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22904:B 6.01444e-05 +2 *22903:A 0 +3 *22902:X 0.000178271 +4 *3812:10 0.000238415 +5 *3812:10 *3925:12 0.000116971 +6 *22902:C *3812:10 1.82679e-05 +7 *22904:A *22904:B 0.000113968 +8 *3086:20 *22904:B 0.000260388 +9 *3786:17 *22904:B 9.98307e-05 +10 *3792:66 *3812:10 4.90264e-05 +*RES +1 *22902:X *3812:10 21.7744 +2 *3812:10 *22903:A 9.24915 +3 *3812:10 *22904:B 12.7697 +*END + +*D_NET *3813 0.00251948 +*CONN +*I *22911:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *22906:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *22940:A I *D sky130_fd_sc_hd__or3b_1 +*I *22905:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *22903:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22911:A1 0 +2 *22906:A2 0.000310085 +3 *22940:A 0.000138309 +4 *22905:A2 1.30197e-05 +5 *22903:Y 0.000189906 +6 *3813:14 0.000188047 +7 *3813:10 0.000418517 +8 *3813:8 0.000261619 +9 *22905:A2 *22905:B1 6.50586e-05 +10 *22905:A2 *3815:8 7.34948e-06 +11 *22906:A2 *22905:A1 1.37927e-05 +12 *22906:A2 *22905:B1 0.000207266 +13 *22906:A2 *22906:A1 7.61196e-06 +14 *22906:A2 *5631:27 1.41291e-05 +15 *22906:A2 *5631:37 9.93106e-06 +16 *22940:A *22905:B1 6.49003e-05 +17 *22940:A *22906:A1 0 +18 *22940:A *22940:B 6.99486e-05 +19 *22940:A *22940:C_N 0.000111708 +20 *22940:A *5631:44 0 +21 *3813:8 *22940:B 5.22654e-06 +22 *3813:8 *3819:8 5.79399e-05 +23 *3813:8 *3827:8 6.14128e-05 +24 *3813:8 *5629:60 0.000130939 +25 *3813:10 *22940:B 5.04734e-05 +26 *3813:10 *3827:8 4.37999e-05 +27 *3813:14 *22940:B 1.87469e-05 +28 *3813:14 *3827:8 2.22198e-05 +29 *22906:B1 *22906:A2 3.75221e-05 +*RES +1 *22903:Y *3813:8 18.2442 +2 *3813:8 *3813:10 2.24725 +3 *3813:10 *3813:14 5.50149 +4 *3813:14 *22905:A2 9.97254 +5 *3813:14 *22940:A 13.8789 +6 *3813:10 *22906:A2 18.9335 +7 *3813:8 *22911:A1 13.7491 +*END + +*D_NET *3814 0.00153555 +*CONN +*I *22905:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *22906:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *22904:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22905:B1 0.000134686 +2 *22906:B2 0 +3 *22904:X 0.000188243 +4 *3814:10 0.000322929 +5 *22905:B1 *22905:A1 2.65667e-05 +6 *22905:B1 *22906:A1 2.53145e-06 +7 *22905:B1 *3815:8 1.5613e-05 +8 *3814:10 *3925:12 0.000304983 +9 *3814:10 *5629:60 6.50586e-05 +10 *22905:A2 *22905:B1 6.50586e-05 +11 *22906:A2 *22905:B1 0.000207266 +12 *22906:B1 *3814:10 1.66626e-05 +13 *22940:A *22905:B1 6.49003e-05 +14 *3792:66 *3814:10 0.000121052 +*RES +1 *22904:X *3814:10 24.5418 +2 *3814:10 *22906:B2 9.24915 +3 *3814:10 *22905:B1 13.8789 +*END + +*D_NET *3815 0.00282597 +*CONN +*I *22907:A I *D sky130_fd_sc_hd__nand2_1 +*I *23017:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *23645:B I *D sky130_fd_sc_hd__nor2_4 +*I *22905:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *22907:A 0 +2 *23017:B_N 0.000132541 +3 *23645:B 0.000169898 +4 *22905:Y 0.000155916 +5 *3815:10 0.000362781 +6 *3815:8 0.000216258 +7 *23017:B_N *23017:A 1.03403e-05 +8 *23017:B_N *3877:12 0.000109503 +9 *23017:B_N *3927:10 6.08467e-05 +10 *23645:B *5631:44 0.000389746 +11 *3815:8 *22905:A1 0.000113968 +12 *3815:8 *3925:12 7.77309e-06 +13 *3815:8 *5631:44 0.000201319 +14 *3815:10 *5631:44 0.000163997 +15 *22905:A2 *3815:8 7.34948e-06 +16 *22905:B1 *3815:8 1.5613e-05 +17 *3792:66 *23645:B 0.000393329 +18 *3792:66 *3815:8 0.000154309 +19 *3792:66 *3815:10 0.000160482 +*RES +1 *22905:Y *3815:8 19.2141 +2 *3815:8 *3815:10 3.07775 +3 *3815:10 *23645:B 21.8422 +4 *3815:10 *23017:B_N 17.2456 +5 *3815:8 *22907:A 13.7491 +*END + +*D_NET *3816 0.00145853 +*CONN +*I *22907:B I *D sky130_fd_sc_hd__nand2_1 +*I *23017:A I *D sky130_fd_sc_hd__or2b_2 +*I *22906:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *22907:B 0 +2 *23017:A 0.000137161 +3 *22906:X 0.000218976 +4 *3816:8 0.000356137 +5 *23017:A *22964:A 0.000191541 +6 *3816:8 *5631:27 9.14669e-05 +7 *23017:B_N *23017:A 1.03403e-05 +8 *3792:66 *23017:A 0.000242164 +9 *3792:66 *3816:8 0.000140472 +10 *3800:62 *23017:A 1.90335e-05 +11 *3800:62 *3816:8 5.12351e-05 +*RES +1 *22906:X *3816:8 18.7989 +2 *3816:8 *23017:A 18.7961 +3 *3816:8 *22907:B 13.7491 +*END + +*D_NET *3817 0.00627493 +*CONN +*I *22941:A I *D sky130_fd_sc_hd__or3_1 +*I *23068:C I *D sky130_fd_sc_hd__or4_1 +*I *22912:A I *D sky130_fd_sc_hd__or2_2 +*I *23655:C I *D sky130_fd_sc_hd__or4_1 +*I *23588:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22907:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *22941:A 6.37505e-05 +2 *23068:C 0 +3 *22912:A 0.00012408 +4 *23655:C 0.000183386 +5 *23588:A 0.000289125 +6 *22907:Y 0.000717757 +7 *3817:49 6.37505e-05 +8 *3817:12 0.00055691 +9 *3817:10 0.000279647 +10 *3817:8 0.000788925 +11 *22912:A *3822:5 6.08467e-05 +12 *22912:A *3822:14 6.08467e-05 +13 *22941:A *22941:B 0.000263116 +14 *22941:A *23068:A 6.3657e-05 +15 *22941:A *3874:296 1.37189e-05 +16 *23588:A *22913:B 0.000389545 +17 *23588:A *3838:115 1.43499e-05 +18 *23588:A *3842:24 0.000211729 +19 *23588:A *3868:19 2.65667e-05 +20 *23588:A *4116:32 0.000100509 +21 *23588:A *4127:18 1.62832e-05 +22 *3817:8 *3872:7 0.00100535 +23 *3817:8 *5629:73 0.000106246 +24 *3817:8 *5629:85 3.31882e-05 +25 *3817:10 *23655:B 6.34651e-06 +26 *3817:10 *4116:32 0 +27 *3817:10 *5629:85 0.000103948 +28 *3817:12 *23655:D 4.88764e-06 +29 *3817:12 *5629:85 0 +30 *22913:A *22912:A 4.64267e-05 +31 *22913:A *23655:C 0.000129205 +32 *22941:C *22941:A 8.54233e-05 +33 *23201:A *3817:12 4.25398e-05 +34 *23655:A *23588:A 7.72722e-05 +35 *3811:8 *3817:8 9.24241e-05 +36 *3811:10 *3817:8 4.84944e-05 +37 *3811:10 *3817:10 0.000160467 +38 *3811:10 *3817:12 4.42142e-05 +*RES +1 *22907:Y *3817:8 27.6725 +2 *3817:8 *3817:10 3.07775 +3 *3817:10 *3817:12 1.62437 +4 *3817:12 *23588:A 23.8351 +5 *3817:12 *23655:C 17.125 +6 *3817:10 *22912:A 16.8839 +7 *3817:8 *3817:49 4.5 +8 *3817:49 *23068:C 9.24915 +9 *3817:49 *22941:A 12.7456 +*END + +*D_NET *3818 0.00126912 +*CONN +*I *22910:B1 I *D sky130_fd_sc_hd__a21o_2 +*I *22909:B I *D sky130_fd_sc_hd__nor2_1 +*I *22908:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *22910:B1 0 +2 *22909:B 0.000122064 +3 *22908:Y 5.29482e-05 +4 *3818:7 0.000175013 +5 *22909:B *22909:A 5.22654e-06 +6 *22909:B *3820:8 0 +7 *22909:B *3827:8 0.000184931 +8 *22909:B *3925:12 1.12605e-05 +9 *3818:7 *3820:8 3.99086e-06 +10 *22884:A *22909:B 1.65872e-05 +11 *22902:C *22909:B 0.000158885 +12 *22908:A *3818:7 0.000159322 +13 *3792:64 *22909:B 3.58208e-05 +14 *3792:64 *3818:7 6.92705e-05 +15 *3792:163 *3818:7 5.31074e-05 +16 *3794:11 *3818:7 6.50586e-05 +17 *3794:17 *22909:B 6.50727e-05 +18 *3794:17 *3818:7 6.81122e-05 +19 *3794:35 *22909:B 2.24484e-05 +*RES +1 *22908:Y *3818:7 12.7697 +2 *3818:7 *22909:B 23.4354 +3 *3818:7 *22910:B1 9.24915 +*END + +*D_NET *3819 0.00155012 +*CONN +*I *22911:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *22940:B I *D sky130_fd_sc_hd__or3b_1 +*I *22909:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *22911:A2 0 +2 *22940:B 0.00026184 +3 *22909:Y 0.000319296 +4 *3819:8 0.000581137 +5 *22940:B *3820:10 0 +6 *22940:B *3821:6 5.77333e-05 +7 *22940:B *3827:8 0 +8 *3819:8 *22909:A 0.000113374 +9 *3819:8 *23765:A2 1.01044e-05 +10 *3819:8 *3820:8 0 +11 *3819:8 *3820:10 0 +12 *3819:8 *3827:8 0 +13 *22902:C *3819:8 4.30017e-06 +14 *22940:A *22940:B 6.99486e-05 +15 *3813:8 *22940:B 5.22654e-06 +16 *3813:8 *3819:8 5.79399e-05 +17 *3813:10 *22940:B 5.04734e-05 +18 *3813:14 *22940:B 1.87469e-05 +*RES +1 *22909:Y *3819:8 21.0117 +2 *3819:8 *22940:B 19.9053 +3 *3819:8 *22911:A2 13.7491 +*END + +*D_NET *3820 0.0120033 +*CONN +*I *22911:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *22940:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *23068:B I *D sky130_fd_sc_hd__or4_1 +*I *23655:B I *D sky130_fd_sc_hd__or4_1 +*I *23642:B I *D sky130_fd_sc_hd__nor3_4 +*I *23128:B I *D sky130_fd_sc_hd__or3_2 +*I *22910:X O *D sky130_fd_sc_hd__a21o_2 +*CAP +1 *22911:B1 0 +2 *22940:C_N 4.51247e-05 +3 *23068:B 0 +4 *23655:B 8.12626e-05 +5 *23642:B 2.86212e-05 +6 *23128:B 0.000232018 +7 *22910:X 0.000583601 +8 *3820:36 0.000465539 +9 *3820:18 0.00123249 +10 *3820:14 0.00158387 +11 *3820:10 0.000345412 +12 *3820:8 0.000656151 +13 *23128:B *23128:C 0.000252337 +14 *23128:B *3864:20 0.00014186 +15 *23642:B *23101:A 6.08467e-05 +16 *23655:B *23069:A 1.9101e-05 +17 *23655:B *23655:D 1.42903e-05 +18 *23655:B *4116:20 1.00017e-05 +19 *23655:B *5629:85 5.74949e-05 +20 *3820:8 *22909:A 0 +21 *3820:8 *4014:8 0.000217099 +22 *3820:10 *3821:6 0.000139517 +23 *3820:10 *4014:8 7.58739e-05 +24 *3820:14 *3821:6 0.000424552 +25 *3820:14 *4014:8 5.53266e-05 +26 *3820:14 *4014:10 7.59581e-05 +27 *3820:18 *23016:A 7.13972e-05 +28 *3820:18 *3822:14 0.000786203 +29 *3820:18 *3822:16 1.62525e-05 +30 *3820:18 *3827:41 0.000127507 +31 *3820:18 *3864:20 0.00114571 +32 *3820:18 *3874:21 0.000878188 +33 *3820:18 *3874:296 0.000144531 +34 *3820:18 *3925:24 1.87125e-05 +35 *3820:18 *3927:12 0.000157548 +36 *3820:36 *22941:B 0.000251655 +37 *3820:36 *23016:A 0.000117308 +38 *22884:A *3820:8 0.000222348 +39 *22909:B *3820:8 0 +40 *22938:B *3820:18 0.000534838 +41 *22940:A *22940:C_N 0.000111708 +42 *22940:B *3820:10 0 +43 *23049:A1 *3820:18 0.000169093 +44 *23128:A *23128:B 0.000145473 +45 *23128:A *3820:18 0.000116439 +46 *3794:10 *3820:8 7.14746e-05 +47 *3794:11 *3820:8 1.00846e-05 +48 *3794:17 *3820:8 2.15348e-05 +49 *3800:89 *3820:18 7.65861e-05 +50 *3817:10 *23655:B 6.34651e-06 +51 *3818:7 *3820:8 3.99086e-06 +52 *3819:8 *3820:8 0 +53 *3819:8 *3820:10 0 +*RES +1 *22910:X *3820:8 27.1067 +2 *3820:8 *3820:10 3.07775 +3 *3820:10 *3820:14 12.5608 +4 *3820:14 *3820:18 45.6766 +5 *3820:18 *23128:B 19.3535 +6 *3820:18 *23642:B 14.4725 +7 *3820:14 *3820:36 6.26943 +8 *3820:36 *23655:B 20.3987 +9 *3820:36 *23068:B 9.24915 +10 *3820:10 *22940:C_N 15.0271 +11 *3820:8 *22911:B1 13.7491 +*END + +*D_NET *3821 0.00257185 +*CONN +*I *22912:B I *D sky130_fd_sc_hd__or2_2 +*I *23633:B I *D sky130_fd_sc_hd__nor2_4 +*I *22911:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *22912:B 5.14813e-05 +2 *23633:B 9.47206e-05 +3 *22911:Y 0.000388331 +4 *3821:6 0.000534533 +5 *22912:B *3822:14 0.000213739 +6 *22912:B *3868:19 0.000113968 +7 *23633:B *3868:19 6.74811e-05 +8 *23633:B *3925:24 0.000172706 +9 *23633:B *3925:30 1.07248e-05 +10 *23633:B *4550:10 6.50727e-05 +11 *3821:6 *3827:8 0 +12 *3821:6 *3868:19 1.09738e-05 +13 *3821:6 *3925:24 0.000226313 +14 *3821:6 *4014:10 0 +15 *22940:B *3821:6 5.77333e-05 +16 *3820:10 *3821:6 0.000139517 +17 *3820:14 *3821:6 0.000424552 +*RES +1 *22911:Y *3821:6 26.7929 +2 *3821:6 *23633:B 17.5503 +3 *3821:6 *22912:B 16.1364 +*END + +*D_NET *3822 0.0179588 +*CONN +*I *22913:B I *D sky130_fd_sc_hd__or2_1 +*I *23202:A I *D sky130_fd_sc_hd__or2_1 +*I *23562:C1 I *D sky130_fd_sc_hd__a311o_1 +*I *23127:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23176:A I *D sky130_fd_sc_hd__inv_2 +*I *22912:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *22913:B 0.000397713 +2 *23202:A 0.00021422 +3 *23562:C1 6.78205e-05 +4 *23127:A2 8.70246e-05 +5 *23176:A 0 +6 *22912:X 2.52683e-05 +7 *3822:52 0.000611933 +8 *3822:25 0.00060121 +9 *3822:16 0.00176858 +10 *3822:14 0.00176264 +11 *3822:5 0.000601338 +12 *22913:B *23069:A 2.10445e-05 +13 *22913:B *3864:12 1.5714e-05 +14 *22913:B *3877:12 1.04747e-05 +15 *22913:B *4116:32 2.02035e-05 +16 *22913:B *4560:23 1.82529e-05 +17 *22913:B *5629:85 9.37687e-05 +18 *23127:A2 *23181:C 4.07675e-05 +19 *23127:A2 *3991:22 0.000137921 +20 *23127:A2 *4568:14 0.000143032 +21 *23202:A *23201:B 6.08467e-05 +22 *23202:A *23202:B 6.50586e-05 +23 *23202:A *3864:12 0.000162873 +24 *23202:A *3868:19 5.8911e-05 +25 *23202:A *3877:12 0.000144546 +26 *23202:A *3877:17 0.000258128 +27 *23202:A *4550:10 3.07726e-05 +28 *23562:C1 *23126:B 2.26277e-05 +29 *23562:C1 *23562:B1 3.03417e-05 +30 *3822:14 *3868:19 3.07561e-05 +31 *3822:14 *3874:21 1.61631e-05 +32 *3822:14 *3925:24 0.00011497 +33 *3822:14 *3925:30 0.000651451 +34 *3822:16 *3827:41 0.000139235 +35 *3822:16 *3864:20 0.00325972 +36 *3822:16 *3925:30 0.00119202 +37 *3822:16 *3925:32 0.000161311 +38 *3822:16 *3925:40 0.00196536 +39 *3822:25 *22996:B 1.91246e-05 +40 *3822:25 *23181:C 3.82228e-05 +41 *3822:25 *3864:20 7.16002e-05 +42 *3822:25 *3877:58 1.5714e-05 +43 *3822:25 *3877:72 0.000432559 +44 *3822:25 *3925:40 6.12305e-05 +45 *3822:25 *4010:10 7.4921e-05 +46 *3822:25 *4010:12 0.000514244 +47 *3822:25 *4032:37 4.31539e-05 +48 *22077:A1 *22913:B 0 +49 *22912:A *3822:5 6.08467e-05 +50 *22912:A *3822:14 6.08467e-05 +51 *22912:B *3822:14 0.000213739 +52 *22913:A *22913:B 7.40421e-05 +53 *23201:A *23202:A 6.50586e-05 +54 *23588:A *22913:B 0.000389545 +55 *23655:A *23202:A 2.41274e-06 +56 *3784:22 *22913:B 2.33103e-06 +57 *3793:30 *23202:A 1.2693e-05 +58 *3820:18 *3822:14 0.000786203 +59 *3820:18 *3822:16 1.62525e-05 +*RES +1 *22912:X *3822:5 9.97254 +2 *3822:5 *3822:14 25.4689 +3 *3822:14 *3822:16 60.1749 +4 *3822:16 *3822:25 22.0806 +5 *3822:25 *23176:A 9.24915 +6 *3822:25 *23127:A2 21.635 +7 *3822:16 *23562:C1 15.63 +8 *3822:5 *3822:52 4.5 +9 *3822:52 *23202:A 22.0112 +10 *3822:52 *22913:B 23.6984 +*END + +*D_NET *3823 0.00321124 +*CONN +*I *22914:A I *D sky130_fd_sc_hd__buf_2 +*I *22913:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22914:A 0.000699474 +2 *22913:X 0.000699474 +3 *22914:A *23069:A 0.000158371 +4 *22914:A *23072:A 6.08467e-05 +5 *22914:A *23184:A 0.00026468 +6 *22914:A *23185:A 2.65831e-05 +7 *22914:A *23185:B 6.23875e-05 +8 *22914:A *23186:A 0.000207266 +9 *22914:A *23186:B 6.90581e-05 +10 *22914:A *23193:A1 0.000140256 +11 *22914:A *23593:A 9.85369e-05 +12 *22914:A *23656:A 1.65872e-05 +13 *22914:A *3976:17 5.51483e-06 +14 *22914:A *4092:41 6.50586e-05 +15 *22914:A *4092:54 6.08467e-05 +16 *22914:A *4228:16 4.3317e-05 +17 *22914:A *4500:7 0 +18 *22914:A *4560:23 2.7971e-05 +19 *22914:A *4561:14 0.000505014 +*RES +1 *22913:X *22914:A 49.5854 +*END + +*D_NET *3824 0.00923539 +*CONN +*I *23305:B I *D sky130_fd_sc_hd__nor2_2 +*I *23198:A I *D sky130_fd_sc_hd__or2_1 +*I *23062:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23066:A I *D sky130_fd_sc_hd__or2_1 +*I *23601:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *22915:B I *D sky130_fd_sc_hd__nor2_2 +*I *23205:A I *D sky130_fd_sc_hd__nor2_1 +*I *22920:A I *D sky130_fd_sc_hd__nor2_1 +*I *22914:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23305:B 0.000165824 +2 *23198:A 1.82629e-05 +3 *23062:A2 0.000467971 +4 *23066:A 0 +5 *23601:A1 0.000149643 +6 *22915:B 8.76138e-05 +7 *23205:A 0.000304064 +8 *22920:A 0.000215624 +9 *22914:X 7.98254e-05 +10 *3824:48 0.000237257 +11 *3824:26 0.00065494 +12 *3824:24 0.000374643 +13 *3824:19 0.00110654 +14 *3824:11 0.00055301 +15 *3824:7 0.000381223 +16 *22915:B *3825:7 0.000160617 +17 *22920:A *23298:D_N 3.75382e-05 +18 *22920:A *3825:12 8.18934e-05 +19 *22920:A *3830:5 6.11359e-06 +20 *22920:A *3830:8 9.99655e-05 +21 *22920:A *4363:14 0.000122823 +22 *23062:A2 *23062:A1 0.000710346 +23 *23062:A2 *3825:35 0.000161878 +24 *23062:A2 *4212:8 0.000385364 +25 *23198:A *3981:11 4.88955e-05 +26 *23198:A *4106:47 2.21251e-05 +27 *23205:A *23601:A2 7.73257e-05 +28 *23205:A *4001:250 5.68743e-05 +29 *23205:A *4114:7 1.31015e-05 +30 *23305:B *23193:A1 6.19478e-05 +31 *23305:B *23305:A 6.50727e-05 +32 *23305:B *3872:10 2.77564e-05 +33 *23305:B *3872:12 6.02412e-05 +34 *23305:B *3978:24 2.7257e-05 +35 *23305:B *4214:8 0.000107496 +36 *23305:B *4673:8 1.27831e-06 +37 *23601:A1 *23601:A2 5.04829e-06 +38 *23601:A1 *3825:7 0.000113968 +39 *3824:7 *3976:17 0.000107496 +40 *3824:11 *3872:12 0.000169078 +41 *3824:11 *3978:24 6.74667e-05 +42 *3824:11 *3981:11 0.000308989 +43 *3824:11 *4106:29 0.000253916 +44 *3824:11 *4106:47 4.66492e-05 +45 *3824:19 *23191:B 1.9101e-05 +46 *3824:19 *23193:A2 2.05181e-05 +47 *3824:19 *23194:A 1.5714e-05 +48 *3824:19 *4034:33 0 +49 *3824:24 *23303:A 0.000127164 +50 *3824:24 *3825:12 1.09738e-05 +51 *3824:24 *3825:35 0.000161471 +52 *3824:24 *4212:8 0.000240615 +53 *3824:24 *4363:14 0.000123969 +54 *3824:26 *3825:12 0.000156332 +55 *3824:26 *4363:14 0.000154579 +*RES +1 *22914:X *3824:7 15.0271 +2 *3824:7 *3824:11 11.0742 +3 *3824:11 *3824:19 12.431 +4 *3824:19 *3824:24 9.72179 +5 *3824:24 *3824:26 5.98452 +6 *3824:26 *22920:A 20.7599 +7 *3824:26 *23205:A 18.7888 +8 *3824:24 *3824:48 4.5 +9 *3824:48 *22915:B 11.6364 +10 *3824:48 *23601:A1 12.7456 +11 *3824:19 *23066:A 13.7491 +12 *3824:19 *23062:A2 29.4673 +13 *3824:11 *23198:A 9.97254 +14 *3824:7 *23305:B 18.5471 +*END + +*D_NET *3825 0.0092014 +*CONN +*I *23768:A I *D sky130_fd_sc_hd__or2_1 +*I *23456:A I *D sky130_fd_sc_hd__or2_2 +*I *23298:A I *D sky130_fd_sc_hd__or4b_1 +*I *23059:A I *D sky130_fd_sc_hd__or3_1 +*I *23414:A I *D sky130_fd_sc_hd__or2_1 +*I *22915:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *23768:A 0.000215909 +2 *23456:A 1.2055e-05 +3 *23298:A 2.86212e-05 +4 *23059:A 0 +5 *23414:A 0.000119476 +6 *22915:Y 0.000111948 +7 *3825:35 0.00102753 +8 *3825:14 0.000455105 +9 *3825:12 0.000668967 +10 *3825:7 0.00121623 +11 *23414:A *23598:A 0.000122936 +12 *23414:A *3830:10 3.98327e-05 +13 *23414:A *3830:17 7.37793e-05 +14 *23414:A *4212:40 0.000368199 +15 *23456:A *4874:29 6.50727e-05 +16 *23456:A *4882:168 6.08467e-05 +17 *23768:A *23706:A 0.00021206 +18 *23768:A *23768:B 6.08467e-05 +19 *23768:A *4213:40 0.000151574 +20 *23768:A *4363:13 8.62625e-06 +21 *23768:A *4363:48 0.000353078 +22 *23768:A *4665:82 6.08467e-05 +23 *3825:12 *3830:8 5.21063e-05 +24 *3825:12 *4212:38 0.000296619 +25 *3825:14 *3830:8 8.8567e-05 +26 *3825:14 *3830:10 0.000323939 +27 *3825:14 *4212:38 0.000236506 +28 *3825:14 *4212:40 0.000696085 +29 *3825:35 *23303:A 0.000127164 +30 *3825:35 *4212:8 0.000146718 +31 *3825:35 *4212:38 0.000121135 +32 *3825:35 *4213:6 1.14755e-05 +33 *3825:35 *4213:40 0.000212491 +34 *3825:35 *4363:13 0.000547086 +35 *22915:B *3825:7 0.000160617 +36 *22920:A *3825:12 8.18934e-05 +37 *23062:A2 *3825:35 0.000161878 +38 *23298:C *23298:A 6.08467e-05 +39 *23601:A1 *3825:7 0.000113968 +40 *3824:24 *3825:12 1.09738e-05 +41 *3824:24 *3825:35 0.000161471 +42 *3824:26 *3825:12 0.000156332 +*RES +1 *22915:Y *3825:7 16.691 +2 *3825:7 *3825:12 11.8713 +3 *3825:12 *3825:14 16.3658 +4 *3825:14 *23414:A 20.1489 +5 *3825:14 *23059:A 13.7491 +6 *3825:12 *23298:A 14.4725 +7 *3825:7 *3825:35 28.8234 +8 *3825:35 *23456:A 14.4725 +9 *3825:35 *23768:A 22.0204 +*END + +*D_NET *3826 0.00746049 +*CONN +*I *23063:A I *D sky130_fd_sc_hd__or2_1 +*I *23496:C I *D sky130_fd_sc_hd__and4b_1 +*I *22921:A I *D sky130_fd_sc_hd__or3_4 +*I *22917:A I *D sky130_fd_sc_hd__or3_4 +*I *23073:A I *D sky130_fd_sc_hd__or3_1 +*I *22931:A I *D sky130_fd_sc_hd__or4_1 +*I *22916:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *23063:A 5.8853e-05 +2 *23496:C 0.000339992 +3 *22921:A 0.000298251 +4 *22917:A 0 +5 *23073:A 0 +6 *22931:A 6.3662e-05 +7 *22916:Y 0 +8 *3826:45 0.000637294 +9 *3826:26 0.000522733 +10 *3826:21 0.000522984 +11 *3826:15 0.000204418 +12 *3826:4 0.000208027 +13 *22921:A *22917:B 7.39264e-05 +14 *22921:A *22917:C 6.08467e-05 +15 *22921:A *4134:24 0.000115934 +16 *22931:A *4239:20 0.000163982 +17 *22931:A *5631:22 0.000167579 +18 *23063:A *23196:C 8.52968e-05 +19 *23063:A *3972:15 8.01837e-05 +20 *23063:A *4014:8 0.000172676 +21 *23496:C *23196:C 5.77352e-05 +22 *23496:C *23496:A_N 0.000218567 +23 *23496:C *23496:B 0.000209667 +24 *23496:C *23496:D 0.000621154 +25 *23496:C *4014:8 0.000414308 +26 *3826:15 *23336:A 3.82228e-05 +27 *3826:15 *23336:B 2.16355e-05 +28 *3826:26 *5629:41 0.000257259 +29 *3826:45 *23701:A3 0.000235436 +30 *22884:A *22921:A 6.18695e-05 +31 *23196:B *3826:45 0.000216336 +32 *3779:111 *3826:15 3.52434e-05 +33 *3779:119 *3826:15 2.15184e-05 +34 *3779:119 *3826:21 0.000160617 +35 *3779:119 *3826:45 0.000186597 +36 *3789:120 *3826:15 0.00035144 +37 *3792:60 *23496:C 0 +38 *3796:48 *3826:26 0.000576244 +*RES +1 *22916:Y *3826:4 9.24915 +2 *3826:4 *22931:A 21.3269 +3 *3826:4 *3826:15 5.18434 +4 *3826:15 *23073:A 9.24915 +5 *3826:15 *3826:21 1.8326 +6 *3826:21 *3826:26 19.137 +7 *3826:26 *22917:A 9.24915 +8 *3826:26 *22921:A 16.676 +9 *3826:21 *3826:45 13.5666 +10 *3826:45 *23496:C 28.9127 +11 *3826:45 *23063:A 16.8269 +*END + +*D_NET *3827 0.0278068 +*CONN +*I *23091:B I *D sky130_fd_sc_hd__or2_1 +*I *23210:A I *D sky130_fd_sc_hd__nor2_1 +*I *22918:B I *D sky130_fd_sc_hd__or2_1 +*I *23132:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *22917:X O *D sky130_fd_sc_hd__or3_4 +*CAP +1 *23091:B 6.70959e-05 +2 *23210:A 0.000523789 +3 *22918:B 0.000774763 +4 *23132:B1 0 +5 *22917:X 0.000940066 +6 *3827:47 0.00129855 +7 *3827:41 0.00429766 +8 *3827:16 0.00492059 +9 *3827:13 0.00100363 +10 *3827:8 0.00125367 +11 *22918:B *22919:A 2.15348e-05 +12 *22918:B *3840:20 7.08723e-06 +13 *22918:B *3864:19 0 +14 *22918:B *5629:153 0 +15 *23091:B *22955:A 2.07304e-05 +16 *23091:B *3864:19 6.21488e-06 +17 *23091:B *3925:30 1.91246e-05 +18 *23210:A *22939:B 3.16823e-05 +19 *23210:A *23447:A 2.48464e-05 +20 *23210:A *23447:B 5.481e-05 +21 *23210:A *23625:B1 1.34424e-05 +22 *23210:A *3833:20 0.000151516 +23 *23210:A *3848:21 0.000155113 +24 *23210:A *3848:59 0.00115496 +25 *23210:A *3864:19 0 +26 *23210:A *4119:8 2.46505e-05 +27 *3827:8 *22909:A 3.94229e-05 +28 *3827:8 *3874:296 0 +29 *3827:8 *3925:12 0.00103103 +30 *3827:8 *3925:24 0 +31 *3827:8 *3926:8 0.000809884 +32 *3827:8 *3927:10 2.01503e-05 +33 *3827:8 *3927:12 1.3813e-05 +34 *3827:8 *5629:112 0.000101436 +35 *3827:8 *5631:44 0.000350121 +36 *3827:8 *5631:48 1.04965e-05 +37 *3827:13 *22955:A 0.000141189 +38 *3827:13 *3864:19 4.31323e-06 +39 *3827:13 *3874:21 4.31122e-06 +40 *3827:16 *4001:26 0.000108077 +41 *3827:16 *4396:35 0 +42 *3827:41 *22996:B 2.3666e-05 +43 *3827:41 *23130:A 7.92757e-06 +44 *3827:41 *23663:A 0.000145916 +45 *3827:41 *3833:20 0.000149237 +46 *3827:41 *3833:34 0.000710288 +47 *3827:41 *3842:84 3.39207e-06 +48 *3827:41 *3862:113 8.48475e-05 +49 *3827:41 *3864:20 0.000158304 +50 *3827:41 *3877:58 5.91904e-05 +51 *3827:41 *3917:26 0.000135848 +52 *3827:41 *3925:30 0.000140957 +53 *3827:41 *3925:40 0.000100364 +54 *3827:41 *3926:8 0.000139296 +55 *3827:41 *3974:83 5.76959e-05 +56 *3827:41 *3980:42 6.01758e-05 +57 *3827:41 *4001:26 0.000814261 +58 *3827:41 *4010:10 0.000600135 +59 *3827:41 *4031:53 2.05426e-05 +60 *3827:41 *4040:34 6.21488e-06 +61 *3827:41 *4126:124 0.000422785 +62 *3827:41 *4143:36 0.00141432 +63 *3827:41 *4367:15 1.5714e-05 +64 *3827:41 *4519:21 5.04829e-06 +65 *3827:41 *4568:14 0.000602442 +66 *3827:41 *4591:11 2.27135e-05 +67 *3827:41 *4871:31 0.000234849 +68 *3827:41 *5458:55 0.000793986 +69 *3827:41 *5918:14 0 +70 *22884:A *3827:8 6.08467e-05 +71 *22909:B *3827:8 0.000184931 +72 *22938:C *23210:A 2.44031e-06 +73 *22940:B *3827:8 0 +74 *23625:A4 *23210:A 0.000500092 +75 *3779:47 *22918:B 3.79808e-05 +76 *3779:58 *22918:B 0.000154145 +77 *3789:67 *23210:A 9.19597e-05 +78 *3791:15 *22918:B 1.5714e-05 +79 *3792:64 *3827:8 1.03403e-05 +80 *3792:139 *22918:B 2.42518e-05 +81 *3813:8 *3827:8 6.14128e-05 +82 *3813:10 *3827:8 4.37999e-05 +83 *3813:14 *3827:8 2.22198e-05 +84 *3819:8 *3827:8 0 +85 *3820:18 *3827:41 0.000127507 +86 *3821:6 *3827:8 0 +87 *3822:16 *3827:41 0.000139235 +*RES +1 *22917:X *3827:8 49.7323 +2 *3827:8 *3827:13 4.19189 +3 *3827:13 *3827:16 1.5701 +4 *3827:16 *3827:41 40.9803 +5 *3827:41 *23132:B1 9.24915 +6 *3827:16 *3827:47 0.376635 +7 *3827:47 *22918:B 22.8072 +8 *3827:47 *23210:A 34.8659 +9 *3827:13 *23091:B 17.5847 +*END + +*D_NET *3828 0.000230847 +*CONN +*I *22919:A I *D sky130_fd_sc_hd__buf_6 +*I *22918:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22919:A 7.42329e-05 +2 *22918:X 7.42329e-05 +3 *22918:B *22919:A 2.15348e-05 +4 *3779:58 *22919:A 6.08467e-05 +*RES +1 *22918:X *22919:A 20.3309 +*END + +*D_NET *3829 0.0708161 +*CONN +*I *22920:B I *D sky130_fd_sc_hd__nor2_1 +*I *23739:A3 I *D sky130_fd_sc_hd__o311a_1 +*I *23559:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23560:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23171:A I *D sky130_fd_sc_hd__nor2_1 +*I *23741:B I *D sky130_fd_sc_hd__and2_1 +*I *23135:A I *D sky130_fd_sc_hd__or2_1 +*I *23146:A I *D sky130_fd_sc_hd__or2_1 +*I *23163:A I *D sky130_fd_sc_hd__or2_1 +*I *23157:A I *D sky130_fd_sc_hd__nor2_1 +*I *23151:A I *D sky130_fd_sc_hd__or2_1 +*I *23142:A I *D sky130_fd_sc_hd__or2_1 +*I *23139:A I *D sky130_fd_sc_hd__or2_1 +*I *23678:A I *D sky130_fd_sc_hd__nor2_1 +*I *22919:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22920:B 2.86212e-05 +2 *23739:A3 0.000284946 +3 *23559:B1 7.42821e-05 +4 *23560:A2 0.000239895 +5 *23171:A 0.00069252 +6 *23741:B 0 +7 *23135:A 2.47997e-05 +8 *23146:A 0.000101026 +9 *23163:A 0.00119995 +10 *23157:A 0 +11 *23151:A 2.06324e-05 +12 *23142:A 0 +13 *23139:A 1.58642e-05 +14 *23678:A 4.38177e-05 +15 *22919:X 0.000943162 +16 *3829:206 0.00187952 +17 *3829:157 0.00167324 +18 *3829:147 0.000886535 +19 *3829:143 0.000720891 +20 *3829:137 0.00105261 +21 *3829:109 0.00148519 +22 *3829:97 0.00175099 +23 *3829:85 0.00174676 +24 *3829:77 0.00191189 +25 *3829:75 0.000707412 +26 *3829:67 0.00121422 +27 *3829:59 0.00238572 +28 *3829:54 0.00319164 +29 *3829:26 0.00283501 +30 *3829:20 0.00173122 +31 *3829:16 0.00278035 +32 *3829:12 0.00288163 +33 *22920:B *23298:D_N 6.08467e-05 +34 *23135:A *23135:B 1.41976e-05 +35 *23135:A *4465:5 6.08467e-05 +36 *23139:A *23243:A 2.57986e-05 +37 *23139:A *4022:67 6.08467e-05 +38 *23146:A *23141:A 0.000158371 +39 *23146:A *23146:B 0.000111722 +40 *23146:A *4055:8 2.19276e-05 +41 *23146:A *4434:8 9.60216e-05 +42 *23163:A *23160:B1 7.6851e-05 +43 *23163:A *23162:A 6.08467e-05 +44 *23163:A *23164:A 0.000116296 +45 *23163:A *23164:B 1.64789e-05 +46 *23163:A *23275:B 6.16561e-05 +47 *23163:A *23539:C1 5.04829e-06 +48 *23163:A *4008:54 5.30803e-05 +49 *23163:A *4008:125 2.58701e-05 +50 *23163:A *4070:36 3.55859e-05 +51 *23163:A *4444:6 0.000134509 +52 *23163:A *4491:8 6.87503e-05 +53 *23163:A *4693:15 0.000571627 +54 *23163:A *5671:100 0.000724611 +55 *23171:A *22960:B 0.000154145 +56 *23171:A *23171:B 4.25388e-05 +57 *23171:A *23671:B 0.000903926 +58 *23171:A *23741:A 5.04829e-06 +59 *23171:A *23828:B1 0.00041939 +60 *23171:A *4018:23 9.94918e-05 +61 *23171:A *4127:36 3.6482e-05 +62 *23171:A *4644:8 4.31703e-05 +63 *23171:A *5815:68 0.000866693 +64 *23559:B1 *23559:B2 2.41274e-06 +65 *23559:B1 *4466:11 3.99086e-06 +66 *23560:A2 *3923:17 1.5714e-05 +67 *23560:A2 *3928:21 1.4946e-05 +68 *23560:A2 *4466:11 5.04829e-06 +69 *23560:A2 *4520:12 1.9101e-05 +70 *23678:A *23809:A1 0.000114584 +71 *23678:A *4583:10 0.000114584 +72 *23739:A3 *23423:D1 0.000151931 +73 *23739:A3 *23739:A2 6.08467e-05 +74 *23739:A3 *23739:B1 7.23857e-05 +75 *23739:A3 *23740:A2 6.50586e-05 +76 *3829:12 *23399:A 1.81618e-05 +77 *3829:12 *23399:B 9.36498e-05 +78 *3829:12 *23625:A2 0 +79 *3829:12 *23625:B1 7.92757e-06 +80 *3829:12 *4031:29 9.75356e-05 +81 *3829:12 *4531:13 6.08467e-05 +82 *3829:16 *23297:A1 2.1228e-06 +83 *3829:16 *23298:D_N 0.000109747 +84 *3829:16 *4214:40 6.18026e-05 +85 *3829:16 *4561:27 0.000480689 +86 *3829:16 *4582:8 6.89449e-05 +87 *3829:20 *23789:A 0.000311593 +88 *3829:20 *3974:49 0.000164915 +89 *3829:20 *3974:60 0.000370655 +90 *3829:20 *4214:40 0.00128498 +91 *3829:20 *4452:10 4.34328e-05 +92 *3829:20 *4582:8 0.00170575 +93 *3829:20 *4582:17 1.3813e-05 +94 *3829:20 *4626:8 0.000727765 +95 *3829:26 *23737:B 6.64892e-05 +96 *3829:26 *23737:D 0.000140502 +97 *3829:26 *23771:A3 1.1246e-05 +98 *3829:26 *23772:A 0.000342168 +99 *3829:26 *23823:D 0.000532846 +100 *3829:26 *4452:10 0.00161816 +101 *3829:26 *4582:17 3.20274e-05 +102 *3829:26 *4626:8 6.85861e-07 +103 *3829:26 *4800:14 0.000240514 +104 *3829:54 *23672:A1 0.000101133 +105 *3829:54 *23809:C1 0.000102274 +106 *3829:54 *3980:93 0.000158451 +107 *3829:54 *4146:83 0.00012332 +108 *3829:54 *4583:14 0.000145584 +109 *3829:54 *4695:68 3.01683e-06 +110 *3829:54 *4695:72 0.00058824 +111 *3829:54 *4705:8 6.51527e-05 +112 *3829:59 *23817:A1 0.000395897 +113 *3829:59 *23817:A2 1.65872e-05 +114 *3829:59 *23817:B1 3.82228e-05 +115 *3829:59 *23818:A1 1.21461e-06 +116 *3829:59 *23818:C1 4.91931e-05 +117 *3829:59 *3922:58 0.000136905 +118 *3829:59 *4695:68 0.000835919 +119 *3829:59 *4695:72 4.69276e-05 +120 *3829:67 *23640:A 5.37077e-05 +121 *3829:67 *23818:C1 9.69066e-05 +122 *3829:67 *3861:252 0.000157788 +123 *3829:67 *3877:106 0.000329334 +124 *3829:67 *3922:58 0.000236245 +125 *3829:67 *3928:18 0.000185159 +126 *3829:67 *4037:17 0.000125308 +127 *3829:67 *4038:7 9.99867e-06 +128 *3829:67 *4466:11 9.56589e-05 +129 *3829:67 *4471:10 0.000181092 +130 *3829:67 *4544:22 0.000121595 +131 *3829:67 *4589:19 3.20407e-05 +132 *3829:67 *4661:27 2.02848e-05 +133 *3829:75 *3928:18 7.54273e-05 +134 *3829:75 *4006:40 0.000108986 +135 *3829:75 *4338:17 0.000821248 +136 *3829:75 *4466:11 7.91353e-05 +137 *3829:75 *5923:20 0.000807655 +138 *3829:77 *4338:17 0.000406306 +139 *3829:77 *5923:20 0.0003907 +140 *3829:85 *23136:D 0.000232731 +141 *3829:85 *3868:151 0 +142 *3829:85 *3874:77 0.000221065 +143 *3829:85 *4024:48 0.000120915 +144 *3829:85 *4338:17 0.00117274 +145 *3829:85 *4540:31 5.64813e-06 +146 *3829:85 *4683:6 5.75713e-05 +147 *3829:85 *5923:20 0.00118974 +148 *3829:97 *23780:B 0.000240429 +149 *3829:97 *23844:C 8.83947e-05 +150 *3829:97 *3842:141 0.000135106 +151 *3829:97 *3891:133 0.000601974 +152 *3829:97 *3923:17 3.31882e-05 +153 *3829:97 *4001:91 5.04829e-06 +154 *3829:97 *4024:48 7.75615e-05 +155 *3829:97 *4024:70 9.60366e-05 +156 *3829:97 *4464:10 0.000186182 +157 *3829:97 *4539:37 6.18759e-05 +158 *3829:97 *4540:31 8.8927e-06 +159 *3829:97 *4618:20 4.15236e-05 +160 *3829:97 *4618:33 0.000171951 +161 *3829:97 *4701:18 0.000423276 +162 *3829:97 *4741:18 3.73224e-05 +163 *3829:97 *4744:20 0.000147259 +164 *3829:97 *5082:80 0.000829322 +165 *3829:97 *5453:29 3.17103e-05 +166 *3829:109 *23521:B 6.36477e-05 +167 *3829:109 *23570:D 0.000104805 +168 *3829:109 *23782:B 1.86178e-05 +169 *3829:109 *4022:67 5.1493e-06 +170 *3829:109 *4048:8 0.000116611 +171 *3829:109 *4427:8 0.000141264 +172 *3829:109 *4594:8 0.000282384 +173 *3829:109 *4618:20 0.000996399 +174 *3829:137 *23142:B 6.3657e-05 +175 *3829:137 *23143:C1 3.63776e-05 +176 *3829:137 *23143:D1 0.000119049 +177 *3829:137 *23144:C1 0 +178 *3829:137 *23524:A 0.000158353 +179 *3829:137 *23569:A2 0.000129091 +180 *3829:137 *23724:B 1.55462e-05 +181 *3829:137 *3891:8 0.000438302 +182 *3829:137 *3891:15 0.000294783 +183 *3829:137 *4022:67 0.000608812 +184 *3829:137 *4022:69 8.15058e-05 +185 *3829:137 *4022:73 0.000192987 +186 *3829:137 *4049:10 0.000299315 +187 *3829:137 *4126:209 6.64392e-05 +188 *3829:137 *4431:6 6.26118e-05 +189 *3829:137 *4618:20 0.000120722 +190 *3829:143 *23142:B 0.000352118 +191 *3829:143 *4051:18 7.76737e-05 +192 *3829:143 *4126:209 9.90819e-05 +193 *3829:147 *23151:B 4.23858e-05 +194 *3829:147 *23527:A 0.000111708 +195 *3829:157 *23151:B 5.89592e-05 +196 *3829:157 *23155:B 0.00019471 +197 *3829:157 *23802:A 3.31745e-05 +198 *3829:157 *4008:54 1.41976e-05 +199 *3829:157 *4008:125 2.43334e-05 +200 *3829:157 *4066:7 0.000294093 +201 *3829:206 *23637:B1 0.000124805 +202 *3829:206 *23828:B1 1.66626e-05 +203 *3829:206 *4126:137 1.72028e-05 +204 *3829:206 *4496:42 2.02035e-05 +205 *3829:206 *5457:13 0 +206 *23625:A1 *3829:12 8.76921e-05 +207 *566:136 *23678:A 0 +208 *566:136 *3829:26 0 +209 *566:136 *3829:54 0 +210 *584:8 *3829:54 8.60348e-05 +211 *1455:85 *3829:143 0.000234748 +212 *1455:85 *3829:147 0.00102023 +213 *1455:85 *3829:157 0.000188093 +214 *1690:86 *3829:109 1.65872e-05 +215 *1808:69 *23146:A 0.000148144 +216 *1829:77 *3829:54 0.000203315 +217 *1829:83 *3829:26 0.000277916 +218 *1829:83 *3829:54 5.33358e-06 +219 *2660:52 *23171:A 5.92342e-05 +220 *2660:52 *3829:206 0 +221 *3002:51 *23163:A 7.76105e-06 +222 *3002:51 *3829:157 0.00023991 +223 *3002:69 *3829:137 3.30319e-05 +224 *3784:29 *3829:12 6.36477e-05 +*RES +1 *22919:X *3829:12 37.4668 +2 *3829:12 *3829:16 32.1519 +3 *3829:16 *3829:20 44.2976 +4 *3829:20 *3829:26 40.1085 +5 *3829:26 *23678:A 16.1386 +6 *3829:26 *3829:54 49.4106 +7 *3829:54 *3829:59 26.7424 +8 *3829:59 *3829:67 32.6367 +9 *3829:67 *3829:75 7.2994 +10 *3829:75 *3829:77 0.850556 +11 *3829:77 *3829:85 11.8755 +12 *3829:85 *3829:97 47.0989 +13 *3829:97 *3829:109 39.5843 +14 *3829:109 *23139:A 14.4725 +15 *3829:109 *3829:137 41.6223 +16 *3829:137 *23142:A 9.24915 +17 *3829:137 *3829:143 8.48785 +18 *3829:143 *3829:147 12.3942 +19 *3829:147 *23151:A 9.82786 +20 *3829:147 *3829:157 14.058 +21 *3829:157 *23157:A 9.24915 +22 *3829:157 *23163:A 49.0785 +23 *3829:143 *23146:A 22.7442 +24 *3829:85 *23135:A 14.4725 +25 *3829:77 *3829:206 10.9304 +26 *3829:206 *23741:B 9.24915 +27 *3829:206 *23171:A 45.3005 +28 *3829:75 *23560:A2 19.8263 +29 *3829:67 *23559:B1 15.0271 +30 *3829:59 *23739:A3 20.5732 +31 *3829:16 *22920:B 14.4725 +*END + +*D_NET *3830 0.00554123 +*CONN +*I *23298:B I *D sky130_fd_sc_hd__or4b_1 +*I *23549:A I *D sky130_fd_sc_hd__or3_1 +*I *23059:B I *D sky130_fd_sc_hd__or3_1 +*I *23599:A I *D sky130_fd_sc_hd__or2_1 +*I *23679:A I *D sky130_fd_sc_hd__or3_1 +*I *22920:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23298:B 0 +2 *23549:A 7.41873e-05 +3 *23059:B 0 +4 *23599:A 0.000104494 +5 *23679:A 0.000224166 +6 *22920:Y 0.000204553 +7 *3830:17 0.000581858 +8 *3830:10 0.000623868 +9 *3830:8 0.000556202 +10 *3830:5 0.000315898 +11 *23549:A *23549:C 0.000113968 +12 *23599:A *23599:B 2.16355e-05 +13 *23599:A *3860:58 1.58551e-05 +14 *23679:A *23454:B 0.000435228 +15 *23679:A *23789:C 8.52802e-05 +16 *23679:A *4212:40 0.000511882 +17 *23679:A *4873:54 6.28743e-05 +18 *3830:5 *23298:D_N 5.97576e-05 +19 *3830:8 *4363:14 4.82328e-05 +20 *3830:10 *4363:14 0.000238644 +21 *3830:17 *23414:B 2.41274e-06 +22 *3830:17 *23598:A 0.000192395 +23 *3830:17 *23598:B 0.000195978 +24 *3830:17 *3860:58 2.08649e-05 +25 *3830:17 *4322:8 0.000107496 +26 *3830:17 *4363:14 4.84773e-05 +27 *3830:17 *4504:6 1.07248e-05 +28 *22920:A *3830:5 6.11359e-06 +29 *22920:A *3830:8 9.99655e-05 +30 *23414:A *3830:10 3.98327e-05 +31 *23414:A *3830:17 7.37793e-05 +32 *3825:12 *3830:8 5.21063e-05 +33 *3825:14 *3830:8 8.8567e-05 +34 *3825:14 *3830:10 0.000323939 +*RES +1 *22920:Y *3830:5 12.191 +2 *3830:5 *3830:8 9.23876 +3 *3830:8 *3830:10 14.2896 +4 *3830:10 *3830:17 13.8657 +5 *3830:17 *23679:A 29.1096 +6 *3830:17 *23599:A 11.6605 +7 *3830:10 *23059:B 13.7491 +8 *3830:8 *23549:A 15.5817 +9 *3830:5 *23298:B 9.24915 +*END + +*D_NET *3831 0.0396777 +*CONN +*I *23070:B I *D sky130_fd_sc_hd__or2_1 +*I *22922:B I *D sky130_fd_sc_hd__or2_1 +*I *23554:A I *D sky130_fd_sc_hd__nor2_1 +*I *23749:A I *D sky130_fd_sc_hd__nor2_1 +*I *23741:A I *D sky130_fd_sc_hd__and2_1 +*I *22921:X O *D sky130_fd_sc_hd__or3_4 +*CAP +1 *23070:B 0.000103203 +2 *22922:B 9.36721e-06 +3 *23554:A 0.00022119 +4 *23749:A 0.000451062 +5 *23741:A 0.000707795 +6 *22921:X 0.000130739 +7 *3831:56 0.0016183 +8 *3831:50 0.00207584 +9 *3831:42 0.00167765 +10 *3831:27 0.00262357 +11 *3831:21 0.00263283 +12 *3831:12 0.00187689 +13 *3831:6 0.000907635 +14 *22922:B *22870:B 6.50586e-05 +15 *23070:B *22876:B 5.40688e-05 +16 *23070:B *22876:D 0.000263175 +17 *23554:A *23597:A1 0.000503123 +18 *23554:A *3860:58 0.000467443 +19 *23554:A *3868:52 0.000253916 +20 *23554:A *3978:62 6.50727e-05 +21 *23554:A *3978:72 4.06108e-05 +22 *23741:A *23112:A 0.000352731 +23 *23741:A *23828:B1 0.000619741 +24 *23741:A *4024:20 0.000137707 +25 *23741:A *4142:8 4.97352e-05 +26 *23741:A *4470:16 0.000659084 +27 *23741:A *4496:42 0.000170592 +28 *23749:A *23675:A 0.000128736 +29 *23749:A *23749:B 5.04829e-06 +30 *23749:A *23788:A 0.000200794 +31 *23749:A *23788:B 7.0483e-05 +32 *23749:A *23804:C 6.92016e-06 +33 *23749:A *3849:29 1.96456e-05 +34 *23749:A *3872:34 0.00081464 +35 *23749:A *4120:39 0 +36 *23749:A *4495:48 0.000115874 +37 *23749:A *4654:16 9.22013e-06 +38 *23749:A *4698:22 0.000155771 +39 *3831:6 *4239:25 0.000195169 +40 *3831:6 *4245:6 0.000331074 +41 *3831:6 *5631:22 2.87219e-05 +42 *3831:12 *22962:A 0.000311578 +43 *3831:12 *3980:8 0 +44 *3831:12 *4245:6 0.000365329 +45 *3831:12 *5600:63 2.95757e-05 +46 *3831:12 *5624:67 5.04509e-05 +47 *3831:12 *5631:22 1.03986e-05 +48 *3831:12 *5631:27 0.000157142 +49 *3831:12 *5632:39 0.000767646 +50 *3831:21 *23235:B 2.82537e-05 +51 *3831:21 *23235:C_N 0 +52 *3831:21 *23240:A4 0.000115406 +53 *3831:21 *3861:301 0.000584672 +54 *3831:21 *3922:26 9.75356e-05 +55 *3831:21 *3980:8 0 +56 *3831:21 *4143:46 0.000847182 +57 *3831:21 *4245:6 0.000752622 +58 *3831:21 *5600:63 0.00107076 +59 *3831:21 *5923:20 0.000129632 +60 *3831:27 *23106:A 1.41307e-05 +61 *3831:27 *23116:B 6.50586e-05 +62 *3831:27 *23119:B 3.82228e-05 +63 *3831:27 *23337:B1 0.000118485 +64 *3831:27 *3860:58 0.00058513 +65 *3831:27 *3892:8 0.000277921 +66 *3831:27 *4014:15 7.98425e-06 +67 *3831:27 *4014:23 5.79057e-05 +68 *3831:27 *4015:7 0.000113968 +69 *3831:27 *4135:8 0.000166533 +70 *3831:42 *23452:B_N 0.000139947 +71 *3831:42 *23719:A1 1.41976e-05 +72 *3831:42 *3838:39 0.000467665 +73 *3831:42 *3838:47 2.62341e-05 +74 *3831:42 *3868:52 0.000107496 +75 *3831:42 *4091:8 0.000428128 +76 *3831:42 *4199:8 0.00114837 +77 *3831:42 *4359:5 0.000154145 +78 *3831:42 *4450:8 0.000401927 +79 *3831:42 *4533:10 0.000305271 +80 *3831:42 *4534:10 0.00018809 +81 *3831:42 *4623:11 0 +82 *3831:50 *23179:A 0.000274908 +83 *3831:50 *23592:C 2.5386e-05 +84 *3831:50 *4080:24 0.000169826 +85 *3831:50 *4199:8 0.000131731 +86 *3831:50 *4450:45 0.000532816 +87 *3831:50 *4559:11 0.000149628 +88 *3831:50 *4579:14 0 +89 *3831:50 *4620:41 0.0010103 +90 *3831:50 *4623:11 4.89469e-06 +91 *3831:56 *23088:A 0.000217002 +92 *3831:56 *23514:B 0.000642801 +93 *3831:56 *23675:A 4.97617e-05 +94 *3831:56 *3861:271 7.55673e-05 +95 *3831:56 *3872:34 0.00150329 +96 *3831:56 *3909:51 2.89839e-05 +97 *3831:56 *3991:22 0.000295213 +98 *3831:56 *4016:13 0.00011356 +99 *3831:56 *4146:83 0.000716318 +100 *3831:56 *4326:14 0.000417393 +101 *3831:56 *4470:16 4.26698e-05 +102 *3831:56 *4568:14 9.60366e-05 +103 *3831:56 *4695:68 0.000131685 +104 *23171:A *23741:A 5.04829e-06 +105 *23451:A2 *3831:42 0.000107496 +106 *1809:115 *3831:50 1.27831e-06 +107 *3086:20 *23070:B 0.000510843 +108 *3779:40 *3831:12 0.000196497 +109 *3779:40 *3831:21 8.12388e-06 +110 *3779:47 *3831:21 2.31919e-05 +111 *3781:7 *22922:B 6.50586e-05 +112 *3781:14 *3831:21 9.94924e-06 +113 *3789:38 *3831:21 0.000123582 +114 *3789:42 *3831:21 0.000650937 +115 *3791:50 *3831:50 0.000269609 +116 *3791:66 *3831:50 0.000111082 +*RES +1 *22921:X *3831:6 19.7337 +2 *3831:6 *3831:12 28.0662 +3 *3831:12 *3831:21 48.1105 +4 *3831:21 *3831:27 42.6076 +5 *3831:27 *3831:42 49.7578 +6 *3831:42 *3831:50 35.6806 +7 *3831:50 *3831:56 43.0516 +8 *3831:56 *23741:A 39.8004 +9 *3831:50 *23749:A 34.0239 +10 *3831:27 *23554:A 19.449 +11 *3831:12 *22922:B 14.4725 +12 *3831:6 *23070:B 19.464 +*END + +*D_NET *3832 0.00112531 +*CONN +*I *22923:A I *D sky130_fd_sc_hd__buf_8 +*I *22922:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22923:A 0.000192076 +2 *22922:X 0.000192076 +3 *22923:A *23092:A 3.82228e-05 +4 *22923:A *4245:6 0.000146645 +5 *3780:29 *22923:A 0.000413238 +6 *3781:14 *22923:A 0.000143047 +*RES +1 *22922:X *22923:A 34.7664 +*END + +*D_NET *3833 0.075031 +*CONN +*I *23212:A I *D sky130_fd_sc_hd__nor2_1 +*I *23293:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *22924:B I *D sky130_fd_sc_hd__or2_2 +*I *23735:B1 I *D sky130_fd_sc_hd__o22ai_2 +*I *23638:A I *D sky130_fd_sc_hd__nand2_1 +*I *23517:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *23169:A I *D sky130_fd_sc_hd__or2_1 +*I *23134:A I *D sky130_fd_sc_hd__or2_1 +*I *23161:A I *D sky130_fd_sc_hd__nor2_1 +*I *23141:A I *D sky130_fd_sc_hd__or2_1 +*I *23145:A I *D sky130_fd_sc_hd__or2_1 +*I *23155:A I *D sky130_fd_sc_hd__or2_1 +*I *23149:A I *D sky130_fd_sc_hd__nor2_1 +*I *23138:A I *D sky130_fd_sc_hd__or2_1 +*I *23131:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *22923:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *23212:A 0.000159851 +2 *23293:A1 1.78242e-05 +3 *22924:B 0 +4 *23735:B1 0.000999263 +5 *23638:A 0 +6 *23517:A1 0.000307457 +7 *23169:A 0 +8 *23134:A 8.19533e-05 +9 *23161:A 0.0012323 +10 *23141:A 0.000597348 +11 *23145:A 3.07124e-05 +12 *23155:A 0.000757802 +13 *23149:A 0.000142954 +14 *23138:A 0 +15 *23131:A1 0.000455001 +16 *22923:X 0.000161677 +17 *3833:279 0.000320688 +18 *3833:276 0.000207036 +19 *3833:275 0.00108338 +20 *3833:247 0.00192586 +21 *3833:234 0.000942667 +22 *3833:207 0.00118619 +23 *3833:191 0.00149365 +24 *3833:120 0.0014298 +25 *3833:115 0.000914375 +26 *3833:107 0.00109383 +27 *3833:106 0.00270295 +28 *3833:80 0.00142376 +29 *3833:75 0.00159018 +30 *3833:73 0.00185711 +31 *3833:63 0.00110996 +32 *3833:56 0.00241816 +33 *3833:36 0.00227796 +34 *3833:34 0.00195789 +35 *3833:20 0.00307037 +36 *3833:12 0.00234835 +37 *23131:A1 *23130:A 1.03434e-05 +38 *23131:A1 *23131:A2 0.000116068 +39 *23131:A1 *3848:30 4.04447e-05 +40 *23131:A1 *3877:87 5.20546e-06 +41 *23131:A1 *4039:7 0.000161177 +42 *23131:A1 *4470:15 4.04447e-05 +43 *23134:A *23134:B 0.000107496 +44 *23134:A *23725:B1 1.41976e-05 +45 *23134:A *4024:27 0 +46 *23134:A *4043:7 1.15389e-05 +47 *23134:A *4472:11 1.91246e-05 +48 *23134:A *4646:14 1.91246e-05 +49 *23134:A *4684:5 5.97411e-05 +50 *23141:A *23146:B 7.50156e-05 +51 *23141:A *23853:B1 4.79321e-06 +52 *23141:A *3842:170 9.02894e-05 +53 *23141:A *3842:204 0.000349354 +54 *23141:A *3938:41 1.80887e-05 +55 *23141:A *5682:25 0.000138043 +56 *23145:A *4001:138 6.08467e-05 +57 *23149:A *23149:B 0.000128736 +58 *23149:A *23152:A 0.000300565 +59 *23149:A *23156:A 3.75603e-05 +60 *23155:A *23149:B 1.75637e-06 +61 *23155:A *23155:B 1.00981e-05 +62 *23155:A *23156:A 0.000150255 +63 *23155:A *23158:B 2.65667e-05 +64 *23155:A *3886:8 0.00018522 +65 *23155:A *3888:11 0.000180123 +66 *23161:A *23161:B 5.06066e-05 +67 *23161:A *23486:A 6.64392e-05 +68 *23161:A *23531:A 3.82228e-05 +69 *23161:A *23535:A 9.97706e-05 +70 *23161:A *23803:A 0.000114584 +71 *23161:A *23803:C 0.000251655 +72 *23161:A *23803:D 0.000212999 +73 *23161:A *3874:109 1.65872e-05 +74 *23161:A *4070:12 1.65872e-05 +75 *23161:A *4393:8 9.94284e-06 +76 *23161:A *4435:25 5.04829e-06 +77 *23161:A *4491:8 7.92757e-06 +78 *23161:A *4515:21 0.000123597 +79 *23161:A *4648:12 4.10274e-05 +80 *23212:A *23212:B 4.80635e-06 +81 *23212:A *23294:C 3.07481e-05 +82 *23212:A *3978:29 4.31703e-05 +83 *23212:A *3978:51 8.3124e-05 +84 *23293:A1 *23293:A2 4.80635e-06 +85 *23293:A1 *23294:C 3.01683e-06 +86 *23517:A1 *23510:A 0 +87 *23517:A1 *23517:B2 3.27553e-05 +88 *23517:A1 *3861:202 9.80242e-07 +89 *23517:A1 *4138:110 0 +90 *23517:A1 *4417:7 4.07542e-05 +91 *23517:A1 *4496:31 1.5714e-05 +92 *23517:A1 *4649:24 1.10793e-05 +93 *23735:B1 *3849:21 6.92705e-05 +94 *23735:B1 *4034:56 0.00131459 +95 *23735:B1 *4120:17 0.000265293 +96 *23735:B1 *4136:10 1.70077e-05 +97 *23735:B1 *4499:13 0.000243633 +98 *3833:12 *22955:A 3.25394e-05 +99 *3833:12 *23092:A 0.000180317 +100 *3833:12 *4014:10 8.98169e-05 +101 *3833:20 *22094:A1 0.000106409 +102 *3833:20 *22935:A 0.000358604 +103 *3833:20 *22955:A 1.40845e-05 +104 *3833:20 *22955:D 1.5714e-05 +105 *3833:20 *23101:A 0.000157956 +106 *3833:20 *3848:16 1.94327e-05 +107 *3833:20 *3848:21 0.000238242 +108 *3833:20 *3860:67 0 +109 *3833:20 *3864:20 0.000838767 +110 *3833:20 *3925:30 8.48495e-06 +111 *3833:20 *3927:12 0.000262872 +112 *3833:20 *4010:10 1.5714e-05 +113 *3833:20 *4014:10 0.00140348 +114 *3833:20 *4014:23 0.000217602 +115 *3833:34 *23002:A 3.04525e-06 +116 *3833:34 *3842:84 6.23101e-05 +117 *3833:34 *3848:30 1.00004e-05 +118 *3833:34 *3862:113 8.12259e-06 +119 *3833:34 *3864:20 4.15201e-05 +120 *3833:34 *3877:58 0.000532632 +121 *3833:34 *3877:72 2.09449e-06 +122 *3833:34 *3909:40 0.000101509 +123 *3833:34 *3927:12 2.42661e-05 +124 *3833:34 *3974:83 0.00134139 +125 *3833:34 *4470:15 1.91246e-05 +126 *3833:34 *4568:14 0.00012681 +127 *3833:34 *5918:14 0 +128 *3833:36 *3848:30 0.000108041 +129 *3833:36 *4470:15 0.000116086 +130 *3833:56 *3842:84 3.92592e-05 +131 *3833:56 *3862:58 0 +132 *3833:56 *3974:83 0.00160983 +133 *3833:56 *3974:107 0.000859318 +134 *3833:56 *3980:42 0.000140955 +135 *3833:56 *4137:47 2.4473e-05 +136 *3833:56 *4143:36 0.000460046 +137 *3833:56 *4619:26 0.000147332 +138 *3833:56 *4695:56 0.000202101 +139 *3833:56 *5458:55 1.01234e-05 +140 *3833:63 *3862:58 1.65675e-05 +141 *3833:63 *4006:40 6.26248e-06 +142 *3833:63 *4137:47 0.00171744 +143 *3833:63 *4695:56 0.00190932 +144 *3833:73 *23783:D 3.97981e-05 +145 *3833:73 *3868:151 0.000431986 +146 *3833:73 *4540:19 7.32763e-05 +147 *3833:73 *4540:114 8.62119e-05 +148 *3833:73 *4548:8 6.84784e-06 +149 *3833:75 *23140:B1 2.77419e-05 +150 *3833:75 *23570:B 0.000206865 +151 *3833:75 *23636:A3 0.000111921 +152 *3833:75 *23636:B1 0.000536144 +153 *3833:75 *3842:150 1.77435e-05 +154 *3833:75 *4045:8 0.00268369 +155 *3833:75 *4475:12 0.000584189 +156 *3833:75 *4540:20 9.43539e-05 +157 *3833:75 *4540:31 0.000917218 +158 *3833:75 *4540:114 1.62909e-05 +159 *3833:75 *4541:22 0.000169126 +160 *3833:75 *4548:8 5.84209e-05 +161 *3833:80 *23140:A1 6.36477e-05 +162 *3833:80 *23140:B1 0.000203896 +163 *3833:80 *4022:38 1.88333e-05 +164 *3833:80 *4022:40 1.09156e-05 +165 *3833:106 *23140:B1 2.95884e-05 +166 *3833:106 *23851:B 0.00017094 +167 *3833:106 *3891:96 0.000368121 +168 *3833:106 *3980:168 0.000180859 +169 *3833:106 *3980:180 0.000161253 +170 *3833:106 *4001:126 0.000159896 +171 *3833:106 *4022:38 0.000158451 +172 *3833:106 *4478:6 7.87636e-05 +173 *3833:106 *4627:23 1.1409e-06 +174 *3833:106 *4744:20 3.46309e-05 +175 *3833:106 *5682:35 3.81675e-05 +176 *3833:107 *4515:21 0.000472981 +177 *3833:115 *3877:148 9.75356e-05 +178 *3833:115 *4001:138 1.37189e-05 +179 *3833:115 *4054:7 5.8973e-05 +180 *3833:115 *4481:13 9.25069e-05 +181 *3833:115 *4515:21 0.000261584 +182 *3833:120 *23150:A 3.99086e-06 +183 *3833:120 *23150:B 0.000154145 +184 *3833:120 *23152:A 9.72902e-05 +185 *3833:120 *3877:148 0.000222699 +186 *3833:120 *4058:16 0.000144173 +187 *3833:120 *4481:13 0.000226281 +188 *3833:207 *23115:A 0.000111722 +189 *3833:207 *23166:A2 3.95516e-05 +190 *3833:207 *23169:B 0.000210077 +191 *3833:207 *4026:93 0.000253916 +192 *3833:207 *4228:41 6.63489e-05 +193 *3833:207 *4233:11 0.000844575 +194 *3833:207 *4415:154 0.000866763 +195 *3833:207 *4496:31 0.000257733 +196 *3833:207 *4649:24 0.000262279 +197 *3833:234 *3996:65 1.17054e-05 +198 *3833:247 *22953:C 0.000179635 +199 *3833:247 *23227:B 3.74074e-05 +200 *3833:247 *23446:A 6.50727e-05 +201 *3833:247 *23446:B 1.92336e-05 +202 *3833:247 *23446:C 0.000107496 +203 *3833:247 *23449:A3 0.000107496 +204 *3833:247 *23779:A 0.000570109 +205 *3833:247 *3834:8 0.000148196 +206 *3833:247 *3863:21 9.66809e-05 +207 *3833:247 *3877:41 0.000122083 +208 *3833:247 *3996:65 0.000160711 +209 *3833:247 *4146:60 0.000175376 +210 *3833:275 *22955:A 5.47093e-05 +211 *3833:275 *22955:D 0.000107496 +212 *3833:275 *23208:A 8.89982e-05 +213 *3833:275 *23208:C 3.82228e-05 +214 *3833:275 *23293:A2 0.000466724 +215 *3833:275 *3852:18 8.39223e-05 +216 *3833:275 *3852:59 9.82896e-06 +217 *3833:275 *4117:8 0.000446985 +218 *3833:275 *5624:116 8.29362e-05 +219 *3833:279 *23293:A2 8.73574e-05 +220 *3833:279 *23294:C 1.78704e-05 +221 *22915:A *23212:A 0.00049786 +222 *22915:A *3833:279 6.08467e-05 +223 *22938:C *3833:20 3.91944e-05 +224 *22955:C *3833:275 1.8627e-05 +225 *23146:A *23141:A 0.000158371 +226 *23210:A *3833:20 0.000151516 +227 *1438:83 *23161:A 5.22071e-05 +228 *1438:83 *3833:107 0.000211706 +229 *1438:83 *3833:115 0.000101551 +230 *1699:42 *23161:A 0.000300565 +231 *1744:141 *23155:A 5.04829e-06 +232 *1808:69 *3833:106 2.18346e-05 +233 *2660:32 *3833:207 0.000202529 +234 *2963:93 *3833:106 0.00010136 +235 *3002:69 *3833:106 0.000110846 +236 *3780:29 *3833:12 0.000241546 +237 *3782:53 *3833:247 1.11638e-05 +238 *3784:88 *3833:247 0.000116971 +239 *3786:71 *3833:234 6.08467e-05 +240 *3786:71 *3833:247 2.81262e-05 +241 *3786:80 *3833:247 0.000396503 +242 *3827:41 *3833:20 0.000149237 +243 *3827:41 *3833:34 0.000710288 +*RES +1 *22923:X *3833:12 19.8251 +2 *3833:12 *3833:20 48.2831 +3 *3833:20 *3833:34 14.2623 +4 *3833:34 *3833:36 2.45487 +5 *3833:36 *23131:A1 24.0195 +6 *3833:36 *3833:56 10.1035 +7 *3833:56 *3833:63 4.93114 +8 *3833:63 *3833:73 6.38776 +9 *3833:73 *3833:75 58.3063 +10 *3833:75 *3833:80 7.87585 +11 *3833:80 *23138:A 9.24915 +12 *3833:80 *3833:106 41.0379 +13 *3833:106 *3833:107 8.06078 +14 *3833:107 *3833:115 18.3732 +15 *3833:115 *3833:120 15.7869 +16 *3833:120 *23149:A 14.6987 +17 *3833:120 *23155:A 31.5321 +18 *3833:115 *23145:A 14.4725 +19 *3833:107 *23141:A 26.3362 +20 *3833:106 *23161:A 43.5578 +21 *3833:63 *23134:A 19.9079 +22 *3833:56 *3833:191 3.36879 +23 *3833:191 *3833:207 43.4701 +24 *3833:207 *23169:A 9.24915 +25 *3833:191 *23517:A1 19.3603 +26 *3833:34 *23638:A 13.7491 +27 *3833:20 *3833:234 5.2234 +28 *3833:234 *3833:247 38.3879 +29 *3833:247 *23735:B1 38.4391 +30 *3833:234 *22924:B 9.24915 +31 *3833:12 *3833:275 37.3077 +32 *3833:275 *3833:276 81.1229 +33 *3833:276 *3833:279 12.7456 +34 *3833:279 *23293:A1 9.82786 +35 *3833:279 *23212:A 15.5427 +*END + +*D_NET *3834 0.0159568 +*CONN +*I *22925:B I *D sky130_fd_sc_hd__nor2_2 +*I *23236:A I *D sky130_fd_sc_hd__inv_2 +*I *23672:A1 I *D sky130_fd_sc_hd__o221ai_1 +*I *22924:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *22925:B 0.000319748 +2 *23236:A 0.000680689 +3 *23672:A1 0.000611979 +4 *22924:X 0.000596239 +5 *3834:21 0.00178266 +6 *3834:10 0.00212097 +7 *3834:8 0.00118559 +8 *22925:B *4534:10 2.41274e-06 +9 *23236:A *23238:A2 0.000113746 +10 *23236:A *23663:A 3.1297e-05 +11 *23236:A *23673:A 0.000304777 +12 *23236:A *3877:41 0.000673758 +13 *23236:A *4371:48 3.07726e-05 +14 *23236:A *4568:10 3.75221e-05 +15 *23672:A1 *23289:C_N 7.14746e-05 +16 *23672:A1 *23290:B 0.000241744 +17 *23672:A1 *23505:B1 0.000224814 +18 *23672:A1 *23658:A 1.43499e-05 +19 *23672:A1 *23675:A 0.000146645 +20 *23672:A1 *3846:51 4.89947e-06 +21 *23672:A1 *3958:20 5.94052e-06 +22 *23672:A1 *4077:10 0.000835894 +23 *23672:A1 *4080:24 1.91246e-05 +24 *23672:A1 *4146:83 9.58067e-05 +25 *23672:A1 *4396:23 0 +26 *23672:A1 *4620:34 1.91246e-05 +27 *23672:A1 *4665:42 4.90233e-06 +28 *3834:8 *23446:A 6.08467e-05 +29 *3834:8 *23446:C 0.000107496 +30 *3834:8 *23449:A3 1.58551e-05 +31 *3834:8 *23717:A 2.77625e-06 +32 *3834:8 *3840:307 0.000100036 +33 *3834:8 *3852:22 9.4373e-05 +34 *3834:8 *4357:21 0.000449786 +35 *3834:10 *3840:292 0.000431178 +36 *3834:10 *3840:307 5.30873e-05 +37 *3834:10 *3852:22 0.000479302 +38 *3834:21 *23178:A 0.000165495 +39 *3834:21 *23179:B 3.28261e-05 +40 *3834:21 *23675:A 7.27725e-05 +41 *3834:21 *3840:292 0.000207394 +42 *3834:21 *3852:22 0.000165495 +43 *3834:21 *4001:201 2.40931e-05 +44 *3834:21 *4020:34 0.000251428 +45 *3834:21 *4077:10 7.14746e-05 +46 *3834:21 *4228:20 0.00030705 +47 *3834:21 *4228:29 0.00111225 +48 *3834:21 *4619:26 0.000243059 +49 *23449:A1 *3834:8 5.0715e-05 +50 *23449:A2 *3834:8 1.00981e-05 +51 *23628:A1 *22925:B 7.79534e-05 +52 *23654:A1 *3834:21 0.000548728 +53 *3785:29 *22925:B 7.28218e-05 +54 *3785:29 *3834:8 2.37827e-05 +55 *3786:80 *3834:8 0.000298399 +56 *3829:54 *23672:A1 0.000101133 +57 *3833:247 *3834:8 0.000148196 +*RES +1 *22924:X *3834:8 30.7242 +2 *3834:8 *3834:10 9.72179 +3 *3834:10 *3834:21 47.3834 +4 *3834:21 *23672:A1 41.6171 +5 *3834:10 *23236:A 31.2794 +6 *3834:8 *22925:B 19.3675 +*END + +*D_NET *3835 0.0119801 +*CONN +*I *23628:B1 I *D sky130_fd_sc_hd__a211o_1 +*I *23704:A I *D sky130_fd_sc_hd__or2_1 +*I *23751:B1 I *D sky130_fd_sc_hd__a211o_1 +*I *23058:A I *D sky130_fd_sc_hd__or2_1 +*I *22925:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *23628:B1 4.007e-05 +2 *23704:A 0.000784521 +3 *23751:B1 0.000133752 +4 *23058:A 0 +5 *22925:Y 0.000125505 +6 *3835:36 0.00123753 +7 *3835:27 0.00103366 +8 *3835:7 0.000879979 +9 *23628:B1 *23628:C1 4.63919e-06 +10 *23628:B1 *4357:21 2.50042e-06 +11 *23704:A *23191:B 0.000913677 +12 *23704:A *23194:A 1.67677e-05 +13 *23704:A *23547:B 0.00037215 +14 *23704:A *23677:A 7.8406e-05 +15 *23704:A *3981:38 0 +16 *23704:A *4099:17 8.36973e-06 +17 *23704:A *4126:45 3.67708e-05 +18 *23704:A *4453:8 9.25219e-05 +19 *23704:A *4673:8 0.001943 +20 *23751:B1 *23548:A 3.88212e-05 +21 *23751:B1 *23751:A1 4.80635e-06 +22 *23751:B1 *23751:C1 0.000111708 +23 *23751:B1 *3978:51 6.50727e-05 +24 *23751:B1 *4654:7 3.99086e-06 +25 *3835:7 *23628:A2 0.000118792 +26 *3835:7 *4534:10 4.31703e-05 +27 *3835:27 *23058:B 0.000157107 +28 *3835:27 *23189:B 6.18397e-05 +29 *3835:27 *23190:A 0.000121845 +30 *3835:27 *23595:B 6.08467e-05 +31 *3835:27 *23628:A2 4.30796e-05 +32 *3835:27 *23628:C1 2.1818e-05 +33 *3835:27 *3978:52 0.000224547 +34 *3835:27 *4009:116 0.000702029 +35 *3835:27 *4014:23 0.000351506 +36 *3835:27 *4091:8 0.00015917 +37 *3835:27 *4098:7 0 +38 *3835:27 *4357:21 1.5714e-05 +39 *3835:27 *4374:59 0.000411874 +40 *3835:27 *4500:16 5.41377e-05 +41 *3835:36 *23547:B 8.62625e-06 +42 *3835:36 *4561:27 0.000266971 +43 *3835:36 *4673:8 0.000618831 +44 *23628:A1 *3835:7 6.71423e-05 +45 *23628:A1 *3835:27 8.86879e-05 +46 *3082:31 *3835:27 0.000250405 +47 *3082:31 *3835:36 0.000203756 +*RES +1 *22925:Y *3835:7 13.3484 +2 *3835:7 *3835:27 45.7682 +3 *3835:27 *23058:A 9.24915 +4 *3835:27 *3835:36 17.8547 +5 *3835:36 *23751:B1 17.2697 +6 *3835:36 *23704:A 49.2166 +7 *3835:7 *23628:B1 10.4789 +*END + +*D_NET *3836 0.000766492 +*CONN +*I *22927:B I *D sky130_fd_sc_hd__or2_1 +*I *22995:A I *D sky130_fd_sc_hd__or2_2 +*I *22926:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22927:B 0.000111442 +2 *22995:A 0 +3 *22926:X 7.46807e-05 +4 *3836:7 0.000186122 +5 *22927:B *3905:11 7.92757e-06 +6 *22927:B *5629:103 0.000100493 +7 *3836:7 *3905:11 0.000154145 +8 *3836:7 *5629:103 0.000131682 +*RES +1 *22926:X *3836:7 12.0704 +2 *3836:7 *22995:A 9.24915 +3 *3836:7 *22927:B 12.191 +*END + +*D_NET *3837 0.0001116 +*CONN +*I *22928:A I *D sky130_fd_sc_hd__buf_4 +*I *22927:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22928:A 5.41602e-06 +2 *22927:X 5.41602e-06 +3 *22928:A *4538:12 3.14978e-05 +4 *22927:A *22928:A 6.92705e-05 +*RES +1 *22927:X *22928:A 19.2217 +*END + +*D_NET *3838 0.0221575 +*CONN +*I *23188:A I *D sky130_fd_sc_hd__nor2_4 +*I *23496:A_N I *D sky130_fd_sc_hd__and4b_1 +*I *23319:A I *D sky130_fd_sc_hd__nor2_4 +*I *22939:A I *D sky130_fd_sc_hd__nor2_4 +*I *23056:A1 I *D sky130_fd_sc_hd__o21ba_1 +*I *23057:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *22933:A I *D sky130_fd_sc_hd__or2_1 +*I *23451:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *23719:A1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23451:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *22928:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23188:A 0.000332201 +2 *23496:A_N 0.00028709 +3 *23319:A 0 +4 *22939:A 0 +5 *23056:A1 1.4552e-05 +6 *23057:A1 0 +7 *22933:A 0.000127245 +8 *23451:A1 0 +9 *23719:A1 0.000118574 +10 *23451:B2 0 +11 *22928:X 8.89183e-05 +12 *3838:118 0.000773665 +13 *3838:115 0.00163398 +14 *3838:47 0.000168392 +15 *3838:39 0.00064512 +16 *3838:32 0.000750467 +17 *3838:30 0.000198866 +18 *3838:24 0.00125397 +19 *3838:14 0.00183382 +20 *3838:7 0.00166947 +21 *22933:A *22933:B 1.63255e-05 +22 *23056:A1 *23211:A 6.08467e-05 +23 *23056:A1 *3958:33 1.92172e-05 +24 *23188:A *23187:A1 0.000608442 +25 *23188:A *23187:B1_N 6.08467e-05 +26 *23188:A *3852:5 0.000288614 +27 *23188:A *3874:296 0.000361753 +28 *23188:A *4106:29 0.00018643 +29 *23188:A *4397:22 0 +30 *23188:A *4500:35 2.65667e-05 +31 *23496:A_N *23496:B 0.000165459 +32 *23496:A_N *23496:D 4.10429e-05 +33 *23496:A_N *4302:8 0.000174175 +34 *23496:A_N *4403:7 0.000131019 +35 *23719:A1 *23452:B_N 0 +36 *23719:A1 *4359:5 4.97617e-05 +37 *23719:A1 *4623:11 4.9482e-06 +38 *3838:7 *4538:12 0.000109503 +39 *3838:14 *23208:A 0.000318184 +40 *3838:14 *23291:A 4.01315e-05 +41 *3838:14 *3857:18 0 +42 *3838:14 *3990:46 1.3813e-05 +43 *3838:14 *3996:26 0.000252157 +44 *3838:14 *4116:32 7.65861e-05 +45 *3838:14 *4127:30 2.79402e-05 +46 *3838:14 *5624:116 0.000249665 +47 *3838:24 *23052:A 2.65667e-05 +48 *3838:24 *23053:B 2.16355e-05 +49 *3838:24 *23054:C1 1.32369e-05 +50 *3838:24 *23291:A 4.55115e-05 +51 *3838:24 *3856:21 0.000444802 +52 *3838:24 *3868:38 0.00044692 +53 *3838:24 *4097:8 0.00044692 +54 *3838:24 *5624:116 0.000116986 +55 *3838:30 *23211:A 3.55789e-05 +56 *3838:30 *3958:33 0.000158371 +57 *3838:30 *4091:8 5.84038e-05 +58 *3838:32 *4091:8 1.94615e-05 +59 *3838:39 *4091:8 0.000240419 +60 *3838:39 *4097:12 3.31194e-06 +61 *3838:39 *4097:16 0.000232829 +62 *3838:39 *4097:23 0.000145217 +63 *3838:115 *22941:B 0 +64 *3838:115 *22942:A 0.000152984 +65 *3838:115 *23069:A 0.000155872 +66 *3838:115 *23208:A 4.18989e-05 +67 *3838:115 *3842:24 0.000304975 +68 *3838:115 *3852:5 6.50727e-05 +69 *3838:115 *3874:296 5.11708e-05 +70 *3838:115 *3990:46 1.48618e-05 +71 *3838:115 *4116:20 4.23622e-05 +72 *3838:115 *4116:32 0.000381293 +73 *3838:115 *4127:18 3.88358e-05 +74 *3838:115 *4396:35 8.75019e-05 +75 *3838:115 *5629:85 0.000344082 +76 *3838:118 *3996:26 0.000139435 +77 *3838:118 *4302:8 0.0011003 +78 *22077:A1 *3838:115 1.0529e-05 +79 *22927:A *3838:7 0.000298304 +80 *23056:A2 *3838:30 6.08467e-05 +81 *23451:A2 *3838:39 6.3657e-05 +82 *23451:A2 *3838:47 6.08467e-05 +83 *23451:B1 *23719:A1 2.07758e-05 +84 *23451:B1 *3838:39 4.81452e-05 +85 *23451:B1 *3838:47 0.000182562 +86 *23496:C *23496:A_N 0.000218567 +87 *23588:A *3838:115 1.43499e-05 +88 *3082:31 *22933:A 6.27718e-05 +89 *3082:39 *22933:A 4.43544e-05 +90 *3784:14 *23496:A_N 7.06329e-05 +91 *3784:14 *3838:118 8.72005e-05 +92 *3784:22 *3838:118 0.000709641 +93 *3784:67 *23719:A1 4.46347e-05 +94 *3785:6 *3838:24 0.000108691 +95 *3785:82 *3838:24 5.21927e-05 +96 *3786:30 *3838:118 1.37925e-05 +97 *3791:34 *3838:39 0.000292299 +98 *3791:273 *3838:30 0.000174047 +99 *3791:273 *3838:32 5.23577e-05 +100 *3791:273 *3838:39 0.000351365 +101 *3800:72 *3838:14 5.02833e-05 +102 *3831:42 *23719:A1 1.41976e-05 +103 *3831:42 *3838:39 0.000467665 +104 *3831:42 *3838:47 2.62341e-05 +*RES +1 *22928:X *3838:7 17.2456 +2 *3838:7 *3838:14 18.6581 +3 *3838:14 *3838:24 40.1885 +4 *3838:24 *3838:30 10.4043 +5 *3838:30 *3838:32 1.00149 +6 *3838:32 *3838:39 26.338 +7 *3838:39 *3838:47 2.41132 +8 *3838:47 *23451:B2 9.24915 +9 *3838:47 *23719:A1 13.1314 +10 *3838:39 *23451:A1 9.24915 +11 *3838:32 *22933:A 16.1605 +12 *3838:30 *23057:A1 13.7491 +13 *3838:24 *23056:A1 9.97254 +14 *3838:14 *22939:A 13.7491 +15 *3838:7 *3838:115 26.1956 +16 *3838:115 *3838:118 25.0183 +17 *3838:118 *23319:A 13.7491 +18 *3838:118 *23496:A_N 24.2055 +19 *3838:115 *23188:A 32.6172 +*END + +*D_NET *3839 0.000163847 +*CONN +*I *22930:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *22929:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22930:A 6.89287e-05 +2 *22929:X 6.89287e-05 +3 *22930:A *4134:30 0 +4 *22930:A *5612:72 2.59896e-05 +*RES +1 *22929:X *22930:A 29.3303 +*END + +*D_NET *3840 0.0952068 +*CONN +*I *22995:B I *D sky130_fd_sc_hd__or2_2 +*I *23334:A1 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23612:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *23778:A2 I *D sky130_fd_sc_hd__o311a_1 +*I *23057:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *22934:A I *D sky130_fd_sc_hd__inv_2 +*I *23719:A2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23339:A I *D sky130_fd_sc_hd__or3_1 +*I *23343:A I *D sky130_fd_sc_hd__nor3_1 +*I *23349:A I *D sky130_fd_sc_hd__or2_1 +*I *23356:A I *D sky130_fd_sc_hd__nor2_1 +*I *23379:A I *D sky130_fd_sc_hd__nor2_1 +*I *23371:A I *D sky130_fd_sc_hd__nor2_1 +*I *23363:A I *D sky130_fd_sc_hd__nor2_1 +*I *23620:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *23387:A I *D sky130_fd_sc_hd__nor2_1 +*I *23458:A I *D sky130_fd_sc_hd__nor3_1 +*I *22930:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *22995:B 0.000524508 +2 *23334:A1 0 +3 *23612:A1 0.000180778 +4 *23778:A2 0 +5 *23057:A2 0.000404036 +6 *22934:A 9.20191e-05 +7 *23719:A2 0.000120462 +8 *23339:A 1.5751e-05 +9 *23343:A 0 +10 *23349:A 7.53613e-05 +11 *23356:A 0.000247442 +12 *23379:A 0.000704974 +13 *23371:A 0.000104512 +14 *23363:A 0.000868161 +15 *23620:A1 1.20305e-05 +16 *23387:A 0.00312008 +17 *23458:A 9.46088e-06 +18 *22930:X 2.77422e-05 +19 *3840:307 0.000836466 +20 *3840:292 0.00137128 +21 *3840:285 0.00203835 +22 *3840:196 0.00195826 +23 *3840:191 0.00343223 +24 *3840:179 0.00259011 +25 *3840:160 0.00209677 +26 *3840:151 0.00155642 +27 *3840:147 0.00137444 +28 *3840:138 0.00201499 +29 *3840:115 0.00422877 +30 *3840:106 0.000402259 +31 *3840:101 0.00159165 +32 *3840:85 0.00194379 +33 *3840:75 0.00176224 +34 *3840:57 0.00238009 +35 *3840:42 0.00310961 +36 *3840:30 0.00114203 +37 *3840:21 0.00041665 +38 *3840:20 0.000961144 +39 *3840:7 0.00142337 +40 *22934:A *4031:39 0.000364342 +41 *22995:B *22926:C 4.31539e-05 +42 *22995:B *3905:11 7.72974e-05 +43 *22995:B *4245:6 1.09645e-05 +44 *22995:B *5600:55 3.20069e-06 +45 *22995:B *5629:103 3.82228e-05 +46 *23057:A2 *23183:B 0.000139177 +47 *23057:A2 *3849:12 0.000389699 +48 *23057:A2 *4092:10 0.0002141 +49 *23057:A2 *4547:9 0.000587179 +50 *23339:A *23339:C 2.19102e-05 +51 *23349:A *23349:B 5.04829e-06 +52 *23356:A *23025:A 5.40144e-05 +53 *23356:A *3883:18 0.000401059 +54 *23356:A *3891:24 4.12977e-05 +55 *23363:A *23031:A 3.19789e-05 +56 *23363:A *23034:D 0.000424826 +57 *23363:A *23363:B 1.64789e-05 +58 *23363:A *23365:C 0.000170955 +59 *23363:A *4275:17 4.39326e-05 +60 *23363:A *5563:44 0.00019692 +61 *23371:A *23374:B 7.12632e-06 +62 *23371:A *4275:17 5.05032e-05 +63 *23371:A *4870:26 1.91246e-05 +64 *23379:A *23379:B 2.57365e-05 +65 *23379:A *23439:A1 1.37189e-05 +66 *23379:A *23439:A2 0.000116755 +67 *23379:A *23439:B1 0.00012883 +68 *23379:A *23608:A1 5.56807e-05 +69 *23379:A *23608:A2 0.000193069 +70 *23379:A *23608:B1 0.00023494 +71 *23379:A *23608:C1 9.76653e-05 +72 *23379:A *4280:17 0 +73 *23379:A *4282:11 3.26582e-06 +74 *23379:A *4288:7 3.69326e-05 +75 *23379:A *4327:8 0 +76 *23379:A *4870:22 2.02035e-05 +77 *23387:A *23241:C1 0.000219709 +78 *23387:A *3868:302 0.000175586 +79 *23387:A *4009:72 0.000548352 +80 *23387:A *4254:48 1.43499e-05 +81 *23387:A *4396:23 0.000377266 +82 *23387:A *4627:23 0.00157464 +83 *23387:A *4695:22 0.000202101 +84 *23387:A *5671:106 0.000369625 +85 *23387:A *5919:40 0.000105105 +86 *23458:A *3902:44 1.9101e-05 +87 *23458:A *4522:8 1.91246e-05 +88 *23620:A1 *4326:113 6.64392e-05 +89 *23719:A2 *23719:B2 6.08467e-05 +90 *3840:20 *23240:A1 3.90689e-06 +91 *3840:20 *23337:B1 3.82228e-05 +92 *3840:20 *4148:6 0.00082265 +93 *3840:20 *4418:10 0.00120021 +94 *3840:21 *23334:B1 0.000190823 +95 *3840:30 *23334:B1 0.000167076 +96 *3840:30 *23334:C1 0.0002212 +97 *3840:30 *23337:A3 2.65831e-05 +98 *3840:30 *3861:301 0 +99 *3840:30 *3905:19 3.79914e-05 +100 *3840:30 *3980:8 2.02035e-05 +101 *3840:30 *4143:46 0.000110877 +102 *3840:42 *23003:D_N 0.00015954 +103 *3840:42 *23683:B 3.70027e-06 +104 *3840:42 *3905:19 0.000307679 +105 *3840:42 *3917:19 5.60804e-05 +106 *3840:42 *4143:46 2.54199e-05 +107 *3840:42 *5923:20 0.000503418 +108 *3840:57 *22996:B 0 +109 *3840:57 *23130:A 0 +110 *3840:57 *23339:C 0.000366997 +111 *3840:57 *23834:A1 0.000215611 +112 *3840:57 *23835:A1 0.000302529 +113 *3840:57 *3911:14 0.000390499 +114 *3840:57 *4134:91 0.000115626 +115 *3840:57 *4242:19 0.000193069 +116 *3840:57 *4589:8 0.000385933 +117 *3840:57 *5923:20 0.00117077 +118 *3840:75 *22082:A1 0.000113107 +119 *3840:75 *22082:B1 9.75356e-05 +120 *3840:75 *23339:C 2.16355e-05 +121 *3840:75 *23430:B1 3.17436e-05 +122 *3840:75 *25361:A 8.55378e-05 +123 *3840:75 *3902:23 0.000255603 +124 *3840:75 *4242:19 0.000154145 +125 *3840:75 *4242:22 1.1095e-05 +126 *3840:75 *4249:14 0.000527493 +127 *3840:75 *4519:22 3.11923e-05 +128 *3840:75 *5850:13 0.000213614 +129 *3840:75 *5929:10 0.00157309 +130 *3840:85 *22086:A1 0.000300565 +131 *3840:85 *25331:A 0.000431645 +132 *3840:85 *5800:49 0.000110817 +133 *3840:85 *5927:26 0.000924839 +134 *3840:85 *5927:30 0.000641095 +135 *3840:101 *23241:C1 0 +136 *3840:101 *25342:A 7.49679e-05 +137 *3840:101 *4236:107 0.000238366 +138 *3840:101 *4251:35 0.000228715 +139 *3840:101 *4524:27 1.17292e-05 +140 *3840:101 *4879:14 0.000640729 +141 *3840:101 *4879:16 0.000209597 +142 *3840:101 *5593:20 0.000225845 +143 *3840:101 *5828:24 0.00057617 +144 *3840:101 *5840:37 0.000175045 +145 *3840:101 *5918:14 0.00047519 +146 *3840:101 *5929:28 0 +147 *3840:106 *3902:44 0.00090525 +148 *3840:106 *4254:53 0.000656429 +149 *3840:106 *4522:8 0.000225179 +150 *3840:138 *3902:50 2.01653e-05 +151 *3840:138 *3904:21 1.66771e-05 +152 *3840:138 *3917:90 3.82228e-05 +153 *3840:138 *4231:11 3.51785e-06 +154 *3840:138 *4233:33 0.00105753 +155 *3840:138 *5458:22 0.00204915 +156 *3840:138 *5926:19 0.000405318 +157 *3840:147 *23322:B 1.55025e-05 +158 *3840:147 *23348:D 0.000165481 +159 *3840:147 *23354:D 2.1203e-06 +160 *3840:147 *23477:B 0.000676262 +161 *3840:147 *3860:191 0.000131793 +162 *3840:147 *3902:50 1.5471e-05 +163 *3840:147 *3902:57 4.40985e-05 +164 *3840:147 *3904:17 9.25858e-05 +165 *3840:147 *3917:90 3.53886e-05 +166 *3840:147 *3974:126 0.000953237 +167 *3840:147 *4257:9 0.00127599 +168 *3840:147 *5455:70 5.4039e-05 +169 *3840:147 *5926:35 0.000310744 +170 *3840:151 *23922:A 1.17485e-06 +171 *3840:151 *3903:48 1.46029e-06 +172 *3840:151 *3903:61 0.000117156 +173 *3840:151 *5852:117 1.01668e-06 +174 *3840:151 *5927:48 1.66771e-05 +175 *3840:160 *23025:A 0.000102338 +176 *3840:160 *3868:200 0.000256616 +177 *3840:160 *3868:213 0.000203326 +178 *3840:160 *3883:18 0.00120096 +179 *3840:160 *5785:6 1.77199e-05 +180 *3840:160 *5926:42 0.000242425 +181 *3840:160 *5927:48 0.000598414 +182 *3840:179 *23621:A_N 1.7883e-05 +183 *3840:179 *23621:B 6.36477e-05 +184 *3840:179 *3900:36 0.000378496 +185 *3840:179 *3900:40 2.1203e-06 +186 *3840:179 *3937:29 9.24123e-05 +187 *3840:191 *23028:A 0 +188 *3840:191 *23843:D1 0 +189 *3840:191 *3891:42 2.84148e-05 +190 *3840:191 *3936:14 5.68691e-06 +191 *3840:191 *4338:17 9.84873e-06 +192 *3840:285 *23120:A 0.000158371 +193 *3840:285 *23126:A 0.000870339 +194 *3840:285 *23460:A2 3.81675e-05 +195 *3840:285 *23683:B 2.93018e-06 +196 *3840:285 *3877:58 4.34402e-05 +197 *3840:285 *3911:14 2.37478e-05 +198 *3840:285 *4029:5 5.51483e-06 +199 *3840:285 *4519:14 1.66626e-05 +200 *3840:285 *4589:8 5.04829e-06 +201 *3840:292 *3846:37 0.000786459 +202 *3840:292 *4228:20 0.000636663 +203 *3840:307 *3852:22 0.000481074 +204 *3840:307 *4228:20 0.000200858 +205 wb_ack_o *3840:101 0.000160088 +206 *20054:A3 *3840:147 0.000121519 +207 *21683:A1 *23363:A 6.65597e-05 +208 *22918:B *3840:20 7.08723e-06 +209 *22926:D *22995:B 6.08467e-05 +210 *23322:A *3840:138 3.8122e-05 +211 *23322:A *3840:147 3.82228e-05 +212 *23708:A1 *3840:57 2.44031e-06 +213 *23727:A1 *23612:A1 6.08467e-05 +214 *23778:A1 *3840:285 6.08467e-05 +215 *23778:A1 *3840:292 1.15389e-05 +216 *24139:D *3840:101 0.000141319 +217 *25182:A *3840:101 4.51176e-05 +218 *657:146 *3840:151 1.1539e-05 +219 *657:146 *3840:160 0.000286345 +220 *1587:51 *3840:191 6.86848e-05 +221 *1587:137 *23379:A 6.05651e-06 +222 *1587:137 *3840:191 9.90264e-05 +223 *1697:52 *23379:A 1.97381e-05 +224 *1697:52 *3840:191 0.00043075 +225 *1731:78 *3840:179 0.00113203 +226 *1757:106 *3840:196 0.00068827 +227 *1786:43 *23363:A 5.68225e-06 +228 *1809:35 *23387:A 0.00112162 +229 *2281:13 *3840:160 2.02035e-05 +230 *2284:11 *23363:A 0.000127827 +231 *2618:18 *3840:160 2.37883e-05 +232 *2619:44 *23620:A1 2.57986e-05 +233 *2619:56 *23379:A 0.000309145 +234 *2660:80 *23387:A 0.00018077 +235 *2784:42 *3840:196 0 +236 *2785:47 *23379:A 2.26158e-05 +237 *2836:20 *23387:A 4.03384e-05 +238 *2878:44 *3840:196 0.000111758 +239 *3082:31 *23057:A2 3.54295e-05 +240 *3082:39 *23057:A2 0.000346074 +241 *3084:54 *3840:85 0.000593858 +242 *3084:63 *3840:85 0.000722001 +243 *3779:58 *3840:20 0.000170577 +244 *3781:14 *22995:B 0.000307387 +245 *3781:14 *3840:20 0.000926773 +246 *3784:56 *3840:307 0.000418728 +247 *3784:67 *23719:A2 1.79315e-05 +248 *3785:24 *23057:A2 1.87269e-05 +249 *3789:56 *3840:7 6.08467e-05 +250 *3791:15 *22995:B 3.1218e-05 +251 *3791:15 *3840:20 0.000156895 +252 *3791:27 *22934:A 0.000459887 +253 *3791:27 *23057:A2 0.000237472 +254 *3799:42 *22995:B 0 +255 *3834:8 *3840:307 0.000100036 +256 *3834:10 *3840:292 0.000431178 +257 *3834:10 *3840:307 5.30873e-05 +258 *3834:21 *3840:292 0.000207394 +*RES +1 *22930:X *3840:7 14.4725 +2 *3840:7 *3840:20 49.6592 +3 *3840:20 *3840:21 2.38721 +4 *3840:21 *3840:30 14.8744 +5 *3840:30 *3840:42 12.4239 +6 *3840:42 *3840:57 28.922 +7 *3840:57 *3840:75 47.4665 +8 *3840:75 *3840:85 44.0922 +9 *3840:85 *3840:101 45.3198 +10 *3840:101 *3840:106 17.6849 +11 *3840:106 *23458:A 14.1278 +12 *3840:106 *3840:115 3.36879 +13 *3840:115 *23387:A 30.9981 +14 *3840:115 *3840:138 14.8751 +15 *3840:138 *3840:147 49.9683 +16 *3840:147 *3840:151 4.48569 +17 *3840:151 *3840:160 22.5787 +18 *3840:160 *3840:179 40.1302 +19 *3840:179 *23620:A1 14.4725 +20 *3840:179 *3840:191 8.22827 +21 *3840:191 *3840:196 3.08978 +22 *3840:196 *23363:A 24.2075 +23 *3840:196 *23371:A 17.7377 +24 *3840:191 *23379:A 33.4432 +25 *3840:160 *23356:A 18.4971 +26 *3840:151 *23349:A 15.0271 +27 *3840:138 *23343:A 9.24915 +28 *3840:57 *23339:A 9.82786 +29 *3840:42 *3840:285 32.9218 +30 *3840:285 *3840:292 26.8891 +31 *3840:292 *23719:A2 15.5817 +32 *3840:292 *3840:307 17.6171 +33 *3840:307 *22934:A 14.4094 +34 *3840:307 *23057:A2 35.2453 +35 *3840:285 *23778:A2 9.24915 +36 *3840:30 *23612:A1 17.2456 +37 *3840:21 *23334:A1 9.24915 +38 *3840:7 *22995:B 27.3882 +*END + +*D_NET *3841 0.000669658 +*CONN +*I *22932:A I *D sky130_fd_sc_hd__buf_12 +*I *22931:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22932:A 0.00013324 +2 *22931:X 0.00013324 +3 *22932:A *22931:B 1.03403e-05 +4 *22932:A *3866:30 0.000371203 +5 *23457:D *22932:A 2.16355e-05 +*RES +1 *22931:X *22932:A 22.9833 +*END + +*D_NET *3842 0.0961944 +*CONN +*I *23300:A3 I *D sky130_fd_sc_hd__o31ai_4 +*I *22933:B I *D sky130_fd_sc_hd__or2_1 +*I *22945:A I *D sky130_fd_sc_hd__or3_1 +*I *22999:B I *D sky130_fd_sc_hd__or2_4 +*I *23214:B I *D sky130_fd_sc_hd__or2_1 +*I *23166:A1 I *D sky130_fd_sc_hd__o21ba_1 +*I *23586:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23582:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23575:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23137:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *23573:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23569:B1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23160:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23100:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23148:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23144:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *23143:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23118:A I *D sky130_fd_sc_hd__nor2_1 +*I *23130:A I *D sky130_fd_sc_hd__or2_1 +*I *22932:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23300:A3 0 +2 *22933:B 0.00101093 +3 *22945:A 4.51861e-05 +4 *22999:B 0.000131887 +5 *23214:B 1.47608e-05 +6 *23166:A1 0.00102423 +7 *23586:B1 1.08092e-05 +8 *23582:B1 0.0011879 +9 *23575:B1 0.00011316 +10 *23137:B1 0.000122844 +11 *23573:B1 0.000144577 +12 *23569:B1 6.85076e-05 +13 *23160:A1 0 +14 *23100:A 0.000357356 +15 *23148:A1 7.53792e-05 +16 *23144:B1 0.000114654 +17 *23143:A1 0 +18 *23118:A 0.000666771 +19 *23130:A 0.00171715 +20 *22932:X 0.00067781 +21 *3842:336 0.00139835 +22 *3842:247 0.000382312 +23 *3842:241 0.00171202 +24 *3842:207 0.000422435 +25 *3842:204 0.00217287 +26 *3842:183 0.00238327 +27 *3842:170 0.000543898 +28 *3842:155 0.000464697 +29 *3842:150 0.0010843 +30 *3842:144 0.000940677 +31 *3842:141 0.00222137 +32 *3842:105 0.00371596 +33 *3842:99 0.00203835 +34 *3842:92 0.00259285 +35 *3842:84 0.0029215 +36 *3842:44 0.00507703 +37 *3842:32 0.00214666 +38 *3842:28 0.000888778 +39 *3842:24 0.00292112 +40 *3842:11 0.00394182 +41 *22945:A *3846:81 1.65872e-05 +42 *22945:A *3846:87 2.16355e-05 +43 *22999:B *23000:A 2.95631e-05 +44 *22999:B *23727:B1 0.000193691 +45 *22999:B *3909:5 1.03403e-05 +46 *23100:A *23159:B1 2.16355e-05 +47 *23100:A *23160:B1 0.000116971 +48 *23100:A *4008:66 8.96314e-06 +49 *23100:A *4008:75 2.20796e-05 +50 *23100:A *4009:7 1.21461e-06 +51 *23100:A *4288:29 2.6506e-05 +52 *23118:A *23725:B1 1.98961e-05 +53 *23118:A *23725:C1 1.4031e-05 +54 *23118:A *23783:A 1.43848e-05 +55 *23118:A *23783:B 0.000154145 +56 *23118:A *23783:C 0.000154145 +57 *23118:A *23783:D 7.92757e-06 +58 *23118:A *3868:151 0.00030449 +59 *23118:A *4472:11 5.46889e-05 +60 *23118:A *4646:14 5.01835e-05 +61 *23118:A *4684:5 0.000205101 +62 *23118:A *5457:13 1.95974e-05 +63 *23130:A *23130:B 6.08467e-05 +64 *23130:A *23132:A1 7.92757e-06 +65 *23130:A *23133:D 4.31703e-05 +66 *23130:A *23834:A2 1.66771e-05 +67 *23130:A *23835:A1 1.0218e-05 +68 *23130:A *23835:C1 7.27245e-06 +69 *23130:A *3917:26 3.53539e-05 +70 *23130:A *4038:22 6.08467e-05 +71 *23130:A *4040:34 5.60804e-05 +72 *23130:A *4519:21 0.000536612 +73 *23130:A *4871:31 1.24546e-05 +74 *23130:A *5458:55 4.54793e-05 +75 *23137:B1 *23137:A1 6.3657e-05 +76 *23137:B1 *23137:A2 1.65872e-05 +77 *23137:B1 *23137:B2 0.000107496 +78 *23137:B1 *23137:C1 1.2014e-05 +79 *23144:B1 *23144:A1 8.03287e-05 +80 *23144:B1 *23144:A2 5.09367e-05 +81 *23144:B1 *4019:39 6.3657e-05 +82 *23148:A1 *23574:B1 0.000177787 +83 *23148:A1 *4055:8 6.94439e-05 +84 *23166:A1 *4495:48 8.69578e-05 +85 *23166:A1 *6001:38 0.0017461 +86 *23214:B *23214:A 6.08467e-05 +87 *23214:B *23288:A 6.08467e-05 +88 *23569:B1 *23569:A1 4.17399e-05 +89 *23573:B1 *3891:122 9.71338e-05 +90 *23575:B1 *3891:96 0.000207266 +91 *23575:B1 *5592:17 7.5301e-06 +92 *23582:B1 *23573:B2 0.000154768 +93 *23582:B1 *23731:D 0.000446665 +94 *23582:B1 *4008:13 1.61631e-05 +95 *23582:B1 *4489:8 0.000111722 +96 *23582:B1 *4748:19 0.000441788 +97 *23582:B1 *5454:15 0.000152832 +98 *23582:B1 *5456:41 0.000252264 +99 *23586:B1 *3999:16 2.02035e-05 +100 *23586:B1 *4684:34 7.13655e-06 +101 *3842:11 *3866:22 1.17108e-05 +102 *3842:11 *3866:30 6.08467e-05 +103 *3842:11 *3972:15 4.3116e-06 +104 *3842:11 *3990:25 0 +105 *3842:11 *3990:46 0 +106 *3842:24 *23049:B1 0.000367283 +107 *3842:24 *23655:D 1.04378e-05 +108 *3842:24 *3846:8 0.000170657 +109 *3842:24 *3864:12 0.000175498 +110 *3842:24 *3877:20 0.000397499 +111 *3842:24 *3990:46 0.00276654 +112 *3842:24 *4116:32 0.00030383 +113 *3842:24 *4116:38 0.0011805 +114 *3842:24 *4225:20 0.000111201 +115 *3842:24 *4396:35 0.00091259 +116 *3842:24 *4531:13 0.000200221 +117 *3842:24 *4550:10 0.000542052 +118 *3842:28 *3846:8 0.000151922 +119 *3842:28 *3877:20 0.000148564 +120 *3842:32 *23337:A1 0.000109974 +121 *3842:32 *3846:81 5.04829e-06 +122 *3842:32 *3874:28 0.000354575 +123 *3842:32 *3874:32 0.000551551 +124 *3842:32 *3926:8 0.00090608 +125 *3842:44 *23002:A 0 +126 *3842:44 *23124:B1 0.000228713 +127 *3842:44 *23133:A 3.90689e-06 +128 *3842:44 *23563:C1 7.41203e-05 +129 *3842:44 *23563:D1 0.000125455 +130 *3842:44 *23683:B 0.000197511 +131 *3842:44 *3874:32 9.46317e-05 +132 *3842:44 *3908:21 0.000202947 +133 *3842:44 *3926:8 4.35741e-05 +134 *3842:44 *3926:20 9.89304e-05 +135 *3842:44 *3927:12 2.43876e-05 +136 *3842:44 *4028:10 0.000251982 +137 *3842:44 *4031:53 0.000251592 +138 *3842:44 *4032:10 0.000716317 +139 *3842:44 *4037:13 7.63349e-05 +140 *3842:44 *4871:32 0.00168556 +141 *3842:84 *23175:A 0.00078855 +142 *3842:84 *23215:B 8.12259e-06 +143 *3842:84 *3869:55 0.000480226 +144 *3842:84 *3877:72 7.48362e-06 +145 *3842:84 *3980:93 4.86647e-05 +146 *3842:84 *3991:22 0.000202395 +147 *3842:84 *4020:42 0.000724686 +148 *3842:84 *4040:34 1.81246e-05 +149 *3842:84 *4124:20 0.000126445 +150 *3842:84 *4137:54 0.000107496 +151 *3842:84 *4228:41 0.000108405 +152 *3842:84 *4396:35 0.000108919 +153 *3842:84 *4529:26 0 +154 *3842:84 *4568:14 0.000205993 +155 *3842:84 *4871:31 7.40684e-06 +156 *3842:84 *5458:55 0 +157 *3842:92 *3869:55 0.000688882 +158 *3842:92 *4228:41 0.000685276 +159 *3842:92 *4301:40 0.000291743 +160 *3842:92 *4396:23 0 +161 *3842:92 *4495:48 0.000356842 +162 *3842:92 *4619:26 0.00015508 +163 *3842:99 *23858:A3 3.10336e-05 +164 *3842:99 *3872:73 0.000771967 +165 *3842:99 *4301:40 1.46832e-05 +166 *3842:99 *4495:48 0.000328315 +167 *3842:99 *4529:25 0.000159964 +168 *3842:99 *4684:34 0.000137566 +169 *3842:99 *5457:13 3.61672e-05 +170 *3842:99 *6001:38 0.00159382 +171 *3842:105 *23819:B 2.75226e-05 +172 *3842:105 *3868:151 0.000501653 +173 *3842:105 *4495:48 3.86927e-05 +174 *3842:105 *4684:34 0.000138599 +175 *3842:105 *5457:13 0.000301175 +176 *3842:141 *23570:B 0.000619274 +177 *3842:141 *23746:A 2.90154e-05 +178 *3842:141 *3862:58 0.00184886 +179 *3842:141 *3974:107 0.00023094 +180 *3842:141 *4001:92 0.000485433 +181 *3842:141 *4024:70 1.75293e-05 +182 *3842:141 *4126:161 1.71936e-05 +183 *3842:141 *4338:17 0.00185289 +184 *3842:141 *4540:31 1.41396e-05 +185 *3842:141 *4619:26 0.000794174 +186 *3842:141 *4664:33 3.70027e-06 +187 *3842:141 *5454:15 2.68045e-05 +188 *3842:141 *5458:13 0.000839537 +189 *3842:141 *5918:14 7.53782e-05 +190 *3842:144 *3891:122 3.33024e-05 +191 *3842:144 *4475:12 4.04447e-05 +192 *3842:150 *23140:B1 6.93425e-05 +193 *3842:150 *23520:A 0.000378451 +194 *3842:150 *23724:A 0.000502678 +195 *3842:150 *3891:122 1.07248e-05 +196 *3842:150 *3895:27 0.000362943 +197 *3842:150 *4001:92 1.87269e-05 +198 *3842:150 *4049:10 0.000504178 +199 *3842:150 *4475:12 0.000254782 +200 *3842:150 *4541:22 6.14682e-06 +201 *3842:155 *23143:A2 6.08467e-05 +202 *3842:155 *23144:C1 5.04829e-06 +203 *3842:155 *3895:27 0.000506525 +204 *3842:155 *4049:10 0.000509986 +205 *3842:155 *4050:11 1.65872e-05 +206 *3842:170 *23141:B 6.08467e-05 +207 *3842:170 *23143:A2 0.000110306 +208 *3842:170 *23528:B 4.83758e-05 +209 *3842:170 *3938:41 0 +210 *3842:170 *4050:11 9.1187e-05 +211 *3842:170 *4051:18 9.24241e-05 +212 *3842:170 *5082:62 0.000275354 +213 *3842:183 *23144:A1 0.00030153 +214 *3842:204 *23580:B 6.08467e-05 +215 *3842:204 *3886:11 0.000116111 +216 *3842:204 *3886:27 2.15348e-05 +217 *3842:204 *4009:35 6.08467e-05 +218 *3842:204 *4017:36 0.000195139 +219 *3842:204 *4055:8 7.14746e-05 +220 *3842:204 *4481:13 8.01597e-05 +221 *3842:204 *5682:25 0.000289891 +222 *3842:207 *4009:35 2.95757e-05 +223 *3842:207 *4288:29 4.20462e-05 +224 *3842:241 *23573:B2 4.54865e-05 +225 *3842:241 *4126:161 2.42732e-05 +226 *3842:241 *5454:15 0.000124521 +227 *3842:241 *5925:11 4.7851e-06 +228 *3842:247 *23573:A2 1.91246e-05 +229 *3842:247 *23851:B 2.93365e-05 +230 *3842:247 *23851:D 0.000105489 +231 *3842:247 *4024:100 8.69165e-05 +232 *3842:247 *4478:6 2.47848e-05 +233 *3842:336 *23795:C 0.000167076 +234 *3842:336 *4106:11 0.00075472 +235 *3842:336 *4116:20 1.9396e-05 +236 *3842:336 *4227:10 8.62048e-05 +237 *3842:336 *4371:24 0.000247246 +238 *3842:336 *5458:108 0.000127759 +239 *3842:336 *5458:114 0.000209876 +240 *22933:A *22933:B 1.63255e-05 +241 *22945:C *22945:A 6.08467e-05 +242 *23131:A1 *23130:A 1.03434e-05 +243 *23141:A *3842:170 9.02894e-05 +244 *23141:A *3842:204 0.000349354 +245 *23201:A *3842:24 6.61114e-05 +246 *23457:D *3842:11 2.65831e-05 +247 *23588:A *3842:24 0.000211729 +248 *566:136 *23166:A1 0.000288146 +249 *584:8 *23166:A1 0.000288146 +250 *657:171 *3842:141 5.60804e-05 +251 *1427:83 *23100:A 5.94811e-05 +252 *1455:85 *3842:204 0.000247443 +253 *1587:80 *23144:B1 0.000167651 +254 *1699:36 *23100:A 0.000222756 +255 *1699:36 *3842:207 0.000143032 +256 *1808:69 *23148:A1 0.000200797 +257 *1808:69 *3842:204 2.68066e-05 +258 *2194:12 *3842:336 0 +259 *2660:52 *3842:141 8.55012e-05 +260 *3082:39 *22933:B 0.00136621 +261 *3786:38 *3842:24 0.00015537 +262 *3786:46 *3842:24 0.000173945 +263 *3792:60 *3842:11 6.09999e-05 +264 *3827:41 *23130:A 7.92757e-06 +265 *3827:41 *3842:84 3.39207e-06 +266 *3829:97 *3842:141 0.000135106 +267 *3833:34 *3842:84 6.23101e-05 +268 *3833:56 *3842:84 3.92592e-05 +269 *3833:75 *3842:150 1.77435e-05 +270 *3838:115 *3842:24 0.000304975 +271 *3840:57 *23130:A 0 +*RES +1 *22932:X *3842:11 20.2859 +2 *3842:11 *3842:24 49.8775 +3 *3842:24 *3842:28 7.1625 +4 *3842:28 *3842:32 31.0175 +5 *3842:32 *3842:44 43.8309 +6 *3842:44 *23130:A 30.6646 +7 *3842:44 *3842:84 49.7877 +8 *3842:84 *3842:92 17.6026 +9 *3842:92 *3842:99 4.45535 +10 *3842:99 *3842:105 2.63226 +11 *3842:105 *23118:A 27.3578 +12 *3842:105 *3842:141 28.1769 +13 *3842:141 *3842:144 4.16265 +14 *3842:144 *3842:150 26.5517 +15 *3842:150 *3842:155 15.0845 +16 *3842:155 *23143:A1 9.24915 +17 *3842:155 *3842:170 17.8433 +18 *3842:170 *23144:B1 13.2037 +19 *3842:170 *3842:183 7.99641 +20 *3842:183 *23148:A1 18.0727 +21 *3842:183 *3842:204 48.3342 +22 *3842:204 *3842:207 7.1625 +23 *3842:207 *23100:A 23.257 +24 *3842:207 *23160:A1 13.7491 +25 *3842:150 *23569:B1 15.0513 +26 *3842:144 *23573:B1 16.691 +27 *3842:141 *3842:241 0.738297 +28 *3842:241 *3842:247 12.1481 +29 *3842:247 *23137:B1 12.2151 +30 *3842:247 *23575:B1 12.191 +31 *3842:241 *23582:B1 41.2677 +32 *3842:99 *23586:B1 17.4965 +33 *3842:92 *23166:A1 25.392 +34 *3842:84 *23214:B 14.4725 +35 *3842:32 *22999:B 17.4385 +36 *3842:28 *22945:A 10.5271 +37 *3842:24 *22933:B 30.5802 +38 *3842:11 *3842:336 46.8584 +39 *3842:336 *23300:A3 13.7491 +*END + +*D_NET *3843 0.00211443 +*CONN +*I *23055:A I *D sky130_fd_sc_hd__nand2_1 +*I *23211:A I *D sky130_fd_sc_hd__inv_2 +*I *22933:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23055:A 4.11823e-05 +2 *23211:A 0.00028199 +3 *22933:X 0.000195523 +4 *3843:8 0.000518695 +5 *23055:A *4199:8 0.000146098 +6 *23055:A *4500:16 0.000148144 +7 *23211:A *3958:33 5.95862e-05 +8 *23211:A *4120:7 1.41976e-05 +9 *3843:8 *4199:8 0.000174862 +10 *3843:8 *4500:16 0.000167921 +11 *3843:8 *4547:9 0.000208957 +12 *23056:A1 *23211:A 6.08467e-05 +13 *23056:A2 *23211:A 6.08467e-05 +14 *3838:30 *23211:A 3.55789e-05 +*RES +1 *22933:X *3843:8 19.2141 +2 *3843:8 *23211:A 20.0427 +3 *3843:8 *23055:A 16.4116 +*END + +*D_NET *3844 0.0053238 +*CONN +*I *23051:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23054:A2 I *D sky130_fd_sc_hd__a311oi_1 +*I *22953:C I *D sky130_fd_sc_hd__and3_1 +*I *22934:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23051:A2 0.000124125 +2 *23054:A2 0.000146657 +3 *22953:C 0.000416245 +4 *22934:Y 0 +5 *3844:15 0.000564787 +6 *3844:5 0.00071025 +7 *22953:C *23050:A 1.34689e-05 +8 *22953:C *23227:B 2.41506e-05 +9 *22953:C *23448:A 4.27003e-05 +10 *22953:C *3863:21 1.04965e-05 +11 *22953:C *4323:10 0.000545624 +12 *22953:C *4355:32 0.000329575 +13 *23051:A2 *23399:B 2.04806e-05 +14 *23051:A2 *4031:29 0.000167077 +15 *23051:A2 *4323:10 2.95757e-05 +16 *23051:A2 *4355:32 0.000158353 +17 *23054:A2 *23054:A3 4.97617e-05 +18 *23054:A2 *4323:10 6.08467e-05 +19 *3844:15 *4323:10 0.00073865 +20 *3844:15 *4355:32 0.000731523 +21 *22878:C *22953:C 0.000191041 +22 *23449:A1 *22953:C 6.16795e-05 +23 *3782:53 *22953:C 7.09666e-06 +24 *3833:247 *22953:C 0.000179635 +*RES +1 *22934:Y *3844:5 13.7491 +2 *3844:5 *22953:C 28.9873 +3 *3844:5 *3844:15 13.8743 +4 *3844:15 *23054:A2 16.691 +5 *3844:15 *23051:A2 18.3902 +*END + +*D_NET *3845 0.000915572 +*CONN +*I *22936:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *22935:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22936:A 0.000206459 +2 *22935:X 0.000206459 +3 *22936:A *23082:B 1.65872e-05 +4 *22936:A *23101:B 0.000153225 +5 *22936:A *23415:A 5.92192e-05 +6 *22936:A *3990:54 5.56367e-05 +7 *22936:A *3991:8 2.41274e-06 +8 *22936:A *4116:38 6.14273e-05 +9 *3800:84 *22936:A 0.000154145 +*RES +1 *22935:X *22936:A 33.9331 +*END + +*D_NET *3846 0.0201313 +*CONN +*I *23393:B I *D sky130_fd_sc_hd__or3_2 +*I *22937:A I *D sky130_fd_sc_hd__inv_2 +*I *22945:B I *D sky130_fd_sc_hd__or3_1 +*I *23627:B I *D sky130_fd_sc_hd__nor3_1 +*I *22959:A I *D sky130_fd_sc_hd__or2_2 +*I *23391:B I *D sky130_fd_sc_hd__nor3_1 +*I *23389:B I *D sky130_fd_sc_hd__nor3_1 +*I *23778:A3 I *D sky130_fd_sc_hd__o311a_1 +*I *23777:B I *D sky130_fd_sc_hd__or3_1 +*I *22936:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23393:B 0.000239695 +2 *22937:A 4.7421e-05 +3 *22945:B 0 +4 *23627:B 3.63179e-05 +5 *22959:A 0.000164187 +6 *23391:B 7.05799e-05 +7 *23389:B 0 +8 *23778:A3 0 +9 *23777:B 4.17689e-05 +10 *22936:X 0.000163984 +11 *3846:87 0.000529487 +12 *3846:81 0.000292689 +13 *3846:55 0.000166308 +14 *3846:51 0.00233912 +15 *3846:37 0.00240091 +16 *3846:29 0.000285392 +17 *3846:20 0.000969492 +18 *3846:8 0.00114203 +19 *22959:A *3861:211 6.63489e-05 +20 *22959:A *3869:7 0.000107496 +21 *23391:B *23389:A 0.000110297 +22 *23391:B *23391:C 1.65872e-05 +23 *23391:B *23541:A2 2.41274e-06 +24 *23391:B *4298:8 0.000154145 +25 *23391:B *4300:45 2.77625e-06 +26 *23627:B *4034:56 0.000107496 +27 *23627:B *4533:10 1.7883e-05 +28 *23777:B *23446:C 9.60216e-05 +29 *23777:B *4001:53 9.60216e-05 +30 *3846:8 *3877:20 1.87125e-05 +31 *3846:8 *4116:38 0.000373172 +32 *3846:20 *23117:A 0.000122083 +33 *3846:20 *23446:C 0.000345653 +34 *3846:20 *23674:B1 0.000440762 +35 *3846:20 *3877:20 7.14746e-05 +36 *3846:20 *3991:8 0.00044557 +37 *3846:20 *4001:26 9.58043e-06 +38 *3846:20 *4001:53 0.000212744 +39 *3846:20 *4014:23 3.99287e-05 +40 *3846:20 *4116:38 0.000431771 +41 *3846:20 *4127:30 0.000142353 +42 *3846:20 *4371:48 5.41227e-05 +43 *3846:20 *4531:14 9.39114e-06 +44 *3846:37 *3852:23 0.000251655 +45 *3846:37 *4089:76 5.75508e-05 +46 *3846:37 *4579:14 0.000130808 +47 *3846:37 *4623:11 0.000139435 +48 *3846:51 *23286:A 0.000198752 +49 *3846:51 *23505:A1 8.6297e-06 +50 *3846:51 *23658:A 0.00122549 +51 *3846:51 *23672:A2 0.000191541 +52 *3846:51 *3872:73 0.000540084 +53 *3846:51 *3958:8 4.69806e-05 +54 *3846:51 *4077:10 0.000891225 +55 *3846:51 *4077:34 0 +56 *3846:51 *4146:86 0.000195961 +57 *3846:51 *4228:29 0.000521886 +58 *3846:51 *4396:35 0 +59 *3846:51 *4557:12 0.000206551 +60 *3846:51 *4579:14 4.61962e-05 +61 *3846:51 *4619:26 9.85259e-05 +62 *3846:51 *4620:41 0.000100682 +63 *3846:51 *4623:11 4.04556e-05 +64 *3846:51 *4665:42 0 +65 *3846:51 *4686:35 7.24103e-06 +66 *3846:51 *5920:22 2.40249e-06 +67 *3846:55 *3861:211 0.000135866 +68 *3846:55 *3872:73 0.000100809 +69 *3846:55 *3877:120 3.31733e-05 +70 *3846:55 *3958:8 1.41629e-05 +71 *3846:55 *4557:12 1.94615e-05 +72 *22945:A *3846:81 1.65872e-05 +73 *22945:A *3846:87 2.16355e-05 +74 *22945:C *22937:A 1.09551e-05 +75 *22945:C *23393:B 1.4091e-06 +76 *22945:C *3846:87 3.93344e-05 +77 *23393:A *23393:B 8.79845e-05 +78 *23627:A *23627:B 6.98337e-06 +79 *23672:A1 *3846:51 4.89947e-06 +80 *23777:A *3846:51 5.83451e-05 +81 *23778:A1 *3846:37 0.000300565 +82 *3781:66 *3846:51 0.000641312 +83 *3784:75 *3846:37 0.000237472 +84 *3840:292 *3846:37 0.000786459 +85 *3842:24 *3846:8 0.000170657 +86 *3842:28 *3846:8 0.000151922 +87 *3842:32 *3846:81 5.04829e-06 +*RES +1 *22936:X *3846:8 21.297 +2 *3846:8 *3846:20 38.7939 +3 *3846:20 *23777:B 15.5811 +4 *3846:20 *3846:29 4.5 +5 *3846:29 *23778:A3 9.24915 +6 *3846:29 *3846:37 17.8688 +7 *3846:37 *3846:51 43.1367 +8 *3846:51 *3846:55 7.993 +9 *3846:55 *23389:B 9.24915 +10 *3846:55 *23391:B 11.6364 +11 *3846:51 *22959:A 17.2456 +12 *3846:37 *23627:B 15.0271 +13 *3846:8 *3846:81 5.2234 +14 *3846:81 *22945:B 9.24915 +15 *3846:81 *3846:87 3.49641 +16 *3846:87 *22937:A 10.6477 +17 *3846:87 *23393:B 12.7456 +*END + +*D_NET *3847 0.00859805 +*CONN +*I *23183:A I *D sky130_fd_sc_hd__and3_1 +*I *23449:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23227:B I *D sky130_fd_sc_hd__and3_2 +*I *23399:B I *D sky130_fd_sc_hd__and3_1 +*I *23054:A3 I *D sky130_fd_sc_hd__a311oi_1 +*I *22937:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23183:A 0.000100592 +2 *23449:A3 0.000263905 +3 *23227:B 0.000325841 +4 *23399:B 0.000296446 +5 *23054:A3 0.000166562 +6 *22937:Y 0 +7 *3847:29 0.00101384 +8 *3847:26 0.000590334 +9 *3847:6 0.000656894 +10 *3847:4 0.000259538 +11 *23054:A3 *23055:B 0 +12 *23054:A3 *4323:10 0.000154145 +13 *23183:A *23628:A2 0.000160617 +14 *23183:A *4302:7 7.92757e-06 +15 *23227:B *23717:A 0.000342477 +16 *23227:B *4323:10 3.39118e-05 +17 *23227:B *4579:14 6.92705e-05 +18 *23399:B *23050:A 0.0001425 +19 *23399:B *23051:A3 3.26226e-05 +20 *23399:B *23625:A2 0 +21 *23399:B *4031:29 6.40451e-05 +22 *23399:B *4355:32 0.000127194 +23 *23399:B *4531:14 6.07786e-05 +24 *23449:A3 *23446:B 0.000205006 +25 *23449:A3 *23503:A 0.00030039 +26 *23449:A3 *23717:A 1.41976e-05 +27 *23449:A3 *3863:21 1.5714e-05 +28 *23449:A3 *4531:14 2.26985e-05 +29 *3847:6 *23050:A 0.000529949 +30 *3847:6 *4355:32 0.000522739 +31 *3847:29 *23393:C 0.000169108 +32 *3847:29 *23449:B1 4.90264e-05 +33 *3847:29 *3852:22 0 +34 *3847:29 *4020:32 0 +35 *3847:29 *4020:34 0 +36 *3847:29 *4323:10 0.000772536 +37 *3847:29 *4495:13 1.31067e-05 +38 *22878:B *23227:B 5.1493e-06 +39 *22945:C *23183:A 6.50727e-05 +40 *22945:C *3847:26 0.000200794 +41 *22953:C *23227:B 2.41506e-05 +42 *23051:A2 *23399:B 2.04806e-05 +43 *23054:A2 *23054:A3 4.97617e-05 +44 *23393:A *23183:A 3.31745e-05 +45 *23393:A *3847:26 7.13972e-05 +46 *23449:A1 *23227:B 7.03929e-05 +47 *23449:A1 *3847:29 4.20184e-06 +48 *23449:A2 *23449:A3 3.41459e-05 +49 *3782:53 *23227:B 4.12977e-05 +50 *3784:56 *23183:A 0.000239718 +51 *3829:12 *23399:B 9.36498e-05 +52 *3833:247 *23227:B 3.74074e-05 +53 *3833:247 *23449:A3 0.000107496 +54 *3834:8 *23449:A3 1.58551e-05 +*RES +1 *22937:Y *3847:4 9.24915 +2 *3847:4 *3847:6 14.2218 +3 *3847:6 *23054:A3 17.8243 +4 *3847:6 *23399:B 23.3638 +5 *3847:4 *3847:26 2.38721 +6 *3847:26 *3847:29 18.9972 +7 *3847:29 *23227:B 22.6432 +8 *3847:29 *23449:A3 24.2636 +9 *3847:26 *23183:A 13.3002 +*END + +*D_NET *3848 0.0251724 +*CONN +*I *22943:B I *D sky130_fd_sc_hd__or2_1 +*I *22939:B I *D sky130_fd_sc_hd__nor2_4 +*I *22997:B I *D sky130_fd_sc_hd__or2_2 +*I *23562:A1 I *D sky130_fd_sc_hd__a311o_1 +*I *23389:A I *D sky130_fd_sc_hd__nor3_1 +*I *23323:A I *D sky130_fd_sc_hd__or2_1 +*I *22938:X O *D sky130_fd_sc_hd__or3_4 +*CAP +1 *22943:B 0.000200509 +2 *22939:B 0.000164258 +3 *22997:B 0 +4 *23562:A1 0 +5 *23389:A 0.000322782 +6 *23323:A 2.18282e-05 +7 *22938:X 0.000150613 +8 *3848:59 0.00126781 +9 *3848:35 0.000664316 +10 *3848:34 0.00123216 +11 *3848:30 0.00147043 +12 *3848:26 0.000950236 +13 *3848:21 0.00126734 +14 *3848:16 0.00192874 +15 *22939:B *23291:A 0.000211492 +16 *22939:B *4119:8 0.000150271 +17 *22943:B *3856:39 3.1741e-05 +18 *22943:B *4228:16 4.01573e-05 +19 *22943:B *5624:116 7.14746e-05 +20 *23389:A *23324:A 1.03403e-05 +21 *23389:A *23389:C 0.000111802 +22 *23389:A *23391:C 6.08467e-05 +23 *23389:A *3877:120 0.000211673 +24 *23389:A *3999:8 1.03403e-05 +25 *3848:16 *22955:A 2.27547e-05 +26 *3848:16 *3925:30 6.79599e-05 +27 *3848:21 *22935:A 0.0003637 +28 *3848:21 *23101:A 0.000162724 +29 *3848:21 *23727:B1 1.03403e-05 +30 *3848:21 *3925:30 0.00100184 +31 *3848:21 *3925:32 0.000159698 +32 *3848:21 *3925:40 0.00114325 +33 *3848:21 *4010:10 0.001067 +34 *3848:26 *23727:B1 2.61955e-05 +35 *3848:26 *3864:20 0.0008616 +36 *3848:26 *3996:65 0.000290619 +37 *3848:26 *3996:70 0.000561475 +38 *3848:30 *23639:A3 0.000116971 +39 *3848:30 *3864:20 0.000290198 +40 *3848:30 *3877:72 0.000619754 +41 *3848:30 *3996:70 0.00131892 +42 *3848:30 *4470:15 3.5016e-05 +43 *3848:34 *23516:C1 1.37925e-05 +44 *3848:34 *23517:B2 0.000203427 +45 *3848:34 *3877:87 0.000984873 +46 *3848:34 *3996:70 2.01595e-05 +47 *3848:34 *3996:73 0.000375526 +48 *3848:34 *3996:75 0.000186011 +49 *3848:34 *3996:79 0.000169108 +50 *3848:34 *4010:12 4.33434e-05 +51 *3848:34 *4020:54 0.000183568 +52 *3848:34 *4470:15 0.000144085 +53 *3848:34 *4643:17 0.00104103 +54 *3848:34 *4695:56 0.000434869 +55 *3848:35 *23112:A 5.23916e-05 +56 *3848:35 *23112:B 6.08467e-05 +57 *3848:35 *3860:91 0.000163243 +58 *3848:35 *3877:120 0.000445865 +59 *3848:59 *4119:8 2.77625e-06 +60 *22938:C *3848:16 7.65176e-05 +61 *22955:B *3848:16 2.36123e-05 +62 *23131:A1 *3848:30 4.04447e-05 +63 *23210:A *22939:B 3.16823e-05 +64 *23210:A *3848:21 0.000155113 +65 *23210:A *3848:59 0.00115496 +66 *23391:B *23389:A 0.000110297 +67 *3789:67 *3848:59 7.92757e-06 +68 *3833:20 *3848:16 1.94327e-05 +69 *3833:20 *3848:21 0.000238242 +70 *3833:34 *3848:30 1.00004e-05 +71 *3833:36 *3848:30 0.000108041 +*RES +1 *22938:X *3848:16 18.0112 +2 *3848:16 *3848:21 46.9196 +3 *3848:21 *3848:26 21.8679 +4 *3848:26 *3848:30 24.9884 +5 *3848:30 *3848:34 44.1199 +6 *3848:34 *3848:35 10.1517 +7 *3848:35 *23323:A 9.82786 +8 *3848:35 *23389:A 17.737 +9 *3848:26 *23562:A1 13.7491 +10 *3848:21 *22997:B 9.24915 +11 *3848:16 *3848:59 19.0885 +12 *3848:59 *22939:B 15.4221 +13 *3848:59 *22943:B 22.7442 +*END + +*D_NET *3849 0.0191417 +*CONN +*I *23546:A I *D sky130_fd_sc_hd__or2_1 +*I *23295:A I *D sky130_fd_sc_hd__or2_1 +*I *23054:B1 I *D sky130_fd_sc_hd__a311oi_1 +*I *23606:C I *D sky130_fd_sc_hd__or3_1 +*I *23820:A I *D sky130_fd_sc_hd__or4_1 +*I *23788:D I *D sky130_fd_sc_hd__nor4_2 +*I *22939:Y O *D sky130_fd_sc_hd__nor2_4 +*CAP +1 *23546:A 0.000106795 +2 *23295:A 0 +3 *23054:B1 0.000222311 +4 *23606:C 0 +5 *23820:A 0.000418564 +6 *23788:D 0 +7 *22939:Y 7.98254e-05 +8 *3849:66 0.000723494 +9 *3849:29 0.000727516 +10 *3849:21 0.00155552 +11 *3849:13 0.000633926 +12 *3849:12 0.00134097 +13 *3849:8 0.00154579 +14 *3849:5 0.000903509 +15 *23054:B1 *23055:B 0.000158921 +16 *23546:A *23296:B1 1.65872e-05 +17 *23546:A *23546:B 0.000113968 +18 *23546:A *4119:8 6.08467e-05 +19 *23820:A *23786:C 1.41976e-05 +20 *23820:A *23820:B 8.21566e-05 +21 *23820:A *4410:16 0.000134323 +22 *23820:A *4562:14 0.000134323 +23 *23820:A *4563:23 0.000111722 +24 *23820:A *4638:22 6.63489e-05 +25 *23820:A *4673:17 5.04829e-06 +26 *3849:5 *4119:8 0.000107496 +27 *3849:8 *23543:A 0.000174175 +28 *3849:8 *3854:6 0.000458624 +29 *3849:8 *4092:23 0.00061909 +30 *3849:12 *23183:B 0.000194657 +31 *3849:12 *23543:A 0.000226281 +32 *3849:12 *4092:10 7.26335e-05 +33 *3849:12 *4092:23 0.000189098 +34 *3849:12 *4397:22 2.72635e-05 +35 *3849:12 *4450:8 0.000455489 +36 *3849:12 *4534:10 0.000999027 +37 *3849:12 *4623:11 0.000842433 +38 *3849:13 *4120:34 5.04829e-06 +39 *3849:21 *23606:A 4.80635e-06 +40 *3849:21 *23606:B 5.90028e-05 +41 *3849:21 *23786:C 0.000107496 +42 *3849:21 *4097:23 5.88594e-05 +43 *3849:21 *4097:42 1.94584e-05 +44 *3849:21 *4120:17 4.62212e-05 +45 *3849:21 *4120:34 1.34424e-05 +46 *3849:29 *23678:B 0 +47 *3849:29 *23786:A 2.02035e-05 +48 *3849:29 *23787:C 0.000119186 +49 *3849:29 *23788:A 5.04829e-06 +50 *3849:29 *3872:26 0.00172272 +51 *3849:29 *4301:40 0.000115876 +52 *3849:29 *4561:44 0.000269659 +53 *3849:29 *4654:16 3.90202e-05 +54 *3849:29 *4688:10 0.00121895 +55 *3849:66 *23295:B 0.000113968 +56 *3849:66 *23546:B 0 +57 *3849:66 *4091:8 7.65861e-05 +58 *3849:66 *4119:8 0.000605021 +59 *3849:66 *4500:16 7.50872e-05 +60 *3849:66 *4697:16 0.000164829 +61 *23057:A2 *3849:12 0.000389699 +62 *23735:B1 *3849:21 6.92705e-05 +63 *23749:A *3849:29 1.96456e-05 +64 *3784:56 *3849:12 0.000116971 +65 *3784:67 *3849:12 0.000162686 +*RES +1 *22939:Y *3849:5 10.5271 +2 *3849:5 *3849:8 15.8828 +3 *3849:8 *3849:12 48.6877 +4 *3849:12 *3849:13 4.05102 +5 *3849:13 *3849:21 8.56019 +6 *3849:21 *3849:29 42.5869 +7 *3849:29 *23788:D 9.24915 +8 *3849:21 *23820:A 26.7953 +9 *3849:13 *23606:C 9.24915 +10 *3849:8 *23054:B1 17.2456 +11 *3849:5 *3849:66 24.0889 +12 *3849:66 *23295:A 9.24915 +13 *3849:66 *23546:A 12.191 +*END + +*D_NET *3850 0.00287833 +*CONN +*I *22941:B I *D sky130_fd_sc_hd__or3_1 +*I *23016:A I *D sky130_fd_sc_hd__or2_2 +*I *22940:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *22941:B 0.000161852 +2 *23016:A 0.000202499 +3 *22940:X 0.000275291 +4 *3850:10 0.000639642 +5 *22941:B *22942:A 0 +6 *22941:B *23069:A 6.3657e-05 +7 *22941:B *3874:296 8.88984e-06 +8 *23016:A *3874:296 0.000238745 +9 *23016:A *3925:24 6.49003e-05 +10 *23016:A *3926:7 2.65831e-05 +11 *3850:10 *3864:12 0.000314694 +12 *3850:10 *3877:12 7.65861e-05 +13 *22941:A *22941:B 0.000263116 +14 *22941:C *22941:B 7.92757e-06 +15 *3793:30 *3850:10 9.3588e-05 +16 *3820:18 *23016:A 7.13972e-05 +17 *3820:36 *22941:B 0.000251655 +18 *3820:36 *23016:A 0.000117308 +19 *3838:115 *22941:B 0 +*RES +1 *22940:X *3850:10 25.5117 +2 *3850:10 *23016:A 16.6519 +3 *3850:10 *22941:B 15.0122 +*END + +*D_NET *3851 0.000449 +*CONN +*I *22942:A I *D sky130_fd_sc_hd__buf_2 +*I *22941:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22942:A 7.09355e-05 +2 *22941:X 7.09355e-05 +3 *22942:A *3874:296 0.000154145 +4 *22941:B *22942:A 0 +5 *22941:C *22942:A 0 +6 *3838:115 *22942:A 0.000152984 +*RES +1 *22941:X *22942:A 21.3195 +*END + +*D_NET *3852 0.0193134 +*CONN +*I *23187:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *23185:A I *D sky130_fd_sc_hd__or2_1 +*I *22943:A I *D sky130_fd_sc_hd__or2_1 +*I *23293:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *23632:A I *D sky130_fd_sc_hd__nor2_1 +*I *23555:A I *D sky130_fd_sc_hd__nor2_1 +*I *23735:A1 I *D sky130_fd_sc_hd__o22ai_2 +*I *23735:B2 I *D sky130_fd_sc_hd__o22ai_2 +*I *22942:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23187:A1 0.000680173 +2 *23185:A 2.04709e-05 +3 *22943:A 0 +4 *23293:A2 0.000276446 +5 *23632:A 6.90297e-05 +6 *23555:A 1.90605e-05 +7 *23735:A1 0.000169642 +8 *23735:B2 0 +9 *22942:X 0.000103725 +10 *3852:59 0.000301183 +11 *3852:43 0.000139875 +12 *3852:28 0.000307786 +13 *3852:23 0.000701273 +14 *3852:22 0.00136429 +15 *3852:18 0.001396 +16 *3852:12 0.000969825 +17 *3852:8 0.000513524 +18 *3852:5 0.000874916 +19 *23185:A *4560:23 2.16355e-05 +20 *23187:A1 *23072:B 0.000785148 +21 *23187:A1 *3874:296 7.17335e-05 +22 *23187:A1 *4034:33 0.000132567 +23 *23187:A1 *4214:8 0.000132567 +24 *23293:A2 *22944:A 1.03434e-05 +25 *23293:A2 *23292:A 1.99131e-05 +26 *23293:A2 *23294:C 1.55025e-05 +27 *23293:A2 *3853:5 1.03403e-05 +28 *23555:A *3877:318 4.80635e-06 +29 *23632:A *23632:B 6.08467e-05 +30 *23632:A *23822:D 0 +31 *23632:A *3868:335 7.92757e-06 +32 *23632:A *4462:7 0.000193069 +33 *23632:A *4537:5 1.43848e-05 +34 *23735:A1 *23786:C 7.92757e-06 +35 *23735:A1 *3872:26 0.000107496 +36 *23735:A1 *4120:17 3.01683e-06 +37 *23735:A1 *4638:22 3.31745e-05 +38 *3852:5 *23072:B 0.000207266 +39 *3852:8 *4228:16 6.74811e-05 +40 *3852:8 *5456:140 8.42221e-05 +41 *3852:12 *3856:39 0.000160588 +42 *3852:12 *3868:33 7.77309e-06 +43 *3852:12 *4228:16 0.00032905 +44 *3852:12 *4560:23 0.000145447 +45 *3852:12 *5456:140 0.00032478 +46 *3852:18 *3856:10 1.62321e-05 +47 *3852:18 *3856:39 0.00027281 +48 *3852:18 *4302:8 0.00105774 +49 *3852:18 *5624:116 2.01186e-05 +50 *3852:22 *3856:10 1.9101e-05 +51 *3852:22 *4020:32 9.75356e-05 +52 *3852:22 *4020:34 0.00111341 +53 *3852:22 *4031:32 0.000381722 +54 *3852:22 *4031:39 0.000190089 +55 *3852:22 *4228:20 9.01411e-05 +56 *3852:22 *4302:8 8.45896e-06 +57 *3852:23 *3877:318 0.00033932 +58 *3852:23 *4089:76 0.000251669 +59 *3852:28 *3872:26 1.07248e-05 +60 *3852:28 *4009:116 0.000372379 +61 *3852:28 *4561:28 2.19131e-05 +62 *3852:28 *4561:44 0.000135548 +63 *3852:43 *3868:335 1.78704e-05 +64 *3852:43 *3877:318 2.57986e-05 +65 *3852:43 *4462:7 0.000110297 +66 *22914:A *23185:A 2.65831e-05 +67 *23188:A *23187:A1 0.000608442 +68 *23188:A *3852:5 0.000288614 +69 *23293:A1 *23293:A2 4.80635e-06 +70 *3784:56 *3852:22 0.000101231 +71 *3785:6 *3852:18 0.00027274 +72 *3785:10 *3852:18 0.000290629 +73 *3785:82 *3852:18 0.000116454 +74 *3833:275 *23293:A2 0.000466724 +75 *3833:275 *3852:18 8.39223e-05 +76 *3833:275 *3852:59 9.82896e-06 +77 *3833:279 *23293:A2 8.73574e-05 +78 *3834:8 *3852:22 9.4373e-05 +79 *3834:10 *3852:22 0.000479302 +80 *3834:21 *3852:22 0.000165495 +81 *3838:115 *3852:5 6.50727e-05 +82 *3840:307 *3852:22 0.000481074 +83 *3846:37 *3852:23 0.000251655 +84 *3847:29 *3852:22 0 +*RES +1 *22942:X *3852:5 13.3002 +2 *3852:5 *3852:8 7.57775 +3 *3852:8 *3852:12 20.4506 +4 *3852:12 *3852:18 27.1002 +5 *3852:18 *3852:22 39.3445 +6 *3852:22 *3852:23 13.4793 +7 *3852:23 *3852:28 15.3998 +8 *3852:28 *23735:B2 9.24915 +9 *3852:28 *23735:A1 13.3484 +10 *3852:23 *3852:43 1.8326 +11 *3852:43 *23555:A 9.82786 +12 *3852:43 *23632:A 12.2151 +13 *3852:12 *3852:59 0.723396 +14 *3852:59 *23293:A2 18.1951 +15 *3852:59 *22943:A 9.24915 +16 *3852:8 *23185:A 14.4725 +17 *3852:5 *23187:A1 36.8022 +*END + +*D_NET *3853 0.000720095 +*CONN +*I *23292:A I *D sky130_fd_sc_hd__nand2_1 +*I *22944:A I *D sky130_fd_sc_hd__inv_2 +*I *22943:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23292:A 0.000135324 +2 *22944:A 3.10085e-05 +3 *22943:X 5.38744e-05 +4 *3853:5 0.000220207 +5 *23292:A *23593:A 0.000122098 +6 *23292:A *4397:22 0.000116986 +7 *23293:A2 *22944:A 1.03434e-05 +8 *23293:A2 *23292:A 1.99131e-05 +9 *23293:A2 *3853:5 1.03403e-05 +*RES +1 *22943:X *3853:5 9.97254 +2 *3853:5 *22944:A 10.2378 +3 *3853:5 *23292:A 21.7744 +*END + +*D_NET *3854 0.00450678 +*CONN +*I *23593:A I *D sky130_fd_sc_hd__or2_2 +*I *23053:A I *D sky130_fd_sc_hd__or2_1 +*I *23543:A I *D sky130_fd_sc_hd__or2_2 +*I *22944:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23593:A 0.000442127 +2 *23053:A 0 +3 *23543:A 0.000133493 +4 *22944:Y 0 +5 *3854:6 0.00047253 +6 *3854:5 0.000781164 +7 *23543:A *4397:22 0.000178839 +8 *23593:A *23186:B 6.08467e-05 +9 *23593:A *23292:B 0.00013592 +10 *23593:A *23593:B 1.07248e-05 +11 *23593:A *4092:23 0.000190605 +12 *23593:A *4092:41 6.07091e-05 +13 *23593:A *4092:54 0.000164266 +14 *23593:A *4228:16 1.26447e-05 +15 *23593:A *4397:22 0.000257239 +16 *3854:6 *23292:B 0.000169093 +17 *3854:6 *4092:23 4.20607e-05 +18 *3854:6 *4397:22 0.000314802 +19 *22914:A *23593:A 9.85369e-05 +20 *23292:A *23593:A 0.000122098 +21 *3849:8 *23543:A 0.000174175 +22 *3849:8 *3854:6 0.000458624 +23 *3849:12 *23543:A 0.000226281 +*RES +1 *22944:Y *3854:5 13.7491 +2 *3854:5 *3854:6 13.4591 +3 *3854:6 *23543:A 20.5642 +4 *3854:6 *23053:A 13.7491 +5 *3854:5 *23593:A 30.4231 +*END + +*D_NET *3855 0.00393679 +*CONN +*I *22946:A I *D sky130_fd_sc_hd__inv_2 +*I *23674:B1 I *D sky130_fd_sc_hd__o311a_1 +*I *22945:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22946:A 0.000226875 +2 *23674:B1 0.000522666 +3 *22945:X 0 +4 *3855:5 0.000749541 +5 *22946:A *3958:33 5.481e-05 +6 *22946:A *3991:8 4.7114e-05 +7 *22946:A *3996:31 0.000185642 +8 *22946:A *4531:14 0.000317375 +9 *23674:B1 *3991:8 0.000276437 +10 *23674:B1 *4531:14 0.00111557 +11 *3846:20 *23674:B1 0.000440762 +*RES +1 *22945:X *3855:5 13.7491 +2 *3855:5 *23674:B1 34.6827 +3 *3855:5 *22946:A 21.5663 +*END + +*D_NET *3856 0.0108474 +*CONN +*I *23291:A I *D sky130_fd_sc_hd__nor2_1 +*I *23493:A I *D sky130_fd_sc_hd__or2_1 +*I *23447:A I *D sky130_fd_sc_hd__or2_1 +*I *23052:A I *D sky130_fd_sc_hd__or2_1 +*I *22946:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23291:A 0.000265772 +2 *23493:A 0 +3 *23447:A 0.000224925 +4 *23052:A 1.92616e-05 +5 *22946:Y 0.000569537 +6 *3856:39 0.000936785 +7 *3856:24 0.00126704 +8 *3856:21 0.000625339 +9 *3856:10 0.000843036 +10 *23052:A *23054:C1 1.43983e-05 +11 *23291:A *23292:B 0.000215704 +12 *23291:A *4119:8 0.000112826 +13 *23291:A *4228:16 3.92275e-05 +14 *23291:A *5624:116 4.31485e-06 +15 *23447:A *23447:B 6.08467e-05 +16 *23447:A *23625:B1 0.000107496 +17 *23447:A *4119:8 0.000154145 +18 *3856:10 *23054:C1 0.000141533 +19 *3856:10 *3958:33 2.60837e-05 +20 *3856:10 *4031:29 0.000200794 +21 *3856:10 *4228:16 0 +22 *3856:10 *4228:20 4.41269e-05 +23 *3856:21 *4228:16 0.000207564 +24 *3856:21 *4228:18 0 +25 *3856:24 *4119:8 0.000154145 +26 *3856:39 *23121:B 0.000263084 +27 *3856:39 *23185:B 0.000144546 +28 *3856:39 *3868:33 0.000116971 +29 *3856:39 *3978:9 0.000138703 +30 *3856:39 *3980:283 0.000549701 +31 *3856:39 *4228:16 0 +32 *3856:39 *4302:8 0.000364646 +33 *3856:39 *4538:12 0.000191556 +34 *3856:39 *5456:140 0.0010924 +35 *3856:39 *5624:116 0.000178789 +36 *22939:B *23291:A 0.000211492 +37 *22943:B *3856:39 3.1741e-05 +38 *23210:A *23447:A 2.48464e-05 +39 *3785:6 *3856:21 4.3116e-06 +40 *3785:10 *3856:10 0.000269679 +41 *3785:10 *3856:21 4.3116e-06 +42 *3838:14 *23291:A 4.01315e-05 +43 *3838:24 *23052:A 2.65667e-05 +44 *3838:24 *23291:A 4.55115e-05 +45 *3838:24 *3856:21 0.000444802 +46 *3852:12 *3856:39 0.000160588 +47 *3852:18 *3856:10 1.62321e-05 +48 *3852:18 *3856:39 0.00027281 +49 *3852:22 *3856:10 1.9101e-05 +*RES +1 *22946:Y *3856:10 25.6267 +2 *3856:10 *23052:A 14.4725 +3 *3856:10 *3856:21 9.62408 +4 *3856:21 *3856:24 6.3326 +5 *3856:24 *23447:A 14.0477 +6 *3856:24 *3856:39 49.4505 +7 *3856:39 *23493:A 9.24915 +8 *3856:21 *23291:A 22.4534 +*END + +*D_NET *3857 0.0505621 +*CONN +*I *22948:A I *D sky130_fd_sc_hd__inv_2 +*I *23441:A I *D sky130_fd_sc_hd__nor2_1 +*I *22968:A I *D sky130_fd_sc_hd__or2_1 +*I *23381:A I *D sky130_fd_sc_hd__nor2_1 +*I *22947:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *22948:A 0 +2 *23441:A 0 +3 *22968:A 5.14131e-05 +4 *23381:A 0 +5 *22947:X 0.000376819 +6 *3857:84 0.000766991 +7 *3857:78 0.00196537 +8 *3857:70 0.00195166 +9 *3857:59 0.00181792 +10 *3857:52 0.00180031 +11 *3857:49 0.00128102 +12 *3857:42 0.00224773 +13 *3857:26 0.00259917 +14 *3857:23 0.0013065 +15 *3857:18 0.00481849 +16 *3857:5 0.00483703 +17 *22968:A *22968:B 2.99287e-05 +18 *22968:A *4290:10 0.000158451 +19 *3857:5 *22935:A 6.08467e-05 +20 *3857:5 *3858:7 2.41274e-06 +21 *3857:5 *4531:13 7.63448e-05 +22 *3857:18 *23051:A3 4.15236e-05 +23 *3857:18 *24472:CLK 0.000720035 +24 *3857:18 *24475:CLK 0.000145551 +25 *3857:18 *3978:51 6.07511e-05 +26 *3857:18 *3996:26 4.62112e-05 +27 *3857:18 *4001:244 0.000193059 +28 *3857:18 *4003:36 0.000109469 +29 *3857:18 *4127:30 5.25019e-05 +30 *3857:18 *4138:142 0.0013124 +31 *3857:18 *4146:26 0.000231979 +32 *3857:18 *4538:20 8.45896e-06 +33 *3857:18 *4538:22 0.00127965 +34 *3857:23 *4374:55 0.000298304 +35 *3857:23 *5868:251 3.17103e-05 +36 *3857:26 *20714:B1 0.000213664 +37 *3857:26 *4138:137 9.91532e-05 +38 *3857:26 *4869:59 0.000706349 +39 *3857:26 *5815:28 0.00127173 +40 *3857:26 *5815:30 1.62321e-05 +41 *3857:42 *20985:A1 1.65872e-05 +42 *3857:42 *21017:A1 0.00037487 +43 *3857:42 *21017:B1 0.00025659 +44 *3857:42 *24383:SET_B 9.46387e-05 +45 *3857:42 *24383:CLK 1.61631e-05 +46 *3857:42 *4547:19 0.000362361 +47 *3857:42 *4547:35 2.49331e-05 +48 *3857:42 *4798:8 1.87838e-05 +49 *3857:42 *4932:56 7.92757e-06 +50 *3857:42 *5401:11 4.94594e-05 +51 *3857:42 *5868:148 7.15505e-05 +52 *3857:42 *6001:26 1.15942e-05 +53 *3857:49 *4547:35 0.00118236 +54 *3857:49 *6001:26 0.000328511 +55 *3857:52 *4933:77 0.000224493 +56 *3857:52 *5856:124 0.000113374 +57 *3857:52 *5856:134 6.42805e-05 +58 *3857:52 *6021:14 0.000103329 +59 *3857:59 *23842:A2 0.000154145 +60 *3857:59 *23857:A2 5.04829e-06 +61 *3857:59 *23857:A3 6.15226e-05 +62 *3857:59 *23858:A2 0.000200794 +63 *3857:59 *24994:A 1.39864e-05 +64 *3857:59 *4728:17 5.26835e-05 +65 *3857:70 *4801:17 0.000627447 +66 *3857:70 *4934:22 0.000171142 +67 *3857:78 *23044:A 6.3657e-05 +68 *3857:78 *23045:B 6.3657e-05 +69 *3857:78 *23444:A 6.56531e-05 +70 *3857:78 *23649:B1 5.41227e-05 +71 *3857:78 *4349:7 7.82211e-05 +72 *3857:78 *4352:21 3.99406e-05 +73 *3857:78 *4575:19 0.0002646 +74 *3857:78 *4634:28 1.27402e-05 +75 *3857:78 *4635:13 0.000156946 +76 *3857:84 *23649:B1 0.00108008 +77 *3857:84 *4022:22 0.000126471 +78 *3857:84 *4485:8 2.82537e-05 +79 *3857:84 *4486:14 5.64929e-05 +80 *3857:84 *4634:13 0.000284857 +81 *3857:84 *4634:28 0.000394591 +82 *20892:B2 *3857:52 0.00024464 +83 *20984:B2 *3857:42 0.000107496 +84 *20985:A2 *3857:42 0.000304791 +85 *20988:A2 *3857:26 0.000348381 +86 *21014:B *3857:49 0.000107496 +87 *21014:B *3857:52 0.000322786 +88 *22947:C *3857:5 4.03381e-05 +89 *24090:A0 *3857:70 0.00101537 +90 *586:13 *3857:59 0.000107496 +91 *586:13 *3857:70 1.31737e-05 +92 *823:20 *3857:49 9.96342e-05 +93 *1438:152 *3857:59 0.000158371 +94 *1485:170 *3857:70 0.000473383 +95 *1521:28 *3857:49 0.000330736 +96 *1550:73 *3857:52 0.000499651 +97 *1575:24 *3857:52 0.000120091 +98 *1581:10 *3857:52 0.000125691 +99 *1581:21 *3857:59 6.53173e-05 +100 *1809:35 *3857:78 0.00049945 +101 *1809:142 *3857:23 0.000205006 +102 *1947:45 *3857:42 0.000185216 +103 *1947:56 *3857:42 1.41182e-05 +104 *2139:8 *3857:52 6.64609e-05 +105 *2139:10 *3857:52 0.000632237 +106 *2167:18 *3857:26 2.69795e-05 +107 *2167:18 *3857:42 0.000381912 +108 *2168:28 *3857:42 1.58551e-05 +109 *2173:9 *3857:42 0.000108054 +110 *2531:29 *3857:49 5.04829e-06 +111 *2624:27 *3857:42 0.00013803 +112 *2654:17 *3857:26 1.14755e-05 +113 *2807:8 *3857:26 0.00118189 +114 *2878:47 *3857:78 4.74655e-05 +115 *2963:93 *3857:70 0.00108139 +116 *3082:22 *3857:18 0.000217407 +117 *3671:31 *3857:59 0.000893269 +118 *3838:14 *3857:18 0 +*RES +1 *22947:X *3857:5 17.1824 +2 *3857:5 *3857:18 47.6409 +3 *3857:18 *3857:23 17.4878 +4 *3857:23 *3857:26 48.6877 +5 *3857:26 *3857:42 49.5775 +6 *3857:42 *3857:49 33.2196 +7 *3857:49 *3857:52 31.6624 +8 *3857:52 *3857:59 30.9281 +9 *3857:59 *3857:70 38.0377 +10 *3857:70 *3857:78 33.5934 +11 *3857:78 *3857:84 29.0732 +12 *3857:84 *23381:A 9.24915 +13 *3857:84 *22968:A 11.0817 +14 *3857:78 *23441:A 13.7491 +15 *3857:5 *22948:A 9.24915 +*END + +*D_NET *3858 0.00141826 +*CONN +*I *23051:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23625:A2 I *D sky130_fd_sc_hd__a41o_1 +*I *22948:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23051:A3 0.000194202 +2 *23625:A2 9.4182e-05 +3 *22948:Y 5.4154e-05 +4 *3858:7 0.000342538 +5 *23051:A3 *23050:A 2.16355e-05 +6 *23051:A3 *23050:B 0.000160617 +7 *23051:A3 *3996:26 8.15663e-05 +8 *23051:A3 *4531:14 0.000118485 +9 *23625:A2 *3996:26 0.000191095 +10 *3858:7 *4531:13 2.18041e-06 +11 *23399:B *23051:A3 3.26226e-05 +12 *23399:B *23625:A2 0 +13 *3789:67 *23625:A2 8.10487e-05 +14 *3829:12 *23625:A2 0 +15 *3857:5 *3858:7 2.41274e-06 +16 *3857:18 *23051:A3 4.15236e-05 +*RES +1 *22948:Y *3858:7 14.4725 +2 *3858:7 *23625:A2 17.2421 +3 *3858:7 *23051:A3 20.6381 +*END + +*D_NET *3859 0.00111743 +*CONN +*I *22950:A I *D sky130_fd_sc_hd__buf_8 +*I *22949:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *22950:A 0.000368186 +2 *22949:X 0.000368186 +3 *3784:10 *22950:A 0.000381058 +4 *3809:32 *22950:A 0 +*RES +1 *22949:X *22950:A 37.6676 +*END + +*D_NET *3860 0.109383 +*CONN +*I *22951:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *23326:B I *D sky130_fd_sc_hd__or2_1 +*I *23343:C I *D sky130_fd_sc_hd__nor3_1 +*I *23322:C I *D sky130_fd_sc_hd__nor3_2 +*I *22993:A I *D sky130_fd_sc_hd__or2_2 +*I *22991:B I *D sky130_fd_sc_hd__or2_2 +*I *23032:A I *D sky130_fd_sc_hd__or2_1 +*I *22986:A I *D sky130_fd_sc_hd__or2_1 +*I *23323:B I *D sky130_fd_sc_hd__or2_1 +*I *22950:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *22951:A 0 +2 *23326:B 0.000181203 +3 *23343:C 0 +4 *23322:C 4.00775e-05 +5 *22993:A 0 +6 *22991:B 0 +7 *23032:A 0.000464614 +8 *22986:A 0.000129285 +9 *23323:B 0 +10 *22950:X 0.000314352 +11 *3860:191 0.000206098 +12 *3860:151 0.00221079 +13 *3860:143 0.00266905 +14 *3860:138 0.00150146 +15 *3860:131 0.000979759 +16 *3860:125 0.000778134 +17 *3860:123 0.000865455 +18 *3860:104 0.00110958 +19 *3860:101 0.000977861 +20 *3860:100 0.00138874 +21 *3860:91 0.00267215 +22 *3860:82 0.00357888 +23 *3860:78 0.0010508 +24 *3860:74 0.00125866 +25 *3860:67 0.00271394 +26 *3860:58 0.00414521 +27 *3860:47 0.00320893 +28 *3860:43 0.00257299 +29 *3860:30 0.0024731 +30 *3860:27 0.00190502 +31 *3860:22 0.00186942 +32 *3860:21 0.00140661 +33 *3860:15 0.00371424 +34 *3860:14 0.0043903 +35 *3860:8 0.00131399 +36 *22986:A *22988:A 4.88955e-05 +37 *22986:A *22988:B 0.0001163 +38 *22986:A *3868:233 0.000213818 +39 *22986:A *3868:239 6.46442e-05 +40 *22986:A *5082:62 3.57291e-06 +41 *23032:A *23037:D1 2.16355e-05 +42 *23032:A *23437:A 5.04829e-06 +43 *23032:A *3942:12 6.08467e-05 +44 *23032:A *3947:23 0.000511221 +45 *23032:A *4275:29 7.97874e-05 +46 *23322:C *23322:B 7.03364e-05 +47 *23326:B *22985:A 0.000363059 +48 *3860:8 *24818:CLK 0 +49 *3860:8 *4020:13 0.00076008 +50 *3860:8 *4146:10 0.000372364 +51 *3860:14 *20096:A1 0.00047703 +52 *3860:14 *20096:B1 1.43848e-05 +53 *3860:14 *4371:24 0.000743938 +54 *3860:14 *4374:22 0.000204126 +55 *3860:14 *5452:70 7.24043e-05 +56 *3860:14 *5469:8 0 +57 *3860:14 *5469:19 0 +58 *3860:15 *24183:RESET_B 1.47051e-05 +59 *3860:15 *24183:CLK 0.00116458 +60 *3860:15 *3974:38 5.75554e-05 +61 *3860:15 *6018:11 2.31463e-05 +62 *3860:21 *6018:11 1.44925e-05 +63 *3860:22 *24402:RESET_B 0 +64 *3860:22 *24585:SET_B 7.18816e-06 +65 *3860:22 *4844:41 0.000612483 +66 *3860:22 *5868:379 0 +67 *3860:22 *5868:397 0 +68 *3860:22 *5868:406 0 +69 *3860:22 *5868:421 0 +70 *3860:22 *5868:434 0 +71 *3860:27 *24587:CLK 6.99486e-05 +72 *3860:27 *25372:A 9.5562e-05 +73 *3860:27 *4881:169 1.6097e-05 +74 *3860:27 *5861:5 6.01574e-05 +75 *3860:30 *22051:A 3.97254e-05 +76 *3860:30 *24401:RESET_B 0.000118282 +77 *3860:30 *4841:44 0 +78 *3860:43 *20647:A1 0.000406808 +79 *3860:43 *5866:167 2.8182e-06 +80 *3860:47 *20717:A1 0.00107488 +81 *3860:47 *23600:B 0.000243944 +82 *3860:47 *4506:5 0.000107496 +83 *3860:58 *23116:A 0.000837401 +84 *3860:58 *23116:B 2.51527e-05 +85 *3860:58 *23414:B 0.000107496 +86 *3860:58 *23597:B2 4.73598e-05 +87 *3860:58 *23599:B 6.08467e-05 +88 *3860:58 *3978:62 1.92336e-05 +89 *3860:58 *3978:72 0.000453304 +90 *3860:58 *3996:48 7.01029e-06 +91 *3860:58 *3996:65 5.22654e-06 +92 *3860:58 *4014:15 0 +93 *3860:58 *4116:38 4.40272e-05 +94 *3860:58 *4214:40 0.000247443 +95 *3860:67 *3931:8 4.04447e-05 +96 *3860:67 *3980:26 0.000104754 +97 *3860:67 *4026:38 6.79169e-05 +98 *3860:67 *4246:8 4.51619e-05 +99 *3860:74 *4134:54 0.00105587 +100 *3860:74 *4246:8 0.0018193 +101 *3860:74 *5850:13 0.000340557 +102 *3860:74 *5926:19 0.000260892 +103 *3860:78 *23429:B_N 8.52802e-05 +104 *3860:78 *25361:A 1.37925e-05 +105 *3860:78 *3931:36 0.00039638 +106 *3860:78 *3931:46 0.00157715 +107 *3860:78 *4242:22 0.00171308 +108 *3860:78 *4246:8 0.000203678 +109 *3860:78 *5850:13 0.000774034 +110 *3860:78 *5926:19 0.000150179 +111 *3860:82 *3902:31 0.000726402 +112 *3860:82 *4249:14 0.000767164 +113 *3860:82 *5926:19 0.000124228 +114 *3860:82 *5929:10 1.29445e-05 +115 *3860:91 *23022:A1 7.55464e-05 +116 *3860:91 *23022:A2 5.89592e-05 +117 *3860:91 *23022:A3 7.54269e-06 +118 *3860:91 *23022:B1 0.000216849 +119 *3860:91 *23112:A 0.000211478 +120 *3860:91 *23424:A1 1.47486e-05 +121 *3860:91 *3877:120 6.77388e-05 +122 *3860:91 *3902:31 0.000230917 +123 *3860:91 *3931:74 1.72328e-05 +124 *3860:91 *4021:7 0.000154145 +125 *3860:91 *4138:110 1.06398e-05 +126 *3860:91 *4233:17 0.000108721 +127 *3860:91 *4249:14 0.000227334 +128 *3860:100 *22085:B1 2.57847e-05 +129 *3860:100 *5840:37 0.000107432 +130 *3860:101 *23776:B 0.00113022 +131 *3860:101 *3868:180 1.79408e-05 +132 *3860:101 *3883:18 0.000117093 +133 *3860:101 *3903:20 0.00155786 +134 *3860:101 *4149:18 0.00027495 +135 *3860:101 *4377:16 0 +136 *3860:101 *5453:40 7.5119e-05 +137 *3860:101 *5453:51 0.00156758 +138 *3860:101 *5456:107 0.00277408 +139 *3860:104 *22985:A 0.000187237 +140 *3860:104 *25315:A 6.08467e-05 +141 *3860:123 *22985:A 1.34865e-05 +142 *3860:123 *23342:A 0 +143 *3860:123 *23344:A 0.000221423 +144 *3860:123 *23344:B 5.77352e-05 +145 *3860:123 *23344:D 1.61631e-05 +146 *3860:123 *24138:CLK 2.42958e-05 +147 *3860:123 *25210:A 0 +148 *3860:123 *25315:A 8.62625e-06 +149 *3860:123 *3917:72 7.86639e-05 +150 *3860:123 *4236:28 1.76936e-05 +151 *3860:123 *4677:11 0.00033347 +152 *3860:123 *4677:27 0.000983928 +153 *3860:123 *5456:50 3.61701e-05 +154 *3860:123 *5592:9 0.00010906 +155 *3860:123 *5792:11 6.86251e-05 +156 *3860:123 *5925:11 3.63593e-05 +157 *3860:123 *5927:43 5.59705e-05 +158 *3860:125 *4236:28 0.000233159 +159 *3860:125 *5592:9 9.57064e-05 +160 *3860:131 *3902:60 2.6506e-05 +161 *3860:131 *4236:28 0.000807054 +162 *3860:131 *4236:39 0.000166248 +163 *3860:131 *5592:9 0.000639796 +164 *3860:131 *5927:46 5.93278e-05 +165 *3860:131 *5927:48 0.000596365 +166 *3860:138 *23619:C1 0.000154145 +167 *3860:138 *4258:9 6.36477e-05 +168 *3860:138 *5674:11 8.37648e-05 +169 *3860:138 *5927:48 0.000368336 +170 *3860:143 *23759:B 0.000158092 +171 *3860:143 *3901:8 8.88627e-05 +172 *3860:143 *3934:21 4.09154e-05 +173 *3860:143 *3938:30 0.000726185 +174 *3860:143 *4260:16 0.000787019 +175 *3860:143 *4326:85 0.000210199 +176 *3860:143 *5458:22 0.000108606 +177 *3860:151 *23620:A2 0.000210992 +178 *3860:151 *3868:239 0.000115973 +179 *3860:151 *3891:42 0.000200794 +180 *3860:151 *3901:8 0.000113456 +181 *3860:151 *5082:62 0.000131537 +182 *3860:191 *23348:A 2.33397e-05 +183 *3860:191 *5680:30 4.5841e-05 +184 *3860:191 *5681:29 0.000247443 +185 *3860:191 *5926:35 7.84479e-05 +186 irq[1] *3860:131 6.11074e-05 +187 *20093:B2 *3860:14 0 +188 *20095:A *3860:14 4.3116e-06 +189 *20101:A *3860:8 0 +190 *20754:B2 *3860:22 0.00034069 +191 *21683:A1 *23032:A 0.00144816 +192 *22085:B2 *3860:100 2.16355e-05 +193 *23322:A *23322:C 0.000158451 +194 *23554:A *3860:58 0.000467443 +195 *23599:A *3860:58 1.58551e-05 +196 *24138:D *3860:123 0.000759512 +197 *24183:D *3860:15 2.81717e-05 +198 *24587:D *3860:27 6.50586e-05 +199 *24587:D *3860:30 2.95757e-05 +200 *24588:D *3860:21 5.04829e-06 +201 *24820:D *3860:14 0.000423936 +202 *24820:RESET_B *3860:14 0.000188135 +203 *25185:A *3860:123 2.02035e-05 +204 *25186:A *3860:123 6.6824e-05 +205 *576:11 *3860:47 2.16355e-05 +206 *657:212 *3860:43 0.00018522 +207 *1427:48 *3860:143 0.000561097 +208 *1427:48 *3860:151 0.00064787 +209 *1455:82 *3860:131 0.000349931 +210 *1455:82 *3860:138 0.000364753 +211 *1471:185 *22986:A 6.71498e-05 +212 *1483:13 *3860:47 0.000107496 +213 *1585:8 *3860:30 0.00211298 +214 *1619:28 *3860:22 1.3807e-05 +215 *1619:44 *3860:43 0 +216 *1619:44 *3860:47 4.41269e-05 +217 *1705:16 *23032:A 0.000337439 +218 *1724:8 *3860:30 0.000137875 +219 *1731:70 *3860:151 7.26748e-05 +220 *1763:15 *3860:151 0.000256285 +221 *1818:29 *23032:A 7.97874e-05 +222 *1829:106 *3860:22 0.000280436 +223 *1830:13 *3860:14 0.000629922 +224 *1830:23 *3860:14 1.1934e-05 +225 *2025:7 *3860:43 6.08467e-05 +226 *2056:8 *3860:30 0 +227 *2058:11 *3860:22 0.000219701 +228 *2592:6 *3860:43 0.000188645 +229 *2624:6 *3860:22 0.00282075 +230 *2660:10 *3860:30 0.000959472 +231 *2660:15 *3860:43 0.000424187 +232 *2660:15 *3860:47 0.00232301 +233 *2714:10 *3860:151 0.000106482 +234 *2802:12 *3860:151 0.000489327 +235 *3089:86 *3860:15 3.58951e-06 +236 *3830:17 *3860:58 2.08649e-05 +237 *3831:27 *3860:58 0.00058513 +238 *3833:20 *3860:67 0 +239 *3840:147 *3860:191 0.000131793 +240 *3848:35 *3860:91 0.000163243 +*RES +1 *22950:X *3860:8 32.1235 +2 *3860:8 *3860:14 43.1454 +3 *3860:14 *3860:15 50.3604 +4 *3860:15 *3860:21 11.4808 +5 *3860:21 *3860:22 49.1707 +6 *3860:22 *3860:27 20.8155 +7 *3860:27 *3860:30 49.9335 +8 *3860:30 *3860:43 33.8332 +9 *3860:43 *3860:47 39.5698 +10 *3860:47 *3860:58 47.6849 +11 *3860:58 *3860:67 17.1647 +12 *3860:67 *3860:74 37.0635 +13 *3860:74 *3860:78 48.0648 +14 *3860:78 *3860:82 20.3463 +15 *3860:82 *3860:91 47.5922 +16 *3860:91 *23323:B 9.24915 +17 *3860:82 *3860:100 19.7063 +18 *3860:100 *3860:101 65.3656 +19 *3860:101 *3860:104 7.99641 +20 *3860:104 *3860:123 48.0056 +21 *3860:123 *3860:125 4.11588 +22 *3860:125 *3860:131 28.0662 +23 *3860:131 *3860:138 22.7784 +24 *3860:138 *3860:143 38.8627 +25 *3860:143 *3860:151 45.8762 +26 *3860:151 *22986:A 18.3153 +27 *3860:151 *23032:A 24.8731 +28 *3860:143 *22991:B 13.7491 +29 *3860:131 *22993:A 13.7491 +30 *3860:125 *3860:191 19.1043 +31 *3860:191 *23322:C 11.0817 +32 *3860:191 *23343:C 9.24915 +33 *3860:104 *23326:B 13.8548 +34 *3860:67 *22951:A 9.24915 +*END + +*D_NET *3861 0.0771206 +*CONN +*I *23393:C I *D sky130_fd_sc_hd__or3_2 +*I *23613:A I *D sky130_fd_sc_hd__nor2_2 +*I *23430:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *23417:A I *D sky130_fd_sc_hd__or2_1 +*I *23512:A I *D sky130_fd_sc_hd__or2_1 +*I *23564:A I *D sky130_fd_sc_hd__or2_1 +*I *23005:A I *D sky130_fd_sc_hd__or2_1 +*I *22959:B I *D sky130_fd_sc_hd__or2_2 +*I *23391:C I *D sky130_fd_sc_hd__nor3_1 +*I *23389:C I *D sky130_fd_sc_hd__nor3_1 +*I *23811:A1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23022:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *23725:A1 I *D sky130_fd_sc_hd__o311a_1 +*I *23009:B1 I *D sky130_fd_sc_hd__a211o_1 +*I *23611:B1 I *D sky130_fd_sc_hd__a311oi_2 +*I *23458:C I *D sky130_fd_sc_hd__nor3_1 +*I *22968:B I *D sky130_fd_sc_hd__or2_1 +*I *22974:A I *D sky130_fd_sc_hd__or2_1 +*I *22952:A I *D sky130_fd_sc_hd__inv_4 +*I *22980:A I *D sky130_fd_sc_hd__or2_1 +*I *22951:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *23393:C 5.88093e-05 +2 *23613:A 0 +3 *23430:A1 0.000475708 +4 *23417:A 0 +5 *23512:A 0 +6 *23564:A 5.88495e-05 +7 *23005:A 0 +8 *22959:B 0 +9 *23391:C 3.90832e-05 +10 *23389:C 4.15838e-05 +11 *23811:A1 0 +12 *23022:A1 6.55713e-05 +13 *23725:A1 0.000616743 +14 *23009:B1 5.93387e-05 +15 *23611:B1 4.04078e-05 +16 *23458:C 0.000120219 +17 *22968:B 0.0017851 +18 *22974:A 0.00129768 +19 *22952:A 0.000209257 +20 *22980:A 0 +21 *22951:X 0 +22 *3861:301 0.00113964 +23 *3861:271 0.000973676 +24 *3861:252 0.00128118 +25 *3861:237 0.000651103 +26 *3861:211 0.0003418 +27 *3861:202 0.00185374 +28 *3861:186 0.00190848 +29 *3861:96 0.00351687 +30 *3861:91 0.00479369 +31 *3861:79 0.00101073 +32 *3861:76 0.0010213 +33 *3861:64 0.00123704 +34 *3861:62 0.000797893 +35 *3861:54 0.000915902 +36 *3861:46 0.00137455 +37 *3861:38 0.000723765 +38 *3861:35 0.000209509 +39 *3861:33 0.000695777 +40 *3861:29 0.000857795 +41 *3861:21 0.00087775 +42 *3861:18 0.0011143 +43 *3861:17 0.00111912 +44 *3861:11 0.000666632 +45 *3861:4 0.00163621 +46 *22952:A *3895:39 2.02035e-05 +47 *22952:A *5563:39 2.37478e-05 +48 *22968:B *23381:B 8.29595e-05 +49 *22968:B *23731:A 2.16355e-05 +50 *22968:B *23731:C 2.97421e-05 +51 *22968:B *23731:D 2.65667e-05 +52 *22968:B *23851:D 6.36477e-05 +53 *22968:B *3879:16 0 +54 *22968:B *3891:106 0.000279222 +55 *22968:B *3891:122 1.65872e-05 +56 *22968:B *3895:26 0.00064693 +57 *22968:B *4024:100 0.000107496 +58 *22968:B *4290:10 1.37189e-05 +59 *22968:B *4478:6 0.000640218 +60 *22968:B *4627:23 2.29012e-05 +61 *22968:B *4634:13 4.79321e-06 +62 *22974:A *3889:24 1.91246e-05 +63 *22974:A *4676:6 1.91246e-05 +64 *23009:B1 *23009:A1 1.47978e-05 +65 *23009:B1 *23009:A2 1.65872e-05 +66 *23009:B1 *23009:C1 0.000107496 +67 *23009:B1 *3919:10 0.000154145 +68 *23022:A1 *23022:A3 0.000154145 +69 *23022:A1 *23424:A1 1.65872e-05 +70 *23389:C *4300:11 5.04829e-06 +71 *23391:C *4300:11 3.31745e-05 +72 *23391:C *4300:45 5.04829e-06 +73 *23393:C *4495:13 0.000169108 +74 *23430:A1 *23023:A2 7.00732e-05 +75 *23430:A1 *23422:B 1.80143e-06 +76 *23430:A1 *3874:40 4.88715e-07 +77 *23430:A1 *3931:65 3.73389e-05 +78 *23430:A1 *4614:22 6.66367e-05 +79 *23458:C *23458:B 0.000294093 +80 *23458:C *5904:130 0.000285424 +81 *23564:A *23427:C 2.59516e-05 +82 *23611:B1 *23611:A1 9.51479e-06 +83 *23611:B1 *23611:A2 6.3657e-05 +84 *23611:B1 *4234:13 1.03403e-05 +85 *23611:B1 *4517:24 9.95922e-06 +86 *23725:A1 *23725:A3 0.000281391 +87 *23725:A1 *3868:165 0.000131666 +88 *23725:A1 *3917:42 6.3657e-05 +89 *23725:A1 *4251:41 3.64903e-05 +90 *23725:A1 *4614:26 5.38612e-06 +91 *3861:11 *23334:D1 0.000557389 +92 *3861:11 *3899:19 0.000457706 +93 *3861:11 *3931:16 0.000205962 +94 *3861:11 *3931:26 1.65872e-05 +95 *3861:11 *4242:8 1.44611e-05 +96 *3861:11 *4242:12 6.28598e-05 +97 *3861:17 *3931:26 0.000160617 +98 *3861:17 *5452:51 0.000158371 +99 *3861:18 *23340:B 0.000141016 +100 *3861:18 *23835:B1 0.000264 +101 *3861:18 *3914:10 1.28326e-05 +102 *3861:18 *4006:30 0.000369866 +103 *3861:18 *4006:40 0.00102406 +104 *3861:18 *4242:19 2.01595e-05 +105 *3861:18 *4246:8 0.000381903 +106 *3861:18 *4336:8 0.00166121 +107 *3861:18 *4735:12 0.000782458 +108 *3861:18 *5452:36 0.00189125 +109 *3861:18 *5458:37 0.000118725 +110 *3861:21 *23430:B1 8.79485e-06 +111 *3861:29 *3899:29 0.000345005 +112 *3861:29 *4006:40 0 +113 *3861:29 *5458:37 0.000161931 +114 *3861:33 *3891:176 5.45708e-05 +115 *3861:33 *3914:14 0.000515346 +116 *3861:33 *4614:26 0 +117 *3861:35 *23811:A2 6.08467e-05 +118 *3861:35 *23811:B1 6.08467e-05 +119 *3861:35 *4237:29 1.37669e-05 +120 *3861:38 *3922:79 3.65454e-05 +121 *3861:38 *4237:29 4.95132e-05 +122 *3861:38 *4326:31 0.000195354 +123 *3861:38 *4735:12 1.21985e-05 +124 *3861:46 *3899:29 6.51626e-05 +125 *3861:46 *3918:13 2.68045e-05 +126 *3861:46 *3922:79 8.46605e-05 +127 *3861:46 *3922:96 0.0006262 +128 *3861:46 *3934:21 0.00101058 +129 *3861:46 *4233:17 1.66626e-05 +130 *3861:46 *4326:31 1.66626e-05 +131 *3861:46 *5458:37 0.00177979 +132 *3861:54 *23611:A2 6.23101e-05 +133 *3861:54 *3920:26 8.20618e-05 +134 *3861:54 *3929:15 8.4653e-05 +135 *3861:54 *3934:21 0.000335554 +136 *3861:54 *4251:41 1.43499e-05 +137 *3861:54 *5458:37 0.000349182 +138 *3861:62 *23341:A 9.56692e-05 +139 *3861:62 *23458:B 9.12416e-06 +140 *3861:62 *23611:A2 7.58517e-05 +141 *3861:62 *3920:26 0.000252565 +142 *3861:62 *3922:106 0.000649364 +143 *3861:62 *3929:15 0.000464048 +144 *3861:62 *4231:54 0.00033311 +145 *3861:62 *4234:26 2.97007e-05 +146 *3861:64 *23458:B 4.24988e-05 +147 *3861:64 *4231:54 0.000141244 +148 *3861:64 *4326:60 4.3116e-06 +149 *3861:76 *23230:A 0.000355498 +150 *3861:76 *23473:A 0.000753702 +151 *3861:76 *23688:B 0.000107496 +152 *3861:76 *23794:D 2.28928e-05 +153 *3861:76 *4024:74 0.000263284 +154 *3861:76 *4024:76 0.000133426 +155 *3861:76 *4106:180 7.50872e-05 +156 *3861:76 *4126:184 6.31151e-05 +157 *3861:76 *4139:11 0.000133626 +158 *3861:76 *4153:11 0.000119836 +159 *3861:76 *4231:54 4.3314e-05 +160 *3861:76 *4326:60 4.55372e-05 +161 *3861:76 *4326:77 0.000941447 +162 *3861:76 *4694:10 5.47392e-05 +163 *3861:79 *22980:B 6.08467e-05 +164 *3861:91 *22980:B 0.000107496 +165 *3861:91 *4138:41 6.46249e-06 +166 *3861:91 *4627:23 1.87065e-05 +167 *3861:91 *4694:10 1.77894e-05 +168 *3861:96 *3862:58 0.00192731 +169 *3861:96 *4338:17 0.00402171 +170 *3861:96 *4744:20 0.000232423 +171 *3861:186 *23005:B 4.34012e-05 +172 *3861:202 *23005:B 0.000488885 +173 *3861:202 *23102:A 0.000247443 +174 *3861:202 *23102:B 1.15389e-05 +175 *3861:202 *23109:A 0.000200794 +176 *3861:202 *23109:B 1.5962e-05 +177 *3861:202 *23112:B 0.000200794 +178 *3861:202 *23510:A 2.46913e-05 +179 *3861:202 *23517:B2 0.000211021 +180 *3861:202 *3915:7 0.000205101 +181 *3861:202 *4138:112 3.96496e-05 +182 *3861:202 *4417:7 7.77744e-05 +183 *3861:202 *4545:8 7.98171e-06 +184 *3861:211 *3869:7 0.000154145 +185 *3861:211 *3877:120 1.70077e-05 +186 *3861:211 *4138:112 0.000436841 +187 *3861:211 *4557:12 0.000172654 +188 *3861:237 *23422:A 9.95542e-06 +189 *3861:237 *23422:B 8.1597e-05 +190 *3861:237 *23423:B1 2.57986e-05 +191 *3861:237 *23427:A 1.45721e-05 +192 *3861:237 *23427:C 3.69721e-05 +193 *3861:237 *23429:A_N 0.000212189 +194 *3861:237 *23564:B 3.90491e-05 +195 *3861:237 *3872:45 0.000105515 +196 *3861:237 *3891:176 2.14842e-06 +197 *3861:237 *3914:14 3.59934e-05 +198 *3861:252 *23427:C 4.0999e-05 +199 *3861:252 *23516:A1 8.1118e-05 +200 *3861:252 *3872:45 0.000718241 +201 *3861:252 *4471:10 5.52373e-05 +202 *3861:252 *4544:22 0.000157788 +203 *3861:271 *23088:A 0.000218263 +204 *3861:271 *23513:A 0.00017422 +205 *3861:271 *23516:B1 0.000258128 +206 *3861:271 *23614:B_N 0.000111722 +207 *3861:271 *23817:A1 9.43616e-05 +208 *3861:271 *3991:22 4.0143e-05 +209 *3861:271 *4016:13 0.000421467 +210 *3861:271 *4127:36 0.000137936 +211 *3861:271 *4326:14 0.000203604 +212 *3861:271 *4326:19 0.000190037 +213 *3861:271 *4352:39 7.77309e-06 +214 *3861:271 *4695:68 8.48815e-05 +215 *3861:301 *23117:A 2.81262e-05 +216 *3861:301 *23226:A 5.19897e-05 +217 *3861:301 *23235:C_N 0.000207266 +218 *3861:301 *23334:D1 1.65872e-05 +219 *3861:301 *3877:33 0.000457669 +220 *3861:301 *3905:14 0.000159185 +221 *3861:301 *3911:8 0.000162782 +222 *3861:301 *4026:5 0.00119337 +223 *3861:301 *4031:39 0.000937105 +224 *22959:A *3861:211 6.63489e-05 +225 *22968:A *22968:B 2.99287e-05 +226 *23332:A *3861:11 1.00114e-05 +227 *23389:A *23389:C 0.000111802 +228 *23389:A *23391:C 6.08467e-05 +229 *23391:B *23391:C 1.65872e-05 +230 *23517:A1 *3861:202 9.80242e-07 +231 *1587:91 *3861:79 6.50586e-05 +232 *1587:91 *3861:91 9.61086e-05 +233 *2618:18 *22952:A 2.2397e-05 +234 *2618:18 *22974:A 0.000379107 +235 *2963:93 *22968:B 3.56906e-05 +236 *2963:93 *3861:91 2.82932e-05 +237 *3002:69 *3861:91 0 +238 *3829:67 *3861:252 0.000157788 +239 *3831:21 *3861:301 0.000584672 +240 *3831:56 *3861:271 7.55673e-05 +241 *3840:30 *3861:301 0 +242 *3846:55 *3861:211 0.000135866 +243 *3847:29 *23393:C 0.000169108 +244 *3860:91 *23022:A1 7.55464e-05 +*RES +1 *22951:X *3861:4 9.24915 +2 *3861:4 *3861:11 26.9604 +3 *3861:11 *3861:17 8.02053 +4 *3861:17 *3861:18 61.4207 +5 *3861:18 *3861:21 3.6099 +6 *3861:21 *3861:29 5.17591 +7 *3861:29 *3861:33 14.1776 +8 *3861:33 *3861:35 2.38721 +9 *3861:35 *3861:38 8.40826 +10 *3861:38 *3861:46 7.99681 +11 *3861:46 *3861:54 6.60942 +12 *3861:54 *3861:62 24.6464 +13 *3861:62 *3861:64 3.07775 +14 *3861:64 *3861:76 45.721 +15 *3861:76 *3861:79 5.2234 +16 *3861:79 *22980:A 9.24915 +17 *3861:79 *3861:91 11.0668 +18 *3861:91 *3861:96 9.37649 +19 *3861:96 *22952:A 17.8141 +20 *3861:96 *22974:A 20.4913 +21 *3861:91 *22968:B 47.9633 +22 *3861:64 *23458:C 18.3789 +23 *3861:62 *23611:B1 15.0513 +24 *3861:54 *23009:B1 16.1605 +25 *3861:46 *23725:A1 22.7007 +26 *3861:38 *23022:A1 16.1364 +27 *3861:35 *23811:A1 9.24915 +28 *3861:33 *3861:186 7.01694 +29 *3861:186 *3861:202 31.2748 +30 *3861:202 *3861:211 18.6419 +31 *3861:211 *23389:C 10.5271 +32 *3861:211 *23391:C 10.5271 +33 *3861:202 *22959:B 9.24915 +34 *3861:186 *23005:A 9.24915 +35 *3861:29 *3861:237 12.6966 +36 *3861:237 *23564:A 10.9612 +37 *3861:237 *3861:252 20.5656 +38 *3861:252 *23512:A 9.24915 +39 *3861:252 *3861:271 46.0312 +40 *3861:271 *23417:A 9.24915 +41 *3861:21 *23430:A1 19.1036 +42 *3861:11 *23613:A 9.24915 +43 *3861:4 *3861:301 47.4426 +44 *3861:301 *23393:C 16.8269 +*END + +*D_NET *3862 0.0531309 +*CONN +*I *22953:B I *D sky130_fd_sc_hd__and3_1 +*I *23425:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23004:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23710:A1 I *D sky130_fd_sc_hd__a211o_1 +*I *23579:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *23773:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23039:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *22952:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22953:B 0 +2 *23425:A3 0.000145694 +3 *23004:A1 2.33716e-05 +4 *23710:A1 0.000417112 +5 *23579:A1 0.00120757 +6 *23773:A1 0 +7 *23039:A1 0.000137119 +8 *22952:Y 1.98947e-05 +9 *3862:113 0.00219384 +10 *3862:85 0.000247245 +11 *3862:77 0.00364684 +12 *3862:58 0.00678547 +13 *3862:37 0.00694258 +14 *3862:15 0.000883275 +15 *3862:7 0.00150753 +16 *23004:A1 *23004:A2 0.000107496 +17 *23004:A1 *23708:C1 0.000111722 +18 *23039:A1 *23039:A2 6.50586e-05 +19 *23039:A1 *23483:B 0.000143017 +20 *23039:A1 *23622:B 7.92757e-06 +21 *23039:A1 *4284:8 0.000139435 +22 *23425:A3 *23004:A2 0.000675898 +23 *23425:A3 *23708:C1 0.000477336 +24 *23425:A3 *3909:40 0.000205101 +25 *23579:A1 *23579:A2 6.08467e-05 +26 *23579:A1 *3888:24 1.58551e-05 +27 *23579:A1 *3893:34 4.27003e-05 +28 *23579:A1 *4137:28 9.24241e-05 +29 *23579:A1 *4486:13 3.99086e-06 +30 *23579:A1 *4598:13 0.000438346 +31 *23579:A1 *5674:15 0.000801436 +32 *23579:A1 *5674:19 2.64182e-05 +33 *23710:A1 *23710:C1 2.95835e-05 +34 *23710:A1 *23739:C1 1.85963e-05 +35 *23710:A1 *23818:A1 1.61631e-05 +36 *23710:A1 *4037:14 2.27135e-05 +37 *23710:A1 *4042:19 0.000165315 +38 *23710:A1 *4333:15 4.20184e-06 +39 *23710:A1 *4631:13 3.36437e-05 +40 *23710:A1 *4631:19 4.09932e-05 +41 *3862:7 *23361:A 6.08467e-05 +42 *3862:15 *22976:A 0 +43 *3862:15 *23041:A 0 +44 *3862:15 *23479:B 0.000134797 +45 *3862:15 *23773:A2 1.65872e-05 +46 *3862:15 *23773:A3 0.000402462 +47 *3862:15 *3877:184 0.000177049 +48 *3862:15 *3877:194 0.000113124 +49 *3862:15 *3886:7 0.000113011 +50 *3862:15 *4269:6 0.000221185 +51 *3862:15 *4618:19 8.24112e-05 +52 *3862:15 *5929:38 0.000359579 +53 *3862:37 *23362:A 0.000100233 +54 *3862:37 *23479:B 0.000138836 +55 *3862:37 *23481:B 6.87503e-05 +56 *3862:37 *23481:C 1.18938e-05 +57 *3862:37 *3895:27 0.00111999 +58 *3862:37 *5082:62 0 +59 *3862:37 *5929:37 0.000717854 +60 *3862:37 *5929:38 0.00023397 +61 *3862:58 *23818:C1 0.000110809 +62 *3862:58 *3895:27 0.000336955 +63 *3862:58 *4042:20 0.000142472 +64 *3862:58 *4126:124 0.000881698 +65 *3862:58 *4126:137 0.000396677 +66 *3862:58 *4126:161 0.000338135 +67 *3862:58 *4137:47 0.00048664 +68 *3862:58 *4138:97 0.000232712 +69 *3862:58 *4338:17 0.00202942 +70 *3862:58 *4664:33 0.00045202 +71 *3862:58 *4695:56 0 +72 *3862:58 *4744:20 0.00436747 +73 *3862:58 *5923:20 5.92722e-05 +74 *3862:58 *5929:37 0.000339394 +75 *3862:77 *4126:124 0.0019818 +76 *3862:77 *5923:20 0.000180424 +77 *3862:85 *22996:B 0.000119789 +78 *3862:85 *4026:50 1.9101e-05 +79 *3862:85 *4630:10 4.69495e-06 +80 *3862:113 *23002:A 0.000359649 +81 *3862:113 *23683:B 0 +82 *3862:113 *23719:B2 8.79845e-05 +83 *3862:113 *23727:B1 0.000343543 +84 *3862:113 *3864:20 1.5714e-05 +85 *3862:113 *3874:32 0.0007042 +86 *3862:113 *3907:23 0.000146636 +87 *3862:113 *3909:20 0.000301995 +88 *3862:113 *3909:24 0.000297614 +89 *3862:113 *3974:83 3.91823e-05 +90 *3862:113 *3980:42 0 +91 *3862:113 *3996:65 1.91246e-05 +92 *3862:113 *4031:53 0 +93 *3862:113 *4126:124 2.05082e-05 +94 *3862:113 *4135:8 1.72799e-05 +95 *3862:113 *5923:20 7.10462e-05 +96 *22999:A *3862:113 8.32399e-05 +97 *1755:51 *3862:15 0.00220177 +98 *2405:34 *3862:37 5.82495e-05 +99 *2406:14 *3862:7 2.16355e-05 +100 *3827:41 *3862:113 8.48475e-05 +101 *3833:34 *3862:113 8.12259e-06 +102 *3833:56 *3862:58 0 +103 *3833:63 *3862:58 1.65675e-05 +104 *3842:141 *3862:58 0.00184886 +105 *3861:96 *3862:58 0.00192731 +*RES +1 *22952:Y *3862:7 14.4725 +2 *3862:7 *3862:15 38.2924 +3 *3862:15 *23039:A1 22.7684 +4 *3862:15 *23773:A1 9.24915 +5 *3862:7 *3862:37 28.4814 +6 *3862:37 *23579:A1 44.8845 +7 *3862:37 *3862:58 30.5365 +8 *3862:58 *23710:A1 24.9866 +9 *3862:58 *3862:77 4.16537 +10 *3862:77 *3862:85 8.78865 +11 *3862:85 *23004:A1 10.5271 +12 *3862:85 *23425:A3 17.1824 +13 *3862:77 *3862:113 43.0176 +14 *3862:113 *22953:B 9.24915 +*END + +*D_NET *3863 0.00836618 +*CONN +*I *23050:A I *D sky130_fd_sc_hd__or2_1 +*I *23446:A I *D sky130_fd_sc_hd__or3_1 +*I *23779:A I *D sky130_fd_sc_hd__or4b_2 +*I *22953:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *23050:A 0.000855307 +2 *23446:A 1.2055e-05 +3 *23779:A 0.000555188 +4 *22953:X 0 +5 *3863:21 0.00100074 +6 *3863:5 0.000688562 +7 *23050:A *23050:B 1.92481e-05 +8 *23050:A *23051:B1 0.000401588 +9 *23050:A *23052:B 1.65872e-05 +10 *23050:A *23448:A 0.000104731 +11 *23050:A *4355:32 0.000193324 +12 *23050:A *4531:14 0.00142253 +13 *23779:A *23126:B 3.19789e-05 +14 *23779:A *23674:C1 0.000145385 +15 *23779:A *23778:C1 0.000228344 +16 *23779:A *23779:C 1.41976e-05 +17 *23779:A *4034:56 0.000166533 +18 *23779:A *4146:60 3.75227e-05 +19 *23779:A *4531:14 0.000127603 +20 *3863:21 *4531:14 3.25867e-05 +21 *22953:C *23050:A 1.34689e-05 +22 *22953:C *3863:21 1.04965e-05 +23 *23051:A1 *23050:A 0.000124083 +24 *23051:A3 *23050:A 2.16355e-05 +25 *23393:A *23050:A 0.000501236 +26 *23399:B *23050:A 0.0001425 +27 *23449:A3 *3863:21 1.5714e-05 +28 *3784:88 *23779:A 0.000160384 +29 *3833:247 *23446:A 6.50727e-05 +30 *3833:247 *23779:A 0.000570109 +31 *3833:247 *3863:21 9.66809e-05 +32 *3834:8 *23446:A 6.08467e-05 +33 *3847:6 *23050:A 0.000529949 +*RES +1 *22953:X *3863:5 13.7491 +2 *3863:5 *23779:A 32.9657 +3 *3863:5 *3863:21 3.3953 +4 *3863:21 *23446:A 14.4725 +5 *3863:21 *23050:A 48.7564 +*END + +*D_NET *3864 0.0295429 +*CONN +*I *22955:D I *D sky130_fd_sc_hd__or4_1 +*I *23624:A1 I *D sky130_fd_sc_hd__o221ai_1 +*I *22996:A I *D sky130_fd_sc_hd__nor2_1 +*I *22954:X O *D sky130_fd_sc_hd__or3_4 +*CAP +1 *22955:D 5.90594e-05 +2 *23624:A1 0.000379242 +3 *22996:A 1.71889e-05 +4 *22954:X 0.000333647 +5 *3864:28 0.000597946 +6 *3864:20 0.00150191 +7 *3864:19 0.00152256 +8 *3864:15 0.000441579 +9 *3864:12 0.00286064 +10 *3864:11 0.00303393 +11 *22955:D *22955:A 0.000177496 +12 *22996:A *4126:98 2.16355e-05 +13 *23624:A1 *23624:B1 0 +14 *23624:A1 *4001:53 1.55462e-05 +15 *23624:A1 *4126:98 0.000324105 +16 *23624:A1 *4530:18 0.000337764 +17 *3864:11 *22954:B 0.000160617 +18 *3864:11 *23221:A1 0.000185034 +19 *3864:11 *4129:7 2.51527e-05 +20 *3864:11 *4130:8 5.481e-05 +21 *3864:12 *23065:A 6.17339e-05 +22 *3864:12 *23086:B 0 +23 *3864:12 *23237:B 0 +24 *3864:12 *3877:12 8.25792e-05 +25 *3864:12 *3990:46 0.000259274 +26 *3864:12 *3993:10 0 +27 *3864:12 *4003:8 1.53273e-05 +28 *3864:12 *4116:32 0.000292262 +29 *3864:12 *4126:6 0 +30 *3864:12 *4126:21 0.000433876 +31 *3864:12 *4126:26 0.000248866 +32 *3864:12 *4401:10 0 +33 *3864:12 *5456:150 2.20585e-05 +34 *3864:15 *3990:54 1.19982e-05 +35 *3864:15 *4001:26 5.89607e-05 +36 *3864:15 *5624:116 6.38355e-05 +37 *3864:19 *22955:A 0 +38 *3864:19 *5624:116 6.25838e-06 +39 *3864:20 *3877:58 1.1539e-05 +40 *3864:20 *3877:72 0.000209931 +41 *3864:20 *3927:12 2.13394e-05 +42 *3864:20 *3996:65 4.48158e-05 +43 *3864:28 *4010:12 0.000118485 +44 *3864:28 *4568:14 9.2346e-06 +45 *22101:A1 *3864:28 0.000200794 +46 *22900:A2 *3864:12 0.000165495 +47 *22913:B *3864:12 1.5714e-05 +48 *22918:B *3864:19 0 +49 *22938:C *3864:15 5.10435e-05 +50 *22938:C *3864:19 1.49921e-05 +51 *23015:B *3864:12 0.000116791 +52 *23091:B *3864:19 6.21488e-06 +53 *23128:A *3864:20 2.10816e-05 +54 *23128:B *3864:20 0.00014186 +55 *23201:A *3864:12 0.000141924 +56 *23202:A *3864:12 0.000162873 +57 *23210:A *3864:19 0 +58 *23221:B1 *3864:11 3.91832e-05 +59 *23221:B1 *3864:12 1.2693e-05 +60 *23416:A *23624:A1 0.000325863 +61 *23425:A1 *22996:A 6.50727e-05 +62 *23425:A1 *23624:A1 0.0002886 +63 *23625:A4 *3864:12 0.000103304 +64 *1809:115 *23624:A1 0.000682276 +65 *1809:115 *3864:28 9.60216e-05 +66 *2187:13 *3864:11 0.000115615 +67 *3793:30 *3864:12 0.000458584 +68 *3807:10 *3864:12 1.2693e-05 +69 *3809:47 *3864:12 0.000173465 +70 *3809:50 *3864:12 0 +71 *3809:54 *3864:12 0 +72 *3810:8 *3864:12 0.00151211 +73 *3811:8 *3864:12 0.00304082 +74 *3811:10 *3864:12 0.000254758 +75 *3820:18 *3864:20 0.00114571 +76 *3822:16 *3864:20 0.00325972 +77 *3822:25 *3864:20 7.16002e-05 +78 *3827:13 *3864:19 4.31323e-06 +79 *3827:41 *3864:20 0.000158304 +80 *3833:20 *22955:D 1.5714e-05 +81 *3833:20 *3864:20 0.000838767 +82 *3833:34 *3864:20 4.15201e-05 +83 *3833:275 *22955:D 0.000107496 +84 *3842:24 *3864:12 0.000175498 +85 *3848:26 *3864:20 0.0008616 +86 *3848:30 *3864:20 0.000290198 +87 *3850:10 *3864:12 0.000314694 +88 *3862:113 *3864:20 1.5714e-05 +*RES +1 *22954:X *3864:11 24.6483 +2 *3864:11 *3864:12 105.437 +3 *3864:12 *3864:15 3.87761 +4 *3864:15 *3864:19 3.72463 +5 *3864:19 *3864:20 64.7427 +6 *3864:20 *3864:28 18.1345 +7 *3864:28 *22996:A 9.97254 +8 *3864:28 *23624:A1 34.7048 +9 *3864:15 *22955:D 19.3291 +*END + +*D_NET *3865 0.00273181 +*CONN +*I *23049:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23415:A I *D sky130_fd_sc_hd__inv_2 +*I *22955:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23049:A2 0 +2 *23415:A 0.000156668 +3 *22955:X 0.000331075 +4 *3865:8 0.000487743 +5 *23415:A *3990:54 7.41203e-05 +6 *23415:A *3991:8 0.000205006 +7 *23415:A *4116:38 0.000231378 +8 *3865:8 *3990:54 0.000242731 +9 *3865:8 *4116:38 0.000699649 +10 *22936:A *23415:A 5.92192e-05 +11 *22955:C *3865:8 0.000107496 +12 *23625:A4 *3865:8 0.000136721 +*RES +1 *22955:X *3865:8 27.6557 +2 *3865:8 *23415:A 20.0446 +3 *3865:8 *23049:A2 13.7491 +*END + +*D_NET *3866 0.0249886 +*CONN +*I *23219:A I *D sky130_fd_sc_hd__or2_1 +*I *23310:C I *D sky130_fd_sc_hd__or3_4 +*I *23312:A I *D sky130_fd_sc_hd__nor2_1 +*I *23216:A I *D sky130_fd_sc_hd__or2_1 +*I *23063:B I *D sky130_fd_sc_hd__or2_1 +*I *23070:A I *D sky130_fd_sc_hd__or2_1 +*I *22966:D I *D sky130_fd_sc_hd__or4_1 +*I *22961:D I *D sky130_fd_sc_hd__or4_1 +*I *22963:D I *D sky130_fd_sc_hd__or4_1 +*I *22957:D I *D sky130_fd_sc_hd__or4_1 +*I *23309:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *22956:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23219:A 0.000164041 +2 *23310:C 0.000167674 +3 *23312:A 5.08718e-05 +4 *23216:A 0.000536061 +5 *23063:B 0 +6 *23070:A 0 +7 *22966:D 0.000113248 +8 *22961:D 0 +9 *22963:D 9.00512e-05 +10 *22957:D 9.7375e-05 +11 *23309:A1 0 +12 *22956:Y 0.000197231 +13 *3866:93 0.000979756 +14 *3866:55 0.000360412 +15 *3866:43 0.000337215 +16 *3866:35 0.000449794 +17 *3866:30 0.00115604 +18 *3866:22 0.00142463 +19 *3866:18 0.00150241 +20 *3866:16 0.00143074 +21 *3866:15 0.00102511 +22 *3866:7 0.000734117 +23 *22957:D *22957:C 8.60676e-05 +24 *22957:D *5624:67 0.000260343 +25 *22963:D *22963:C 4.49485e-05 +26 *22966:D *22961:B 1.37669e-05 +27 *22966:D *22966:C 0.000143753 +28 *22966:D *22967:A 6.36477e-05 +29 *22966:D *5624:129 1.37189e-05 +30 *22966:D *5627:41 0 +31 *22966:D *5627:51 0.000113861 +32 *23216:A *4126:299 0.000115933 +33 *23216:A *5632:28 8.36615e-05 +34 *23219:A *22895:B1 9.35753e-06 +35 *23219:A *23219:B 3.67528e-06 +36 *23219:A *3984:6 0 +37 *23219:A *4128:8 0 +38 *23219:A *4882:113 6.50586e-05 +39 *23310:C *3985:28 5.04829e-06 +40 *23310:C *5600:33 7.58739e-05 +41 *23310:C *5600:39 6.46135e-05 +42 *23312:A *23312:B 7.60137e-05 +43 *3866:7 *22956:A 0.000214279 +44 *3866:15 *5932:13 0.000209255 +45 *3866:16 *22895:B1 5.66868e-06 +46 *3866:16 *4131:8 0.000293286 +47 *3866:16 *4219:22 0.000282018 +48 *3866:18 *23080:B 5.05252e-05 +49 *3866:18 *4126:299 8.16827e-05 +50 *3866:18 *4131:8 0.000418115 +51 *3866:18 *4219:22 0.00105949 +52 *3866:22 *23064:C 0.000132114 +53 *3866:22 *23105:A 0.000222983 +54 *3866:22 *3972:15 8.62625e-06 +55 *3866:22 *4014:8 3.51113e-05 +56 *3866:22 *4126:6 0.000818708 +57 *3866:22 *4126:21 0 +58 *3866:22 *4126:299 0.000189511 +59 *3866:22 *4219:22 0.000648144 +60 *3866:30 *22931:B 0.000156921 +61 *3866:30 *4368:8 0.00108614 +62 *3866:30 *5624:67 0 +63 *3866:30 *5923:20 0.000125723 +64 *3866:35 *22957:C 5.32857e-05 +65 *3866:35 *22967:A 6.08467e-05 +66 *3866:35 *23071:A 7.93468e-05 +67 *3866:35 *3980:8 3.0951e-05 +68 *3866:35 *4368:8 0.000740747 +69 *3866:35 *5624:67 0.000349354 +70 *3866:55 *22957:A 3.39313e-06 +71 *3866:55 *22963:C 1.65117e-05 +72 *3866:55 *22966:C 1.64983e-05 +73 *3866:55 *22967:A 0.000134323 +74 *3866:55 *5612:29 7.77309e-06 +75 *3866:55 *5612:35 1.89337e-05 +76 *3866:55 *5612:37 3.04407e-05 +77 *22893:B *3866:16 0 +78 *22932:A *3866:30 0.000371203 +79 *23078:A1 *23312:A 5.17579e-05 +80 *23078:A1 *3866:93 8.41339e-05 +81 *23093:A1 *23216:A 0.000311249 +82 *23309:B1 *3866:7 3.01683e-06 +83 *23457:D *3866:30 0.000166167 +84 *3078:19 *3866:30 0.00115611 +85 *3779:24 *22963:D 3.70205e-05 +86 *3779:40 *3866:35 2.78407e-05 +87 *3789:114 *3866:30 0.000207266 +88 *3792:60 *3866:22 0.000127142 +89 *3796:11 *3866:7 1.92172e-05 +90 *3796:21 *3866:7 5.49209e-05 +91 *3796:21 *3866:15 0.000570328 +92 *3796:44 *3866:93 0.000207266 +93 *3796:57 *3866:35 0.000367553 +94 *3799:26 *23310:C 0.000306497 +95 *3799:28 *23216:A 0.000198752 +96 *3800:57 *3866:30 0.0008844 +97 *3803:10 *23219:A 5.64929e-05 +98 *3803:10 *3866:16 7.09395e-05 +99 *3842:11 *3866:22 1.17108e-05 +100 *3842:11 *3866:30 6.08467e-05 +*RES +1 *22956:Y *3866:7 14.4335 +2 *3866:7 *23309:A1 9.24915 +3 *3866:7 *3866:15 20.1977 +4 *3866:15 *3866:16 12.2133 +5 *3866:16 *3866:18 18.4421 +6 *3866:18 *3866:22 30.0014 +7 *3866:22 *3866:30 42.1468 +8 *3866:30 *3866:35 21.5948 +9 *3866:35 *22957:D 12.7456 +10 *3866:35 *3866:43 4.5 +11 *3866:43 *22963:D 15.9964 +12 *3866:43 *3866:55 10.1181 +13 *3866:55 *22961:D 9.24915 +14 *3866:55 *22966:D 14.0718 +15 *3866:30 *23070:A 13.7491 +16 *3866:22 *23063:B 9.24915 +17 *3866:18 *23216:A 36.3938 +18 *3866:16 *3866:93 15.7609 +19 *3866:93 *23312:A 11.3711 +20 *3866:93 *23310:C 24.5418 +21 *3866:15 *23219:A 18.3808 +*END + +*D_NET *3867 0.00157985 +*CONN +*I *22958:A I *D sky130_fd_sc_hd__buf_12 +*I *22957:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22958:A 0.000397624 +2 *22957:X 0.000397624 +3 *22958:A *3980:8 0.000143032 +4 *3779:40 *22958:A 0.000498537 +5 *3796:57 *22958:A 0.000143032 +*RES +1 *22957:X *22958:A 35.8756 +*END + +*D_NET *3868 0.108463 +*CONN +*I *23193:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23597:A1 I *D sky130_fd_sc_hd__o22ai_4 +*I *23632:B I *D sky130_fd_sc_hd__nor2_1 +*I *22960:A I *D sky130_fd_sc_hd__or2_1 +*I *23725:A2 I *D sky130_fd_sc_hd__o311a_1 +*I *23009:A1 I *D sky130_fd_sc_hd__a211o_1 +*I *22971:A I *D sky130_fd_sc_hd__nor2_1 +*I *23611:A1 I *D sky130_fd_sc_hd__a311oi_2 +*I *22994:A I *D sky130_fd_sc_hd__or2_2 +*I *22988:A I *D sky130_fd_sc_hd__nor2_2 +*I *23035:A I *D sky130_fd_sc_hd__or2_1 +*I *22977:A I *D sky130_fd_sc_hd__nor2_1 +*I *23027:A I *D sky130_fd_sc_hd__or2_1 +*I *23817:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23002:A I *D sky130_fd_sc_hd__or2_1 +*I *23067:B I *D sky130_fd_sc_hd__or3_1 +*I *22958:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23193:A1 0.000339741 +2 *23597:A1 0.000310967 +3 *23632:B 2.75068e-05 +4 *22960:A 0 +5 *23725:A2 4.19765e-05 +6 *23009:A1 5.31178e-05 +7 *22971:A 0.00244612 +8 *23611:A1 0.000124715 +9 *22994:A 0.000233827 +10 *22988:A 6.84491e-05 +11 *23035:A 5.78681e-05 +12 *22977:A 0 +13 *23027:A 2.9743e-05 +14 *23817:A1 0.00144246 +15 *23002:A 0.002068 +16 *23067:B 0 +17 *22958:X 0.00140092 +18 *3868:336 7.12383e-05 +19 *3868:335 0.000478529 +20 *3868:302 0.0030846 +21 *3868:279 0.00139699 +22 *3868:251 0.000989879 +23 *3868:239 0.0016327 +24 *3868:233 0.00202115 +25 *3868:213 0.00217601 +26 *3868:200 0.00147287 +27 *3868:188 0.00110147 +28 *3868:187 0.00178031 +29 *3868:180 0.00286599 +30 *3868:165 0.00233216 +31 *3868:157 0.00130713 +32 *3868:151 0.00212491 +33 *3868:132 0.00277821 +34 *3868:128 0.00142174 +35 *3868:93 0.00338053 +36 *3868:76 0.00214406 +37 *3868:52 0.00296492 +38 *3868:40 0.000747204 +39 *3868:38 0.00109865 +40 *3868:33 0.00197217 +41 *3868:19 0.00193471 +42 *22971:A *23732:D 0.000158357 +43 *22971:A *4326:160 0.00260922 +44 *22971:A *5904:122 0.000342638 +45 *22971:A *5904:130 0.000118436 +46 *22988:A *22988:B 2.35332e-05 +47 *22994:A *3903:61 3.44602e-05 +48 *22994:A *3903:65 5.88657e-05 +49 *23002:A *23460:B1 3.53525e-05 +50 *23002:A *23503:A 0.00128553 +51 *23002:A *23613:B 4.62112e-05 +52 *23002:A *23719:C1 0.000255353 +53 *23002:A *3910:16 2.24728e-05 +54 *23002:A *3917:19 3.06599e-05 +55 *23002:A *3926:20 0.000121812 +56 *23002:A *3974:60 2.45009e-05 +57 *23002:A *3980:26 6.40413e-05 +58 *23002:A *4031:53 0 +59 *23002:A *4241:16 4.51062e-05 +60 *23009:A1 *23009:A2 3.57578e-05 +61 *23009:A1 *3919:10 0.000144254 +62 *23009:A1 *5921:26 1.9633e-05 +63 *23027:A *3900:43 3.75603e-05 +64 *23027:A *3938:5 2.20484e-05 +65 *23027:A *3938:9 1.61631e-05 +66 *23035:A *23035:B 0.000110297 +67 *23035:A *23037:C1 1.82679e-05 +68 *23035:A *23038:A1 1.88152e-05 +69 *23193:A1 *23072:A 6.50586e-05 +70 *23193:A1 *23305:A 0.000111722 +71 *23193:A1 *3976:17 0.00079905 +72 *23193:A1 *3981:11 5.04879e-05 +73 *23193:A1 *4097:8 0 +74 *23193:A1 *4106:29 0.000151758 +75 *23193:A1 *4214:35 3.16685e-05 +76 *23597:A1 *3978:72 3.33645e-05 +77 *23611:A1 *23611:A2 2.11835e-05 +78 *23611:A1 *3902:44 3.29488e-05 +79 *23611:A1 *4234:13 0.000154145 +80 *23611:A1 *4251:35 3.29488e-05 +81 *23725:A2 *23725:A3 6.08467e-05 +82 *23725:A2 *23725:B1 3.75603e-05 +83 *23817:A1 *23513:A 1.34424e-05 +84 *23817:A1 *23515:A1 0.000309427 +85 *23817:A1 *23817:A2 6.08467e-05 +86 *23817:A1 *3991:22 8.01987e-05 +87 *23817:A1 *4016:13 7.65861e-05 +88 *23817:A1 *4569:14 1.33184e-05 +89 *23817:A1 *4695:68 0.000362691 +90 *23817:A1 *4695:72 0.000174641 +91 *3868:19 *22964:A 6.50727e-05 +92 *3868:19 *3874:21 0.000107496 +93 *3868:19 *3877:17 0.000311235 +94 *3868:19 *3925:30 2.6777e-05 +95 *3868:19 *4014:10 8.61936e-05 +96 *3868:19 *4495:7 0.000200794 +97 *3868:19 *4538:12 5.92342e-05 +98 *3868:19 *4550:10 1.80994e-05 +99 *3868:33 *23656:A 0.000416076 +100 *3868:33 *3976:17 0.000111708 +101 *3868:33 *4106:29 6.08467e-05 +102 *3868:33 *4111:12 0.000123484 +103 *3868:33 *4495:7 6.08467e-05 +104 *3868:33 *5456:133 6.50727e-05 +105 *3868:33 *5456:140 9.24241e-05 +106 *3868:38 *23057:B1 0.000112897 +107 *3868:38 *3981:11 3.49128e-05 +108 *3868:38 *4001:244 0.000726299 +109 *3868:38 *4091:8 0.000199441 +110 *3868:38 *4097:8 0.000309251 +111 *3868:38 *4106:29 2.95757e-05 +112 *3868:38 *4602:34 0.000107678 +113 *3868:52 *23503:A 0 +114 *3868:52 *4091:8 7.48886e-05 +115 *3868:52 *4199:8 0.000358079 +116 *3868:52 *4357:21 5.30605e-06 +117 *3868:52 *4397:21 7.88969e-05 +118 *3868:52 *4450:8 0.00036764 +119 *3868:52 *4500:16 7.48886e-05 +120 *3868:76 *23503:A 0.000148852 +121 *3868:76 *23719:C1 0.000112081 +122 *3868:76 *4091:8 0.000183236 +123 *3868:76 *4097:23 7.69649e-05 +124 *3868:76 *4146:37 0.000205006 +125 *3868:76 *4199:8 0.00036115 +126 *3868:76 *4371:42 0.000167543 +127 *3868:93 *4091:8 0.000554096 +128 *3868:93 *4097:23 0.000158852 +129 *3868:93 *4120:34 0.000377654 +130 *3868:93 *4199:8 0.000390013 +131 *3868:93 *4512:15 0.000120673 +132 *3868:93 *4602:34 0.00176972 +133 *3868:93 *4695:72 4.19833e-05 +134 *3868:93 *5920:22 0.00176025 +135 *3868:128 *23289:C_N 0.000107496 +136 *3868:128 *4080:18 7.18749e-05 +137 *3868:128 *4080:24 0.000470249 +138 *3868:128 *4300:45 0.00014744 +139 *3868:128 *4620:34 0.00104121 +140 *3868:128 *4620:41 0.00015661 +141 *3868:128 *4665:42 0.000738848 +142 *3868:132 *3869:8 0.000488982 +143 *3868:132 *3869:10 3.31733e-05 +144 *3868:132 *3980:105 0.000509947 +145 *3868:132 *4138:112 0.000713935 +146 *3868:151 *23048:A 5.481e-05 +147 *3868:151 *23819:B 6.91851e-05 +148 *3868:151 *23828:A2 0.000107496 +149 *3868:151 *23828:B2 4.78118e-05 +150 *3868:151 *3869:10 0.000116986 +151 *3868:151 *3874:77 0 +152 *3868:151 *3958:8 6.08467e-05 +153 *3868:151 *3974:107 6.33324e-06 +154 *3868:151 *3980:105 0.000116986 +155 *3868:151 *4007:8 0.000168972 +156 *3868:151 *4018:11 0.000110306 +157 *3868:151 *4018:23 4.87073e-05 +158 *3868:151 *4089:40 0.000748258 +159 *3868:151 *4138:97 0.000470642 +160 *3868:151 *4228:45 0.000739579 +161 *3868:151 *4540:19 6.71614e-05 +162 *3868:151 *4727:19 0.000110306 +163 *3868:151 *5082:99 7.98171e-06 +164 *3868:151 *5457:13 1.51692e-05 +165 *3868:157 *23725:B1 1.41976e-05 +166 *3868:157 *23726:A 9.80242e-07 +167 *3868:157 *3923:17 9.32067e-05 +168 *3868:157 *4520:12 8.81251e-05 +169 *3868:165 *23009:A2 4.31603e-06 +170 *3868:165 *23725:A3 5.51483e-06 +171 *3868:165 *3902:38 9.71323e-06 +172 *3868:165 *3902:44 2.5386e-05 +173 *3868:165 *3917:42 5.11121e-05 +174 *3868:165 *4236:107 0.000342087 +175 *3868:165 *4251:35 0.000182966 +176 *3868:165 *4326:52 9.32998e-05 +177 *3868:165 *4519:22 2.99929e-05 +178 *3868:180 *23776:B 0.000706644 +179 *3868:180 *24138:CLK 2.5386e-05 +180 *3868:180 *3883:18 0.000113692 +181 *3868:180 *3917:57 7.98171e-06 +182 *3868:180 *3919:10 1.55025e-05 +183 *3868:180 *4879:16 0.000334905 +184 *3868:180 *5456:107 0.000499069 +185 *3868:180 *5593:20 0.000230464 +186 *3868:180 *5921:26 6.96237e-05 +187 *3868:187 *3883:18 0.00174071 +188 *3868:187 *5593:20 0.000336951 +189 *3868:187 *5593:46 1.89527e-06 +190 *3868:188 *3903:20 0.00183061 +191 *3868:188 *5453:106 0.000767201 +192 *3868:200 *5785:6 0.000351415 +193 *3868:200 *5927:48 7.39195e-05 +194 *3868:213 *21366:A 1.41976e-05 +195 *3868:213 *23028:A 7.55603e-05 +196 *3868:213 *23028:B 1.58551e-05 +197 *3868:213 *3938:5 7.78113e-06 +198 *3868:213 *4525:15 0.000111708 +199 *3868:213 *5452:23 0.000160651 +200 *3868:213 *5926:42 7.65309e-05 +201 *3868:213 *5927:48 0.000431028 +202 *3868:233 *23030:A1 6.08467e-05 +203 *3868:233 *23030:A2 4.31703e-05 +204 *3868:233 *23030:B1 2.92975e-06 +205 *3868:233 *23030:B2 3.69914e-05 +206 *3868:233 *23034:B 6.32613e-06 +207 *3868:233 *23620:C1 0.000169093 +208 *3868:233 *3895:48 6.50586e-05 +209 *3868:233 *3897:34 9.54606e-05 +210 *3868:233 *3900:43 1.41976e-05 +211 *3868:233 *3938:9 7.6719e-06 +212 *3868:239 *23030:A1 4.0315e-05 +213 *3868:239 *23038:A1 8.79845e-05 +214 *3868:239 *3874:151 8.61313e-05 +215 *3868:239 *5929:38 3.9768e-05 +216 *3868:251 *23419:A 0.000358903 +217 *3868:251 *3885:17 6.50586e-05 +218 *3868:251 *3887:8 0.000115934 +219 *3868:251 *3898:18 0.000370174 +220 *3868:251 *5476:51 0.000139435 +221 *3868:279 *4250:17 2.26297e-05 +222 *3868:279 *4254:48 3.17436e-05 +223 *3868:279 *5452:33 8.78512e-06 +224 *3868:279 *5919:40 0.000641498 +225 *3868:302 *23241:C1 2.55358e-05 +226 *3868:302 *23756:C1 7.81064e-05 +227 *3868:302 *4254:48 0.000121684 +228 *3868:302 *5919:40 0.000582865 +229 *3868:335 *3877:318 0.000449125 +230 spi_sdi *3868:279 2.21216e-05 +231 *19764:A *3868:213 2.57986e-05 +232 *19766:A2 *3868:233 4.67919e-05 +233 *22912:B *3868:19 0.000113968 +234 *22914:A *23193:A1 0.000140256 +235 *22915:A *3868:38 0.0011912 +236 *22986:A *22988:A 4.88955e-05 +237 *22986:A *3868:233 0.000213818 +238 *22986:A *3868:239 6.46442e-05 +239 *23009:B1 *23009:A1 1.47978e-05 +240 *23118:A *3868:151 0.00030449 +241 *23202:A *3868:19 5.8911e-05 +242 *23305:B *23193:A1 6.19478e-05 +243 *23387:A *3868:302 0.000175586 +244 *23451:B1 *3868:76 1.04624e-05 +245 *23554:A *23597:A1 0.000503123 +246 *23554:A *3868:52 0.000253916 +247 *23588:A *3868:19 2.65667e-05 +248 *23611:A3 *23611:A1 1.96521e-05 +249 *23611:B1 *23611:A1 9.51479e-06 +250 *23632:A *23632:B 6.08467e-05 +251 *23632:A *3868:335 7.92757e-06 +252 *23633:B *3868:19 6.74811e-05 +253 *23655:A *3868:19 8.90311e-06 +254 *23725:A1 *3868:165 0.000131666 +255 *25023:A *3868:200 0.000111722 +256 *25033:A *3868:180 0.0001311 +257 *25180:A *3868:180 5.60804e-05 +258 *25180:A *3868:279 3.31642e-05 +259 *25185:A *3868:187 6.42311e-06 +260 *25186:A *3868:187 1.41473e-05 +261 *459:97 *3868:233 0.000255977 +262 *657:129 *3868:213 6.85631e-05 +263 *657:143 *3868:213 0.000911853 +264 *657:171 *3868:187 0 +265 *1438:83 *3868:251 6.80827e-05 +266 *1467:124 *3868:233 0.000430234 +267 *1467:134 *3868:251 9.76148e-05 +268 *1471:191 *22988:A 5.04829e-06 +269 *1690:72 *3868:188 0.00342359 +270 *1731:78 *3868:233 5.71866e-05 +271 *1756:60 *3868:251 0.000139435 +272 *1809:35 *3868:279 6.305e-06 +273 *1809:115 *3868:93 3.70027e-06 +274 *2281:13 *3868:200 2.37478e-05 +275 *2406:10 *3868:213 9.75356e-05 +276 *2426:8 *3868:213 2.04806e-05 +277 *2632:36 *3868:213 0.000669657 +278 *2714:10 *3868:213 0.000581076 +279 *2714:10 *3868:233 8.89549e-05 +280 *2802:12 *3868:251 0.000194624 +281 *2802:15 *3868:251 1.41689e-05 +282 *2803:58 *3868:251 0.000896874 +283 *2803:61 *3868:251 0.000154145 +284 *2838:45 *3868:180 0.000295851 +285 *3781:39 *23002:A 0.000835209 +286 *3781:43 *3868:76 2.50216e-05 +287 *3781:66 *3868:93 8.5176e-06 +288 *3791:34 *3868:38 0.000177512 +289 *3791:273 *3868:38 0.000591798 +290 *3821:6 *3868:19 1.09738e-05 +291 *3822:14 *3868:19 3.07561e-05 +292 *3829:59 *23817:A1 0.000395897 +293 *3829:85 *3868:151 0 +294 *3831:42 *3868:52 0.000107496 +295 *3833:34 *23002:A 3.04525e-06 +296 *3833:73 *3868:151 0.000431986 +297 *3838:24 *3868:38 0.00044692 +298 *3840:160 *3868:200 0.000256616 +299 *3840:160 *3868:213 0.000203326 +300 *3842:44 *23002:A 0 +301 *3842:105 *3868:151 0.000501653 +302 *3852:12 *3868:33 7.77309e-06 +303 *3852:43 *3868:335 1.78704e-05 +304 *3856:39 *3868:33 0.000116971 +305 *3860:101 *3868:180 1.79408e-05 +306 *3860:151 *3868:239 0.000115973 +307 *3861:271 *23817:A1 9.43616e-05 +308 *3862:113 *23002:A 0.000359649 +*RES +1 *22958:X *3868:19 46.4501 +2 *3868:19 *23067:B 9.24915 +3 *3868:19 *3868:33 27.7315 +4 *3868:33 *3868:38 45.6533 +5 *3868:38 *3868:40 4.5 +6 *3868:40 *3868:52 26.3434 +7 *3868:52 *23002:A 23.6818 +8 *3868:52 *3868:76 25.4745 +9 *3868:76 *3868:93 35.444 +10 *3868:93 *23817:A1 46.2627 +11 *3868:93 *3868:128 46.7579 +12 *3868:128 *3868:132 22.7096 +13 *3868:132 *3868:151 49.4264 +14 *3868:151 *3868:157 10.2166 +15 *3868:157 *3868:165 30.7063 +16 *3868:165 *3868:180 40.9289 +17 *3868:180 *3868:187 7.50389 +18 *3868:187 *3868:188 56.0224 +19 *3868:188 *3868:200 31.2849 +20 *3868:200 *3868:213 47.9953 +21 *3868:213 *23027:A 10.913 +22 *3868:213 *3868:233 41.144 +23 *3868:233 *3868:239 22.6447 +24 *3868:239 *3868:251 49.8599 +25 *3868:251 *22977:A 9.24915 +26 *3868:239 *23035:A 11.0817 +27 *3868:233 *22988:A 11.1059 +28 *3868:200 *22994:A 18.3548 +29 *3868:180 *3868:279 1.91808 +30 *3868:279 *23611:A1 20.733 +31 *3868:279 *3868:302 10.8633 +32 *3868:302 *22971:A 49.7834 +33 *3868:165 *23009:A1 11.6605 +34 *3868:157 *23725:A2 10.9612 +35 *3868:132 *22960:A 13.7491 +36 *3868:76 *3868:335 20.5732 +37 *3868:335 *3868:336 57.9449 +38 *3868:336 *23632:B 19.2217 +39 *3868:40 *23597:A1 17.2065 +40 *3868:33 *23193:A1 27.8949 +*END + +*D_NET *3869 0.0141881 +*CONN +*I *23445:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23624:B1 I *D sky130_fd_sc_hd__o221ai_1 +*I *22960:B I *D sky130_fd_sc_hd__or2_1 +*I *23047:A1 I *D sky130_fd_sc_hd__o21ba_1 +*I *23586:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *22965:A I *D sky130_fd_sc_hd__or2_1 +*I *22959:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23445:A1 0 +2 *23624:B1 0.000607499 +3 *22960:B 4.7234e-05 +4 *23047:A1 9.55048e-05 +5 *23586:A1 0.000323425 +6 *22965:A 0.000208334 +7 *22959:X 4.80204e-05 +8 *3869:55 0.00144043 +9 *3869:12 0.000714584 +10 *3869:10 0.000414702 +11 *3869:8 0.000418295 +12 *3869:7 0.00111564 +13 *22960:B *23671:B 7.89902e-05 +14 *22965:A *23047:B1_N 0.000371888 +15 *22965:A *23858:A3 4.51619e-05 +16 *22965:A *3999:16 0 +17 *22965:A *3999:35 0 +18 *22965:A *4495:48 1.9101e-05 +19 *22965:A *4684:34 4.9073e-05 +20 *22965:A *4686:14 0.000107627 +21 *22965:A *4686:33 1.19624e-05 +22 *23047:A1 *23047:A2 4.80635e-06 +23 *23047:A1 *3872:77 3.82228e-05 +24 *23047:A1 *3872:87 3.82228e-05 +25 *23047:A1 *3980:105 0.000107496 +26 *23047:A1 *4301:40 0 +27 *23586:A1 *23586:A2 1.89114e-05 +28 *23586:A1 *3872:87 3.73806e-05 +29 *23586:A1 *4493:7 1.5121e-05 +30 *23586:A1 *4684:34 2.16355e-05 +31 *23586:A1 *4727:19 0 +32 *23624:B1 *23181:C 6.70136e-07 +33 *23624:B1 *23624:B2 2.07738e-05 +34 *23624:B1 *3870:47 4.83216e-05 +35 *23624:B1 *3989:52 0.000203595 +36 *23624:B1 *4001:53 0.000349557 +37 *23624:B1 *4085:27 0.00017416 +38 *23624:B1 *4090:9 1.07248e-05 +39 *23624:B1 *4127:36 0.000634911 +40 *23624:B1 *4324:8 0.000172208 +41 *23624:B1 *4352:39 0.000107496 +42 *23624:B1 *4871:11 0.00015324 +43 *3869:8 *23090:A 0.000204184 +44 *3869:8 *3980:105 3.18629e-05 +45 *3869:8 *3999:8 0.00031321 +46 *3869:8 *4228:41 2.01595e-05 +47 *3869:10 *23815:C_N 6.01944e-06 +48 *3869:10 *3980:105 0.000128502 +49 *3869:10 *3999:8 1.04747e-05 +50 *3869:10 *3999:16 0.000337906 +51 *3869:12 *23047:B1_N 0.000480909 +52 *3869:12 *23815:C_N 1.2693e-05 +53 *3869:12 *3999:16 0.000461046 +54 *3869:12 *4684:34 1.9101e-05 +55 *3869:55 *23090:A 0.000113456 +56 *3869:55 *23215:B 4.3663e-05 +57 *3869:55 *23287:A 9.75356e-05 +58 *3869:55 *3980:93 0.000167454 +59 *3869:55 *3980:105 0.000594532 +60 *3869:55 *3989:52 4.66492e-05 +61 *3869:55 *4001:201 0.000105901 +62 *3869:55 *4020:42 8.45896e-06 +63 *3869:55 *4124:20 2.26985e-05 +64 *3869:55 *4228:41 8.72159e-05 +65 *3869:55 *4352:39 0.000162739 +66 *3869:55 *4529:26 9.00364e-06 +67 *3869:55 *4577:17 0.000170592 +68 *22959:A *3869:7 0.000107496 +69 *23171:A *22960:B 0.000154145 +70 *23624:A1 *23624:B1 0 +71 *2660:52 *23047:A1 3.31745e-05 +72 *3842:84 *3869:55 0.000480226 +73 *3842:92 *3869:55 0.000688882 +74 *3861:211 *3869:7 0.000154145 +75 *3868:132 *3869:8 0.000488982 +76 *3868:132 *3869:10 3.31733e-05 +77 *3868:151 *3869:10 0.000116986 +*RES +1 *22959:X *3869:7 15.5817 +2 *3869:7 *3869:8 10.5523 +3 *3869:8 *3869:10 6.39977 +4 *3869:10 *3869:12 9.30653 +5 *3869:12 *22965:A 20.9794 +6 *3869:12 *23586:A1 20.4044 +7 *3869:10 *23047:A1 16.7151 +8 *3869:8 *22960:B 15.5817 +9 *3869:7 *3869:55 38.2049 +10 *3869:55 *23624:B1 42.0687 +11 *3869:55 *23445:A1 9.24915 +*END + +*D_NET *3870 0.0128868 +*CONN +*I *23624:C1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23048:A I *D sky130_fd_sc_hd__and2_1 +*I *23815:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *22960:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23624:C1 0 +2 *23048:A 6.34056e-05 +3 *23815:C_N 0.000393902 +4 *22960:X 0.000149108 +5 *3870:47 0.00154011 +6 *3870:8 0.000569546 +7 *3870:7 0.00180146 +8 *23048:A *5082:99 5.481e-05 +9 *23815:C_N *23047:B1_N 0.000390677 +10 *23815:C_N *23048:B 0.000118485 +11 *23815:C_N *3872:87 0.000164383 +12 *23815:C_N *3980:105 0.000115573 +13 *23815:C_N *3980:124 0.000205006 +14 *23815:C_N *4228:45 2.74269e-05 +15 *23815:C_N *4727:19 2.03457e-05 +16 *23815:C_N *4727:25 6.17774e-05 +17 *3870:7 *5815:68 0.000200794 +18 *3870:8 *23048:B 2.4562e-05 +19 *3870:8 *3980:105 0.000254405 +20 *3870:8 *4018:11 0.000190057 +21 *3870:8 *4228:45 1.37925e-05 +22 *3870:47 *23089:A 0.000260874 +23 *3870:47 *23181:C 1.05641e-05 +24 *3870:47 *23285:B 4.15201e-05 +25 *3870:47 *23288:A 9.75356e-05 +26 *3870:47 *23416:B 6.86981e-06 +27 *3870:47 *23624:B2 8.52121e-05 +28 *3870:47 *3980:105 0.00143983 +29 *3870:47 *3989:52 2.65e-05 +30 *3870:47 *4001:53 2.05772e-05 +31 *3870:47 *4018:11 0.000421053 +32 *3870:47 *4085:27 6.08467e-05 +33 *3870:47 *4137:47 0.000132008 +34 *3870:47 *4137:54 0.000155987 +35 *3870:47 *4396:35 0.00182319 +36 *3870:47 *4681:20 0.00182279 +37 *23624:B1 *3870:47 4.83216e-05 +38 *3868:151 *23048:A 5.481e-05 +39 *3869:10 *23815:C_N 6.01944e-06 +40 *3869:12 *23815:C_N 1.2693e-05 +*RES +1 *22960:X *3870:7 16.1364 +2 *3870:7 *3870:8 4.73876 +3 *3870:8 *23815:C_N 28.2864 +4 *3870:8 *23048:A 15.5817 +5 *3870:7 *3870:47 46.726 +6 *3870:47 *23624:C1 9.24915 +*END + +*D_NET *3871 0.00169818 +*CONN +*I *22962:A I *D sky130_fd_sc_hd__buf_8 +*I *22961:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22962:A 0.000417286 +2 *22961:X 0.000417286 +3 *22962:A *22964:A 0.000101923 +4 *22962:A *3980:8 6.19019e-05 +5 *22962:A *5452:67 5.95369e-05 +6 *22962:A *5624:67 0.000110306 +7 *22962:A *5627:41 0.000120828 +8 *3779:40 *22962:A 9.75356e-05 +9 *3831:12 *22962:A 0.000311578 +*RES +1 *22961:X *22962:A 39.5058 +*END + +*D_NET *3872 0.0794173 +*CONN +*I *23187:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *23305:A I *D sky130_fd_sc_hd__nor2_2 +*I *23189:A I *D sky130_fd_sc_hd__nor2_1 +*I *23735:A2 I *D sky130_fd_sc_hd__o22ai_2 +*I *23817:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *23047:A2 I *D sky130_fd_sc_hd__o21ba_1 +*I *23586:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23043:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *22980:B I *D sky130_fd_sc_hd__or2_1 +*I *22978:A I *D sky130_fd_sc_hd__inv_2 +*I *23582:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23611:A2 I *D sky130_fd_sc_hd__a311oi_2 +*I *23009:A2 I *D sky130_fd_sc_hd__a211o_1 +*I *23422:A I *D sky130_fd_sc_hd__or2_1 +*I *22962:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *23187:A2 0 +2 *23305:A 3.12922e-05 +3 *23189:A 0 +4 *23735:A2 0 +5 *23817:A2 5.31464e-05 +6 *23047:A2 1.90605e-05 +7 *23586:A2 4.22629e-05 +8 *23043:A1 4.23614e-05 +9 *22980:B 0.000898912 +10 *22978:A 0 +11 *23582:A1 0 +12 *23611:A2 0.00102079 +13 *23009:A2 0.000121236 +14 *23422:A 3.62904e-05 +15 *22962:X 0 +16 *3872:185 0.00143262 +17 *3872:179 0.0009099 +18 *3872:167 0.000356366 +19 *3872:164 0.00118409 +20 *3872:119 0.00315679 +21 *3872:104 0.00381126 +22 *3872:87 0.00120555 +23 *3872:77 0.000720161 +24 *3872:73 0.00225853 +25 *3872:46 0.000120605 +26 *3872:45 0.000835235 +27 *3872:42 0.00311712 +28 *3872:34 0.0024562 +29 *3872:26 0.00296464 +30 *3872:20 0.00137527 +31 *3872:12 0.00168025 +32 *3872:10 0.00124201 +33 *3872:8 0.00018468 +34 *3872:7 0.00157259 +35 *3872:4 0.00145165 +36 *22980:B *23668:A 0.000237552 +37 *22980:B *3893:26 0.000154145 +38 *22980:B *4012:5 6.08467e-05 +39 *22980:B *4751:13 1.65872e-05 +40 *23009:A2 *23009:C1 0.000203604 +41 *23009:A2 *3919:10 1.54577e-05 +42 *23009:A2 *5921:26 0.000391697 +43 *23043:A1 *22972:A 4.66492e-05 +44 *23043:A1 *23042:A 0 +45 *23043:A1 *3882:7 1.03403e-05 +46 *23047:A2 *23810:B 0 +47 *23422:A *23423:B1 6.36477e-05 +48 *23422:A *23427:C 0.000162677 +49 *23422:A *23564:B 4.46618e-05 +50 *23586:A2 *4493:7 6.3657e-05 +51 *23611:A2 *23241:C1 0.000314651 +52 *23611:A2 *3922:106 1.5714e-05 +53 *23611:A2 *3929:15 1.5714e-05 +54 *23611:A2 *4024:70 2.7413e-07 +55 *23611:A2 *4231:54 7.58517e-05 +56 *23611:A2 *4233:28 0.00142361 +57 *23611:A2 *4233:33 2.05082e-05 +58 *23611:A2 *4234:13 6.7671e-06 +59 *23611:A2 *4234:26 0.000110297 +60 *23611:A2 *4251:41 2.70488e-05 +61 *23611:A2 *4254:48 3.17436e-05 +62 *23611:A2 *4326:52 8.12259e-06 +63 *23611:A2 *4517:24 0 +64 *23611:A2 *5458:22 0.00122539 +65 *23611:A2 *5458:37 0.000196776 +66 *23611:A2 *5919:40 4.57321e-06 +67 *23611:A2 *5923:17 3.55093e-05 +68 *3872:7 *22964:A 0.000453429 +69 *3872:7 *3981:38 0.000284434 +70 *3872:7 *3996:17 0.00049362 +71 *3872:7 *5452:67 0.000637162 +72 *3872:8 *4034:33 0.00035453 +73 *3872:8 *4697:8 0.00034878 +74 *3872:10 *4034:33 2.37478e-05 +75 *3872:10 *4214:8 3.0951e-05 +76 *3872:10 *4673:8 0 +77 *3872:10 *4697:8 0.000167125 +78 *3872:12 *23189:B 2.35832e-05 +79 *3872:12 *23295:B 1.70077e-05 +80 *3872:12 *23296:B1 0.00012568 +81 *3872:12 *3978:24 0.000102566 +82 *3872:12 *3978:29 4.8794e-05 +83 *3872:12 *3978:51 0.000283886 +84 *3872:12 *3978:52 4.37999e-05 +85 *3872:12 *4020:24 4.03753e-05 +86 *3872:12 *4020:31 0.00136233 +87 *3872:12 *4461:9 0.000123405 +88 *3872:12 *4654:10 0.00124964 +89 *3872:12 *4673:8 0 +90 *3872:12 *4697:8 0.000903092 +91 *3872:12 *4697:16 9.0823e-05 +92 *3872:20 *4034:51 0.000472045 +93 *3872:20 *4410:13 7.14746e-05 +94 *3872:20 *4461:9 0.000114456 +95 *3872:20 *4561:28 0.000158153 +96 *3872:20 *4561:44 0.00022213 +97 *3872:20 *4654:10 0.00112372 +98 *3872:20 *4654:16 5.41377e-05 +99 *3872:26 *23786:C 0.000323549 +100 *3872:26 *23787:B 6.51527e-05 +101 *3872:26 *23788:A 4.82555e-05 +102 *3872:26 *4009:116 2.01595e-05 +103 *3872:26 *4136:10 0.000191139 +104 *3872:26 *4136:18 0.000731179 +105 *3872:26 *4397:21 0.000134557 +106 *3872:26 *4561:44 9.17072e-05 +107 *3872:26 *4638:22 6.08467e-05 +108 *3872:26 *4654:16 2.67046e-06 +109 *3872:26 *4688:10 8.6297e-06 +110 *3872:34 *23514:B 8.78673e-05 +111 *3872:34 *23515:A2 4.91225e-06 +112 *3872:34 *23515:B1 0.00025175 +113 *3872:34 *3891:190 8.39059e-05 +114 *3872:34 *3927:42 0.000165578 +115 *3872:34 *4026:72 0.000175689 +116 *3872:34 *4422:8 0.000107496 +117 *3872:42 *3927:42 0.00062301 +118 *3872:42 *4026:72 0.000669531 +119 *3872:45 *23516:A1 4.37337e-05 +120 *3872:73 *23516:A1 5.97905e-06 +121 *3872:73 *23516:A2 4.04995e-06 +122 *3872:73 *23516:C1 1.03403e-05 +123 *3872:73 *3958:8 0.000640893 +124 *3872:73 *3999:124 6.21488e-06 +125 *3872:73 *4495:48 0.000785591 +126 *3872:73 *4577:17 0.000340742 +127 *3872:73 *4619:26 6.21488e-06 +128 *3872:73 *4695:56 6.06525e-06 +129 *3872:77 *3877:134 1.75925e-05 +130 *3872:77 *4300:11 1.90708e-05 +131 *3872:77 *4686:35 0.000255172 +132 *3872:87 *23047:B1_N 5.2504e-06 +133 *3872:87 *23651:A1 9.5562e-05 +134 *3872:87 *3980:105 7.92757e-06 +135 *3872:87 *3980:124 0.000154048 +136 *3872:87 *4228:45 0.000546247 +137 *3872:87 *4684:34 0.000256726 +138 *3872:104 *23046:B 0.000156946 +139 *3872:104 *23810:D_N 5.41377e-05 +140 *3872:104 *23858:A3 8.78565e-06 +141 *3872:104 *3874:92 3.77568e-05 +142 *3872:104 *3875:6 0.000144085 +143 *3872:104 *3980:124 0.000293618 +144 *3872:104 *3999:35 0.000190644 +145 *3872:104 *4001:87 0.00014038 +146 *3872:104 *4495:48 2.33103e-06 +147 *3872:104 *4635:18 0.000136768 +148 *3872:104 *4686:14 5.29076e-05 +149 *3872:104 *4686:33 5.94811e-05 +150 *3872:104 *5921:26 9.99867e-06 +151 *3872:119 *23009:C1 0.000188093 +152 *3872:119 *23518:A 4.66492e-05 +153 *3872:119 *23617:A 0.000258847 +154 *3872:119 *23661:A 0.000259275 +155 *3872:119 *4021:24 0.000440355 +156 *3872:119 *4024:48 0.000722548 +157 *3872:119 *4426:10 9.49244e-05 +158 *3872:119 *4549:10 9.83856e-05 +159 *3872:119 *4649:15 0.000350015 +160 *3872:119 *4712:18 2.95884e-05 +161 *3872:119 *5921:26 0.000293043 +162 *3872:164 *23623:C 7.12079e-05 +163 *3872:164 *23661:A 1.7883e-05 +164 *3872:164 *3879:50 9.7454e-05 +165 *3872:164 *3980:126 0.000960361 +166 *3872:164 *4490:8 0.000509024 +167 *3872:164 *4529:25 0.000110297 +168 *3872:164 *4686:10 3.59723e-05 +169 *3872:164 *4686:14 0.000818385 +170 *3872:164 *4745:43 2.6224e-05 +171 *3872:164 *5919:40 8.98137e-05 +172 *3872:164 *6001:38 3.57291e-06 +173 *3872:164 *6001:49 0.000730448 +174 *3872:167 *23582:A2 6.98337e-06 +175 *3872:167 *5456:41 6.08467e-05 +176 *3872:179 *22972:A 0.000110297 +177 *3872:179 *23042:A 9.04224e-05 +178 *3872:179 *3877:138 3.90689e-06 +179 *3872:179 *3882:7 7.92757e-06 +180 *3872:179 *3953:10 0.000515343 +181 *3872:179 *4620:12 4.74462e-05 +182 *3872:179 *4620:17 0.000215314 +183 *3872:179 *5456:41 0.000107496 +184 *3872:179 *6001:49 4.09612e-05 +185 *3872:185 *23042:A 0.000123993 +186 *3872:185 *23042:B 4.36442e-05 +187 *3872:185 *23382:A 0.000366459 +188 *3872:185 *3877:138 0.000276564 +189 *3872:185 *4008:26 0.000149134 +190 *3872:185 *4446:16 1.29948e-05 +191 *3872:185 *4751:13 0.000581085 +192 *23009:A1 *23009:A2 3.57578e-05 +193 *23009:B1 *23009:A2 1.65872e-05 +194 *23047:A1 *23047:A2 4.80635e-06 +195 *23047:A1 *3872:77 3.82228e-05 +196 *23047:A1 *3872:87 3.82228e-05 +197 *23193:A1 *23305:A 0.000111722 +198 *23305:B *23305:A 6.50727e-05 +199 *23305:B *3872:10 2.77564e-05 +200 *23305:B *3872:12 6.02412e-05 +201 *23586:A1 *23586:A2 1.89114e-05 +202 *23586:A1 *3872:87 3.73806e-05 +203 *23611:A1 *23611:A2 2.11835e-05 +204 *23611:A3 *23611:A2 8.70622e-06 +205 *23611:B1 *23611:A2 6.3657e-05 +206 *23735:A1 *3872:26 0.000107496 +207 *23749:A *3872:34 0.00081464 +208 *23815:C_N *3872:87 0.000164383 +209 *23817:A1 *23817:A2 6.08467e-05 +210 *1587:91 *22980:B 0.00105306 +211 *1587:106 *3872:185 0.000438346 +212 *2660:32 *3872:77 0.000156464 +213 *2660:52 *3872:77 3.99086e-06 +214 *2660:52 *3872:87 2.72592e-05 +215 *3784:22 *3872:7 0.000209326 +216 *3791:123 *22980:B 0.00278232 +217 *3817:8 *3872:7 0.00100535 +218 *3824:11 *3872:12 0.000169078 +219 *3829:59 *23817:A2 1.65872e-05 +220 *3831:56 *3872:34 0.00150329 +221 *3842:99 *3872:73 0.000771967 +222 *3846:51 *3872:73 0.000540084 +223 *3846:55 *3872:73 0.000100809 +224 *3849:29 *3872:26 0.00172272 +225 *3852:28 *3872:26 1.07248e-05 +226 *3861:54 *23611:A2 6.23101e-05 +227 *3861:62 *23611:A2 7.58517e-05 +228 *3861:79 *22980:B 6.08467e-05 +229 *3861:91 *22980:B 0.000107496 +230 *3861:237 *23422:A 9.95542e-06 +231 *3861:237 *3872:45 0.000105515 +232 *3861:252 *3872:45 0.000718241 +233 *3868:165 *23009:A2 4.31603e-06 +*RES +1 *22962:X *3872:4 9.24915 +2 *3872:4 *3872:7 47.9279 +3 *3872:7 *3872:8 6.39977 +4 *3872:8 *3872:10 3.07775 +5 *3872:10 *3872:12 50.4165 +6 *3872:12 *3872:20 28.4136 +7 *3872:20 *3872:26 44.9149 +8 *3872:26 *3872:34 43.9887 +9 *3872:34 *3872:42 17.6171 +10 *3872:42 *3872:45 21.0646 +11 *3872:45 *3872:46 104.301 +12 *3872:46 *23422:A 20.3309 +13 *3872:42 *3872:73 47.8147 +14 *3872:73 *3872:77 10.8637 +15 *3872:77 *3872:87 27.4025 +16 *3872:87 *3872:104 35.2239 +17 *3872:104 *3872:119 49.9436 +18 *3872:119 *23009:A2 14.4335 +19 *3872:119 *23611:A2 35.074 +20 *3872:104 *3872:164 46.5365 +21 *3872:164 *3872:167 5.2234 +22 *3872:167 *23582:A1 9.24915 +23 *3872:167 *3872:179 22.5235 +24 *3872:179 *3872:185 28.8678 +25 *3872:185 *22978:A 9.24915 +26 *3872:185 *22980:B 47.1792 +27 *3872:179 *23043:A1 10.5513 +28 *3872:87 *23586:A2 10.5271 +29 *3872:77 *23047:A2 9.82786 +30 *3872:34 *23817:A2 15.0271 +31 *3872:20 *23735:A2 9.24915 +32 *3872:12 *23189:A 13.7491 +33 *3872:10 *23305:A 15.0271 +34 *3872:8 *23187:A2 13.7491 +*END + +*D_NET *3873 0.00290484 +*CONN +*I *22964:A I *D sky130_fd_sc_hd__buf_12 +*I *22963:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22964:A 0.000840749 +2 *22963:X 0.000840749 +3 *22964:A *5452:67 1.87397e-05 +4 *22962:A *22964:A 0.000101923 +5 *23017:A *22964:A 0.000191541 +6 *3792:66 *22964:A 5.64929e-05 +7 *3800:62 *22964:A 0.00033614 +8 *3868:19 *22964:A 6.50727e-05 +9 *3872:7 *22964:A 0.000453429 +*RES +1 *22963:X *22964:A 44.9125 +*END + +*D_NET *3874 0.093865 +*CONN +*I *23062:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23194:A I *D sky130_fd_sc_hd__nor2_1 +*I *23188:B I *D sky130_fd_sc_hd__nor2_4 +*I *23119:B I *D sky130_fd_sc_hd__or2_1 +*I *23022:A2 I *D sky130_fd_sc_hd__o32a_1 +*I *23019:A I *D sky130_fd_sc_hd__or2_1 +*I *22972:A I *D sky130_fd_sc_hd__nor2_1 +*I *23180:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23713:A1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23026:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23843:A1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23038:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23033:A I *D sky130_fd_sc_hd__nor2_1 +*I *23030:A1 I *D sky130_fd_sc_hd__o221ai_1 +*I *22965:B I *D sky130_fd_sc_hd__or2_1 +*I *23010:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22964:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23062:A1 0.000610073 +2 *23194:A 0.00047709 +3 *23188:B 2.10351e-05 +4 *23119:B 0.000192539 +5 *23022:A2 0.000166021 +6 *23019:A 0.000123616 +7 *22972:A 0.00046753 +8 *23180:A 2.06324e-05 +9 *23713:A1 0 +10 *23026:A1 0.00010303 +11 *23843:A1 5.51837e-05 +12 *23038:A1 0.000982988 +13 *23033:A 0.000336044 +14 *23030:A1 0.000597609 +15 *22965:B 0 +16 *23010:A1 0.000800545 +17 *22964:X 0 +18 *3874:307 0.0016458 +19 *3874:297 0.000636756 +20 *3874:296 0.0013704 +21 *3874:205 0.000188045 +22 *3874:204 0.000608873 +23 *3874:194 0.000899759 +24 *3874:151 0.001678 +25 *3874:136 0.00123964 +26 *3874:132 0.000637758 +27 *3874:131 0.00116656 +28 *3874:127 0.00088833 +29 *3874:124 0.00121402 +30 *3874:115 0.00171344 +31 *3874:109 0.00175961 +32 *3874:92 0.00297256 +33 *3874:77 0.00344971 +34 *3874:46 0.00380166 +35 *3874:42 0.00165373 +36 *3874:40 0.00395586 +37 *3874:32 0.00413488 +38 *3874:28 0.00141542 +39 *3874:21 0.00143211 +40 *3874:4 0.0022249 +41 *22972:A *23042:A 2.35101e-05 +42 *22972:A *23043:A2 6.39593e-05 +43 *22972:A *3879:25 4.94594e-05 +44 *22972:A *3882:7 2.77625e-06 +45 *22972:A *4575:13 6.08467e-05 +46 *22972:A *5454:15 3.63525e-06 +47 *22972:A *5925:11 0.000108997 +48 *22972:A *6001:49 1.91391e-05 +49 *23010:A1 *23009:C1 3.57195e-05 +50 *23010:A1 *23010:A2 0.000114819 +51 *23010:A1 *23010:B1 0 +52 *23010:A1 *23241:C1 0.000285418 +53 *23010:A1 *23558:A 0.000347839 +54 *23010:A1 *23617:A 8.33628e-05 +55 *23010:A1 *3918:25 0.000217793 +56 *23010:A1 *4251:41 3.39823e-05 +57 *23010:A1 *4326:52 4.07685e-05 +58 *23019:A *23023:A1 3.63525e-06 +59 *23019:A *23024:A1 1.39958e-05 +60 *23019:A *4614:26 3.77699e-05 +61 *23022:A2 *23013:B 1.66626e-05 +62 *23022:A2 *23022:A3 6.36477e-05 +63 *23022:A2 *23615:A 1.86487e-05 +64 *23022:A2 *3918:13 3.92592e-05 +65 *23022:A2 *3922:67 7.30103e-05 +66 *23022:A2 *3922:69 8.4649e-05 +67 *23022:A2 *3931:74 5.35162e-05 +68 *23026:A1 *23356:B 6.82878e-05 +69 *23026:A1 *3936:14 2.91782e-05 +70 *23030:A1 *23030:B2 6.36477e-05 +71 *23033:A *23031:A 6.92705e-05 +72 *23033:A *23033:B 6.92705e-05 +73 *23033:A *23365:A 9.32951e-05 +74 *23033:A *23714:A 1.10793e-05 +75 *23033:A *23714:C 7.12317e-05 +76 *23033:A *3943:8 0.000138726 +77 *23033:A *4272:12 4.23101e-05 +78 *23033:A *4273:14 0.000165422 +79 *23033:A *4618:16 0.000169019 +80 *23038:A1 *23035:B 0.000156946 +81 *23038:A1 *23037:C1 9.80242e-07 +82 *23038:A1 *23038:B1 6.50727e-05 +83 *23038:A1 *23374:B 6.67704e-05 +84 *23038:A1 *23609:A 6.27782e-05 +85 *23038:A1 *4273:14 0.000113496 +86 *23038:A1 *4280:17 0.000200618 +87 *23038:A1 *4515:14 0.000112367 +88 *23038:A1 *4618:16 0.00011837 +89 *23062:A1 *23192:A 1.43983e-05 +90 *23119:B *23334:B1 0.000158371 +91 *23119:B *4028:5 7.92757e-06 +92 *23188:B *23404:A 8.37812e-05 +93 *23188:B *4120:83 8.01837e-05 +94 *23194:A *23191:B 0.000283363 +95 *23194:A *23194:B 0.000211478 +96 *23194:A *23546:B 0.000316675 +97 *23194:A *3981:23 0.000390969 +98 *23194:A *3981:38 6.4135e-05 +99 *23194:A *4538:15 3.8122e-05 +100 *23194:A *4550:11 0.000304791 +101 *23843:A1 *23607:C 2.53145e-06 +102 *23843:A1 *3897:55 0 +103 *23843:A1 *3897:63 6.08467e-05 +104 *3874:21 *3926:8 0.000660766 +105 *3874:21 *3927:12 0.000882008 +106 *3874:21 *5629:112 0.000566475 +107 *3874:21 *5629:114 9.93974e-05 +108 *3874:28 *22982:B 1.1729e-05 +109 *3874:28 *3892:8 0.00065644 +110 *3874:28 *3926:8 0.000145373 +111 *3874:28 *5629:114 0.000241603 +112 *3874:28 *5629:118 0.000182639 +113 *3874:32 *3892:8 0.000191556 +114 *3874:32 *3909:24 8.08209e-05 +115 *3874:32 *3927:12 6.84784e-06 +116 *3874:32 *3927:25 0.000999169 +117 *3874:32 *3980:26 0.00021612 +118 *3874:32 *3980:42 3.88213e-05 +119 *3874:32 *4135:8 4.22888e-05 +120 *3874:40 *23133:A 2.78917e-05 +121 *3874:40 *23589:A 1.5714e-05 +122 *3874:40 *23728:C1 0.000191556 +123 *3874:40 *23739:C1 7.93647e-05 +124 *3874:40 *23740:A2 2.26985e-05 +125 *3874:40 *3877:106 0 +126 *3874:40 *3891:176 5.67842e-06 +127 *3874:40 *3891:190 0.000139581 +128 *3874:40 *3909:24 0.000185762 +129 *3874:40 *3917:26 0.000467337 +130 *3874:40 *3917:28 0.000845732 +131 *3874:40 *3927:25 0.00082137 +132 *3874:40 *3980:42 4.04447e-05 +133 *3874:40 *3989:31 6.51637e-05 +134 *3874:40 *4026:50 0.000261931 +135 *3874:40 *4026:54 4.83405e-05 +136 *3874:40 *4026:72 0.000606153 +137 *3874:40 *4033:8 0.000128845 +138 *3874:40 *4338:17 0 +139 *3874:40 *4496:26 0.000123895 +140 *3874:40 *4544:20 9.75356e-05 +141 *3874:40 *4569:14 3.61578e-05 +142 *3874:42 *3917:28 0.000147164 +143 *3874:42 *3917:38 0.000339099 +144 *3874:46 *3891:133 0.000151372 +145 *3874:46 *3917:38 0.00123384 +146 *3874:77 *23241:C1 6.22732e-06 +147 *3874:77 *23618:C 2.22063e-05 +148 *3874:77 *23643:A1 0.000712492 +149 *3874:77 *23733:D 3.16019e-05 +150 *3874:77 *23852:A 3.3532e-05 +151 *3874:77 *3980:124 5.51483e-06 +152 *3874:77 *4001:75 5.79411e-05 +153 *3874:77 *4001:87 8.95511e-05 +154 *3874:77 *4126:161 6.22732e-06 +155 *3874:77 *4138:97 5.60804e-05 +156 *3874:77 *4228:45 0.000101593 +157 *3874:77 *4251:41 7.02686e-05 +158 *3874:77 *4540:19 6.87972e-05 +159 *3874:77 *4547:35 0.000429856 +160 *3874:77 *4635:18 2.57465e-06 +161 *3874:77 *4636:7 0.000107496 +162 *3874:77 *5458:13 6.76982e-05 +163 *3874:77 *5929:28 4.14611e-05 +164 *3874:92 *3877:134 0.000151352 +165 *3874:92 *3888:49 0.000395868 +166 *3874:92 *3980:124 7.77744e-05 +167 *3874:92 *3999:35 0.00019527 +168 *3874:92 *4009:72 0.00151255 +169 *3874:92 *4529:25 0.000327872 +170 *3874:92 *4547:35 2.49847e-05 +171 *3874:92 *4636:7 7.68891e-05 +172 *3874:92 *4664:33 0.000321292 +173 *3874:92 *4686:14 4.25398e-05 +174 *3874:92 *4802:18 7.19754e-05 +175 *3874:92 *6001:38 0.000488543 +176 *3874:109 *23535:A 0.000113968 +177 *3874:109 *23669:B 0.000150979 +178 *3874:109 *23803:A 0.000114584 +179 *3874:109 *3886:27 0.000715921 +180 *3874:109 *3888:49 8.68745e-05 +181 *3874:109 *4070:36 1.10565e-05 +182 *3874:109 *4704:17 1.05601e-05 +183 *3874:109 *6001:49 0.000480786 +184 *3874:109 *6001:54 0 +185 *3874:115 *23537:B 0.00121945 +186 *3874:115 *4008:49 2.01595e-05 +187 *3874:115 *4289:28 0.000203833 +188 *3874:115 *5674:19 8.5308e-05 +189 *3874:115 *6001:54 0.00145594 +190 *3874:124 *23484:B 0.000107496 +191 *3874:124 *23694:B 0.000341785 +192 *3874:124 *23791:B 7.39264e-05 +193 *3874:124 *3886:8 0.00111343 +194 *3874:124 *4013:42 0.000564092 +195 *3874:124 *4514:29 0.00049706 +196 *3874:124 *4676:6 1.2819e-05 +197 *3874:124 *4870:10 0.00049706 +198 *3874:127 *3885:8 7.34948e-06 +199 *3874:131 *23692:B 0.000453443 +200 *3874:132 *3897:64 0.000703617 +201 *3874:132 *5082:62 0.000706613 +202 *3874:136 *3897:64 2.69685e-05 +203 *3874:136 *5082:62 0.000594106 +204 *3874:194 *23479:B 0.000200794 +205 *3874:194 *3897:64 0.000339291 +206 *3874:194 *4236:61 0.000303366 +207 *3874:194 *4236:71 9.32983e-05 +208 *3874:194 *5082:62 0.000327305 +209 *3874:204 *23357:B 1.82679e-05 +210 *3874:204 *3936:14 0.000260647 +211 *3874:204 *4265:10 1.47488e-05 +212 *3874:204 *4618:20 0.000127758 +213 *3874:296 *3877:12 0.000318562 +214 *3874:296 *3925:24 0.000273338 +215 *3874:296 *3927:12 1.1095e-05 +216 *3874:296 *4031:17 0.000544481 +217 *3874:297 *23404:A 0.000163465 +218 *3874:297 *4120:83 0.000165481 +219 *3874:307 *23187:B1_N 6.11872e-05 +220 *3874:307 *23191:A 8.79845e-05 +221 *3874:307 *23191:B 3.99086e-06 +222 *3874:307 *23192:B 1.43848e-05 +223 *22941:A *3874:296 1.37189e-05 +224 *22941:B *3874:296 8.88984e-06 +225 *22941:C *3874:296 0.000311186 +226 *22942:A *3874:296 0.000154145 +227 *22999:A *3874:32 7.02193e-05 +228 *23016:A *3874:296 0.000238745 +229 *23035:A *23038:A1 1.88152e-05 +230 *23043:A1 *22972:A 4.66492e-05 +231 *23062:A2 *23062:A1 0.000710346 +232 *23119:A *23119:B 6.50727e-05 +233 *23161:A *3874:109 1.65872e-05 +234 *23187:A1 *3874:296 7.17335e-05 +235 *23188:A *3874:296 0.000361753 +236 *23375:A *23038:A1 0.000301361 +237 *23430:A1 *3874:40 4.88715e-07 +238 *23704:A *23194:A 1.67677e-05 +239 *460:30 *23038:A1 4.31703e-05 +240 *1471:192 *23038:A1 6.7671e-06 +241 *1756:49 *23033:A 0 +242 *1756:60 *23038:A1 2.7312e-05 +243 *1808:44 *3874:127 0.000126858 +244 *1808:44 *3874:131 0.000225627 +245 *1808:78 *3874:92 0.00204143 +246 *1808:78 *3874:109 0.000443025 +247 *1818:38 *23038:A1 6.8618e-05 +248 *1829:46 *23030:A1 0.000128092 +249 *2405:34 *3874:194 4.74714e-05 +250 *2405:34 *3874:204 1.03403e-05 +251 *2502:36 *3874:204 0.000131285 +252 *2612:32 *3874:136 0.000376726 +253 *2612:41 *3874:136 8.05178e-05 +254 *2612:62 *3874:124 0.000164081 +255 *2619:44 *23843:A1 0.000107496 +256 *2632:39 *3874:131 0.000604089 +257 *2660:52 *3874:77 0.000625501 +258 *2785:51 *3874:115 0.000164829 +259 *2948:14 *23030:A1 0.000134861 +260 *3791:174 *3874:194 8.93134e-05 +261 *3791:174 *3874:204 2.57847e-05 +262 *3791:185 *23038:A1 0.000107496 +263 *3792:75 *3874:28 0.000168074 +264 *3820:18 *3874:21 0.000878188 +265 *3820:18 *3874:296 0.000144531 +266 *3822:14 *3874:21 1.61631e-05 +267 *3824:19 *23194:A 1.5714e-05 +268 *3827:8 *3874:296 0 +269 *3827:13 *3874:21 4.31122e-06 +270 *3829:85 *3874:77 0.000221065 +271 *3831:27 *23119:B 3.82228e-05 +272 *3838:115 *3874:296 5.11708e-05 +273 *3842:32 *3874:28 0.000354575 +274 *3842:32 *3874:32 0.000551551 +275 *3842:44 *3874:32 9.46317e-05 +276 *3860:91 *23022:A2 5.89592e-05 +277 *3862:113 *3874:32 0.0007042 +278 *3868:19 *3874:21 0.000107496 +279 *3868:151 *3874:77 0 +280 *3868:233 *23030:A1 6.08467e-05 +281 *3868:239 *23030:A1 4.0315e-05 +282 *3868:239 *23038:A1 8.79845e-05 +283 *3868:239 *3874:151 8.61313e-05 +284 *3872:104 *3874:92 3.77568e-05 +285 *3872:179 *22972:A 0.000110297 +*RES +1 *22964:X *3874:4 9.24915 +2 *3874:4 *3874:21 46.9496 +3 *3874:21 *3874:28 26.3374 +4 *3874:28 *3874:32 31.4248 +5 *3874:32 *3874:40 47.1423 +6 *3874:40 *3874:42 1.07916 +7 *3874:42 *3874:46 3.74188 +8 *3874:46 *23010:A1 29.1194 +9 *3874:46 *3874:77 15.869 +10 *3874:77 *22965:B 9.24915 +11 *3874:77 *3874:92 18.92 +12 *3874:92 *3874:109 31.6786 +13 *3874:109 *3874:115 43.2651 +14 *3874:115 *3874:124 47.2365 +15 *3874:124 *3874:127 7.95736 +16 *3874:127 *3874:131 19.6431 +17 *3874:131 *3874:132 13.4591 +18 *3874:132 *3874:136 15.8828 +19 *3874:136 *23030:A1 28.4591 +20 *3874:136 *3874:151 9.66022 +21 *3874:151 *23033:A 24.5119 +22 *3874:151 *23038:A1 45.3793 +23 *3874:132 *23843:A1 15.6059 +24 *3874:131 *3874:194 18.2784 +25 *3874:194 *3874:204 27.9045 +26 *3874:204 *3874:205 104.301 +27 *3874:205 *23026:A1 21.633 +28 *3874:194 *23713:A1 9.24915 +29 *3874:127 *23180:A 9.82786 +30 *3874:92 *22972:A 25.0789 +31 *3874:42 *23019:A 18.4531 +32 *3874:40 *23022:A2 19.9469 +33 *3874:28 *23119:B 18.3548 +34 *3874:4 *3874:296 48.659 +35 *3874:296 *3874:297 3.07775 +36 *3874:297 *23188:B 15.1659 +37 *3874:297 *3874:307 12.4332 +38 *3874:307 *23194:A 36.6138 +39 *3874:307 *23062:A1 23.7412 +*END + +*D_NET *3875 0.000924838 +*CONN +*I *23046:A I *D sky130_fd_sc_hd__nand2_1 +*I *23810:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *22965:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23046:A 0 +2 *23810:D_N 0.00010411 +3 *22965:X 5.68513e-05 +4 *3875:6 0.000160962 +5 *23810:D_N *23661:A 6.08467e-05 +6 *23810:D_N *3980:124 2.40149e-05 +7 *23810:D_N *4529:25 6.3657e-05 +8 *23810:D_N *4686:14 0.00012791 +9 *3875:6 *4686:14 0.000128264 +10 *3872:104 *23810:D_N 5.41377e-05 +11 *3872:104 *3875:6 0.000144085 +*RES +1 *22965:X *3875:6 16.4116 +2 *3875:6 *23810:D_N 17.135 +3 *3875:6 *23046:A 13.7491 +*END + +*D_NET *3876 0.000822793 +*CONN +*I *22967:A I *D sky130_fd_sc_hd__buf_12 +*I *22966:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *22967:A 0.000145809 +2 *22966:X 0.000145809 +3 *22967:A *22957:C 6.08467e-05 +4 *22967:A *22961:B 6.36477e-05 +5 *22967:A *5612:29 2.09495e-05 +6 *22967:A *5612:35 0.000116971 +7 *22967:A *5627:51 9.94284e-06 +8 *22966:D *22967:A 6.36477e-05 +9 *3866:35 *22967:A 6.08467e-05 +10 *3866:55 *22967:A 0.000134323 +*RES +1 *22966:X *22967:A 32.7409 +*END + +*D_NET *3877 0.0997919 +*CONN +*I *23201:B I *D sky130_fd_sc_hd__or2_1 +*I *23555:B I *D sky130_fd_sc_hd__nor2_1 +*I *23597:B1 I *D sky130_fd_sc_hd__o22ai_4 +*I *23562:A2 I *D sky130_fd_sc_hd__a311o_1 +*I *23638:B I *D sky130_fd_sc_hd__nand2_1 +*I *23175:A I *D sky130_fd_sc_hd__inv_2 +*I *23005:B I *D sky130_fd_sc_hd__or2_1 +*I *22970:A I *D sky130_fd_sc_hd__or2_1 +*I *23574:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23025:A I *D sky130_fd_sc_hd__or2_1 +*I *23036:A I *D sky130_fd_sc_hd__or2_1 +*I *23031:A I *D sky130_fd_sc_hd__nor2_1 +*I *22976:A I *D sky130_fd_sc_hd__nor2_1 +*I *23028:A I *D sky130_fd_sc_hd__or2_1 +*I *23423:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *22967:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23201:B 2.04191e-05 +2 *23555:B 0 +3 *23597:B1 0.000982665 +4 *23562:A2 0.000114332 +5 *23638:B 0.000138925 +6 *23175:A 0.000288793 +7 *23005:B 0.000419418 +8 *22970:A 2.77422e-05 +9 *23574:A1 0.00020033 +10 *23025:A 0.000999925 +11 *23036:A 0 +12 *23031:A 0.00058837 +13 *22976:A 5.10288e-05 +14 *23028:A 0.00142566 +15 *23423:A1 0.000327017 +16 *22967:X 0.00137005 +17 *3877:318 0.00168482 +18 *3877:221 0.00156136 +19 *3877:195 0.000128346 +20 *3877:194 0.000951381 +21 *3877:184 0.00197102 +22 *3877:166 0.001905 +23 *3877:164 0.00137422 +24 *3877:161 0.0011559 +25 *3877:148 0.00197374 +26 *3877:147 0.0015734 +27 *3877:138 0.00180281 +28 *3877:134 0.0021191 +29 *3877:120 0.00271117 +30 *3877:106 0.00273518 +31 *3877:87 0.00210616 +32 *3877:74 0.00131916 +33 *3877:72 0.000865065 +34 *3877:58 0.00168221 +35 *3877:41 0.0018797 +36 *3877:33 0.00118247 +37 *3877:20 0.00185831 +38 *3877:17 0.00101607 +39 *3877:12 0.00147621 +40 *22970:A *4742:8 6.08467e-05 +41 *22976:A *23041:A 1.94512e-05 +42 *23025:A *23373:B 0.000398768 +43 *23025:A *3883:18 2.67971e-05 +44 *23025:A *4233:71 2.31083e-05 +45 *23025:A *5455:70 0.000174202 +46 *23028:A *23028:B 0.000154145 +47 *23028:A *23365:A 0.000198511 +48 *23028:A *23620:A2 2.95757e-05 +49 *23028:A *3900:40 1.32509e-05 +50 *23028:A *3901:8 0.000135106 +51 *23028:A *3936:14 7.93649e-05 +52 *23028:A *3938:5 1.65872e-05 +53 *23028:A *3938:30 0.00010839 +54 *23028:A *4338:17 2.37709e-05 +55 *23028:A *4741:18 0.000328502 +56 *23031:A *23033:B 0.000192543 +57 *23031:A *23363:B 4.72592e-05 +58 *23031:A *23365:A 6.50727e-05 +59 *23031:A *23368:B 0.000188919 +60 *23031:A *3897:11 0 +61 *23031:A *3942:14 1.79196e-05 +62 *23031:A *4272:5 0.000102762 +63 *23031:A *4272:12 2.39581e-05 +64 *23031:A *4278:15 0.000233217 +65 *23175:A *4137:54 6.6641e-05 +66 *23175:A *5458:56 0.00108412 +67 *23201:B *4550:10 0.000107496 +68 *23423:A1 *3922:58 0.000253857 +69 *23562:A2 *23562:B1 5.17579e-05 +70 *23562:A2 *4032:7 7.77496e-05 +71 *23574:A1 *23528:B 8.62625e-06 +72 *23574:A1 *23574:A2 6.92705e-05 +73 *23574:A1 *4481:7 0.000268798 +74 *23574:A1 *4598:13 0.000627541 +75 *23597:B1 *23503:A 1.5714e-05 +76 *23597:B1 *23595:A 0.00017744 +77 *23597:B1 *23597:B2 0.000207266 +78 *23597:B1 *3974:60 0 +79 *23597:B1 *3978:72 4.97617e-05 +80 *23597:B1 *4009:116 0.000301028 +81 *23597:B1 *4357:21 8.12259e-06 +82 *23597:B1 *4561:27 4.33369e-05 +83 *23597:B1 *4561:28 0.00071644 +84 *23597:B1 *4673:17 0.000373663 +85 *23597:B1 *4697:16 1.71886e-05 +86 *23597:B1 *4697:22 5.05432e-05 +87 *23638:B *4106:95 7.13972e-05 +88 *3877:12 *3925:15 6.08467e-05 +89 *3877:12 *3925:24 0.000326398 +90 *3877:12 *3927:10 1.5613e-05 +91 *3877:20 *23049:B1 0.000367283 +92 *3877:20 *23625:B1 9.96342e-05 +93 *3877:20 *3990:46 0.00074122 +94 *3877:20 *3990:54 0.000102058 +95 *3877:20 *3996:26 4.99035e-05 +96 *3877:20 *4127:30 0.0012186 +97 *3877:20 *4531:13 0.000196638 +98 *3877:20 *4550:10 0.000479204 +99 *3877:33 *22998:A 0.000144977 +100 *3877:33 *23663:A 2.99287e-05 +101 *3877:33 *4010:10 0.000991301 +102 *3877:33 *4014:10 0.000175022 +103 *3877:33 *4031:48 0.000710617 +104 *3877:41 *23238:A2 0.000135344 +105 *3877:41 *4146:60 0.000122083 +106 *3877:41 *4371:48 0.000298304 +107 *3877:58 *23126:A 0.00030253 +108 *3877:58 *23778:B1 6.08467e-05 +109 *3877:58 *23778:C1 6.08467e-05 +110 *3877:58 *3925:40 1.91391e-05 +111 *3877:58 *4010:10 1.5714e-05 +112 *3877:58 *5918:14 0 +113 *3877:72 *22996:B 1.91246e-05 +114 *3877:72 *23639:A2 2.68066e-05 +115 *3877:72 *4010:12 0.000148525 +116 *3877:72 *4470:15 0.000161854 +117 *3877:74 *4010:12 0.000235992 +118 *3877:74 *4470:15 0.00022885 +119 *3877:87 *23515:A1 5.3569e-05 +120 *3877:87 *23516:C1 0.000320184 +121 *3877:87 *23740:A2 6.08467e-05 +122 *3877:87 *23740:B1 5.51483e-06 +123 *3877:87 *3922:58 1.58551e-05 +124 *3877:87 *3996:70 0.000271311 +125 *3877:87 *4010:12 5.56367e-05 +126 *3877:87 *4147:13 7.66501e-05 +127 *3877:87 *4422:8 0.000145396 +128 *3877:87 *4470:15 5.92342e-05 +129 *3877:87 *4496:26 3.89332e-06 +130 *3877:87 *4643:7 5.04829e-06 +131 *3877:106 *23640:A 0.000290514 +132 *3877:106 *23818:C1 0.000333889 +133 *3877:106 *4006:40 4.57485e-06 +134 *3877:106 *4126:124 7.40684e-06 +135 *3877:106 *4471:10 0.000307503 +136 *3877:106 *4544:22 8.72115e-06 +137 *3877:120 *3958:8 0.000228467 +138 *3877:120 *4138:110 0.000775589 +139 *3877:120 *4300:11 3.91585e-05 +140 *3877:120 *4471:10 0.000146721 +141 *3877:120 *4544:22 5.88525e-05 +142 *3877:120 *4557:12 1.2819e-05 +143 *3877:134 *3958:8 3.32084e-05 +144 *3877:134 *3999:35 0.000791851 +145 *3877:134 *4300:11 0.000557847 +146 *3877:134 *4301:40 5.89545e-05 +147 *3877:134 *4396:23 0.00103325 +148 *3877:134 *4446:16 0.000190597 +149 *3877:134 *4620:17 0.000183501 +150 *3877:134 *5453:21 0.000104754 +151 *3877:134 *5924:37 3.49643e-05 +152 *3877:134 *6001:38 0.00160264 +153 *3877:138 *23042:A 7.77309e-06 +154 *3877:138 *4446:16 0.00206002 +155 *3877:138 *4620:12 3.11575e-05 +156 *3877:138 *4620:17 0.00169808 +157 *3877:147 *23670:A 0.000276356 +158 *3877:147 *4022:38 0.000205101 +159 *3877:147 *4058:28 0.000535007 +160 *3877:147 *4106:169 0.00130828 +161 *3877:147 *4347:12 5.74627e-05 +162 *3877:147 *4514:47 0.000249375 +163 *3877:147 *4567:38 6.08467e-05 +164 *3877:147 *4567:51 0.000193182 +165 *3877:148 *4137:28 0.000167281 +166 *3877:148 *4415:50 0.000244929 +167 *3877:148 *4481:13 7.39143e-05 +168 *3877:161 *23480:B 8.98943e-05 +169 *3877:161 *23692:B 6.85778e-05 +170 *3877:161 *23760:A2 4.76283e-05 +171 *3877:161 *23760:B1 3.41728e-05 +172 *3877:161 *3898:35 0.000331344 +173 *3877:161 *3898:37 0 +174 *3877:161 *4017:47 0 +175 *3877:161 *4138:16 0.00030537 +176 *3877:161 *4236:71 8.39223e-05 +177 *3877:161 *4597:8 0.000191526 +178 *3877:161 *4597:29 7.50872e-05 +179 *3877:161 *4663:40 0.000207266 +180 *3877:164 *23479:A 3.59934e-05 +181 *3877:164 *23760:B1 1.51735e-05 +182 *3877:166 *23365:A 0.000139435 +183 *3877:166 *23479:A 3.39118e-05 +184 *3877:166 *23760:B1 0.000175112 +185 *3877:184 *23774:D 1.41689e-05 +186 *3877:184 *4618:19 4.97617e-05 +187 *3877:194 *23041:A 4.75774e-05 +188 *3877:194 *23773:A2 2.83829e-05 +189 *3877:194 *23773:A3 0.000141357 +190 *3877:194 *23774:B 0.000209312 +191 *3877:194 *23774:D 0.000154145 +192 *3877:194 *3886:7 0.000398075 +193 *3877:221 *23035:B 0.000199071 +194 *3877:221 *23439:B1 0.000156946 +195 *3877:221 *3893:55 0.000454525 +196 *3877:221 *3898:18 3.20069e-06 +197 *3877:221 *3898:35 0.000202745 +198 *3877:221 *3942:12 5.57646e-06 +199 *3877:221 *3942:14 4.87198e-05 +200 *3877:221 *4278:15 0.000329172 +201 *3877:318 *4089:76 0.000487161 +202 *22913:B *3877:12 1.04747e-05 +203 *23017:B_N *3877:12 0.000109503 +204 *23033:A *23031:A 6.92705e-05 +205 *23131:A1 *3877:87 5.20546e-06 +206 *23202:A *23201:B 6.08467e-05 +207 *23202:A *3877:12 0.000144546 +208 *23202:A *3877:17 0.000258128 +209 *23236:A *3877:41 0.000673758 +210 *23356:A *23025:A 5.40144e-05 +211 *23363:A *23031:A 3.19789e-05 +212 *23389:A *3877:120 0.000211673 +213 *23555:A *3877:318 4.80635e-06 +214 *23778:A1 *3877:58 9.71051e-05 +215 *657:181 *3877:134 5.35241e-05 +216 *1427:48 *23025:A 0.000169496 +217 *1438:83 *3877:148 0.0016413 +218 *1438:83 *3877:161 0.000251038 +219 *1438:83 *3877:221 0.000537232 +220 *1438:92 *3877:147 0.00010096 +221 *1471:191 *3877:221 5.88009e-05 +222 *1587:63 *23028:A 5.21745e-05 +223 *1587:63 *3877:166 0.000132296 +224 *1587:72 *23574:A1 0 +225 *1755:51 *22976:A 5.88594e-05 +226 *1756:49 *23031:A 1.01044e-05 +227 *1786:52 *3877:184 0.000260388 +228 *1786:52 *3877:221 2.95757e-05 +229 *1808:69 *23574:A1 5.05252e-05 +230 *1818:29 *23031:A 9.2346e-06 +231 *1829:59 *23423:A1 7.34948e-06 +232 *1829:73 *23423:A1 0.000118658 +233 *1829:73 *3877:87 0.000232409 +234 *2618:18 *23025:A 0.00241441 +235 *2632:46 *3877:161 0.000390352 +236 *2660:32 *3877:134 0.000426982 +237 *2922:54 *23028:A 0.00124633 +238 *3002:51 *3877:148 0.00088672 +239 *3779:40 *3877:12 8.96314e-06 +240 *3782:26 *3877:33 4.5625e-05 +241 *3782:26 *3877:58 6.08467e-05 +242 *3784:75 *3877:58 2.16355e-05 +243 *3784:75 *3877:318 2.81262e-05 +244 *3786:38 *3877:20 0.000163982 +245 *3786:46 *3877:20 0.000177542 +246 *3787:8 *3877:33 0.00014041 +247 *3793:30 *3877:12 0.000430161 +248 *3822:25 *3877:58 1.5714e-05 +249 *3822:25 *3877:72 0.000432559 +250 *3827:41 *3877:58 5.91904e-05 +251 *3829:67 *3877:106 0.000329334 +252 *3833:34 *3877:58 0.000532632 +253 *3833:34 *3877:72 2.09449e-06 +254 *3833:115 *3877:148 9.75356e-05 +255 *3833:120 *3877:148 0.000222699 +256 *3833:247 *3877:41 0.000122083 +257 *3840:160 *23025:A 0.000102338 +258 *3840:191 *23028:A 0 +259 *3840:285 *3877:58 4.34402e-05 +260 *3842:24 *3877:20 0.000397499 +261 *3842:28 *3877:20 0.000148564 +262 *3842:84 *23175:A 0.00078855 +263 *3842:84 *3877:72 7.48362e-06 +264 *3846:8 *3877:20 1.87125e-05 +265 *3846:20 *3877:20 7.14746e-05 +266 *3846:55 *3877:120 3.31733e-05 +267 *3848:30 *3877:72 0.000619754 +268 *3848:34 *3877:87 0.000984873 +269 *3848:35 *3877:120 0.000445865 +270 *3850:10 *3877:12 7.65861e-05 +271 *3852:23 *3877:318 0.00033932 +272 *3852:43 *3877:318 2.57986e-05 +273 *3860:91 *3877:120 6.77388e-05 +274 *3861:186 *23005:B 4.34012e-05 +275 *3861:202 *23005:B 0.000488885 +276 *3861:211 *3877:120 1.70077e-05 +277 *3861:301 *3877:33 0.000457669 +278 *3862:15 *22976:A 0 +279 *3862:15 *3877:184 0.000177049 +280 *3862:15 *3877:194 0.000113124 +281 *3864:12 *3877:12 8.25792e-05 +282 *3864:20 *3877:58 1.1539e-05 +283 *3864:20 *3877:72 0.000209931 +284 *3868:19 *3877:17 0.000311235 +285 *3868:213 *23028:A 7.55603e-05 +286 *3868:335 *3877:318 0.000449125 +287 *3872:77 *3877:134 1.75925e-05 +288 *3872:179 *3877:138 3.90689e-06 +289 *3872:185 *3877:138 0.000276564 +290 *3874:40 *3877:106 0 +291 *3874:92 *3877:134 0.000151352 +292 *3874:296 *3877:12 0.000318562 +*RES +1 *22967:X *3877:12 44.3895 +2 *3877:12 *3877:17 8.98505 +3 *3877:17 *3877:20 46.1962 +4 *3877:20 *3877:33 47.2307 +5 *3877:33 *3877:41 19.7592 +6 *3877:41 *3877:58 25.3408 +7 *3877:58 *3877:72 26.205 +8 *3877:72 *3877:74 4.32351 +9 *3877:74 *3877:87 43.1488 +10 *3877:87 *23423:A1 17.737 +11 *3877:87 *3877:106 24.1877 +12 *3877:106 *3877:120 41.2754 +13 *3877:120 *3877:134 26.1669 +14 *3877:134 *3877:138 43.2894 +15 *3877:138 *3877:147 42.8311 +16 *3877:147 *3877:148 30.0691 +17 *3877:148 *3877:161 45.5101 +18 *3877:161 *3877:164 5.29386 +19 *3877:164 *3877:166 10.7599 +20 *3877:166 *23028:A 32.5542 +21 *3877:166 *3877:184 9.66022 +22 *3877:184 *3877:194 27.9369 +23 *3877:194 *3877:195 104.301 +24 *3877:195 *22976:A 19.8004 +25 *3877:184 *3877:221 43.2278 +26 *3877:221 *23031:A 32.0395 +27 *3877:221 *23036:A 13.7491 +28 *3877:164 *23025:A 27.1875 +29 *3877:148 *23574:A1 30.7435 +30 *3877:134 *22970:A 14.4725 +31 *3877:106 *23005:B 23.9249 +32 *3877:74 *23175:A 27.2284 +33 *3877:72 *23638:B 16.7151 +34 *3877:58 *23562:A2 17.3661 +35 *3877:41 *3877:318 18.4948 +36 *3877:318 *23597:B1 49.5584 +37 *3877:318 *23555:B 9.24915 +38 *3877:12 *23201:B 10.5271 +*END + +*D_NET *3878 0.00016488 +*CONN +*I *22969:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *22968:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22969:A 6.33285e-05 +2 *22968:X 6.33285e-05 +3 *22969:A *4290:10 3.82228e-05 +*RES +1 *22968:X *22969:A 19.7763 +*END + +*D_NET *3879 0.00934628 +*CONN +*I *23387:B I *D sky130_fd_sc_hd__nor2_1 +*I *22970:B I *D sky130_fd_sc_hd__or2_1 +*I *22971:B I *D sky130_fd_sc_hd__nor2_1 +*I *23385:B I *D sky130_fd_sc_hd__nor2_1 +*I *23582:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23383:B I *D sky130_fd_sc_hd__nor2_1 +*I *22972:B I *D sky130_fd_sc_hd__nor2_1 +*I *23043:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *22969:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23387:B 0.000115252 +2 *22970:B 0.000215793 +3 *22971:B 2.60774e-05 +4 *23385:B 0.000269075 +5 *23582:A2 3.79083e-05 +6 *23383:B 0 +7 *22972:B 0 +8 *23043:A2 6.22077e-05 +9 *22969:X 0.000216459 +10 *3879:71 0.000628033 +11 *3879:59 0.000447853 +12 *3879:50 0.000634378 +13 *3879:41 0.000410096 +14 *3879:25 0.00019384 +15 *3879:19 0.000466029 +16 *3879:16 0.000682529 +17 *22970:B *23045:B 0.000277167 +18 *22970:B *23623:B 0 +19 *22970:B *4742:8 0.000107496 +20 *22970:B *6001:38 0.000280592 +21 *22971:B *23732:A 0.000107496 +22 *22971:B *5904:122 0.000107496 +23 *23043:A2 *3882:7 5.481e-05 +24 *23387:B *23623:B 8.65015e-05 +25 *23387:B *4296:15 0 +26 *23582:A2 *4008:13 6.08467e-05 +27 *23582:A2 *4489:8 6.08467e-05 +28 *23582:A2 *5456:41 7.54269e-06 +29 *3879:16 *23042:A 0 +30 *3879:16 *23716:C 1.19856e-05 +31 *3879:16 *4008:26 5.21063e-05 +32 *3879:16 *4290:10 6.9473e-05 +33 *3879:16 *4685:12 7.18816e-05 +34 *3879:16 *4870:8 0.00012568 +35 *3879:19 *23716:B 0.000188837 +36 *3879:19 *4292:19 1.41976e-05 +37 *3879:19 *4870:7 1.58551e-05 +38 *3879:25 *3882:7 5.04829e-06 +39 *3879:25 *4665:10 0.000202476 +40 *3879:25 *5671:106 0.000202253 +41 *3879:41 *3980:134 5.78559e-05 +42 *3879:41 *4008:26 0.000340114 +43 *3879:41 *4685:12 5.71585e-05 +44 *3879:50 *3980:134 0.000117331 +45 *3879:50 *4008:13 0.000122083 +46 *3879:50 *4008:26 2.43314e-05 +47 *3879:50 *4490:8 0.000145584 +48 *3879:50 *6001:49 3.39588e-06 +49 *3879:59 *3980:126 4.26085e-05 +50 *3879:59 *3980:134 7.77309e-06 +51 *3879:59 *4490:8 0.000134092 +52 *3879:71 *23444:D 0.000186014 +53 *3879:71 *23623:A 0.000205101 +54 *3879:71 *23623:B 8.19374e-05 +55 *3879:71 *3980:126 5.15242e-05 +56 *3879:71 *4490:8 0.000362653 +57 *3879:71 *4557:10 7.92757e-06 +58 *22968:B *3879:16 0 +59 *22972:A *23043:A2 6.39593e-05 +60 *22972:A *3879:25 4.94594e-05 +61 *2660:80 *3879:71 3.55859e-05 +62 *3781:97 *23385:B 0.00062923 +63 *3872:164 *3879:50 9.7454e-05 +64 *3872:167 *23582:A2 6.98337e-06 +*RES +1 *22969:X *3879:16 20.9913 +2 *3879:16 *3879:19 11.8786 +3 *3879:19 *3879:25 13.6317 +4 *3879:25 *23043:A2 11.0817 +5 *3879:25 *22972:B 9.24915 +6 *3879:19 *23383:B 9.24915 +7 *3879:16 *3879:41 5.98452 +8 *3879:41 *23582:A2 15.0271 +9 *3879:41 *3879:50 7.64553 +10 *3879:50 *23385:B 21.1278 +11 *3879:50 *3879:59 3.07775 +12 *3879:59 *22971:B 15.0271 +13 *3879:59 *3879:71 14.6721 +14 *3879:71 *22970:B 25.6751 +15 *3879:71 *23387:B 12.2151 +*END + +*D_NET *3880 0.00101374 +*CONN +*I *23442:A I *D sky130_fd_sc_hd__inv_2 +*I *23045:A I *D sky130_fd_sc_hd__and2_1 +*I *22970:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23442:A 9.05741e-05 +2 *23045:A 0.000218283 +3 *22970:X 4.69106e-05 +4 *3880:8 0.000355768 +5 *23045:A *4735:58 6.08467e-05 +6 *3880:8 *4396:23 0.000120681 +7 *3880:8 *5671:106 0.000120681 +*RES +1 *22970:X *3880:8 20.4964 +2 *3880:8 *23045:A 13.3002 +3 *3880:8 *23442:A 11.1059 +*END + +*D_NET *3881 0.00196916 +*CONN +*I *23623:A I *D sky130_fd_sc_hd__or3_2 +*I *23044:A I *D sky130_fd_sc_hd__nor2_1 +*I *23732:A I *D sky130_fd_sc_hd__or4_1 +*I *22971:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23623:A 0.000145268 +2 *23044:A 1.70228e-05 +3 *23732:A 9.82328e-05 +4 *22971:Y 0 +5 *3881:18 0.000389014 +6 *3881:4 0.000324956 +7 *23623:A *23045:B 0.000123582 +8 *23623:A *4557:10 2.16355e-05 +9 *23623:A *4620:17 1.55462e-05 +10 *23623:A *6001:38 0.000127179 +11 *23623:A *6001:49 1.4059e-05 +12 *23732:A *4635:13 3.58044e-05 +13 *23732:A *5904:122 3.67895e-05 +14 *3881:18 *3953:10 0.000126533 +15 *3881:18 *4620:17 4.18258e-05 +16 *3881:18 *5904:122 4.5162e-05 +17 *22971:B *23732:A 0.000107496 +18 *2660:80 *23732:A 1.61631e-05 +19 *2878:47 *23044:A 1.41307e-05 +20 *3857:78 *23044:A 6.3657e-05 +21 *3879:71 *23623:A 0.000205101 +*RES +1 *22971:Y *3881:4 9.24915 +2 *3881:4 *23732:A 12.6491 +3 *3881:4 *3881:18 8.9951 +4 *3881:18 *23044:A 14.4725 +5 *3881:18 *23623:A 19.2141 +*END + +*D_NET *3882 0.00137909 +*CONN +*I *23716:A I *D sky130_fd_sc_hd__or4_4 +*I *23042:A I *D sky130_fd_sc_hd__nor2_1 +*I *22972:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23716:A 0 +2 *23042:A 0.000181692 +3 *22972:Y 0.000183159 +4 *3882:7 0.000364852 +5 *23042:A *23042:B 3.5534e-06 +6 *23042:A *6001:49 1.80281e-05 +7 *3882:7 *3953:10 0.0003012 +8 *22972:A *23042:A 2.35101e-05 +9 *22972:A *3882:7 2.77625e-06 +10 *23043:A1 *23042:A 0 +11 *23043:A1 *3882:7 1.03403e-05 +12 *23043:A2 *3882:7 5.481e-05 +13 *3872:179 *23042:A 9.04224e-05 +14 *3872:179 *3882:7 7.92757e-06 +15 *3872:185 *23042:A 0.000123993 +16 *3877:138 *23042:A 7.77309e-06 +17 *3879:16 *23042:A 0 +18 *3879:25 *3882:7 5.04829e-06 +*RES +1 *22972:Y *3882:7 14.9881 +2 *3882:7 *23042:A 23.7382 +3 *3882:7 *23716:A 9.24915 +*END + +*D_NET *3883 0.0556824 +*CONN +*I *22974:B I *D sky130_fd_sc_hd__or2_1 +*I *22979:A I *D sky130_fd_sc_hd__inv_2 +*I *23373:A I *D sky130_fd_sc_hd__nor2_1 +*I *23377:A I *D sky130_fd_sc_hd__nor2_1 +*I *22973:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *22974:B 0.000103872 +2 *22979:A 0 +3 *23373:A 0 +4 *23377:A 0 +5 *22973:X 0.00233786 +6 *3883:35 0.000121707 +7 *3883:29 0.000135016 +8 *3883:20 0.00011718 +9 *3883:18 0.0110629 +10 *3883:11 0.0134008 +11 *22974:B *3888:24 0.000151147 +12 *22974:B *4286:5 2.16355e-05 +13 *22974:B *4676:6 0.000151147 +14 *3883:11 *22094:A1 0 +15 *3883:11 *3926:8 4.40531e-05 +16 *3883:11 *5629:114 1.07248e-05 +17 *3883:11 *5629:118 4.40531e-05 +18 *3883:11 *5629:153 0 +19 *3883:18 *23351:B 0.000147472 +20 *3883:18 *23373:B 0.000679468 +21 *3883:18 *3893:42 0.000226762 +22 *3883:18 *3934:21 0.00023318 +23 *3883:18 *3937:29 7.50228e-05 +24 *3883:18 *4149:18 0.000104058 +25 *3883:18 *4233:71 1.43499e-05 +26 *3883:18 *4236:61 2.72284e-06 +27 *3883:18 *4663:19 0 +28 *3883:18 *5452:23 0 +29 *3883:18 *5452:33 0.00670743 +30 *3883:18 *5453:51 0.000111681 +31 *3883:18 *5454:28 0.000100822 +32 *3883:18 *5457:34 0.00831191 +33 *3883:18 *5593:20 5.75478e-05 +34 *3883:18 *5800:49 0 +35 *3883:18 *5926:35 2.59705e-05 +36 *3883:18 *5928:18 0.00120419 +37 *3883:18 *5928:29 0.00354817 +38 *3883:18 *5930:14 0.000386155 +39 *3883:29 *23373:B 0.000154145 +40 *3883:29 *23377:B 1.56419e-05 +41 *3883:29 *4286:5 0.000340742 +42 *3883:35 *4286:5 1.65872e-05 +43 wb_dat_o[18] *3883:11 0 +44 wb_dat_o[19] *3883:11 0.00039821 +45 *22989:C *3883:11 0.00056292 +46 *23025:A *3883:18 2.67971e-05 +47 *23356:A *3883:18 0.000401059 +48 *25020:A *3883:18 0 +49 *25022:A *3883:18 0.000283487 +50 *657:171 *3883:18 0 +51 *1587:63 *3883:18 0.00020951 +52 *1783:48 *3883:18 2.14262e-05 +53 *2281:13 *3883:18 0 +54 *2502:36 *3883:18 4.28053e-05 +55 *2612:41 *22974:B 4.66492e-05 +56 *2612:41 *3883:29 6.84597e-05 +57 *2612:41 *3883:35 6.08467e-05 +58 *2711:36 *3883:18 0.000221665 +59 *3840:160 *3883:18 0.00120096 +60 *3860:101 *3883:18 0.000117093 +61 *3868:180 *3883:18 0.000113692 +62 *3868:187 *3883:18 0.00174071 +*RES +1 *22973:X *3883:11 23.5014 +2 *3883:11 *3883:18 49.2869 +3 *3883:18 *3883:20 4.5 +4 *3883:20 *23377:A 9.24915 +5 *3883:20 *3883:29 5.20845 +6 *3883:29 *23373:A 9.24915 +7 *3883:29 *3883:35 0.723396 +8 *3883:35 *22979:A 9.24915 +9 *3883:35 *22974:B 22.0503 +*END + +*D_NET *3884 0.000624215 +*CONN +*I *22975:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *22974:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22975:A 0.000179302 +2 *22974:X 0.000179302 +3 *22975:A *23483:B 0.000122083 +4 *22975:A *23484:B 0.000122083 +5 *22975:A *23694:B 7.34948e-06 +6 *2405:35 *22975:A 1.40953e-05 +*RES +1 *22974:X *22975:A 31.5781 +*END + +*D_NET *3885 0.00560165 +*CONN +*I *22976:B I *D sky130_fd_sc_hd__nor2_1 +*I *23379:B I *D sky130_fd_sc_hd__nor2_1 +*I *22977:B I *D sky130_fd_sc_hd__nor2_1 +*I *23375:B I *D sky130_fd_sc_hd__nor2_1 +*I *23038:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *22975:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *22976:B 1.98947e-05 +2 *23379:B 2.88155e-05 +3 *22977:B 0 +4 *23375:B 1.21701e-05 +5 *23038:A2 0.000161517 +6 *22975:X 0.000149226 +7 *3885:22 0.000398678 +8 *3885:17 0.000408543 +9 *3885:10 0.000372238 +10 *3885:8 0.00032899 +11 *22976:B *23041:A 6.08467e-05 +12 *23038:A2 *4280:17 4.18258e-05 +13 *23379:B *23608:B1 6.08467e-05 +14 *23379:B *23608:C1 0.000107496 +15 *3885:8 *3887:30 0.000165495 +16 *3885:10 *3887:8 2.43314e-05 +17 *3885:10 *3887:19 0.000119727 +18 *3885:10 *3887:30 0.000208961 +19 *3885:17 *3887:8 0.000199019 +20 *3885:17 *4870:22 2.41274e-06 +21 *3885:17 *6001:62 1.15883e-05 +22 *3885:22 *23039:B1 0.000511781 +23 *23375:A *23038:A2 0.000205101 +24 *23375:A *23375:B 6.08467e-05 +25 *23379:A *23379:B 2.57365e-05 +26 *1755:51 *22976:B 2.16355e-05 +27 *1808:44 *3885:8 0.000205006 +28 *1818:38 *23038:A2 0.000207032 +29 *1818:38 *23375:B 4.76794e-05 +30 *2704:54 *3885:17 6.24956e-05 +31 *2704:54 *3885:22 0.000506685 +32 *2785:47 *23038:A2 4.52987e-05 +33 *2785:51 *3885:8 0.000156869 +34 *2785:51 *3885:10 0.000347276 +35 *2785:51 *3885:17 6.97218e-05 +36 *2802:15 *3885:17 0.000164829 +37 *2803:58 *3885:17 8.62625e-06 +38 *3868:251 *3885:17 6.50586e-05 +39 *3874:127 *3885:8 7.34948e-06 +*RES +1 *22975:X *3885:8 19.2141 +2 *3885:8 *3885:10 6.81502 +3 *3885:10 *3885:17 11.2348 +4 *3885:17 *3885:22 18.7218 +5 *3885:22 *23038:A2 23.2989 +6 *3885:22 *23375:B 9.97254 +7 *3885:17 *22977:B 9.24915 +8 *3885:10 *23379:B 15.0271 +9 *3885:8 *22976:B 14.4725 +*END + +*D_NET *3886 0.0138987 +*CONN +*I *23041:A I *D sky130_fd_sc_hd__or2_1 +*I *23440:A I *D sky130_fd_sc_hd__or2_1 +*I *23580:A I *D sky130_fd_sc_hd__or2_1 +*I *22976:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23041:A 0.000179572 +2 *23440:A 0 +3 *23580:A 0 +4 *22976:Y 0 +5 *3886:27 0.000797808 +6 *3886:11 0.000915193 +7 *3886:8 0.00145392 +8 *3886:7 0.00144924 +9 *3886:4 0.000292275 +10 *23041:A *24792:CLK 0 +11 *23041:A *3951:13 1.41976e-05 +12 *3886:7 *23773:A2 6.08467e-05 +13 *3886:8 *23154:A2 0.000216088 +14 *3886:8 *23154:B1 5.89469e-05 +15 *3886:8 *23482:B1 0.000148951 +16 *3886:8 *23483:B 0.000919159 +17 *3886:8 *23534:B 6.41357e-05 +18 *3886:8 *23579:B1 2.53624e-06 +19 *3886:8 *23694:B 0.000321529 +20 *3886:8 *3888:11 4.33081e-05 +21 *3886:8 *3889:24 0.000174217 +22 *3886:8 *3889:26 2.95757e-05 +23 *3886:8 *4001:152 3.55968e-05 +24 *3886:8 *4013:42 7.35876e-05 +25 *3886:8 *4106:245 0.000181146 +26 *3886:8 *4172:16 7.5909e-06 +27 *3886:8 *4173:10 8.71241e-05 +28 *3886:8 *4486:14 7.13041e-05 +29 *3886:8 *4514:29 0 +30 *3886:8 *4676:6 0 +31 *3886:8 *4685:10 4.51808e-05 +32 *3886:8 *4685:12 6.06269e-06 +33 *3886:11 *23580:B 6.08467e-05 +34 *3886:11 *5682:25 0.000393863 +35 *3886:27 *23803:B 0.000526927 +36 *3886:27 *4008:26 0.000202132 +37 *3886:27 *4290:19 6.08467e-05 +38 *3886:27 *4441:10 0.000569967 +39 *3886:27 *4870:8 0.00109356 +40 *3886:27 *5592:19 2.16355e-05 +41 *3886:27 *5682:25 6.08467e-05 +42 *3886:27 *6001:49 3.12342e-05 +43 *3886:27 *6001:54 0 +44 *22976:A *23041:A 1.94512e-05 +45 *22976:B *23041:A 6.08467e-05 +46 *23155:A *3886:8 0.00018522 +47 *1744:144 *3886:8 0.000377008 +48 *1755:51 *23041:A 3.4378e-05 +49 *1755:51 *3886:7 2.08649e-05 +50 *2711:36 *3886:8 4.3116e-06 +51 *3842:204 *3886:11 0.000116111 +52 *3842:204 *3886:27 2.15348e-05 +53 *3862:15 *23041:A 0 +54 *3862:15 *3886:7 0.000113011 +55 *3874:109 *3886:27 0.000715921 +56 *3874:124 *3886:8 0.00111343 +57 *3877:194 *23041:A 4.75774e-05 +58 *3877:194 *3886:7 0.000398075 +*RES +1 *22976:Y *3886:4 9.24915 +2 *3886:4 *3886:7 9.10562 +3 *3886:7 *3886:8 50.4165 +4 *3886:8 *3886:11 9.10562 +5 *3886:11 *23580:A 9.24915 +6 *3886:11 *3886:27 42.3968 +7 *3886:27 *23440:A 9.24915 +8 *3886:4 *23041:A 13.903 +*END + +*D_NET *3887 0.00756267 +*CONN +*I *23784:A I *D sky130_fd_sc_hd__or2_1 +*I *23040:A I *D sky130_fd_sc_hd__or2_1 +*I *23608:B1 I *D sky130_fd_sc_hd__a211o_1 +*I *22977:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23784:A 0 +2 *23040:A 4.17154e-05 +3 *23608:B1 7.80499e-05 +4 *22977:Y 0.000169951 +5 *3887:30 0.000919143 +6 *3887:19 0.00104148 +7 *3887:8 0.000328625 +8 *23608:B1 *23608:C1 4.31337e-05 +9 *3887:8 *6001:62 0.00019702 +10 *3887:19 *6001:62 0.000126823 +11 *3887:30 *23483:A 0.00018832 +12 *3887:30 *23802:B 0.000287646 +13 *3887:30 *3951:14 0.00161994 +14 *3887:30 *4175:6 0.000583414 +15 *3887:30 *6001:62 0.000478555 +16 *23379:A *23608:B1 0.00023494 +17 *23379:B *23608:B1 6.08467e-05 +18 *1786:65 *3887:30 4.04447e-05 +19 *2785:47 *23608:B1 3.99086e-06 +20 *2785:51 *3887:30 0.000285166 +21 *3868:251 *3887:8 0.000115934 +22 *3885:8 *3887:30 0.000165495 +23 *3885:10 *3887:8 2.43314e-05 +24 *3885:10 *3887:19 0.000119727 +25 *3885:10 *3887:30 0.000208961 +26 *3885:17 *3887:8 0.000199019 +*RES +1 *22977:Y *3887:8 19.49 +2 *3887:8 *23608:B1 16.7151 +3 *3887:8 *3887:19 2.6625 +4 *3887:19 *23040:A 14.4725 +5 *3887:19 *3887:30 44.1199 +6 *3887:30 *23784:A 9.24915 +*END + +*D_NET *3888 0.035268 +*CONN +*I *23654:A2 I *D sky130_fd_sc_hd__a311o_1 +*I *23416:B I *D sky130_fd_sc_hd__nor2_1 +*I *23579:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *23039:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *22978:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23654:A2 0.000566733 +2 *23416:B 0.000514322 +3 *23579:A2 4.88846e-05 +4 *23039:A2 0.000142264 +5 *22978:Y 0 +6 *3888:49 0.00510077 +7 *3888:24 0.00126748 +8 *3888:11 0.00209053 +9 *3888:5 0.00493615 +10 *23039:A2 *23039:A3 0.000164829 +11 *23039:A2 *23622:B 7.70262e-05 +12 *23416:B *23181:A 5.04829e-06 +13 *23416:B *23556:A2 1.72858e-05 +14 *23416:B *4085:27 1.88152e-05 +15 *23416:B *4089:76 3.73375e-05 +16 *23416:B *4146:60 2.60879e-06 +17 *23416:B *4146:64 8.05972e-06 +18 *23579:A2 *4598:13 0 +19 *23654:A2 *23556:B1 0 +20 *23654:A2 *23654:B1 3.78445e-05 +21 *23654:A2 *23654:C1 6.3657e-05 +22 *23654:A2 *23675:A 1.5714e-05 +23 *23654:A2 *4463:15 0.000226497 +24 *23654:A2 *4619:26 0 +25 *23654:A2 *4620:41 4.69495e-06 +26 *3888:11 *23579:B1 9.73599e-06 +27 *3888:11 *23670:C 0.000216709 +28 *3888:11 *23697:A 6.50586e-05 +29 *3888:11 *23803:C 0.000141634 +30 *3888:11 *4487:10 0.000198692 +31 *3888:11 *4514:47 0.000158276 +32 *3888:11 *4598:13 2.70427e-05 +33 *3888:11 *4685:12 0.0018225 +34 *3888:11 *4751:10 1.98097e-05 +35 *3888:24 *23482:A2 0.000173544 +36 *3888:24 *23578:A 0.000172676 +37 *3888:24 *23579:A3 0.000983719 +38 *3888:24 *23774:B 7.50722e-05 +39 *3888:24 *23791:B 0.000252098 +40 *3888:24 *3889:26 0 +41 *3888:24 *4012:20 3.39633e-05 +42 *3888:24 *4089:27 0.000903551 +43 *3888:24 *4282:11 0.000356545 +44 *3888:24 *4345:20 5.86166e-05 +45 *3888:24 *4486:13 0.000110306 +46 *3888:24 *4676:6 0.000491781 +47 *3888:49 *23626:A 0.00763716 +48 *3888:49 *3980:93 0.000106281 +49 *3888:49 *4009:72 0.000659116 +50 *3888:49 *4070:36 5.60804e-05 +51 *3888:49 *4228:41 0.000100364 +52 *3888:49 *4495:48 0.00196744 +53 *3888:49 *4514:47 5.33358e-06 +54 *3888:49 *4529:25 0.000274823 +55 *3888:49 *4529:26 0.000110809 +56 *3888:49 *4619:26 0.000766557 +57 *3888:49 *4685:12 1.91246e-05 +58 *22974:B *3888:24 0.000151147 +59 *23039:A1 *23039:A2 6.50586e-05 +60 *23155:A *3888:11 0.000180123 +61 *23416:A *23416:B 8.63705e-05 +62 *23579:A1 *23579:A2 6.08467e-05 +63 *23579:A1 *3888:24 1.58551e-05 +64 *23654:A1 *23654:A2 1.28758e-05 +65 *1744:144 *3888:11 0.000500413 +66 *1808:69 *3888:49 4.31122e-06 +67 *1808:78 *3888:49 1.5859e-05 +68 *2878:44 *3888:49 0.000321214 +69 *3870:47 *23416:B 6.86981e-06 +70 *3874:92 *3888:49 0.000395868 +71 *3874:109 *3888:49 8.68745e-05 +72 *3886:8 *3888:11 4.33081e-05 +*RES +1 *22978:Y *3888:5 13.7491 +2 *3888:5 *3888:11 41.9663 +3 *3888:11 *3888:24 48.5906 +4 *3888:24 *23039:A2 18.3548 +5 *3888:11 *23579:A2 10.9612 +6 *3888:5 *3888:49 23.728 +7 *3888:49 *23416:B 20.479 +8 *3888:49 *23654:A2 22.1312 +*END + +*D_NET *3889 0.00786493 +*CONN +*I *23773:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23039:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23608:A1 I *D sky130_fd_sc_hd__a211o_1 +*I *23439:A1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23579:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *22979:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23773:A2 3.03136e-05 +2 *23039:A3 2.37123e-05 +3 *23608:A1 0.000102568 +4 *23439:A1 0.000107197 +5 *23579:A3 0.000612565 +6 *22979:Y 0 +7 *3889:30 0.000309987 +8 *3889:26 0.00025082 +9 *3889:24 0.000655827 +10 *3889:4 0.00111119 +11 *23039:A3 *23622:B 0.000164829 +12 *23439:A1 *23439:A2 4.48847e-05 +13 *23579:A3 *23482:A2 0.000178468 +14 *23579:A3 *4012:20 0.000368352 +15 *23579:A3 *4012:25 0.000135406 +16 *23579:A3 *4676:6 0.000815576 +17 *23608:A1 *23439:A2 1.41976e-05 +18 *23608:A1 *23608:A2 0.000207266 +19 *23608:A1 *4514:8 0.000164843 +20 *23773:A2 *23773:A3 6.08467e-05 +21 *3889:24 *23694:B 0.000249182 +22 *3889:24 *4286:5 0.000156946 +23 *3889:24 *4676:6 0.000174164 +24 *3889:26 *23483:B 8.9493e-05 +25 *3889:26 *4282:11 0 +26 *3889:26 *4676:6 2.98609e-05 +27 *3889:30 *23483:B 0.000110844 +28 *3889:30 *4282:11 0 +29 *22974:A *3889:24 1.91246e-05 +30 *23039:A2 *23039:A3 0.000164829 +31 *23379:A *23439:A1 1.37189e-05 +32 *23379:A *23608:A1 5.56807e-05 +33 *2406:14 *3889:24 7.14746e-05 +34 *2612:62 *3889:24 7.74361e-05 +35 *3862:15 *23773:A2 1.65872e-05 +36 *3877:194 *23773:A2 2.83829e-05 +37 *3886:7 *23773:A2 6.08467e-05 +38 *3886:8 *3889:24 0.000174217 +39 *3886:8 *3889:26 2.95757e-05 +40 *3888:24 *23579:A3 0.000983719 +41 *3888:24 *3889:26 0 +*RES +1 *22979:Y *3889:4 9.24915 +2 *3889:4 *23579:A3 44.6543 +3 *3889:4 *3889:24 18.6192 +4 *3889:24 *3889:26 3.493 +5 *3889:26 *3889:30 6.74725 +6 *3889:30 *23439:A1 11.0817 +7 *3889:30 *23608:A1 13.3484 +8 *3889:26 *23039:A3 15.5817 +9 *3889:24 *23773:A2 15.0271 +*END + +*D_NET *3890 0.000569701 +*CONN +*I *22981:A I *D sky130_fd_sc_hd__buf_6 +*I *22980:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22981:A 0.00014724 +2 *22980:X 0.00014724 +3 *22981:A *4694:10 0.000113109 +4 *22981:A *5680:30 8.31378e-05 +5 *22981:A *5681:29 7.89747e-05 +*RES +1 *22980:X *22981:A 32.1327 +*END + +*D_NET *3891 0.0536734 +*CONN +*I *23575:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23573:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23557:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 +*I *23127:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23563:A1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *23426:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *23023:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23024:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23569:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23029:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23030:B1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23037:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *22981:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23575:A1 2.27091e-05 +2 *23573:A1 0 +3 *23557:A1_N 0 +4 *23127:A1 0.000176554 +5 *23563:A1 0 +6 *23426:A1 7.52198e-06 +7 *23023:A1 0.000256833 +8 *23024:A1 0.000343035 +9 *23569:A2 0.000536112 +10 *23029:A2 0 +11 *23030:B1 5.82373e-06 +12 *23037:A1 0.000690443 +13 *22981:X 0.000195177 +14 *3891:193 0.000211702 +15 *3891:190 0.00103581 +16 *3891:176 0.00245776 +17 *3891:146 0.00188016 +18 *3891:133 0.00214122 +19 *3891:122 0.00224733 +20 *3891:106 0.000879651 +21 *3891:96 0.0010235 +22 *3891:42 0.00132186 +23 *3891:24 0.00189962 +24 *3891:15 0.00214151 +25 *3891:8 0.00157892 +26 *3891:5 0.00111452 +27 *23023:A1 *23008:B 2.69691e-05 +28 *23023:A1 *23424:A1 0.000311142 +29 *23023:A1 *23615:A 1.91391e-05 +30 *23023:A1 *3914:14 0 +31 *23024:A1 *23615:A 9.85683e-06 +32 *23030:B1 *23034:B 8.4798e-06 +33 *23037:A1 *23032:B 5.79903e-05 +34 *23037:A1 *23034:A 4.12977e-05 +35 *23037:A1 *23034:D 4.46431e-05 +36 *23037:A1 *23037:B1 0.000163207 +37 *23037:A1 *23037:D1 7.68538e-06 +38 *23037:A1 *23435:C 3.77568e-05 +39 *23037:A1 *3893:55 6.08467e-05 +40 *23037:A1 *3898:18 0.000438266 +41 *23037:A1 *3942:12 4.31603e-06 +42 *23037:A1 *3947:23 0.000160617 +43 *23127:A1 *23563:A2 0 +44 *23127:A1 *23563:B1 0.000368868 +45 *23127:A1 *23639:A1 7.92757e-06 +46 *23127:A1 *23639:A3 6.08467e-05 +47 *23127:A1 *4036:11 8.83891e-05 +48 *23127:A1 *4470:15 2.77625e-06 +49 *23127:A1 *4544:11 0.000107496 +50 *23426:A1 *3928:18 2.02035e-05 +51 *23426:A1 *4589:19 2.02035e-05 +52 *23569:A2 *23569:A1 6.3657e-05 +53 *23569:A2 *23745:C1 1.06902e-05 +54 *23569:A2 *4019:32 1.5714e-05 +55 *23575:A1 *23575:B2 4.88955e-05 +56 *3891:5 *5680:30 0.000112904 +57 *3891:5 *5681:29 0.000585364 +58 *3891:8 *23724:B 1.91391e-05 +59 *3891:8 *4022:73 1.26298e-05 +60 *3891:8 *4618:20 0.000484528 +61 *3891:15 *23144:C1 0 +62 *3891:15 *23254:B 6.88784e-05 +63 *3891:15 *23693:B 3.17436e-05 +64 *3891:15 *23724:B 0.000116832 +65 *3891:15 *4019:62 0.000323917 +66 *3891:15 *4126:209 0 +67 *3891:15 *4163:8 2.57465e-06 +68 *3891:15 *4431:6 0.000122083 +69 *3891:15 *4618:20 0.0012561 +70 *3891:24 *23356:B 0 +71 *3891:24 *23432:B1 4.34346e-05 +72 *3891:24 *23621:C 0.000109058 +73 *3891:24 *3936:14 0.000931395 +74 *3891:24 *3937:29 1.58614e-05 +75 *3891:24 *3937:32 0.0011156 +76 *3891:24 *4617:8 0.00119988 +77 *3891:24 *4663:19 0.000150552 +78 *3891:42 *23621:C 0.00010836 +79 *3891:42 *23843:D1 2.4764e-05 +80 *3891:42 *3936:14 4.78769e-05 +81 *3891:42 *5456:82 0.000277916 +82 *3891:96 *23140:B1 6.51637e-05 +83 *3891:96 *23575:B2 6.50727e-05 +84 *3891:96 *23851:B 0.00067213 +85 *3891:96 *3980:168 4.76864e-05 +86 *3891:96 *4024:100 2.1203e-06 +87 *3891:96 *4478:6 2.70995e-05 +88 *3891:96 *5592:17 0.000164116 +89 *3891:96 *5592:19 1.15389e-05 +90 *3891:96 *5680:30 0.000171749 +91 *3891:96 *5681:29 0.00083183 +92 *3891:106 *23576:B 1.40755e-05 +93 *3891:106 *23731:C 2.16355e-05 +94 *3891:106 *23851:D 6.08467e-05 +95 *3891:106 *3893:10 2.52338e-05 +96 *3891:106 *4480:7 6.67956e-05 +97 *3891:106 *4482:6 0.000127707 +98 *3891:122 *23520:A 1.41976e-05 +99 *3891:122 *23557:A2_N 2.75423e-05 +100 *3891:122 *23557:B2 1.77472e-05 +101 *3891:122 *23570:B 0.000283785 +102 *3891:122 *23573:B2 6.08467e-05 +103 *3891:122 *23636:B1 1.3807e-05 +104 *3891:122 *4001:92 0.000662732 +105 *3891:122 *4024:100 0.000403236 +106 *3891:122 *4475:12 0.000110891 +107 *3891:122 *4480:7 3.95516e-05 +108 *3891:133 *23241:C1 0.000196088 +109 *3891:133 *23557:A2_N 6.08467e-05 +110 *3891:133 *23756:A2 3.17436e-05 +111 *3891:133 *3917:38 0.00107543 +112 *3891:133 *4138:78 0.000316865 +113 *3891:133 *4464:10 5.04829e-06 +114 *3891:133 *4591:26 0.000100364 +115 *3891:133 *4661:28 0.000100693 +116 *3891:133 *4744:20 2.28363e-05 +117 *3891:133 *5082:80 0.0006033 +118 *3891:133 *5923:17 0.000240197 +119 *3891:133 *5923:20 0.000719805 +120 *3891:133 *5929:28 0.00132675 +121 *3891:146 *3917:38 0.000441751 +122 *3891:146 *5923:20 0.000210302 +123 *3891:176 *23422:B 0.000309531 +124 *3891:176 *23427:A 0.00018349 +125 *3891:176 *3917:26 8.70183e-05 +126 *3891:176 *3917:28 0.000771077 +127 *3891:176 *3917:38 4.64851e-05 +128 *3891:176 *3931:65 3.00142e-05 +129 *3891:176 *4006:40 1.41073e-05 +130 *3891:176 *4338:17 0.000153427 +131 *3891:176 *5923:20 0.00059277 +132 *3891:190 *24940:A 0.000390201 +133 *3891:190 *3926:20 0.000521222 +134 *3891:190 *3927:25 0.000502569 +135 *3891:190 *3928:18 0.000739153 +136 *3891:190 *3980:42 4.04447e-05 +137 *3891:190 *3989:31 0.00014249 +138 *3891:190 *4147:13 1.561e-05 +139 *3891:190 *4496:26 0.000333596 +140 *3891:190 *4589:19 0.000733641 +141 *3891:190 *4879:7 6.08467e-05 +142 *3891:193 *23563:B1 3.82228e-05 +143 *3891:193 *4544:11 0.000107496 +144 *21351:B1 *23037:A1 0 +145 *21683:A1 *23037:A1 0.00109206 +146 *22968:B *3891:106 0.000279222 +147 *22968:B *3891:122 1.65872e-05 +148 *23019:A *23023:A1 3.63525e-06 +149 *23019:A *23024:A1 1.39958e-05 +150 *23356:A *3891:24 4.12977e-05 +151 *23573:B1 *3891:122 9.71338e-05 +152 *23575:B1 *3891:96 0.000207266 +153 *1471:191 *23037:A1 0.000109248 +154 *1697:48 *23037:A1 1.66626e-05 +155 *1697:48 *3891:42 0.000394776 +156 *1731:78 *3891:24 0 +157 *1783:54 *23037:A1 2.37478e-05 +158 *1783:54 *3891:42 0.000390321 +159 *2502:26 *3891:42 0.00045247 +160 *2802:12 *3891:42 0.000202719 +161 *3002:69 *23569:A2 3.848e-05 +162 *3002:69 *3891:133 0.000936455 +163 *3829:97 *3891:133 0.000601974 +164 *3829:137 *23569:A2 0.000129091 +165 *3829:137 *3891:8 0.000438302 +166 *3829:137 *3891:15 0.000294783 +167 *3833:106 *3891:96 0.000368121 +168 *3840:191 *3891:42 2.84148e-05 +169 *3842:144 *3891:122 3.33024e-05 +170 *3842:150 *3891:122 1.07248e-05 +171 *3860:151 *3891:42 0.000200794 +172 *3861:33 *3891:176 5.45708e-05 +173 *3861:237 *3891:176 2.14842e-06 +174 *3868:233 *23030:B1 2.92975e-06 +175 *3872:34 *3891:190 8.39059e-05 +176 *3874:40 *3891:176 5.67842e-06 +177 *3874:40 *3891:190 0.000139581 +178 *3874:46 *3891:133 0.000151372 +*RES +1 *22981:X *3891:5 16.0732 +2 *3891:5 *3891:8 13.1837 +3 *3891:8 *3891:15 29.994 +4 *3891:15 *3891:24 44.5419 +5 *3891:24 *3891:42 36.7697 +6 *3891:42 *23037:A1 32.2531 +7 *3891:42 *23030:B1 13.9541 +8 *3891:24 *23029:A2 13.7491 +9 *3891:8 *23569:A2 22.8816 +10 *3891:5 *3891:96 35.6919 +11 *3891:96 *3891:106 17.0156 +12 *3891:106 *3891:122 31.2203 +13 *3891:122 *3891:133 27.2527 +14 *3891:133 *23024:A1 18.5732 +15 *3891:133 *3891:146 0.96486 +16 *3891:146 *23023:A1 18.4967 +17 *3891:146 *3891:176 18.8717 +18 *3891:176 *23426:A1 14.1278 +19 *3891:176 *3891:190 49.3235 +20 *3891:190 *3891:193 5.778 +21 *3891:193 *23563:A1 9.24915 +22 *3891:193 *23127:A1 15.7356 +23 *3891:122 *23557:A1_N 9.24915 +24 *3891:106 *23573:A1 9.24915 +25 *3891:96 *23575:A1 9.97254 +*END + +*D_NET *3892 0.0333502 +*CONN +*I *22983:A I *D sky130_fd_sc_hd__buf_2 +*I *22982:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *22983:A 3.5247e-05 +2 *22982:X 0.000405269 +3 *3892:23 0.00197463 +4 *3892:12 0.00494982 +5 *3892:11 0.00453981 +6 *3892:8 0.00193465 +7 *3892:8 *22982:B 1.59362e-06 +8 *3892:8 *23337:B1 4.87198e-05 +9 *3892:8 *4037:13 3.4372e-05 +10 *3892:8 *4135:8 5.79544e-05 +11 *3892:11 *23334:C1 0.000117326 +12 *3892:11 *3899:13 7.90842e-05 +13 *3892:11 *3931:11 0.000347214 +14 *3892:11 *4241:5 6.65367e-05 +15 *3892:11 *4374:69 0.000449562 +16 *3892:12 *3894:16 0.0020401 +17 *3892:12 *4524:27 0.000234606 +18 *3892:12 *5453:103 0.00172258 +19 *3892:12 *5454:68 0.00164802 +20 *3892:12 *5928:29 0.00196166 +21 *3892:23 *23473:B 6.56019e-06 +22 *3892:23 *23570:A 9.56715e-05 +23 *3892:23 *23570:C 0.000111802 +24 *3892:23 *23572:A 3.49252e-05 +25 *3892:23 *23782:C_N 0.000586615 +26 *3892:23 *23794:A 0.000283428 +27 *3892:23 *23794:C 0.000221714 +28 *3892:23 *23794:D 6.08467e-05 +29 *3892:23 *5453:103 0 +30 *3892:23 *5923:17 0.000689487 +31 *23332:A *3892:11 0.000905449 +32 *25184:A *3892:23 0.000203595 +33 *1829:50 *3892:12 0.00584715 +34 *3781:25 *3892:11 0.000528332 +35 *3791:18 *3892:8 0 +36 *3831:27 *3892:8 0.000277921 +37 *3874:28 *3892:8 0.00065644 +38 *3874:32 *3892:8 0.000191556 +*RES +1 *22982:X *3892:8 33.7845 +2 *3892:8 *3892:11 46.2641 +3 *3892:11 *3892:12 160.458 +4 *3892:12 *3892:23 49.2782 +5 *3892:23 *22983:A 10.2378 +*END + +*D_NET *3893 0.0209079 +*CONN +*I *23369:A I *D sky130_fd_sc_hd__nor2_1 +*I *23364:A I *D sky130_fd_sc_hd__nor2_1 +*I *23436:A I *D sky130_fd_sc_hd__nor2_1 +*I *23032:B I *D sky130_fd_sc_hd__or2_1 +*I *23037:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23575:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *22983:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23369:A 0 +2 *23364:A 0.000376732 +3 *23436:A 0 +4 *23032:B 2.36214e-05 +5 *23037:A2 0 +6 *23575:A2 0.000108785 +7 *22983:X 0.000561649 +8 *3893:55 0.000502846 +9 *3893:50 0.00055672 +10 *3893:48 0.000813383 +11 *3893:42 0.000825961 +12 *3893:34 0.00160646 +13 *3893:26 0.00235601 +14 *3893:10 0.00188679 +15 *23032:B *23037:D1 1.41853e-05 +16 *23032:B *3942:12 6.50586e-05 +17 *23364:A *23622:B 1.41976e-05 +18 *23364:A *23622:D_N 3.12784e-05 +19 *23575:A2 *5592:19 0.000160617 +20 *3893:10 *23731:D 0.000311906 +21 *3893:10 *4017:24 1.42855e-05 +22 *3893:10 *4415:50 0.000516658 +23 *3893:26 *4011:22 1.07248e-05 +24 *3893:26 *4012:8 5.05252e-05 +25 *3893:26 *4169:50 0.000184946 +26 *3893:26 *4485:8 0.00100259 +27 *3893:26 *4486:14 0.000198886 +28 *3893:26 *4647:8 5.79544e-05 +29 *3893:26 *4647:17 2.15801e-05 +30 *3893:26 *4648:12 0.000325844 +31 *3893:26 *4648:29 0.000112159 +32 *3893:26 *5592:19 0.000731141 +33 *3893:34 *23482:A1 2.36123e-05 +34 *3893:34 *4012:36 8.72619e-05 +35 *3893:34 *4058:16 0.000202283 +36 *3893:34 *4058:28 0.000627087 +37 *3893:34 *4137:28 0.00014284 +38 *3893:34 *4515:21 0.00176685 +39 *3893:42 *23482:A1 0.000129949 +40 *3893:42 *23760:A1 0.000218146 +41 *3893:42 *4172:16 2.99929e-05 +42 *3893:42 *4275:29 8.92568e-06 +43 *3893:42 *4347:8 0 +44 *3893:42 *4515:21 0.00049878 +45 *3893:48 *23694:B 5.04829e-06 +46 *3893:48 *23774:D 0.000148114 +47 *3893:48 *4278:5 4.31539e-05 +48 *3893:48 *4515:21 0.000194525 +49 *3893:50 *4515:21 4.05023e-05 +50 *3893:55 *23035:B 0.000201956 +51 *3893:55 *23037:D1 2.65667e-05 +52 *3893:55 *4278:15 0 +53 *3893:55 *4515:14 6.4464e-05 +54 *3893:55 *4515:21 7.5909e-06 +55 *22980:B *3893:26 0.000154145 +56 *23037:A1 *23032:B 5.79903e-05 +57 *23037:A1 *3893:55 6.08467e-05 +58 *23579:A1 *3893:34 4.27003e-05 +59 *1438:83 *3893:48 0.000613547 +60 *1438:83 *3893:50 0.000100124 +61 *1438:83 *3893:55 0.000868811 +62 *1471:191 *23032:B 1.00846e-05 +63 *1587:91 *3893:26 0.000156955 +64 *2405:35 *3893:48 6.73351e-05 +65 *2711:36 *3893:42 0.000157721 +66 *3877:221 *3893:55 0.000454525 +67 *3883:18 *3893:42 0.000226762 +68 *3891:106 *3893:10 2.52338e-05 +*RES +1 *22983:X *3893:10 31.8826 +2 *3893:10 *23575:A2 11.0817 +3 *3893:10 *3893:26 48.6623 +4 *3893:26 *3893:34 46.1368 +5 *3893:34 *3893:42 20.7315 +6 *3893:42 *3893:48 17.8789 +7 *3893:48 *3893:50 2.24725 +8 *3893:50 *3893:55 22.4197 +9 *3893:55 *23037:A2 9.24915 +10 *3893:55 *23032:B 10.5271 +11 *3893:50 *23436:A 13.7491 +12 *3893:48 *23364:A 19.3434 +13 *3893:42 *23369:A 9.24915 +*END + +*D_NET *3894 0.0313427 +*CONN +*I *22985:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *22984:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *22985:A 0.00185587 +2 *22984:X 0.00180348 +3 *3894:16 0.00396156 +4 *3894:15 0.00390917 +5 *22985:A *23231:A 4.97617e-05 +6 *22985:A *23459:A1 0.000309775 +7 *22985:A *23756:C1 0.000154145 +8 *22985:A *25315:A 6.50727e-05 +9 *22985:A *4140:5 0.00025175 +10 *22985:A *4677:27 5.40779e-05 +11 *3894:15 *22989:D 1.15389e-05 +12 *3894:15 *23011:B 6.50586e-05 +13 *3894:15 *23011:C 0.000160617 +14 *3894:15 *23012:A 0.000253916 +15 *3894:15 *5632:64 0.000111708 +16 *3894:16 *5453:40 0.00107861 +17 *3894:16 *5454:64 0.000805874 +18 *3894:16 *5456:113 0.00559685 +19 *3894:16 *5928:18 0.000143506 +20 *3894:16 *5928:29 0.000776476 +21 *3894:16 *5931:22 0.00148387 +22 *22989:C *3894:15 2.38316e-05 +23 *23001:B *3894:15 6.7671e-06 +24 *23011:A *3894:15 0.000100537 +25 *23326:A *22985:A 1.09551e-05 +26 *23326:B *22985:A 0.000363059 +27 *23611:A3 *22985:A 0.000115423 +28 *1829:50 *3894:16 0.00517502 +29 *2838:45 *22985:A 0 +30 *3781:101 *22985:A 6.93384e-05 +31 *3800:116 *3894:15 0.000205101 +32 *3800:122 *3894:15 0.000129112 +33 *3860:104 *22985:A 0.000187237 +34 *3860:123 *22985:A 1.34865e-05 +35 *3892:12 *3894:16 0.0020401 +*RES +1 *22984:X *3894:15 46.736 +2 *3894:15 *3894:16 168.763 +3 *3894:16 *22985:A 48.2069 +*END + +*D_NET *3895 0.022788 +*CONN +*I *23361:A I *D sky130_fd_sc_hd__nor2_1 +*I *23431:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *23433:A I *D sky130_fd_sc_hd__nor2_1 +*I *22986:B I *D sky130_fd_sc_hd__or2_1 +*I *23030:B2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23357:A I *D sky130_fd_sc_hd__nor2_1 +*I *23573:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *22985:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23361:A 0.000249267 +2 *23431:A1 5.82319e-05 +3 *23433:A 0.000296433 +4 *22986:B 0 +5 *23030:B2 8.45502e-05 +6 *23357:A 9.46523e-05 +7 *23573:A2 4.74112e-05 +8 *22985:X 0.000712949 +9 *3895:48 0.000375005 +10 *3895:43 0.000751988 +11 *3895:41 0.00052344 +12 *3895:39 0.000761685 +13 *3895:30 0.000404872 +14 *3895:27 0.00129269 +15 *3895:26 0.00209671 +16 *3895:8 0.00166229 +17 *23030:B2 *23034:B 0.000209326 +18 *23357:A *4265:10 0.000156955 +19 *23431:A1 *23431:A2 5.04829e-06 +20 *23431:A1 *23431:B1 8.67924e-06 +21 *23431:A1 *23436:B 6.5223e-06 +22 *23433:A *23419:A 4.24629e-05 +23 *23433:A *23433:B 4.80635e-06 +24 *23433:A *4326:117 0.000196864 +25 *23433:A *4341:11 6.50727e-05 +26 *23573:A2 *23851:D 1.73713e-05 +27 *23573:A2 *4478:6 6.85742e-05 +28 *3895:8 *23646:B1 0.000537254 +29 *3895:8 *23851:D 5.41768e-05 +30 *3895:8 *4478:6 0.000189674 +31 *3895:26 *23137:A2 9.72199e-05 +32 *3895:26 *23573:B2 4.3663e-05 +33 *3895:26 *4478:6 0.000127139 +34 *3895:26 *4541:22 0.000321455 +35 *3895:26 *5082:62 0.000516354 +36 *3895:26 *5680:30 0.000306993 +37 *3895:27 *23143:C1 5.21758e-06 +38 *3895:27 *23143:D1 0 +39 *3895:27 *23144:C1 0.000317253 +40 *3895:27 *23724:A 0.000108865 +41 *3895:27 *23745:C1 0.000886955 +42 *3895:27 *3980:195 1.2263e-05 +43 *3895:27 *4019:32 0 +44 *3895:27 *4126:209 0.000160328 +45 *3895:27 *4597:29 5.9708e-05 +46 *3895:27 *4744:20 0.000569302 +47 *3895:27 *5929:37 8.93394e-05 +48 *3895:27 *5929:38 0.000407145 +49 *3895:39 *4236:61 0.000183337 +50 *3895:39 *5563:39 0.00013802 +51 *3895:39 *5929:38 1.85773e-05 +52 *3895:41 *23431:A2 7.52459e-05 +53 *3895:41 *5563:39 0.000267063 +54 *3895:43 *23431:A2 4.99151e-05 +55 *3895:43 *3897:55 0.000116454 +56 *3895:43 *5563:39 7.73967e-05 +57 *3895:48 *23034:B 1.92172e-05 +58 *3895:48 *3897:43 0.000507981 +59 *3895:48 *3897:55 1.07248e-05 +60 *3895:48 *5929:38 2.39297e-05 +61 *22952:A *3895:39 2.02035e-05 +62 *22968:B *3895:26 0.00064693 +63 *23030:A1 *23030:B2 6.36477e-05 +64 *1690:86 *3895:8 0.000579147 +65 *1690:86 *3895:26 6.11872e-05 +66 *1763:23 *3895:48 0.000398125 +67 *1829:46 *3895:48 1.96968e-05 +68 *2405:35 *23361:A 8.39059e-05 +69 *2406:14 *23361:A 3.14681e-05 +70 *2502:36 *3895:27 2.15656e-05 +71 *3781:101 *3895:8 0.000586906 +72 *3791:174 *3895:39 0.000136768 +73 *3791:174 *3895:41 0.000680554 +74 *3791:174 *3895:43 0.000357619 +75 *3791:174 *3895:48 2.24484e-05 +76 *3791:185 *3895:48 7.73977e-05 +77 *3842:150 *3895:27 0.000362943 +78 *3842:155 *3895:27 0.000506525 +79 *3842:247 *23573:A2 1.91246e-05 +80 *3862:7 *23361:A 6.08467e-05 +81 *3862:37 *3895:27 0.00111999 +82 *3862:58 *3895:27 0.000336955 +83 *3868:233 *23030:B2 3.69914e-05 +84 *3868:233 *3895:48 6.50586e-05 +*RES +1 *22985:X *3895:8 34.0322 +2 *3895:8 *23573:A2 15.1659 +3 *3895:8 *3895:26 43.4321 +4 *3895:26 *3895:27 52.0775 +5 *3895:27 *3895:30 6.3326 +6 *3895:30 *23357:A 11.6364 +7 *3895:30 *3895:39 11.7303 +8 *3895:39 *3895:41 12.6286 +9 *3895:41 *3895:43 6.81502 +10 *3895:43 *3895:48 16.1909 +11 *3895:48 *23030:B2 12.7938 +12 *3895:48 *22986:B 9.24915 +13 *3895:43 *23433:A 21.1519 +14 *3895:41 *23431:A1 15.0513 +15 *3895:39 *23361:A 19.4881 +*END + +*D_NET *3896 0.00048571 +*CONN +*I *22987:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *22986:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *22987:A 8.58459e-05 +2 *22986:X 8.58459e-05 +3 *22987:A *3897:11 3.31882e-05 +4 *22987:A *3897:29 6.79599e-05 +5 *22987:A *5929:38 0.000101148 +6 *1471:185 *22987:A 0.000111722 +*RES +1 *22986:X *22987:A 30.6083 +*END + +*D_NET *3897 0.0116368 +*CONN +*I *22988:B I *D sky130_fd_sc_hd__nor2_2 +*I *23574:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *23843:A2 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23359:B I *D sky130_fd_sc_hd__or2_1 +*I *23030:A2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23031:B I *D sky130_fd_sc_hd__nor2_1 +*I *23363:B I *D sky130_fd_sc_hd__nor2_1 +*I *22987:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22988:B 0.000141765 +2 *23574:A2 5.15174e-05 +3 *23843:A2 0 +4 *23359:B 0 +5 *23030:A2 8.43375e-05 +6 *23031:B 0 +7 *23363:B 7.36368e-05 +8 *22987:X 0 +9 *3897:64 0.00128516 +10 *3897:63 0.00130031 +11 *3897:55 0.000343483 +12 *3897:43 0.000541416 +13 *3897:34 0.000538063 +14 *3897:31 0.000269432 +15 *3897:30 8.03058e-05 +16 *3897:29 0.000194292 +17 *3897:11 0.000271459 +18 *3897:5 0.000250348 +19 *22988:B *23037:B1 2.41568e-05 +20 *22988:B *3898:5 4.30017e-06 +21 *23574:A2 *4126:226 0.000220183 +22 *23574:A2 *4598:13 6.25991e-05 +23 *3897:11 *3941:9 4.12533e-05 +24 *3897:11 *5082:62 0 +25 *3897:11 *5929:38 6.28189e-05 +26 *3897:29 *5929:38 9.82479e-06 +27 *3897:43 *5563:39 9.32851e-05 +28 *3897:55 *5563:39 0.000120052 +29 *3897:64 *23480:A 7.03022e-05 +30 *3897:64 *4167:6 2.54084e-05 +31 *3897:64 *4169:10 0 +32 *3897:64 *4597:29 0.000200292 +33 *3897:64 *4663:8 6.1096e-05 +34 *3897:64 *4663:40 3.3238e-05 +35 *3897:64 *5082:62 9.38683e-05 +36 *22986:A *22988:B 0.0001163 +37 *22987:A *3897:11 3.31882e-05 +38 *22987:A *3897:29 6.79599e-05 +39 *22988:A *22988:B 2.35332e-05 +40 *23031:A *23363:B 4.72592e-05 +41 *23031:A *3897:11 0 +42 *23363:A *23363:B 1.64789e-05 +43 *23574:A1 *23574:A2 6.92705e-05 +44 *23843:A1 *3897:55 0 +45 *23843:A1 *3897:63 6.08467e-05 +46 *1471:185 *3897:11 1.82832e-05 +47 *1471:185 *3897:29 2.56676e-05 +48 *1471:191 *22988:B 8.90311e-06 +49 *1587:63 *3897:64 9.95633e-05 +50 *1587:72 *3897:64 0.00116171 +51 *1808:69 *3897:64 0 +52 *1829:46 *3897:43 0.000225831 +53 *2284:11 *23363:B 6.50586e-05 +54 *2284:11 *3897:11 4.82966e-05 +55 *2612:41 *3897:64 0.00104062 +56 *2619:44 *3897:55 7.80077e-05 +57 *2619:44 *3897:63 3.81056e-05 +58 *3868:233 *23030:A2 4.31703e-05 +59 *3868:233 *3897:34 9.54606e-05 +60 *3874:132 *3897:64 0.000703617 +61 *3874:136 *3897:64 2.69685e-05 +62 *3874:194 *3897:64 0.000339291 +63 *3895:43 *3897:55 0.000116454 +64 *3895:48 *3897:43 0.000507981 +65 *3895:48 *3897:55 1.07248e-05 +*RES +1 *22987:X *3897:5 13.7491 +2 *3897:5 *3897:11 10.6696 +3 *3897:11 *23363:B 12.2392 +4 *3897:11 *23031:B 9.24915 +5 *3897:5 *3897:29 6.332 +6 *3897:29 *3897:30 9.24915 +7 *3897:30 *3897:31 104.301 +8 *3897:31 *3897:34 12.191 +9 *3897:34 *23030:A2 10.5271 +10 *3897:34 *3897:43 14.2218 +11 *3897:43 *23359:B 13.7491 +12 *3897:43 *3897:55 11.9316 +13 *3897:55 *23843:A2 9.24915 +14 *3897:55 *3897:63 6.3326 +15 *3897:63 *3897:64 46.264 +16 *3897:64 *23574:A2 16.7151 +17 *3897:29 *22988:B 12.7697 +*END + +*D_NET *3898 0.0168809 +*CONN +*I *23851:A I *D sky130_fd_sc_hd__or4_1 +*I *23607:A I *D sky130_fd_sc_hd__or3_1 +*I *23034:A I *D sky130_fd_sc_hd__nor4_1 +*I *22988:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *23851:A 0 +2 *23607:A 2.7855e-05 +3 *23034:A 9.26501e-05 +4 *22988:Y 5.54495e-05 +5 *3898:37 0.00147262 +6 *3898:35 0.00267227 +7 *3898:18 0.00167191 +8 *3898:5 0.000592509 +9 *23034:A *23365:C 3.12828e-05 +10 *23034:A *23435:C 1.39699e-05 +11 *23034:A *4273:14 9.2874e-05 +12 *23034:A *5563:44 0.000205419 +13 *23607:A *23439:B1 6.08467e-05 +14 *3898:5 *23037:B1 2.10441e-05 +15 *3898:18 *23037:B1 7.68538e-06 +16 *3898:18 *23037:C1 6.11447e-06 +17 *3898:18 *23622:A 5.05252e-05 +18 *3898:18 *23714:D 0.000602951 +19 *3898:18 *3947:23 7.20981e-05 +20 *3898:35 *23261:A 1.80803e-05 +21 *3898:35 *23480:B 0.000227778 +22 *3898:35 *23622:A 0.000213189 +23 *3898:35 *4168:6 0 +24 *3898:35 *4597:8 3.82228e-05 +25 *3898:35 *4597:29 0.000114376 +26 *3898:37 *23527:A 0.00020588 +27 *3898:37 *23529:B1 4.69495e-06 +28 *3898:37 *23574:B1 0.000155408 +29 *3898:37 *23745:A2 0.000418047 +30 *3898:37 *23851:B 5.80283e-06 +31 *3898:37 *3974:107 4.10791e-05 +32 *3898:37 *3974:112 0.000193965 +33 *3898:37 *3980:147 0.000190748 +34 *3898:37 *3980:168 9.19679e-05 +35 *3898:37 *3980:180 0.000435243 +36 *3898:37 *4017:34 0.00045945 +37 *3898:37 *4017:36 0.000289147 +38 *3898:37 *4017:38 9.25219e-05 +39 *3898:37 *4017:40 0.00013592 +40 *3898:37 *4017:47 0.000545919 +41 *3898:37 *4019:26 0.000183849 +42 *3898:37 *4055:8 9.25196e-05 +43 *3898:37 *4126:226 0.000314931 +44 *3898:37 *4168:6 3.18371e-05 +45 *3898:37 *4430:10 0.000239014 +46 *3898:37 *4434:8 1.81988e-05 +47 *3898:37 *4567:22 0.000304255 +48 *3898:37 *4567:24 3.21785e-05 +49 *3898:37 *5681:29 0.000252906 +50 *22988:B *3898:5 4.30017e-06 +51 *23037:A1 *23034:A 4.12977e-05 +52 *23037:A1 *3898:18 0.000438266 +53 *1438:83 *3898:18 2.08939e-05 +54 *1471:191 *3898:5 0.000158357 +55 *1471:191 *3898:18 0.000487161 +56 *1786:52 *3898:35 0.000782108 +57 *1808:69 *3898:37 0 +58 *2632:46 *3898:35 0.000906398 +59 *2802:12 *3898:18 3.74593e-05 +60 *3868:251 *3898:18 0.000370174 +61 *3877:161 *3898:35 0.000331344 +62 *3877:161 *3898:37 0 +63 *3877:221 *3898:18 3.20069e-06 +64 *3877:221 *3898:35 0.000202745 +*RES +1 *22988:Y *3898:5 11.0817 +2 *3898:5 *23034:A 22.1574 +3 *3898:5 *3898:18 22.8434 +4 *3898:18 *23607:A 14.4725 +5 *3898:18 *3898:35 49.9102 +6 *3898:35 *3898:37 55.1919 +7 *3898:37 *23851:A 13.7491 +*END + +*D_NET *3899 0.0303882 +*CONN +*I *22990:A I *D sky130_fd_sc_hd__buf_2 +*I *22989:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *22990:A 0 +2 *22989:X 0.000756698 +3 *3899:29 0.00728824 +4 *3899:19 0.00795929 +5 *3899:13 0.00142775 +6 *3899:13 *22989:D 3.45016e-05 +7 *3899:13 *23001:A 0.000140303 +8 *3899:13 *4240:8 0.00023065 +9 *3899:13 *4368:8 0.000123421 +10 *3899:13 *5628:23 6.3657e-05 +11 *3899:19 *23466:B 7.15593e-05 +12 *3899:19 *3931:26 0.00132265 +13 *3899:19 *3931:31 6.01588e-05 +14 *3899:19 *4134:57 0.000144531 +15 *3899:19 *4134:59 0.000179271 +16 *3899:19 *4134:61 0.000190042 +17 *3899:19 *4134:63 0.000247231 +18 *3899:19 *4134:65 0.000304731 +19 *3899:19 *4242:12 0.000623254 +20 *3899:19 *4242:19 2.56516e-05 +21 *3899:29 *23243:A 1.59917e-05 +22 *3899:29 *3914:10 0.000101741 +23 *3899:29 *3914:14 0.000113784 +24 *3899:29 *3922:96 0.000661815 +25 *3899:29 *3934:21 0.00477187 +26 *3899:29 *4152:10 0 +27 *3899:29 *4233:44 7.40684e-06 +28 *3899:29 *4249:10 0.000107511 +29 *3899:29 *4662:8 0.000707201 +30 *3899:29 *4711:6 0.000101594 +31 *3899:29 *5458:37 0.00057745 +32 *3899:29 *5909:38 3.24597e-05 +33 *3899:29 *5926:19 0 +34 *23332:A *3899:13 0.000213614 +35 *3792:102 *3899:13 0.000835243 +36 *3861:11 *3899:19 0.000457706 +37 *3861:29 *3899:29 0.000345005 +38 *3861:46 *3899:29 6.51626e-05 +39 *3892:11 *3899:13 7.90842e-05 +*RES +1 *22989:X *3899:13 46.5202 +2 *3899:13 *3899:19 37.0171 +3 *3899:19 *3899:29 43.4351 +4 *3899:29 *22990:A 9.24915 +*END + +*D_NET *3900 0.0164858 +*CONN +*I *23569:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23351:A I *D sky130_fd_sc_hd__nor2_1 +*I *22991:A I *D sky130_fd_sc_hd__or2_2 +*I *23620:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *23432:A1 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23029:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23355:A I *D sky130_fd_sc_hd__nor2_1 +*I *22990:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23569:A1 0.00028583 +2 *23351:A 0.000235727 +3 *22991:A 7.29089e-05 +4 *23620:B1 0.000108829 +5 *23432:A1 0.000104659 +6 *23029:A1 0 +7 *23355:A 2.60831e-05 +8 *22990:X 0.000307357 +9 *3900:43 0.00023423 +10 *3900:40 0.000348785 +11 *3900:36 0.000644416 +12 *3900:34 0.00103598 +13 *3900:21 0.000423271 +14 *3900:18 0.00104843 +15 *3900:9 0.00158355 +16 *22991:A *23621:A_N 1.00846e-05 +17 *22991:A *3901:8 4.73195e-05 +18 *23351:A *23025:B 2.57847e-05 +19 *23351:A *3934:21 6.65367e-05 +20 *23351:A *3935:5 2.19275e-05 +21 *23351:A *3936:14 0.000211573 +22 *23355:A *23358:D 1.42275e-06 +23 *23432:A1 *23431:B1 0.000246005 +24 *23432:A1 *23432:D1 0.000255883 +25 *23432:A1 *3939:14 2.21021e-05 +26 *23569:A1 *23569:B2 5.81433e-05 +27 *23569:A1 *23724:A 1.86314e-05 +28 *23569:A1 *23745:C1 1.41307e-05 +29 *23569:A1 *4476:5 9.91416e-05 +30 *23620:B1 *23620:A2 6.08467e-05 +31 *23620:B1 *23620:C1 0.000216088 +32 *23620:B1 *3938:9 0.000107496 +33 *23620:B1 *4326:103 6.50586e-05 +34 *3900:9 *23724:D_N 0.000247443 +35 *3900:9 *3937:32 3.0676e-05 +36 *3900:9 *4106:180 0 +37 *3900:9 *4662:8 4.96175e-05 +38 *3900:18 *23358:A 0.000110696 +39 *3900:18 *23358:B 8.5985e-05 +40 *3900:18 *23691:B 0.000347863 +41 *3900:18 *23693:C 0.00013312 +42 *3900:18 *23847:B 9.65083e-06 +43 *3900:18 *23847:D 0.000273277 +44 *3900:18 *4106:214 0.000264583 +45 *3900:18 *4266:10 6.67835e-06 +46 *3900:18 *4328:32 4.84276e-05 +47 *3900:18 *4594:8 0.00127259 +48 *3900:18 *4618:20 0.0018353 +49 *3900:21 *23358:D 7.03155e-06 +50 *3900:21 *4329:15 1.49073e-05 +51 *3900:34 *23026:B1 0.000215786 +52 *3900:34 *23355:B 2.16355e-05 +53 *3900:34 *23420:A 0.000110696 +54 *3900:34 *23421:B 0.000210063 +55 *3900:34 *3938:30 3.60649e-05 +56 *3900:34 *4264:13 0.000360712 +57 *3900:34 *4328:7 9.54357e-06 +58 *3900:34 *4328:31 6.3657e-05 +59 *3900:34 *4329:15 3.30537e-05 +60 *3900:36 *3901:31 0.000671719 +61 *3900:36 *3901:42 7.86728e-05 +62 *3900:36 *3901:56 8.98279e-05 +63 *3900:36 *3938:30 2.90332e-05 +64 *3900:36 *5458:22 0.000108025 +65 *3900:40 *23620:C1 5.05252e-05 +66 *3900:40 *3938:30 4.59797e-05 +67 *3900:43 *23029:D1 0 +68 *3900:43 *23431:B1 9.69813e-05 +69 *3900:43 *3938:9 5.61389e-05 +70 *3900:43 *3939:14 0.000408866 +71 *23027:A *3900:43 3.75603e-05 +72 *23028:A *3900:40 1.32509e-05 +73 *23569:A2 *23569:A1 6.3657e-05 +74 *23569:B1 *23569:A1 4.17399e-05 +75 *1731:78 *23620:B1 0.000207394 +76 *1731:78 *3900:34 1.10831e-05 +77 *1731:78 *3900:36 1.27831e-06 +78 *1731:78 *3900:40 2.47848e-05 +79 *2963:96 *3900:18 0.000232981 +80 *3791:161 *23351:A 1.41976e-05 +81 *3840:179 *3900:36 0.000378496 +82 *3840:179 *3900:40 2.1203e-06 +83 *3868:233 *3900:43 1.41976e-05 +*RES +1 *22990:X *3900:9 25.5173 +2 *3900:9 *3900:18 49.6404 +3 *3900:18 *3900:21 5.778 +4 *3900:21 *23355:A 9.82786 +5 *3900:21 *3900:34 16.2263 +6 *3900:34 *3900:36 16.7811 +7 *3900:36 *3900:40 7.57775 +8 *3900:40 *3900:43 6.29355 +9 *3900:43 *23029:A1 9.24915 +10 *3900:43 *23432:A1 14.6264 +11 *3900:40 *23620:B1 24.1588 +12 *3900:36 *22991:A 15.5817 +13 *3900:34 *23351:A 20.0427 +14 *3900:9 *23569:A1 16.7001 +*END + +*D_NET *3901 0.00911043 +*CONN +*I *23356:B I *D sky130_fd_sc_hd__nor2_1 +*I *23026:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23713:A2 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23352:B I *D sky130_fd_sc_hd__or2_1 +*I *23027:B I *D sky130_fd_sc_hd__or2_1 +*I *23028:B I *D sky130_fd_sc_hd__or2_1 +*I *23620:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *22991:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23356:B 0.000182253 +2 *23026:A2 0 +3 *23713:A2 0.0001734 +4 *23352:B 0.000363998 +5 *23027:B 0 +6 *23028:B 0.000122271 +7 *23620:A2 0.000192952 +8 *22991:X 0 +9 *3901:56 0.000370193 +10 *3901:42 0.000425005 +11 *3901:31 0.000618871 +12 *3901:19 0.000122271 +13 *3901:8 0.000297955 +14 *3901:4 0.000296211 +15 *23028:B *3938:5 6.08467e-05 +16 *23352:B *4525:15 1.88152e-05 +17 *23356:B *3936:14 0.000106727 +18 *23620:A2 *23620:C1 0 +19 *23620:A2 *4326:103 0.000149769 +20 *23620:A2 *4326:113 2.99287e-05 +21 *23713:A2 *23713:B1 0.000511409 +22 *23713:A2 *4329:15 9.4279e-05 +23 *23713:A2 *4617:7 6.08467e-05 +24 *3901:8 *23621:A_N 0.000158451 +25 *3901:8 *3938:30 2.82597e-05 +26 *3901:31 *3938:30 0.000671719 +27 *3901:31 *5458:22 0.000107489 +28 *3901:42 *3938:30 7.34716e-05 +29 *3901:56 *3936:14 0.000207266 +30 *3901:56 *3938:30 8.77775e-05 +31 *22991:A *3901:8 4.73195e-05 +32 *23026:A1 *23356:B 6.82878e-05 +33 *23028:A *23028:B 0.000154145 +34 *23028:A *23620:A2 2.95757e-05 +35 *23028:A *3901:8 0.000135106 +36 *23620:B1 *23620:A2 6.08467e-05 +37 *1731:78 *23356:B 4.76283e-05 +38 *2405:34 *23713:A2 0.000746151 +39 *2619:44 *23620:A2 0.000446971 +40 *2714:10 *23620:A2 0.000118485 +41 *3791:161 *23352:B 6.36477e-05 +42 *3791:174 *23352:B 0.000390444 +43 *3860:143 *3901:8 8.88627e-05 +44 *3860:151 *23620:A2 0.000210992 +45 *3860:151 *3901:8 0.000113456 +46 *3868:213 *23028:B 1.58551e-05 +47 *3891:24 *23356:B 0 +48 *3900:36 *3901:31 0.000671719 +49 *3900:36 *3901:42 7.86728e-05 +50 *3900:36 *3901:56 8.98279e-05 +*RES +1 *22991:X *3901:4 9.24915 +2 *3901:4 *3901:8 9.82561 +3 *3901:8 *23620:A2 22.8176 +4 *3901:8 *3901:19 4.5 +5 *3901:19 *23028:B 12.191 +6 *3901:19 *23027:B 9.24915 +7 *3901:4 *3901:31 16.298 +8 *3901:31 *23352:B 20.0427 +9 *3901:31 *3901:42 1.832 +10 *3901:42 *23713:A2 23.2256 +11 *3901:42 *3901:56 8.7192 +12 *3901:56 *23026:A2 9.24915 +13 *3901:56 *23356:B 22.4912 +*END + +*D_NET *3902 0.0449282 +*CONN +*I *23430:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *23024:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23557:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 +*I *23345:A I *D sky130_fd_sc_hd__nor2_2 +*I *23347:A I *D sky130_fd_sc_hd__nor2_1 +*I *23619:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *22993:B I *D sky130_fd_sc_hd__or2_2 +*I *22992:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23430:B1 0.000579486 +2 *23024:A2 0.00033472 +3 *23557:A2_N 0.000687994 +4 *23345:A 3.00134e-05 +5 *23347:A 3.90697e-05 +6 *23619:A1 0.000339635 +7 *22993:B 0.000115105 +8 *22992:X 0.000739791 +9 *3902:60 0.000565825 +10 *3902:57 0.000586429 +11 *3902:50 0.00142449 +12 *3902:44 0.00253016 +13 *3902:38 0.001275 +14 *3902:31 0.00121829 +15 *3902:23 0.00281195 +16 *3902:13 0.00233874 +17 *3902:7 0.0013386 +18 *22993:B *3903:5 7.55603e-05 +19 *22993:B *3903:16 5.04829e-06 +20 *23024:A2 *23024:C1 7.92757e-06 +21 *23024:A2 *23328:A 0.000110949 +22 *23024:A2 *4237:7 1.9633e-05 +23 *23345:A *23348:B 1.65872e-05 +24 *23345:A *23348:D 6.08467e-05 +25 *23345:A *3974:126 0.000107496 +26 *23347:A *4236:28 8.62625e-06 +27 *23347:A *4236:39 0.000116454 +28 *23347:A *5455:24 0.000127179 +29 *23430:B1 *23429:A_N 2.95884e-05 +30 *23430:B1 *23429:D 6.36477e-05 +31 *23430:B1 *23430:C1 6.3657e-05 +32 *23430:B1 *23835:C1 9.61086e-05 +33 *23430:B1 *4006:40 0.000113512 +34 *23430:B1 *4326:31 7.00866e-05 +35 *23430:B1 *4735:12 0.00011711 +36 *23557:A2_N *23232:A 0.000408866 +37 *23557:A2_N *23557:B2 0.000143292 +38 *23557:A2_N *4141:8 3.82228e-05 +39 *23557:A2_N *4464:10 7.92757e-06 +40 *23557:A2_N *4662:16 3.31745e-05 +41 *23557:A2_N *5920:19 2.16355e-05 +42 *23619:A1 *23354:C 0.000156955 +43 *23619:A1 *23619:A2 3.75603e-05 +44 *23619:A1 *23619:C1 0.000323857 +45 *23619:A1 *4257:9 0.000572646 +46 *23619:A1 *5455:24 1.69268e-05 +47 *23619:A1 *5926:35 0.000354802 +48 *3902:7 *4149:11 0.000785363 +49 *3902:13 *25337:A 0.000111708 +50 *3902:13 *5820:13 9.98296e-05 +51 *3902:13 *5821:18 0.00152952 +52 *3902:13 *5822:16 0.000770028 +53 *3902:23 *5452:33 1.67272e-05 +54 *3902:23 *5455:39 0.0023979 +55 *3902:23 *5457:89 0.00075293 +56 *3902:23 *5800:49 0.000493756 +57 *3902:23 *5822:16 0.000666637 +58 *3902:23 *5926:19 0.00185522 +59 *3902:23 *5929:10 0.000205796 +60 *3902:31 *23329:B1 0.000152158 +61 *3902:31 *4237:7 1.27994e-05 +62 *3902:31 *4249:14 4.84132e-05 +63 *3902:31 *4326:32 0.000428054 +64 *3902:31 *5844:7 9.18559e-06 +65 *3902:31 *5926:19 0.000115931 +66 *3902:31 *5929:10 0.0014947 +67 *3902:38 *4254:53 0.00105548 +68 *3902:38 *4519:22 0.00101279 +69 *3902:44 *23776:D_N 0.00021864 +70 *3902:44 *3920:6 6.79599e-05 +71 *3902:44 *4251:35 0.00146882 +72 *3902:44 *4254:48 0.000422887 +73 *3902:44 *4254:53 0.000191427 +74 *3902:44 *4522:8 4.31673e-05 +75 *3902:44 *5920:19 0.000213273 +76 *3902:50 *23345:B 1.35771e-05 +77 *3902:50 *23348:D 1.43613e-05 +78 *3902:50 *23782:C_N 0.000459681 +79 *3902:50 *3904:21 0.000390182 +80 *3902:50 *3917:90 0.000162814 +81 *3902:50 *3974:126 1.55462e-05 +82 *3902:50 *4231:39 0.000288087 +83 *3902:50 *4254:21 0.000118485 +84 *3902:50 *4254:30 0.00024873 +85 *3902:50 *4254:48 0.00201164 +86 *3902:50 *4522:8 0.000170334 +87 *3902:50 *5458:22 0.000103904 +88 *3902:50 *5920:19 5.56367e-05 +89 *3902:57 *23345:B 3.20069e-06 +90 *3902:57 *23348:D 0.000144531 +91 *3902:57 *23477:B 9.60366e-05 +92 *3902:57 *3904:21 8.20492e-06 +93 *3902:57 *3974:134 0.000284048 +94 *3902:60 *4236:39 4.51176e-05 +95 *3902:60 *5455:24 0.000413126 +96 *22099:B2 *3902:23 5.05252e-05 +97 *23458:A *3902:44 1.9101e-05 +98 *23611:A1 *3902:44 3.29488e-05 +99 *1455:82 *3902:60 0.000321786 +100 *1455:85 *3902:57 3.89186e-05 +101 *3083:40 *3902:23 0.000106976 +102 *3840:75 *23430:B1 3.17436e-05 +103 *3840:75 *3902:23 0.000255603 +104 *3840:106 *3902:44 0.00090525 +105 *3840:138 *3902:50 2.01653e-05 +106 *3840:147 *3902:50 1.5471e-05 +107 *3840:147 *3902:57 4.40985e-05 +108 *3860:82 *3902:31 0.000726402 +109 *3860:91 *3902:31 0.000230917 +110 *3860:131 *3902:60 2.6506e-05 +111 *3861:21 *23430:B1 8.79485e-06 +112 *3868:165 *3902:38 9.71323e-06 +113 *3868:165 *3902:44 2.5386e-05 +114 *3891:122 *23557:A2_N 2.75423e-05 +115 *3891:133 *23557:A2_N 6.08467e-05 +*RES +1 *22992:X *3902:7 25.5646 +2 *3902:7 *3902:13 37.0251 +3 *3902:13 *3902:23 49.8388 +4 *3902:23 *3902:31 36.5437 +5 *3902:31 *3902:38 24.4612 +6 *3902:38 *3902:44 37.8246 +7 *3902:44 *3902:50 44.261 +8 *3902:50 *3902:57 19.0355 +9 *3902:57 *3902:60 11.7303 +10 *3902:60 *22993:B 11.6364 +11 *3902:60 *23619:A1 33.04 +12 *3902:57 *23347:A 15.9964 +13 *3902:50 *23345:A 15.0271 +14 *3902:44 *23557:A2_N 30.5802 +15 *3902:31 *23024:A2 16.0732 +16 *3902:23 *23430:B1 24.8451 +*END + +*D_NET *3903 0.0211082 +*CONN +*I *23349:B I *D sky130_fd_sc_hd__or2_1 +*I *22994:B I *D sky130_fd_sc_hd__or2_2 +*I *23025:B I *D sky130_fd_sc_hd__or2_1 +*I *23346:B I *D sky130_fd_sc_hd__nor2_1 +*I *23010:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *22993:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23349:B 0.000108524 +2 *22994:B 0 +3 *23025:B 0.000149618 +4 *23346:B 4.13935e-05 +5 *23010:A2 0.000793481 +6 *22993:X 9.97979e-05 +7 *3903:65 0.000182541 +8 *3903:62 0.000110261 +9 *3903:61 0.000884083 +10 *3903:48 0.00133652 +11 *3903:20 0.00164357 +12 *3903:19 0.00107319 +13 *3903:16 0.000506709 +14 *3903:5 0.000763264 +15 *23010:A2 *23010:B1 9.95922e-06 +16 *23010:A2 *23617:B 3.82228e-05 +17 *23010:A2 *3918:25 1.65872e-05 +18 *23010:A2 *5921:20 2.23124e-05 +19 *23025:B *23351:B 6.3657e-05 +20 *23025:B *4262:8 0.000120985 +21 *23025:B *5456:72 5.04829e-06 +22 *23349:B *23354:C 0 +23 *3903:16 *5456:61 0.000180061 +24 *3903:16 *5785:6 0.000407104 +25 *3903:20 *23776:B 2.16006e-05 +26 *3903:20 *4377:16 0.000119896 +27 *3903:20 *5453:106 0.00267756 +28 *3903:20 *5454:23 0.000276444 +29 *3903:20 *5454:56 0.00090191 +30 *3903:20 *5456:106 0.00155663 +31 *3903:48 *5785:6 7.99186e-05 +32 *3903:48 *5927:48 0.000307792 +33 *3903:61 *23354:D 8.74551e-05 +34 *3903:61 *23922:A 1.9101e-05 +35 *3903:61 *4262:8 0.000489134 +36 *3903:61 *4326:100 0.000229715 +37 *3903:61 *5785:6 0.000163715 +38 debug_in *3903:61 0.000343323 +39 *22993:B *3903:5 7.55603e-05 +40 *22993:B *3903:16 5.04829e-06 +41 *22994:A *3903:61 3.44602e-05 +42 *22994:A *3903:65 5.88657e-05 +43 *23010:A1 *23010:A2 0.000114819 +44 *23346:A *23346:B 0.000107496 +45 *23346:A *3903:19 0.000107496 +46 *23349:A *23349:B 5.04829e-06 +47 *23351:A *23025:B 2.57847e-05 +48 *657:143 *23025:B 0.000124447 +49 *657:146 *3903:16 0.000508596 +50 *657:146 *3903:48 0.00039397 +51 *657:146 *3903:61 0.00015418 +52 *657:171 *3903:16 8.62625e-06 +53 *1690:72 *3903:20 1.3813e-05 +54 *1755:58 *3903:20 1.87269e-05 +55 *3791:161 *23025:B 1.30449e-05 +56 *3840:151 *3903:48 1.46029e-06 +57 *3840:151 *3903:61 0.000117156 +58 *3860:101 *3903:20 0.00155786 +59 *3868:188 *3903:20 0.00183061 +*RES +1 *22993:X *3903:5 11.6364 +2 *3903:5 *3903:16 21.3188 +3 *3903:16 *3903:19 9.10562 +4 *3903:19 *3903:20 76.5774 +5 *3903:20 *23010:A2 29.471 +6 *3903:16 *23346:B 10.5271 +7 *3903:5 *3903:48 18.8654 +8 *3903:48 *3903:61 36.3489 +9 *3903:61 *3903:62 104.301 +10 *3903:62 *3903:65 9.97254 +11 *3903:65 *23025:B 22.8836 +12 *3903:65 *22994:B 9.24915 +13 *3903:48 *23349:B 16.1846 +*END + +*D_NET *3904 0.0135082 +*CONN +*I *23026:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23782:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *23619:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *22994:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23026:B1 0.000399924 +2 *23782:C_N 0.000886858 +3 *23619:B1 0 +4 *22994:X 0.000122396 +5 *3904:21 0.00177505 +6 *3904:17 0.00119372 +7 *3904:8 0.000538496 +8 *3904:5 0.000755283 +9 *23026:B1 *23421:B 9.68909e-05 +10 *23026:B1 *3938:30 5.66868e-06 +11 *23026:B1 *4260:13 3.58044e-05 +12 *23782:C_N *23794:D 6.3657e-05 +13 *23782:C_N *4380:10 8.34211e-06 +14 *23782:C_N *4522:8 0.000424531 +15 *3904:5 *4260:13 5.97576e-05 +16 *3904:8 *23477:D 0.000120584 +17 *3904:8 *4260:16 0.000132359 +18 *3904:8 *5455:70 0.000685825 +19 *3904:17 *23477:B 2.36608e-05 +20 *3904:17 *23477:D 0.000348217 +21 *3904:17 *23759:B 3.74593e-05 +22 *3904:17 *4326:85 9.00364e-06 +23 *3904:17 *5455:70 0.000125985 +24 *3904:21 *23345:B 1.66771e-05 +25 *3904:21 *23477:B 0 +26 *3904:21 *23690:A 0.00015321 +27 *3904:21 *3917:90 0.000162814 +28 *3904:21 *3974:134 0.000253758 +29 *3904:21 *4231:39 0.000289637 +30 *3904:21 *4254:21 0.000118485 +31 *3904:21 *4326:85 0.00201949 +32 *3904:21 *4380:10 0.000321947 +33 *3904:21 *4380:14 1.20058e-05 +34 *3904:21 *5458:22 0.000150388 +35 *1427:48 *3904:8 0.000273592 +36 *1731:78 *23026:B1 3.85712e-05 +37 *1731:85 *23026:B1 7.84444e-05 +38 *3840:138 *3904:21 1.66771e-05 +39 *3840:147 *3904:17 9.25858e-05 +40 *3892:23 *23782:C_N 0.000586615 +41 *3900:34 *23026:B1 0.000215786 +42 *3902:50 *23782:C_N 0.000459681 +43 *3902:50 *3904:21 0.000390182 +44 *3902:57 *3904:21 8.20492e-06 +*RES +1 *22994:X *3904:5 11.0817 +2 *3904:5 *3904:8 16.7133 +3 *3904:8 *23619:B1 13.7491 +4 *3904:8 *3904:17 10.3935 +5 *3904:17 *3904:21 42.429 +6 *3904:21 *23782:C_N 36.1291 +7 *3904:5 *23026:B1 26.3478 +*END + +*D_NET *3905 0.0159632 +*CONN +*I *23003:C_N I *D sky130_fd_sc_hd__or4bb_1 +*I *22996:B I *D sky130_fd_sc_hd__nor2_1 +*I *23708:B1 I *D sky130_fd_sc_hd__o211ai_1 +*I *22995:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23003:C_N 1.72241e-05 +2 *22996:B 0.00119442 +3 *23708:B1 2.59976e-05 +4 *22995:X 0.00072213 +5 *3905:30 0.00173622 +6 *3905:19 0.00090242 +7 *3905:14 0.00126922 +8 *3905:11 0.00162195 +9 *22996:B *3974:83 0 +10 *22996:B *4126:124 6.03122e-05 +11 *22996:B *4143:36 8.18293e-05 +12 *23003:C_N *23003:D_N 6.64392e-05 +13 *23708:B1 *3914:7 6.08467e-05 +14 *23708:B1 *4612:10 5.04829e-06 +15 *3905:11 *22870:B 7.68538e-06 +16 *3905:11 *22926:C 4.31539e-05 +17 *3905:11 *5629:103 1.15389e-05 +18 *3905:14 *3911:8 0.000197025 +19 *3905:14 *3980:8 0.00214816 +20 *3905:14 *4368:8 0.000132148 +21 *3905:14 *5923:20 0.000142472 +22 *3905:19 *23003:D_N 1.98173e-05 +23 *3905:19 *23334:C1 9.63545e-05 +24 *3905:19 *3911:8 0.000617394 +25 *3905:19 *3911:14 0.000158866 +26 *3905:19 *3917:26 0 +27 *3905:19 *3974:60 4.17605e-05 +28 *3905:19 *4519:14 6.36477e-05 +29 *3905:30 *23757:B 2.61955e-05 +30 *3905:30 *3911:14 0.000313692 +31 *3905:30 *3917:26 4.75366e-05 +32 *3905:30 *4367:15 0.000671282 +33 *3905:30 *4519:14 0.000773837 +34 *3905:30 *4589:8 0.000300171 +35 *22871:B *3905:11 0.000107496 +36 *22926:D *3905:11 2.16355e-05 +37 *22927:B *3905:11 7.92757e-06 +38 *22989:C *3905:14 3.29488e-05 +39 *22995:B *3905:11 7.72974e-05 +40 *23708:A1 *22996:B 3.52699e-05 +41 *3781:7 *3905:11 0.000311235 +42 *3796:57 *3905:14 0.000937204 +43 *3800:104 *3905:14 1.21652e-05 +44 *3800:116 *3905:14 2.57465e-06 +45 *3822:25 *22996:B 1.91246e-05 +46 *3827:41 *22996:B 2.3666e-05 +47 *3836:7 *3905:11 0.000154145 +48 *3840:30 *3905:19 3.79914e-05 +49 *3840:42 *3905:19 0.000307679 +50 *3840:57 *22996:B 0 +51 *3861:301 *3905:14 0.000159185 +52 *3862:85 *22996:B 0.000119789 +53 *3877:72 *22996:B 1.91246e-05 +*RES +1 *22995:X *3905:11 28.5305 +2 *3905:11 *3905:14 39.7299 +3 *3905:14 *3905:19 19.8599 +4 *3905:19 *3905:30 35.3549 +5 *3905:30 *23708:B1 14.4725 +6 *3905:30 *22996:B 23.1334 +7 *3905:19 *23003:C_N 9.97254 +*END + +*D_NET *3906 0.0023482 +*CONN +*I *23425:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23004:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *22996:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23425:B1 0 +2 *23004:A2 0.000301643 +3 *22996:Y 6.43945e-05 +4 *3906:7 0.000366037 +5 *23004:A2 *23004:B1 6.78549e-05 +6 *23004:A2 *23708:C1 3.31745e-05 +7 *23004:A2 *3909:40 5.51483e-06 +8 *23004:A2 *3914:7 0.000154145 +9 *23004:A2 *4126:98 0.00023355 +10 *23004:A2 *4126:102 4.95739e-05 +11 *3906:7 *4126:98 0.000154145 +12 *23004:A1 *23004:A2 0.000107496 +13 *23425:A1 *23004:A2 6.08467e-05 +14 *23425:A1 *3906:7 5.73392e-05 +15 *23425:A2 *23004:A2 1.65872e-05 +16 *23425:A3 *23004:A2 0.000675898 +*RES +1 *22996:Y *3906:7 11.6605 +2 *3906:7 *23004:A2 21.0646 +3 *3906:7 *23425:B1 9.24915 +*END + +*D_NET *3907 0.0122314 +*CONN +*I *23719:B2 I *D sky130_fd_sc_hd__o221ai_1 +*I *22998:A I *D sky130_fd_sc_hd__inv_2 +*I *23624:A2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23727:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *22997:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23719:B2 0.000385034 +2 *22998:A 0.000357065 +3 *23624:A2 0 +4 *23727:B1 0.000675579 +5 *22997:X 0 +6 *3907:37 0.000759341 +7 *3907:26 0.00124354 +8 *3907:23 0.000634382 +9 *3907:4 0.000797788 +10 *22998:A *23227:C 0.000120382 +11 *22998:A *23239:A3 9.95234e-05 +12 *22998:A *23239:B1 0.000200794 +13 *22998:A *23674:A1 0.000111708 +14 *22998:A *3974:60 0.000488752 +15 *22998:A *3991:21 0.000107496 +16 *23719:B2 *23719:C1 0.000107496 +17 *23727:B1 *23000:A 4.5318e-05 +18 *23727:B1 *3922:36 0.000118166 +19 *23727:B1 *4630:10 7.97098e-06 +20 *3907:26 *23446:C 0.000179296 +21 *3907:26 *4127:30 0.000144826 +22 *3907:26 *4127:34 3.44695e-05 +23 *3907:37 *23177:A 1.72339e-06 +24 *3907:37 *23446:C 0.00131892 +25 *3907:37 *4090:9 0.000347849 +26 *3907:37 *4126:98 7.14746e-05 +27 *3907:37 *4127:34 0.000755667 +28 *3907:37 *4127:36 0.000222005 +29 *3907:37 *4146:60 0.000121735 +30 *3907:37 *4530:18 0.00021774 +31 *3907:37 *5918:14 0.000232969 +32 *22878:C *23719:B2 0.000440512 +33 *22997:A *23727:B1 1.58551e-05 +34 *22997:A *3907:23 9.66247e-05 +35 *22999:B *23727:B1 0.000193691 +36 *23416:A *3907:37 4.4196e-06 +37 *23449:A1 *23719:B2 0.000211478 +38 *23719:A2 *23719:B2 6.08467e-05 +39 *23719:B1 *23719:B2 9.95922e-06 +40 *3784:67 *23719:B2 3.33088e-05 +41 *3784:75 *23719:B2 4.37484e-05 +42 *3787:8 *3907:23 5.51483e-06 +43 *3787:36 *23719:B2 4.47134e-05 +44 *3787:36 *3907:23 5.481e-05 +45 *3791:227 *3907:37 0.000347214 +46 *3848:21 *23727:B1 1.03403e-05 +47 *3848:26 *23727:B1 2.61955e-05 +48 *3862:113 *23719:B2 8.79845e-05 +49 *3862:113 *23727:B1 0.000343543 +50 *3862:113 *3907:23 0.000146636 +51 *3877:33 *22998:A 0.000144977 +*RES +1 *22997:X *3907:4 9.24915 +2 *3907:4 *23727:B1 24.8263 +3 *3907:4 *3907:23 4.60562 +4 *3907:23 *3907:26 8.40826 +5 *3907:26 *3907:37 46.5776 +6 *3907:37 *23624:A2 9.24915 +7 *3907:26 *22998:A 26.3121 +8 *3907:23 *23719:B2 21.6916 +*END + +*D_NET *3908 0.0031658 +*CONN +*I *23003:A I *D sky130_fd_sc_hd__or4bb_1 +*I *23683:A I *D sky130_fd_sc_hd__nor2_1 +*I *23239:A2 I *D sky130_fd_sc_hd__o31a_1 +*I *22998:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23003:A 8.89085e-05 +2 *23683:A 0.000153768 +3 *23239:A2 0.00011031 +4 *22998:Y 0.000105331 +5 *3908:21 0.00049692 +6 *3908:5 0.000469884 +7 *23003:A *3917:26 8.75634e-05 +8 *23003:A *3974:60 0.000158371 +9 *23003:A *4418:14 9.25476e-05 +10 *23239:A2 *23239:A3 6.08467e-05 +11 *23239:A2 *3974:60 3.99086e-06 +12 *23683:A *3917:26 0.000122383 +13 *23683:A *4367:7 3.82228e-05 +14 *23683:A *4371:51 7.92757e-06 +15 *23683:A *4418:14 0.000117817 +16 *3908:5 *23239:A3 0.000154145 +17 *3908:21 *23460:B1 6.02017e-05 +18 *3908:21 *23683:B 0.000185963 +19 *3908:21 *3909:20 1.5714e-05 +20 *3908:21 *3910:16 0.000416325 +21 *3908:21 *4037:13 1.5714e-05 +22 *3842:44 *3908:21 0.000202947 +*RES +1 *22998:Y *3908:5 11.0817 +2 *3908:5 *23239:A2 11.1059 +3 *3908:5 *3908:21 12.6604 +4 *3908:21 *23683:A 18.0366 +5 *3908:21 *23003:A 17.2061 +*END + +*D_NET *3909 0.0165921 +*CONN +*I *23238:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23514:A I *D sky130_fd_sc_hd__nor2_1 +*I *23739:B1 I *D sky130_fd_sc_hd__o311a_1 +*I *23423:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23708:C1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23000:A I *D sky130_fd_sc_hd__inv_2 +*I *22999:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *23238:A1 0.000169584 +2 *23514:A 2.86212e-05 +3 *23739:B1 0.000101542 +4 *23423:D1 0.00103955 +5 *23708:C1 0.000378691 +6 *23000:A 0.000271677 +7 *22999:X 4.50535e-05 +8 *3909:51 0.00163064 +9 *3909:40 0.00143591 +10 *3909:24 0.00167379 +11 *3909:20 0.000735453 +12 *3909:5 0.000505253 +13 *23000:A *3910:8 0.000154145 +14 *23000:A *4630:10 1.41976e-05 +15 *23238:A1 *23238:B1 1.41976e-05 +16 *23238:A1 *4147:7 2.16355e-05 +17 *23238:A1 *4371:51 4.75654e-05 +18 *23423:D1 *23023:A2 1.58126e-05 +19 *23423:D1 *23423:B1 2.40736e-05 +20 *23423:D1 *23740:A2 6.08467e-05 +21 *23423:D1 *3911:20 0.00017296 +22 *23423:D1 *4591:26 8.76418e-05 +23 *23514:A *23514:B 6.08467e-05 +24 *23708:C1 *3914:7 3.17103e-05 +25 *23708:C1 *4333:10 0.000343552 +26 *23739:B1 *23739:A2 1.65872e-05 +27 *3909:20 *23124:A2 3.43813e-05 +28 *3909:20 *23460:B1 3.65454e-05 +29 *3909:20 *4037:13 5.00856e-05 +30 *3909:20 *4135:8 7.14746e-05 +31 *3909:24 *23124:A2 0.00030888 +32 *3909:24 *23124:B1 2.53624e-06 +33 *3909:24 *3980:26 0.000210523 +34 *3909:24 *3980:42 4.70498e-05 +35 *3909:24 *4033:8 0.000510787 +36 *3909:24 *4037:13 4.01895e-05 +37 *3909:40 *23133:B 0.000230881 +38 *3909:40 *23639:A1 0.000118485 +39 *3909:40 *4010:12 0.000230831 +40 *3909:40 *4333:10 9.76046e-05 +41 *3909:40 *4470:16 0.000389317 +42 *3909:40 *4568:14 0.00141924 +43 *3909:40 *5458:55 0.000130551 +44 *3909:51 *23516:C1 9.6497e-05 +45 *3909:51 *23739:C1 6.95117e-05 +46 *3909:51 *4470:16 0.000213401 +47 *3909:51 *4568:14 0.000139435 +48 *3909:51 *4569:14 9.36332e-05 +49 *3909:51 *4695:68 0.000197511 +50 *3909:51 *4871:11 0 +51 *22999:B *23000:A 2.95631e-05 +52 *22999:B *3909:5 1.03403e-05 +53 *23004:A1 *23708:C1 0.000111722 +54 *23004:A2 *23708:C1 3.31745e-05 +55 *23004:A2 *3909:40 5.51483e-06 +56 *23425:A2 *3909:40 0.000411291 +57 *23425:A3 *23708:C1 0.000477336 +58 *23425:A3 *3909:40 0.000205101 +59 *23727:B1 *23000:A 4.5318e-05 +60 *23739:A3 *23423:D1 0.000151931 +61 *23739:A3 *23739:B1 7.23857e-05 +62 *1829:59 *23423:D1 0.000205101 +63 *3831:56 *3909:51 2.89839e-05 +64 *3833:34 *3909:40 0.000101509 +65 *3862:113 *3909:20 0.000301995 +66 *3862:113 *3909:24 0.000297614 +67 *3874:32 *3909:24 8.08209e-05 +68 *3874:40 *3909:24 0.000185762 +69 *3908:21 *3909:20 1.5714e-05 +*RES +1 *22999:X *3909:5 9.97254 +2 *3909:5 *23000:A 15.181 +3 *3909:5 *3909:20 10.4845 +4 *3909:20 *3909:24 21.6963 +5 *3909:24 *23708:C1 19.9795 +6 *3909:24 *3909:40 38.1275 +7 *3909:40 *3909:51 18.6336 +8 *3909:51 *23423:D1 40.2664 +9 *3909:51 *23739:B1 11.8293 +10 *3909:40 *23514:A 14.4725 +11 *3909:20 *23238:A1 17.2456 +*END + +*D_NET *3910 0.00211451 +*CONN +*I *23239:A1 I *D sky130_fd_sc_hd__o31a_1 +*I *23003:B I *D sky130_fd_sc_hd__or4bb_1 +*I *23460:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23000:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23239:A1 0 +2 *23003:B 0 +3 *23460:A1 6.17918e-05 +4 *23000:Y 0.000168692 +5 *3910:16 0.000214076 +6 *3910:8 0.000320976 +7 *23460:A1 *4026:38 0.000107576 +8 *23460:A1 *4143:36 0.000112551 +9 *3910:8 *4028:10 0.00011557 +10 *3910:8 *4871:32 0.000106446 +11 *3910:16 *23460:B1 0.000148867 +12 *3910:16 *4026:38 3.79371e-05 +13 *3910:16 *4028:10 4.23622e-05 +14 *3910:16 *4143:36 4.23622e-05 +15 *3910:16 *4871:32 4.23622e-05 +16 *23000:A *3910:8 0.000154145 +17 *23002:A *3910:16 2.24728e-05 +18 *3908:21 *3910:16 0.000416325 +*RES +1 *23000:Y *3910:8 17.829 +2 *3910:8 *3910:16 8.91062 +3 *3910:16 *23460:A1 15.9964 +4 *3910:16 *23003:B 13.7491 +5 *3910:8 *23239:A1 13.7491 +*END + +*D_NET *3911 0.0166294 +*CONN +*I *23002:B I *D sky130_fd_sc_hd__or2_1 +*I *23423:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23023:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23001:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23002:B 0.000145194 +2 *23423:A2 4.32647e-05 +3 *23023:A2 0.000708265 +4 *23001:X 0.00077039 +5 *3911:20 0.00107794 +6 *3911:14 0.00131681 +7 *3911:8 0.00190599 +8 *23002:B *23612:B2 1.39788e-05 +9 *23002:B *23613:B 6.78596e-05 +10 *23023:A2 *23013:B 0.000110297 +11 *23023:A2 *23023:B1 6.2497e-05 +12 *23023:A2 *23423:B1 0.000103582 +13 *23023:A2 *3922:67 8.3545e-05 +14 *23023:A2 *4233:11 0.000204943 +15 *23023:A2 *4241:46 7.59407e-05 +16 *23023:A2 *4338:17 8.76888e-05 +17 *23023:A2 *4589:20 0.000642981 +18 *23023:A2 *4591:26 0 +19 *23023:A2 *4614:22 4.60947e-05 +20 *23023:A2 *4614:26 0.0010149 +21 *3911:8 *23001:A 1.03403e-05 +22 *3911:8 *4368:8 0.00125085 +23 *3911:14 *23469:A 0.000243648 +24 *3911:14 *23683:B 4.97938e-05 +25 *3911:14 *23686:C1 0.000266181 +26 *3911:14 *23836:B 0.00032394 +27 *3911:14 *3917:26 0 +28 *3911:14 *3974:83 2.53624e-06 +29 *3911:14 *4368:8 0.000484297 +30 *3911:14 *4519:14 0.000145546 +31 *3911:14 *4589:8 0.000479018 +32 *3911:14 *4589:19 3.74593e-05 +33 *3911:14 *4591:26 0.00010623 +34 *3911:14 *4612:10 0.00131761 +35 *3911:14 *4660:10 6.53649e-05 +36 *3911:20 *23758:A 0.000187528 +37 *3911:20 *4241:46 0.000197723 +38 *3911:20 *4591:26 4.06642e-05 +39 *3911:20 *4612:10 0.000328499 +40 *3911:20 *4661:7 0.000107496 +41 *23423:D1 *23023:A2 1.58126e-05 +42 *23423:D1 *3911:20 0.00017296 +43 *23430:A1 *23023:A2 7.00732e-05 +44 *23708:A1 *3911:14 0.000196675 +45 *23727:A1 *23002:B 0.000110297 +46 *1829:59 *23423:A2 6.36477e-05 +47 *3792:83 *3911:8 9.08873e-06 +48 *3840:57 *3911:14 0.000390499 +49 *3840:285 *3911:14 2.37478e-05 +50 *3861:301 *3911:8 0.000162782 +51 *3905:14 *3911:8 0.000197025 +52 *3905:19 *3911:8 0.000617394 +53 *3905:19 *3911:14 0.000158866 +54 *3905:30 *3911:14 0.000313692 +*RES +1 *23001:X *3911:8 40.2554 +2 *3911:8 *3911:14 47.9983 +3 *3911:14 *3911:20 21.6608 +4 *3911:20 *23023:A2 41.6794 +5 *3911:20 *23423:A2 14.4725 +6 *3911:8 *23002:B 17.2456 +*END + +*D_NET *3912 0.00249722 +*CONN +*I *23727:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23003:D_N I *D sky130_fd_sc_hd__or4bb_1 +*I *23612:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23002:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23727:A2 0.000103928 +2 *23003:D_N 0.000148315 +3 *23612:B2 0.00017677 +4 *23002:X 0 +5 *3912:19 0.000403283 +6 *3912:4 0.00032781 +7 *23003:D_N *3917:26 2.29389e-05 +8 *23003:D_N *3974:60 0.000111802 +9 *23003:D_N *4143:46 2.1356e-05 +10 *23003:D_N *4418:14 0 +11 *23612:B2 *23612:A2 4.9933e-05 +12 *23612:B2 *23613:B 7.50722e-05 +13 *23612:B2 *4241:16 0.000144546 +14 *23727:A2 *3922:36 3.14978e-05 +15 *23727:A2 *4143:36 1.19856e-05 +16 *23002:B *23612:B2 1.39788e-05 +17 *23003:C_N *23003:D_N 6.64392e-05 +18 *23612:B1 *23612:B2 6.78596e-05 +19 *23727:A1 *23612:B2 4.88955e-05 +20 *23727:A1 *23727:A2 0.00024401 +21 *23727:A1 *3912:19 0.000247443 +22 *3840:42 *23003:D_N 0.00015954 +23 *3905:19 *23003:D_N 1.98173e-05 +*RES +1 *23002:X *3912:4 9.24915 +2 *3912:4 *23612:B2 23.4676 +3 *3912:4 *3912:19 3.93045 +4 *3912:19 *23003:D_N 23.0201 +5 *3912:19 *23727:A2 12.7456 +*END + +*D_NET *3913 0.00282165 +*CONN +*I *23004:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23003:X O *D sky130_fd_sc_hd__or4bb_1 +*CAP +1 *23004:B1 0.000359765 +2 *23003:X 0.000359765 +3 *23004:B1 *4026:50 4.58565e-05 +4 *23004:B1 *4126:102 6.50586e-05 +5 *23004:B1 *4143:36 0.000904279 +6 *23004:B1 *4630:10 0.00101907 +7 *23004:A2 *23004:B1 6.78549e-05 +*RES +1 *23003:X *23004:B1 46.6638 +*END + +*D_NET *3914 0.0114216 +*CONN +*I *23024:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23004:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *23024:B1 0 +2 *23004:Y 0.000484201 +3 *3914:14 0.000851572 +4 *3914:10 0.00148982 +5 *3914:7 0.00112245 +6 *3914:7 *23685:A1 5.88594e-05 +7 *3914:7 *23685:A2 9.95542e-06 +8 *3914:7 *23685:B1 0.000114584 +9 *3914:7 *23708:A2 2.53026e-05 +10 *3914:7 *4126:102 0.000618656 +11 *3914:7 *4612:10 1.58551e-05 +12 *3914:10 *23429:C 4.10997e-05 +13 *3914:10 *23835:B1 6.14128e-05 +14 *3914:10 *23835:C1 3.47846e-05 +15 *3914:10 *3989:23 0.000223722 +16 *3914:10 *4006:30 0.000107758 +17 *3914:10 *4134:65 0.00024873 +18 *3914:10 *4134:78 2.90244e-05 +19 *3914:10 *4242:19 0.000680428 +20 *3914:10 *4249:10 0.000701163 +21 *3914:10 *4336:20 0.000475021 +22 *3914:14 *23023:D1 0.000167634 +23 *3914:14 *23424:A1 6.91476e-06 +24 *3914:14 *23427:A 1.41761e-05 +25 *3914:14 *23429:A_N 9.60216e-05 +26 *3914:14 *23429:C 0.000201791 +27 *3914:14 *23615:A 0.000271778 +28 *3914:14 *23811:C1 0.000113547 +29 *3914:14 *23835:C1 0.000691187 +30 *3914:14 *3931:46 0 +31 *3914:14 *4237:34 0.000811933 +32 *3914:14 *4326:31 1.31673e-05 +33 *3914:14 *4711:6 0.000612623 +34 *23004:A2 *3914:7 0.000154145 +35 *23023:A1 *3914:14 0 +36 *23708:B1 *3914:7 6.08467e-05 +37 *23708:C1 *3914:7 3.17103e-05 +38 *3861:18 *3914:10 1.28326e-05 +39 *3861:33 *3914:14 0.000515346 +40 *3861:237 *3914:14 3.59934e-05 +41 *3899:29 *3914:10 0.000101741 +42 *3899:29 *3914:14 0.000113784 +*RES +1 *23004:Y *3914:7 26.6738 +2 *3914:7 *3914:10 29.0799 +3 *3914:10 *3914:14 43.0818 +4 *3914:14 *23024:B1 9.24915 +*END + +*D_NET *3915 0.00350968 +*CONN +*I *23559:A1 I *D sky130_fd_sc_hd__o22ai_1 +*I *23022:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *23013:A I *D sky130_fd_sc_hd__or2_1 +*I *23008:A I *D sky130_fd_sc_hd__or2_1 +*I *23005:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23559:A1 6.2128e-05 +2 *23022:B1 0.000169424 +3 *23013:A 0 +4 *23008:A 9.6469e-05 +5 *23005:X 9.54152e-05 +6 *3915:13 0.000190886 +7 *3915:8 0.000347942 +8 *3915:7 0.000241644 +9 *23008:A *23013:B 0.000154145 +10 *23008:A *3918:13 0.000107496 +11 *23008:A *3923:8 6.08467e-05 +12 *23008:A *4233:11 1.15389e-05 +13 *23022:B1 *3931:74 0.0005826 +14 *23559:A1 *3928:18 0.000127592 +15 *23559:A1 *3931:74 0.000135958 +16 *3915:8 *3928:18 0.000227238 +17 *3915:8 *3931:74 0.000214915 +18 *3915:8 *4138:110 7.77309e-06 +19 *3915:13 *3923:8 4.94594e-05 +20 *3915:13 *3928:18 0.000144546 +21 *3915:13 *4138:110 5.9708e-05 +22 *3860:91 *23022:B1 0.000216849 +23 *3861:202 *3915:7 0.000205101 +*RES +1 *23005:X *3915:7 16.1364 +2 *3915:7 *3915:8 4.32351 +3 *3915:8 *3915:13 7.8859 +4 *3915:13 *23008:A 12.191 +5 *3915:13 *23013:A 9.24915 +6 *3915:8 *23022:B1 20.5732 +7 *3915:7 *23559:A1 16.4116 +*END + +*D_NET *3916 0.000333664 +*CONN +*I *23007:A I *D sky130_fd_sc_hd__buf_4 +*I *23006:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23007:A 5.06054e-05 +2 *23006:X 5.06054e-05 +3 *23007:A *22992:A 0.000116227 +4 *3796:75 *23007:A 0.000116227 +*RES +1 *23006:X *23007:A 29.7455 +*END + +*D_NET *3917 0.0433666 +*CONN +*I *23422:B I *D sky130_fd_sc_hd__or2_1 +*I *23008:B I *D sky130_fd_sc_hd__or2_1 +*I *23424:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23725:A3 I *D sky130_fd_sc_hd__o311a_1 +*I *23325:A I *D sky130_fd_sc_hd__nor2_1 +*I *23343:B I *D sky130_fd_sc_hd__nor3_1 +*I *23322:B I *D sky130_fd_sc_hd__nor3_2 +*I *23342:A I *D sky130_fd_sc_hd__nor2_2 +*I *23009:C1 I *D sky130_fd_sc_hd__a211o_1 +*I *23007:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23422:B 0.000294475 +2 *23008:B 2.65453e-05 +3 *23424:A1 0.0006928 +4 *23725:A3 0.000155312 +5 *23325:A 0.00017458 +6 *23343:B 0 +7 *23322:B 0.000165709 +8 *23342:A 4.07834e-05 +9 *23009:C1 0.000191469 +10 *23007:X 0.0019958 +11 *3917:122 0.000719345 +12 *3917:90 0.000576516 +13 *3917:72 0.00155737 +14 *3917:60 0.00135373 +15 *3917:57 0.00142421 +16 *3917:42 0.00187317 +17 *3917:38 0.00120384 +18 *3917:28 0.00125926 +19 *3917:26 0.0030939 +20 *3917:19 0.00425363 +21 *23008:B *3918:13 5.60804e-05 +22 *23008:B *4251:41 1.91246e-05 +23 *23008:B *4589:20 1.91246e-05 +24 *23009:C1 *3919:10 3.31745e-05 +25 *23009:C1 *4326:52 6.62712e-05 +26 *23325:A *6045:DIODE 1.1718e-05 +27 *23325:A *23325:B 5.88657e-05 +28 *23325:A *4233:166 0.000152164 +29 *23342:A *23344:C 0.000107496 +30 *23422:B *23427:A 3.66213e-05 +31 *23422:B *23427:C 5.44452e-05 +32 *23422:B *3931:65 4.85849e-05 +33 *23424:A1 *23013:B 1.51786e-06 +34 *23424:A1 *23022:A3 0.000201623 +35 *23424:A1 *23023:D1 5.25029e-05 +36 *23424:A1 *23424:B1 6.08467e-05 +37 *23424:A1 *23615:A 0.000100219 +38 *23424:A1 *3918:13 0.000358205 +39 *23424:A1 *3922:79 0.000105509 +40 *23424:A1 *3932:7 0.000107496 +41 *23424:A1 *4233:17 9.20855e-05 +42 *23725:A3 *23726:A 7.13972e-05 +43 *3917:19 *23683:B 7.92031e-06 +44 *3917:19 *3980:26 0.00059385 +45 *3917:19 *4149:11 5.481e-05 +46 *3917:19 *4240:8 0.000103557 +47 *3917:19 *4880:5 0.000156946 +48 *3917:19 *5923:20 0.000291982 +49 *3917:26 *23133:D 0.000150481 +50 *3917:26 *23757:B 0.00110488 +51 *3917:26 *4038:22 2.02035e-05 +52 *3917:26 *4367:15 0.00195879 +53 *3917:26 *4418:14 0.000298215 +54 *3917:26 *5458:55 9.38715e-05 +55 *3917:26 *5923:20 0.000562606 +56 *3917:28 *5923:20 4.62354e-05 +57 *3917:38 *23618:C 1.41769e-05 +58 *3917:38 *4251:41 2.65605e-06 +59 *3917:38 *4589:20 0.000100364 +60 *3917:38 *4614:26 1.66771e-05 +61 *3917:38 *4735:28 0 +62 *3917:42 *23618:C 0 +63 *3917:42 *4326:52 8.61737e-06 +64 *3917:42 *4614:26 0 +65 *3917:42 *4735:28 0.000274078 +66 *3917:57 *5792:11 0.000727994 +67 *3917:57 *5828:24 0.000264639 +68 *3917:57 *5921:26 0.00104909 +69 *3917:57 *5927:30 0.00132813 +70 *3917:60 *6045:DIODE 2.41274e-06 +71 *3917:60 *5919:9 1.82679e-05 +72 *3917:72 *23344:A 5.8909e-05 +73 *3917:72 *23344:B 0.00028956 +74 *3917:72 *23344:C 5.21466e-06 +75 *3917:72 *23344:D 6.08467e-05 +76 *3917:72 *5592:9 0.00025372 +77 *3917:72 *5909:38 4.70498e-05 +78 *3917:72 *5921:20 1.35146e-05 +79 *3917:72 *5924:20 0.00029328 +80 *3917:72 *5927:30 0.000290856 +81 *3917:72 *5927:43 0.00115425 +82 *3917:90 *23342:B 9.94284e-06 +83 *3917:90 *23344:C 1.65872e-05 +84 *3917:90 *3974:126 7.98171e-06 +85 *22989:C *3917:19 6.03122e-05 +86 *23002:A *3917:19 3.06599e-05 +87 *23003:A *3917:26 8.75634e-05 +88 *23003:D_N *3917:26 2.29389e-05 +89 *23009:A2 *23009:C1 0.000203604 +90 *23009:B1 *23009:C1 0.000107496 +91 *23010:A1 *23009:C1 3.57195e-05 +92 *23020:A *3917:19 0.00010801 +93 *23022:A1 *23424:A1 1.65872e-05 +94 *23023:A1 *23008:B 2.69691e-05 +95 *23023:A1 *23424:A1 0.000311142 +96 *23130:A *3917:26 3.53539e-05 +97 *23322:A *23322:B 2.51189e-05 +98 *23322:C *23322:B 7.03364e-05 +99 *23430:A1 *23422:B 1.80143e-06 +100 *23611:A3 *23325:A 6.88804e-05 +101 *23611:A3 *3917:72 0.000151859 +102 *23683:A *3917:26 0.000122383 +103 *23725:A1 *23725:A3 0.000281391 +104 *23725:A1 *3917:42 6.3657e-05 +105 *23725:A2 *23725:A3 6.08467e-05 +106 *3827:41 *3917:26 0.000135848 +107 *3840:42 *3917:19 5.60804e-05 +108 *3840:138 *3917:90 3.82228e-05 +109 *3840:147 *23322:B 1.55025e-05 +110 *3840:147 *3917:90 3.53886e-05 +111 *3860:91 *23424:A1 1.47486e-05 +112 *3860:123 *23342:A 0 +113 *3860:123 *3917:72 7.86639e-05 +114 *3861:237 *23422:B 8.1597e-05 +115 *3868:165 *23725:A3 5.51483e-06 +116 *3868:165 *3917:42 5.11121e-05 +117 *3868:180 *3917:57 7.98171e-06 +118 *3872:119 *23009:C1 0.000188093 +119 *3874:40 *3917:26 0.000467337 +120 *3874:40 *3917:28 0.000845732 +121 *3874:42 *3917:28 0.000147164 +122 *3874:42 *3917:38 0.000339099 +123 *3874:46 *3917:38 0.00123384 +124 *3891:133 *3917:38 0.00107543 +125 *3891:146 *3917:38 0.000441751 +126 *3891:176 *23422:B 0.000309531 +127 *3891:176 *3917:26 8.70183e-05 +128 *3891:176 *3917:28 0.000771077 +129 *3891:176 *3917:38 4.64851e-05 +130 *3902:50 *3917:90 0.000162814 +131 *3904:21 *3917:90 0.000162814 +132 *3905:19 *3917:26 0 +133 *3905:30 *3917:26 4.75366e-05 +134 *3911:14 *3917:26 0 +135 *3914:14 *23424:A1 6.91476e-06 +*RES +1 *23007:X *3917:19 29.9893 +2 *3917:19 *3917:26 46.337 +3 *3917:26 *3917:28 2.33651 +4 *3917:28 *3917:38 12.1926 +5 *3917:38 *3917:42 13.4263 +6 *3917:42 *23009:C1 19.7715 +7 *3917:42 *3917:57 46.3196 +8 *3917:57 *3917:60 5.778 +9 *3917:60 *3917:72 47.5094 +10 *3917:72 *23342:A 11.1059 +11 *3917:72 *3917:90 18.5401 +12 *3917:90 *23322:B 13.5172 +13 *3917:90 *23343:B 9.24915 +14 *3917:60 *23325:A 13.8789 +15 *3917:38 *23725:A3 13.8548 +16 *3917:28 *3917:122 0.376635 +17 *3917:122 *23424:A1 30.8033 +18 *3917:122 *23008:B 17.5847 +19 *3917:26 *23422:B 20.3693 +*END + +*D_NET *3918 0.0106326 +*CONN +*I *23424:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23558:A I *D sky130_fd_sc_hd__nand2_1 +*I *23010:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *23008:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23424:B1 8.47046e-05 +2 *23558:A 0.000479362 +3 *23010:B1 2.00134e-05 +4 *23008:X 0.00100232 +5 *3918:25 0.000546829 +6 *3918:24 0.00084693 +7 *3918:13 0.0018865 +8 *23424:B1 *23429:B_N 0.000125455 +9 *23424:B1 *4233:17 6.08467e-05 +10 *23424:B1 *4233:26 1.66626e-05 +11 *23424:B1 *4519:22 4.10333e-05 +12 *23558:A *23135:B 3.58531e-05 +13 *23558:A *23558:B 1.22436e-05 +14 *23558:A *23617:B 1.27624e-05 +15 *23558:A *23617:D_N 6.08467e-05 +16 *23558:A *23618:A 6.08467e-05 +17 *23558:A *23618:B 0.000391697 +18 *23558:A *23618:C 3.19464e-05 +19 *23558:A *23618:D 3.54045e-05 +20 *23558:A *4465:5 2.65831e-05 +21 *3918:13 *23013:B 7.23857e-05 +22 *3918:13 *3922:67 1.34851e-05 +23 *3918:13 *3922:69 4.00131e-05 +24 *3918:13 *3922:79 2.69702e-06 +25 *3918:13 *4251:41 1.5714e-05 +26 *3918:13 *4589:20 1.5714e-05 +27 *3918:24 *23024:C1 0 +28 *3918:24 *23341:A 0.000261319 +29 *3918:24 *23429:B_N 0.000106973 +30 *3918:24 *3920:26 0.000210722 +31 *3918:24 *4246:8 0.00077953 +32 *3918:24 *4254:53 0.0016681 +33 *3918:24 *4519:22 0.000293945 +34 *3918:24 *5458:37 0.000128282 +35 *3918:25 *23617:B 3.99086e-06 +36 *23008:A *3918:13 0.000107496 +37 *23008:B *3918:13 5.60804e-05 +38 *23010:A1 *23010:B1 0 +39 *23010:A1 *23558:A 0.000347839 +40 *23010:A1 *3918:25 0.000217793 +41 *23010:A2 *23010:B1 9.95922e-06 +42 *23010:A2 *3918:25 1.65872e-05 +43 *23022:A2 *3918:13 3.92592e-05 +44 *23424:A1 *23424:B1 6.08467e-05 +45 *23424:A1 *3918:13 0.000358205 +46 *3861:46 *3918:13 2.68045e-05 +*RES +1 *23008:X *3918:13 24.7557 +2 *3918:13 *3918:24 49.6958 +3 *3918:24 *3918:25 1.8326 +4 *3918:25 *23010:B1 9.82786 +5 *3918:25 *23558:A 23.3554 +6 *3918:13 *23424:B1 16.9274 +*END + +*D_NET *3919 0.00156695 +*CONN +*I *23617:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *23010:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23009:X O *D sky130_fd_sc_hd__a211o_1 +*CAP +1 *23617:D_N 1.89486e-05 +2 *23010:C1 0 +3 *23009:X 0.000443326 +4 *3919:10 0.000462274 +5 *23617:D_N *23617:B 1.41307e-05 +6 *3919:10 *3922:106 0.000100741 +7 *3919:10 *3929:15 0.000104151 +8 *23009:A1 *3919:10 0.000144254 +9 *23009:A2 *3919:10 1.54577e-05 +10 *23009:B1 *3919:10 0.000154145 +11 *23009:C1 *3919:10 3.31745e-05 +12 *23558:A *23617:D_N 6.08467e-05 +13 *3868:180 *3919:10 1.55025e-05 +*RES +1 *23009:X *3919:10 26.2112 +2 *3919:10 *23010:C1 9.24915 +3 *3919:10 *23617:D_N 9.97254 +*END + +*D_NET *3920 0.00598109 +*CONN +*I *23024:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23776:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *23010:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23024:C1 0.00035364 +2 *23776:D_N 0.000205331 +3 *23010:X 2.78033e-05 +4 *3920:26 0.00107597 +5 *3920:6 0.00095546 +6 *23024:C1 *23024:D1 1.88244e-05 +7 *23024:C1 *23328:A 0.000107496 +8 *23024:C1 *23341:A 8.50356e-05 +9 *23024:C1 *3929:15 0.000729746 +10 *23024:C1 *3934:21 6.11074e-05 +11 *23024:C1 *4237:29 8.62625e-06 +12 *23024:C1 *4735:12 0.000253758 +13 *23776:D_N *23776:B 8.97566e-06 +14 *23776:D_N *23776:C 2.41274e-06 +15 *23776:D_N *4254:53 0.000215043 +16 *3920:6 *4254:53 7.50872e-05 +17 *3920:26 *23341:A 0.000881494 +18 *3920:26 *23615:B 3.82228e-05 +19 *3920:26 *3929:15 1.62321e-05 +20 *3920:26 *5453:29 2.09482e-05 +21 *23024:A2 *23024:C1 7.92757e-06 +22 *3861:54 *3920:26 8.20618e-05 +23 *3861:62 *3920:26 0.000252565 +24 *3902:44 *23776:D_N 0.00021864 +25 *3902:44 *3920:6 6.79599e-05 +26 *3918:24 *23024:C1 0 +27 *3918:24 *3920:26 0.000210722 +*RES +1 *23010:X *3920:6 15.1659 +2 *3920:6 *23776:D_N 20.0446 +3 *3920:6 *3920:26 38.4463 +4 *3920:26 *23024:C1 28.6256 +*END + +*D_NET *3921 0.00179037 +*CONN +*I *23012:A I *D sky130_fd_sc_hd__buf_6 +*I *23011:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23012:A 0.000412792 +2 *23011:X 0.000412792 +3 *23012:A *4134:30 0.0002463 +4 *22989:B *23012:A 3.46522e-06 +5 *22989:C *23012:A 6.23715e-06 +6 *23001:B *23012:A 0.000200955 +7 *23011:A *23012:A 0.000253916 +8 *3792:102 *23012:A 0 +9 *3894:15 *23012:A 0.000253916 +*RES +1 *23011:X *23012:A 40.9924 +*END + +*D_NET *3922 0.0373293 +*CONN +*I *23334:A2 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23563:A2 I *D sky130_fd_sc_hd__o2111ai_2 +*I *23817:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23426:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *23013:B I *D sky130_fd_sc_hd__or2_1 +*I *23328:A I *D sky130_fd_sc_hd__or2_2 +*I *23329:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23687:B1 I *D sky130_fd_sc_hd__o221ai_4 +*I *23611:C1 I *D sky130_fd_sc_hd__a311oi_2 +*I *23458:B I *D sky130_fd_sc_hd__nor3_1 +*I *23022:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *23333:B I *D sky130_fd_sc_hd__or2_2 +*I *23012:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23334:A2 8.37618e-05 +2 *23563:A2 0.000210173 +3 *23817:B1 5.032e-05 +4 *23426:B1 2.86212e-05 +5 *23013:B 0.0002685 +6 *23328:A 9.40641e-05 +7 *23329:A1 0.000451936 +8 *23687:B1 0.000291983 +9 *23611:C1 1.47608e-05 +10 *23458:B 0.000205871 +11 *23022:A3 6.98594e-05 +12 *23333:B 0.000391898 +13 *23012:X 2.06324e-05 +14 *3922:106 0.00105887 +15 *3922:100 0.00117332 +16 *3922:98 0.00067433 +17 *3922:96 0.00055566 +18 *3922:80 0.000120589 +19 *3922:79 0.000224325 +20 *3922:69 0.000845285 +21 *3922:67 0.00130877 +22 *3922:58 0.00155415 +23 *3922:50 0.00163178 +24 *3922:40 0.00147167 +25 *3922:36 0.00119152 +26 *3922:26 0.00120081 +27 *3922:5 0.000892755 +28 *23013:B *23023:B1 0.000152158 +29 *23013:B *23615:A 0.000101144 +30 *23013:B *4233:11 0.000250812 +31 *23013:B *4614:26 0.000146777 +32 *23328:A *4237:7 0.000107496 +33 *23328:A *4237:29 4.94594e-05 +34 *23329:A1 *23329:A2 3.69265e-05 +35 *23329:A1 *23341:A 0.000220343 +36 *23329:A1 *23341:B 2.29282e-05 +37 *23329:A1 *23341:D_N 6.3657e-05 +38 *23329:A1 *4250:7 0.000258222 +39 *23329:A1 *4735:28 4.97617e-05 +40 *23333:B *23226:A 5.0459e-05 +41 *23333:B *4134:30 3.20069e-06 +42 *23333:B *4242:8 4.29149e-05 +43 *23333:B *4246:7 0.000315549 +44 *23333:B *5452:51 1.41761e-05 +45 *23334:A2 *23334:B1 4.31539e-05 +46 *23426:B1 *4326:21 6.08467e-05 +47 *23458:B *4326:60 0.00012538 +48 *23458:B *4365:7 0.000345048 +49 *23458:B *5904:130 3.95516e-05 +50 *23563:A2 *23563:B1 8.74088e-05 +51 *23563:A2 *23563:D1 3.82228e-05 +52 *23563:A2 *4544:11 0.000107685 +53 *23563:A2 *4544:20 1.58551e-05 +54 *23611:C1 *4234:13 6.08467e-05 +55 *23611:C1 *4517:24 6.08467e-05 +56 *23687:B1 *23615:A 0.000107496 +57 *23687:B1 *23687:B2 5.48756e-05 +58 *23687:B1 *23687:C1 7.92757e-06 +59 *23817:B1 *23818:A2 2.16355e-05 +60 *3922:26 *23337:A1 8.90311e-06 +61 *3922:26 *23337:A2 0.000303366 +62 *3922:26 *23337:B1 6.08467e-05 +63 *3922:26 *23337:B2 1.98996e-05 +64 *3922:26 *4026:38 0.000211819 +65 *3922:26 *4143:46 2.61874e-05 +66 *3922:26 *4246:7 0.000292715 +67 *3922:36 *23460:B1 0.000215076 +68 *3922:36 *4026:38 0.000136858 +69 *3922:36 *4143:36 2.18041e-06 +70 *3922:36 *4143:46 0.000330254 +71 *3922:36 *4148:6 0.000182386 +72 *3922:36 *4418:14 0.000101133 +73 *3922:36 *4630:10 0.000388133 +74 *3922:36 *4871:32 4.0122e-05 +75 *3922:40 *23124:A1 0.000236007 +76 *3922:40 *4126:124 7.49251e-05 +77 *3922:40 *4333:10 0.000499605 +78 *3922:40 *4630:10 0.000228551 +79 *3922:40 *4871:32 0.00135468 +80 *3922:50 *23818:B1 0.000127141 +81 *3922:50 *4026:50 0.000171578 +82 *3922:50 *4042:19 0.000589503 +83 *3922:50 *4333:10 0.000788265 +84 *3922:50 *4544:22 0.000330236 +85 *3922:50 *4631:10 2.40986e-05 +86 *3922:58 *23423:B1 0.000116439 +87 *3922:58 *23818:C1 1.67716e-05 +88 *3922:58 *4544:22 0.000411534 +89 *3922:58 *4591:26 0.000123582 +90 *3922:67 *23423:B1 0.00017174 +91 *3922:67 *4251:41 0.000493982 +92 *3922:67 *4589:20 0.000475958 +93 *3922:67 *4591:26 0.000280104 +94 *3922:79 *4006:40 0 +95 *3922:79 *4237:29 4.47123e-05 +96 *3922:96 *3929:15 1.04747e-05 +97 *3922:96 *3934:21 3.51785e-06 +98 *3922:96 *4711:6 1.5714e-05 +99 *3922:96 *5458:37 2.6825e-05 +100 *3922:98 *3929:15 0.000643643 +101 *3922:98 *4711:6 0.000648118 +102 *3922:100 *3929:15 5.80255e-05 +103 *3922:100 *4711:6 6.51527e-05 +104 *3922:106 *3929:15 0.000491848 +105 *3922:106 *4326:52 0.000278225 +106 *3922:106 *4326:60 0.000237743 +107 *3922:106 *4517:24 0.000665257 +108 *3922:106 *4711:6 0.000467947 +109 *3922:106 *4735:28 0.000356531 +110 *23008:A *23013:B 0.000154145 +111 *23022:A1 *23022:A3 0.000154145 +112 *23022:A2 *23013:B 1.66626e-05 +113 *23022:A2 *23022:A3 6.36477e-05 +114 *23022:A2 *3922:67 7.30103e-05 +115 *23022:A2 *3922:69 8.4649e-05 +116 *23023:A2 *23013:B 0.000110297 +117 *23023:A2 *3922:67 8.3545e-05 +118 *23024:A2 *23328:A 0.000110949 +119 *23024:C1 *23328:A 0.000107496 +120 *23127:A1 *23563:A2 0 +121 *23333:A *23333:B 6.50586e-05 +122 *23423:A1 *3922:58 0.000253857 +123 *23424:A1 *23013:B 1.51786e-06 +124 *23424:A1 *23022:A3 0.000201623 +125 *23424:A1 *3922:79 0.000105509 +126 *23458:C *23458:B 0.000294093 +127 *23611:A2 *3922:106 1.5714e-05 +128 *23727:A2 *3922:36 3.14978e-05 +129 *23727:B1 *3922:36 0.000118166 +130 *3781:14 *3922:26 0.00037861 +131 *3781:14 *3922:36 3.60268e-05 +132 *3829:59 *23817:B1 3.82228e-05 +133 *3829:59 *3922:58 0.000136905 +134 *3829:67 *3922:58 0.000236245 +135 *3831:21 *3922:26 9.75356e-05 +136 *3860:91 *23022:A3 7.54269e-06 +137 *3861:38 *3922:79 3.65454e-05 +138 *3861:46 *3922:79 8.46605e-05 +139 *3861:46 *3922:96 0.0006262 +140 *3861:62 *23458:B 9.12416e-06 +141 *3861:62 *3922:106 0.000649364 +142 *3861:64 *23458:B 4.24988e-05 +143 *3877:87 *3922:58 1.58551e-05 +144 *3899:29 *3922:96 0.000661815 +145 *3918:13 *23013:B 7.23857e-05 +146 *3918:13 *3922:67 1.34851e-05 +147 *3918:13 *3922:69 4.00131e-05 +148 *3918:13 *3922:79 2.69702e-06 +149 *3919:10 *3922:106 0.000100741 +*RES +1 *23012:X *3922:5 9.82786 +2 *3922:5 *23333:B 28.0651 +3 *3922:5 *3922:26 20.3963 +4 *3922:26 *3922:36 30.0541 +5 *3922:36 *3922:40 29.5739 +6 *3922:40 *3922:50 37.8545 +7 *3922:50 *3922:58 28.4898 +8 *3922:58 *3922:67 21.2019 +9 *3922:67 *3922:69 0.700051 +10 *3922:69 *3922:79 19.3544 +11 *3922:79 *3922:80 57.9449 +12 *3922:80 *23022:A3 21.4401 +13 *3922:69 *3922:96 6.01087 +14 *3922:96 *3922:98 11.3828 +15 *3922:98 *3922:100 1.41674 +16 *3922:100 *3922:106 35.5407 +17 *3922:106 *23458:B 21.0173 +18 *3922:106 *23611:C1 14.4725 +19 *3922:100 *23687:B1 18.7888 +20 *3922:98 *23329:A1 23.5632 +21 *3922:96 *23328:A 17.2456 +22 *3922:67 *23013:B 26.311 +23 *3922:58 *23426:B1 14.4725 +24 *3922:50 *23817:B1 15.0271 +25 *3922:40 *23563:A2 14.6023 +26 *3922:26 *23334:A2 15.0271 +*END + +*D_NET *3923 0.00846104 +*CONN +*I *23023:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23560:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23711:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *23013:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23023:B1 0.00029463 +2 *23560:B1 0 +3 *23711:C_N 0 +4 *23013:X 6.07533e-05 +5 *3923:32 0.000374936 +6 *3923:31 0.000248583 +7 *3923:17 0.00111623 +8 *3923:10 0.00116781 +9 *3923:8 0.000280609 +10 *23023:B1 *23023:D1 5.79233e-05 +11 *23023:B1 *4233:11 3.67124e-05 +12 *3923:8 *3928:18 5.05252e-05 +13 *3923:8 *4233:11 0.000161243 +14 *3923:8 *4466:11 4.18989e-05 +15 *3923:10 *3928:18 0.000123597 +16 *3923:10 *4138:97 3.67528e-06 +17 *3923:10 *4138:110 0 +18 *3923:10 *4466:11 0.000137419 +19 *3923:17 *23618:A 0.00040456 +20 *3923:17 *23618:B 0.000364149 +21 *3923:17 *23715:A 6.15059e-05 +22 *3923:17 *23725:C1 0.000920168 +23 *3923:17 *23726:A 0.000143317 +24 *3923:17 *23780:B 7.72722e-05 +25 *3923:17 *4138:97 2.96652e-05 +26 *3923:17 *4466:11 3.31882e-05 +27 *3923:17 *4517:27 0.000156946 +28 *3923:17 *4520:12 0.000441499 +29 *3923:17 *4661:28 4.8878e-06 +30 *3923:17 *4735:28 0.000156946 +31 *3923:17 *5082:80 0.000425331 +32 *3923:17 *5082:93 3.80039e-05 +33 *3923:17 *5453:29 0.000125132 +34 *3923:17 *5923:20 0.000111693 +35 *3923:31 *4233:11 0.000343168 +36 *23008:A *3923:8 6.08467e-05 +37 *23013:B *23023:B1 0.000152158 +38 *23023:A2 *23023:B1 6.2497e-05 +39 *23560:A2 *3923:17 1.5714e-05 +40 *3829:97 *3923:17 3.31882e-05 +41 *3868:157 *3923:17 9.32067e-05 +42 *3915:13 *3923:8 4.94594e-05 +*RES +1 *23013:X *3923:8 16.5832 +2 *3923:8 *3923:10 2.6625 +3 *3923:10 *3923:17 48.6215 +4 *3923:17 *23711:C_N 9.24915 +5 *3923:10 *23560:B1 13.7491 +6 *3923:8 *3923:31 17.8002 +7 *3923:31 *3923:32 104.301 +8 *3923:32 *23023:B1 24.2613 +*END + +*D_NET *3924 0.00155742 +*CONN +*I *23125:C I *D sky130_fd_sc_hd__or3_4 +*I *23015:A I *D sky130_fd_sc_hd__or2_4 +*I *23014:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23125:C 0.000107825 +2 *23015:A 0.000123095 +3 *23014:Y 5.80811e-05 +4 *3924:6 0.000289 +5 *23015:A *23317:C 0.00013207 +6 *23125:C *4371:9 0.000337045 +7 *22901:A *23015:A 3.31882e-05 +8 *22901:A *23125:C 0.000213725 +9 *22901:A *3924:6 0.00011497 +10 *23015:B *23015:A 9.28103e-05 +11 *3810:8 *23015:A 9.98029e-06 +12 *3810:8 *3924:6 3.12316e-05 +13 *3811:7 *23125:C 1.43983e-05 +*RES +1 *23014:Y *3924:6 15.9964 +2 *3924:6 *23015:A 17.9655 +3 *3924:6 *23125:C 18.9335 +*END + +*D_NET *3925 0.0219155 +*CONN +*I *23633:A I *D sky130_fd_sc_hd__nor2_4 +*I *23642:C I *D sky130_fd_sc_hd__nor3_4 +*I *23128:C I *D sky130_fd_sc_hd__or3_2 +*I *23123:A I *D sky130_fd_sc_hd__clkinv_2 +*I *23562:B1 I *D sky130_fd_sc_hd__a311o_1 +*I *23016:B I *D sky130_fd_sc_hd__or2_2 +*I *23015:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *23633:A 0 +2 *23642:C 5.56843e-05 +3 *23128:C 0.000238408 +4 *23123:A 0.000114699 +5 *23562:B1 5.26796e-05 +6 *23016:B 0 +7 *23015:X 0.000413863 +8 *3925:40 0.00102061 +9 *3925:32 0.00115312 +10 *3925:30 0.000877787 +11 *3925:24 0.000975614 +12 *3925:15 0.000230344 +13 *3925:12 0.00114707 +14 *3925:11 0.00154558 +15 *23123:A *23126:A 5.481e-05 +16 *23123:A *23126:B 1.58551e-05 +17 *23123:A *4032:7 6.39306e-05 +18 *23123:A *4035:8 6.08467e-05 +19 *23128:C *6106:DIODE 6.08467e-05 +20 *23128:C *3996:33 1.41976e-05 +21 *23562:B1 *23126:B 2.41274e-06 +22 *23562:B1 *4032:7 3.8122e-05 +23 *23642:C *23101:A 4.7372e-05 +24 *3925:11 *4219:23 0.00025233 +25 *3925:11 *4244:16 0.000231759 +26 *3925:11 *4401:10 0.000271269 +27 *3925:12 *3982:8 0.000373108 +28 *3925:12 *3990:15 0.000291963 +29 *3925:12 *5631:44 0.000271281 +30 *3925:15 *3927:10 2.65667e-05 +31 *3925:24 *3926:7 2.77625e-06 +32 *3925:24 *3927:10 1.65872e-05 +33 *3925:30 *22955:A 0.000179675 +34 *3925:30 *4538:12 0 +35 *3925:40 *23239:A3 0.000159703 +36 *3925:40 *3974:60 1.9101e-05 +37 *3925:40 *4010:10 0.000322427 +38 *3925:40 *4145:6 4.6012e-05 +39 *22884:B *3925:12 0.000692698 +40 *22909:B *3925:12 1.12605e-05 +41 *22938:C *3925:30 1.2693e-05 +42 *23016:A *3925:24 6.49003e-05 +43 *23091:B *3925:30 1.91246e-05 +44 *23128:A *23128:C 7.78924e-05 +45 *23128:B *23128:C 0.000252337 +46 *23196:B *3925:12 7.65861e-05 +47 *23562:A2 *23562:B1 5.17579e-05 +48 *23562:C1 *23562:B1 3.03417e-05 +49 *23633:B *3925:24 0.000172706 +50 *23633:B *3925:30 1.07248e-05 +51 *3082:39 *23128:C 4.95821e-05 +52 *3792:66 *3925:12 4.95102e-05 +53 *3794:35 *3925:12 0.000513772 +54 *3812:10 *3925:12 0.000116971 +55 *3814:10 *3925:12 0.000304983 +56 *3815:8 *3925:12 7.77309e-06 +57 *3820:18 *3925:24 1.87125e-05 +58 *3821:6 *3925:24 0.000226313 +59 *3822:14 *3925:24 0.00011497 +60 *3822:14 *3925:30 0.000651451 +61 *3822:16 *3925:30 0.00119202 +62 *3822:16 *3925:32 0.000161311 +63 *3822:16 *3925:40 0.00196536 +64 *3822:25 *3925:40 6.12305e-05 +65 *3827:8 *3925:12 0.00103103 +66 *3827:8 *3925:24 0 +67 *3827:41 *3925:30 0.000140957 +68 *3827:41 *3925:40 0.000100364 +69 *3833:20 *3925:30 8.48495e-06 +70 *3848:16 *3925:30 6.79599e-05 +71 *3848:21 *3925:30 0.00100184 +72 *3848:21 *3925:32 0.000159698 +73 *3848:21 *3925:40 0.00114325 +74 *3868:19 *3925:30 2.6777e-05 +75 *3874:296 *3925:24 0.000273338 +76 *3877:12 *3925:15 6.08467e-05 +77 *3877:12 *3925:24 0.000326398 +78 *3877:58 *3925:40 1.91391e-05 +*RES +1 *23015:X *3925:11 34.7272 +2 *3925:11 *3925:12 46.6792 +3 *3925:12 *3925:15 5.2234 +4 *3925:15 *23016:B 9.24915 +5 *3925:15 *3925:24 15.2267 +6 *3925:24 *3925:30 34.2949 +7 *3925:30 *3925:32 3.07775 +8 *3925:32 *3925:40 42.9475 +9 *3925:40 *23562:B1 11.1059 +10 *3925:40 *23123:A 12.7697 +11 *3925:32 *23128:C 20.4526 +12 *3925:30 *23642:C 15.0271 +13 *3925:24 *23633:A 13.7491 +*END + +*D_NET *3926 0.0179202 +*CONN +*I *23589:A I *D sky130_fd_sc_hd__clkinv_2 +*I *23018:A I *D sky130_fd_sc_hd__or2_1 +*I *23016:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23589:A 5.49224e-05 +2 *23018:A 0.000115247 +3 *23016:X 8.66021e-05 +4 *3926:23 0.000278463 +5 *3926:20 0.00119358 +6 *3926:8 0.00235587 +7 *3926:7 0.00135719 +8 *23018:A *23470:A2 0.000192956 +9 *23018:A *23818:B1 1.05746e-05 +10 *23018:A *4544:22 1.91391e-05 +11 *23018:A *5458:55 0.000237292 +12 *23589:A *23107:B 0.000161252 +13 *23589:A *3927:25 0.000110306 +14 *23589:A *4026:54 1.5714e-05 +15 *3926:7 *3927:10 5.04829e-06 +16 *3926:8 *3927:12 0.00347441 +17 *3926:8 *4001:9 0.000190028 +18 *3926:8 *5629:112 3.6412e-05 +19 *3926:8 *5629:114 0.000105526 +20 *3926:8 *5629:118 5.83103e-05 +21 *3926:20 *23239:B1 0.000223646 +22 *3926:20 *3927:25 0.00169852 +23 *3926:20 *3974:83 0.000126641 +24 *3926:20 *3996:65 1.16596e-05 +25 *3926:20 *4031:53 7.20648e-06 +26 *3926:20 *4147:13 0.00196001 +27 *3926:23 *3980:42 5.60804e-05 +28 *3926:23 *4871:11 0 +29 *3926:23 *5458:55 0.000241485 +30 *23002:A *3926:20 0.000121812 +31 *23016:A *3926:7 2.65831e-05 +32 *3827:8 *3926:8 0.000809884 +33 *3827:41 *3926:8 0.000139296 +34 *3842:32 *3926:8 0.00090608 +35 *3842:44 *3926:8 4.35741e-05 +36 *3842:44 *3926:20 9.89304e-05 +37 *3874:21 *3926:8 0.000660766 +38 *3874:28 *3926:8 0.000145373 +39 *3874:40 *23589:A 1.5714e-05 +40 *3883:11 *3926:8 4.40531e-05 +41 *3891:190 *3926:20 0.000521222 +42 *3925:24 *3926:7 2.77625e-06 +*RES +1 *23016:X *3926:7 15.0271 +2 *3926:7 *3926:8 62.6664 +3 *3926:8 *3926:20 48.1474 +4 *3926:20 *3926:23 3.72463 +5 *3926:23 *23018:A 17.8524 +6 *3926:23 *23589:A 19.3291 +*END + +*D_NET *3927 0.0207266 +*CONN +*I *23129:B I *D sky130_fd_sc_hd__or2_1 +*I *23634:A I *D sky130_fd_sc_hd__inv_2 +*I *23018:B I *D sky130_fd_sc_hd__or2_1 +*I *23017:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *23129:B 0.00012062 +2 *23634:A 0 +3 *23018:B 8.0583e-05 +4 *23017:X 0.000169951 +5 *3927:42 0.000745345 +6 *3927:27 0.000728113 +7 *3927:25 0.00109129 +8 *3927:12 0.0023762 +9 *3927:10 0.00147767 +10 *23018:B *23107:B 0.000209388 +11 *23129:B *4037:17 0.000107496 +12 *23129:B *4038:7 0.000203867 +13 *23129:B *4539:12 1.21461e-06 +14 *3927:12 *3996:65 0.000234572 +15 *3927:12 *4014:23 0.000224798 +16 *3927:25 *23107:B 1.37669e-05 +17 *3927:25 *3996:65 6.84784e-06 +18 *3927:27 *23107:B 4.66492e-05 +19 *3927:42 *23517:C1 0.000145314 +20 *3927:42 *4026:54 2.58521e-05 +21 *3927:42 *4026:72 0.000386727 +22 *3927:42 *4143:36 0.000157667 +23 *3927:42 *4544:22 0.00113023 +24 *22938:B *3927:12 0.000527589 +25 *23017:B_N *3927:10 6.08467e-05 +26 *23049:A1 *3927:12 0.000177772 +27 *23128:A *3927:12 0.0003216 +28 *23589:A *3927:25 0.000110306 +29 *3800:89 *3927:12 7.15574e-05 +30 *3820:18 *3927:12 0.000157548 +31 *3827:8 *3927:10 2.01503e-05 +32 *3827:8 *3927:12 1.3813e-05 +33 *3833:20 *3927:12 0.000262872 +34 *3833:34 *3927:12 2.42661e-05 +35 *3842:44 *3927:12 2.43876e-05 +36 *3864:20 *3927:12 2.13394e-05 +37 *3872:34 *3927:42 0.000165578 +38 *3872:42 *3927:42 0.00062301 +39 *3874:21 *3927:12 0.000882008 +40 *3874:32 *3927:12 6.84784e-06 +41 *3874:32 *3927:25 0.000999169 +42 *3874:40 *3927:25 0.00082137 +43 *3874:296 *3927:12 1.1095e-05 +44 *3877:12 *3927:10 1.5613e-05 +45 *3891:190 *3927:25 0.000502569 +46 *3925:15 *3927:10 2.65667e-05 +47 *3925:24 *3927:10 1.65872e-05 +48 *3926:7 *3927:10 5.04829e-06 +49 *3926:8 *3927:12 0.00347441 +50 *3926:20 *3927:25 0.00169852 +*RES +1 *23017:X *3927:10 17.8024 +2 *3927:10 *3927:12 64.9503 +3 *3927:12 *3927:25 49.7678 +4 *3927:25 *3927:27 0.723396 +5 *3927:27 *23018:B 11.6364 +6 *3927:27 *3927:42 36.6509 +7 *3927:42 *23634:A 9.24915 +8 *3927:42 *23129:B 12.7697 +*END + +*D_NET *3928 0.00903985 +*CONN +*I *23132:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23560:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23019:B I *D sky130_fd_sc_hd__or2_1 +*I *23018:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23132:A1 0.000197442 +2 *23560:A1 0 +3 *23019:B 0.000224577 +4 *23018:X 0.000480947 +5 *3928:21 0.000378479 +6 *3928:18 0.0011343 +7 *3928:7 0.00165878 +8 *23019:B *3929:7 8.60676e-05 +9 *23132:A1 *23470:A2 1.24097e-05 +10 *23132:A1 *4038:22 0.000170086 +11 *23132:A1 *4367:15 7.86728e-05 +12 *23132:A1 *4591:11 1.0906e-05 +13 *3928:7 *23107:B 0.000116111 +14 *3928:7 *3980:49 0.000302686 +15 *3928:18 *23427:C 0.000136538 +16 *3928:18 *23559:B2 0.000219146 +17 *3928:18 *3931:65 4.2882e-06 +18 *3928:18 *3931:74 8.70662e-06 +19 *3928:18 *4038:22 0.00116859 +20 *3928:18 *4138:110 4.83622e-05 +21 *3928:18 *4466:11 0.000171747 +22 *3928:18 *4589:19 0.000329116 +23 *3928:18 *4591:15 0.000149628 +24 *3928:18 *4735:11 6.01588e-05 +25 *3928:18 *5923:20 0.000133404 +26 *3928:21 *4466:11 4.23858e-05 +27 *23130:A *23132:A1 7.92757e-06 +28 *23426:A1 *3928:18 2.02035e-05 +29 *23559:A1 *3928:18 0.000127592 +30 *23560:A2 *3928:21 1.4946e-05 +31 *3829:67 *3928:18 0.000185159 +32 *3829:75 *3928:18 7.54273e-05 +33 *3891:190 *3928:18 0.000739153 +34 *3915:8 *3928:18 0.000227238 +35 *3915:13 *3928:18 0.000144546 +36 *3923:8 *3928:18 5.05252e-05 +37 *3923:10 *3928:18 0.000123597 +*RES +1 *23018:X *3928:7 21.1278 +2 *3928:7 *3928:18 48.0038 +3 *3928:18 *3928:21 3.37585 +4 *3928:21 *23019:B 14.4094 +5 *3928:21 *23560:A1 9.24915 +6 *3928:7 *23132:A1 17.9655 +*END + +*D_NET *3929 0.00738846 +*CONN +*I *23023:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23712:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *23019:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23023:C1 0.0002292 +2 *23712:D_N 0 +3 *23019:X 0.000446893 +4 *3929:15 0.000841835 +5 *3929:7 0.00151793 +6 *23023:C1 *23023:D1 6.08467e-05 +7 *23023:C1 *23024:D1 0.00020172 +8 *23023:C1 *4233:11 4.78069e-06 +9 *23023:C1 *4233:17 8.10372e-05 +10 *23023:C1 *4237:29 0.000111878 +11 *23023:C1 *4326:31 7.465e-05 +12 *23023:C1 *4711:6 2.5053e-05 +13 *3929:15 *23024:D1 7.50722e-05 +14 *3929:15 *23341:A 0.000468858 +15 *3929:15 *23712:C 0.000114523 +16 *3929:15 *4237:29 3.95141e-05 +17 *3929:15 *4326:52 0.000269846 +18 *3929:15 *4678:7 0.000110297 +19 *3929:15 *4711:6 9.92046e-06 +20 *23019:B *3929:7 8.60676e-05 +21 *23024:C1 *3929:15 0.000729746 +22 *23611:A2 *3929:15 1.5714e-05 +23 *3861:54 *3929:15 8.4653e-05 +24 *3861:62 *3929:15 0.000464048 +25 *3919:10 *3929:15 0.000104151 +26 *3920:26 *3929:15 1.62321e-05 +27 *3922:96 *3929:15 1.04747e-05 +28 *3922:98 *3929:15 0.000643643 +29 *3922:100 *3929:15 5.80255e-05 +30 *3922:106 *3929:15 0.000491848 +*RES +1 *23019:X *3929:7 20.0186 +2 *3929:7 *3929:15 41.734 +3 *3929:15 *23712:D_N 9.24915 +4 *3929:7 *23023:C1 21.151 +*END + +*D_NET *3930 0.000605931 +*CONN +*I *23021:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *23020:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23021:A 0.000183363 +2 *23020:X 0.000183363 +3 *23021:A *3931:8 1.78942e-05 +4 *23021:A *4240:8 0.000153225 +5 *23021:A *5612:72 6.80864e-05 +*RES +1 *23020:X *23021:A 33.1026 +*END + +*D_NET *3931 0.0292252 +*CONN +*I *23332:B I *D sky130_fd_sc_hd__or2_2 +*I *23612:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23708:A2 I *D sky130_fd_sc_hd__o211ai_1 +*I *23338:B I *D sky130_fd_sc_hd__or3_1 +*I *23339:B I *D sky130_fd_sc_hd__or3_1 +*I *23559:A2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23615:A I *D sky130_fd_sc_hd__nor2_1 +*I *23022:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *23426:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23021:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23332:B 0 +2 *23612:A2 9.30524e-05 +3 *23708:A2 0.000379923 +4 *23338:B 0 +5 *23339:B 0 +6 *23559:A2 5.67807e-05 +7 *23615:A 0.00099507 +8 *23022:B2 5.0833e-06 +9 *23426:A2 0.000179783 +10 *23021:X 0.00102479 +11 *3931:74 0.00151024 +12 *3931:65 0.00133138 +13 *3931:46 0.00179982 +14 *3931:36 0.00122034 +15 *3931:31 0.000549622 +16 *3931:26 0.0016259 +17 *3931:16 0.0012815 +18 *3931:11 0.000223023 +19 *3931:8 0.00112053 +20 *23426:A2 *23427:D_N 0.000258838 +21 *23426:A2 *4326:19 9.32983e-05 +22 *23426:A2 *4326:21 0.000396003 +23 *23426:A2 *4614:22 7.09666e-06 +24 *23426:A2 *4614:26 2.57465e-06 +25 *23559:A2 *23559:B2 6.27782e-05 +26 *23612:A2 *23613:B 0.000216458 +27 *23615:A *23687:B2 1.45261e-05 +28 *23615:A *23687:C1 3.25906e-05 +29 *23615:A *4614:26 2.64415e-05 +30 *23615:A *4711:6 0.000285141 +31 *23615:A *4735:28 0.00013689 +32 *23708:A2 *23685:A1 1.03403e-05 +33 *23708:A2 *23685:A2 0.000165521 +34 *23708:A2 *4612:10 6.08467e-05 +35 *3931:8 *22094:A1 4.44823e-05 +36 *3931:8 *25337:A 0 +37 *3931:8 *4134:54 0 +38 *3931:8 *4246:8 0.000216998 +39 *3931:8 *4336:8 0.0016243 +40 *3931:8 *5452:54 0.000611065 +41 *3931:11 *4374:69 0.000133668 +42 *3931:26 *23469:B 1.13359e-05 +43 *3931:26 *23685:A2 5.10988e-05 +44 *3931:26 *23686:A2 0.000116227 +45 *3931:26 *4242:12 1.55462e-05 +46 *3931:26 *4336:8 0.000224783 +47 *3931:26 *4374:84 6.09593e-05 +48 *3931:26 *4519:14 4.66932e-05 +49 *3931:26 *5452:51 0.000175689 +50 *3931:31 *23338:C 7.92757e-06 +51 *3931:31 *4134:65 3.88655e-06 +52 *3931:36 *23338:C 2.41274e-06 +53 *3931:36 *4246:8 0.000403507 +54 *3931:46 *23427:A 1.24878e-05 +55 *3931:46 *23430:A2 0.000127751 +56 *3931:46 *23835:C1 3.40636e-05 +57 *3931:46 *4246:8 0.00157369 +58 *3931:46 *4614:22 4.06737e-05 +59 *3931:46 *5458:37 0.000165056 +60 *3931:65 *23559:B2 0.000215549 +61 *3931:65 *4037:14 0.000288532 +62 *3931:65 *4038:22 0.000239451 +63 *3931:65 *4338:17 0.000542452 +64 *3931:65 *4717:8 7.93919e-05 +65 *3931:74 *4138:110 5.92342e-05 +66 *3931:74 *4717:8 0.000128871 +67 *23013:B *23615:A 0.000101144 +68 *23021:A *3931:8 1.78942e-05 +69 *23022:A2 *23615:A 1.86487e-05 +70 *23022:A2 *3931:74 5.35162e-05 +71 *23022:B1 *3931:74 0.0005826 +72 *23023:A1 *23615:A 1.91391e-05 +73 *23024:A1 *23615:A 9.85683e-06 +74 *23332:A *3931:16 1.3262e-05 +75 *23338:A *3931:31 1.41181e-05 +76 *23422:B *3931:65 4.85849e-05 +77 *23424:A1 *23615:A 0.000100219 +78 *23430:A1 *3931:65 3.73389e-05 +79 *23559:A1 *3931:74 0.000135958 +80 *23612:B1 *23612:A2 1.37189e-05 +81 *23612:B2 *23612:A2 4.9933e-05 +82 *23687:B1 *23615:A 0.000107496 +83 *1829:59 *3931:46 0.000593346 +84 *3087:24 *3931:8 8.98903e-05 +85 *3087:31 *3931:8 9.51565e-05 +86 *3860:67 *3931:8 4.04447e-05 +87 *3860:78 *3931:36 0.00039638 +88 *3860:78 *3931:46 0.00157715 +89 *3860:91 *3931:74 1.72328e-05 +90 *3861:11 *3931:16 0.000205962 +91 *3861:11 *3931:26 1.65872e-05 +92 *3861:17 *3931:26 0.000160617 +93 *3891:176 *3931:65 3.00142e-05 +94 *3892:11 *3931:11 0.000347214 +95 *3899:19 *3931:26 0.00132265 +96 *3899:19 *3931:31 6.01588e-05 +97 *3914:7 *23708:A2 2.53026e-05 +98 *3914:14 *23615:A 0.000271778 +99 *3914:14 *3931:46 0 +100 *3915:8 *3931:74 0.000214915 +101 *3928:18 *3931:65 4.2882e-06 +102 *3928:18 *3931:74 8.70662e-06 +*RES +1 *23021:X *3931:8 48.7026 +2 *3931:8 *3931:11 8.55102 +3 *3931:11 *3931:16 12.9083 +4 *3931:16 *3931:26 46.1624 +5 *3931:26 *3931:31 7.74935 +6 *3931:31 *3931:36 14.8114 +7 *3931:36 *3931:46 48.2503 +8 *3931:46 *23426:A2 19.8426 +9 *3931:46 *3931:65 16.7548 +10 *3931:65 *3931:74 20.1887 +11 *3931:74 *23022:B2 9.46616 +12 *3931:74 *23615:A 46.6576 +13 *3931:65 *23559:A2 15.0271 +14 *3931:36 *23339:B 13.7491 +15 *3931:31 *23338:B 9.24915 +16 *3931:26 *23708:A2 19.464 +17 *3931:16 *23612:A2 12.7456 +18 *3931:11 *23332:B 9.24915 +*END + +*D_NET *3932 0.00129657 +*CONN +*I *23811:C1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23023:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23022:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *23811:C1 7.099e-05 +2 *23023:D1 0.000208555 +3 *23022:X 7.98254e-05 +4 *3932:7 0.00035937 +5 *23023:D1 *4233:11 1.7883e-05 +6 *23023:D1 *4614:26 0 +7 *23023:B1 *23023:D1 5.79233e-05 +8 *23023:C1 *23023:D1 6.08467e-05 +9 *23424:A1 *23023:D1 5.25029e-05 +10 *23424:A1 *3932:7 0.000107496 +11 *3914:14 *23023:D1 0.000167634 +12 *3914:14 *23811:C1 0.000113547 +*RES +1 *23022:X *3932:7 15.0271 +2 *3932:7 *23023:D1 19.6776 +3 *3932:7 *23811:C1 15.9964 +*END + +*D_NET *3933 0.00101669 +*CONN +*I *23024:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23023:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23024:D1 0.000173104 +2 *23023:X 0.000173104 +3 *23024:D1 *4233:11 0.000110297 +4 *23024:D1 *4711:6 0.000264568 +5 *23023:C1 *23024:D1 0.00020172 +6 *23024:C1 *23024:D1 1.88244e-05 +7 *3929:15 *23024:D1 7.50722e-05 +*RES +1 *23023:X *23024:D1 33.72 +*END + +*D_NET *3934 0.0225261 +*CONN +*I *23026:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23024:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23026:C1 0 +2 *23024:X 0.00373821 +3 *3934:21 0.00373821 +4 *3934:21 *23026:D1 3.46353e-06 +5 *3934:21 *23351:B 6.40413e-05 +6 *3934:21 *3935:5 0.000111722 +7 *3934:21 *3938:30 3.29488e-05 +8 *3934:21 *4024:70 0.00100621 +9 *3934:21 *4236:39 0.00201027 +10 *3934:21 *4735:12 6.56617e-05 +11 *3934:21 *5458:22 0.00104468 +12 *3934:21 *5458:37 8.89496e-05 +13 *3934:21 *5852:117 0.00398466 +14 *23024:C1 *3934:21 6.11074e-05 +15 *23351:A *3934:21 6.65367e-05 +16 *1587:80 *3934:21 0.000113784 +17 *3860:143 *3934:21 4.09154e-05 +18 *3861:46 *3934:21 0.00101058 +19 *3861:54 *3934:21 0.000335554 +20 *3883:18 *3934:21 0.00023318 +21 *3899:29 *3934:21 0.00477187 +22 *3922:96 *3934:21 3.51785e-06 +*RES +1 *23024:X *3934:21 48.9306 +2 *3934:21 *23026:C1 9.24915 +*END + +*D_NET *3935 0.00101428 +*CONN +*I *23026:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23420:A I *D sky130_fd_sc_hd__inv_2 +*I *23025:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23026:D1 1.08104e-05 +2 *23420:A 0.000109595 +3 *23025:X 0.000151963 +4 *3935:5 0.000272368 +5 *23420:A *23421:B 0.000107496 +6 *23420:A *3938:30 0.000114237 +7 *23351:A *3935:5 2.19275e-05 +8 *3900:34 *23420:A 0.000110696 +9 *3934:21 *23026:D1 3.46353e-06 +10 *3934:21 *3935:5 0.000111722 +*RES +1 *23025:X *3935:5 11.6364 +2 *3935:5 *23420:A 21.7744 +3 *3935:5 *23026:D1 9.45411 +*END + +*D_NET *3936 0.00428039 +*CONN +*I *23029:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23026:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23029:B1 0 +2 *23026:X 0.000824344 +3 *3936:14 0.000824344 +4 *3936:14 *23432:B1 3.37714e-06 +5 *3936:14 *23621:C 5.74949e-05 +6 *3936:14 *3937:29 0.000358351 +7 *3936:14 *4265:10 3.57646e-05 +8 *3936:14 *4340:20 6.11074e-05 +9 *3936:14 *4617:8 0.000131423 +10 *23026:A1 *3936:14 2.91782e-05 +11 *23028:A *3936:14 7.93649e-05 +12 *23351:A *3936:14 0.000211573 +13 *23356:B *3936:14 0.000106727 +14 *2963:96 *3936:14 0.000104469 +15 *3840:191 *3936:14 5.68691e-06 +16 *3874:204 *3936:14 0.000260647 +17 *3891:24 *3936:14 0.000931395 +18 *3891:42 *3936:14 4.78769e-05 +19 *3901:56 *3936:14 0.000207266 +*RES +1 *23026:X *3936:14 47.9435 +2 *3936:14 *23029:B1 13.7491 +*END + +*D_NET *3937 0.0114864 +*CONN +*I *23029:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23724:D_N I *D sky130_fd_sc_hd__or4b_2 +*I *23620:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *23027:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23029:C1 0 +2 *23724:D_N 0.000116393 +3 *23620:C1 0.000116866 +4 *23027:X 4.51842e-05 +5 *3937:32 0.00151272 +6 *3937:29 0.00215914 +7 *3937:15 0.000935829 +8 *3937:5 0.000335073 +9 *3937:5 *3938:9 6.08467e-05 +10 *3937:15 *23431:B1 6.3657e-05 +11 *3937:15 *3938:9 0.000197375 +12 *3937:29 *23351:B 0.000199996 +13 *3937:29 *23357:B 8.43847e-05 +14 *3937:29 *23432:B1 0.000654429 +15 *3937:29 *23621:B 2.85561e-05 +16 *3937:29 *23621:C 6.08467e-05 +17 *3937:29 *23622:D_N 2.44829e-05 +18 *3937:29 *4233:79 0.000158299 +19 *3937:29 *4266:10 6.01329e-05 +20 *3937:29 *4329:15 1.14175e-05 +21 *3937:29 *4340:20 6.50727e-05 +22 *3937:29 *4617:8 0.000272479 +23 *3937:32 *23229:A 0.000153389 +24 *3937:32 *23252:A 3.40805e-05 +25 *3937:32 *23253:A 0 +26 *3937:32 *23355:B 3.77568e-05 +27 *3937:32 *23476:A 0 +28 *3937:32 *23693:B 2.02035e-05 +29 *3937:32 *4106:191 0 +30 *3937:32 *4106:214 1.83628e-05 +31 *3937:32 *4126:193 5.48466e-05 +32 *3937:32 *4159:8 0 +33 *3937:32 *4160:8 0 +34 *3937:32 *4328:31 0 +35 *3937:32 *4617:8 1.78765e-05 +36 *3937:32 *4662:8 0.00127004 +37 *3937:32 *4663:19 0.000330024 +38 *23620:A2 *23620:C1 0 +39 *23620:B1 *23620:C1 0.000216088 +40 *1731:78 *23620:C1 1.55462e-05 +41 *1731:78 *3937:32 0 +42 *1731:85 *3937:32 0 +43 *3840:179 *3937:29 9.24123e-05 +44 *3868:233 *23620:C1 0.000169093 +45 *3883:18 *3937:29 7.50228e-05 +46 *3891:24 *3937:29 1.58614e-05 +47 *3891:24 *3937:32 0.0011156 +48 *3900:9 *23724:D_N 0.000247443 +49 *3900:9 *3937:32 3.0676e-05 +50 *3900:40 *23620:C1 5.05252e-05 +51 *3936:14 *3937:29 0.000358351 +*RES +1 *23027:X *3937:5 9.97254 +2 *3937:5 *23620:C1 22.9879 +3 *3937:5 *3937:15 2.94181 +4 *3937:15 *3937:29 45.8802 +5 *3937:29 *3937:32 49.3106 +6 *3937:32 *23724:D_N 12.191 +7 *3937:15 *23029:C1 9.24915 +*END + +*D_NET *3938 0.0126786 +*CONN +*I *23571:A I *D sky130_fd_sc_hd__nand2_1 +*I *23431:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *23029:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23028:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23571:A 0 +2 *23431:B1 0.000350408 +3 *23029:D1 1.8102e-05 +4 *23028:X 0.000148223 +5 *3938:41 0.00187812 +6 *3938:30 0.00307068 +7 *3938:9 0.000472493 +8 *3938:5 0.00144476 +9 *23431:B1 *23432:D1 7.92757e-06 +10 *23431:B1 *23436:B 7.98171e-06 +11 *3938:30 *23476:A 0.00011943 +12 *3938:30 *4326:85 0.00011726 +13 *3938:30 *5458:22 0.000112451 +14 *3938:41 *23144:C1 6.35009e-06 +15 *3938:41 *23474:A 0.000132291 +16 *3938:41 *23476:A 7.75874e-05 +17 *3938:41 *4050:11 0.00039679 +18 *3938:41 *4161:8 0.000289162 +19 *3938:41 *4326:85 8.99223e-05 +20 *3938:41 *4380:14 0.000307342 +21 *3938:41 *4595:8 0.000195423 +22 *23026:B1 *3938:30 5.66868e-06 +23 *23027:A *3938:5 2.20484e-05 +24 *23027:A *3938:9 1.61631e-05 +25 *23028:A *3938:5 1.65872e-05 +26 *23028:A *3938:30 0.00010839 +27 *23028:B *3938:5 6.08467e-05 +28 *23141:A *3938:41 1.80887e-05 +29 *23420:A *3938:30 0.000114237 +30 *23431:A1 *23431:B1 8.67924e-06 +31 *23432:A1 *23431:B1 0.000246005 +32 *23620:B1 *3938:9 0.000107496 +33 *1587:80 *3938:41 0.00048975 +34 *1731:85 *3938:30 0 +35 *3842:170 *3938:41 0 +36 *3860:143 *3938:30 0.000726185 +37 *3868:213 *3938:5 7.78113e-06 +38 *3868:233 *3938:9 7.6719e-06 +39 *3900:34 *3938:30 3.60649e-05 +40 *3900:36 *3938:30 2.90332e-05 +41 *3900:40 *3938:30 4.59797e-05 +42 *3900:43 *23029:D1 0 +43 *3900:43 *23431:B1 9.69813e-05 +44 *3900:43 *3938:9 5.61389e-05 +45 *3901:8 *3938:30 2.82597e-05 +46 *3901:31 *3938:30 0.000671719 +47 *3901:42 *3938:30 7.34716e-05 +48 *3901:56 *3938:30 8.77775e-05 +49 *3934:21 *3938:30 3.29488e-05 +50 *3937:5 *3938:9 6.08467e-05 +51 *3937:15 *23431:B1 6.3657e-05 +52 *3937:15 *3938:9 0.000197375 +*RES +1 *23028:X *3938:5 11.6364 +2 *3938:5 *3938:9 4.07513 +3 *3938:9 *23029:D1 9.82786 +4 *3938:9 *23431:B1 18.3157 +5 *3938:5 *3938:30 43.9245 +6 *3938:30 *3938:41 44.6734 +7 *3938:41 *23571:A 9.24915 +*END + +*D_NET *3939 0.00343864 +*CONN +*I *23030:C1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23029:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23030:C1 0 +2 *23029:X 0.000709984 +3 *3939:14 0.000709984 +4 *3939:14 *23432:D1 0.000117455 +5 *3939:14 *4326:117 7.79231e-05 +6 *3939:14 *4326:137 5.75757e-05 +7 *23432:A1 *3939:14 2.21021e-05 +8 *1697:48 *3939:14 6.54541e-06 +9 *1783:54 *3939:14 0.000485672 +10 *2502:26 *3939:14 0.000842527 +11 *3900:43 *3939:14 0.000408866 +*RES +1 *23029:X *3939:14 41.7713 +2 *3939:14 *23030:C1 9.24915 +*END + +*D_NET *3940 0.00225939 +*CONN +*I *23034:B I *D sky130_fd_sc_hd__nor4_1 +*I *23030:Y O *D sky130_fd_sc_hd__o221ai_1 +*CAP +1 *23034:B 0.000492358 +2 *23030:Y 0.000492358 +3 *23034:B *23034:D 6.50727e-05 +4 *23034:B *23434:A 6.08467e-05 +5 *23034:B *3941:9 0.000213739 +6 *23030:B1 *23034:B 8.4798e-06 +7 *23030:B2 *23034:B 0.000209326 +8 *1471:185 *23034:B 0.000364356 +9 *1763:23 *23034:B 0.000144531 +10 *1829:46 *23034:B 6.31665e-05 +11 *2911:17 *23034:B 0.00011961 +12 *3868:233 *23034:B 6.32613e-06 +13 *3895:48 *23034:B 1.92172e-05 +*RES +1 *23030:Y *23034:B 41.0358 +*END + +*D_NET *3941 0.0012299 +*CONN +*I *23434:A I *D sky130_fd_sc_hd__or2_1 +*I *23034:C I *D sky130_fd_sc_hd__nor4_1 +*I *23031:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23434:A 0.000126561 +2 *23034:C 0 +3 *23031:Y 9.11107e-05 +4 *3941:9 0.000217672 +5 *23434:A *23435:C 2.61372e-05 +6 *3941:9 *5082:62 3.77659e-05 +7 *23034:B *23434:A 6.08467e-05 +8 *23034:B *3941:9 0.000213739 +9 *2911:17 *23434:A 0.000201077 +10 *2911:17 *3941:9 0.000213739 +11 *3897:11 *3941:9 4.12533e-05 +*RES +1 *23031:Y *3941:9 22.4683 +2 *3941:9 *23034:C 9.24915 +3 *3941:9 *23434:A 13.5172 +*END + +*D_NET *3942 0.00463215 +*CONN +*I *23035:B I *D sky130_fd_sc_hd__or2_1 +*I *23036:B I *D sky130_fd_sc_hd__or2_1 +*I *23371:B I *D sky130_fd_sc_hd__nor2_1 +*I *23366:B I *D sky130_fd_sc_hd__or2_1 +*I *23033:B I *D sky130_fd_sc_hd__nor2_1 +*I *23032:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23035:B 0.000204638 +2 *23036:B 0 +3 *23371:B 0 +4 *23366:B 9.99384e-05 +5 *23033:B 0.00023768 +6 *23032:X 0.000173748 +7 *3942:32 0.000298485 +8 *3942:27 0.000198547 +9 *3942:14 0.00030766 +10 *3942:12 0.000448366 +11 *23033:B *23368:B 0.000136827 +12 *23033:B *4272:12 0.00011818 +13 *23035:B *23037:C1 3.99086e-06 +14 *23366:B *23437:A 2.30377e-05 +15 *3942:12 *23037:D1 0.000117223 +16 *3942:12 *23437:A 3.00558e-05 +17 *3942:32 *23367:A 6.50586e-05 +18 *3942:32 *23714:A 0 +19 *3942:32 *23714:B 6.08467e-05 +20 *3942:32 *3946:10 1.43983e-05 +21 *3942:32 *4276:5 0.000357898 +22 *23031:A *23033:B 0.000192543 +23 *23031:A *3942:14 1.79196e-05 +24 *23032:A *3942:12 6.08467e-05 +25 *23032:B *3942:12 6.50586e-05 +26 *23033:A *23033:B 6.92705e-05 +27 *23035:A *23035:B 0.000110297 +28 *23037:A1 *3942:12 4.31603e-06 +29 *23038:A1 *23035:B 0.000156946 +30 *1438:83 *23033:B 7.20368e-05 +31 *1438:83 *23035:B 6.03391e-06 +32 *1438:83 *3942:14 5.79254e-05 +33 *1756:49 *23033:B 0.0001095 +34 *2283:21 *23366:B 0.000120081 +35 *2803:48 *23366:B 1.561e-05 +36 *2803:58 *23366:B 4.04556e-05 +37 *2911:17 *3942:32 3.58044e-05 +38 *2955:40 *23366:B 0.000145599 +39 *3877:221 *23035:B 0.000199071 +40 *3877:221 *3942:12 5.57646e-06 +41 *3877:221 *3942:14 4.87198e-05 +42 *3893:55 *23035:B 0.000201956 +*RES +1 *23032:X *3942:12 18.5812 +2 *3942:12 *3942:14 2.6625 +3 *3942:14 *23033:B 22.9811 +4 *3942:14 *3942:27 4.5 +5 *3942:27 *3942:32 6.87226 +6 *3942:32 *23366:B 22.4669 +7 *3942:32 *23371:B 9.24915 +8 *3942:27 *23036:B 9.24915 +9 *3942:12 *23035:B 20.3205 +*END + +*D_NET *3943 0.00214345 +*CONN +*I *23714:A I *D sky130_fd_sc_hd__or4_4 +*I *23034:D I *D sky130_fd_sc_hd__nor4_1 +*I *23033:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23714:A 0.000129354 +2 *23034:D 0.000265044 +3 *23033:Y 0.000125791 +4 *3943:8 0.000520189 +5 *23034:D *5082:62 2.01653e-05 +6 *23714:A *23714:B 1.41291e-05 +7 *23714:A *23714:D 0.000107496 +8 *23714:A *4272:12 1.5714e-05 +9 *3943:8 *4272:12 0.000202835 +10 *21683:A1 *23034:D 4.1711e-05 +11 *23033:A *23714:A 1.10793e-05 +12 *23033:A *3943:8 0.000138726 +13 *23034:B *23034:D 6.50727e-05 +14 *23037:A1 *23034:D 4.46431e-05 +15 *23363:A *23034:D 0.000424826 +16 *2284:11 *23034:D 0 +17 *2612:32 *23034:D 1.66771e-05 +18 *3942:32 *23714:A 0 +*RES +1 *23033:Y *3943:8 17.3427 +2 *3943:8 *23034:D 22.4035 +3 *3943:8 *23714:A 16.949 +*END + +*D_NET *3944 0.00172003 +*CONN +*I *23037:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23034:Y O *D sky130_fd_sc_hd__nor4_1 +*CAP +1 *23037:B1 0.000358936 +2 *23034:Y 0.000358936 +3 *23037:B1 *23037:D1 0.000112537 +4 *23037:B1 *3947:23 0.000164829 +5 *23037:B1 *4273:14 0.000200794 +6 *23037:B1 *4618:13 0.000154145 +7 *23037:B1 *5082:62 7.86847e-05 +8 *22988:B *23037:B1 2.41568e-05 +9 *23037:A1 *23037:B1 0.000163207 +10 *2612:32 *23037:B1 7.50722e-05 +11 *3898:5 *23037:B1 2.10441e-05 +12 *3898:18 *23037:B1 7.68538e-06 +*RES +1 *23034:Y *23037:B1 38.705 +*END + +*D_NET *3945 0.00101391 +*CONN +*I *23609:A I *D sky130_fd_sc_hd__inv_2 +*I *23037:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23035:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23609:A 7.70771e-05 +2 *23037:C1 0.000266691 +3 *23035:X 0 +4 *3945:4 0.000343768 +5 *23037:C1 *3947:23 0.000110296 +6 *23035:A *23037:C1 1.82679e-05 +7 *23035:B *23037:C1 3.99086e-06 +8 *23038:A1 *23037:C1 9.80242e-07 +9 *23038:A1 *23609:A 6.27782e-05 +10 *1438:83 *23037:C1 0.000121533 +11 *1471:192 *23609:A 2.41274e-06 +12 *3898:18 *23037:C1 6.11447e-06 +*RES +1 *23035:X *3945:4 9.24915 +2 *3945:4 *23037:C1 23.2989 +3 *3945:4 *23609:A 11.3711 +*END + +*D_NET *3946 0.00191234 +*CONN +*I *23437:A I *D sky130_fd_sc_hd__inv_2 +*I *23037:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23036:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23437:A 0.000253732 +2 *23037:D1 0.000321583 +3 *23036:X 8.70112e-05 +4 *3946:10 0.000662327 +5 *23437:A *4275:17 2.65667e-05 +6 *23437:A *4345:11 4.58003e-05 +7 *3946:10 *23367:A 6.09999e-05 +8 *3946:10 *4275:29 1.04747e-05 +9 *23032:A *23037:D1 2.16355e-05 +10 *23032:A *23437:A 5.04829e-06 +11 *23032:B *23037:D1 1.41853e-05 +12 *23037:A1 *23037:D1 7.68538e-06 +13 *23037:B1 *23037:D1 0.000112537 +14 *23366:B *23437:A 2.30377e-05 +15 *1756:60 *23437:A 0 +16 *1818:29 *3946:10 7.14746e-05 +17 *3893:55 *23037:D1 2.65667e-05 +18 *3942:12 *23037:D1 0.000117223 +19 *3942:12 *23437:A 3.00558e-05 +20 *3942:32 *3946:10 1.43983e-05 +*RES +1 *23036:X *3946:10 20.3893 +2 *3946:10 *23037:D1 14.3732 +3 *3946:10 *23437:A 15.7115 +*END + +*D_NET *3947 0.00409267 +*CONN +*I *23038:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23037:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23038:B1 4.18899e-05 +2 *23037:X 0.000715796 +3 *3947:24 9.26194e-05 +4 *3947:23 0.000766525 +5 *3947:23 *23714:D 1.21652e-05 +6 *3947:23 *4345:11 0.000130832 +7 *3947:23 *4870:22 1.5714e-05 +8 *21683:A1 *3947:23 0.000249834 +9 *23032:A *3947:23 0.000511221 +10 *23037:A1 *3947:23 0.000160617 +11 *23037:B1 *3947:23 0.000164829 +12 *23037:C1 *3947:23 0.000110296 +13 *23038:A1 *23038:B1 6.50727e-05 +14 *460:30 *23038:B1 3.75233e-05 +15 *1705:16 *3947:23 0.000498051 +16 *1756:60 *23038:B1 0.000213967 +17 *1756:60 *3947:23 0.00010972 +18 *1757:106 *3947:23 6.23101e-05 +19 *2955:43 *3947:23 6.15876e-05 +20 *3898:18 *3947:23 7.20981e-05 +*RES +1 *23037:X *3947:23 43.3303 +2 *3947:23 *3947:24 57.9449 +3 *3947:24 *23038:B1 20.8855 +*END + +*D_NET *3948 0.00312733 +*CONN +*I *23039:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23038:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23039:B1 0.000625385 +2 *23038:Y 0.000625385 +3 *23039:B1 *4284:8 2.92999e-05 +4 *23039:B1 *4514:8 7.86837e-05 +5 *23039:B1 *4514:29 3.31882e-05 +6 *23039:B1 *4870:10 0.000298027 +7 *1756:60 *23039:B1 0.000114523 +8 *2704:54 *23039:B1 5.35576e-05 +9 *2785:47 *23039:B1 0.000757496 +10 *3885:22 *23039:B1 0.000511781 +*RES +1 *23038:Y *23039:B1 47.6336 +*END + +*D_NET *3949 0.000804894 +*CONN +*I *23040:B I *D sky130_fd_sc_hd__or2_1 +*I *23039:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23040:B 0.000295584 +2 *23039:X 0.000295584 +3 *23040:B *23622:B 0.000213725 +*RES +1 *23039:X *23040:B 23.128 +*END + +*D_NET *3950 0.000477759 +*CONN +*I *23041:B I *D sky130_fd_sc_hd__or2_1 +*I *23040:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23041:B 0.000121769 +2 *23040:X 0.000121769 +3 *23041:B *3951:13 2.57071e-05 +4 *23041:B *6001:62 0.000143047 +5 *1515:60 *23041:B 5.57532e-05 +6 *1515:78 *23041:B 9.71323e-06 +*RES +1 *23040:X *23041:B 30.8842 +*END + +*D_NET *3951 0.0125289 +*CONN +*I *23042:B I *D sky130_fd_sc_hd__nor2_1 +*I *23041:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23042:B 0.000979085 +2 *23041:X 0.00037036 +3 *3951:14 0.00222809 +4 *3951:13 0.00161937 +5 *23042:B *23536:A1 6.01588e-05 +6 *23042:B *23539:A2 0 +7 *23042:B *23669:B 6.88205e-06 +8 *23042:B *4008:26 0.000397872 +9 *23042:B *4070:36 4.73388e-06 +10 *23042:B *4704:17 3.07243e-05 +11 *23042:B *4802:18 9.46442e-05 +12 *23042:B *6001:49 0.000879481 +13 *3951:13 *6001:62 0.00012905 +14 *3951:14 *23266:A 0.000152743 +15 *3951:14 *23802:B 2.64856e-05 +16 *3951:14 *4441:10 0.0012116 +17 *3951:14 *6001:54 0.00161382 +18 *3951:14 *6001:62 0.00046237 +19 *23041:A *3951:13 1.41976e-05 +20 *23041:B *3951:13 2.57071e-05 +21 *23042:A *23042:B 3.5534e-06 +22 *1455:99 *23042:B 0 +23 *1515:78 *3951:13 0.000245742 +24 *1744:125 *3951:13 2.41274e-06 +25 *1755:51 *3951:13 7.98171e-06 +26 *1786:65 *3951:14 0.000108729 +27 *1808:69 *23042:B 0.000104754 +28 *1808:78 *23042:B 6.69933e-05 +29 *2405:35 *3951:13 1.77472e-05 +30 *2878:44 *23042:B 0 +31 *3872:185 *23042:B 4.36442e-05 +32 *3887:30 *3951:14 0.00161994 +*RES +1 *23041:X *3951:13 32.2595 +2 *3951:13 *3951:14 53.9461 +3 *3951:14 *23042:B 35.2601 +*END + +*D_NET *3952 0.00114324 +*CONN +*I *23043:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23042:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23043:B1 0.000288858 +2 *23042:Y 0.000288858 +3 *23043:B1 *4290:19 0.000299704 +4 *23043:B1 *4392:20 0.000136736 +5 *23043:B1 *5671:106 0.00012908 +*RES +1 *23042:Y *23043:B1 33.6572 +*END + +*D_NET *3953 0.00385418 +*CONN +*I *23044:B I *D sky130_fd_sc_hd__nor2_1 +*I *23043:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23044:B 0 +2 *23043:Y 0.000787045 +3 *3953:10 0.000787045 +4 *3953:10 *4620:17 0.000140754 +5 *3953:10 *6001:49 0.00119626 +6 *3872:179 *3953:10 0.000515343 +7 *3881:18 *3953:10 0.000126533 +8 *3882:7 *3953:10 0.0003012 +*RES +1 *23043:Y *3953:10 44.3402 +2 *3953:10 *23044:B 9.24915 +*END + +*D_NET *3954 0.00197068 +*CONN +*I *23045:B I *D sky130_fd_sc_hd__and2_1 +*I *23044:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23045:B 0.000357277 +2 *23044:Y 0.000357277 +3 *23045:B *23841:A2 5.13937e-05 +4 *23045:B *4620:17 0.00065341 +5 *23045:B *6001:38 8.69154e-05 +6 *22970:B *23045:B 0.000277167 +7 *23623:A *23045:B 0.000123582 +8 *3857:78 *23045:B 6.3657e-05 +*RES +1 *23044:Y *23045:B 40.8502 +*END + +*D_NET *3955 0.00216815 +*CONN +*I *23046:B I *D sky130_fd_sc_hd__nand2_1 +*I *23045:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23046:B 0.000575799 +2 *23045:X 0.000575799 +3 *23046:B *23810:B 3.77519e-05 +4 *23046:B *23841:A2 2.89907e-05 +5 *23046:B *4009:95 9.75356e-05 +6 *23046:B *4446:16 0.000448048 +7 *23046:B *4620:17 0.00010814 +8 *23046:B *4620:26 1.24832e-05 +9 *23046:B *5921:26 0.000126652 +10 *3872:104 *23046:B 0.000156946 +*RES +1 *23045:X *23046:B 43.9317 +*END + +*D_NET *3956 0.00294269 +*CONN +*I *23047:B1_N I *D sky130_fd_sc_hd__o21ba_1 +*I *23046:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23047:B1_N 0.000525692 +2 *23046:Y 0.000525692 +3 *23047:B1_N *23816:C 0.000162131 +4 *23047:B1_N *3980:124 9.01192e-05 +5 *23047:B1_N *4635:18 0.000148702 +6 *23047:B1_N *4686:14 6.18934e-05 +7 *23047:B1_N *4727:19 6.51527e-05 +8 *23047:B1_N *5921:26 0.000114584 +9 *22965:A *23047:B1_N 0.000371888 +10 *23815:C_N *23047:B1_N 0.000390677 +11 *3869:12 *23047:B1_N 0.000480909 +12 *3872:87 *23047:B1_N 5.2504e-06 +*RES +1 *23046:Y *23047:B1_N 47.6336 +*END + +*D_NET *3957 0.000544654 +*CONN +*I *23048:B I *D sky130_fd_sc_hd__and2_1 +*I *23047:X O *D sky130_fd_sc_hd__o21ba_1 +*CAP +1 *23048:B 9.35514e-05 +2 *23047:X 9.35514e-05 +3 *23048:B *4228:45 0.000146645 +4 *23815:C_N *23048:B 0.000118485 +5 *2660:52 *23048:B 6.78596e-05 +6 *3870:8 *23048:B 2.4562e-05 +*RES +1 *23047:X *23048:B 30.8842 +*END + +*D_NET *3958 0.0288833 +*CONN +*I *23049:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23048:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23049:B1 0.000137865 +2 *23048:X 0.000920005 +3 *3958:33 0.00257559 +4 *3958:30 0.00243773 +5 *3958:28 0.00114679 +6 *3958:27 0.00317629 +7 *3958:20 0.00273314 +8 *3958:8 0.00162364 +9 *23049:B1 *23050:B 2.16355e-05 +10 *3958:8 *23672:A2 0.000195139 +11 *3958:8 *4146:86 4.18989e-05 +12 *3958:8 *4686:35 0.00159497 +13 *3958:8 *5082:99 1.04978e-05 +14 *3958:20 *23288:A 0.000107496 +15 *3958:20 *23505:B1 6.25467e-05 +16 *3958:20 *23654:C1 0.000262567 +17 *3958:20 *23675:A 0.000538461 +18 *3958:20 *4003:61 0.000347214 +19 *3958:20 *4124:20 0.000198378 +20 *3958:20 *4146:83 0.000341832 +21 *3958:20 *4197:7 1.03403e-05 +22 *3958:20 *4497:8 9.60366e-05 +23 *3958:20 *4620:34 1.30377e-05 +24 *3958:20 *4620:41 0.000706817 +25 *3958:20 *4665:45 2.41274e-06 +26 *3958:27 *23630:A 8.27055e-05 +27 *3958:27 *23653:A 0.000247443 +28 *3958:27 *4106:89 6.19901e-05 +29 *3958:27 *4106:95 0.000225004 +30 *3958:27 *5458:64 0.000347214 +31 *3958:28 *23681:B 9.19967e-05 +32 *3958:28 *4209:40 0.00190834 +33 *3958:28 *4664:40 0.000438049 +34 *3958:28 *4797:18 0.000196594 +35 *3958:28 *5458:65 0.000229889 +36 *3958:33 *23057:B1 0.000299855 +37 *3958:33 *23543:B 2.65831e-05 +38 *3958:33 *23602:B 4.41159e-05 +39 *3958:33 *23603:B_N 9.18559e-06 +40 *3958:33 *4031:29 3.81675e-05 +41 *3958:33 *4120:7 3.99086e-06 +42 *20793:B2 *3958:28 0.000123582 +43 *22946:A *3958:33 5.481e-05 +44 *23056:A1 *3958:33 1.92172e-05 +45 *23211:A *3958:33 5.95862e-05 +46 *23672:A1 *3958:20 5.94052e-06 +47 *24088:A0 *3958:27 5.481e-05 +48 *24088:A1 *3958:27 1.10126e-05 +49 *24089:A0 *3958:27 2.44829e-05 +50 *566:124 *3958:28 0.00231154 +51 *566:127 *3958:27 9.05137e-05 +52 *566:131 *3958:27 2.11376e-05 +53 *566:135 *3958:27 2.86013e-06 +54 *2660:32 *3958:8 0.000250361 +55 *3791:66 *3958:27 0.000356443 +56 *3838:30 *3958:33 0.000158371 +57 *3842:24 *23049:B1 0.000367283 +58 *3846:51 *3958:8 4.69806e-05 +59 *3846:55 *3958:8 1.41629e-05 +60 *3856:10 *3958:33 2.60837e-05 +61 *3868:151 *3958:8 6.08467e-05 +62 *3872:73 *3958:8 0.000640893 +63 *3877:20 *23049:B1 0.000367283 +64 *3877:120 *3958:8 0.000228467 +65 *3877:134 *3958:8 3.32084e-05 +*RES +1 *23048:X *3958:8 47.0388 +2 *3958:8 *3958:20 42.2952 +3 *3958:20 *3958:27 39.2471 +4 *3958:27 *3958:28 58.3063 +5 *3958:28 *3958:30 4.5 +6 *3958:30 *3958:33 47.1895 +7 *3958:33 *23049:B1 25.3723 +*END + +*D_NET *3959 0.00126153 +*CONN +*I *23050:B I *D sky130_fd_sc_hd__or2_1 +*I *23049:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23050:B 0.000271845 +2 *23049:Y 0.000271845 +3 *23050:B *23052:B 0.000357979 +4 *23049:B1 *23050:B 2.16355e-05 +5 *23050:A *23050:B 1.92481e-05 +6 *23051:A1 *23050:B 0.000158357 +7 *23051:A3 *23050:B 0.000160617 +*RES +1 *23049:Y *23050:B 26.4315 +*END + +*D_NET *3960 0.000715436 +*CONN +*I *23051:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23050:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23051:B1 7.4082e-05 +2 *23050:X 7.4082e-05 +3 *23050:A *23051:B1 0.000401588 +4 *23051:A1 *23051:B1 0.000165684 +*RES +1 *23050:X *23051:B1 21.9947 +*END + +*D_NET *3961 0.00141847 +*CONN +*I *23052:B I *D sky130_fd_sc_hd__or2_1 +*I *23051:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23052:B 0.00043911 +2 *23051:X 0.00043911 +3 *23050:A *23052:B 1.65872e-05 +4 *23050:B *23052:B 0.000357979 +5 *23051:A1 *23052:B 0.000165684 +*RES +1 *23051:X *23052:B 25.901 +*END + +*D_NET *3962 8.91456e-05 +*CONN +*I *23053:B I *D sky130_fd_sc_hd__or2_1 +*I *23052:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23053:B 2.85849e-05 +2 *23052:X 2.85849e-05 +3 *23053:B *23054:C1 1.03403e-05 +4 *3838:24 *23053:B 2.16355e-05 +*RES +1 *23052:X *23053:B 19.2217 +*END + +*D_NET *3963 0.00104364 +*CONN +*I *23054:C1 I *D sky130_fd_sc_hd__a311oi_1 +*I *23053:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23054:C1 0.000416614 +2 *23053:X 0.000416614 +3 *23054:C1 *4228:16 3.0902e-05 +4 *23052:A *23054:C1 1.43983e-05 +5 *23053:B *23054:C1 1.03403e-05 +6 *3838:24 *23054:C1 1.32369e-05 +7 *3856:10 *23054:C1 0.000141533 +*RES +1 *23053:X *23054:C1 34.7664 +*END + +*D_NET *3964 0.000696361 +*CONN +*I *23055:B I *D sky130_fd_sc_hd__nand2_1 +*I *23054:Y O *D sky130_fd_sc_hd__a311oi_1 +*CAP +1 *23055:B 0.000252514 +2 *23054:Y 0.000252514 +3 *23054:A3 *23055:B 0 +4 *23054:B1 *23055:B 0.000158921 +5 *3785:20 *23055:B 3.24105e-05 +*RES +1 *23054:Y *23055:B 25.5393 +*END + +*D_NET *3965 0.000657575 +*CONN +*I *23056:B1_N I *D sky130_fd_sc_hd__o21ba_1 +*I *23055:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23056:B1_N 0.00024251 +2 *23055:Y 0.00024251 +3 *23056:B1_N *4091:8 0.000122083 +4 *22915:A *23056:B1_N 4.52469e-05 +5 *3791:273 *23056:B1_N 5.22654e-06 +*RES +1 *23055:Y *23056:B1_N 32.1327 +*END + +*D_NET *3966 0.00106447 +*CONN +*I *23057:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23056:X O *D sky130_fd_sc_hd__o21ba_1 +*CAP +1 *23057:B1 0.00026941 +2 *23056:X 0.00026941 +3 *23057:B1 *4097:8 0.000112897 +4 *3868:38 *23057:B1 0.000112897 +5 *3958:33 *23057:B1 0.000299855 +*RES +1 *23056:X *23057:B1 33.242 +*END + +*D_NET *3967 0.000622099 +*CONN +*I *23058:B I *D sky130_fd_sc_hd__or2_1 +*I *23057:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23058:B 0.000171238 +2 *23057:Y 0.000171238 +3 *3082:31 *23058:B 0.000122516 +4 *3835:27 *23058:B 0.000157107 +*RES +1 *23057:Y *23058:B 22.5493 +*END + +*D_NET *3968 0.00122755 +*CONN +*I *23059:C I *D sky130_fd_sc_hd__or3_1 +*I *23058:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23059:C 0.000486107 +2 *23058:X 0.000486107 +3 *23059:C *4673:8 0.000125876 +4 *23059:C *4697:16 0.000129458 +*RES +1 *23058:X *23059:C 38.2334 +*END + +*D_NET *3969 0.000962119 +*CONN +*I *23060:B I *D sky130_fd_sc_hd__or2_1 +*I *23059:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23060:B 0.000378206 +2 *23059:X 0.000378206 +3 *23060:B *4457:10 6.03102e-05 +4 *23060:B *4611:12 0.000145396 +*RES +1 *23059:X *23060:B 34.2118 +*END + +*D_NET *3970 0.00077294 +*CONN +*I *23061:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23060:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23061:A 0.000222501 +2 *23060:X 0.000222501 +3 *23061:A *23600:A 0.000160617 +4 *23061:A *23602:A 0.000154145 +5 *24086:A1 *23061:A 1.31764e-05 +*RES +1 *23060:X *23061:A 24.0926 +*END + +*D_NET *3971 0.00486432 +*CONN +*I *23204:A I *D sky130_fd_sc_hd__or2b_1 +*I *23603:A I *D sky130_fd_sc_hd__or2b_1 +*I *23631:B I *D sky130_fd_sc_hd__or3_1 +*I *23062:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23204:A 0 +2 *23603:A 9.51392e-05 +3 *23631:B 8.4357e-05 +4 *23062:Y 0.000214238 +5 *3971:12 0.000607542 +6 *3971:8 0.000642284 +7 *23631:B *4536:9 1.92926e-05 +8 *3971:8 *23062:B1 1.83795e-06 +9 *3971:8 *24876:CLK 0.000509768 +10 *3971:8 *4211:11 0.000197488 +11 *3971:8 *4211:31 0.000386187 +12 *3971:8 *4371:30 2.2022e-05 +13 *3971:12 *23412:A 5.04734e-05 +14 *3971:12 *4209:27 0.000778485 +15 *3971:12 *4209:40 8.93566e-05 +16 *3971:12 *4211:11 0.000937539 +17 *3971:12 *4371:30 3.92659e-05 +18 *3971:12 *4371:36 7.06474e-05 +19 *3971:12 *4664:40 0 +20 *3971:12 *5925:17 0.0001184 +*RES +1 *23062:Y *3971:8 24.6096 +2 *3971:8 *3971:12 25.8488 +3 *3971:12 *23631:B 10.5271 +4 *3971:12 *23603:A 10.5271 +5 *3971:8 *23204:A 13.7491 +*END + +*D_NET *3972 0.00211032 +*CONN +*I *23064:C I *D sky130_fd_sc_hd__or3_1 +*I *23457:A_N I *D sky130_fd_sc_hd__and4b_1 +*I *23196:C I *D sky130_fd_sc_hd__or3_1 +*I *23063:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23064:C 0.000102433 +2 *23457:A_N 0.000141625 +3 *23196:C 9.36247e-05 +4 *23063:X 0.000114467 +5 *3972:15 0.000296677 +6 *3972:7 0.00026071 +7 *23064:C *4014:8 5.44826e-05 +8 *23064:C *5458:114 2.65831e-05 +9 *23457:A_N *4225:20 3.01683e-06 +10 *3972:15 *4014:8 9.22013e-06 +11 *23063:A *23196:C 8.52968e-05 +12 *23063:A *3972:15 8.01837e-05 +13 *23457:D *23457:A_N 7.82634e-05 +14 *23457:D *3972:7 0.000154145 +15 *23496:C *23196:C 5.77352e-05 +16 *3078:19 *23457:A_N 0.000406808 +17 *3792:60 *23196:C 0 +18 *3792:60 *3972:15 0 +19 *3842:11 *3972:15 4.3116e-06 +20 *3866:22 *23064:C 0.000132114 +21 *3866:22 *3972:15 8.62625e-06 +*RES +1 *23063:X *3972:7 15.5817 +2 *3972:7 *23196:C 16.4116 +3 *3972:7 *3972:15 1.832 +4 *3972:15 *23457:A_N 18.9335 +5 *3972:15 *23064:C 17.135 +*END + +*D_NET *3973 0.000278195 +*CONN +*I *23065:A I *D sky130_fd_sc_hd__buf_12 +*I *23064:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23065:A 7.73634e-05 +2 *23064:X 7.73634e-05 +3 *23065:A *4126:21 6.17339e-05 +4 *3864:12 *23065:A 6.17339e-05 +*RES +1 *23064:X *23065:A 30.1608 +*END + +*D_NET *3974 0.0968151 +*CONN +*I *23495:B I *D sky130_fd_sc_hd__nor2_1 +*I *23066:B I *D sky130_fd_sc_hd__or2_1 +*I *23468:B I *D sky130_fd_sc_hd__or3_1 +*I *23285:B I *D sky130_fd_sc_hd__or2_1 +*I *23278:B I *D sky130_fd_sc_hd__nor2_1 +*I *23230:B I *D sky130_fd_sc_hd__nor2_1 +*I *23246:B I *D sky130_fd_sc_hd__nor2_1 +*I *23252:B I *D sky130_fd_sc_hd__nor2_1 +*I *23258:B I *D sky130_fd_sc_hd__nor2_1 +*I *23270:B I *D sky130_fd_sc_hd__nor2_1 +*I *23482:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23228:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23465:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *23396:B I *D sky130_fd_sc_hd__or3_1 +*I *23461:A2 I *D sky130_fd_sc_hd__o32a_2 +*I *23065:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23495:B 0 +2 *23066:B 0 +3 *23468:B 0.000177322 +4 *23285:B 0.000943241 +5 *23278:B 0.000374616 +6 *23230:B 6.49731e-05 +7 *23246:B 0.000229688 +8 *23252:B 0 +9 *23258:B 0 +10 *23270:B 0.00112963 +11 *23482:A2 8.97352e-05 +12 *23228:A 2.8396e-05 +13 *23465:A1 0.000173488 +14 *23396:B 0.000505567 +15 *23461:A2 4.50457e-05 +16 *23065:X 0 +17 *3974:219 0.00143713 +18 *3974:162 0.00130572 +19 *3974:154 0.000880413 +20 *3974:146 0.00215594 +21 *3974:134 0.00187033 +22 *3974:126 0.00166997 +23 *3974:112 0.0024007 +24 *3974:107 0.00662339 +25 *3974:83 0.00819131 +26 *3974:71 0.00341676 +27 *3974:60 0.00329433 +28 *3974:49 0.00393268 +29 *3974:45 0.00107746 +30 *3974:41 8.88616e-05 +31 *3974:39 0.00197923 +32 *3974:38 0.0025574 +33 *3974:33 0.00106761 +34 *3974:11 0.00185701 +35 *3974:4 0.00179584 +36 *23230:B *23243:B 0 +37 *23230:B *4139:11 2.57847e-05 +38 *23246:B *23253:A 2.16355e-05 +39 *23246:B *23345:B 6.92705e-05 +40 *23246:B *23690:A 1.94512e-05 +41 *23246:B *4126:209 4.80635e-06 +42 *23246:B *4153:11 6.3657e-05 +43 *23246:B *4155:7 5.19758e-05 +44 *23246:B *4156:7 1.34424e-05 +45 *23246:B *4156:11 1.94584e-05 +46 *23270:B *23269:B 6.11872e-05 +47 *23270:B *23270:A 0.000133808 +48 *23270:B *23271:A 0.000158451 +49 *23270:B *4013:42 3.7516e-05 +50 *23270:B *4179:5 6.49003e-05 +51 *23278:B *23489:B 0.000529564 +52 *23278:B *3999:35 0.000627109 +53 *23278:B *4861:14 0.000627109 +54 *23285:B *23089:A 4.51176e-05 +55 *23285:B *23287:A 0.000156955 +56 *23285:B *23739:A1 0 +57 *23285:B *4577:17 0.000247443 +58 *23396:B *23396:A 0.000136314 +59 *23396:B *5456:151 6.44964e-06 +60 *23396:B *5624:18 0 +61 *23461:A2 *5624:18 0 +62 *23465:A1 *23465:A2 9.75148e-06 +63 *23465:A1 *4519:14 5.98627e-05 +64 *23468:B *23468:A 1.21329e-05 +65 *23468:B *23469:A 0.000256037 +66 *23468:B *23469:B 6.04708e-05 +67 *23468:B *4374:84 8.24629e-05 +68 *23468:B *4375:9 5.51483e-06 +69 *3974:11 *23316:A 6.85321e-05 +70 *3974:11 *23330:A 0.00118328 +71 *3974:11 *23461:A1 6.23875e-05 +72 *3974:11 *23461:B2 2.1249e-05 +73 *3974:11 *4003:9 0.000128804 +74 *3974:11 *4225:11 0.000332124 +75 *3974:33 *23300:A2 0.00116248 +76 *3974:33 *23682:A 0 +77 *3974:33 *4003:9 0.00051602 +78 *3974:33 *4106:11 0.000373047 +79 *3974:33 *4106:24 6.08697e-06 +80 *3974:33 *4116:20 0.000167076 +81 *3974:33 *4230:20 0.000155155 +82 *3974:38 *23682:A 0.00015511 +83 *3974:38 *4401:15 8.93279e-05 +84 *3974:38 *4402:8 0.00057462 +85 *3974:39 *23195:A 0.000135188 +86 *3974:39 *23499:A 0 +87 *3974:39 *23499:B 0.000119674 +88 *3974:39 *23705:C 3.14544e-05 +89 *3974:39 *23706:A 0 +90 *3974:39 *23767:A 0.000214073 +91 *3974:39 *23767:C 0.000125661 +92 *3974:39 *23840:A 3.94229e-05 +93 *3974:39 *3981:23 0 +94 *3974:39 *3981:38 0 +95 *3974:39 *4212:8 0.000971528 +96 *3974:39 *4212:17 0.000161966 +97 *3974:39 *4214:35 5.97975e-05 +98 *3974:39 *4363:48 0 +99 *3974:39 *4665:82 2.25013e-05 +100 *3974:39 *4699:8 1.43055e-05 +101 *3974:39 *4873:54 0 +102 *3974:39 *5452:70 0 +103 *3974:45 *23199:A 4.58003e-05 +104 *3974:45 *4106:47 1.98996e-05 +105 *3974:45 *4107:5 0.00021569 +106 *3974:49 *23195:B 0.000173904 +107 *3974:49 *23546:B 0.000722312 +108 *3974:49 *23547:A 0.00152004 +109 *3974:49 *3981:23 3.04443e-05 +110 *3974:49 *4099:17 0.000225961 +111 *3974:49 *4214:35 1.03743e-05 +112 *3974:49 *4214:40 0.00194525 +113 *3974:49 *4452:10 9.78551e-06 +114 *3974:60 *23227:C 0.000584282 +115 *3974:60 *23239:A3 1.00981e-05 +116 *3974:60 *23239:B1 3.81056e-05 +117 *3974:60 *23503:A 0 +118 *3974:60 *23547:A 1.2366e-05 +119 *3974:60 *23663:A 1.25791e-05 +120 *3974:60 *4001:26 0.00059476 +121 *3974:60 *4010:10 1.5714e-05 +122 *3974:60 *4031:53 1.65885e-05 +123 *3974:60 *4452:10 0.000330087 +124 *3974:60 *4519:14 3.13254e-05 +125 *3974:71 *23465:A2 7.14746e-05 +126 *3974:71 *23469:A 9.2346e-06 +127 *3974:71 *23835:A1 0.000438293 +128 *3974:71 *3980:26 0.000581326 +129 *3974:71 *4241:16 4.09467e-05 +130 *3974:83 *23469:A 1.09738e-05 +131 *3974:83 *23686:C1 0.000134461 +132 *3974:83 *23835:A1 3.55968e-05 +133 *3974:83 *3980:42 0.000705743 +134 *3974:83 *3996:70 0.000133823 +135 *3974:83 *4143:36 2.66594e-05 +136 *3974:83 *4147:13 0.000133823 +137 *3974:83 *4241:16 7.82239e-06 +138 *3974:83 *4376:8 0.000116719 +139 *3974:83 *5918:14 0 +140 *3974:107 *23108:A 8.73655e-05 +141 *3974:107 *23519:D1 0.000129054 +142 *3974:107 *23643:A1 1.5714e-05 +143 *3974:107 *23666:C1 0.000903355 +144 *3974:107 *23852:B 0.001 +145 *3974:107 *4001:126 0.00013489 +146 *3974:107 *4137:28 0.000138148 +147 *3974:107 *4430:10 3.77378e-05 +148 *3974:107 *4540:19 6.44502e-05 +149 *3974:107 *4570:13 0.000789947 +150 *3974:107 *4619:26 0.000791551 +151 *3974:107 *4627:23 2.15344e-05 +152 *3974:107 *4664:33 1.30034e-05 +153 *3974:107 *4745:43 5.63702e-06 +154 *3974:107 *5918:14 0 +155 *3974:107 *5924:20 0.0016715 +156 *3974:112 *23230:A 1.43848e-05 +157 *3974:112 *23243:A 0.00131093 +158 *3974:112 *23243:B 1.9503e-05 +159 *3974:112 *3980:147 8.29362e-05 +160 *3974:112 *4139:11 1.75637e-06 +161 *3974:112 *4430:10 0.000197323 +162 *3974:126 *23342:B 6.08467e-05 +163 *3974:126 *23345:B 1.61631e-05 +164 *3974:126 *23348:A 0 +165 *3974:126 *23348:B 7.68538e-06 +166 *3974:126 *23348:D 1.65872e-05 +167 *3974:126 *4231:11 2.60879e-06 +168 *3974:126 *4254:30 0.000252327 +169 *3974:126 *4254:48 0.000651884 +170 *3974:126 *4326:77 1.69574e-05 +171 *3974:126 *5682:35 0.000107496 +172 *3974:126 *5800:42 0.000102058 +173 *3974:126 *5926:35 0.00014663 +174 *3974:134 *23477:B 0.000194356 +175 *3974:134 *23690:A 0.000156823 +176 *3974:146 *23250:A 6.64392e-05 +177 *3974:146 *23252:A 0.000102687 +178 *3974:146 *23255:B 0.000161452 +179 *3974:146 *4159:8 2.57986e-05 +180 *3974:146 *4161:8 2.16355e-05 +181 *3974:146 *4597:29 1.61631e-05 +182 *3974:146 *5082:62 0.000289065 +183 *3974:146 *5929:37 0.000878306 +184 *3974:154 *23258:A 6.50727e-05 +185 *3974:154 *4013:42 7.92757e-06 +186 *3974:154 *4137:7 0.000154145 +187 *3974:154 *4597:29 4.77408e-05 +188 *3974:162 *4013:42 1.82679e-05 +189 *3974:219 *23382:A 0.000154145 +190 *3974:219 *3980:147 0.000894828 +191 *3974:219 *4008:26 0.000156946 +192 *3974:219 *4022:38 2.8182e-06 +193 *3974:219 *4106:169 0.000173131 +194 *3974:219 *4290:19 4.97617e-05 +195 *3974:219 *4392:20 0.000205329 +196 *3974:219 *4600:22 0.000107496 +197 *3974:219 *5592:19 0.000250254 +198 *3974:219 *5671:106 0.000201904 +199 *22996:B *3974:83 0 +200 *22998:A *3974:60 0.000488752 +201 *23002:A *3974:60 2.45009e-05 +202 *23003:A *3974:60 0.000158371 +203 *23003:D_N *3974:60 0.000111802 +204 *23239:A2 *3974:60 3.99086e-06 +205 *23345:A *3974:126 0.000107496 +206 *23451:A2 *3974:60 5.32361e-06 +207 *23579:A3 *23482:A2 0.000178468 +208 *23597:B1 *3974:60 0 +209 *657:171 *3974:107 0.000108997 +210 *1427:57 *3974:146 0.000209477 +211 *1427:63 *23270:B 0.000134235 +212 *1427:63 *3974:146 4.12408e-05 +213 *1427:63 *3974:154 7.14343e-05 +214 *1438:92 *3974:219 0.00140025 +215 *2612:62 *23270:B 0.000835168 +216 *2660:52 *3974:107 0.00059922 +217 *3781:39 *3974:60 0.000368292 +218 *3782:53 *3974:60 0.000316973 +219 *3791:42 *3974:60 5.67842e-06 +220 *3791:108 *23278:B 0.000177787 +221 *3791:118 *23278:B 0.000366114 +222 *3800:57 *23396:B 8.50796e-05 +223 *3800:57 *23461:A2 3.49272e-05 +224 *3827:41 *3974:83 5.76959e-05 +225 *3829:20 *3974:49 0.000164915 +226 *3829:20 *3974:60 0.000370655 +227 *3833:34 *3974:83 0.00134139 +228 *3833:56 *3974:83 0.00160983 +229 *3833:56 *3974:107 0.000859318 +230 *3840:147 *3974:126 0.000953237 +231 *3842:141 *3974:107 0.00023094 +232 *3860:15 *3974:38 5.75554e-05 +233 *3862:113 *3974:83 3.91823e-05 +234 *3868:151 *3974:107 6.33324e-06 +235 *3870:47 *23285:B 4.15201e-05 +236 *3888:24 *23482:A2 0.000173544 +237 *3898:37 *3974:107 4.10791e-05 +238 *3898:37 *3974:112 0.000193965 +239 *3902:50 *3974:126 1.55462e-05 +240 *3902:57 *3974:134 0.000284048 +241 *3904:21 *3974:134 0.000253758 +242 *3905:19 *3974:60 4.17605e-05 +243 *3911:14 *3974:83 2.53624e-06 +244 *3917:90 *3974:126 7.98171e-06 +245 *3925:40 *3974:60 1.9101e-05 +246 *3926:20 *3974:83 0.000126641 +*RES +1 *23065:X *3974:4 9.24915 +2 *3974:4 *3974:11 28.9749 +3 *3974:11 *23461:A2 15.1659 +4 *3974:11 *23396:B 22.957 +5 *3974:4 *3974:33 30.4091 +6 *3974:33 *3974:38 20.7764 +7 *3974:38 *3974:39 53.3233 +8 *3974:39 *3974:41 4.5 +9 *3974:41 *3974:45 7.62 +10 *3974:45 *3974:49 49.9035 +11 *3974:49 *3974:60 42.3471 +12 *3974:60 *23465:A1 13.3243 +13 *3974:60 *3974:71 15.0523 +14 *3974:71 *3974:83 16.0819 +15 *3974:83 *3974:107 44.9856 +16 *3974:107 *3974:112 29.2367 +17 *3974:112 *3974:126 46.8236 +18 *3974:126 *3974:134 25.7037 +19 *3974:134 *3974:146 46.272 +20 *3974:146 *3974:154 14.8055 +21 *3974:154 *23228:A 10.2378 +22 *3974:154 *3974:162 1.278 +23 *3974:162 *23482:A2 21.7421 +24 *3974:162 *23270:B 32.0843 +25 *3974:146 *23258:B 9.24915 +26 *3974:134 *23252:B 9.24915 +27 *3974:126 *23246:B 14.9881 +28 *3974:112 *23230:B 11.3711 +29 *3974:107 *3974:219 45.6689 +30 *3974:219 *23278:B 35.7648 +31 *3974:83 *23285:B 24.512 +32 *3974:71 *23468:B 19.681 +33 *3974:41 *23066:B 9.24915 +34 *3974:33 *23495:B 9.24915 +*END + +*D_NET *3975 0.00131784 +*CONN +*I *23302:A I *D sky130_fd_sc_hd__inv_2 +*I *23200:A I *D sky130_fd_sc_hd__and3_1 +*I *23066:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23302:A 0.000150851 +2 *23200:A 0 +3 *23066:X 0.000132602 +4 *3975:5 0.000283453 +5 *23302:A *23200:C 0.00010676 +6 *23302:A *23203:A 5.17071e-05 +7 *23302:A *23203:B 0.000260388 +8 *23302:A *23204:B_N 6.08467e-05 +9 *23302:A *4106:47 7.98425e-06 +10 *3975:5 *23199:B 0.000160617 +11 *3975:5 *23200:C 6.08467e-05 +12 *3975:5 *23203:B 1.41976e-05 +13 *3975:5 *4106:47 2.7585e-05 +*RES +1 *23066:X *3975:5 12.7456 +2 *3975:5 *23200:A 9.24915 +3 *3975:5 *23302:A 14.4335 +*END + +*D_NET *3976 0.00512091 +*CONN +*I *23200:B I *D sky130_fd_sc_hd__and3_1 +*I *23304:A I *D sky130_fd_sc_hd__inv_2 +*I *23067:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23200:B 0.000219432 +2 *23304:A 0 +3 *23067:X 0.00114447 +4 *3976:17 0.0013639 +5 *23200:B *4209:15 0.000153225 +6 *23200:B *5801:92 0.000153225 +7 *3976:17 *23184:A 3.07561e-05 +8 *3976:17 *23193:A2 6.73186e-05 +9 *3976:17 *23195:A 0.000266832 +10 *3976:17 *23656:A 0.000107496 +11 *3976:17 *23656:B 0.000154145 +12 *3976:17 *4214:35 2.11063e-05 +13 *3976:17 *4560:23 0.000415232 +14 *22914:A *3976:17 5.51483e-06 +15 *23193:A1 *3976:17 0.00079905 +16 *3824:7 *3976:17 0.000107496 +17 *3868:33 *3976:17 0.000111708 +*RES +1 *23067:X *3976:17 39.8006 +2 *3976:17 *23304:A 9.24915 +3 *3976:17 *23200:B 24.4081 +*END + +*D_NET *3977 0.00189666 +*CONN +*I *23069:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *23068:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23069:A 0.00053172 +2 *23068:X 0.00053172 +3 *23069:A *4116:20 5.07959e-06 +4 *23069:A *4116:32 0 +5 *23069:A *4127:18 4.11454e-05 +6 *23069:A *4560:23 0.000270281 +7 *23069:A *5629:85 7.76194e-05 +8 *22913:A *23069:A 1.94997e-06 +9 *22913:B *23069:A 2.10445e-05 +10 *22914:A *23069:A 0.000158371 +11 *22941:B *23069:A 6.3657e-05 +12 *23655:B *23069:A 1.9101e-05 +13 *3784:22 *23069:A 1.9101e-05 +14 *3838:115 *23069:A 0.000155872 +*RES +1 *23068:X *23069:A 42.327 +*END + +*D_NET *3978 0.0146068 +*CONN +*I *23193:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23194:B I *D sky130_fd_sc_hd__nor2_1 +*I *23212:B I *D sky130_fd_sc_hd__nor2_1 +*I *23597:B2 I *D sky130_fd_sc_hd__o22ai_4 +*I *23597:A2 I *D sky130_fd_sc_hd__o22ai_4 +*I *23554:B I *D sky130_fd_sc_hd__nor2_1 +*I *23189:B I *D sky130_fd_sc_hd__nor2_1 +*I *23072:A I *D sky130_fd_sc_hd__nor2_1 +*I *23069:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23193:A2 0.00031786 +2 *23194:B 9.63064e-05 +3 *23212:B 1.90477e-05 +4 *23597:B2 7.05364e-05 +5 *23597:A2 0 +6 *23554:B 0 +7 *23189:B 0.000134488 +8 *23072:A 2.42088e-05 +9 *23069:X 0.000826873 +10 *3978:72 0.000231194 +11 *3978:62 0.000172952 +12 *3978:52 0.00065816 +13 *3978:51 0.00126778 +14 *3978:29 0.000921504 +15 *3978:24 0.000506367 +16 *3978:21 0.000981281 +17 *3978:9 0.0012505 +18 *23072:A *23072:B 1.91246e-05 +19 *23072:A *4097:8 1.5714e-05 +20 *23189:B *4009:116 4.3116e-06 +21 *23189:B *4461:9 0.00014012 +22 *23193:A2 *23193:B1 1.66771e-05 +23 *23193:A2 *4034:33 8.76257e-05 +24 *23193:A2 *4214:35 6.02064e-05 +25 *23194:B *4538:15 0.000453443 +26 *23194:B *4550:11 9.31436e-05 +27 *23212:B *23294:C 0 +28 *23597:B2 *4214:40 0.000111708 +29 *3978:9 *5456:140 0.000134027 +30 *3978:21 *4034:33 3.11219e-05 +31 *3978:24 *4673:8 0.000599368 +32 *3978:29 *23677:A 8.64186e-05 +33 *3978:29 *4673:8 0.000191541 +34 *3978:51 *23294:B 0.000171572 +35 *3978:51 *23294:C 2.85602e-05 +36 *3978:51 *23751:A1 2.41274e-06 +37 *3978:51 *4120:70 0.000323132 +38 *3978:51 *4561:14 0.000322054 +39 *3978:51 *4654:7 2.38934e-06 +40 *3978:51 *4697:16 0.000283886 +41 *3978:52 *23297:A1 5.68225e-06 +42 *3978:52 *23751:A2 6.28168e-05 +43 *3978:52 *4009:116 0.000152689 +44 *3978:52 *4020:31 0.00106006 +45 *22914:A *23072:A 6.08467e-05 +46 *22915:A *3978:29 2.42138e-05 +47 *23193:A1 *23072:A 6.50586e-05 +48 *23194:A *23194:B 0.000211478 +49 *23212:A *23212:B 4.80635e-06 +50 *23212:A *3978:29 4.31703e-05 +51 *23212:A *3978:51 8.3124e-05 +52 *23305:B *3978:24 2.7257e-05 +53 *23554:A *3978:62 6.50727e-05 +54 *23554:A *3978:72 4.06108e-05 +55 *23597:A1 *3978:72 3.33645e-05 +56 *23597:B1 *23597:B2 0.000207266 +57 *23597:B1 *3978:72 4.97617e-05 +58 *23751:B1 *3978:51 6.50727e-05 +59 *1809:160 *3978:21 2.31202e-05 +60 *3824:11 *3978:24 6.74667e-05 +61 *3824:19 *23193:A2 2.05181e-05 +62 *3835:27 *23189:B 6.18397e-05 +63 *3835:27 *3978:52 0.000224547 +64 *3856:39 *3978:9 0.000138703 +65 *3857:18 *3978:51 6.07511e-05 +66 *3860:58 *23597:B2 4.73598e-05 +67 *3860:58 *3978:62 1.92336e-05 +68 *3860:58 *3978:72 0.000453304 +69 *3872:12 *23189:B 2.35832e-05 +70 *3872:12 *3978:24 0.000102566 +71 *3872:12 *3978:29 4.8794e-05 +72 *3872:12 *3978:51 0.000283886 +73 *3872:12 *3978:52 4.37999e-05 +74 *3976:17 *23193:A2 6.73186e-05 +*RES +1 *23069:X *3978:9 21.076 +2 *3978:9 *23072:A 18.2199 +3 *3978:9 *3978:21 0.891277 +4 *3978:21 *3978:24 14.1287 +5 *3978:24 *3978:29 10.3802 +6 *3978:29 *3978:51 36.8963 +7 *3978:51 *3978:52 20.9336 +8 *3978:52 *23189:B 17.6574 +9 *3978:52 *3978:62 5.2234 +10 *3978:62 *23554:B 9.24915 +11 *3978:62 *3978:72 6.31766 +12 *3978:72 *23597:A2 9.24915 +13 *3978:72 *23597:B2 12.191 +14 *3978:29 *23212:B 9.82786 +15 *3978:24 *23194:B 18.9094 +16 *3978:21 *23193:A2 19.991 +*END + +*D_NET *3979 0.000549098 +*CONN +*I *23071:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *23070:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23071:A 0.000110614 +2 *23070:X 0.000110614 +3 *23071:A *3980:7 6.08467e-05 +4 *23071:A *4882:15 0.000111802 +5 *23071:A *5624:67 7.58739e-05 +6 *3866:35 *23071:A 7.93468e-05 +*RES +1 *23070:X *23071:A 31.854 +*END + +*D_NET *3980 0.0915857 +*CONN +*I *23121:B I *D sky130_fd_sc_hd__or2_1 +*I *23072:B I *D sky130_fd_sc_hd__nor2_1 +*I *23185:B I *D sky130_fd_sc_hd__or2_1 +*I *23562:A3 I *D sky130_fd_sc_hd__a311o_1 +*I *23281:A I *D sky130_fd_sc_hd__nor2_1 +*I *23231:A I *D sky130_fd_sc_hd__inv_2 +*I *23274:A I *D sky130_fd_sc_hd__nor2_1 +*I *23853:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *23255:A I *D sky130_fd_sc_hd__nor2_1 +*I *23266:A I *D sky130_fd_sc_hd__nor2_1 +*I *23260:A I *D sky130_fd_sc_hd__nor2_1 +*I *23249:A I *D sky130_fd_sc_hd__or2_1 +*I *23243:A I *D sky130_fd_sc_hd__nor2_1 +*I *23834:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23470:A1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23071:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *23121:B 8.43999e-05 +2 *23072:B 0.000846951 +3 *23185:B 0.000100057 +4 *23562:A3 0.000103223 +5 *23281:A 0.000434437 +6 *23231:A 0.000859386 +7 *23274:A 0.000799797 +8 *23853:A1 2.7521e-05 +9 *23255:A 0 +10 *23266:A 0.000494762 +11 *23260:A 0 +12 *23249:A 6.55792e-05 +13 *23243:A 0.000488043 +14 *23834:A2 0.00097184 +15 *23470:A1 0 +16 *23071:X 0 +17 *3980:283 0.00114774 +18 *3980:282 0.00168314 +19 *3980:201 0.000837841 +20 *3980:195 0.00106462 +21 *3980:180 0.00216215 +22 *3980:168 0.00198256 +23 *3980:147 0.00178406 +24 *3980:134 0.00209246 +25 *3980:126 0.002116 +26 *3980:124 0.00162733 +27 *3980:108 0.00146817 +28 *3980:105 0.00106795 +29 *3980:93 0.0020153 +30 *3980:53 0.0011338 +31 *3980:50 0.000212689 +32 *3980:49 0.00027115 +33 *3980:42 0.00202383 +34 *3980:26 0.0022836 +35 *3980:8 0.00274798 +36 *3980:7 0.00188313 +37 *3980:4 0.00194383 +38 *23072:B *23404:A 8.18934e-05 +39 *23072:B *4097:8 3.29175e-05 +40 *23072:B *4106:29 2.04459e-05 +41 *23072:B *4500:35 8.50941e-05 +42 *23121:B *4302:8 0.000254458 +43 *23185:B *4302:8 0.000151741 +44 *23185:B *4560:23 5.97411e-05 +45 *23231:A *23636:B1 0.000345048 +46 *23231:A *23646:B1 0.000456209 +47 *23231:A *4140:5 6.08467e-05 +48 *23231:A *4702:16 0.00120596 +49 *23243:A *23243:B 8.53579e-05 +50 *23243:A *4022:50 7.92757e-06 +51 *23243:A *4022:67 2.33852e-05 +52 *23243:A *4694:10 1.59782e-05 +53 *23249:A *4019:43 0.000296893 +54 *23266:A *23260:B 0.000113968 +55 *23266:A *23266:B 6.08467e-05 +56 *23266:A *23272:A 6.08467e-05 +57 *23266:A *4012:25 0.00038031 +58 *23266:A *4106:245 0.000544481 +59 *23266:A *6001:62 0.000152743 +60 *23274:A *23539:A1 0.000158451 +61 *23274:A *23669:B 0.000256037 +62 *23274:A *23670:A 7.50179e-05 +63 *23274:A *23670:D 7.18638e-05 +64 *23274:A *3999:61 4.21439e-05 +65 *23274:A *4290:19 5.04829e-06 +66 *23274:A *4348:8 2.37827e-05 +67 *23274:A *4514:29 8.62625e-06 +68 *23274:A *4514:47 0.000111086 +69 *23274:A *4574:23 5.61389e-05 +70 *23274:A *4575:13 0.000547336 +71 *23274:A *4685:12 0.000386813 +72 *23274:A *4870:8 0.000436555 +73 *23274:A *5592:19 0.000154145 +74 *23281:A *23283:A 3.79808e-05 +75 *23281:A *23283:B 3.75603e-05 +76 *23281:A *4077:59 0.000250244 +77 *23281:A *4665:37 0.000489302 +78 *23562:A3 *23563:C1 3.82228e-05 +79 *23562:A3 *4032:7 3.79009e-05 +80 *23834:A2 *23107:B 7.5301e-06 +81 *23834:A2 *23470:D1 0.000163418 +82 *23834:A2 *23834:A1 2.95884e-05 +83 *23834:A2 *23834:B2 0.000108707 +84 *23834:A2 *23835:A1 8.33721e-06 +85 *23834:A2 *23835:A2 4.57412e-05 +86 *23834:A2 *23835:C1 1.92368e-05 +87 *23834:A2 *4134:78 1.59917e-05 +88 *23834:A2 *4134:91 5.04829e-06 +89 *23834:A2 *4241:46 0.000356662 +90 *23834:A2 *4336:20 0 +91 *23834:A2 *4367:15 0.000359217 +92 *23853:A1 *4435:11 2.99291e-05 +93 *23853:A1 *4648:12 8.41713e-05 +94 *3980:7 *4882:15 0.000270109 +95 *3980:8 *23235:B 6.63327e-05 +96 *3980:8 *23235:C_N 0.0010301 +97 *3980:8 *23337:A3 4.85808e-05 +98 *3980:8 *5624:67 0.000420036 +99 *3980:8 *5923:20 0.000124228 +100 *3980:26 *23124:A1 0.000107496 +101 *3980:26 *23124:A2 0.000258142 +102 *3980:26 *23124:B1 5.24081e-05 +103 *3980:26 *23469:A 0.000223205 +104 *3980:26 *4033:8 6.11359e-06 +105 *3980:26 *4037:13 3.96379e-05 +106 *3980:26 *4241:16 2.76334e-05 +107 *3980:26 *4368:8 0.000443274 +108 *3980:26 *5923:20 0.00078498 +109 *3980:42 *4143:36 0.00153052 +110 *3980:42 *4871:11 0 +111 *3980:49 *23107:B 0.000403493 +112 *3980:53 *23107:B 0.000338754 +113 *3980:93 *23107:B 3.31745e-05 +114 *3980:93 *23590:A2 0.00133692 +115 *3980:93 *4016:7 6.08467e-05 +116 *3980:93 *4077:5 0.000114584 +117 *3980:93 *4496:26 0.000118451 +118 *3980:93 *4529:26 0.000583373 +119 *3980:93 *4871:11 0.000306993 +120 *3980:105 *23287:A 0.000101148 +121 *3980:105 *4020:42 0.000186445 +122 *3980:105 *4137:47 2.25583e-07 +123 *3980:105 *4577:17 0.000177787 +124 *3980:108 *3999:16 0.000236506 +125 *3980:108 *4529:26 0.000243648 +126 *3980:124 *23587:A 4.47777e-05 +127 *3980:124 *23651:A1 0.000122083 +128 *3980:124 *23651:B1 3.63738e-05 +129 *3980:124 *23733:D 1.41976e-05 +130 *3980:124 *23816:C 0.000127911 +131 *3980:124 *3999:16 0.000218518 +132 *3980:124 *3999:35 0.000128833 +133 *3980:124 *4009:72 3.77568e-05 +134 *3980:124 *4228:45 5.69394e-05 +135 *3980:124 *4490:8 1.70868e-05 +136 *3980:124 *4529:26 0.000204446 +137 *3980:124 *4636:7 9.98615e-06 +138 *3980:124 *4684:34 1.77537e-06 +139 *3980:124 *4686:14 2.25583e-07 +140 *3980:124 *4727:19 0.00027578 +141 *3980:126 *23444:D 0.000918298 +142 *3980:126 *23623:C 1.62321e-05 +143 *3980:126 *23732:C 0.000135467 +144 *3980:126 *23810:C 0.000146098 +145 *3980:126 *4009:72 7.82239e-06 +146 *3980:126 *4070:36 1.5254e-05 +147 *3980:126 *4635:13 0.000168752 +148 *3980:126 *4686:14 8.51012e-06 +149 *3980:134 *23444:B 0.000343652 +150 *3980:134 *23732:C 0.000255443 +151 *3980:134 *23785:B 0.000107496 +152 *3980:134 *4008:13 0.000122083 +153 *3980:134 *4070:36 0.000612397 +154 *3980:134 *4348:8 0.000185246 +155 *3980:134 *4487:19 1.35043e-05 +156 *3980:134 *4489:8 0.000208462 +157 *3980:134 *4685:12 2.95757e-05 +158 *3980:147 *4022:38 3.17103e-05 +159 *3980:147 *4070:36 0.000172038 +160 *3980:147 *4106:169 0.00106497 +161 *3980:147 *4487:19 2.58035e-05 +162 *3980:147 *4514:47 6.93171e-05 +163 *3980:147 *4567:22 0.000102553 +164 *3980:147 *4567:24 8.46538e-05 +165 *3980:147 *5681:25 1.61631e-05 +166 *3980:168 *23529:B1 9.18353e-05 +167 *3980:168 *23851:B 5.80501e-05 +168 *3980:168 *4478:6 0.000483087 +169 *3980:180 *23524:A 3.20011e-05 +170 *3980:180 *23851:B 2.19276e-05 +171 *3980:180 *4328:32 0.000524152 +172 *3980:180 *4434:8 0.000101246 +173 *3980:180 *4594:8 0.00052054 +174 *3980:195 *23254:B 7.77309e-06 +175 *3980:195 *23257:A 0.000267713 +176 *3980:195 *23261:A 5.51483e-06 +177 *3980:195 *4019:39 9.76046e-05 +178 *3980:195 *4019:43 0.000247443 +179 *3980:195 *4019:62 0.000133814 +180 *3980:195 *4126:209 0.000379553 +181 *3980:195 *4163:8 0.00054309 +182 *3980:195 *4164:5 0.000151701 +183 *3980:195 *4597:29 0.000148129 +184 *3980:195 *4744:20 1.2687e-05 +185 *3980:201 *23260:B 3.79808e-05 +186 *3980:201 *23261:A 0.000400335 +187 *3980:201 *4138:16 0.000100604 +188 *3980:201 *4169:10 0.000139899 +189 *3980:282 *4126:26 0.000128804 +190 *3980:282 *4126:45 0.000202549 +191 *3980:282 *4127:7 0.000215771 +192 *3980:282 *4882:11 0.000128107 +193 *3980:282 *4882:15 0.000117097 +194 *3980:283 *4302:8 0.000542573 +195 *22914:A *23185:B 6.23875e-05 +196 *22958:A *3980:8 0.000143032 +197 *22962:A *3980:8 6.19019e-05 +198 *22985:A *23231:A 4.97617e-05 +199 *23002:A *3980:26 6.40413e-05 +200 *23047:A1 *3980:105 0.000107496 +201 *23047:B1_N *3980:124 9.01192e-05 +202 *23071:A *3980:7 6.08467e-05 +203 *23072:A *23072:B 1.91246e-05 +204 *23130:A *23834:A2 1.66771e-05 +205 *23139:A *23243:A 2.57986e-05 +206 *23187:A1 *23072:B 0.000785148 +207 *23810:D_N *3980:124 2.40149e-05 +208 *23815:C_N *3980:105 0.000115573 +209 *23815:C_N *3980:124 0.000205006 +210 *1587:80 *23249:A 0.000299704 +211 *1587:80 *3980:195 0.000332346 +212 *1587:106 *23274:A 7.14746e-05 +213 *1808:69 *3980:168 9.96492e-05 +214 *2632:47 *23266:A 0.000501444 +215 *2632:47 *3980:201 0.000345048 +216 *2660:52 *3980:105 0.000154145 +217 *2660:80 *3980:126 4.00504e-05 +218 *3002:69 *3980:168 0.000306609 +219 *3779:40 *3980:8 0.000647568 +220 *3779:47 *3980:8 0.000747132 +221 *3781:97 *23231:A 0.000278209 +222 *3781:101 *23231:A 0.000652282 +223 *3789:42 *3980:8 1.14248e-05 +224 *3796:57 *3980:8 0.000398932 +225 *3827:41 *3980:42 6.01758e-05 +226 *3829:54 *3980:93 0.000158451 +227 *3831:12 *3980:8 0 +228 *3831:21 *3980:8 0 +229 *3833:56 *3980:42 0.000140955 +230 *3833:106 *3980:168 0.000180859 +231 *3833:106 *3980:180 0.000161253 +232 *3840:30 *3980:8 2.02035e-05 +233 *3842:84 *3980:93 4.86647e-05 +234 *3852:5 *23072:B 0.000207266 +235 *3856:39 *23121:B 0.000263084 +236 *3856:39 *23185:B 0.000144546 +237 *3856:39 *3980:283 0.000549701 +238 *3860:67 *3980:26 0.000104754 +239 *3862:113 *3980:42 0 +240 *3866:35 *3980:8 3.0951e-05 +241 *3868:132 *3980:105 0.000509947 +242 *3868:151 *3980:105 0.000116986 +243 *3869:8 *3980:105 3.18629e-05 +244 *3869:10 *3980:105 0.000128502 +245 *3869:55 *3980:93 0.000167454 +246 *3869:55 *3980:105 0.000594532 +247 *3870:8 *3980:105 0.000254405 +248 *3870:47 *3980:105 0.00143983 +249 *3872:87 *3980:105 7.92757e-06 +250 *3872:87 *3980:124 0.000154048 +251 *3872:104 *3980:124 0.000293618 +252 *3872:164 *3980:126 0.000960361 +253 *3874:32 *3980:26 0.00021612 +254 *3874:32 *3980:42 3.88213e-05 +255 *3874:40 *3980:42 4.04447e-05 +256 *3874:77 *3980:124 5.51483e-06 +257 *3874:92 *3980:124 7.77744e-05 +258 *3879:41 *3980:134 5.78559e-05 +259 *3879:50 *3980:134 0.000117331 +260 *3879:59 *3980:126 4.26085e-05 +261 *3879:59 *3980:134 7.77309e-06 +262 *3879:71 *3980:126 5.15242e-05 +263 *3888:49 *3980:93 0.000106281 +264 *3891:96 *3980:168 4.76864e-05 +265 *3891:190 *3980:42 4.04447e-05 +266 *3895:27 *3980:195 1.2263e-05 +267 *3898:37 *3980:147 0.000190748 +268 *3898:37 *3980:168 9.19679e-05 +269 *3898:37 *3980:180 0.000435243 +270 *3899:29 *23243:A 1.59917e-05 +271 *3905:14 *3980:8 0.00214816 +272 *3909:24 *3980:26 0.000210523 +273 *3909:24 *3980:42 4.70498e-05 +274 *3917:19 *3980:26 0.00059385 +275 *3926:23 *3980:42 5.60804e-05 +276 *3928:7 *3980:49 0.000302686 +277 *3951:14 *23266:A 0.000152743 +278 *3974:71 *3980:26 0.000581326 +279 *3974:83 *3980:42 0.000705743 +280 *3974:112 *23243:A 0.00131093 +281 *3974:112 *3980:147 8.29362e-05 +282 *3974:219 *3980:147 0.000894828 +*RES +1 *23071:X *3980:4 9.24915 +2 *3980:4 *3980:7 12.9878 +3 *3980:7 *3980:8 61.8359 +4 *3980:8 *3980:26 47.0471 +5 *3980:26 *3980:42 17.463 +6 *3980:42 *3980:49 15.7115 +7 *3980:49 *3980:50 57.9449 +8 *3980:50 *3980:53 13.3002 +9 *3980:53 *23470:A1 9.24915 +10 *3980:53 *23834:A2 40.3764 +11 *3980:42 *3980:93 44.5485 +12 *3980:93 *3980:105 46.5144 +13 *3980:105 *3980:108 8.82351 +14 *3980:108 *3980:124 45.5478 +15 *3980:124 *3980:126 26.5395 +16 *3980:126 *3980:134 31.9372 +17 *3980:134 *3980:147 39.7042 +18 *3980:147 *23243:A 34.3937 +19 *3980:147 *3980:168 23.0845 +20 *3980:168 *3980:180 44.5101 +21 *3980:180 *23249:A 12.7456 +22 *3980:180 *3980:195 34.7405 +23 *3980:195 *3980:201 12.4183 +24 *3980:201 *23260:A 9.24915 +25 *3980:201 *23266:A 37.1934 +26 *3980:195 *23255:A 9.24915 +27 *3980:168 *23853:A1 14.7498 +28 *3980:134 *23274:A 49.7313 +29 *3980:126 *23231:A 45.1686 +30 *3980:108 *23281:A 25.5887 +31 *3980:26 *23562:A3 16.1364 +32 *3980:4 *3980:282 26.2983 +33 *3980:282 *3980:283 10.137 +34 *3980:283 *23185:B 18.2442 +35 *3980:283 *23072:B 39.5793 +36 *3980:282 *23121:B 18.4879 +*END + +*D_NET *3981 0.0107499 +*CONN +*I *23702:B I *D sky130_fd_sc_hd__or2_1 +*I *23403:A I *D sky130_fd_sc_hd__or3_1 +*I *23192:A I *D sky130_fd_sc_hd__nor2_1 +*I *23546:B I *D sky130_fd_sc_hd__or2_1 +*I *23072:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23702:B 0.000295079 +2 *23403:A 0 +3 *23192:A 2.38042e-05 +4 *23546:B 0.000317738 +5 *23072:Y 0.000742061 +6 *3981:38 0.0011413 +7 *3981:23 0.00110145 +8 *3981:11 0.00129123 +9 *23192:A *23193:B1 2.16355e-05 +10 *23546:B *23191:B 5.80754e-05 +11 *23546:B *4099:17 0.000195892 +12 *23546:B *4453:8 0.000158371 +13 *23702:B *4106:24 0.000492577 +14 *23702:B *4397:28 0.000140232 +15 *23702:B *4403:7 6.92705e-05 +16 *3981:11 *4097:8 8.36615e-05 +17 *3981:11 *4106:29 0.000191251 +18 *3981:11 *4106:47 3.99086e-06 +19 *3981:23 *23193:B1 0.000135376 +20 *3981:23 *23195:B 5.21758e-06 +21 *3981:23 *4214:35 0 +22 *3981:38 *23403:C 6.31809e-05 +23 *3981:38 *4106:24 0.000506551 +24 *3981:38 *4106:29 2.03793e-05 +25 *3981:38 *4126:45 2.39519e-05 +26 *3981:38 *4126:48 3.86121e-05 +27 *3981:38 *4397:22 0 +28 *3981:38 *4397:28 9.44549e-05 +29 *3981:38 *5452:67 0.00115395 +30 *23062:A1 *23192:A 1.43983e-05 +31 *23193:A1 *3981:11 5.04879e-05 +32 *23194:A *23546:B 0.000316675 +33 *23194:A *3981:23 0.000390969 +34 *23194:A *3981:38 6.4135e-05 +35 *23198:A *3981:11 4.88955e-05 +36 *23546:A *23546:B 0.000113968 +37 *23704:A *3981:38 0 +38 *3824:11 *3981:11 0.000308989 +39 *3849:66 *23546:B 0 +40 *3868:38 *3981:11 3.49128e-05 +41 *3872:7 *3981:38 0.000284434 +42 *3974:39 *3981:23 0 +43 *3974:39 *3981:38 0 +44 *3974:49 *23546:B 0.000722312 +45 *3974:49 *3981:23 3.04443e-05 +*RES +1 *23072:Y *3981:11 36.3938 +2 *3981:11 *23546:B 29.3436 +3 *3981:11 *3981:23 7.64553 +4 *3981:23 *23192:A 14.4725 +5 *3981:23 *3981:38 39.292 +6 *3981:38 *23403:A 13.7491 +7 *3981:38 *23702:B 24.5119 +*END + +*D_NET *3982 0.00656958 +*CONN +*I *23081:A2 I *D sky130_fd_sc_hd__a21bo_2 +*I *23075:B I *D sky130_fd_sc_hd__or2_1 +*I *23074:C I *D sky130_fd_sc_hd__or3_1 +*I *23216:B I *D sky130_fd_sc_hd__or2_1 +*I *23073:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23081:A2 0.000145611 +2 *23075:B 0.000364796 +3 *23074:C 0 +4 *23216:B 5.85743e-05 +5 *23073:X 0.000727494 +6 *3982:17 0.000198075 +7 *3982:10 0.000558313 +8 *3982:8 0.000927121 +9 *23075:B *23081:B1_N 6.20313e-05 +10 *23075:B *3984:6 5.05252e-05 +11 *23075:B *3984:27 4.52669e-05 +12 *23081:A2 *23081:B1_N 8.12962e-05 +13 *23081:A2 *23494:C 0.000154145 +14 *23081:A2 *3990:15 1.62366e-06 +15 *23081:A2 *4374:12 7.66391e-06 +16 *23216:B *23217:A 6.50727e-05 +17 *23216:B *4371:9 7.88576e-05 +18 *3982:8 *23081:B1_N 4.18215e-06 +19 *3982:8 *23701:A3 6.50586e-05 +20 *3982:8 *3990:15 8.78361e-05 +21 *3982:8 *4605:10 0.00107804 +22 *3982:10 *23081:B1_N 2.08164e-05 +23 *3982:17 *23217:A 0.000100705 +24 *22884:B *3982:8 5.05252e-05 +25 *22893:B *23075:B 1.9101e-05 +26 *23081:A1 *23081:A2 2.16355e-05 +27 *23196:B *3982:8 7.15574e-05 +28 *3779:119 *3982:8 3.58208e-05 +29 *3792:47 *23075:B 0.000113968 +30 *3792:56 *23075:B 0.000154145 +31 *3794:35 *23075:B 4.69204e-06 +32 *3796:44 *23075:B 0.000322456 +33 *3796:44 *3982:8 0.000227787 +34 *3796:44 *3982:10 9.99057e-05 +35 *3796:48 *3982:8 2.10685e-05 +36 *3800:41 *23216:B 0.000105652 +37 *3800:41 *3982:17 6.50586e-05 +38 *3925:12 *3982:8 0.000373108 +*RES +1 *23073:X *3982:8 40.4602 +2 *3982:8 *3982:10 2.03962 +3 *3982:10 *3982:17 8.59924 +4 *3982:17 *23216:B 12.191 +5 *3982:17 *23074:C 9.24915 +6 *3982:10 *23075:B 25.2403 +7 *3982:8 *23081:A2 17.8337 +*END + +*D_NET *3983 0.0033922 +*CONN +*I *23078:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *23312:B I *D sky130_fd_sc_hd__nor2_1 +*I *23093:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *23074:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23078:A2 0 +2 *23312:B 0.000173665 +3 *23093:B1_N 0 +4 *23074:X 0.000130007 +5 *3983:18 0.000518244 +6 *3983:8 0.000474586 +7 *23312:B *23313:A2_N 3.072e-06 +8 *23312:B *3987:11 1.72399e-05 +9 *3983:8 *4371:9 6.50586e-05 +10 *3983:18 *5632:24 0.000410711 +11 *23078:A1 *23312:B 0.000104935 +12 *23312:A *23312:B 7.60137e-05 +13 *3796:44 *23312:B 7.6719e-06 +14 *3796:44 *3983:8 0.000190057 +15 *3796:44 *3983:18 0.000927642 +16 *3800:32 *3983:8 3.49417e-05 +17 *3800:32 *3983:18 0.000207885 +18 *3800:34 *3983:8 5.04734e-05 +*RES +1 *23074:X *3983:8 17.9655 +2 *3983:8 *23093:B1_N 13.7491 +3 *3983:8 *3983:18 21.3133 +4 *3983:18 *23312:B 14.4576 +5 *3983:18 *23078:A2 9.24915 +*END + +*D_NET *3984 0.0067279 +*CONN +*I *23093:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *23081:B1_N I *D sky130_fd_sc_hd__a21bo_2 +*I *23083:B I *D sky130_fd_sc_hd__or3_1 +*I *23076:C I *D sky130_fd_sc_hd__or3_2 +*I *23075:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23093:A2 0 +2 *23081:B1_N 0.000191794 +3 *23083:B 3.8864e-05 +4 *23076:C 0.000220463 +5 *23075:X 0 +6 *3984:27 0.000364968 +7 *3984:6 0.00112819 +8 *3984:4 0.00104203 +9 *23076:C *3985:5 0.00021569 +10 *23081:B1_N *23494:C 3.14627e-05 +11 *23081:B1_N *3990:15 8.10483e-05 +12 *23081:B1_N *4374:12 2.08274e-05 +13 *3984:6 *23077:A 5.05252e-05 +14 *3984:6 *23111:B 5.77352e-05 +15 *3984:6 *23311:A 0.000253826 +16 *3984:6 *3988:8 0.00027839 +17 *3984:6 *5632:16 0.000154294 +18 *3984:6 *5632:24 2.90257e-05 +19 *22893:B *3984:6 0.000440812 +20 *22893:C *23083:B 9.35753e-06 +21 *22893:C *3984:6 3.22726e-05 +22 *22893:D *23083:B 2.4562e-05 +23 *22893:D *3984:6 0.000139435 +24 *23075:B *23081:B1_N 6.20313e-05 +25 *23075:B *3984:6 5.05252e-05 +26 *23075:B *3984:27 4.52669e-05 +27 *23081:A2 *23081:B1_N 8.12962e-05 +28 *23219:A *3984:6 0 +29 *3792:56 *3984:27 0.000160617 +30 *3794:35 *23081:B1_N 0.000354778 +31 *3794:35 *3984:27 0.000148129 +32 *3795:9 *23083:B 0 +33 *3796:44 *3984:6 0.000868455 +34 *3800:23 *23076:C 1.31764e-05 +35 *3800:32 *23076:C 2.41916e-05 +36 *3800:32 *3984:6 0 +37 *3802:6 *23083:B 8.88627e-05 +38 *3982:8 *23081:B1_N 4.18215e-06 +39 *3982:10 *23081:B1_N 2.08164e-05 +*RES +1 *23075:X *3984:4 9.24915 +2 *3984:4 *3984:6 38.3064 +3 *3984:6 *23076:C 19.4881 +4 *3984:6 *23083:B 15.5811 +5 *3984:4 *3984:27 8.9951 +6 *3984:27 *23081:B1_N 21.6319 +7 *3984:27 *23093:A2 13.7491 +*END + +*D_NET *3985 0.00499945 +*CONN +*I *23077:A I *D sky130_fd_sc_hd__inv_2 +*I *23079:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23310:B I *D sky130_fd_sc_hd__or3_4 +*I *23309:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *23076:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23077:A 0.000173289 +2 *23079:B2 2.04444e-05 +3 *23310:B 0 +4 *23309:A2 0.000538634 +5 *23076:X 0.000169911 +6 *3985:28 0.000445256 +7 *3985:20 0.000574933 +8 *3985:5 0.00103196 +9 *23077:A *23079:A1 1.00275e-05 +10 *23077:A *5801:107 0.000207266 +11 *23079:B2 *5632:16 5.41227e-05 +12 *23309:A2 *5629:23 0 +13 *23309:A2 *5632:16 0.000277453 +14 *3985:20 *5600:33 0.000201567 +15 *3985:20 *5629:23 4.37481e-05 +16 *3985:28 *4219:5 2.41274e-06 +17 *3985:28 *5632:16 0.000170592 +18 *22893:B *23077:A 5.41227e-05 +19 *23076:C *3985:5 0.00021569 +20 *23310:A *3985:28 2.65667e-05 +21 *23310:C *3985:28 5.04829e-06 +22 *3796:34 *3985:28 4.58003e-05 +23 *3799:26 *3985:20 0.000439634 +24 *3800:23 *23309:A2 1.55779e-05 +25 *3800:23 *3985:5 2.18523e-06 +26 *3800:32 *23079:B2 5.41377e-05 +27 *3800:32 *3985:28 0.000168546 +28 *3984:6 *23077:A 5.05252e-05 +*RES +1 *23076:X *3985:5 12.191 +2 *3985:5 *23309:A2 28.5634 +3 *3985:5 *3985:20 20.798 +4 *3985:20 *23310:B 9.24915 +5 *3985:20 *3985:28 11.6288 +6 *3985:28 *23079:B2 14.7506 +7 *3985:28 *23077:A 26.3187 +*END + +*D_NET *3986 0.00167626 +*CONN +*I *23079:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23313:B2 I *D sky130_fd_sc_hd__a2bb2o_1 +*I *23078:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23077:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23079:A2 0 +2 *23313:B2 0.000116832 +3 *23078:B1 8.92187e-05 +4 *23077:Y 0.000110677 +5 *3986:7 0.000415059 +6 *3986:5 0.000319686 +7 *23313:B2 *23079:A1 0 +8 *23313:B2 *23313:B1 6.50586e-05 +9 *23313:B2 *5801:107 6.22703e-05 +10 *3986:5 *3988:8 0.000111708 +11 *3986:5 *5801:107 3.99086e-06 +12 *3986:7 *3988:8 0.000262339 +13 *3986:7 *5801:107 4.91225e-06 +14 *3796:44 *23078:B1 3.77659e-05 +15 *3799:26 *23078:B1 4.12533e-05 +16 *3799:28 *23078:B1 2.22342e-05 +17 *3800:32 *23078:B1 1.32509e-05 +*RES +1 *23077:Y *3986:5 11.0817 +2 *3986:5 *3986:7 3.49641 +3 *3986:7 *23078:B1 20.9116 +4 *3986:7 *23313:B2 12.625 +5 *3986:5 *23079:A2 9.24915 +*END + +*D_NET *3987 0.0017983 +*CONN +*I *23080:A I *D sky130_fd_sc_hd__or2_4 +*I *23096:A I *D sky130_fd_sc_hd__inv_2 +*I *23078:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *23080:A 0.000222541 +2 *23096:A 2.06324e-05 +3 *23078:Y 0.000183654 +4 *3987:11 0.000426827 +5 *23080:A *23080:B 0.000580299 +6 *23080:A *23111:A 0.000123176 +7 *23080:A *4005:5 7.89747e-05 +8 *23312:B *3987:11 1.72399e-05 +9 *1809:184 *23080:A 3.11989e-05 +10 *1809:184 *3987:11 4.43691e-05 +11 *3799:28 *3987:11 3.01634e-05 +12 *3800:32 *3987:11 3.92275e-05 +*RES +1 *23078:Y *3987:11 22.6733 +2 *3987:11 *23096:A 9.82786 +3 *3987:11 *23080:A 17.7611 +*END + +*D_NET *3988 0.00306588 +*CONN +*I *23080:B I *D sky130_fd_sc_hd__or2_4 +*I *23111:B I *D sky130_fd_sc_hd__nand2_4 +*I *23079:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *23080:B 0.000371523 +2 *23111:B 1.78213e-05 +3 *23079:X 0.000180854 +4 *3988:8 0.000570198 +5 *23080:B *4131:8 5.05252e-05 +6 *3988:8 *23079:A1 0.000109039 +7 *3988:8 *5801:107 3.70636e-05 +8 *22893:B *23111:B 5.41227e-05 +9 *22893:B *3988:8 0.000285517 +10 *23079:B1 *3988:8 2.16355e-05 +11 *23080:A *23080:B 0.000580299 +12 *1809:184 *23080:B 2.65831e-05 +13 *3866:18 *23080:B 5.05252e-05 +14 *3984:6 *23111:B 5.77352e-05 +15 *3984:6 *3988:8 0.00027839 +16 *3986:5 *3988:8 0.000111708 +17 *3986:7 *3988:8 0.000262339 +*RES +1 *23079:X *3988:8 22.9542 +2 *3988:8 *23111:B 14.7506 +3 *3988:8 *23080:B 30.1889 +*END + +*D_NET *3989 0.0466837 +*CONN +*I *23207:B I *D sky130_fd_sc_hd__or4bb_4 +*I *23213:B I *D sky130_fd_sc_hd__or2_1 +*I *23089:A I *D sky130_fd_sc_hd__or2_1 +*I *23167:A I *D sky130_fd_sc_hd__or2_1 +*I *23080:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *23207:B 0.000309799 +2 *23213:B 0 +3 *23089:A 0.000357616 +4 *23167:A 0.000167455 +5 *23080:X 8.2619e-05 +6 *3989:52 0.00092718 +7 *3989:31 0.00216989 +8 *3989:23 0.00318626 +9 *3989:12 0.00367885 +10 *3989:11 0.00507833 +11 *3989:8 0.00354529 +12 *23089:A *23090:A 2.58757e-05 +13 *23089:A *23288:A 0.000101133 +14 *23089:A *4137:47 0.000731699 +15 *23167:A *23107:A 0.000111722 +16 *23167:A *23590:A2 0.000200794 +17 *23167:A *3991:22 0.000273292 +18 *23167:A *4001:60 4.19212e-05 +19 *23167:A *4016:13 0.000196623 +20 *23207:B *23085:A 0.000266832 +21 *23207:B *3994:7 0.000118166 +22 *3989:11 *23097:A 5.54567e-05 +23 *3989:11 *4882:87 0.000199733 +24 *3989:11 *5632:27 1.93033e-05 +25 *3989:11 *5843:8 1.34951e-05 +26 *3989:11 *5929:9 6.44214e-06 +27 *3989:12 *4149:18 0.000685817 +28 *3989:12 *5453:51 0.00119784 +29 *3989:12 *5453:71 0.00421151 +30 *3989:12 *5453:86 0.00411311 +31 *3989:12 *5454:75 0.0023155 +32 *3989:12 *5454:88 0.00280983 +33 *3989:12 *5454:92 2.12476e-05 +34 *3989:12 *5457:108 0.00343902 +35 *3989:12 *5457:123 0.00230216 +36 *3989:23 *23709:A 0.000415936 +37 *3989:23 *23835:C1 9.60167e-05 +38 *3989:23 *4377:15 2.60273e-06 +39 *3989:23 *4879:7 0.000131266 +40 *3989:23 *5847:8 2.15285e-05 +41 *3989:31 *24940:A 0.000438346 +42 *3989:31 *3996:70 1.69448e-05 +43 *3989:31 *4026:72 6.87762e-05 +44 *3989:31 *4879:7 5.51483e-06 +45 *3989:52 *23288:A 1.07248e-05 +46 *3989:52 *23418:A 8.65147e-05 +47 *3989:52 *4001:201 0.000345048 +48 *3989:52 *4089:40 0.000635349 +49 *3989:52 *4137:47 0.000141827 +50 *3989:52 *4137:54 3.22992e-05 +51 *3989:52 *4146:64 7.23866e-05 +52 *23085:B *23207:B 1.98996e-05 +53 *23285:B *23089:A 4.51176e-05 +54 *23624:B1 *3989:52 0.000203595 +55 *24143:D *3989:23 1.60502e-06 +56 *24160:D *3989:11 2.82617e-05 +57 *3805:8 *3989:8 4.55535e-05 +58 *3809:35 *23207:B 4.58529e-05 +59 *3810:8 *3989:8 4.55535e-05 +60 *3869:55 *3989:52 4.66492e-05 +61 *3870:47 *23089:A 0.000260874 +62 *3870:47 *3989:52 2.65e-05 +63 *3874:40 *3989:31 6.51637e-05 +64 *3891:190 *3989:31 0.00014249 +65 *3914:10 *3989:23 0.000223722 +*RES +1 *23080:X *3989:8 20.4964 +2 *3989:8 *3989:11 48.4825 +3 *3989:11 *3989:12 179.56 +4 *3989:12 *3989:23 43.8363 +5 *3989:23 *3989:31 30.1655 +6 *3989:31 *23167:A 25.3751 +7 *3989:31 *3989:52 24.6018 +8 *3989:52 *23089:A 28.6256 +9 *3989:52 *23213:B 13.7491 +10 *3989:8 *23207:B 17.2065 +*END + +*D_NET *3990 0.0178505 +*CONN +*I *23208:B I *D sky130_fd_sc_hd__or3_1 +*I *23082:B I *D sky130_fd_sc_hd__or2_2 +*I *23101:B I *D sky130_fd_sc_hd__or3_2 +*I *23094:A I *D sky130_fd_sc_hd__nand2_4 +*I *23105:B_N I *D sky130_fd_sc_hd__or2b_2 +*I *23081:X O *D sky130_fd_sc_hd__a21bo_2 +*CAP +1 *23208:B 0 +2 *23082:B 7.24497e-05 +3 *23101:B 0.000275216 +4 *23094:A 6.77926e-05 +5 *23105:B_N 5.41747e-05 +6 *23081:X 0.00051393 +7 *3990:54 0.000973165 +8 *3990:46 0.00288004 +9 *3990:25 0.00265425 +10 *3990:15 0.000900017 +11 *23094:A *4227:10 1.27433e-05 +12 *23094:A *4305:7 6.08467e-05 +13 *23101:B *3996:33 5.19897e-05 +14 *23101:B *4014:10 9.90501e-05 +15 *23101:B *4116:38 4.82291e-05 +16 *23101:B *4547:8 7.26748e-05 +17 *23101:B *4547:9 6.3657e-05 +18 *23105:B_N *23105:A 0.000102032 +19 *3990:15 *23494:C 2.48824e-05 +20 *3990:15 *4374:12 0.000205681 +21 *3990:15 *5923:23 0.000311178 +22 *3990:25 *5923:23 0.000698766 +23 *3990:46 *23208:A 0.000360083 +24 *3990:46 *23655:D 1.66771e-05 +25 *3990:46 *3996:26 9.17077e-05 +26 *3990:46 *4126:21 0.000120858 +27 *3990:46 *4550:10 1.3807e-05 +28 *3990:46 *5629:85 0.000325793 +29 *3990:46 *5923:23 0.000115521 +30 *3990:54 *3996:26 0.000102058 +31 *3990:54 *4001:26 3.51785e-06 +32 *3990:54 *4014:10 3.35873e-05 +33 *3990:54 *4127:30 2.44031e-06 +34 *3990:54 *5624:116 0 +35 *22936:A *23082:B 1.65872e-05 +36 *22936:A *23101:B 0.000153225 +37 *22936:A *3990:54 5.56367e-05 +38 *23081:A2 *3990:15 1.62366e-06 +39 *23081:B1_N *3990:15 8.10483e-05 +40 *23082:A *23101:B 3.31733e-05 +41 *23082:A *3990:54 2.09495e-05 +42 *23415:A *3990:54 7.41203e-05 +43 *23625:A4 *3990:54 1.91246e-05 +44 *3792:60 *23105:B_N 0.000102032 +45 *3794:35 *3990:15 0.000563095 +46 *3800:72 *3990:54 0.000264583 +47 *3800:74 *3990:54 0.000436757 +48 *3800:84 *23082:B 0.000107496 +49 *3811:8 *23094:A 5.99155e-05 +50 *3838:14 *3990:46 1.3813e-05 +51 *3838:115 *3990:46 1.48618e-05 +52 *3842:11 *3990:25 0 +53 *3842:11 *3990:46 0 +54 *3842:24 *3990:46 0.00276654 +55 *3864:12 *3990:46 0.000259274 +56 *3864:15 *3990:54 1.19982e-05 +57 *3865:8 *3990:54 0.000242731 +58 *3877:20 *3990:46 0.00074122 +59 *3877:20 *3990:54 0.000102058 +60 *3925:12 *3990:15 0.000291963 +61 *3982:8 *3990:15 8.78361e-05 +*RES +1 *23081:X *3990:15 32.0164 +2 *3990:15 *23105:B_N 19.1576 +3 *3990:15 *3990:25 0.967767 +4 *3990:25 *23094:A 19.0504 +5 *3990:25 *3990:46 26.0438 +6 *3990:46 *3990:54 23.4444 +7 *3990:54 *23101:B 21.7056 +8 *3990:54 *23082:B 15.5817 +9 *3990:46 *23208:B 13.7491 +*END + +*D_NET *3991 0.0145064 +*CONN +*I *23674:A2 I *D sky130_fd_sc_hd__o311a_1 +*I *23739:A1 I *D sky130_fd_sc_hd__o311a_1 +*I *23088:A I *D sky130_fd_sc_hd__or2_1 +*I *23082:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23674:A2 0 +2 *23739:A1 0.000373597 +3 *23088:A 0.000116893 +4 *23082:X 0.000902485 +5 *3991:22 0.00154177 +6 *3991:21 0.00144007 +7 *3991:10 0.000388796 +8 *3991:8 0.000902485 +9 *23088:A *23095:B 6.08467e-05 +10 *23739:A1 *23516:C1 1.91246e-05 +11 *23739:A1 *23739:C1 0.000288285 +12 *23739:A1 *23740:A2 3.17436e-05 +13 *23739:A1 *4569:14 1.91246e-05 +14 *23739:A1 *4695:68 5.7022e-05 +15 *3991:8 *23446:C 0.000332301 +16 *3991:8 *3996:31 0.000328143 +17 *3991:8 *4127:30 0.00118711 +18 *3991:8 *4323:10 6.08467e-05 +19 *3991:21 *23227:A 1.19856e-05 +20 *3991:21 *23663:A 4.07914e-06 +21 *3991:21 *23674:A1 1.65872e-05 +22 *3991:21 *4001:26 0 +23 *3991:21 *4015:8 0.000309224 +24 *3991:21 *4127:34 0.000305748 +25 *3991:21 *4579:14 0.000154145 +26 *3991:22 *23126:A 0.000341237 +27 *3991:22 *23563:B1 8.16827e-05 +28 *3991:22 *4001:60 0.000184267 +29 *3991:22 *4015:8 1.25165e-05 +30 *3991:22 *4016:13 0.000128946 +31 *3991:22 *4035:8 0.000887922 +32 *3991:22 *4568:14 0.000498833 +33 *3991:22 *5918:14 0.000132341 +34 *22936:A *3991:8 2.41274e-06 +35 *22946:A *3991:8 4.7114e-05 +36 *22998:A *3991:21 0.000107496 +37 *23127:A2 *3991:22 0.000137921 +38 *23167:A *3991:22 0.000273292 +39 *23285:B *23739:A1 0 +40 *23415:A *3991:8 0.000205006 +41 *23674:B1 *3991:8 0.000276437 +42 *23817:A1 *3991:22 8.01987e-05 +43 *3782:26 *3991:22 0.000802794 +44 *3787:8 *3991:22 3.49957e-05 +45 *3831:56 *23088:A 0.000217002 +46 *3831:56 *3991:22 0.000295213 +47 *3842:84 *3991:22 0.000202395 +48 *3846:20 *3991:8 0.00044557 +49 *3861:271 *23088:A 0.000218263 +50 *3861:271 *3991:22 4.0143e-05 +*RES +1 *23082:X *3991:8 47.0388 +2 *3991:8 *3991:10 4.5 +3 *3991:10 *3991:21 20.7462 +4 *3991:21 *3991:22 46.6792 +5 *3991:22 *23088:A 18.5884 +6 *3991:22 *23739:A1 21.9764 +7 *3991:10 *23674:A2 9.24915 +*END + +*D_NET *3992 0.00262179 +*CONN +*I *23084:A2_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *23084:B2 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *23206:S I *D sky130_fd_sc_hd__mux2_1 +*I *23219:B I *D sky130_fd_sc_hd__or2_1 +*I *23083:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23084:A2_N 3.8792e-05 +2 *23084:B2 0 +3 *23206:S 0.000107159 +4 *23219:B 0.00011429 +5 *23083:X 0.00014036 +6 *3992:21 0.000267582 +7 *3992:17 0.000594364 +8 *3992:5 0.000649799 +9 *23206:S *5613:12 0.000205349 +10 *23206:S *5613:14 3.67708e-05 +11 *3992:17 *3993:10 6.50586e-05 +12 *22893:C *23219:B 0 +13 *23083:A *3992:5 5.04829e-06 +14 *23084:A1_N *23084:A2_N 1.78949e-05 +15 *23084:A1_N *3992:21 0.000123185 +16 *23219:A *23219:B 3.67528e-06 +17 *2191:41 *23084:A2_N 0 +18 *2191:41 *3992:21 0 +19 *3795:9 *3992:5 8.40003e-05 +20 *3795:9 *3992:17 6.92705e-05 +21 *3809:10 *23206:S 9.91932e-05 +*RES +1 *23083:X *3992:5 11.6364 +2 *3992:5 *23219:B 20.4964 +3 *3992:5 *3992:17 9.62117 +4 *3992:17 *3992:21 4.34038 +5 *3992:21 *23206:S 22.5727 +6 *3992:21 *23084:B2 9.24915 +7 *3992:17 *23084:A2_N 10.5513 +*END + +*D_NET *3993 0.00380105 +*CONN +*I *23207:C_N I *D sky130_fd_sc_hd__or4bb_4 +*I *23085:A I *D sky130_fd_sc_hd__or2_1 +*I *23084:X O *D sky130_fd_sc_hd__o2bb2a_1 +*CAP +1 *23207:C_N 0 +2 *23085:A 7.35399e-05 +3 *23084:X 0.000769333 +4 *3993:10 0.000842873 +5 *3993:10 *23086:B 0.000143032 +6 *3993:10 *23221:A2 0.000148144 +7 *3993:10 *23222:A2 0 +8 *3993:10 *23237:B 5.05252e-05 +9 *3993:10 *4115:8 0.00125164 +10 *3993:10 *4128:8 0 +11 *23085:B *23085:A 6.99486e-05 +12 *23207:B *23085:A 0.000266832 +13 *23221:B1 *3993:10 0 +14 *3806:8 *3993:10 4.03125e-05 +15 *3806:10 *3993:10 3.66465e-05 +16 *3809:35 *23085:A 4.31703e-05 +17 *3864:12 *3993:10 0 +18 *3992:17 *3993:10 6.50586e-05 +*RES +1 *23084:X *3993:10 44.4739 +2 *3993:10 *23085:A 12.7697 +3 *3993:10 *23207:C_N 9.24915 +*END + +*D_NET *3994 0.00118171 +*CONN +*I *23086:B I *D sky130_fd_sc_hd__or2_1 +*I *23237:B I *D sky130_fd_sc_hd__or2_4 +*I *23085:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23086:B 8.52818e-05 +2 *23237:B 0.000189575 +3 *23085:X 7.14967e-05 +4 *3994:7 0.000346353 +5 *23237:B *23237:A 7.01239e-05 +6 *23237:B *4115:8 6.01944e-06 +7 *23207:B *3994:7 0.000118166 +8 *3809:47 *23237:B 0.000101133 +9 *3864:12 *23086:B 0 +10 *3864:12 *23237:B 0 +11 *3993:10 *23086:B 0.000143032 +12 *3993:10 *23237:B 5.05252e-05 +*RES +1 *23085:X *3994:7 15.0271 +2 *3994:7 *23237:B 19.0989 +3 *3994:7 *23086:B 16.4116 +*END + +*D_NET *3995 0.00112311 +*CONN +*I *23087:A I *D sky130_fd_sc_hd__buf_4 +*I *23086:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23087:A 0.000182392 +2 *23086:X 0.000182392 +3 *23087:A *4130:8 0.00035712 +4 *23087:A *4146:7 4.04995e-05 +5 *3806:16 *23087:A 0.000360702 +*RES +1 *23086:X *23087:A 35.7307 +*END + +*D_NET *3996 0.0351035 +*CONN +*I *23238:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23664:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *23215:A I *D sky130_fd_sc_hd__nor2_1 +*I *23095:B I *D sky130_fd_sc_hd__or2_1 +*I *23088:B I *D sky130_fd_sc_hd__or2_1 +*I *23740:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23106:A I *D sky130_fd_sc_hd__or2_1 +*I *23101:C I *D sky130_fd_sc_hd__or3_2 +*I *6106:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23087:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23238:B1 8.43515e-05 +2 *23664:A1 0 +3 *23215:A 0.000475278 +4 *23095:B 0.000149984 +5 *23088:B 2.06324e-05 +6 *23740:A1 2.04709e-05 +7 *23106:A 3.49002e-05 +8 *23101:C 2.21553e-05 +9 *6106:DIODE 1.98947e-05 +10 *23087:X 0.000243652 +11 *3996:86 0.000319368 +12 *3996:79 0.000243857 +13 *3996:75 0.000673103 +14 *3996:73 0.000337169 +15 *3996:70 0.00111791 +16 *3996:65 0.00191539 +17 *3996:48 0.00125707 +18 *3996:33 0.000148604 +19 *3996:31 0.000663637 +20 *3996:26 0.00149202 +21 *3996:17 0.00239365 +22 *3996:10 0.00215452 +23 *3996:7 0.00123452 +24 *23095:B *4001:68 0.000162663 +25 *23095:B *4003:61 0 +26 *23095:B *4004:10 1.03403e-05 +27 *23215:A *23215:B 6.08467e-05 +28 *23215:A *23418:A 0.000114594 +29 *23215:A *23739:A2 6.08467e-05 +30 *23215:A *23739:C1 1.36585e-05 +31 *23215:A *4020:45 0.000177411 +32 *23215:A *4124:20 2.57365e-05 +33 *23215:A *4228:29 1.65872e-05 +34 *23215:A *4326:14 0.000287601 +35 *23238:B1 *23238:A2 0.000107496 +36 *23238:B1 *4147:7 2.37827e-05 +37 *23238:B1 *4371:51 1.65872e-05 +38 *23740:A1 *4643:7 2.65831e-05 +39 *3996:7 *4146:7 0.000156351 +40 *3996:10 *4020:13 0.000242827 +41 *3996:10 *4034:10 0.00123108 +42 *3996:10 *4398:8 0.000245771 +43 *3996:10 *4873:80 0 +44 *3996:17 *23401:B 3.42876e-05 +45 *3996:17 *23402:A 0.000397943 +46 *3996:17 *23402:B 5.33266e-05 +47 *3996:17 *23763:A 0.000225606 +48 *3996:17 *23764:C 1.79672e-05 +49 *3996:17 *23795:C 3.90689e-06 +50 *3996:17 *4031:17 0.000171371 +51 *3996:17 *4031:113 9.75356e-05 +52 *3996:17 *4034:10 0.000102795 +53 *3996:17 *4034:23 0.000609152 +54 *3996:17 *4092:54 0 +55 *3996:17 *4228:10 0.000392876 +56 *3996:17 *4228:16 0.000553313 +57 *3996:17 *4397:28 0 +58 *3996:17 *4398:8 4.84944e-05 +59 *3996:26 *23625:B1 9.60216e-05 +60 *3996:26 *4127:30 0.000541303 +61 *3996:26 *4302:8 0.000158534 +62 *3996:26 *4308:29 4.09438e-05 +63 *3996:26 *4495:13 0.000699531 +64 *3996:26 *4531:14 5.85155e-05 +65 *3996:26 *5624:116 2.54507e-05 +66 *3996:31 *4127:30 1.3807e-05 +67 *3996:31 *4531:14 8.68133e-05 +68 *3996:31 *4547:9 0.000439942 +69 *3996:33 *4547:9 6.36477e-05 +70 *3996:48 *23337:A1 0.000238537 +71 *3996:48 *4014:10 4.77873e-05 +72 *3996:48 *4031:48 0.000157854 +73 *3996:48 *4116:38 0.000119528 +74 *3996:65 *23116:B 1.90335e-05 +75 *3996:65 *23239:B1 0.000131175 +76 *3996:65 *4015:8 0.000120788 +77 *3996:65 *4031:48 7.46965e-05 +78 *3996:65 *4116:38 1.41761e-05 +79 *3996:70 *23239:B1 9.96134e-05 +80 *3996:70 *4147:13 0.00212082 +81 *3996:73 *4010:12 0.000156769 +82 *3996:75 *23739:C1 1.91246e-05 +83 *3996:75 *4010:12 5.2227e-05 +84 *3996:75 *4695:68 4.0919e-05 +85 *3996:79 *4010:12 7.77309e-06 +86 *3996:79 *4020:54 0.000144546 +87 *3996:86 *23740:B1 1.00846e-05 +88 *22946:A *3996:31 0.000185642 +89 *23051:A3 *3996:26 8.15663e-05 +90 *23088:A *23095:B 6.08467e-05 +91 *23101:B *3996:33 5.19897e-05 +92 *23128:C *6106:DIODE 6.08467e-05 +93 *23128:C *3996:33 1.41976e-05 +94 *23238:A1 *23238:B1 1.41976e-05 +95 *23625:A2 *3996:26 0.000191095 +96 *23625:A4 *3996:26 4.3116e-06 +97 *1829:73 *23095:B 6.89953e-05 +98 *1829:73 *23215:A 0.000698958 +99 *1829:73 *23740:A1 2.16355e-05 +100 *1829:73 *3996:86 0.000104572 +101 *1830:8 *3996:10 0 +102 *1830:61 *3996:10 0 +103 *3082:39 *6106:DIODE 2.16355e-05 +104 *3082:39 *3996:31 0.000166497 +105 *3082:39 *3996:33 0.000112396 +106 *3781:28 *3996:65 0.000116215 +107 *3786:30 *3996:26 0.000444515 +108 *3786:38 *3996:26 0.000686118 +109 *3786:71 *3996:65 6.00782e-06 +110 *3786:80 *3996:65 7.65861e-05 +111 *3789:67 *3996:26 4.03125e-05 +112 *3831:27 *23106:A 1.41307e-05 +113 *3833:234 *3996:65 1.17054e-05 +114 *3833:247 *3996:65 0.000160711 +115 *3838:14 *3996:26 0.000252157 +116 *3838:118 *3996:26 0.000139435 +117 *3848:26 *3996:65 0.000290619 +118 *3848:26 *3996:70 0.000561475 +119 *3848:30 *3996:70 0.00131892 +120 *3848:34 *3996:70 2.01595e-05 +121 *3848:34 *3996:73 0.000375526 +122 *3848:34 *3996:75 0.000186011 +123 *3848:34 *3996:79 0.000169108 +124 *3857:18 *3996:26 4.62112e-05 +125 *3860:58 *3996:48 7.01029e-06 +126 *3860:58 *3996:65 5.22654e-06 +127 *3862:113 *3996:65 1.91246e-05 +128 *3864:20 *3996:65 4.48158e-05 +129 *3872:7 *3996:17 0.00049362 +130 *3877:20 *3996:26 4.99035e-05 +131 *3877:87 *3996:70 0.000271311 +132 *3926:20 *3996:65 1.16596e-05 +133 *3927:12 *3996:65 0.000234572 +134 *3927:25 *3996:65 6.84784e-06 +135 *3974:83 *3996:70 0.000133823 +136 *3989:31 *3996:70 1.69448e-05 +137 *3990:46 *3996:26 9.17077e-05 +138 *3990:54 *3996:26 0.000102058 +139 *3991:8 *3996:31 0.000328143 +*RES +1 *23087:X *3996:7 20.0186 +2 *3996:7 *3996:10 32.8782 +3 *3996:10 *3996:17 46.8602 +4 *3996:17 *3996:26 47.2456 +5 *3996:26 *3996:31 16.4752 +6 *3996:31 *3996:33 3.49641 +7 *3996:33 *6106:DIODE 9.97254 +8 *3996:33 *23101:C 9.82786 +9 *3996:31 *3996:48 14.2218 +10 *3996:48 *23106:A 14.4725 +11 *3996:48 *3996:65 32.9543 +12 *3996:65 *3996:70 46.3678 +13 *3996:70 *3996:73 11.7303 +14 *3996:73 *3996:75 3.90826 +15 *3996:75 *3996:79 7.57775 +16 *3996:79 *23740:A1 9.97254 +17 *3996:79 *3996:86 3.49641 +18 *3996:86 *23088:B 9.82786 +19 *3996:86 *23095:B 13.8789 +20 *3996:75 *23215:A 29.6397 +21 *3996:73 *23664:A1 13.7491 +22 *3996:65 *23238:B1 16.1605 +*END + +*D_NET *3997 0.00332377 +*CONN +*I *23233:A I *D sky130_fd_sc_hd__or2_2 +*I *23089:B I *D sky130_fd_sc_hd__or2_1 +*I *23109:A I *D sky130_fd_sc_hd__or2_1 +*I *23088:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23233:A 0.000143507 +2 *23089:B 0 +3 *23109:A 0.00012856 +4 *23088:X 0.000131166 +5 *3997:11 0.000306062 +6 *3997:6 0.000452175 +7 *23109:A *23109:B 0.000110297 +8 *23109:A *4004:10 0.000133696 +9 *23109:A *4138:112 1.58551e-05 +10 *23109:A *4352:39 0.000133696 +11 *23233:A *23750:A 0.000124038 +12 *3997:6 *4016:13 0.000475858 +13 *3997:6 *4127:36 0.000484223 +14 *3997:11 *4016:13 0.000243661 +15 *3997:11 *4127:36 0.000240184 +16 *3861:202 *23109:A 0.000200794 +*RES +1 *23088:X *3997:6 22.2252 +2 *3997:6 *3997:11 10.6561 +3 *3997:11 *23109:A 23.2989 +4 *3997:11 *23089:B 9.24915 +5 *3997:6 *23233:A 17.2456 +*END + +*D_NET *3998 0.00153796 +*CONN +*I *23090:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *23089:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23090:A 0.000444967 +2 *23089:X 0.000444967 +3 *23090:A *4228:41 0.000304507 +4 *23089:A *23090:A 2.58757e-05 +5 *3869:8 *23090:A 0.000204184 +6 *3869:55 *23090:A 0.000113456 +*RES +1 *23089:X *23090:A 37.5338 +*END + +*D_NET *3999 0.0279749 +*CONN +*I *23166:A2 I *D sky130_fd_sc_hd__o21ba_1 +*I *23671:A I *D sky130_fd_sc_hd__nor2_1 +*I *23586:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23276:A I *D sky130_fd_sc_hd__nor2_1 +*I *23165:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23163:B I *D sky130_fd_sc_hd__or2_1 +*I *23161:B I *D sky130_fd_sc_hd__nor2_1 +*I *23274:B I *D sky130_fd_sc_hd__nor2_1 +*I *23539:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *23278:A I *D sky130_fd_sc_hd__nor2_1 +*I *23090:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23166:A2 0.000648048 +2 *23671:A 2.59291e-05 +3 *23586:B2 0 +4 *23276:A 7.42409e-05 +5 *23165:A1 0 +6 *23163:B 0 +7 *23161:B 0.00020914 +8 *23274:B 0 +9 *23539:A1 0.000248488 +10 *23278:A 0 +11 *23090:X 0.000232811 +12 *3999:124 0.00163489 +13 *3999:84 0.000300062 +14 *3999:61 0.000494746 +15 *3999:57 0.000410755 +16 *3999:53 0.000384431 +17 *3999:44 0.00068336 +18 *3999:35 0.00248372 +19 *3999:16 0.00277744 +20 *3999:8 0.00178571 +21 *23161:B *23584:A 0.000111722 +22 *23161:B *4491:8 0.000347214 +23 *23166:A2 *23169:B 6.3657e-05 +24 *23166:A2 *23170:A 0.000158451 +25 *23166:A2 *23170:B 6.92705e-05 +26 *23166:A2 *4074:23 7.51959e-05 +27 *23166:A2 *4494:18 9.14092e-05 +28 *23166:A2 *4693:35 0.000235577 +29 *23276:A *23276:B 7.92757e-06 +30 *23276:A *4185:5 3.82228e-05 +31 *23276:A *5592:19 0.000156946 +32 *23539:A1 *23275:B 0 +33 *23539:A1 *23536:B1 0 +34 *23539:A1 *23536:C1 3.23231e-06 +35 *23539:A1 *23539:A2 1.82127e-05 +36 *23539:A1 *23539:B1 7.05452e-05 +37 *23539:A1 *4008:43 5.22654e-06 +38 *23539:A1 *4008:49 2.68066e-05 +39 *23539:A1 *4392:12 7.14746e-05 +40 *23539:A1 *4446:15 1.20105e-05 +41 *23539:A1 *4574:23 0.000107496 +42 *23539:A1 *4600:22 0.000139435 +43 *23539:A1 *5680:30 1.15389e-05 +44 *23671:A *4576:5 6.3657e-05 +45 *3999:8 *4228:41 0.000157839 +46 *3999:8 *4529:26 6.35458e-05 +47 *3999:16 *4529:26 0.000122183 +48 *3999:16 *4684:34 4.84097e-05 +49 *3999:16 *4686:33 2.54245e-05 +50 *3999:35 *23587:A 7.75401e-05 +51 *3999:35 *23587:C 7.82637e-06 +52 *3999:35 *4187:10 2.65667e-05 +53 *3999:35 *4547:35 0.000126239 +54 *3999:35 *4550:53 8.00779e-06 +55 *3999:35 *4664:33 7.82101e-05 +56 *3999:35 *4665:10 0.000568261 +57 *3999:35 *4686:33 6.1974e-05 +58 *3999:35 *4704:17 8.07154e-05 +59 *3999:35 *4802:18 0.00102979 +60 *3999:35 *4861:14 8.46835e-05 +61 *3999:35 *5671:106 0.000571843 +62 *3999:35 *5852:117 0.000465174 +63 *3999:44 *23276:B 4.49767e-05 +64 *3999:44 *4074:8 0.000536167 +65 *3999:44 *4801:20 0.000205666 +66 *3999:44 *4861:14 0.000111708 +67 *3999:53 *20158:A1 0.000110809 +68 *3999:53 *4074:8 2.55289e-05 +69 *3999:53 *4801:20 0.000707985 +70 *3999:57 *4001:164 6.08467e-05 +71 *3999:61 *4001:164 0.000207266 +72 *3999:61 *5680:30 0.000544589 +73 *3999:84 *23277:A 0.000118485 +74 *3999:124 *4494:18 9.67131e-06 +75 *3999:124 *4538:51 1.92235e-05 +76 *3999:124 *4693:35 1.5714e-05 +77 *3999:124 *5815:64 0.00071078 +78 *22965:A *3999:16 0 +79 *22965:A *3999:35 0 +80 *23161:A *23161:B 5.06066e-05 +81 *23274:A *23539:A1 0.000158451 +82 *23274:A *3999:61 4.21439e-05 +83 *23278:B *3999:35 0.000627109 +84 *23389:A *3999:8 1.03403e-05 +85 *23586:B1 *3999:16 2.02035e-05 +86 *657:181 *3999:35 0.00103732 +87 *1427:87 *23161:B 1.44611e-05 +88 *1455:99 *3999:53 1.19856e-05 +89 *1455:99 *3999:57 5.61389e-05 +90 *1455:99 *3999:61 4.97617e-05 +91 *1521:62 *23161:B 0.000116986 +92 *1521:62 *3999:84 0.000200267 +93 *1699:42 *23161:B 2.92975e-05 +94 *1809:35 *3999:35 0.000250775 +95 *2660:32 *23166:A2 3.20683e-05 +96 *2785:63 *3999:35 0.000250775 +97 *3368:53 *23161:B 2.18741e-05 +98 *3368:53 *3999:53 0.000673354 +99 *3368:53 *3999:84 2.5131e-05 +100 *3373:24 *3999:35 1.81094e-05 +101 *3671:57 *3999:53 2.16355e-05 +102 *3671:57 *3999:57 1.34424e-05 +103 *3833:207 *23166:A2 3.95516e-05 +104 *3869:8 *3999:8 0.00031321 +105 *3869:10 *3999:8 1.04747e-05 +106 *3869:10 *3999:16 0.000337906 +107 *3869:12 *3999:16 0.000461046 +108 *3872:73 *3999:124 6.21488e-06 +109 *3872:104 *3999:35 0.000190644 +110 *3874:92 *3999:35 0.00019527 +111 *3877:134 *3999:35 0.000791851 +112 *3980:108 *3999:16 0.000236506 +113 *3980:124 *3999:16 0.000218518 +114 *3980:124 *3999:35 0.000128833 +*RES +1 *23090:X *3999:8 20.6647 +2 *3999:8 *3999:16 19.3337 +3 *3999:16 *3999:35 46.4072 +4 *3999:35 *23278:A 9.24915 +5 *3999:35 *3999:44 15.915 +6 *3999:44 *3999:53 19.171 +7 *3999:53 *3999:57 2.41132 +8 *3999:57 *3999:61 8.51196 +9 *3999:61 *23539:A1 26.1442 +10 *3999:61 *23274:B 9.24915 +11 *3999:57 *3999:84 8.40826 +12 *3999:84 *23161:B 21.1807 +13 *3999:84 *23163:B 13.7491 +14 *3999:53 *23165:A1 9.24915 +15 *3999:44 *23276:A 16.1605 +16 *3999:16 *23586:B2 13.7491 +17 *3999:8 *3999:124 8.92534 +18 *3999:124 *23671:A 14.4725 +19 *3999:124 *23166:A2 28.8566 +*END + +*D_NET *4000 0.00205292 +*CONN +*I *23092:A I *D sky130_fd_sc_hd__buf_8 +*I *23091:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23092:A 0.000751776 +2 *23091:X 0.000751776 +3 *22923:A *23092:A 3.82228e-05 +4 *3780:29 *23092:A 8.3078e-05 +5 *3791:15 *23092:A 0.00012568 +6 *3799:42 *23092:A 0.000122068 +7 *3833:12 *23092:A 0.000180317 +*RES +1 *23091:X *23092:A 39.8972 +*END + +*D_NET *4001 0.0753702 +*CONN +*I *23601:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23205:B I *D sky130_fd_sc_hd__nor2_1 +*I *23674:A3 I *D sky130_fd_sc_hd__o311a_1 +*I *23504:A I *D sky130_fd_sc_hd__nor2_1 +*I *23173:A I *D sky130_fd_sc_hd__or2_1 +*I *23287:B I *D sky130_fd_sc_hd__or2_1 +*I *23506:A I *D sky130_fd_sc_hd__inv_2 +*I *23144:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *23159:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *23165:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *23153:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23147:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23137:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *23140:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23092:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *23601:A2 0.000163908 +2 *23205:B 0 +3 *23674:A3 2.3451e-05 +4 *23504:A 0.000832702 +5 *23173:A 7.51248e-05 +6 *23287:B 0 +7 *23506:A 0 +8 *23144:A1 0.000242301 +9 *23159:A1 0.000377686 +10 *23165:A2 0 +11 *23153:A1 2.06324e-05 +12 *23147:A1 0 +13 *23137:A2 0.00011041 +14 *23140:A1 0.00013597 +15 *23092:X 0.000253918 +16 *4001:250 0.000913073 +17 *4001:244 0.00177376 +18 *4001:201 0.00223126 +19 *4001:164 0.00111689 +20 *4001:152 0.00229719 +21 *4001:138 0.00117071 +22 *4001:129 0.000372793 +23 *4001:126 0.00246706 +24 *4001:106 0.00247978 +25 *4001:92 0.00148438 +26 *4001:91 0.00160271 +27 *4001:87 0.000989345 +28 *4001:75 0.00185509 +29 *4001:68 0.00172497 +30 *4001:60 0.00205095 +31 *4001:53 0.00159619 +32 *4001:26 0.00415974 +33 *4001:9 0.00411115 +34 *23137:A2 *23137:B2 6.08467e-05 +35 *23137:A2 *4541:22 0.000100645 +36 *23137:A2 *5592:17 0.000154145 +37 *23140:A1 *23140:B1 7.96619e-05 +38 *23140:A1 *23140:D1 1.50924e-05 +39 *23140:A1 *23520:A 4.12833e-05 +40 *23140:A1 *4022:40 2.57847e-05 +41 *23140:A1 *4022:48 5.33265e-05 +42 *23140:A1 *5082:72 4.49203e-05 +43 *23144:A1 *23147:A2 6.3657e-05 +44 *23144:A1 *23147:B1 5.99955e-05 +45 *23144:A1 *4017:38 3.88655e-06 +46 *23144:A1 *4054:7 0.000205101 +47 *23144:A1 *4481:13 1.5714e-05 +48 *23159:A1 *4693:15 5.84166e-05 +49 *23159:A1 *5671:100 5.84166e-05 +50 *23173:A *4081:11 0.000270747 +51 *23173:A *4120:34 0.000270747 +52 *23504:A *23544:A 6.50586e-05 +53 *23504:A *23545:B 0.000296903 +54 *23504:A *23736:A 0.000143032 +55 *23504:A *4450:45 2.57847e-05 +56 *23504:A *4452:7 5.20546e-06 +57 *23601:A2 *4114:7 7.34948e-06 +58 *23601:A2 *4213:6 0.000320272 +59 *23601:A2 *4873:54 0.000316675 +60 *23674:A3 *23227:A 1.03403e-05 +61 *23674:A3 *4579:14 6.08467e-05 +62 *4001:9 *5624:100 0.000439051 +63 *4001:9 *5629:112 0.00019364 +64 *4001:26 *23663:A 1.28671e-05 +65 *4001:26 *4014:10 8.03699e-06 +66 *4001:26 *4116:38 0.000148379 +67 *4001:26 *4396:35 0 +68 *4001:26 *4531:14 1.66771e-05 +69 *4001:26 *5918:14 0.000306256 +70 *4001:53 *23177:A 0 +71 *4001:53 *23446:C 0.000649391 +72 *4001:53 *23626:D 4.80148e-05 +73 *4001:53 *23778:B1 0.000137921 +74 *4001:53 *4090:9 0 +75 *4001:53 *4106:95 2.61955e-05 +76 *4001:53 *4127:36 0.000354245 +77 *4001:53 *4353:19 6.21462e-05 +78 *4001:53 *4371:48 5.05252e-05 +79 *4001:53 *4530:18 2.1558e-06 +80 *4001:53 *4531:14 0.000338189 +81 *4001:53 *4681:20 4.47123e-05 +82 *4001:60 *4015:8 0.000405614 +83 *4001:60 *4016:13 0.000189496 +84 *4001:60 *4127:36 0.000255979 +85 *4001:68 *23095:A 5.04829e-06 +86 *4001:68 *4004:10 6.7671e-06 +87 *4001:68 *4016:13 0.000625419 +88 *4001:68 *4089:40 0.000216088 +89 *4001:68 *4127:36 0.000618209 +90 *4001:68 *4352:39 0.000210445 +91 *4001:75 *23651:B1 8.09106e-06 +92 *4001:75 *23819:B 1.28462e-05 +93 *4001:75 *4011:22 0.000150552 +94 *4001:75 *4021:10 0.00153806 +95 *4001:75 *4089:40 0.00251239 +96 *4001:75 *4352:34 6.38982e-06 +97 *4001:75 *4352:39 0.000959321 +98 *4001:75 *4547:35 5.74227e-06 +99 *4001:75 *4664:33 7.40684e-06 +100 *4001:75 *4681:20 0.000102893 +101 *4001:87 *23780:B 0.000627744 +102 *4001:87 *23813:D 0.000121956 +103 *4001:87 *4228:45 4.45277e-05 +104 *4001:87 *4415:123 0.000261741 +105 *4001:87 *4635:18 0.000285703 +106 *4001:87 *4676:27 5.04829e-06 +107 *4001:87 *4681:20 4.04447e-05 +108 *4001:91 *23780:B 0.000233537 +109 *4001:91 *23813:D 0.00074054 +110 *4001:91 *5453:29 0.000484995 +111 *4001:92 *23520:A 0.000261751 +112 *4001:92 *23570:B 0.000194379 +113 *4001:92 *23848:A 0.00018109 +114 *4001:92 *4024:70 0.000285599 +115 *4001:92 *4138:78 0.000515022 +116 *4001:92 *4683:6 0.000359655 +117 *4001:92 *5082:72 0.000628496 +118 *4001:92 *5082:80 9.57557e-06 +119 *4001:106 *5082:72 0.000344399 +120 *4001:106 *5909:38 9.42394e-05 +121 *4001:126 *23666:C1 0.000345029 +122 *4001:126 *4137:28 0.000961117 +123 *4001:126 *4481:13 0.000145266 +124 *4001:126 *4627:23 1.70357e-05 +125 *4001:126 *4744:20 0 +126 *4001:126 *5909:38 9.60005e-05 +127 *4001:129 *4017:38 1.83332e-05 +128 *4001:129 *4481:13 3.55859e-05 +129 *4001:138 *23145:B 6.50586e-05 +130 *4001:138 *23153:A2 3.99086e-06 +131 *4001:138 *23153:B1 2.16355e-05 +132 *4001:138 *23530:A 6.08467e-05 +133 *4001:138 *4054:7 0.000250254 +134 *4001:138 *4169:50 1.82679e-05 +135 *4001:152 *23153:B1 6.11872e-05 +136 *4001:152 *23154:B1 4.00504e-05 +137 *4001:164 *23539:A2 0.000133334 +138 *4001:164 *4289:28 0.00082919 +139 *4001:164 *4392:12 6.27293e-05 +140 *4001:164 *4600:22 0.00119158 +141 *4001:164 *4601:14 1.80234e-05 +142 *4001:164 *4602:27 0.00021689 +143 *4001:164 *4803:12 0.000173182 +144 *4001:164 *5680:29 0.000119335 +145 *4001:244 *4097:8 0.000780711 +146 *4001:244 *4538:15 0.000419128 +147 *4001:244 *4550:11 0.00182418 +148 *4001:244 *4602:34 0.000116169 +149 *4001:250 *23296:A1 6.96356e-05 +150 *4001:250 *23296:A2 6.08467e-05 +151 *4001:250 *23297:B1 0.000157806 +152 *4001:250 *23677:B 2.16355e-05 +153 *4001:250 *23751:A2 4.01378e-06 +154 *4001:250 *4114:7 0 +155 *4001:250 *4118:8 0.000157724 +156 *4001:250 *4120:70 0.000199309 +157 *4001:250 *4561:14 2.35364e-05 +158 *4001:250 *4582:8 0.000211478 +159 *22938:C *4001:26 3.05582e-05 +160 *23095:B *4001:68 0.000162663 +161 *23137:B1 *23137:A2 1.65872e-05 +162 *23144:B1 *23144:A1 8.03287e-05 +163 *23145:A *4001:138 6.08467e-05 +164 *23167:A *4001:60 4.19212e-05 +165 *23205:A *23601:A2 7.73257e-05 +166 *23205:A *4001:250 5.68743e-05 +167 *23416:A *4001:53 0.000261345 +168 *23601:A1 *23601:A2 5.04829e-06 +169 *23624:A1 *4001:53 1.55462e-05 +170 *23624:B1 *4001:53 0.000349557 +171 *23625:A4 *4001:26 0.000142582 +172 *23777:A *4001:53 7.12079e-05 +173 *23777:B *4001:53 9.60216e-05 +174 *566:136 *23504:A 0.000148144 +175 *1587:80 *23144:A1 1.43848e-05 +176 *1668:85 *4001:164 0 +177 *1744:141 *23153:A1 0 +178 *1744:141 *4001:138 0.000620107 +179 *1744:141 *4001:152 0.000144254 +180 *1829:73 *4001:68 0.000110306 +181 *2660:68 *4001:92 0.000272063 +182 *2753:19 *4001:164 3.37008e-05 +183 *2753:21 *4001:164 2.50898e-05 +184 *2784:42 *4001:126 2.96611e-05 +185 *2784:42 *4001:152 0.000161322 +186 *2836:20 *4001:126 9.77482e-06 +187 *2839:27 *23159:A1 0.00035344 +188 *2963:93 *4001:126 0 +189 *3671:57 *4001:164 0.000103139 +190 *3780:29 *4001:9 0.000589697 +191 *3780:29 *4001:26 1.09551e-05 +192 *3780:32 *4001:26 0.00017959 +193 *3786:38 *4001:244 0.000103759 +194 *3793:7 *4001:9 1.21461e-06 +195 *3793:7 *4001:244 3.99086e-06 +196 *3793:30 *4001:26 6.88908e-05 +197 *3827:16 *4001:26 0.000108077 +198 *3827:41 *4001:26 0.000814261 +199 *3829:97 *4001:91 5.04829e-06 +200 *3833:80 *23140:A1 6.36477e-05 +201 *3833:106 *4001:126 0.000159896 +202 *3833:115 *4001:138 1.37189e-05 +203 *3834:21 *4001:201 2.40931e-05 +204 *3842:141 *4001:92 0.000485433 +205 *3842:150 *4001:92 1.87269e-05 +206 *3842:183 *23144:A1 0.00030153 +207 *3846:20 *4001:26 9.58043e-06 +208 *3846:20 *4001:53 0.000212744 +209 *3857:18 *4001:244 0.000193059 +210 *3864:15 *4001:26 5.89607e-05 +211 *3868:38 *4001:244 0.000726299 +212 *3869:55 *4001:201 0.000105901 +213 *3870:47 *4001:53 2.05772e-05 +214 *3872:104 *4001:87 0.00014038 +215 *3874:77 *4001:75 5.79411e-05 +216 *3874:77 *4001:87 8.95511e-05 +217 *3886:8 *4001:152 3.55968e-05 +218 *3891:122 *4001:92 0.000662732 +219 *3895:26 *23137:A2 9.72199e-05 +220 *3926:8 *4001:9 0.000190028 +221 *3974:60 *4001:26 0.00059476 +222 *3974:107 *4001:126 0.00013489 +223 *3989:52 *4001:201 0.000345048 +224 *3990:54 *4001:26 3.51785e-06 +225 *3991:21 *4001:26 0 +226 *3991:22 *4001:60 0.000184267 +227 *3999:57 *4001:164 6.08467e-05 +228 *3999:61 *4001:164 0.000207266 +*RES +1 *23092:X *4001:9 29.1208 +2 *4001:9 *4001:26 24.4567 +3 *4001:26 *4001:53 47.4209 +4 *4001:53 *4001:60 17.6171 +5 *4001:60 *4001:68 27.6481 +6 *4001:68 *4001:75 49.7183 +7 *4001:75 *4001:87 27.348 +8 *4001:87 *4001:91 19.0885 +9 *4001:91 *4001:92 45.2258 +10 *4001:92 *23140:A1 18.0635 +11 *4001:92 *4001:106 3.87761 +12 *4001:106 *23137:A2 20.9902 +13 *4001:106 *4001:126 9.95309 +14 *4001:126 *4001:129 5.29386 +15 *4001:129 *23147:A1 9.24915 +16 *4001:129 *4001:138 11.4538 +17 *4001:138 *23153:A1 9.82786 +18 *4001:138 *4001:152 12.0749 +19 *4001:152 *4001:164 43.6419 +20 *4001:164 *23165:A2 9.24915 +21 *4001:152 *23159:A1 18.9889 +22 *4001:126 *23144:A1 21.9404 +23 *4001:87 *23506:A 9.24915 +24 *4001:68 *23287:B 13.7491 +25 *4001:60 *4001:201 22.9707 +26 *4001:201 *23173:A 22.9879 +27 *4001:201 *23504:A 32.92 +28 *4001:26 *23674:A3 14.4725 +29 *4001:9 *4001:244 49.8085 +30 *4001:244 *4001:250 23.9224 +31 *4001:250 *23205:B 9.24915 +32 *4001:250 *23601:A2 25.8439 +*END + +*D_NET *4002 0.00356546 +*CONN +*I *23094:B I *D sky130_fd_sc_hd__nand2_4 +*I *23105:A I *D sky130_fd_sc_hd__or2b_2 +*I *23093:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *23094:B 3.22273e-05 +2 *23105:A 0.000117197 +3 *23093:X 0.00036497 +4 *4002:9 0.000514395 +5 *23094:B *4305:7 0.000107496 +6 *23094:B *5456:151 3.31745e-05 +7 *4002:9 *4006:6 0.000867219 +8 *4002:9 *4305:7 0.000207266 +9 *4002:9 *5456:151 7.63284e-05 +10 *23105:B_N *23105:A 0.000102032 +11 *3792:60 *23105:A 4.94867e-05 +12 *3794:35 *4002:9 0.000870681 +13 *3866:22 *23105:A 0.000222983 +*RES +1 *23093:X *4002:9 36.5869 +2 *4002:9 *23105:A 22.5727 +3 *4002:9 *23094:B 10.5271 +*END + +*D_NET *4003 0.0479768 +*CONN +*I *23213:A I *D sky130_fd_sc_hd__or2_1 +*I *23095:A I *D sky130_fd_sc_hd__or2_1 +*I *23300:A1 I *D sky130_fd_sc_hd__o31ai_4 +*I *23094:Y O *D sky130_fd_sc_hd__nand2_4 +*CAP +1 *23213:A 0 +2 *23095:A 0.000114878 +3 *23300:A1 1.81917e-05 +4 *23094:Y 0.000122862 +5 *4003:61 0.000880335 +6 *4003:57 0.00349717 +7 *4003:54 0.00320278 +8 *4003:42 0.0021653 +9 *4003:41 0.00187798 +10 *4003:36 0.00142655 +11 *4003:34 0.00153487 +12 *4003:29 0.00206334 +13 *4003:9 0.00265573 +14 *4003:8 0.000989138 +15 *23095:A *23288:A 6.08467e-05 +16 *23095:A *4004:10 3.82228e-05 +17 *23095:A *4137:47 4.31703e-05 +18 *23300:A1 *23300:A2 1.09551e-05 +19 *4003:8 *4126:6 0 +20 *4003:8 *4126:21 1.07248e-05 +21 *4003:8 *5456:150 6.87503e-05 +22 *4003:9 *23300:A2 0.000248371 +23 *4003:9 *4106:11 0.000525925 +24 *4003:9 *5456:150 0.00113084 +25 *4003:29 *23300:A2 3.44917e-05 +26 *4003:29 *23300:B1 0.00178377 +27 *4003:29 *24185:RESET_B 0.000102003 +28 *4003:29 *4209:10 0.000683001 +29 *4003:29 *5671:269 6.08467e-05 +30 *4003:29 *5671:277 0.000704618 +31 *4003:29 *6012:12 0.000318399 +32 *4003:34 *24185:RESET_B 0.000591504 +33 *4003:34 *4374:32 1.3813e-05 +34 *4003:36 *24472:CLK 0.000178443 +35 *4003:36 *4146:26 0.000481629 +36 *4003:36 *4374:32 0.00259492 +37 *4003:36 *4538:20 0.000867257 +38 *4003:41 *20715:A1 0.000247443 +39 *4003:42 *4146:26 7.86782e-05 +40 *4003:42 *4550:19 0.000118485 +41 *4003:42 *4665:58 0.00444404 +42 *4003:54 *4665:57 2.58052e-05 +43 *4003:54 *4932:127 0.000248869 +44 *4003:54 *5861:112 2.02334e-05 +45 *4003:57 *4665:45 6.86756e-06 +46 *4003:57 *4665:50 0.00346689 +47 *4003:61 *23288:A 0.00013673 +48 *4003:61 *4123:8 6.50727e-05 +49 *4003:61 *4124:35 0.000224408 +50 *4003:61 *4199:7 0.000111722 +51 *4003:61 *4665:45 1.48894e-05 +52 *20934:A2 *4003:54 4.94943e-05 +53 *20934:B2 *4003:57 8.54423e-05 +54 *21057:B2 *4003:29 0.000264586 +55 *23095:B *4003:61 0 +56 *24177:D *4003:29 0.000151436 +57 *24192:D *4003:36 0.000101133 +58 *657:218 *4003:36 0.00113677 +59 *1574:20 *4003:54 0.000194926 +60 *1809:160 *4003:36 0 +61 *2168:12 *4003:42 0.000240104 +62 *2168:14 *4003:42 0.000603328 +63 *2168:28 *4003:42 1.3807e-05 +64 *2615:8 *4003:42 3.7054e-05 +65 *2615:8 *4003:54 0.000227874 +66 *2765:8 *4003:42 0.00187823 +67 *2765:14 *4003:42 9.05519e-05 +68 *3027:8 *4003:42 0.00134328 +69 *3027:8 *4003:54 3.31194e-06 +70 *3035:23 *4003:54 4.82219e-06 +71 *3081:38 *4003:34 0 +72 *3081:38 *4003:36 0 +73 *3089:86 *4003:34 0.000116942 +74 *3857:18 *4003:36 0.000109469 +75 *3864:12 *4003:8 1.53273e-05 +76 *3958:20 *4003:61 0.000347214 +77 *3974:11 *4003:9 0.000128804 +78 *3974:33 *4003:9 0.00051602 +79 *4001:68 *23095:A 5.04829e-06 +*RES +1 *23094:Y *4003:8 20.9116 +2 *4003:8 *4003:9 30.1174 +3 *4003:9 *23300:A1 9.82786 +4 *4003:9 *4003:29 48.7087 +5 *4003:29 *4003:34 15.9927 +6 *4003:34 *4003:36 61.0054 +7 *4003:36 *4003:41 11.9418 +8 *4003:41 *4003:42 83.8443 +9 *4003:42 *4003:54 19.4041 +10 *4003:54 *4003:57 44.8385 +11 *4003:57 *4003:61 18.0728 +12 *4003:61 *23095:A 12.191 +13 *4003:61 *23213:A 9.24915 +*END + +*D_NET *4004 0.00375886 +*CONN +*I *23098:A I *D sky130_fd_sc_hd__or2_1 +*I *23112:B I *D sky130_fd_sc_hd__or2_1 +*I *23095:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23098:A 0 +2 *23112:B 0.000155872 +3 *23095:X 0.000526194 +4 *4004:10 0.000682066 +5 *23112:B *23102:B 0.000107496 +6 *23112:B *23109:B 0.000110306 +7 *23112:B *23112:A 6.50727e-05 +8 *23112:B *23115:A 0.000228112 +9 *23112:B *4016:13 0.000228112 +10 *4004:10 *23513:A 7.50722e-05 +11 *4004:10 *4127:36 0.000846273 +12 *4004:10 *4352:39 0.000283618 +13 *23095:A *4004:10 3.82228e-05 +14 *23095:B *4004:10 1.03403e-05 +15 *23109:A *4004:10 0.000133696 +16 *3848:35 *23112:B 6.08467e-05 +17 *3861:202 *23112:B 0.000200794 +18 *4001:68 *4004:10 6.7671e-06 +*RES +1 *23095:X *4004:10 36.311 +2 *4004:10 *23112:B 25.6833 +3 *4004:10 *23098:A 9.24915 +*END + +*D_NET *4005 0.00129946 +*CONN +*I *23111:A I *D sky130_fd_sc_hd__nand2_4 +*I *23097:B I *D sky130_fd_sc_hd__or2_4 +*I *23096:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23111:A 4.81507e-05 +2 *23097:B 0.000115682 +3 *23096:Y 5.4528e-05 +4 *4005:5 0.000218361 +5 *23097:B *4219:22 0 +6 *22893:B *23097:B 0.000190013 +7 *23080:A *23111:A 0.000123176 +8 *23080:A *4005:5 7.89747e-05 +9 *1809:184 *23111:A 0.000263305 +10 *1809:184 *4005:5 0.000207266 +*RES +1 *23096:Y *4005:5 11.6364 +2 *4005:5 *23097:B 21.7421 +3 *4005:5 *23111:A 12.7697 +*END + +*D_NET *4006 0.0441293 +*CONN +*I *23107:B I *D sky130_fd_sc_hd__or2_1 +*I *23098:B I *D sky130_fd_sc_hd__or2_1 +*I *23109:B I *D sky130_fd_sc_hd__or2_1 +*I *23102:B I *D sky130_fd_sc_hd__or2_1 +*I *23097:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *23107:B 0.00103809 +2 *23098:B 0 +3 *23109:B 5.8091e-05 +4 *23102:B 7.95558e-05 +5 *23097:X 0.000776025 +6 *4006:40 0.00289309 +7 *4006:30 0.00520738 +8 *4006:24 0.00284371 +9 *4006:22 0.00176856 +10 *4006:17 0.00205024 +11 *4006:6 0.00248757 +12 *23102:B *23102:A 0.000107496 +13 *23102:B *4138:112 9.22246e-05 +14 *23107:B *23470:A2 0.000154145 +15 *23107:B *23470:C1 6.2497e-05 +16 *23107:B *23470:D1 4.37866e-05 +17 *23107:B *23590:A2 0.000353672 +18 *23107:B *23758:B 9.31078e-05 +19 *23107:B *4249:13 3.73375e-05 +20 *23107:B *4367:15 0.000177677 +21 *23107:B *4377:15 6.08467e-05 +22 *23107:B *4470:15 0.000164815 +23 *23107:B *4496:26 7.98214e-05 +24 *23107:B *4591:11 4.45999e-05 +25 *23109:B *4138:112 9.79265e-05 +26 *4006:6 *4219:22 0.000114112 +27 *4006:17 *24152:CLK 0.000379666 +28 *4006:17 *25355:A 0.000355638 +29 *4006:17 *4880:66 0.000110297 +30 *4006:17 *5458:114 0.000606265 +31 *4006:17 *5458:122 9.06655e-05 +32 *4006:17 *5831:8 0.000340697 +33 *4006:22 *5454:96 0.001208 +34 *4006:22 *5927:8 0.000326109 +35 *4006:22 *5930:14 0.00194809 +36 *4006:24 *4149:18 0.00245435 +37 *4006:24 *5453:71 0.000902102 +38 *4006:24 *5927:8 0.00210698 +39 *4006:24 *5930:14 0.00319833 +40 *4006:30 *23835:B1 5.04829e-06 +41 *4006:30 *4336:20 0.000124435 +42 *4006:40 *23427:D_N 0.000151726 +43 *4006:40 *23429:C 0.000729634 +44 *4006:40 *4016:13 9.0891e-05 +45 *4006:40 *4126:124 0 +46 *4006:40 *4127:36 9.0891e-05 +47 *4006:40 *4237:34 1.74106e-05 +48 *4006:40 *4326:31 0.000224353 +49 *4006:40 *4336:20 0.000301891 +50 *4006:40 *4619:26 0.000832675 +51 *4006:40 *4661:27 0 +52 *4006:40 *4695:56 1.2856e-05 +53 *4006:40 *4735:12 0.000275703 +54 *22893:B *4006:6 0 +55 *23018:B *23107:B 0.000209388 +56 *23109:A *23109:B 0.000110297 +57 *23112:B *23102:B 0.000107496 +58 *23112:B *23109:B 0.000110306 +59 *23430:B1 *4006:40 0.000113512 +60 *23589:A *23107:B 0.000161252 +61 *23834:A2 *23107:B 7.5301e-06 +62 *24150:D *4006:17 1.77324e-05 +63 *24152:D *4006:17 0.000371672 +64 *3792:56 *4006:6 0.000539743 +65 *3792:60 *4006:6 0.000891002 +66 *3794:35 *4006:6 0.000235995 +67 *3829:75 *4006:40 0.000108986 +68 *3833:63 *4006:40 6.26248e-06 +69 *3861:18 *4006:30 0.000369866 +70 *3861:18 *4006:40 0.00102406 +71 *3861:29 *4006:40 0 +72 *3861:202 *23102:B 1.15389e-05 +73 *3861:202 *23109:B 1.5962e-05 +74 *3877:106 *4006:40 4.57485e-06 +75 *3891:176 *4006:40 1.41073e-05 +76 *3914:10 *4006:30 0.000107758 +77 *3922:79 *4006:40 0 +78 *3927:25 *23107:B 1.37669e-05 +79 *3927:27 *23107:B 4.66492e-05 +80 *3928:7 *23107:B 0.000116111 +81 *3980:49 *23107:B 0.000403493 +82 *3980:53 *23107:B 0.000338754 +83 *3980:93 *23107:B 3.31745e-05 +84 *4002:9 *4006:6 0.000867219 +*RES +1 *23097:X *4006:6 46.3098 +2 *4006:6 *4006:17 47.2045 +3 *4006:17 *4006:22 36.2912 +4 *4006:22 *4006:24 105.486 +5 *4006:24 *4006:30 33.1765 +6 *4006:30 *4006:40 47.8108 +7 *4006:40 *23102:B 12.191 +8 *4006:40 *23109:B 12.191 +9 *4006:40 *23098:B 9.24915 +10 *4006:30 *23107:B 42.9985 +*END + +*D_NET *4007 0.0118429 +*CONN +*I *23099:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *23098:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23099:A 0.000690714 +2 *23098:X 7.6077e-05 +3 *4007:8 0.00202434 +4 *4007:7 0.0014097 +5 *23099:A *23113:A 0.000247443 +6 *23099:A *23523:A1 0.000296893 +7 *23099:A *23650:A3 0.000110384 +8 *23099:A *4018:39 0.000691962 +9 *23099:A *4415:29 6.94943e-05 +10 *23099:A *4487:36 0.000376997 +11 *23099:A *4488:19 6.50727e-05 +12 *4007:7 *4138:112 0.000111802 +13 *4007:8 *23647:A1 3.809e-06 +14 *4007:8 *23649:A2 0.000743364 +15 *4007:8 *23650:A3 0 +16 *4007:8 *23651:A3 9.72199e-05 +17 *4007:8 *23828:B2 3.07835e-05 +18 *4007:8 *23852:A 1.00004e-05 +19 *4007:8 *4018:23 3.51145e-05 +20 *4007:8 *4018:39 0.000326937 +21 *4007:8 *4021:10 6.39754e-06 +22 *4007:8 *4127:36 0.00125674 +23 *4007:8 *4352:34 0.00156536 +24 *4007:8 *4352:39 5.61517e-05 +25 *4007:8 *4538:61 1.23804e-05 +26 *4007:8 *4540:14 0.000188467 +27 *4007:8 *4550:58 1.74249e-05 +28 *4007:8 *4550:67 5.58445e-05 +29 *4007:8 *4566:8 0 +30 *4007:8 *4627:24 0.000855891 +31 *4007:8 *5918:14 0.000150552 +32 *1690:95 *23099:A 9.06232e-05 +33 *3868:151 *4007:8 0.000168972 +*RES +1 *23098:X *4007:7 15.0271 +2 *4007:7 *4007:8 55.3995 +3 *4007:8 *23099:A 36.5065 +*END + +*D_NET *4008 0.0193871 +*CONN +*I *23582:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23669:A I *D sky130_fd_sc_hd__nor2_1 +*I *23157:B I *D sky130_fd_sc_hd__nor2_1 +*I *23155:B I *D sky130_fd_sc_hd__or2_1 +*I *23272:B I *D sky130_fd_sc_hd__nor2_1 +*I *23266:B I *D sky130_fd_sc_hd__nor2_1 +*I *23268:A I *D sky130_fd_sc_hd__nor2_1 +*I *23270:A I *D sky130_fd_sc_hd__nor2_1 +*I *23159:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *23160:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23536:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *23099:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23582:B2 0 +2 *23669:A 0 +3 *23157:B 0 +4 *23155:B 0.000239859 +5 *23272:B 8.74429e-06 +6 *23266:B 9.64036e-05 +7 *23268:A 0.000144274 +8 *23270:A 0.000204354 +9 *23159:A2 0 +10 *23160:A2 0 +11 *23536:A1 0.000289128 +12 *23099:X 0.00026407 +13 *4008:125 0.000365734 +14 *4008:104 0.00033653 +15 *4008:81 0.000466835 +16 *4008:75 0.000868092 +17 *4008:66 0.000626746 +18 *4008:54 0.000310211 +19 *4008:49 0.00083681 +20 *4008:43 0.000784881 +21 *4008:26 0.00123781 +22 *4008:13 0.000936836 +23 *23155:B *23158:B 0.000111722 +24 *23155:B *23802:A 6.08467e-05 +25 *23155:B *23802:B 0.000182401 +26 *23155:B *23803:B 3.31745e-05 +27 *23155:B *4441:10 0.000178789 +28 *23266:B *23272:A 6.63489e-05 +29 *23268:A *23273:B 0.000127536 +30 *23270:A *23273:A 0.000107902 +31 *23270:A *4179:5 1.19856e-05 +32 *23270:A *4803:12 8.03951e-06 +33 *23270:A *5671:100 0.000132805 +34 *23272:B *23272:A 4.80635e-06 +35 *23536:A1 *23382:A 2.42327e-05 +36 *23536:A1 *23536:A2 2.50858e-05 +37 *23536:A1 *23536:B1 0.000146304 +38 *23536:A1 *23539:B1 6.78364e-06 +39 *23536:A1 *23668:A 0 +40 *23536:A1 *23669:B 0.000116286 +41 *23536:A1 *4446:15 2.70257e-05 +42 *23536:A1 *6001:49 1.17512e-05 +43 *4008:13 *4488:19 7.13972e-05 +44 *4008:13 *4489:8 1.41689e-05 +45 *4008:13 *5456:41 4.31539e-05 +46 *4008:26 *23382:A 8.62321e-06 +47 *4008:26 *23716:B 9.68902e-05 +48 *4008:26 *4106:169 0.000154145 +49 *4008:26 *4861:14 0.000130777 +50 *4008:26 *4870:8 0.000266435 +51 *4008:26 *6001:49 0.000429177 +52 *4008:43 *4392:20 0.000106246 +53 *4008:43 *4575:13 2.33193e-05 +54 *4008:43 *4600:22 8.20492e-06 +55 *4008:43 *4751:13 0.000302686 +56 *4008:43 *5671:106 8.62625e-06 +57 *4008:49 *23382:A 1.69653e-05 +58 *4008:49 *23537:B 0.00045461 +59 *4008:49 *23669:B 0 +60 *4008:49 *4289:28 0.000294068 +61 *4008:49 *4392:12 0.000998946 +62 *4008:49 *4392:20 7.50872e-05 +63 *4008:49 *4442:11 3.88655e-06 +64 *4008:54 *23160:B1 0.000107496 +65 *4008:54 *23537:B 0.00010623 +66 *4008:54 *4392:12 9.75356e-05 +67 *4008:66 *23160:B1 6.08467e-05 +68 *4008:66 *4693:15 9.24241e-05 +69 *4008:75 *4803:12 0.000839367 +70 *4008:75 *5671:100 0.000355111 +71 *4008:81 *23273:A 3.31882e-05 +72 *4008:81 *4803:12 0.000108488 +73 *4008:81 *5671:100 9.75458e-05 +74 *4008:104 *23272:A 4.58003e-05 +75 *23042:B *23536:A1 6.01588e-05 +76 *23042:B *4008:26 0.000397872 +77 *23100:A *4008:66 8.96314e-06 +78 *23100:A *4008:75 2.20796e-05 +79 *23155:A *23155:B 1.00981e-05 +80 *23163:A *4008:54 5.30803e-05 +81 *23163:A *4008:125 2.58701e-05 +82 *23266:A *23266:B 6.08467e-05 +83 *23270:B *23270:A 0.000133808 +84 *23539:A1 *4008:43 5.22654e-06 +85 *23539:A1 *4008:49 2.68066e-05 +86 *23582:A2 *4008:13 6.08467e-05 +87 *23582:B1 *4008:13 1.61631e-05 +88 *1699:36 *4008:66 9.60216e-05 +89 *1731:89 *23266:B 0.000247443 +90 *1731:89 *4008:104 0.000774416 +91 *1744:141 *23155:B 0 +92 *2632:47 *23268:A 0.000391697 +93 *2704:59 *4008:104 0.000135027 +94 *2753:19 *4008:75 0.000100822 +95 *3002:51 *4008:54 0.000438252 +96 *3002:51 *4008:66 4.66492e-05 +97 *3829:157 *23155:B 0.00019471 +98 *3829:157 *4008:54 1.41976e-05 +99 *3829:157 *4008:125 2.43334e-05 +100 *3872:185 *4008:26 0.000149134 +101 *3874:115 *4008:49 2.01595e-05 +102 *3879:16 *4008:26 5.21063e-05 +103 *3879:41 *4008:26 0.000340114 +104 *3879:50 *4008:13 0.000122083 +105 *3879:50 *4008:26 2.43314e-05 +106 *3886:27 *4008:26 0.000202132 +107 *3974:219 *4008:26 0.000156946 +108 *3980:134 *4008:13 0.000122083 +*RES +1 *23099:X *4008:13 24.7403 +2 *4008:13 *4008:26 40.663 +3 *4008:26 *23536:A1 21.1698 +4 *4008:26 *4008:43 14.7437 +5 *4008:43 *4008:49 20.7382 +6 *4008:49 *4008:54 11.4922 +7 *4008:54 *23160:A2 9.24915 +8 *4008:54 *4008:66 12.2788 +9 *4008:66 *23159:A2 9.24915 +10 *4008:66 *4008:75 22.0073 +11 *4008:75 *4008:81 3.98154 +12 *4008:81 *23270:A 19.7875 +13 *4008:81 *23268:A 18.9335 +14 *4008:75 *4008:104 13.5424 +15 *4008:104 *23266:B 12.7697 +16 *4008:104 *23272:B 9.82786 +17 *4008:49 *4008:125 7.00777 +18 *4008:125 *23155:B 26.4683 +19 *4008:125 *23157:B 9.24915 +20 *4008:43 *23669:A 13.7491 +21 *4008:13 *23582:B2 9.24915 +*END + +*D_NET *4009 0.058021 +*CONN +*I *23297:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23751:A1 I *D sky130_fd_sc_hd__a211o_1 +*I *23661:A I *D sky130_fd_sc_hd__or3_2 +*I *23557:B1 I *D sky130_fd_sc_hd__a2bb2o_1 +*I *23579:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *23154:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23100:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *23297:A1 0.000179369 +2 *23751:A1 7.9018e-05 +3 *23661:A 0.00121676 +4 *23557:B1 0.00139327 +5 *23579:B1 0.000129359 +6 *23154:A1 7.98254e-05 +7 *23100:Y 5.76028e-05 +8 *4009:116 0.00145264 +9 *4009:115 0.00414811 +10 *4009:103 0.00378491 +11 *4009:95 0.00217181 +12 *4009:72 0.00379237 +13 *4009:47 0.00355653 +14 *4009:35 0.00213211 +15 *4009:11 0.00165982 +16 *4009:7 0.00271194 +17 *23154:A1 *23154:B1 0.000107496 +18 *23297:A1 *23297:A2 7.34948e-06 +19 *23297:A1 *23298:D_N 2.18035e-05 +20 *23297:A1 *23751:A2 5.53789e-05 +21 *23297:A1 *4120:70 0.000146098 +22 *23557:B1 *23489:A 6.08467e-05 +23 *23557:B1 *23557:B2 3.75603e-05 +24 *23557:B1 *4396:11 6.36477e-05 +25 *23579:B1 *23154:A2 8.4761e-05 +26 *23579:B1 *23154:B1 2.95757e-05 +27 *23579:B1 *4013:42 3.20069e-06 +28 *23579:B1 *4598:13 1.34679e-05 +29 *23579:B1 *4676:6 0.000254405 +30 *23661:A *23646:A1 1.56458e-05 +31 *23661:A *23661:C 6.08467e-05 +32 *23661:A *4529:25 7.61406e-05 +33 *23661:A *4567:127 0.000406763 +34 *23661:A *4649:15 0.000364787 +35 *23661:A *4735:58 6.50727e-05 +36 *23751:A1 *23548:A 0 +37 *23751:A1 *4654:7 6.87482e-05 +38 *4009:11 *23154:A2 1.92172e-05 +39 *4009:11 *23154:B1 4.66492e-05 +40 *4009:11 *23156:A 0.000360656 +41 *4009:11 *23156:B 7.92757e-06 +42 *4009:11 *23158:A 2.39451e-05 +43 *4009:11 *24527:CLK 6.27053e-06 +44 *4009:11 *4182:8 0.000193956 +45 *4009:11 *4803:12 0.000188982 +46 *4009:35 *23486:A 2.5386e-05 +47 *4009:35 *23539:A2 2.02035e-05 +48 *4009:35 *4070:12 0.00015409 +49 *4009:35 *4182:8 0.000258261 +50 *4009:35 *4288:29 0.000623695 +51 *4009:35 *4393:8 0.000897116 +52 *4009:35 *4444:6 6.71978e-06 +53 *4009:35 *4574:23 0.000141935 +54 *4009:35 *4600:22 0.000185886 +55 *4009:35 *4803:12 0.000249635 +56 *4009:35 *5682:25 7.75632e-05 +57 *4009:47 *23382:B 0.000133129 +58 *4009:47 *23716:B 0.000107496 +59 *4009:47 *4106:169 7.56374e-05 +60 *4009:47 *4292:19 0.000116111 +61 *4009:47 *4392:20 0.000638993 +62 *4009:47 *4446:16 9.22013e-06 +63 *4009:47 *4491:28 2.70439e-05 +64 *4009:47 *4575:19 0.000193956 +65 *4009:47 *5671:106 0.000656359 +66 *4009:72 *23810:C 2.27135e-05 +67 *4009:72 *4396:23 0.000210032 +68 *4009:72 *4446:16 6.03391e-06 +69 *4009:72 *4490:8 7.70344e-05 +70 *4009:72 *5458:13 6.03122e-05 +71 *4009:72 *5671:106 0.000230982 +72 *4009:95 *23810:B 0.000329884 +73 *4009:95 *23815:B 0.000391697 +74 *4009:95 *23816:B 0.000297853 +75 *4009:95 *23829:A 4.30635e-05 +76 *4009:95 *4446:16 0.000552824 +77 *4009:95 *4529:9 3.82228e-05 +78 *4009:95 *4529:25 1.65872e-05 +79 *4009:95 *4727:25 0.00129949 +80 *4009:95 *4728:17 1.11884e-05 +81 *4009:103 *4725:10 0.000211069 +82 *4009:103 *4934:19 0.000162663 +83 *4009:115 *23738:A 5.92192e-05 +84 *4009:115 *23749:B 5.93953e-05 +85 *4009:115 *23804:A 2.15513e-05 +86 *4009:115 *4097:42 0.000198411 +87 *4009:115 *4097:50 0.0022008 +88 *4009:115 *4495:26 3.17436e-05 +89 *4009:115 *4582:30 0.000433522 +90 *4009:115 *4657:17 0 +91 *4009:115 *4723:8 0.000509927 +92 *4009:115 *4800:14 0.000266135 +93 *4009:115 *4873:6 8.7142e-05 +94 *4009:115 *4934:19 0.000614717 +95 *4009:115 *4934:27 0.000415432 +96 *4009:115 *4934:38 0.000575273 +97 *4009:116 *23452:A 6.51527e-05 +98 *4009:116 *23503:A 1.5714e-05 +99 *4009:116 *23786:C 0.000214468 +100 *4009:116 *4120:8 0.00109806 +101 *4009:116 *4120:17 0.00015585 +102 *4009:116 *4120:70 0.000325369 +103 *4009:116 *4136:10 0.000956838 +104 *4009:116 *4357:21 1.17108e-05 +105 *4009:116 *4461:9 0 +106 *4009:116 *4561:28 2.575e-05 +107 *23046:B *4009:95 9.75356e-05 +108 *23100:A *4009:7 1.21461e-06 +109 *23189:B *4009:116 4.3116e-06 +110 *23387:A *4009:72 0.000548352 +111 *23597:B1 *4009:116 0.000301028 +112 *23751:B1 *23751:A1 4.80635e-06 +113 *23810:D_N *23661:A 6.08467e-05 +114 *24527:D *4009:11 8.36597e-05 +115 *584:8 *4009:103 0.000792513 +116 *1482:29 *4009:115 0 +117 *1521:61 *4009:47 0.0004209 +118 *1690:95 *23557:B1 0.0018568 +119 *1699:36 *4009:35 0.000169407 +120 *1809:35 *4009:72 0.000560307 +121 *1809:115 *4009:115 0.000460555 +122 *3002:69 *4009:72 1.90407e-06 +123 *3373:24 *4009:103 8.89343e-05 +124 *3791:106 *4009:95 0.000168598 +125 *3791:118 *4009:35 0.000305846 +126 *3829:16 *23297:A1 2.1228e-06 +127 *3835:27 *4009:116 0.000702029 +128 *3842:204 *4009:35 6.08467e-05 +129 *3842:207 *4009:35 2.95757e-05 +130 *3852:28 *4009:116 0.000372379 +131 *3872:26 *4009:116 2.01595e-05 +132 *3872:119 *23661:A 0.000259275 +133 *3872:164 *23661:A 1.7883e-05 +134 *3874:92 *4009:72 0.00151255 +135 *3886:8 *23579:B1 2.53624e-06 +136 *3888:11 *23579:B1 9.73599e-06 +137 *3888:49 *4009:72 0.000659116 +138 *3978:51 *23751:A1 2.41274e-06 +139 *3978:52 *23297:A1 5.68225e-06 +140 *3978:52 *4009:116 0.000152689 +141 *3980:124 *4009:72 3.77568e-05 +142 *3980:126 *4009:72 7.82239e-06 +*RES +1 *23100:Y *4009:7 14.4725 +2 *4009:7 *4009:11 27.5729 +3 *4009:11 *23154:A1 10.5271 +4 *4009:11 *23579:B1 23.3134 +5 *4009:7 *4009:35 48.277 +6 *4009:35 *4009:47 45.4063 +7 *4009:47 *23557:B1 46.1091 +8 *4009:47 *4009:72 22.868 +9 *4009:72 *23661:A 41.0672 +10 *4009:72 *4009:95 47.6821 +11 *4009:95 *4009:103 34.7529 +12 *4009:103 *4009:115 45.4622 +13 *4009:115 *4009:116 51.0394 +14 *4009:116 *23751:A1 16.1605 +15 *4009:116 *23297:A1 18.2442 +*END + +*D_NET *4010 0.014193 +*CONN +*I *23114:B I *D sky130_fd_sc_hd__or2_1 +*I *23102:A I *D sky130_fd_sc_hd__or2_1 +*I *23101:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23114:B 1.47608e-05 +2 *23102:A 0.000169228 +3 *23101:X 7.89174e-05 +4 *4010:12 0.00144175 +5 *4010:10 0.00222758 +6 *4010:7 0.00104875 +7 *23102:A *4020:59 3.20407e-05 +8 *23102:A *4138:110 3.99086e-06 +9 *23102:A *4138:112 1.58551e-05 +10 *23102:A *4470:16 0.000122841 +11 *23102:A *4695:56 6.00063e-05 +12 *23114:B *4020:59 6.08467e-05 +13 *23114:B *4142:22 6.08467e-05 +14 *4010:10 *23239:A3 0.000158976 +15 *4010:10 *23663:B 3.02072e-05 +16 *4010:10 *4014:10 9.75033e-05 +17 *4010:10 *4031:48 6.37055e-05 +18 *4010:10 *4145:6 3.63593e-05 +19 *4010:10 *4568:10 3.32544e-06 +20 *4010:10 *4568:14 4.65469e-05 +21 *4010:12 *23133:B 0.000234493 +22 *4010:12 *23639:A1 0.000118485 +23 *4010:12 *23639:A2 0.000120544 +24 *4010:12 *23739:C1 1.5714e-05 +25 *4010:12 *4020:54 0.000669323 +26 *4010:12 *4020:59 0.000228327 +27 *4010:12 *4470:15 3.80618e-05 +28 *4010:12 *4470:16 0.00167586 +29 *4010:12 *4568:14 1.15399e-05 +30 *4010:12 *4695:68 3.29488e-05 +31 *4010:12 *5458:55 0.000134164 +32 *23102:B *23102:A 0.000107496 +33 *1809:115 *4010:12 7.77309e-06 +34 *3082:39 *4010:7 0.000107496 +35 *3782:26 *4010:10 2.57465e-06 +36 *3822:25 *4010:10 7.4921e-05 +37 *3822:25 *4010:12 0.000514244 +38 *3827:41 *4010:10 0.000600135 +39 *3833:20 *4010:10 1.5714e-05 +40 *3848:21 *4010:10 0.001067 +41 *3848:34 *4010:12 4.33434e-05 +42 *3861:202 *23102:A 0.000247443 +43 *3864:28 *4010:12 0.000118485 +44 *3877:33 *4010:10 0.000991301 +45 *3877:58 *4010:10 1.5714e-05 +46 *3877:72 *4010:12 0.000148525 +47 *3877:74 *4010:12 0.000235992 +48 *3877:87 *4010:12 5.56367e-05 +49 *3909:40 *4010:12 0.000230831 +50 *3925:40 *4010:10 0.000322427 +51 *3974:60 *4010:10 1.5714e-05 +52 *3996:73 *4010:12 0.000156769 +53 *3996:75 *4010:12 5.2227e-05 +54 *3996:79 *4010:12 7.77309e-06 +*RES +1 *23101:X *4010:7 15.0271 +2 *4010:7 *4010:10 40.1451 +3 *4010:10 *4010:12 53.1156 +4 *4010:12 *23102:A 19.3535 +5 *4010:12 *23114:B 14.4725 +*END + +*D_NET *4011 0.0168815 +*CONN +*I *23103:A I *D sky130_fd_sc_hd__buf_2 +*I *23102:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23103:A 0 +2 *23102:X 0.00249941 +3 *4011:22 0.00249941 +4 *4011:22 *4016:13 0.000321136 +5 *4011:22 *4089:27 0.00290501 +6 *4011:22 *4127:36 0.000316582 +7 *4011:22 *4137:47 2.2115e-05 +8 *4011:22 *4138:112 6.08467e-05 +9 *4011:22 *4485:8 1.37925e-05 +10 *4011:22 *4538:61 1.80692e-05 +11 *4011:22 *4647:17 0.000133622 +12 *4011:22 *4664:33 7.33213e-06 +13 *4011:22 *4676:27 0.00141201 +14 *4011:22 *4681:20 0.00229874 +15 *4011:22 *5918:14 0.00239211 +16 *4011:22 *5920:19 3.93633e-05 +17 *1757:106 *4011:22 0.00168531 +18 *1808:69 *4011:22 0 +19 *2963:93 *4011:22 1.18005e-05 +20 *3791:118 *4011:22 8.35594e-05 +21 *3893:26 *4011:22 1.07248e-05 +22 *4001:75 *4011:22 0.000150552 +*RES +1 *23102:X *4011:22 47.7215 +2 *4011:22 *23103:A 9.24915 +*END + +*D_NET *4012 0.0132289 +*CONN +*I *23668:A I *D sky130_fd_sc_hd__nor2_1 +*I *23532:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *23151:B I *D sky130_fd_sc_hd__or2_1 +*I *23153:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23149:B I *D sky130_fd_sc_hd__nor2_1 +*I *23264:B I *D sky130_fd_sc_hd__nor2_1 +*I *23104:A I *D sky130_fd_sc_hd__inv_2 +*I *23482:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23260:B I *D sky130_fd_sc_hd__nor2_1 +*I *23103:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23668:A 0.000364216 +2 *23532:A1 1.75482e-05 +3 *23151:B 0.000109504 +4 *23153:A2 0.000127029 +5 *23149:B 0.00045317 +6 *23264:B 2.9191e-05 +7 *23104:A 0 +8 *23482:A1 0.000364907 +9 *23260:B 6.73549e-05 +10 *23103:X 3.07124e-05 +11 *4012:36 0.000478218 +12 *4012:25 0.000407549 +13 *4012:20 0.000534632 +14 *4012:14 0.000809245 +15 *4012:12 0.000284165 +16 *4012:10 0.000600712 +17 *4012:8 0.000643371 +18 *4012:5 0.000609161 +19 *23149:B *23150:A 3.75603e-05 +20 *23149:B *23152:A 1.58551e-05 +21 *23149:B *23154:B1 6.50586e-05 +22 *23151:B *23577:A 0.000110297 +23 *23153:A2 *23153:B1 4.23858e-05 +24 *23260:B *4169:10 6.08467e-05 +25 *23260:B *4169:50 0.00014642 +26 *23264:B *4106:245 4.23858e-05 +27 *23264:B *4126:238 0.000110306 +28 *23482:A1 *23263:A2 0.000228258 +29 *23482:A1 *23792:D 5.88657e-05 +30 *23482:A1 *4137:28 2.4562e-05 +31 *23482:A1 *4347:8 2.48025e-05 +32 *23482:A1 *4663:40 5.07756e-05 +33 *23532:A1 *23536:B1 7.13274e-06 +34 *23532:A1 *23667:A 0 +35 *23532:A1 *4415:69 4.85274e-05 +36 *23668:A *23536:A2 0.000163654 +37 *23668:A *23536:B1 0.000160617 +38 *23668:A *23670:C 4.14276e-05 +39 *23668:A *4487:19 0.000349204 +40 *23668:A *4514:47 4.82882e-05 +41 *23668:A *4751:10 0.000161452 +42 *4012:8 *23539:A2 1.31067e-05 +43 *4012:8 *4415:72 2.71542e-05 +44 *4012:8 *4485:8 0.000396461 +45 *4012:8 *4486:14 6.05293e-05 +46 *4012:10 *23152:B 2.09495e-05 +47 *4012:10 *23578:A 0.000141859 +48 *4012:10 *4169:50 1.2819e-05 +49 *4012:10 *4415:72 3.20069e-06 +50 *4012:10 *4485:8 0.00047311 +51 *4012:10 *4486:14 1.27419e-05 +52 *4012:10 *4676:6 0.000242531 +53 *4012:12 *23152:B 0.000148359 +54 *4012:12 *4486:13 5.46889e-05 +55 *4012:12 *4676:6 8.45896e-06 +56 *4012:14 *23152:B 8.61188e-05 +57 *4012:14 *23578:A 3.71263e-05 +58 *4012:14 *4486:13 0.000188904 +59 *4012:20 *23578:A 8.61936e-05 +60 *4012:20 *4486:13 0.000186445 +61 *4012:20 *4676:6 0.000416877 +62 *4012:25 *4169:50 0.000110306 +63 *4012:25 *4676:6 0.000131793 +64 *4012:36 *4137:28 0.000234493 +65 *22980:B *23668:A 0.000237552 +66 *22980:B *4012:5 6.08467e-05 +67 *23149:A *23149:B 0.000128736 +68 *23155:A *23149:B 1.75637e-06 +69 *23266:A *23260:B 0.000113968 +70 *23266:A *4012:25 0.00038031 +71 *23536:A1 *23668:A 0 +72 *23579:A3 *4012:20 0.000368352 +73 *23579:A3 *4012:25 0.000135406 +74 *1455:99 *4012:10 0.000233174 +75 *1455:99 *4012:12 7.65861e-05 +76 *3829:147 *23151:B 4.23858e-05 +77 *3829:157 *23151:B 5.89592e-05 +78 *3888:24 *4012:20 3.39633e-05 +79 *3893:26 *4012:8 5.05252e-05 +80 *3893:34 *23482:A1 2.36123e-05 +81 *3893:34 *4012:36 8.72619e-05 +82 *3893:42 *23482:A1 0.000129949 +83 *3980:201 *23260:B 3.79808e-05 +84 *4001:138 *23153:A2 3.99086e-06 +*RES +1 *23103:X *4012:5 9.97254 +2 *4012:5 *4012:8 12.1455 +3 *4012:8 *4012:10 15.9506 +4 *4012:10 *4012:12 3.07775 +5 *4012:12 *4012:14 3.493 +6 *4012:14 *4012:20 12.2866 +7 *4012:20 *4012:25 11.7681 +8 *4012:25 *23260:B 12.2151 +9 *4012:25 *4012:36 8.82351 +10 *4012:36 *23482:A1 22.6676 +11 *4012:36 *23104:A 13.7491 +12 *4012:20 *23264:B 15.0271 +13 *4012:14 *23149:B 21.0072 +14 *4012:12 *23153:A2 15.5817 +15 *4012:10 *23151:B 16.691 +16 *4012:8 *23532:A1 14.569 +17 *4012:5 *23668:A 30.8272 +*END + +*D_NET *4013 0.00623683 +*CONN +*I *23154:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *23579:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *23263:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *23760:A1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23262:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *23104:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23154:A2 0.000124542 +2 *23579:B2 0 +3 *23263:A1 0 +4 *23760:A1 0.000266789 +5 *23262:A1 7.98254e-05 +6 *23104:Y 4.56609e-05 +7 *4013:42 0.000691757 +8 *4013:13 0.000494407 +9 *4013:12 0.000222574 +10 *4013:7 0.000687658 +11 *23154:A2 *23154:B1 0.000111722 +12 *23154:A2 *23156:A 0.000160617 +13 *23262:A1 *23263:B1 0.000107496 +14 *23760:A1 *23485:A 0.000533811 +15 *23760:A1 *23760:A2 0.000213725 +16 *23760:A1 *4515:21 0.000218319 +17 *4013:7 *4137:7 2.16355e-05 +18 *4013:12 *23263:A2 8.50356e-05 +19 *4013:12 *4137:28 5.56367e-05 +20 *4013:12 *4347:8 0.000132046 +21 *4013:13 *23263:B1 9.32983e-05 +22 *4013:13 *4663:40 7.08193e-05 +23 *4013:42 *4137:7 3.31745e-05 +24 *4013:42 *4676:6 0.000279715 +25 *23270:B *4013:42 3.7516e-05 +26 *23579:B1 *23154:A2 8.4761e-05 +27 *23579:B1 *4013:42 3.20069e-06 +28 *1427:63 *4013:7 2.16355e-05 +29 *1427:63 *4013:42 0.000167088 +30 *1744:131 *23760:A1 1.80122e-05 +31 *1808:57 *23760:A1 5.19758e-05 +32 *2612:62 *4013:42 5.04829e-06 +33 *3874:124 *4013:42 0.000564092 +34 *3886:8 *23154:A2 0.000216088 +35 *3886:8 *4013:42 7.35876e-05 +36 *3893:42 *23760:A1 0.000218146 +37 *3974:154 *4013:42 7.92757e-06 +38 *3974:162 *4013:42 1.82679e-05 +39 *4009:11 *23154:A2 1.92172e-05 +*RES +1 *23104:Y *4013:7 10.5513 +2 *4013:7 *4013:12 11.6625 +3 *4013:12 *4013:13 2.38721 +4 *4013:13 *23262:A1 10.5271 +5 *4013:13 *23760:A1 28.8421 +6 *4013:12 *23263:A1 9.24915 +7 *4013:7 *4013:42 23.6078 +8 *4013:42 *23579:B2 13.7491 +9 *4013:42 *23154:A2 19.49 +*END + +*D_NET *4014 0.0184696 +*CONN +*I *23106:B I *D sky130_fd_sc_hd__or2_1 +*I *23503:B I *D sky130_fd_sc_hd__or2_1 +*I *23105:X O *D sky130_fd_sc_hd__or2b_2 +*CAP +1 *23106:B 0 +2 *23503:B 0.00024387 +3 *23105:X 0.000907446 +4 *4014:23 0.00142802 +5 *4014:15 0.00125317 +6 *4014:10 0.00141056 +7 *4014:8 0.00224899 +8 *23503:B *23450:A 6.50727e-05 +9 *23503:B *23450:B 7.34948e-06 +10 *23503:B *23452:A 2.16355e-05 +11 *23503:B *23503:A 2.15272e-05 +12 *23503:B *4097:12 2.95757e-05 +13 *23503:B *4097:16 1.42303e-05 +14 *23503:B *4120:8 0.000144712 +15 *23503:B *4120:17 3.65662e-05 +16 *23503:B *4357:21 6.5704e-05 +17 *23503:B *4499:13 0.000100995 +18 *4014:8 *23064:A 0.000367121 +19 *4014:8 *23496:D 0.000231363 +20 *4014:8 *4126:21 0.000189551 +21 *4014:8 *4126:26 6.05448e-05 +22 *4014:8 *5627:135 0.000109859 +23 *4014:10 *22955:A 2.07456e-05 +24 *4014:10 *23337:A1 0.00023141 +25 *4014:10 *4031:48 0.000136736 +26 *4014:10 *4538:12 0.0004041 +27 *4014:10 *4547:8 9.43033e-05 +28 *4014:10 *5624:100 2.71366e-05 +29 *4014:10 *5624:116 7.13655e-06 +30 *4014:23 *23190:A 6.08467e-05 +31 *4014:23 *23448:A 6.11872e-05 +32 *4014:23 *23449:B1 1.43848e-05 +33 *4014:23 *23594:B 0.000298318 +34 *4014:23 *4374:59 0.000416527 +35 *4014:23 *4374:69 0.00023742 +36 *4014:23 *4499:13 1.67988e-05 +37 *22938:C *4014:10 7.00991e-05 +38 *23063:A *4014:8 0.000172676 +39 *23064:C *4014:8 5.44826e-05 +40 *23082:A *4014:10 5.41227e-05 +41 *23101:B *4014:10 9.90501e-05 +42 *23393:A *4014:23 0.000674469 +43 *23496:C *4014:8 0.000414308 +44 *23625:A4 *4014:10 1.40909e-05 +45 *3780:32 *4014:10 0.000163769 +46 *3781:25 *4014:23 0.00043404 +47 *3784:56 *4014:23 3.4123e-05 +48 *3792:60 *4014:8 0 +49 *3793:30 *4014:8 0.000281797 +50 *3793:30 *4014:10 0.000998027 +51 *3794:10 *4014:8 2.21765e-05 +52 *3794:35 *4014:8 0 +53 *3800:72 *4014:10 0.000259569 +54 *3800:74 *4014:10 0.00042813 +55 *3820:8 *4014:8 0.000217099 +56 *3820:10 *4014:8 7.58739e-05 +57 *3820:14 *4014:8 5.53266e-05 +58 *3820:14 *4014:10 7.59581e-05 +59 *3821:6 *4014:10 0 +60 *3831:27 *4014:15 7.98425e-06 +61 *3831:27 *4014:23 5.79057e-05 +62 *3833:12 *4014:10 8.98169e-05 +63 *3833:20 *4014:10 0.00140348 +64 *3833:20 *4014:23 0.000217602 +65 *3835:27 *4014:23 0.000351506 +66 *3846:20 *4014:23 3.99287e-05 +67 *3860:58 *4014:15 0 +68 *3866:22 *4014:8 3.51113e-05 +69 *3868:19 *4014:10 8.61936e-05 +70 *3877:33 *4014:10 0.000175022 +71 *3927:12 *4014:23 0.000224798 +72 *3972:15 *4014:8 9.22013e-06 +73 *3990:54 *4014:10 3.35873e-05 +74 *3996:48 *4014:10 4.77873e-05 +75 *4001:26 *4014:10 8.03699e-06 +76 *4010:10 *4014:10 9.75033e-05 +*RES +1 *23105:X *4014:8 48.8624 +2 *4014:8 *4014:10 56.9018 +3 *4014:10 *4014:15 5.80211 +4 *4014:15 *4014:23 46.5221 +5 *4014:23 *23503:B 26.0271 +6 *4014:15 *23106:B 9.24915 +*END + +*D_NET *4015 0.00851781 +*CONN +*I *23116:B I *D sky130_fd_sc_hd__or2_1 +*I *23167:B I *D sky130_fd_sc_hd__or2_1 +*I *23107:A I *D sky130_fd_sc_hd__or2_1 +*I *23106:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23116:B 6.38138e-05 +2 *23167:B 0 +3 *23107:A 9.67061e-05 +4 *23106:X 7.47734e-05 +5 *4015:10 9.67061e-05 +6 *4015:8 0.00111445 +7 *4015:7 0.00125304 +8 *23107:A *23590:A2 3.81056e-05 +9 *23116:B *4116:38 5.05252e-05 +10 *4015:8 *23181:A 0.000165495 +11 *4015:8 *23563:B1 3.98472e-05 +12 *4015:8 *23674:A1 0.000261052 +13 *4015:8 *23779:D_N 0.000323885 +14 *4015:8 *4031:48 0 +15 *4015:8 *4035:8 0.000146487 +16 *4015:8 *4116:38 0.000375256 +17 *4015:8 *4127:34 5.50649e-05 +18 *4015:8 *4127:36 0.00144606 +19 *4015:8 *5918:14 0.000234229 +20 *23167:A *23107:A 0.000111722 +21 *23654:A1 *4015:8 5.05252e-05 +22 *3786:80 *4015:8 0.000205623 +23 *3787:8 *4015:8 0.00124308 +24 *3831:27 *23116:B 6.50586e-05 +25 *3831:27 *4015:7 0.000113968 +26 *3860:58 *23116:B 2.51527e-05 +27 *3991:21 *4015:8 0.000309224 +28 *3991:22 *4015:8 1.25165e-05 +29 *3996:65 *23116:B 1.90335e-05 +30 *3996:65 *4015:8 0.000120788 +31 *4001:60 *4015:8 0.000405614 +*RES +1 *23106:X *4015:7 15.0271 +2 *4015:7 *4015:8 51.6623 +3 *4015:8 *4015:10 4.5 +4 *4015:10 *23107:A 11.6364 +5 *4015:10 *23167:B 9.24915 +6 *4015:7 *23116:B 16.0527 +*END + +*D_NET *4016 0.0165761 +*CONN +*I *23108:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *23107:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23108:A 0.00216056 +2 *23107:X 4.51842e-05 +3 *4016:13 0.00329389 +4 *4016:7 0.00117852 +5 *23108:A *23746:A 0.00182408 +6 *23108:A *23746:D_N 0.000536246 +7 *23108:A *4089:27 4.47494e-06 +8 *23108:A *4137:28 0.000196634 +9 *23108:A *4415:29 0.000274671 +10 *23108:A *4487:36 0.000111821 +11 *23108:A *4676:27 2.05082e-05 +12 *23108:A *5918:14 0.000295601 +13 *23108:A *5920:19 0.00269626 +14 *4016:13 *23115:A 6.69418e-05 +15 *4016:13 *23828:B1 5.3965e-05 +16 *4016:13 *4127:36 0.000107003 +17 *4016:13 *4137:47 1.16209e-05 +18 *4016:13 *4142:8 2.98969e-05 +19 *23112:B *4016:13 0.000228112 +20 *23167:A *4016:13 0.000196623 +21 *23817:A1 *4016:13 7.65861e-05 +22 *2660:52 *23108:A 2.58866e-05 +23 *2836:20 *23108:A 0.000360938 +24 *2963:93 *23108:A 2.14262e-05 +25 *3831:56 *4016:13 0.00011356 +26 *3861:271 *4016:13 0.000421467 +27 *3974:107 *23108:A 8.73655e-05 +28 *3980:93 *4016:7 6.08467e-05 +29 *3991:22 *4016:13 0.000128946 +30 *3997:6 *4016:13 0.000475858 +31 *3997:11 *4016:13 0.000243661 +32 *4001:60 *4016:13 0.000189496 +33 *4001:68 *4016:13 0.000625419 +34 *4006:40 *4016:13 9.0891e-05 +35 *4011:22 *4016:13 0.000321136 +*RES +1 *23107:X *4016:7 14.4725 +2 *4016:7 *4016:13 44.2294 +3 *4016:13 *23108:A 35.6781 +*END + +*D_NET *4017 0.0147659 +*CONN +*I *23667:A I *D sky130_fd_sc_hd__nor2_1 +*I *23529:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *23853:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *23146:B I *D sky130_fd_sc_hd__or2_1 +*I *23147:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23145:B I *D sky130_fd_sc_hd__or2_1 +*I *23148:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23259:B I *D sky130_fd_sc_hd__nor2_1 +*I *23258:A I *D sky130_fd_sc_hd__nor2_1 +*I *23255:B I *D sky130_fd_sc_hd__nor2_1 +*I *23256:A I *D sky130_fd_sc_hd__nor2_1 +*I *23575:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23108:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23667:A 0.000166849 +2 *23529:A1 3.67696e-05 +3 *23853:A2 0 +4 *23146:B 7.10524e-05 +5 *23147:A2 4.27376e-05 +6 *23145:B 6.72006e-05 +7 *23148:A2 6.0327e-05 +8 *23259:B 0 +9 *23258:A 0.000203715 +10 *23255:B 6.96803e-05 +11 *23256:A 0.000100585 +12 *23575:B2 3.3256e-05 +13 *23108:X 0.000439726 +14 *4017:115 0.000291671 +15 *4017:51 0.000291215 +16 *4017:49 0.000340779 +17 *4017:47 0.000427404 +18 *4017:40 0.00054233 +19 *4017:38 0.000184531 +20 *4017:36 0.000220132 +21 *4017:34 0.000409161 +22 *4017:28 0.000379295 +23 *4017:24 0.000733543 +24 *4017:9 0.000946509 +25 *23145:B *4054:7 4.33819e-05 +26 *23146:B *4055:8 3.24105e-05 +27 *23148:A2 *23148:B1 0.000113968 +28 *23148:A2 *23150:A 6.08467e-05 +29 *23255:B *5082:62 0.000161452 +30 *23256:A *4106:245 8.79845e-05 +31 *23258:A *4168:6 0.000344345 +32 *23258:A *4169:10 0.000244379 +33 *23258:A *4597:29 3.69921e-05 +34 *23529:A1 *23526:C1 0 +35 *23529:A1 *23529:A2 9.95922e-06 +36 *23529:A1 *23529:C1 0 +37 *23575:B2 *5592:19 0.000164843 +38 *23667:A *23529:C1 5.88594e-05 +39 *23667:A *4415:59 1.1718e-05 +40 *23667:A *4415:69 5.64094e-05 +41 *23667:A *4436:7 0.000395985 +42 *4017:9 *4018:39 9.96342e-05 +43 *4017:9 *4058:28 0.000246479 +44 *4017:9 *4347:12 0.00015395 +45 *4017:9 *4487:36 7.50872e-05 +46 *4017:9 *4648:29 6.99799e-05 +47 *4017:9 *5592:19 0.00037825 +48 *4017:24 *23666:C1 0.000272971 +49 *4017:24 *23745:A2 6.13462e-05 +50 *4017:24 *4106:175 0.0002316 +51 *4017:24 *4415:50 2.41348e-05 +52 *4017:24 *4481:13 0.000454241 +53 *4017:24 *4482:6 1.48503e-05 +54 *4017:24 *4567:22 4.60375e-07 +55 *4017:24 *4567:24 0.00034625 +56 *4017:28 *23745:A2 0.000180364 +57 *4017:28 *23853:B1 1.07248e-05 +58 *4017:28 *4481:13 6.61573e-05 +59 *4017:34 *23745:A2 8.01837e-05 +60 *4017:34 *23853:B1 0.000210802 +61 *4017:34 *4481:13 5.68225e-06 +62 *4017:34 *5682:35 0.000346318 +63 *4017:36 *4481:13 2.29151e-05 +64 *4017:38 *4481:13 9.99386e-06 +65 *4017:40 *4481:13 3.69003e-05 +66 *4017:47 *4106:245 0.000154145 +67 *4017:47 *4126:226 6.08467e-05 +68 *4017:47 *4126:238 6.50727e-05 +69 *4017:47 *4481:13 4.15008e-05 +70 *4017:49 *4106:245 1.65872e-05 +71 *4017:51 *4106:245 0.000152901 +72 *4017:115 *23526:A2 1.78704e-05 +73 *4017:115 *23526:C1 1.55025e-05 +74 *4017:115 *4415:59 1.82679e-05 +75 *4017:115 *4436:7 0.000154145 +76 *23141:A *23146:B 7.50156e-05 +77 *23144:A1 *23147:A2 6.3657e-05 +78 *23144:A1 *4017:38 3.88655e-06 +79 *23146:A *23146:B 0.000111722 +80 *23532:A1 *23667:A 0 +81 *23575:A1 *23575:B2 4.88955e-05 +82 *1731:85 *23256:A 1.41976e-05 +83 *1731:89 *23256:A 8.29362e-05 +84 *1731:89 *4017:51 0.000128847 +85 *1808:57 *4017:47 1.41853e-05 +86 *1808:57 *4017:49 4.66492e-05 +87 *1808:57 *4017:51 6.08467e-05 +88 *1808:69 *23258:A 2.95757e-05 +89 *3842:204 *4017:36 0.000195139 +90 *3877:161 *4017:47 0 +91 *3891:96 *23575:B2 6.50727e-05 +92 *3893:10 *4017:24 1.42855e-05 +93 *3898:37 *4017:34 0.00045945 +94 *3898:37 *4017:36 0.000289147 +95 *3898:37 *4017:38 9.25219e-05 +96 *3898:37 *4017:40 0.00013592 +97 *3898:37 *4017:47 0.000545919 +98 *3974:146 *23255:B 0.000161452 +99 *3974:154 *23258:A 6.50727e-05 +100 *4001:129 *4017:38 1.83332e-05 +101 *4001:138 *23145:B 6.50586e-05 +*RES +1 *23108:X *4017:9 32.1613 +2 *4017:9 *23575:B2 11.0817 +3 *4017:9 *4017:24 22.0872 +4 *4017:24 *4017:28 4.64105 +5 *4017:28 *4017:34 11.4561 +6 *4017:34 *4017:36 5.56926 +7 *4017:36 *4017:38 1.832 +8 *4017:38 *4017:40 2.6625 +9 *4017:40 *4017:47 17.0484 +10 *4017:47 *4017:49 0.723396 +11 *4017:49 *4017:51 4.60562 +12 *4017:51 *23256:A 12.191 +13 *4017:51 *23255:B 21.3269 +14 *4017:49 *23258:A 25.7876 +15 *4017:47 *23259:B 9.24915 +16 *4017:40 *23148:A2 15.5817 +17 *4017:38 *23145:B 15.5817 +18 *4017:36 *23147:A2 14.4725 +19 *4017:34 *23146:B 16.1364 +20 *4017:28 *23853:A2 13.7491 +21 *4017:24 *4017:115 6.88721 +22 *4017:115 *23529:A1 10.2378 +23 *4017:115 *23667:A 14.8434 +*END + +*D_NET *4018 0.0130206 +*CONN +*I *23110:A I *D sky130_fd_sc_hd__buf_4 +*I *23109:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23110:A 0 +2 *23109:X 0.000652079 +3 *4018:39 0.00090344 +4 *4018:23 0.00192818 +5 *4018:11 0.00167682 +6 *4018:11 *23324:A 0.000116971 +7 *4018:11 *4089:40 2.38992e-05 +8 *4018:11 *4137:47 6.31925e-05 +9 *4018:11 *4228:45 0.000254405 +10 *4018:11 *5082:99 9.86369e-06 +11 *4018:23 *23649:A2 0.000833625 +12 *4018:23 *23651:A3 9.35482e-05 +13 *4018:23 *23742:B 0.000347824 +14 *4018:23 *23828:B1 2.35709e-05 +15 *4018:23 *23828:B2 0.000130579 +16 *4018:23 *23852:A 0.000145911 +17 *4018:23 *4127:36 0.00064503 +18 *4018:23 *4352:31 0.00086052 +19 *4018:23 *4540:14 0.000183386 +20 *4018:23 *4742:8 2.29454e-05 +21 *4018:39 *23581:A 0 +22 *4018:39 *23649:A2 1.72799e-05 +23 *4018:39 *23785:C 1.69305e-05 +24 *4018:39 *4019:8 0.000113107 +25 *4018:39 *4347:12 0.00103329 +26 *4018:39 *4487:36 0.000395482 +27 *4018:39 *4538:61 0.000145551 +28 *23099:A *4018:39 0.000691962 +29 *23171:A *4018:23 9.94918e-05 +30 *2660:52 *4018:23 0.000359884 +31 *3868:151 *4018:11 0.000110306 +32 *3868:151 *4018:23 4.87073e-05 +33 *3870:8 *4018:11 0.000190057 +34 *3870:47 *4018:11 0.000421053 +35 *4007:8 *4018:23 3.51145e-05 +36 *4007:8 *4018:39 0.000326937 +37 *4017:9 *4018:39 9.96342e-05 +*RES +1 *23109:X *4018:11 39.2922 +2 *4018:11 *4018:23 46.0991 +3 *4018:23 *4018:39 42.4647 +4 *4018:39 *23110:A 9.24915 +*END + +*D_NET *4019 0.0172669 +*CONN +*I *23573:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23666:B1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23854:A1 I *D sky130_fd_sc_hd__o211ai_4 +*I *23526:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *23141:B I *D sky130_fd_sc_hd__or2_1 +*I *23142:B I *D sky130_fd_sc_hd__or2_1 +*I *23144:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *23144:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *23251:A I *D sky130_fd_sc_hd__nor2_1 +*I *23254:B I *D sky130_fd_sc_hd__nor2_1 +*I *23249:B I *D sky130_fd_sc_hd__or2_1 +*I *23252:A I *D sky130_fd_sc_hd__nor2_1 +*I *23110:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23573:B2 0.000634834 +2 *23666:B1 6.6313e-05 +3 *23854:A1 0 +4 *23526:A1 0 +5 *23141:B 3.07124e-05 +6 *23142:B 0.00011469 +7 *23144:A2 2.13527e-05 +8 *23144:B2 0 +9 *23251:A 0.000355131 +10 *23254:B 5.10637e-05 +11 *23249:B 0 +12 *23252:A 0.000259753 +13 *23110:X 0.000169924 +14 *4019:62 0.0005437 +15 *4019:43 0.000458608 +16 *4019:39 0.000457076 +17 *4019:38 0.000231911 +18 *4019:34 0.000266714 +19 *4019:32 0.00065409 +20 *4019:26 0.000726768 +21 *4019:19 0.00044114 +22 *4019:10 0.000575054 +23 *4019:8 0.00103793 +24 *23142:B *23143:D1 0.000117376 +25 *23142:B *4051:18 0.000234742 +26 *23142:B *4126:209 1.88152e-05 +27 *23251:A *23693:A 6.08467e-05 +28 *23251:A *23693:B 7.86066e-05 +29 *23251:A *23693:C 5.34125e-05 +30 *23251:A *23693:D 2.72819e-05 +31 *23251:A *4106:191 0 +32 *23251:A *4163:5 6.08467e-05 +33 *23251:A *4384:10 4.97617e-05 +34 *23251:A *4598:13 1.65872e-05 +35 *23251:A *4662:7 9.95542e-06 +36 *23252:A *23250:A 0.000121331 +37 *23254:B *23693:D 6.78549e-05 +38 *23254:B *4163:8 5.05252e-05 +39 *23254:B *4598:13 6.08467e-05 +40 *23573:B2 *4478:6 3.55968e-05 +41 *23573:B2 *4480:7 1.41976e-05 +42 *23573:B2 *5454:15 2.58204e-05 +43 *23573:B2 *5925:11 0.000282368 +44 *23666:B1 *23666:C1 6.08467e-05 +45 *23666:B1 *4567:98 0.000188291 +46 *23666:B1 *5681:25 1.58551e-05 +47 *4019:8 *23381:B 0.000136695 +48 *4019:8 *23581:A 0.00025456 +49 *4019:8 *23647:B1 7.09666e-06 +50 *4019:8 *4481:14 0.000193106 +51 *4019:8 *4515:21 1.66626e-05 +52 *4019:8 *4648:29 1.79629e-05 +53 *4019:10 *4481:14 0.000447771 +54 *4019:10 *4515:21 0.000452355 +55 *4019:19 *4481:14 9.46238e-05 +56 *4019:19 *4515:21 0.000252623 +57 *4019:19 *4567:38 0.000107496 +58 *4019:19 *4567:51 4.66492e-05 +59 *4019:19 *5680:30 0.000142758 +60 *4019:26 *23851:B 9.2346e-06 +61 *4019:26 *4430:10 0.000169108 +62 *4019:26 *4567:38 0.000156946 +63 *4019:26 *5680:30 0.000298399 +64 *4019:26 *5681:29 1.82679e-05 +65 *4019:32 *23143:A2 0.000400488 +66 *4019:32 *23526:B1 6.08467e-05 +67 *4019:32 *23526:C1 0.000135189 +68 *4019:32 *23529:B1 0.000110297 +69 *4019:32 *23724:A 4.60197e-05 +70 *4019:32 *23745:A1 9.5562e-05 +71 *4019:32 *23745:C1 4.58706e-05 +72 *4019:32 *4744:20 0.000115863 +73 *4019:32 *5082:62 0.000767386 +74 *4019:32 *5929:37 2.57465e-06 +75 *4019:34 *5082:62 4.30282e-05 +76 *4019:34 *5929:37 0.000107237 +77 *4019:38 *5082:62 9.28816e-05 +78 *4019:38 *5929:37 0.000217602 +79 *4019:39 *23144:C1 6.08467e-05 +80 *4019:39 *23745:C1 0.00012147 +81 *4019:43 *23250:A 0.00021217 +82 *4019:43 *23745:C1 0.000149285 +83 *4019:62 *4126:209 4.3116e-06 +84 *23144:B1 *23144:A2 5.09367e-05 +85 *23144:B1 *4019:39 6.3657e-05 +86 *23249:A *4019:43 0.000296893 +87 *23569:A2 *4019:32 1.5714e-05 +88 *23582:B1 *23573:B2 0.000154768 +89 *1438:83 *4019:19 0.00027882 +90 *1587:80 *4019:39 6.48575e-05 +91 *1587:80 *4019:43 4.17605e-05 +92 *3829:137 *23142:B 6.3657e-05 +93 *3829:143 *23142:B 0.000352118 +94 *3842:170 *23141:B 6.08467e-05 +95 *3842:241 *23573:B2 4.54865e-05 +96 *3891:15 *23254:B 6.88784e-05 +97 *3891:15 *4019:62 0.000323917 +98 *3891:122 *23573:B2 6.08467e-05 +99 *3895:26 *23573:B2 4.3663e-05 +100 *3895:27 *4019:32 0 +101 *3898:37 *4019:26 0.000183849 +102 *3937:32 *23252:A 3.40805e-05 +103 *3974:146 *23252:A 0.000102687 +104 *3980:195 *23254:B 7.77309e-06 +105 *3980:195 *4019:39 9.76046e-05 +106 *3980:195 *4019:43 0.000247443 +107 *3980:195 *4019:62 0.000133814 +108 *4018:39 *4019:8 0.000113107 +*RES +1 *23110:X *4019:8 20.3916 +2 *4019:8 *4019:10 9.09891 +3 *4019:10 *4019:19 14.8819 +4 *4019:19 *4019:26 15.9894 +5 *4019:26 *4019:32 24.8219 +6 *4019:32 *4019:34 2.24725 +7 *4019:34 *4019:38 8.40826 +8 *4019:38 *4019:39 4.60562 +9 *4019:39 *4019:43 7.95736 +10 *4019:43 *23252:A 24.9868 +11 *4019:43 *23249:B 9.24915 +12 *4019:39 *4019:62 10.4845 +13 *4019:62 *23254:B 15.8893 +14 *4019:62 *23251:A 21.3689 +15 *4019:38 *23144:B2 9.24915 +16 *4019:38 *23144:A2 9.97254 +17 *4019:34 *23142:B 18.9094 +18 *4019:32 *23141:B 14.4725 +19 *4019:26 *23526:A1 9.24915 +20 *4019:19 *23854:A1 9.24915 +21 *4019:10 *23666:B1 16.1605 +22 *4019:8 *23573:B2 23.3542 +*END + +*D_NET *4020 0.0427916 +*CONN +*I *23116:A I *D sky130_fd_sc_hd__or2_1 +*I *23233:B I *D sky130_fd_sc_hd__or2_2 +*I *23114:A I *D sky130_fd_sc_hd__or2_1 +*I *23112:A I *D sky130_fd_sc_hd__or2_1 +*I *23739:A2 I *D sky130_fd_sc_hd__o311a_1 +*I *23111:Y O *D sky130_fd_sc_hd__nand2_4 +*CAP +1 *23116:A 0.000372205 +2 *23233:B 3.58463e-05 +3 *23114:A 0 +4 *23112:A 0.000214679 +5 *23739:A2 0.000107627 +6 *23111:Y 0.00126064 +7 *4020:59 0.000408383 +8 *4020:54 0.000515059 +9 *4020:45 0.00114985 +10 *4020:42 0.00134345 +11 *4020:34 0.00186046 +12 *4020:32 0.00170273 +13 *4020:31 0.00158219 +14 *4020:24 0.002717 +15 *4020:20 0.00214166 +16 *4020:13 0.00203136 +17 *4020:7 0.00234195 +18 *23112:A *23828:B1 0.000344363 +19 *23233:B *23750:A 2.16355e-05 +20 *4020:13 *4034:10 0.000608769 +21 *4020:13 *4146:10 0.000322642 +22 *4020:13 *4398:8 0.000211478 +23 *4020:20 *23492:A 2.02035e-05 +24 *4020:20 *23497:D 3.77568e-05 +25 *4020:20 *23682:A 3.3351e-05 +26 *4020:20 *23755:A 0.000223351 +27 *4020:20 *23837:B 4.59453e-05 +28 *4020:20 *4106:24 0 +29 *4020:20 *4587:14 0.000466175 +30 *4020:24 *23295:B 0.000151741 +31 *4020:24 *23751:A2 0 +32 *4020:24 *23770:A1 9.24241e-05 +33 *4020:24 *23837:B 3.31194e-06 +34 *4020:24 *4120:83 0.000382314 +35 *4020:24 *4301:50 0.000135721 +36 *4020:24 *4561:14 0.00116754 +37 *4020:24 *4697:8 0.000428229 +38 *4020:31 *23751:A2 5.7297e-05 +39 *4020:31 *4118:8 0.000145277 +40 *4020:31 *4561:14 6.13196e-06 +41 *4020:34 *23178:C 0.000123582 +42 *4020:34 *23449:B1 4.5539e-05 +43 *4020:34 *23717:A 8.02105e-05 +44 *4020:34 *23718:B 0.000190042 +45 *4020:34 *23718:C 6.28598e-05 +46 *4020:34 *23719:C1 1.5714e-05 +47 *4020:34 *4228:29 0.000610754 +48 *4020:34 *4529:26 0.000279043 +49 *4020:34 *4619:26 0.000247528 +50 *4020:42 *23178:A 0.00013795 +51 *4020:42 *23178:B 8.01987e-05 +52 *4020:42 *23556:A1 4.87439e-05 +53 *4020:42 *23556:B1 1.36556e-05 +54 *4020:42 *4106:95 0.000364326 +55 *4020:42 *4137:47 5.94319e-06 +56 *4020:42 *4137:54 0.000897141 +57 *4020:42 *4146:64 7.17469e-05 +58 *4020:42 *4396:35 0.000133466 +59 *4020:42 *4529:26 0 +60 *4020:54 *4695:56 9.01349e-05 +61 *4020:59 *4142:22 4.97617e-05 +62 *4020:59 *4695:56 0.000267336 +63 *20101:A *4020:13 0 +64 *23102:A *4020:59 3.20407e-05 +65 *23112:B *23112:A 6.50727e-05 +66 *23114:B *4020:59 6.08467e-05 +67 *23215:A *23739:A2 6.08467e-05 +68 *23215:A *4020:45 0.000177411 +69 *23449:A1 *4020:34 0.000129223 +70 *23739:A3 *23739:A2 6.08467e-05 +71 *23739:B1 *23739:A2 1.65872e-05 +72 *23741:A *23112:A 0.000352731 +73 *1809:160 *4020:24 0.00038017 +74 *1809:179 *4020:20 1.48348e-05 +75 *1809:179 *4020:24 0.000863677 +76 *1809:181 *4020:20 0.00031717 +77 *1809:184 *4020:7 0.00196279 +78 *1829:73 *4020:45 0.000444819 +79 *1830:61 *4020:13 0 +80 *3784:75 *4020:34 0.000178636 +81 *3788:8 *4020:34 6.85778e-05 +82 *3791:27 *4020:31 0.000924255 +83 *3834:21 *4020:34 0.000251428 +84 *3842:84 *4020:42 0.000724686 +85 *3847:29 *4020:32 0 +86 *3847:29 *4020:34 0 +87 *3848:34 *4020:54 0.000183568 +88 *3848:35 *23112:A 5.23916e-05 +89 *3852:22 *4020:32 9.75356e-05 +90 *3852:22 *4020:34 0.00111341 +91 *3860:8 *4020:13 0.00076008 +92 *3860:58 *23116:A 0.000837401 +93 *3860:91 *23112:A 0.000211478 +94 *3869:55 *4020:42 8.45896e-06 +95 *3872:12 *4020:24 4.03753e-05 +96 *3872:12 *4020:31 0.00136233 +97 *3978:52 *4020:31 0.00106006 +98 *3980:105 *4020:42 0.000186445 +99 *3996:10 *4020:13 0.000242827 +100 *3996:79 *4020:54 0.000144546 +101 *4010:12 *4020:54 0.000669323 +102 *4010:12 *4020:59 0.000228327 +*RES +1 *23111:Y *4020:7 35.5475 +2 *4020:7 *4020:13 46.5927 +3 *4020:13 *4020:20 28.1761 +4 *4020:20 *4020:24 47.351 +5 *4020:24 *4020:31 49.3686 +6 *4020:31 *4020:32 1.832 +7 *4020:32 *4020:34 47.0945 +8 *4020:34 *4020:42 38.8999 +9 *4020:42 *4020:45 11.8396 +10 *4020:45 *23739:A2 11.6364 +11 *4020:45 *4020:54 16.7133 +12 *4020:54 *4020:59 11.626 +13 *4020:59 *23112:A 27.4514 +14 *4020:59 *23114:A 9.24915 +15 *4020:54 *23233:B 14.4725 +16 *4020:32 *23116:A 23.3462 +*END + +*D_NET *4021 0.0139485 +*CONN +*I *23113:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *23112:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23113:A 0.000272274 +2 *23112:X 0.000114467 +3 *4021:24 0.0018462 +4 *4021:10 0.00229742 +5 *4021:7 0.000837959 +6 *23113:A *23523:A1 0.000169179 +7 *23113:A *23731:D 0.000181629 +8 *23113:A *4426:10 0 +9 *23113:A *4748:19 0.000121374 +10 *4021:10 *23852:A 1.5714e-05 +11 *4021:10 *4089:38 0.000408423 +12 *4021:10 *4089:40 1.4494e-05 +13 *4021:10 *4352:34 0.00154251 +14 *4021:10 *4627:24 0.000405901 +15 *4021:10 *5918:14 0.000142472 +16 *4021:24 *23646:B1 0.000446924 +17 *4021:24 *23647:A3 3.63738e-05 +18 *4021:24 *23648:C 3.52645e-06 +19 *4021:24 *23648:D 3.60585e-05 +20 *4021:24 *23652:A 0 +21 *4021:24 *23731:D 0.000155684 +22 *4021:24 *4426:10 0.00072798 +23 *4021:24 *4540:53 0.000262262 +24 *4021:24 *4549:10 0.000712221 +25 *4021:24 *4570:13 6.38879e-06 +26 *4021:24 *4649:15 0.000128736 +27 *23099:A *23113:A 0.000247443 +28 *1690:95 *23113:A 0.00067588 +29 *2660:68 *4021:24 0 +30 *3860:91 *4021:7 0.000154145 +31 *3872:119 *4021:24 0.000440355 +32 *4001:75 *4021:10 0.00153806 +33 *4007:8 *4021:10 6.39754e-06 +*RES +1 *23112:X *4021:7 15.5817 +2 *4021:7 *4021:10 40.7979 +3 *4021:10 *4021:24 47.323 +4 *4021:24 *23113:A 25.2853 +*END + +*D_NET *4022 0.0198285 +*CONN +*I *23138:B I *D sky130_fd_sc_hd__or2_1 +*I *23140:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23745:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23569:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23143:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23247:B I *D sky130_fd_sc_hd__nor2_1 +*I *23246:A I *D sky130_fd_sc_hd__nor2_1 +*I *23245:A I *D sky130_fd_sc_hd__nor2_1 +*I *23139:B I *D sky130_fd_sc_hd__or2_1 +*I *23243:B I *D sky130_fd_sc_hd__nor2_1 +*I *23666:A1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23523:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *23113:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23138:B 0 +2 *23140:A2 2.12792e-05 +3 *23745:A1 0.000668676 +4 *23569:B2 8.55106e-05 +5 *23143:A2 0.00036721 +6 *23247:B 1.20305e-05 +7 *23246:A 0 +8 *23245:A 0 +9 *23139:B 0 +10 *23243:B 0.000307026 +11 *23666:A1 0.000155411 +12 *23523:A1 0.000826485 +13 *23113:X 0 +14 *4022:87 0.000152376 +15 *4022:76 0.000624638 +16 *4022:73 0.000933442 +17 *4022:69 0.000220269 +18 *4022:67 0.00107938 +19 *4022:50 0.000342679 +20 *4022:48 0.000642576 +21 *4022:40 0.000297646 +22 *4022:38 0.00102725 +23 *4022:22 0.00174388 +24 *4022:4 0.00141504 +25 *23143:A2 *23745:C1 0.000169826 +26 *23143:A2 *4050:11 0.000158451 +27 *23143:A2 *4432:8 0.000332346 +28 *23243:B *4152:10 6.64392e-05 +29 *23247:B *4126:209 6.64392e-05 +30 *23247:B *4156:7 2.57986e-05 +31 *23523:A1 *23851:D 5.19466e-05 +32 *23523:A1 *4567:16 0.000120544 +33 *23569:B2 *23724:A 0.000200794 +34 *23569:B2 *4476:5 9.63407e-05 +35 *23666:A1 *4485:8 8.65628e-05 +36 *23666:A1 *4647:17 0.000222494 +37 *23666:A1 *5681:25 2.57986e-05 +38 *23745:A1 *23745:B1 5.04829e-06 +39 *23745:A1 *4478:6 0.000109583 +40 *23745:A1 *4648:12 0.000164829 +41 *4022:22 *23785:C 2.1228e-06 +42 *4022:22 *4485:8 0.000166532 +43 *4022:22 *4488:19 4.0863e-05 +44 *4022:22 *4634:13 7.13492e-05 +45 *4022:22 *4634:28 0.000343283 +46 *4022:22 *4647:17 0.000608034 +47 *4022:38 *4047:5 0.000189407 +48 *4022:38 *4106:169 0.000139075 +49 *4022:40 *4047:5 9.47944e-05 +50 *4022:48 *23140:B1 6.3657e-05 +51 *4022:48 *23140:D1 0.000197127 +52 *4022:48 *23567:A 0.000107496 +53 *4022:48 *4047:5 0.000156955 +54 *4022:48 *4049:10 0.000110297 +55 *4022:48 *4474:7 1.65872e-05 +56 *4022:48 *4594:8 9.97849e-05 +57 *4022:48 *4618:20 9.62934e-05 +58 *4022:67 *23570:D 0.000634572 +59 *4022:69 *23570:D 8.50356e-05 +60 *4022:73 *23570:D 3.31733e-05 +61 *4022:73 *23724:B 9.46387e-05 +62 *4022:73 *4049:10 7.84457e-06 +63 *4022:76 *23525:B 0.000200243 +64 *4022:76 *4432:8 0.000156955 +65 *4022:76 *5682:35 2.47629e-05 +66 *4022:87 *23525:B 3.7132e-05 +67 *4022:87 *4106:180 3.20069e-06 +68 *4022:87 *4106:191 5.58743e-05 +69 *4022:87 *4126:193 1.13359e-05 +70 *4022:87 *5682:35 6.50586e-05 +71 *23099:A *23523:A1 0.000296893 +72 *23113:A *23523:A1 0.000169179 +73 *23139:A *4022:67 6.08467e-05 +74 *23140:A1 *4022:40 2.57847e-05 +75 *23140:A1 *4022:48 5.33265e-05 +76 *23230:B *23243:B 0 +77 *23243:A *23243:B 8.53579e-05 +78 *23243:A *4022:50 7.92757e-06 +79 *23243:A *4022:67 2.33852e-05 +80 *23569:A1 *23569:B2 5.81433e-05 +81 *1690:95 *23523:A1 6.1333e-05 +82 *1808:69 *23745:A1 0.000104719 +83 *3829:109 *4022:67 5.1493e-06 +84 *3829:137 *4022:67 0.000608812 +85 *3829:137 *4022:69 8.15058e-05 +86 *3829:137 *4022:73 0.000192987 +87 *3833:80 *4022:38 1.88333e-05 +88 *3833:80 *4022:40 1.09156e-05 +89 *3833:106 *4022:38 0.000158451 +90 *3842:155 *23143:A2 6.08467e-05 +91 *3842:170 *23143:A2 0.000110306 +92 *3857:84 *4022:22 0.000126471 +93 *3877:147 *4022:38 0.000205101 +94 *3891:8 *4022:73 1.26298e-05 +95 *3974:112 *23243:B 1.9503e-05 +96 *3974:219 *4022:38 2.8182e-06 +97 *3980:147 *4022:38 3.17103e-05 +98 *4019:32 *23143:A2 0.000400488 +99 *4019:32 *23745:A1 9.5562e-05 +*RES +1 *23113:X *4022:4 9.24915 +2 *4022:4 *23523:A1 32.3119 +3 *4022:4 *4022:22 32.9758 +4 *4022:22 *23666:A1 18.7961 +5 *4022:22 *4022:38 21.6204 +6 *4022:38 *4022:40 1.278 +7 *4022:40 *4022:48 17.6801 +8 *4022:48 *4022:50 0.723396 +9 *4022:50 *23243:B 16.0973 +10 *4022:50 *23139:B 9.24915 +11 *4022:48 *4022:67 18.6853 +12 *4022:67 *4022:69 1.832 +13 *4022:69 *4022:73 7.993 +14 *4022:73 *4022:76 11.1403 +15 *4022:76 *23245:A 9.24915 +16 *4022:76 *4022:87 12.8011 +17 *4022:87 *23246:A 9.24915 +18 *4022:87 *23247:B 9.97254 +19 *4022:73 *23143:A2 31.363 +20 *4022:69 *23569:B2 17.125 +21 *4022:67 *23745:A1 34.2076 +22 *4022:40 *23140:A2 9.82786 +23 *4022:38 *23138:B 9.24915 +*END + +*D_NET *4023 0.00148835 +*CONN +*I *23115:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *23114:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23115:A 0.000273457 +2 *23114:X 0.000273457 +3 *23115:A *23828:B1 0.000427162 +4 *23115:A *4415:154 0.000107496 +5 *23112:B *23115:A 0.000228112 +6 *3833:207 *23115:A 0.000111722 +7 *4016:13 *23115:A 6.69418e-05 +*RES +1 *23114:X *23115:A 37.2523 +*END + +*D_NET *4024 0.0252323 +*CONN +*I *23743:A1 I *D sky130_fd_sc_hd__o22ai_2 +*I *23518:A I *D sky130_fd_sc_hd__or2_1 +*I *23135:B I *D sky130_fd_sc_hd__or2_1 +*I *23232:A I *D sky130_fd_sc_hd__inv_2 +*I *23137:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *23137:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *23242:B I *D sky130_fd_sc_hd__nor2_1 +*I *23230:A I *D sky130_fd_sc_hd__nor2_1 +*I *23134:B I *D sky130_fd_sc_hd__or2_1 +*I *23665:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *23115:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23743:A1 0.000101609 +2 *23518:A 6.35393e-05 +3 *23135:B 0.000103031 +4 *23232:A 0.000124482 +5 *23137:A1 8.89206e-06 +6 *23137:B2 2.5983e-05 +7 *23242:B 7.60711e-05 +8 *23230:A 0.000210651 +9 *23134:B 4.11678e-05 +10 *23665:B1 0.000349405 +11 *23115:X 7.5136e-05 +12 *4024:100 0.000903604 +13 *4024:76 0.000345489 +14 *4024:74 0.00136498 +15 *4024:70 0.00244863 +16 *4024:48 0.0023069 +17 *4024:44 0.000380745 +18 *4024:42 8.43151e-05 +19 *4024:41 0.000296366 +20 *4024:27 0.000608239 +21 *4024:20 0.000794846 +22 *4024:5 0.000762757 +23 *23134:B *4043:7 0.000154145 +24 *23134:B *4684:5 5.51483e-06 +25 *23135:B *4465:5 3.31745e-05 +26 *23137:A1 *5592:17 2.29454e-05 +27 *23137:B2 *5592:17 2.07503e-05 +28 *23230:A *4139:11 5.04829e-06 +29 *23230:A *4694:10 0.000341035 +30 *23232:A *4662:16 0.000107496 +31 *23242:B *4126:184 0.00021218 +32 *23518:A *23518:B 0.000110297 +33 *23518:A *23643:A1 1.66741e-05 +34 *23665:B1 *23665:A1 6.08467e-05 +35 *23665:B1 *23665:C1 0.000146645 +36 *23665:B1 *4233:11 0.000128736 +37 *23665:B1 *4570:7 2.16355e-05 +38 *23665:B1 *4643:17 0.000139435 +39 *23743:A1 *23743:A2 6.08467e-05 +40 *23743:A1 *23743:B2 3.31745e-05 +41 *23743:A1 *4646:14 0 +42 *4024:5 *4233:11 4.23858e-05 +43 *4024:20 *23637:B1 1.66626e-05 +44 *4024:20 *4026:93 5.67764e-05 +45 *4024:20 *4470:16 0.00025439 +46 *4024:20 *4496:42 0.000572532 +47 *4024:20 *4567:127 0.000427986 +48 *4024:27 *23651:A2 0.000156955 +49 *4024:27 *4043:7 1.65872e-05 +50 *4024:27 *4415:146 5.61389e-05 +51 *4024:27 *4424:9 0.000156946 +52 *4024:27 *4496:42 0.000360103 +53 *4024:27 *4567:127 0.000360103 +54 *4024:27 *4684:5 0.000112278 +55 *4024:41 *4026:104 0.00015634 +56 *4024:41 *4426:10 5.88009e-05 +57 *4024:41 *4549:10 0.000248861 +58 *4024:41 *4570:13 1.30575e-05 +59 *4024:41 *5921:26 6.17774e-05 +60 *4024:48 *23643:A1 5.26257e-05 +61 *4024:48 *23643:A2 1.65872e-05 +62 *4024:48 *23643:A3 0.000347849 +63 *4024:48 *4683:6 0.000196223 +64 *4024:48 *5921:26 3.13254e-05 +65 *4024:70 *23689:D 0 +66 *4024:70 *23715:C 3.88333e-06 +67 *4024:70 *23794:D 6.2485e-06 +68 *4024:70 *23844:A 1.27191e-05 +69 *4024:70 *23844:C 2.57986e-05 +70 *4024:70 *23844:D 3.15179e-05 +71 *4024:70 *23848:C 0.000209559 +72 *4024:70 *4138:78 1.41976e-05 +73 *4024:70 *4138:97 0 +74 *4024:70 *4524:27 5.47065e-05 +75 *4024:70 *4539:37 1.86314e-05 +76 *4024:70 *4617:12 3.12549e-05 +77 *4024:70 *4618:33 0.000200794 +78 *4024:70 *4683:6 0.000420372 +79 *4024:70 *5456:41 3.16033e-05 +80 *4024:70 *5458:22 0.00101985 +81 *4024:70 *5920:19 2.6603e-05 +82 *4024:70 *5923:17 7.03472e-06 +83 *4024:74 *23473:A 2.26808e-05 +84 *4024:74 *23689:D 0 +85 *4024:74 *23794:D 0.000403837 +86 *4024:74 *4593:8 0 +87 *4024:74 *4694:10 0.000418393 +88 *4024:76 *4694:10 0.000135426 +89 *4024:100 *23520:A 0.000154145 +90 *4024:100 *23851:B 4.83562e-06 +91 *4024:100 *4427:8 1.75e-05 +92 *4024:100 *4478:6 0.000122784 +93 *22968:B *4024:100 0.000107496 +94 *23134:A *23134:B 0.000107496 +95 *23134:A *4024:27 0 +96 *23135:A *23135:B 1.41976e-05 +97 *23137:A2 *23137:B2 6.08467e-05 +98 *23137:B1 *23137:A1 6.3657e-05 +99 *23137:B1 *23137:B2 0.000107496 +100 *23557:A2_N *23232:A 0.000408866 +101 *23558:A *23135:B 3.58531e-05 +102 *23611:A2 *4024:70 2.7413e-07 +103 *23741:A *4024:20 0.000137707 +104 *657:171 *4024:70 0.000145249 +105 *1690:80 *4024:100 0.00014616 +106 *3829:85 *4024:48 0.000120915 +107 *3829:97 *4024:48 7.75615e-05 +108 *3829:97 *4024:70 9.60366e-05 +109 *3842:141 *4024:70 1.75293e-05 +110 *3842:247 *4024:100 8.69165e-05 +111 *3861:76 *23230:A 0.000355498 +112 *3861:76 *4024:74 0.000263284 +113 *3861:76 *4024:76 0.000133426 +114 *3872:119 *23518:A 4.66492e-05 +115 *3872:119 *4024:48 0.000722548 +116 *3891:96 *4024:100 2.1203e-06 +117 *3891:122 *4024:100 0.000403236 +118 *3934:21 *4024:70 0.00100621 +119 *3974:112 *23230:A 1.43848e-05 +120 *4001:92 *4024:70 0.000285599 +*RES +1 *23115:X *4024:5 10.5271 +2 *4024:5 *23665:B1 25.6861 +3 *4024:5 *4024:20 20.0353 +4 *4024:20 *4024:27 17.4692 +5 *4024:27 *23134:B 11.0817 +6 *4024:27 *4024:41 24.1266 +7 *4024:41 *4024:42 104.301 +8 *4024:42 *4024:44 9.24915 +9 *4024:44 *4024:48 16.8961 +10 *4024:48 *4024:70 42.489 +11 *4024:70 *4024:74 15.1689 +12 *4024:74 *4024:76 2.6625 +13 *4024:76 *23230:A 21.2876 +14 *4024:76 *23242:B 16.1364 +15 *4024:74 *4024:100 33.524 +16 *4024:100 *23137:B2 10.5271 +17 *4024:100 *23137:A1 9.97254 +18 *4024:70 *23232:A 18.3548 +19 *4024:48 *23135:B 16.5945 +20 *4024:44 *23518:A 11.0817 +21 *4024:20 *23743:A1 16.1605 +*END + +*D_NET *4025 0.00171576 +*CONN +*I *23117:A I *D sky130_fd_sc_hd__buf_6 +*I *23116:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23117:A 0.000171064 +2 *23116:X 0.000171064 +3 *23117:A *4026:5 0.000154145 +4 *23117:A *4031:39 0.000360145 +5 *23117:A *4127:30 0.000115746 +6 *3791:27 *23117:A 0.00059339 +7 *3846:20 *23117:A 0.000122083 +8 *3861:301 *23117:A 2.81262e-05 +*RES +1 *23116:X *23117:A 36.5696 +*END + +*D_NET *4026 0.0356538 +*CONN +*I *23131:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23470:A2 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23517:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *23743:B1 I *D sky130_fd_sc_hd__o22ai_2 +*I *23687:A2 I *D sky130_fd_sc_hd__o221ai_4 +*I *23828:A1 I *D sky130_fd_sc_hd__o221ai_4 +*I *23519:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23118:B I *D sky130_fd_sc_hd__nor2_1 +*I *23234:A I *D sky130_fd_sc_hd__nand2_2 +*I *23235:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *23117:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23131:A2 0.000166559 +2 *23470:A2 0.000671007 +3 *23517:A2 0 +4 *23743:B1 2.79389e-05 +5 *23687:A2 0.000587232 +6 *23828:A1 0.000291505 +7 *23519:A2 9.01458e-05 +8 *23118:B 0.00064809 +9 *23234:A 3.15971e-05 +10 *23235:C_N 0.000668593 +11 *23117:X 0.000329923 +12 *4026:104 0.000940377 +13 *4026:100 0.000508617 +14 *4026:98 0.000769497 +15 *4026:93 0.000805449 +16 *4026:82 0.000759587 +17 *4026:72 0.000984129 +18 *4026:54 0.00165257 +19 *4026:50 0.00108921 +20 *4026:38 0.00164468 +21 *4026:5 0.00189896 +22 *23118:B *23136:B_N 0.000258222 +23 *23118:B *23136:D 0.000146513 +24 *23118:B *23519:A1 6.57427e-05 +25 *23118:B *23519:B1 0.000273537 +26 *23118:B *4126:145 5.24081e-05 +27 *23118:B *4683:6 0.000122098 +28 *23118:B *5082:80 0.000125695 +29 *23131:A2 *4040:8 6.64392e-05 +30 *23234:A *23234:B 6.27782e-05 +31 *23234:A *23517:C1 6.08467e-05 +32 *23234:A *4142:22 4.76794e-05 +33 *23235:C_N *23226:A 0.000210067 +34 *23235:C_N *23240:A4 5.53789e-05 +35 *23235:C_N *23337:A3 0.000165495 +36 *23235:C_N *4143:46 0.000193565 +37 *23235:C_N *5631:63 3.024e-05 +38 *23470:A2 *4367:15 0.000154145 +39 *23470:A2 *4591:11 4.10997e-05 +40 *23470:A2 *4871:11 0 +41 *23470:A2 *5458:55 0.000208242 +42 *23519:A2 *23519:A1 0.000301119 +43 *23519:A2 *23519:B1 6.63489e-05 +44 *23519:A2 *23519:C1 1.99131e-05 +45 *23687:A2 *23687:B2 0 +46 *23687:A2 *23726:A 0.000298318 +47 *23687:A2 *23730:A 9.43616e-05 +48 *23687:A2 *23733:B 2.59621e-05 +49 *23687:A2 *23783:C 1.92172e-05 +50 *23687:A2 *4545:22 0.000250244 +51 *23687:A2 *4592:14 2.41274e-06 +52 *23687:A2 *4717:25 7.92757e-06 +53 *23743:B1 *4643:17 0 +54 *23743:B1 *4646:14 3.58602e-05 +55 *23828:A1 *23828:A2 9.56964e-05 +56 *23828:A1 *23828:B2 6.50727e-05 +57 *23828:A1 *4545:22 5.91624e-05 +58 *23828:A1 *4717:25 0.000539459 +59 *4026:5 *23226:A 0.000286367 +60 *4026:38 *23460:A2 0.000101133 +61 *4026:38 *4143:36 0.000178966 +62 *4026:38 *4143:46 0.000216374 +63 *4026:38 *4148:6 0 +64 *4026:38 *4418:10 0.000395519 +65 *4026:38 *4418:14 0.000726293 +66 *4026:50 *23133:A 0.000137072 +67 *4026:50 *23133:B 5.99529e-06 +68 *4026:50 *23133:C 1.86428e-05 +69 *4026:50 *23133:D 0.000159756 +70 *4026:50 *23818:A1 2.19131e-05 +71 *4026:50 *4037:14 0.000891877 +72 *4026:50 *4042:19 1.81631e-05 +73 *4026:50 *4106:95 0.000438346 +74 *4026:50 *4143:36 0.000432294 +75 *4026:50 *4333:10 7.66668e-05 +76 *4026:50 *4418:14 2.01595e-05 +77 *4026:50 *4544:20 4.2009e-05 +78 *4026:50 *4544:22 3.05462e-05 +79 *4026:50 *4630:10 0.000122928 +80 *4026:50 *4631:10 0.000291479 +81 *4026:54 *4544:22 4.31453e-05 +82 *4026:72 *23516:A2 0.000414832 +83 *4026:72 *23516:B1 5.302e-05 +84 *4026:72 *23750:A 3.82228e-05 +85 *4026:72 *4143:36 0.000137409 +86 *4026:72 *4496:26 0.000342078 +87 *4026:72 *4649:24 7.28994e-06 +88 *4026:82 *23665:C1 0.000140909 +89 *4026:82 *4142:22 0.000154145 +90 *4026:82 *4643:17 0.000151269 +91 *4026:93 *23637:B1 1.66626e-05 +92 *4026:93 *23665:C1 0.000358004 +93 *4026:93 *4233:11 0.000258128 +94 *4026:93 *4424:9 0.00088937 +95 *4026:93 *4470:16 0.000317253 +96 *4026:93 *4567:127 0.000410566 +97 *4026:93 *4643:17 0.000354406 +98 *4026:93 *4646:14 7.26028e-05 +99 *4026:98 *23746:A 4.97617e-05 +100 *4026:98 *4570:13 0.000173692 +101 *4026:98 *4643:17 0.000121693 +102 *4026:98 *4646:14 1.37189e-05 +103 *4026:98 *4717:25 0.00017379 +104 *4026:100 *4570:13 5.56367e-05 +105 *4026:100 *4717:25 4.84944e-05 +106 *4026:104 *4549:10 5.8362e-05 +107 *4026:104 *4570:13 0.000341552 +108 *4026:104 *4717:25 3.31882e-05 +109 *23004:B1 *4026:50 4.58565e-05 +110 *23018:A *23470:A2 0.000192956 +111 *23107:B *23470:A2 0.000154145 +112 *23117:A *4026:5 0.000154145 +113 *23131:A1 *23131:A2 0.000116068 +114 *23132:A1 *23470:A2 1.24097e-05 +115 *23333:A *4026:5 3.31745e-05 +116 *23460:A1 *4026:38 0.000107576 +117 *23589:A *4026:54 1.5714e-05 +118 *3781:14 *4026:38 0.000134451 +119 *3791:27 *4026:5 0.000239799 +120 *3796:57 *23235:C_N 3.29e-05 +121 *3831:21 *23235:C_N 0 +122 *3833:207 *4026:93 0.000253916 +123 *3860:67 *4026:38 6.79169e-05 +124 *3861:301 *23235:C_N 0.000207266 +125 *3861:301 *4026:5 0.00119337 +126 *3862:85 *4026:50 1.9101e-05 +127 *3872:34 *4026:72 0.000175689 +128 *3872:42 *4026:72 0.000669531 +129 *3874:40 *4026:50 0.000261931 +130 *3874:40 *4026:54 4.83405e-05 +131 *3874:40 *4026:72 0.000606153 +132 *3910:16 *4026:38 3.79371e-05 +133 *3922:26 *4026:38 0.000211819 +134 *3922:36 *4026:38 0.000136858 +135 *3922:50 *4026:50 0.000171578 +136 *3927:42 *4026:54 2.58521e-05 +137 *3927:42 *4026:72 0.000386727 +138 *3980:8 *23235:C_N 0.0010301 +139 *3989:31 *4026:72 6.87762e-05 +140 *4024:20 *4026:93 5.67764e-05 +141 *4024:41 *4026:104 0.00015634 +*RES +1 *23117:X *4026:5 23.283 +2 *4026:5 *23235:C_N 42.3149 +3 *4026:5 *4026:38 41.5372 +4 *4026:38 *4026:50 43.3744 +5 *4026:50 *4026:54 4.01818 +6 *4026:54 *4026:72 46.6181 +7 *4026:72 *23234:A 10.5271 +8 *4026:72 *4026:82 9.41035 +9 *4026:82 *4026:93 40.9007 +10 *4026:93 *4026:98 10.3802 +11 *4026:98 *4026:100 1.00149 +12 *4026:100 *4026:104 11.315 +13 *4026:104 *23118:B 33.2764 +14 *4026:104 *23519:A2 12.7456 +15 *4026:100 *23828:A1 22.7916 +16 *4026:98 *23687:A2 27.2525 +17 *4026:93 *23743:B1 10.2378 +18 *4026:82 *23517:A2 13.7491 +19 *4026:54 *23470:A2 24.3869 +20 *4026:50 *23131:A2 18.5718 +*END + +*D_NET *4027 0.00531095 +*CONN +*I *23565:A I *D sky130_fd_sc_hd__or4b_1 +*I *23729:A I *D sky130_fd_sc_hd__or2_1 +*I *23136:A_N I *D sky130_fd_sc_hd__and4bb_1 +*I *23118:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23565:A 0.000378767 +2 *23729:A 0 +3 *23136:A_N 0.000192981 +4 *23118:Y 7.98254e-05 +5 *4027:20 0.0008938 +6 *4027:5 0.000787839 +7 *23136:A_N *23136:D 0.00029141 +8 *23136:A_N *4042:20 9.60216e-05 +9 *23136:A_N *4045:7 6.08467e-05 +10 *23136:A_N *4126:145 0.000135072 +11 *23136:A_N *4540:20 1.5254e-05 +12 *23136:A_N *4683:6 2.5386e-05 +13 *23565:A *23565:C 3.18068e-05 +14 *23565:A *23637:A3 6.79007e-05 +15 *23565:A *23637:B1 0.000230919 +16 *23565:A *23641:A3 4.36e-05 +17 *23565:A *23644:D 0.000138272 +18 *23565:A *4539:14 7.46648e-06 +19 *23565:A *4539:20 9.17127e-05 +20 *23565:A *4540:114 0.000163862 +21 *23565:A *4544:22 0.000152344 +22 *23565:A *4545:22 1.66771e-05 +23 *23565:A *4649:24 1.66771e-05 +24 *4027:5 *23725:B1 0.000107496 +25 *4027:20 *23644:D 0.000576835 +26 *4027:20 *23725:B1 0.000347214 +27 *4027:20 *4539:20 0.000310444 +28 *4027:20 *4548:8 5.05252e-05 +*RES +1 *23118:Y *4027:5 10.5271 +2 *4027:5 *23136:A_N 24.8233 +3 *4027:5 *4027:20 19.9338 +4 *4027:20 *23729:A 13.7491 +5 *4027:20 *23565:A 29.5158 +*END + +*D_NET *4028 0.00754834 +*CONN +*I *23334:B1 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23126:A I *D sky130_fd_sc_hd__or2_1 +*I *23120:A I *D sky130_fd_sc_hd__inv_2 +*I *23119:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23334:B1 0.000296425 +2 *23126:A 0.000561595 +3 *23120:A 6.10747e-05 +4 *23119:X 5.15846e-05 +5 *4028:10 0.00106662 +6 *4028:5 0.00079196 +7 *23120:A *4029:5 2.16355e-05 +8 *23126:A *23126:B 0.000200794 +9 *23126:A *4035:8 7.92757e-06 +10 *4028:10 *4037:13 0.00101767 +11 *4028:10 *4871:32 0.000141186 +12 *23119:B *23334:B1 0.000158371 +13 *23119:B *4028:5 7.92757e-06 +14 *23123:A *23126:A 5.481e-05 +15 *23334:A2 *23334:B1 4.31539e-05 +16 *23778:A1 *23126:A 0 +17 *3786:61 *4028:10 0.000284078 +18 *3787:8 *23126:A 0.000341237 +19 *3840:21 *23334:B1 0.000190823 +20 *3840:30 *23334:B1 0.000167076 +21 *3840:285 *23120:A 0.000158371 +22 *3840:285 *23126:A 0.000870339 +23 *3842:44 *4028:10 0.000251982 +24 *3877:58 *23126:A 0.00030253 +25 *3910:8 *4028:10 0.00011557 +26 *3910:16 *4028:10 4.23622e-05 +27 *3991:22 *23126:A 0.000341237 +*RES +1 *23119:X *4028:5 9.97254 +2 *4028:5 *4028:10 27.8573 +3 *4028:10 *23120:A 11.0817 +4 *4028:10 *23126:A 38.4604 +5 *4028:5 *23334:B1 17.6164 +*END + +*D_NET *4029 0.00189437 +*CONN +*I *23124:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *23460:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *23120:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23124:A1 0.000105336 +2 *23460:A2 0.000271375 +3 *23120:Y 8.78719e-05 +4 *4029:5 0.000464583 +5 *23124:A1 *23124:B1 0.000111708 +6 *23124:A1 *4630:10 0.000239605 +7 *23460:A2 *4143:36 9.75356e-05 +8 *23460:A2 *4367:7 2.41274e-06 +9 *23460:A2 *4371:51 3.99086e-06 +10 *23120:A *4029:5 2.16355e-05 +11 *3840:285 *23460:A2 3.81675e-05 +12 *3840:285 *4029:5 5.51483e-06 +13 *3922:40 *23124:A1 0.000236007 +14 *3980:26 *23124:A1 0.000107496 +15 *4026:38 *23460:A2 0.000101133 +*RES +1 *23120:Y *4029:5 10.5271 +2 *4029:5 *23460:A2 23.7705 +3 *4029:5 *23124:A1 23.8507 +*END + +*D_NET *4030 0.00331441 +*CONN +*I *23698:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23122:A I *D sky130_fd_sc_hd__inv_2 +*I *23121:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23698:A1 0.000530077 +2 *23122:A 0 +3 *23121:X 7.57165e-05 +4 *4030:6 0.000605793 +5 *23698:A1 *23400:A 0.000202543 +6 *23698:A1 *23401:B 0.000717245 +7 *23698:A1 *23698:A2 3.64415e-05 +8 *23698:A1 *23699:B 0.000251655 +9 *23698:A1 *4034:10 0.000218114 +10 *23698:A1 *4034:23 8.45896e-06 +11 *23698:A1 *4146:10 5.1573e-05 +12 *23698:A1 *5456:140 0.000242778 +13 *23698:A1 *5456:150 1.86389e-05 +14 *4030:6 *23401:B 0.0001441 +15 *4030:6 *4031:17 1.2693e-05 +16 *4030:6 *5456:140 1.55462e-05 +17 *3078:19 *23698:A1 5.99691e-05 +18 *3786:22 *4030:6 8.98943e-05 +19 *3786:30 *4030:6 3.31733e-05 +*RES +1 *23121:X *4030:6 16.8269 +2 *4030:6 *23122:A 13.7491 +3 *4030:6 *23698:A1 35.5511 +*END + +*D_NET *4031 0.0298076 +*CONN +*I *23765:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *23628:A2 I *D sky130_fd_sc_hd__a211o_1 +*I *23337:A1 I *D sky130_fd_sc_hd__o32a_2 +*I *23663:B I *D sky130_fd_sc_hd__nor2_1 +*I *23124:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *23683:B I *D sky130_fd_sc_hd__nor2_1 +*I *23122:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23765:A1 4.07594e-05 +2 *23628:A2 0.000646542 +3 *23337:A1 0.00138016 +4 *23663:B 3.39333e-05 +5 *23124:A2 0.000289913 +6 *23683:B 0.000599049 +7 *23122:Y 4.51842e-05 +8 *4031:114 9.1489e-05 +9 *4031:113 0.000198669 +10 *4031:53 0.00133548 +11 *4031:48 0.00103096 +12 *4031:39 0.00225547 +13 *4031:32 0.00112559 +14 *4031:29 0.00116746 +15 *4031:17 0.00179664 +16 *4031:7 0.000976556 +17 *23124:A2 *4037:13 0.000343261 +18 *23337:A1 *23337:A2 1.03403e-05 +19 *23337:A1 *23337:B1 2.3527e-05 +20 *23337:A1 *23337:B2 0.0001687 +21 *23628:A2 *23183:B 7.92757e-06 +22 *23628:A2 *4091:8 8.62321e-06 +23 *23628:A2 *4092:10 2.65667e-05 +24 *23628:A2 *4199:8 0.000728684 +25 *23628:A2 *4302:7 2.16355e-05 +26 *23628:A2 *4357:21 1.9101e-05 +27 *23628:A2 *4500:16 0.000566733 +28 *23683:B *4519:14 4.51062e-05 +29 *23765:A1 *4138:165 0.000264821 +30 *4031:7 *23493:B 6.08467e-05 +31 *4031:17 *23401:B 9.34769e-05 +32 *4031:17 *4228:16 0.000616162 +33 *4031:17 *5456:140 0.000144214 +34 *4031:29 *4127:30 2.05342e-06 +35 *4031:29 *4308:6 0.000116971 +36 *4031:29 *4308:29 0.00132955 +37 *4031:29 *4323:10 0.000143032 +38 *4031:29 *4355:32 0.000453475 +39 *4031:32 *4302:8 0.000374595 +40 *4031:39 *4302:8 5.92342e-05 +41 *4031:39 *4495:13 5.43666e-05 +42 *4031:53 *23663:A 0.000148867 +43 *4031:113 *23401:B 8.89094e-05 +44 *4031:113 *4138:165 0.000404813 +45 *4031:113 *4400:8 0.000262619 +46 *22934:A *4031:39 0.000364342 +47 *23002:A *4031:53 0 +48 *23051:A2 *4031:29 0.000167077 +49 *23117:A *4031:39 0.000360145 +50 *23183:A *23628:A2 0.000160617 +51 *23183:C *23628:A2 2.23105e-05 +52 *23399:B *4031:29 6.40451e-05 +53 *23628:A1 *23628:A2 0.000114594 +54 *3781:28 *4031:48 0.000391632 +55 *3781:39 *4031:48 2.27135e-05 +56 *3782:26 *23663:B 4.02976e-05 +57 *3782:26 *4031:48 0.000273137 +58 *3784:22 *4031:29 0.000405161 +59 *3784:24 *4031:29 0.000350265 +60 *3784:29 *4031:29 0.00075858 +61 *3784:34 *4031:29 0.00021764 +62 *3786:17 *23765:A1 0.000220044 +63 *3786:22 *23765:A1 1.65872e-05 +64 *3786:30 *4031:17 5.87601e-05 +65 *3786:54 *23337:A1 0.000121159 +66 *3791:27 *4031:39 0.000135227 +67 *3827:41 *4031:53 2.05426e-05 +68 *3829:12 *4031:29 9.75356e-05 +69 *3835:7 *23628:A2 0.000118792 +70 *3835:27 *23628:A2 4.30796e-05 +71 *3840:42 *23683:B 3.70027e-06 +72 *3840:285 *23683:B 2.93018e-06 +73 *3842:32 *23337:A1 0.000109974 +74 *3842:44 *23683:B 0.000197511 +75 *3842:44 *4031:53 0.000251592 +76 *3852:22 *4031:32 0.000381722 +77 *3852:22 *4031:39 0.000190089 +78 *3856:10 *4031:29 0.000200794 +79 *3861:301 *4031:39 0.000937105 +80 *3862:113 *23683:B 0 +81 *3862:113 *4031:53 0 +82 *3874:296 *4031:17 0.000544481 +83 *3877:33 *4031:48 0.000710617 +84 *3908:21 *23683:B 0.000185963 +85 *3909:20 *23124:A2 3.43813e-05 +86 *3909:24 *23124:A2 0.00030888 +87 *3911:14 *23683:B 4.97938e-05 +88 *3917:19 *23683:B 7.92031e-06 +89 *3922:26 *23337:A1 8.90311e-06 +90 *3926:20 *4031:53 7.20648e-06 +91 *3958:33 *4031:29 3.81675e-05 +92 *3974:60 *4031:53 1.65885e-05 +93 *3980:26 *23124:A2 0.000258142 +94 *3996:17 *4031:17 0.000171371 +95 *3996:17 *4031:113 9.75356e-05 +96 *3996:48 *23337:A1 0.000238537 +97 *3996:48 *4031:48 0.000157854 +98 *3996:65 *4031:48 7.46965e-05 +99 *4010:10 *23663:B 3.02072e-05 +100 *4010:10 *4031:48 6.37055e-05 +101 *4014:10 *23337:A1 0.00023141 +102 *4014:10 *4031:48 0.000136736 +103 *4015:8 *4031:48 0 +104 *4030:6 *4031:17 1.2693e-05 +*RES +1 *23122:Y *4031:7 14.4725 +2 *4031:7 *4031:17 30.878 +3 *4031:17 *4031:29 49.1452 +4 *4031:29 *4031:32 11.7303 +5 *4031:32 *4031:39 24.8631 +6 *4031:39 *4031:48 21.6664 +7 *4031:48 *4031:53 4.69716 +8 *4031:53 *23683:B 19.3385 +9 *4031:53 *23124:A2 26.2519 +10 *4031:48 *23663:B 14.7506 +11 *4031:39 *23337:A1 36.9774 +12 *4031:32 *23628:A2 44.8648 +13 *4031:7 *4031:113 20.1868 +14 *4031:113 *4031:114 57.9449 +15 *4031:114 *23765:A1 21.4401 +*END + +*D_NET *4032 0.0116492 +*CONN +*I *23124:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *23181:C I *D sky130_fd_sc_hd__and3_1 +*I *23639:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23728:A1 I *D sky130_fd_sc_hd__a211o_1 +*I *23818:A1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23123:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23124:B1 0.000152357 +2 *23181:C 0.000207595 +3 *23639:A1 0.000107851 +4 *23728:A1 2.03725e-05 +5 *23818:A1 0.000738528 +6 *23123:Y 0.000762094 +7 *4032:37 0.000754833 +8 *4032:15 0.000779533 +9 *4032:10 0.000710765 +10 *4032:7 0.0011652 +11 *23124:B1 *23563:C1 0.000129609 +12 *23124:B1 *4033:8 2.47663e-05 +13 *23124:B1 *4037:13 0.000283491 +14 *23181:C *23181:A 2.16355e-05 +15 *23181:C *23639:A2 0.000124917 +16 *23181:C *4085:15 7.13972e-05 +17 *23181:C *4085:27 8.20262e-06 +18 *23639:A1 *4036:11 9.85464e-06 +19 *23728:A1 *23728:C1 6.22816e-07 +20 *23818:A1 *23728:A2 0.000199539 +21 *23818:A1 *23818:A2 1.64789e-05 +22 *23818:A1 *23818:D1 9.81924e-05 +23 *23818:A1 *4037:14 0.00125932 +24 *23818:A1 *4631:10 0.00126556 +25 *23818:A1 *4631:13 6.50727e-05 +26 *23818:A1 *4631:19 2.42138e-05 +27 *4032:7 *23563:C1 4.94099e-06 +28 *4032:7 *4035:8 6.08467e-05 +29 *4032:10 *23563:C1 0.000714203 +30 *4032:37 *23639:A2 0.000107496 +31 *4032:37 *23728:B1 7.75273e-06 +32 *4032:37 *23728:C1 5.00266e-06 +33 *23123:A *4032:7 6.39306e-05 +34 *23124:A1 *23124:B1 0.000111708 +35 *23127:A1 *23639:A1 7.92757e-06 +36 *23127:A2 *23181:C 4.07675e-05 +37 *23562:A2 *4032:7 7.77496e-05 +38 *23562:A3 *4032:7 3.79009e-05 +39 *23562:B1 *4032:7 3.8122e-05 +40 *23624:B1 *23181:C 6.70136e-07 +41 *23710:A1 *23818:A1 1.61631e-05 +42 *3822:25 *23181:C 3.82228e-05 +43 *3822:25 *4032:37 4.31539e-05 +44 *3829:59 *23818:A1 1.21461e-06 +45 *3842:44 *23124:B1 0.000228713 +46 *3842:44 *4032:10 0.000716317 +47 *3870:47 *23181:C 1.05641e-05 +48 *3909:24 *23124:B1 2.53624e-06 +49 *3909:40 *23639:A1 0.000118485 +50 *3980:26 *23124:B1 5.24081e-05 +51 *4010:12 *23639:A1 0.000118485 +52 *4026:50 *23818:A1 2.19131e-05 +*RES +1 *23123:Y *4032:7 24.4554 +2 *4032:7 *4032:10 17.5438 +3 *4032:10 *4032:15 0.578717 +4 *4032:15 *23818:A1 49.4763 +5 *4032:15 *23728:A1 9.82786 +6 *4032:10 *4032:37 8.53607 +7 *4032:37 *23639:A1 21.7985 +8 *4032:37 *23181:C 15.615 +9 *4032:7 *23124:B1 21.0145 +*END + +*D_NET *4033 0.00442984 +*CONN +*I *23133:A I *D sky130_fd_sc_hd__or4_1 +*I *23728:B1 I *D sky130_fd_sc_hd__a211o_1 +*I *23124:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *23133:A 0.000174107 +2 *23728:B1 2.17332e-05 +3 *23124:X 0.000665225 +4 *4033:8 0.000861065 +5 *23133:A *23563:C1 0.000224798 +6 *23133:A *23563:D1 9.22013e-06 +7 *23133:A *23728:C1 0.000163465 +8 *23133:A *4042:19 4.23858e-05 +9 *23133:A *4544:20 0.000219618 +10 *4033:8 *23563:C1 0.000769809 +11 *4033:8 *23728:C1 3.31882e-05 +12 *4033:8 *4037:13 0.000398089 +13 *23124:B1 *4033:8 2.47663e-05 +14 *3842:44 *23133:A 3.90689e-06 +15 *3874:40 *23133:A 2.78917e-05 +16 *3874:40 *4033:8 0.000128845 +17 *3909:24 *4033:8 0.000510787 +18 *3980:26 *4033:8 6.11359e-06 +19 *4026:50 *23133:A 0.000137072 +20 *4032:37 *23728:B1 7.75273e-06 +*RES +1 *23124:X *4033:8 34.4447 +2 *4033:8 *23728:B1 14.2709 +3 *4033:8 *23133:A 21.8422 +*END + +*D_NET *4034 0.0392518 +*CONN +*I *23126:B I *D sky130_fd_sc_hd__or2_1 +*I *23177:A I *D sky130_fd_sc_hd__inv_2 +*I *23125:X O *D sky130_fd_sc_hd__or3_4 +*CAP +1 *23126:B 0.000611794 +2 *23177:A 0.000646995 +3 *23125:X 0.000896611 +4 *4034:56 0.00203935 +5 *4034:51 0.00152875 +6 *4034:43 0.00258475 +7 *4034:34 0.00311892 +8 *4034:33 0.00295902 +9 *4034:23 0.00274902 +10 *4034:10 0.00196896 +11 *23126:B *23779:D_N 0.000154145 +12 *23177:A *23626:B 7.67963e-06 +13 *23177:A *23626:D 0.000158288 +14 *23177:A *23629:B 5.20546e-06 +15 *23177:A *23717:B 0.000168546 +16 *23177:A *4086:10 2.16355e-05 +17 *23177:A *4089:76 0.000494524 +18 *23177:A *4529:26 0.000494524 +19 *23177:A *4530:18 8.01987e-05 +20 *23177:A *4531:14 5.92192e-05 +21 *4034:10 *23400:A 0.000190822 +22 *4034:10 *4134:8 2.65831e-05 +23 *4034:10 *4146:10 4.70469e-05 +24 *4034:10 *4371:9 0.000264633 +25 *4034:23 *23401:B 0.000581326 +26 *4034:23 *23770:A1 0.000253916 +27 *4034:23 *4138:165 0.000307351 +28 *4034:23 *4228:10 7.50722e-05 +29 *4034:23 *4397:28 1.47102e-05 +30 *4034:23 *4668:9 0.000154145 +31 *4034:33 *23407:A 4.09467e-05 +32 *4034:33 *23770:A2 6.71169e-05 +33 *4034:33 *23770:A3 5.41227e-05 +34 *4034:33 *4126:45 7.14746e-05 +35 *4034:33 *4138:165 0 +36 *4034:33 *4214:8 0.000107294 +37 *4034:33 *4673:8 0.00013632 +38 *4034:33 *4697:8 0.000326932 +39 *4034:34 *4547:14 0.000289103 +40 *4034:34 *4838:92 6.43407e-05 +41 *4034:34 *4881:135 0.00013597 +42 *4034:34 *4881:140 0.000978345 +43 *4034:34 *4935:102 0 +44 *4034:34 *5921:51 0 +45 *4034:34 *6001:8 0 +46 *4034:43 *21021:B1 0.000207266 +47 *4034:43 *4371:42 6.62254e-05 +48 *4034:43 *4547:14 0.000752795 +49 *4034:43 *4664:39 0.000158357 +50 *4034:43 *4838:92 0 +51 *4034:43 *4935:24 5.26602e-05 +52 *4034:43 *6021:14 0.000115132 +53 *4034:51 *23789:A 0.000294093 +54 *4034:51 *23789:C 8.64351e-05 +55 *4034:51 *23790:C 6.08467e-05 +56 *4034:51 *4371:42 0.000107561 +57 *4034:51 *4561:28 0.000409197 +58 *4034:51 *4561:44 7.50722e-05 +59 *4034:56 *23717:B 3.80883e-05 +60 *4034:56 *4146:60 0 +61 *4034:56 *4531:14 0.000230993 +62 *4034:56 *4533:10 4.89898e-06 +63 *20226:B1 *4034:33 7.16083e-05 +64 *21021:B2 *4034:43 7.86514e-05 +65 *23123:A *23126:B 1.58551e-05 +66 *23126:A *23126:B 0.000200794 +67 *23187:A1 *4034:33 0.000132567 +68 *23193:A2 *4034:33 8.76257e-05 +69 *23562:B1 *23126:B 2.41274e-06 +70 *23562:C1 *23126:B 2.26277e-05 +71 *23627:A *4034:56 7.16509e-05 +72 *23627:B *4034:56 0.000107496 +73 *23627:C *4034:56 0.000203311 +74 *23698:A1 *4034:10 0.000218114 +75 *23698:A1 *4034:23 8.45896e-06 +76 *23735:B1 *4034:56 0.00131459 +77 *23777:C *4034:56 0.000107496 +78 *23779:A *23126:B 3.19789e-05 +79 *23779:A *4034:56 0.000166533 +80 *24250:D *4034:43 4.26441e-06 +81 *1476:29 *4034:34 5.01835e-05 +82 *1483:123 *4034:34 0.00205398 +83 *1809:160 *4034:33 0.00316381 +84 *1829:95 *4034:43 0.000107496 +85 *2523:24 *4034:34 0 +86 *3824:19 *4034:33 0 +87 *3872:8 *4034:33 0.00035453 +88 *3872:10 *4034:33 2.37478e-05 +89 *3872:20 *4034:51 0.000472045 +90 *3907:37 *23177:A 1.72339e-06 +91 *3978:21 *4034:33 3.11219e-05 +92 *3996:10 *4034:10 0.00123108 +93 *3996:17 *4034:10 0.000102795 +94 *3996:17 *4034:23 0.000609152 +95 *4001:53 *23177:A 0 +96 *4020:13 *4034:10 0.000608769 +*RES +1 *23125:X *4034:10 48.676 +2 *4034:10 *4034:23 43.8247 +3 *4034:23 *4034:33 32.7637 +4 *4034:33 *4034:34 49.9768 +5 *4034:34 *4034:43 39.2219 +6 *4034:43 *4034:51 30.4248 +7 *4034:51 *4034:56 29.5126 +8 *4034:56 *23177:A 42.3539 +9 *4034:56 *23126:B 25.8299 +*END + +*D_NET *4035 0.00442122 +*CONN +*I *23127:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23563:B1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *23126:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23127:B1 0 +2 *23563:B1 0.000672269 +3 *23126:X 0.000340841 +4 *4035:8 0.00101311 +5 *23563:B1 *23563:D1 0 +6 *23563:B1 *4036:11 2.20702e-05 +7 *23563:B1 *4544:11 5.51483e-06 +8 *23563:B1 *4544:20 1.41976e-05 +9 *4035:8 *23181:A 0.000169093 +10 *23123:A *4035:8 6.08467e-05 +11 *23126:A *4035:8 7.92757e-06 +12 *23127:A1 *23563:B1 0.000368868 +13 *23563:A2 *23563:B1 8.74088e-05 +14 *23654:A1 *4035:8 5.41227e-05 +15 *3787:8 *4035:8 0.000349948 +16 *3891:193 *23563:B1 3.82228e-05 +17 *3991:22 *23563:B1 8.16827e-05 +18 *3991:22 *4035:8 0.000887922 +19 *4015:8 *23563:B1 3.98472e-05 +20 *4015:8 *4035:8 0.000146487 +21 *4032:7 *4035:8 6.08467e-05 +*RES +1 *23126:X *4035:8 30.9777 +2 *4035:8 *23563:B1 26.0892 +3 *4035:8 *23127:B1 13.7491 +*END + +*D_NET *4036 0.00250068 +*CONN +*I *23639:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23133:B I *D sky130_fd_sc_hd__or4_1 +*I *23127:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23639:B1 0 +2 *23133:B 0.000672642 +3 *23127:Y 0.000132459 +4 *4036:11 0.000805101 +5 *23133:B *4042:19 0.000110306 +6 *23133:B *4106:95 0.000188488 +7 *23127:A1 *4036:11 8.83891e-05 +8 *23563:B1 *4036:11 2.20702e-05 +9 *23639:A1 *4036:11 9.85464e-06 +10 *3909:40 *23133:B 0.000230881 +11 *4010:12 *23133:B 0.000234493 +12 *4026:50 *23133:B 5.99529e-06 +*RES +1 *23127:Y *4036:11 12.9626 +2 *4036:11 *23133:B 31.0605 +3 *4036:11 *23639:B1 9.24915 +*END + +*D_NET *4037 0.0162192 +*CONN +*I *23129:A I *D sky130_fd_sc_hd__or2_1 +*I *23640:A I *D sky130_fd_sc_hd__inv_2 +*I *23128:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23129:A 0 +2 *23640:A 0.000130672 +3 *23128:X 0.000208183 +4 *4037:17 0.000283037 +5 *4037:14 0.00123618 +6 *4037:13 0.00202131 +7 *4037:7 0.00114568 +8 *23640:A *4471:10 1.18194e-05 +9 *23640:A *4544:22 0.000372706 +10 *4037:13 *23337:B1 0.000224814 +11 *4037:13 *23460:B1 4.0143e-05 +12 *4037:13 *4135:8 0.000795908 +13 *4037:14 *23512:B 0.000403953 +14 *4037:14 *4038:22 2.93706e-05 +15 *4037:14 *4333:10 0 +16 *4037:14 *4333:15 0 +17 *4037:14 *4418:14 0.00232293 +18 *4037:14 *4631:20 5.66868e-06 +19 *4037:14 *4717:8 0.000829651 +20 *4037:17 *4038:7 9.95234e-05 +21 *23124:A2 *4037:13 0.000343261 +22 *23124:B1 *4037:13 0.000283491 +23 *23129:B *4037:17 0.000107496 +24 *23710:A1 *4037:14 2.27135e-05 +25 *23818:A1 *4037:14 0.00125932 +26 *3082:39 *4037:7 0.000302956 +27 *3786:54 *4037:13 0.000335658 +28 *3786:61 *4037:13 3.42586e-05 +29 *3791:18 *4037:13 4.6455e-05 +30 *3829:67 *23640:A 5.37077e-05 +31 *3829:67 *4037:17 0.000125308 +32 *3842:44 *4037:13 7.63349e-05 +33 *3877:106 *23640:A 0.000290514 +34 *3892:8 *4037:13 3.4372e-05 +35 *3908:21 *4037:13 1.5714e-05 +36 *3909:20 *4037:13 5.00856e-05 +37 *3909:24 *4037:13 4.01895e-05 +38 *3931:65 *4037:14 0.000288532 +39 *3980:26 *4037:13 3.96379e-05 +40 *4026:50 *4037:14 0.000891877 +41 *4028:10 *4037:13 0.00101767 +42 *4033:8 *4037:13 0.000398089 +*RES +1 *23128:X *4037:7 17.2456 +2 *4037:7 *4037:13 47.6907 +3 *4037:13 *4037:14 55.8148 +4 *4037:14 *4037:17 9.10562 +5 *4037:17 *23640:A 25.0642 +6 *4037:17 *23129:A 9.24915 +*END + +*D_NET *4038 0.00661487 +*CONN +*I *23130:B I *D sky130_fd_sc_hd__or2_1 +*I *23132:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *23559:B2 I *D sky130_fd_sc_hd__o22ai_1 +*I *23129:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23130:B 2.3665e-05 +2 *23132:A2 0 +3 *23559:B2 0.00014631 +4 *23129:X 0.000460511 +5 *4038:22 0.000667747 +6 *4038:7 0.0012509 +7 *23559:B2 *4466:11 1.41976e-05 +8 *4038:22 *23427:C 0.000133113 +9 *4038:22 *23512:B 0.000412268 +10 *4038:22 *4367:15 8.35235e-06 +11 *4038:22 *4418:14 0.000833771 +12 *4038:22 *5923:20 0.000101358 +13 *23129:B *4038:7 0.000203867 +14 *23130:A *23130:B 6.08467e-05 +15 *23130:A *4038:22 6.08467e-05 +16 *23132:A1 *4038:22 0.000170086 +17 *23559:A2 *23559:B2 6.27782e-05 +18 *23559:B1 *23559:B2 2.41274e-06 +19 *3829:67 *4038:7 9.99867e-06 +20 *3917:26 *4038:22 2.02035e-05 +21 *3928:18 *23559:B2 0.000219146 +22 *3928:18 *4038:22 0.00116859 +23 *3931:65 *23559:B2 0.000215549 +24 *3931:65 *4038:22 0.000239451 +25 *4037:14 *4038:22 2.93706e-05 +26 *4037:17 *4038:7 9.95234e-05 +*RES +1 *23129:X *4038:7 20.5732 +2 *4038:7 *23559:B2 19.5141 +3 *4038:7 *4038:22 34.0468 +4 *4038:22 *23132:A2 9.24915 +5 *4038:22 *23130:B 9.97254 +*END + +*D_NET *4039 0.00159587 +*CONN +*I *23563:D1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *23131:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23130:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23563:D1 0.000287343 +2 *23131:B1 2.78614e-05 +3 *23130:X 0.000153114 +4 *4039:7 0.000468319 +5 *23131:B1 *4333:10 1.36556e-05 +6 *23131:B1 *4544:22 5.41227e-05 +7 *23563:D1 *4333:10 9.00364e-06 +8 *23563:D1 *4544:20 0.000197254 +9 *23563:D1 *4544:22 1.9101e-05 +10 *23563:D1 *4871:32 3.20238e-05 +11 *23131:A1 *4039:7 0.000161177 +12 *23133:A *23563:D1 9.22013e-06 +13 *23563:A2 *23563:D1 3.82228e-05 +14 *23563:B1 *23563:D1 0 +15 *3842:44 *23563:D1 0.000125455 +*RES +1 *23130:X *4039:7 16.1364 +2 *4039:7 *23131:B1 14.7506 +3 *4039:7 *23563:D1 21.6637 +*END + +*D_NET *4040 0.0035467 +*CONN +*I *23133:C I *D sky130_fd_sc_hd__or4_1 +*I *23818:B1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23131:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23133:C 4.23947e-05 +2 *23818:B1 0.000337913 +3 *23131:Y 8.4576e-05 +4 *4040:35 9.31242e-05 +5 *4040:34 0.00054498 +6 *4040:8 0.00091674 +7 *23133:C *23133:D 0.000107538 +8 *23133:C *4042:19 0.000152164 +9 *23818:B1 *4333:10 0.000643109 +10 *23818:B1 *4333:15 4.31485e-06 +11 *23818:B1 *4544:22 8.40305e-05 +12 *4040:34 *4042:19 0.000105515 +13 *4040:34 *4333:10 7.79875e-05 +14 *4040:34 *4544:22 1.35073e-05 +15 *4040:34 *4871:32 3.55859e-05 +16 *23018:A *23818:B1 1.05746e-05 +17 *23130:A *4040:34 5.60804e-05 +18 *23131:A2 *4040:8 6.64392e-05 +19 *3827:41 *4040:34 6.21488e-06 +20 *3842:84 *4040:34 1.81246e-05 +21 *3922:50 *23818:B1 0.000127141 +22 *4026:50 *23133:C 1.86428e-05 +*RES +1 *23131:Y *4040:8 15.2053 +2 *4040:8 *23818:B1 25.9624 +3 *4040:8 *4040:34 24.0808 +4 *4040:34 *4040:35 57.9449 +5 *4040:35 *23133:C 20.3309 +*END + +*D_NET *4041 0.00130071 +*CONN +*I *23133:D I *D sky130_fd_sc_hd__or4_1 +*I *23132:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *23133:D 0.000296094 +2 *23132:Y 0.000296094 +3 *23133:D *23834:B2 5.04829e-06 +4 *23133:D *4042:19 1.54054e-05 +5 *23133:D *4106:95 8.01158e-05 +6 *23133:D *4418:14 0.000147005 +7 *23130:A *23133:D 4.31703e-05 +8 *23133:C *23133:D 0.000107538 +9 *3917:26 *23133:D 0.000150481 +10 *4026:50 *23133:D 0.000159756 +*RES +1 *23132:Y *23133:D 36.0444 +*END + +*D_NET *4042 0.0126981 +*CONN +*I *23136:B_N I *D sky130_fd_sc_hd__and4bb_1 +*I *23133:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23136:B_N 4.31408e-05 +2 *23133:X 0.000830472 +3 *4042:20 0.00117775 +4 *4042:19 0.00196508 +5 *23136:B_N *4126:145 0.000262434 +6 *4042:19 *23739:C1 0.000182245 +7 *4042:19 *24940:A 4.38836e-05 +8 *4042:19 *4333:10 8.29929e-05 +9 *4042:19 *4496:26 0 +10 *4042:19 *4631:10 0.000824807 +11 *4042:20 *23783:C 0.000127961 +12 *4042:20 *4126:137 0.000136601 +13 *4042:20 *4126:145 3.57202e-05 +14 *4042:20 *4138:110 3.57202e-05 +15 *4042:20 *4540:20 4.00504e-05 +16 *4042:20 *4540:114 0.000167018 +17 *4042:20 *4631:20 0.00274454 +18 *4042:20 *4717:8 0.00163833 +19 *4042:20 *5815:68 0.000663859 +20 *23118:B *23136:B_N 0.000258222 +21 *23133:A *4042:19 4.23858e-05 +22 *23133:B *4042:19 0.000110306 +23 *23133:C *4042:19 0.000152164 +24 *23133:D *4042:19 1.54054e-05 +25 *23136:A_N *4042:20 9.60216e-05 +26 *23710:A1 *4042:19 0.000165315 +27 *3862:58 *4042:20 0.000142472 +28 *3922:50 *4042:19 0.000589503 +29 *4026:50 *4042:19 1.81631e-05 +30 *4040:34 *4042:19 0.000105515 +*RES +1 *23133:X *4042:19 40.926 +2 *4042:19 *4042:20 54.7766 +3 *4042:20 *23136:B_N 16.691 +*END + +*D_NET *4043 0.00329147 +*CONN +*I *23136:C I *D sky130_fd_sc_hd__and4bb_1 +*I *23519:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23725:B1 I *D sky130_fd_sc_hd__o311a_1 +*I *23134:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23136:C 0 +2 *23519:B1 0.000229475 +3 *23725:B1 0.000363314 +4 *23134:X 0.000210194 +5 *4043:20 0.00028716 +6 *4043:7 0.000631193 +7 *23519:B1 *23519:C1 6.08467e-05 +8 *23725:B1 *23725:C1 4.80635e-06 +9 *23725:B1 *23726:A 1.21461e-06 +10 *23725:B1 *23783:A 6.50586e-05 +11 *23725:B1 *23783:B 3.99086e-06 +12 *23725:B1 *23783:C 3.81056e-05 +13 *23725:B1 *23783:D 0.000111722 +14 *23725:B1 *4684:5 3.7516e-05 +15 *4043:20 *4472:11 9.46352e-05 +16 *4043:20 *4646:14 8.95237e-05 +17 *23118:A *23725:B1 1.98961e-05 +18 *23118:B *23519:B1 0.000273537 +19 *23134:A *23725:B1 1.41976e-05 +20 *23134:A *4043:7 1.15389e-05 +21 *23134:B *4043:7 0.000154145 +22 *23519:A2 *23519:B1 6.63489e-05 +23 *23725:A2 *23725:B1 3.75603e-05 +24 *3868:157 *23725:B1 1.41976e-05 +25 *4024:27 *4043:7 1.65872e-05 +26 *4027:5 *23725:B1 0.000107496 +27 *4027:20 *23725:B1 0.000347214 +*RES +1 *23134:X *4043:7 12.7697 +2 *4043:7 *23725:B1 19.4249 +3 *4043:7 *4043:20 10.832 +4 *4043:20 *23519:B1 14.9881 +5 *4043:20 *23136:C 9.24915 +*END + +*D_NET *4044 0.00288958 +*CONN +*I *23136:D I *D sky130_fd_sc_hd__and4bb_1 +*I *23558:B I *D sky130_fd_sc_hd__nand2_1 +*I *23135:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23136:D 0.000259545 +2 *23558:B 0.000288614 +3 *23135:X 0 +4 *4044:4 0.000548159 +5 *23136:D *23519:A1 0.00025456 +6 *23136:D *4045:7 1.15389e-05 +7 *23136:D *4126:145 0.000304871 +8 *23136:D *4540:20 0.000187498 +9 *23136:D *4540:31 4.0327e-05 +10 *23136:D *4683:6 6.77948e-06 +11 *23558:B *4465:5 0.000304791 +12 *23118:B *23136:D 0.000146513 +13 *23136:A_N *23136:D 0.00029141 +14 *23558:A *23558:B 1.22436e-05 +15 *3829:85 *23136:D 0.000232731 +*RES +1 *23135:X *4044:4 9.24915 +2 *4044:4 *23558:B 14.2888 +3 *4044:4 *23136:D 30.0878 +*END + +*D_NET *4045 0.00852512 +*CONN +*I *23137:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *23136:X O *D sky130_fd_sc_hd__and4bb_1 +*CAP +1 *23137:C1 4.04852e-05 +2 *23136:X 0.000321303 +3 *4045:8 0.00133876 +4 *4045:7 0.00161958 +5 *4045:7 *23519:A1 0.000345048 +6 *4045:8 *23648:A 8.37489e-05 +7 *4045:8 *23801:B1 0.000180827 +8 *4045:8 *4126:161 8.72537e-06 +9 *4045:8 *4138:97 0.000663089 +10 *4045:8 *4428:8 0.000133759 +11 *4045:8 *4539:37 1.19265e-05 +12 *4045:8 *4541:22 0.000421671 +13 *4045:8 *4548:8 6.85742e-05 +14 *4045:8 *4702:8 0.000242602 +15 *23136:A_N *4045:7 6.08467e-05 +16 *23136:D *4045:7 1.15389e-05 +17 *23137:B1 *23137:C1 1.2014e-05 +18 *2660:68 *4045:8 0.000276927 +19 *3833:75 *4045:8 0.00268369 +*RES +1 *23136:X *4045:7 18.9094 +2 *4045:7 *4045:8 51.247 +3 *4045:8 *23137:C1 14.7378 +*END + +*D_NET *4046 0.00166808 +*CONN +*I *23140:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23137:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *23140:B1 0.000491575 +2 *23137:X 0.000491575 +3 *23140:B1 *4478:6 2.55536e-05 +4 *23140:B1 *4541:22 1.2834e-05 +5 *23140:B1 *5592:17 0.000107496 +6 *23140:A1 *23140:B1 7.96619e-05 +7 *3833:75 *23140:B1 2.77419e-05 +8 *3833:80 *23140:B1 0.000203896 +9 *3833:106 *23140:B1 2.95884e-05 +10 *3842:150 *23140:B1 6.93425e-05 +11 *3891:96 *23140:B1 6.51637e-05 +12 *4022:48 *23140:B1 6.3657e-05 +*RES +1 *23137:X *23140:B1 45.9388 +*END + +*D_NET *4047 0.00252523 +*CONN +*I *23140:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23520:A I *D sky130_fd_sc_hd__inv_2 +*I *23138:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23140:C1 0 +2 *23520:A 0.000285881 +3 *23138:X 0.00031466 +4 *4047:5 0.000600541 +5 *23520:A *23570:D 1.55096e-05 +6 *23520:A *4049:10 3.00174e-06 +7 *23520:A *4427:8 1.03403e-05 +8 *23520:A *5082:72 4.31485e-06 +9 *23140:A1 *23520:A 4.12833e-05 +10 *3842:150 *23520:A 0.000378451 +11 *3891:122 *23520:A 1.41976e-05 +12 *4001:92 *23520:A 0.000261751 +13 *4022:38 *4047:5 0.000189407 +14 *4022:40 *4047:5 9.47944e-05 +15 *4022:48 *4047:5 0.000156955 +16 *4024:100 *23520:A 0.000154145 +*RES +1 *23138:X *4047:5 14.4094 +2 *4047:5 *23520:A 27.7273 +3 *4047:5 *23140:C1 9.24915 +*END + +*D_NET *4048 0.000875835 +*CONN +*I *23140:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23567:A I *D sky130_fd_sc_hd__inv_2 +*I *23139:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23140:D1 6.80614e-05 +2 *23567:A 3.61163e-05 +3 *23139:X 6.12619e-05 +4 *4048:8 0.00016544 +5 *23567:A *4474:7 6.08467e-05 +6 *4048:8 *23570:D 4.77834e-05 +7 *23140:A1 *23140:D1 1.50924e-05 +8 *3829:109 *4048:8 0.000116611 +9 *4022:48 *23140:D1 0.000197127 +10 *4022:48 *23567:A 0.000107496 +*RES +1 *23139:X *4048:8 20.4964 +2 *4048:8 *23567:A 10.5271 +3 *4048:8 *23140:D1 11.6364 +*END + +*D_NET *4049 0.00392004 +*CONN +*I *23143:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23140:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23143:B1 0 +2 *23140:X 0.000720696 +3 *4049:10 0.000720696 +4 *4049:10 *23143:C1 5.64867e-05 +5 *4049:10 *23524:A 0.000165481 +6 *4049:10 *23570:D 0.000822061 +7 *23520:A *4049:10 3.00174e-06 +8 *3829:137 *4049:10 0.000299315 +9 *3842:150 *4049:10 0.000504178 +10 *3842:155 *4049:10 0.000509986 +11 *4022:48 *4049:10 0.000110297 +12 *4022:73 *4049:10 7.84457e-06 +*RES +1 *23140:X *4049:10 45.4438 +2 *4049:10 *23143:B1 9.24915 +*END + +*D_NET *4050 0.00178007 +*CONN +*I *23524:A I *D sky130_fd_sc_hd__inv_2 +*I *23143:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23141:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23524:A 0.000144445 +2 *23143:C1 2.7339e-05 +3 *23141:X 0.000135392 +4 *4050:11 0.000307176 +5 *23143:C1 *23143:D1 3.12828e-05 +6 *4050:11 *23144:C1 1.75e-05 +7 *23143:A2 *4050:11 0.000158451 +8 *3829:137 *23143:C1 3.63776e-05 +9 *3829:137 *23524:A 0.000158353 +10 *3842:155 *4050:11 1.65872e-05 +11 *3842:170 *4050:11 9.1187e-05 +12 *3895:27 *23143:C1 5.21758e-06 +13 *3938:41 *4050:11 0.00039679 +14 *3980:180 *23524:A 3.20011e-05 +15 *4049:10 *23143:C1 5.64867e-05 +16 *4049:10 *23524:A 0.000165481 +*RES +1 *23141:X *4050:11 19.6569 +2 *4050:11 *23143:C1 15.1659 +3 *4050:11 *23524:A 18.6595 +*END + +*D_NET *4051 0.00354509 +*CONN +*I *23745:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23571:B I *D sky130_fd_sc_hd__nand2_1 +*I *23143:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23142:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23745:B1 0.000324699 +2 *23571:B 0 +3 *23143:D1 0.000137881 +4 *23142:X 0 +5 *4051:18 0.000713526 +6 *4051:4 0.000526708 +7 *23143:D1 *23144:C1 1.47102e-05 +8 *23745:B1 *23526:C1 5.13937e-05 +9 *23745:B1 *23528:B 3.31736e-05 +10 *23745:B1 *23745:C1 6.08467e-05 +11 *23745:B1 *4432:8 0.000196638 +12 *23745:B1 *4478:6 0.000573622 +13 *23745:B1 *4648:12 7.68538e-06 +14 *23745:B1 *5082:62 0.000168359 +15 *4051:18 *23528:B 5.04734e-05 +16 *4051:18 *5082:62 7.77309e-06 +17 *23142:B *23143:D1 0.000117376 +18 *23142:B *4051:18 0.000234742 +19 *23143:C1 *23143:D1 3.12828e-05 +20 *23745:A1 *23745:B1 5.04829e-06 +21 *3829:137 *23143:D1 0.000119049 +22 *3829:143 *4051:18 7.76737e-05 +23 *3842:170 *4051:18 9.24241e-05 +24 *3895:27 *23143:D1 0 +*RES +1 *23142:X *4051:4 9.24915 +2 *4051:4 *23143:D1 21.7744 +3 *4051:4 *4051:18 11.9075 +4 *4051:18 *23571:B 13.7491 +5 *4051:18 *23745:B1 26.4099 +*END + +*D_NET *4052 0.00152681 +*CONN +*I *23144:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *23143:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23144:C1 0.000425707 +2 *23143:X 0.000425707 +3 *23144:C1 *4126:209 0.000190042 +4 *23143:D1 *23144:C1 1.47102e-05 +5 *1587:80 *23144:C1 6.36477e-05 +6 *3829:137 *23144:C1 0 +7 *3842:155 *23144:C1 5.04829e-06 +8 *3891:15 *23144:C1 0 +9 *3895:27 *23144:C1 0.000317253 +10 *3938:41 *23144:C1 6.35009e-06 +11 *4019:39 *23144:C1 6.08467e-05 +12 *4050:11 *23144:C1 1.75e-05 +*RES +1 *23143:X *23144:C1 37.7026 +*END + +*D_NET *4053 0.000471849 +*CONN +*I *23147:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23144:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *23147:B1 0.000192643 +2 *23144:X 0.000192643 +3 *23147:B1 *4054:7 2.65667e-05 +4 *23144:A1 *23147:B1 5.99955e-05 +*RES +1 *23144:X *23147:B1 22.5493 +*END + +*D_NET *4054 0.00172841 +*CONN +*I *23147:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23527:A I *D sky130_fd_sc_hd__inv_2 +*I *23145:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23147:C1 0 +2 *23527:A 0.000135049 +3 *23145:X 0.000154087 +4 *4054:7 0.000289137 +5 *23527:A *23574:B1 1.07248e-05 +6 *23527:A *4055:8 0.000210977 +7 *23527:A *4434:8 2.65667e-05 +8 *23144:A1 *4054:7 0.000205101 +9 *23145:B *4054:7 4.33819e-05 +10 *23147:B1 *4054:7 2.65667e-05 +11 *3829:147 *23527:A 0.000111708 +12 *3833:115 *4054:7 5.8973e-05 +13 *3898:37 *23527:A 0.00020588 +14 *4001:138 *4054:7 0.000250254 +*RES +1 *23145:X *4054:7 14.9881 +2 *4054:7 *23527:A 23.4354 +3 *4054:7 *23147:C1 9.24915 +*END + +*D_NET *4055 0.00387177 +*CONN +*I *23853:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *23147:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23574:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23146:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23853:B1 0.000226767 +2 *23147:D1 0 +3 *23574:B1 0.000203472 +4 *23146:X 0 +5 *4055:8 0.000525443 +6 *4055:4 0.000548738 +7 *23574:B1 *4126:226 2.65667e-05 +8 *23574:B1 *4598:13 0.000113968 +9 *23853:B1 *4481:13 0.000539442 +10 *23853:B1 *5682:25 2.57986e-05 +11 *23853:B1 *5682:35 0.000295725 +12 *4055:8 *4434:8 0.000190028 +13 *23141:A *23853:B1 4.79321e-06 +14 *23146:A *4055:8 2.19276e-05 +15 *23146:B *4055:8 3.24105e-05 +16 *23148:A1 *23574:B1 0.000177787 +17 *23148:A1 *4055:8 6.94439e-05 +18 *23527:A *23574:B1 1.07248e-05 +19 *23527:A *4055:8 0.000210977 +20 *1808:69 *23574:B1 8.96809e-05 +21 *1808:69 *4055:8 1.71442e-05 +22 *3842:204 *4055:8 7.14746e-05 +23 *3898:37 *23574:B1 0.000155408 +24 *3898:37 *4055:8 9.25196e-05 +25 *4017:28 *23853:B1 1.07248e-05 +26 *4017:34 *23853:B1 0.000210802 +*RES +1 *23146:X *4055:4 9.24915 +2 *4055:4 *4055:8 14.3934 +3 *4055:8 *23574:B1 21.8422 +4 *4055:8 *23147:D1 13.7491 +5 *4055:4 *23853:B1 28.8337 +*END + +*D_NET *4056 0.000752929 +*CONN +*I *23148:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23147:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23148:B1 0.000164449 +2 *23147:X 0.000164449 +3 *23148:B1 *23528:B 0.000156823 +4 *23148:A2 *23148:B1 0.000113968 +5 *1808:69 *23148:B1 0.00015324 +*RES +1 *23147:X *23148:B1 33.1026 +*END + +*D_NET *4057 0.000623742 +*CONN +*I *23150:A I *D sky130_fd_sc_hd__nor2_1 +*I *23148:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23150:A 0.000243488 +2 *23148:Y 0.000243488 +3 *23150:A *23150:B 1.27339e-05 +4 *23150:A *23152:A 2.16355e-05 +5 *23148:A2 *23150:A 6.08467e-05 +6 *23149:B *23150:A 3.75603e-05 +7 *3833:120 *23150:A 3.99086e-06 +*RES +1 *23148:Y *23150:A 23.6826 +*END + +*D_NET *4058 0.00759723 +*CONN +*I *23731:B I *D sky130_fd_sc_hd__or4_1 +*I *23530:A I *D sky130_fd_sc_hd__or2_1 +*I *23150:B I *D sky130_fd_sc_hd__nor2_1 +*I *23149:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23731:B 0 +2 *23530:A 2.7855e-05 +3 *23150:B 7.77317e-05 +4 *23149:Y 0 +5 *4058:28 0.00105545 +6 *4058:16 0.0012316 +7 *4058:4 0.000226023 +8 *23150:B *23152:A 0.000107496 +9 *4058:16 *23152:A 0.000154145 +10 *4058:16 *4137:28 7.80929e-05 +11 *4058:28 *23381:B 2.15184e-05 +12 *4058:28 *23531:A 0.000193108 +13 *4058:28 *23731:A 7.92757e-06 +14 *4058:28 *23744:D 3.81597e-05 +15 *4058:28 *23854:B1 0.00031301 +16 *4058:28 *4137:28 3.34255e-05 +17 *4058:28 *4347:12 2.9372e-05 +18 *4058:28 *4437:6 0.0007069 +19 *4058:28 *4515:21 0.000205611 +20 *4058:28 *4567:51 0.000174206 +21 *4058:28 *4567:56 6.46124e-05 +22 *4058:28 *4634:13 6.08467e-05 +23 *4058:28 *4648:29 0.000807383 +24 *23150:A *23150:B 1.27339e-05 +25 *3833:120 *23150:B 0.000154145 +26 *3833:120 *4058:16 0.000144173 +27 *3877:147 *4058:28 0.000535007 +28 *3893:34 *4058:16 0.000202283 +29 *3893:34 *4058:28 0.000627087 +30 *4001:138 *23530:A 6.08467e-05 +31 *4017:9 *4058:28 0.000246479 +*RES +1 *23149:Y *4058:4 9.24915 +2 *4058:4 *23150:B 12.0704 +3 *4058:4 *4058:16 10.2409 +4 *4058:16 *23530:A 14.4725 +5 *4058:16 *4058:28 47.6136 +6 *4058:28 *23731:B 9.24915 +*END + +*D_NET *4059 0.0015125 +*CONN +*I *23152:A I *D sky130_fd_sc_hd__and2_1 +*I *23150:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23152:A 0.000222812 +2 *23150:Y 0.000222812 +3 *23152:A *23578:A 0.000184946 +4 *23152:A *4347:8 0.000184946 +5 *23149:A *23152:A 0.000300565 +6 *23149:B *23152:A 1.58551e-05 +7 *23150:A *23152:A 2.16355e-05 +8 *23150:B *23152:A 0.000107496 +9 *3833:120 *23152:A 9.72902e-05 +10 *4058:16 *23152:A 0.000154145 +*RES +1 *23150:Y *23152:A 36.7061 +*END + +*D_NET *4060 0.00130435 +*CONN +*I *23152:B I *D sky130_fd_sc_hd__and2_1 +*I *23577:A I *D sky130_fd_sc_hd__inv_2 +*I *23151:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23152:B 9.74649e-05 +2 *23577:A 0.000120254 +3 *23151:X 0 +4 *4060:4 0.000217719 +5 *23152:B *23578:A 0.000255428 +6 *23577:A *23578:A 0.000122083 +7 *23577:A *4169:50 0.00012568 +8 *23151:B *23577:A 0.000110297 +9 *4012:10 *23152:B 2.09495e-05 +10 *4012:12 *23152:B 0.000148359 +11 *4012:14 *23152:B 8.61188e-05 +*RES +1 *23151:X *4060:4 9.24915 +2 *4060:4 *23577:A 21.7744 +3 *4060:4 *23152:B 22.9879 +*END + +*D_NET *4061 0.000294314 +*CONN +*I *23153:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23152:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23153:B1 8.45529e-05 +2 *23152:X 8.45529e-05 +3 *23153:A2 *23153:B1 4.23858e-05 +4 *4001:138 *23153:B1 2.16355e-05 +5 *4001:152 *23153:B1 6.11872e-05 +*RES +1 *23152:X *23153:B1 20.8855 +*END + +*D_NET *4062 0.000932001 +*CONN +*I *23154:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23153:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23154:B1 0.000177488 +2 *23153:Y 0.000177488 +3 *23154:B1 *23156:A 5.46928e-05 +4 *23154:B1 *4676:6 6.28334e-05 +5 *23149:B *23154:B1 6.50586e-05 +6 *23154:A1 *23154:B1 0.000107496 +7 *23154:A2 *23154:B1 0.000111722 +8 *23579:B1 *23154:B1 2.95757e-05 +9 *3886:8 *23154:B1 5.89469e-05 +10 *4001:152 *23154:B1 4.00504e-05 +11 *4009:11 *23154:B1 4.66492e-05 +*RES +1 *23153:Y *23154:B1 33.9331 +*END + +*D_NET *4063 0.0014479 +*CONN +*I *23156:A I *D sky130_fd_sc_hd__nand2_1 +*I *23154:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *23156:A 0.000311277 +2 *23154:Y 0.000311277 +3 *23156:A *23156:B 6.15617e-05 +4 *23149:A *23156:A 3.75603e-05 +5 *23154:A2 *23156:A 0.000160617 +6 *23154:B1 *23156:A 5.46928e-05 +7 *23155:A *23156:A 0.000150255 +8 *4009:11 *23156:A 0.000360656 +*RES +1 *23154:Y *23156:A 29.301 +*END + +*D_NET *4064 0.000870073 +*CONN +*I *23533:A I *D sky130_fd_sc_hd__inv_2 +*I *23156:B I *D sky130_fd_sc_hd__nand2_1 +*I *23155:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23533:A 0 +2 *23156:B 7.61477e-05 +3 *23155:X 9.73294e-05 +4 *4064:10 0.000173477 +5 *23156:B *23158:A 0.000118166 +6 *4064:10 *23158:B 6.08467e-05 +7 *4064:10 *23802:B 0.00013413 +8 *4064:10 *4441:10 0.000140487 +9 *23156:A *23156:B 6.15617e-05 +10 *4009:11 *23156:B 7.92757e-06 +*RES +1 *23155:X *4064:10 21.635 +2 *4064:10 *23156:B 12.2392 +3 *4064:10 *23533:A 9.24915 +*END + +*D_NET *4065 0.00131123 +*CONN +*I *23158:A I *D sky130_fd_sc_hd__nor2_1 +*I *23156:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23158:A 0.000329197 +2 *23156:Y 0.000329197 +3 *23158:A *23159:B1 2.16355e-05 +4 *23158:A *23537:B 0.000192594 +5 *23158:A *4392:12 0.000188997 +6 *23158:A *4440:10 0.000107496 +7 *23156:B *23158:A 0.000118166 +8 *4009:11 *23158:A 2.39451e-05 +*RES +1 *23156:Y *23158:A 36.899 +*END + +*D_NET *4066 0.00271072 +*CONN +*I *23580:B I *D sky130_fd_sc_hd__or2_1 +*I *23802:A I *D sky130_fd_sc_hd__or2_1 +*I *23158:B I *D sky130_fd_sc_hd__nor2_1 +*I *23157:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23580:B 6.47665e-05 +2 *23802:A 4.9549e-05 +3 *23158:B 0.000248637 +4 *23157:Y 7.13439e-05 +5 *4066:17 0.000140378 +6 *4066:7 0.000346044 +7 *23158:B *23802:B 0.000157839 +8 *23158:B *4870:8 0.000165049 +9 *23580:B *23803:B 9.60216e-05 +10 *23580:B *4441:10 5.68225e-06 +11 *23580:B *4870:8 0.000111343 +12 *23802:A *23803:B 0.000118166 +13 *4066:17 *23802:B 5.41377e-05 +14 *4066:17 *4441:10 5.66868e-06 +15 *4066:17 *4870:8 7.30564e-05 +16 *23155:A *23158:B 2.65667e-05 +17 *23155:B *23158:B 0.000111722 +18 *23155:B *23802:A 6.08467e-05 +19 *3002:51 *4066:7 0.000294093 +20 *3829:157 *23802:A 3.31745e-05 +21 *3829:157 *4066:7 0.000294093 +22 *3842:204 *23580:B 6.08467e-05 +23 *3886:11 *23580:B 6.08467e-05 +24 *4064:10 *23158:B 6.08467e-05 +*RES +1 *23157:Y *4066:7 17.2456 +2 *4066:7 *23158:B 20.8779 +3 *4066:7 *4066:17 1.41674 +4 *4066:17 *23802:A 15.5817 +5 *4066:17 *23580:B 16.7198 +*END + +*D_NET *4067 0.000634783 +*CONN +*I *23159:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *23158:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23159:B1 0.000295756 +2 *23158:Y 0.000295756 +3 *23100:A *23159:B1 2.16355e-05 +4 *23158:A *23159:B1 2.16355e-05 +*RES +1 *23158:Y *23159:B1 24.2372 +*END + +*D_NET *4068 0.000730839 +*CONN +*I *23160:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23159:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *23160:B1 0.000115752 +2 *23159:X 0.000115752 +3 *23160:B1 *4288:29 0.000120584 +4 *23100:A *23160:B1 0.000116971 +5 *23163:A *23160:B1 7.6851e-05 +6 *3002:51 *23160:B1 1.65872e-05 +7 *4008:54 *23160:B1 0.000107496 +8 *4008:66 *23160:B1 6.08467e-05 +*RES +1 *23159:X *23160:B1 32.1327 +*END + +*D_NET *4069 0.00174348 +*CONN +*I *23162:A I *D sky130_fd_sc_hd__nor2_1 +*I *23160:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23162:A 0.000406895 +2 *23160:Y 0.000406895 +3 *23162:A *23164:A 1.03403e-05 +4 *23162:A *4803:12 0.000477849 +5 *23162:A *5671:100 7.3551e-05 +6 *23163:A *23162:A 6.08467e-05 +7 *1455:99 *23162:A 0.000287409 +8 *3002:46 *23162:A 1.72971e-05 +9 *3002:51 *23162:A 2.39406e-06 +*RES +1 *23160:Y *23162:A 39.5002 +*END + +*D_NET *4070 0.0106204 +*CONN +*I *23732:B I *D sky130_fd_sc_hd__or4_1 +*I *23162:B I *D sky130_fd_sc_hd__nor2_1 +*I *23537:A I *D sky130_fd_sc_hd__or2_1 +*I *23161:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23732:B 0 +2 *23162:B 0.000188909 +3 *23537:A 0 +4 *23161:Y 7.10045e-05 +5 *4070:36 0.00211546 +6 *4070:12 0.000351911 +7 *4070:5 0.00234947 +8 *23162:B *23164:A 2.41274e-06 +9 *4070:36 *23444:B 1.30353e-05 +10 *4070:36 *23539:A2 0 +11 *4070:36 *23732:C 0.000380185 +12 *4070:36 *4444:6 3.55859e-05 +13 *4070:36 *4489:8 0.000212045 +14 *4070:36 *4514:47 9.87369e-05 +15 *4070:36 *4602:27 3.5253e-05 +16 *4070:36 *4635:13 1.07248e-05 +17 *4070:36 *4685:12 0.00100095 +18 *4070:36 *4686:10 0.000697291 +19 *4070:36 *4693:15 2.68045e-05 +20 *4070:36 *4704:17 0.00070116 +21 *4070:36 *5909:38 0 +22 *23042:B *4070:36 4.73388e-06 +23 *23161:A *4070:12 1.65872e-05 +24 *23163:A *4070:36 3.55859e-05 +25 *1668:85 *4070:36 2.05256e-05 +26 *1699:36 *4070:12 0.000147016 +27 *1699:42 *4070:5 0.000107496 +28 *1699:42 *4070:12 0.000107496 +29 *1808:69 *4070:36 0 +30 *2784:42 *4070:36 5.07013e-05 +31 *2785:63 *4070:36 0 +32 *3373:24 *4070:36 0.000818436 +33 *3874:109 *4070:36 1.10565e-05 +34 *3888:49 *4070:36 5.60804e-05 +35 *3980:126 *4070:36 1.5254e-05 +36 *3980:134 *4070:36 0.000612397 +37 *3980:147 *4070:36 0.000172038 +38 *4009:35 *4070:12 0.00015409 +*RES +1 *23161:Y *4070:5 10.5271 +2 *4070:5 *4070:12 13.4951 +3 *4070:12 *23537:A 9.24915 +4 *4070:12 *23162:B 12.7456 +5 *4070:5 *4070:36 48.8383 +6 *4070:36 *23732:B 13.7491 +*END + +*D_NET *4071 0.00175282 +*CONN +*I *23164:A I *D sky130_fd_sc_hd__and2_1 +*I *23162:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23164:A 0.000402475 +2 *23162:Y 0.000402475 +3 *23164:A *23164:B 6.50586e-05 +4 *23164:A *4182:8 0.000278952 +5 *23164:A *4601:14 9.22013e-06 +6 *23164:A *4803:12 0.0003014 +7 *23164:A *5680:29 0.000164193 +8 *23162:A *23164:A 1.03403e-05 +9 *23162:B *23164:A 2.41274e-06 +10 *23163:A *23164:A 0.000116296 +*RES +1 *23162:Y *23164:A 40.0604 +*END + +*D_NET *4072 0.00113895 +*CONN +*I *23164:B I *D sky130_fd_sc_hd__and2_1 +*I *23584:A I *D sky130_fd_sc_hd__inv_2 +*I *23163:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23164:B 0.000120063 +2 *23584:A 0.000141319 +3 *23163:X 0 +4 *4072:4 0.000261382 +5 *23164:B *5680:29 1.58551e-05 +6 *23584:A *4801:20 0.000122098 +7 *23161:B *23584:A 0.000111722 +8 *23163:A *23164:B 1.64789e-05 +9 *23164:A *23164:B 6.50586e-05 +10 *1427:87 *23584:A 3.82228e-05 +11 *1699:42 *23584:A 0.000124658 +12 *3368:53 *23584:A 0.000122098 +*RES +1 *23163:X *4072:4 9.24915 +2 *4072:4 *23584:A 23.9928 +3 *4072:4 *23164:B 12.2392 +*END + +*D_NET *4073 0.000621134 +*CONN +*I *23165:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23164:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23165:B1 0.000165601 +2 *23164:X 0.000165601 +3 *1455:99 *23165:B1 3.4123e-05 +4 *1485:142 *23165:B1 3.89049e-05 +5 *2603:69 *23165:B1 0.000145506 +6 *3671:57 *23165:B1 7.13972e-05 +*RES +1 *23164:X *23165:B1 32.548 +*END + +*D_NET *4074 0.0133551 +*CONN +*I *23166:B1_N I *D sky130_fd_sc_hd__o21ba_1 +*I *23165:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *23166:B1_N 0 +2 *23165:Y 0.000850467 +3 *4074:23 0.00109536 +4 *4074:15 0.00162876 +5 *4074:8 0.00138387 +6 *4074:8 *20158:A1 0.000115876 +7 *4074:8 *23277:A 0.000778934 +8 *4074:8 *23279:A 0.000299717 +9 *4074:8 *4601:35 1.26298e-05 +10 *4074:8 *4801:20 5.5815e-05 +11 *4074:15 *23859:A2 0.000262435 +12 *4074:15 *4136:54 3.29001e-05 +13 *4074:15 *4189:6 0.000956752 +14 *4074:15 *4601:35 0.000637776 +15 *4074:23 *23170:A 6.08467e-05 +16 *4074:23 *23170:B 0 +17 *4074:23 *23284:A 0.000101133 +18 *4074:23 *23750:B 2.67664e-05 +19 *4074:23 *23826:A 0.000134323 +20 *4074:23 *23858:A3 7.00991e-05 +21 *4074:23 *23859:A2 0.000252454 +22 *4074:23 *4106:157 0.000439847 +23 *4074:23 *4136:36 0.000159973 +24 *4074:23 *4136:54 0.00109519 +25 *4074:23 *4189:6 0.000125691 +26 *4074:23 *4193:14 0.000487649 +27 *4074:23 *4636:17 0.000130385 +28 *4074:23 *4704:24 0.000201599 +29 *4074:23 *4739:52 5.395e-05 +30 *23166:A2 *4074:23 7.51959e-05 +31 *586:13 *4074:15 5.04829e-06 +32 *1506:114 *4074:8 0.00031473 +33 *2660:32 *4074:23 4.99408e-05 +34 *3368:53 *4074:8 0.000722748 +35 *3671:51 *4074:8 0.000164872 +36 *3671:51 *4074:15 9.71323e-06 +37 *3999:44 *4074:8 0.000536167 +38 *3999:53 *4074:8 2.55289e-05 +*RES +1 *23165:Y *4074:8 48.9112 +2 *4074:8 *4074:15 28.9277 +3 *4074:15 *4074:23 47.9458 +4 *4074:23 *23166:B1_N 9.24915 +*END + +*D_NET *4075 0.00033069 +*CONN +*I *23170:A I *D sky130_fd_sc_hd__nand2_1 +*I *23166:X O *D sky130_fd_sc_hd__o21ba_1 +*CAP +1 *23170:A 4.77683e-05 +2 *23166:X 4.77683e-05 +3 *23166:A2 *23170:A 0.000158451 +4 *2660:32 *23170:A 1.58551e-05 +5 *4074:23 *23170:A 6.08467e-05 +*RES +1 *23166:X *23170:A 20.3309 +*END + +*D_NET *4076 0.000724002 +*CONN +*I *23168:A I *D sky130_fd_sc_hd__buf_2 +*I *23167:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23168:A 0.00010746 +2 *23167:X 0.00010746 +3 *23168:A *23590:A2 0.000352136 +4 *23168:A *4862:11 0.000156946 +*RES +1 *23167:X *23168:A 22.5493 +*END + +*D_NET *4077 0.0134683 +*CONN +*I *23173:B I *D sky130_fd_sc_hd__or2_1 +*I *23672:B1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23541:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *23169:B I *D sky130_fd_sc_hd__or2_1 +*I *23283:A I *D sky130_fd_sc_hd__nor2_1 +*I *23281:B I *D sky130_fd_sc_hd__nor2_1 +*I *23171:B I *D sky130_fd_sc_hd__nor2_1 +*I *23285:A I *D sky130_fd_sc_hd__or2_1 +*I *23168:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23173:B 0.000359243 +2 *23672:B1 0 +3 *23541:A1 2.31637e-05 +4 *23169:B 7.4212e-05 +5 *23283:A 0.000106795 +6 *23281:B 0 +7 *23171:B 7.87898e-05 +8 *23285:A 4.06004e-05 +9 *23168:X 0.000282813 +10 *4077:59 0.000453065 +11 *4077:43 0.000728361 +12 *4077:36 0.000460459 +13 *4077:34 0.000652408 +14 *4077:21 0.000608488 +15 *4077:10 0.000514387 +16 *4077:5 0.00105365 +17 *23173:B *23590:A1 0.000495774 +18 *23173:B *4120:34 8.01837e-05 +19 *23173:B *4199:8 8.01837e-05 +20 *23283:A *23282:B 0.000260445 +21 *23283:A *23283:B 4.30291e-05 +22 *23283:A *4665:37 5.51483e-06 +23 *23285:A *23672:A2 1.58551e-05 +24 *23285:A *23672:C1 5.04829e-06 +25 *23285:A *4577:17 0.000107496 +26 *4077:5 *23590:A1 0.000107496 +27 *4077:5 *23590:A2 6.22703e-05 +28 *4077:5 *4862:11 4.89898e-06 +29 *4077:10 *23675:A 6.25038e-05 +30 *4077:10 *4228:29 1.3813e-05 +31 *4077:21 *23289:C_N 5.34123e-05 +32 *4077:21 *23672:A2 7.5301e-06 +33 *4077:21 *23672:B2 6.51628e-05 +34 *4077:21 *23672:C1 3.07095e-05 +35 *4077:21 *4577:17 6.08467e-05 +36 *4077:34 *23672:B2 0.000140487 +37 *4077:34 *4081:11 0.000566457 +38 *4077:34 *4195:8 0.000303375 +39 *4077:34 *4577:17 0.00044762 +40 *4077:34 *4665:42 0.000162888 +41 *4077:34 *4693:35 5.4384e-05 +42 *4077:34 *5671:112 0.000690459 +43 *4077:36 *4693:35 6.27836e-05 +44 *4077:36 *5671:112 6.02441e-05 +45 *4077:43 *23671:B 5.74156e-05 +46 *4077:43 *4693:35 6.95981e-05 +47 *4077:43 *5671:112 7.20397e-05 +48 *4077:59 *4298:8 0.000582981 +49 *23166:A2 *23169:B 6.3657e-05 +50 *23171:A *23171:B 4.25388e-05 +51 *23281:A *23283:A 3.79808e-05 +52 *23281:A *4077:59 0.000250244 +53 *23672:A1 *4077:10 0.000835894 +54 *3781:68 *4077:34 2.96862e-05 +55 *3791:81 *23541:A1 6.50727e-05 +56 *3791:106 *4077:59 0.000586564 +57 *3833:207 *23169:B 0.000210077 +58 *3834:21 *4077:10 7.14746e-05 +59 *3846:51 *4077:10 0.000891225 +60 *3846:51 *4077:34 0 +61 *3980:93 *4077:5 0.000114584 +*RES +1 *23168:X *4077:5 13.8548 +2 *4077:5 *4077:10 27.4421 +3 *4077:10 *23285:A 10.5271 +4 *4077:10 *4077:21 2.58011 +5 *4077:21 *4077:34 36.9313 +6 *4077:34 *4077:36 2.6625 +7 *4077:36 *4077:43 12.0681 +8 *4077:43 *23171:B 11.1059 +9 *4077:43 *4077:59 23.0728 +10 *4077:59 *23281:B 9.24915 +11 *4077:59 *23283:A 13.3484 +12 *4077:36 *23169:B 16.1364 +13 *4077:34 *23541:A1 14.4725 +14 *4077:21 *23672:B1 9.24915 +15 *4077:5 *23173:B 25.3807 +*END + +*D_NET *4078 0.000829293 +*CONN +*I *23170:B I *D sky130_fd_sc_hd__nand2_1 +*I *23509:A I *D sky130_fd_sc_hd__inv_2 +*I *23169:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23170:B 4.97245e-05 +2 *23509:A 0.000149153 +3 *23169:X 0 +4 *4078:4 0.000198877 +5 *23509:A *23676:B 0.000194774 +6 *23509:A *23750:B 3.35386e-05 +7 *23509:A *4494:18 7.83506e-06 +8 *23509:A *4576:5 0.000111722 +9 *23166:A2 *23170:B 6.92705e-05 +10 *2660:32 *23170:B 1.43983e-05 +11 *4074:23 *23170:B 0 +*RES +1 *23169:X *4078:4 9.24915 +2 *4078:4 *23509:A 22.6049 +3 *4078:4 *23170:B 10.9612 +*END + +*D_NET *4079 0.00128445 +*CONN +*I *23172:A I *D sky130_fd_sc_hd__nor2_1 +*I *23170:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23172:A 0.000291503 +2 *23170:Y 0.000291503 +3 *23172:A *23750:B 0.000316024 +4 *23172:A *4138:112 0.000111067 +5 *23172:A *4538:51 2.47808e-05 +6 *23172:A *4575:36 0.000249578 +*RES +1 *23170:Y *23172:A 36.9792 +*END + +*D_NET *4080 0.00886857 +*CONN +*I *23172:B I *D sky130_fd_sc_hd__nor2_1 +*I *23590:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23825:C I *D sky130_fd_sc_hd__or4_1 +*I *23171:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23172:B 0.000131048 +2 *23590:B1 0 +3 *23825:C 0.000419233 +4 *23171:Y 0 +5 *4080:24 0.000875484 +6 *4080:18 0.0013121 +7 *4080:5 0.000724806 +8 *23172:B *4081:7 0.000112361 +9 *23172:B *4138:112 0.000137813 +10 *23825:C *23825:D 0.000210077 +11 *23825:C *4416:14 1.88014e-05 +12 *23825:C *4665:42 0.000256347 +13 *23825:C *5082:101 5.5493e-05 +14 *4080:18 *4138:112 5.90501e-05 +15 *4080:18 *4300:45 3.19561e-05 +16 *4080:18 *4665:42 0.000482107 +17 *4080:24 *23590:A1 0.000453412 +18 *4080:24 *4199:8 0.000855198 +19 *4080:24 *4300:45 0.000884357 +20 *4080:24 *4620:34 3.23556e-05 +21 *4080:24 *4620:41 1.5471e-05 +22 *4080:24 *4862:11 0.000181023 +23 *23672:A1 *4080:24 1.91246e-05 +24 *3781:68 *4080:24 0.000317888 +25 *3791:106 *23825:C 0.000247725 +26 *3791:106 *4080:18 0.000323388 +27 *3831:50 *4080:24 0.000169826 +28 *3868:128 *4080:18 7.18749e-05 +29 *3868:128 *4080:24 0.000470249 +*RES +1 *23171:Y *4080:5 13.7491 +2 *4080:5 *23825:C 25.3119 +3 *4080:5 *4080:18 15.6391 +4 *4080:18 *4080:24 47.1361 +5 *4080:24 *23590:B1 9.24915 +6 *4080:18 *23172:B 13.3002 +*END + +*D_NET *4081 0.00529931 +*CONN +*I *23174:A I *D sky130_fd_sc_hd__nand2_1 +*I *23172:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23174:A 0 +2 *23172:Y 7.7342e-05 +3 *4081:11 0.000892152 +4 *4081:7 0.000969494 +5 *4081:7 *4138:112 7.92757e-06 +6 *4081:11 *23290:B 1.5714e-05 +7 *4081:11 *23490:A 8.55768e-05 +8 *4081:11 *23591:A 0.000321482 +9 *4081:11 *4120:34 0.000141337 +10 *4081:11 *4195:8 3.00073e-05 +11 *4081:11 *4397:21 1.91246e-05 +12 *4081:11 *4450:45 4.3116e-06 +13 *4081:11 *4580:9 0.000860594 +14 *4081:11 *4693:35 0.000241685 +15 *4081:11 *5458:56 0.000110297 +16 *23172:B *4081:7 0.000112361 +17 *23173:A *4081:11 0.000270747 +18 *3781:66 *4081:11 0.000553689 +19 *3781:68 *4081:11 1.90143e-05 +20 *4077:34 *4081:11 0.000566457 +*RES +1 *23172:Y *4081:7 15.5817 +2 *4081:7 *4081:11 41.6607 +3 *4081:11 *23174:A 9.24915 +*END + +*D_NET *4082 0.00207078 +*CONN +*I *23653:A I *D sky130_fd_sc_hd__inv_2 +*I *23174:B I *D sky130_fd_sc_hd__nand2_1 +*I *23173:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23653:A 0.000158627 +2 *23174:B 1.47608e-05 +3 *23173:X 0.00020934 +4 *4082:8 0.000382727 +5 *23174:B *23591:A 6.08467e-05 +6 *23174:B *5458:56 6.08467e-05 +7 *23653:A *23654:B1 5.05252e-05 +8 *23653:A *4106:95 0.000247443 +9 *23653:A *4120:34 6.42805e-05 +10 *23653:A *4199:8 0.000198768 +11 *4082:8 *4120:34 4.74917e-05 +12 *4082:8 *4199:8 0.000116971 +13 *4082:8 *4862:11 0.000210712 +14 *3958:27 *23653:A 0.000247443 +*RES +1 *23173:X *4082:8 18.3836 +2 *4082:8 *23174:B 14.4725 +3 *4082:8 *23653:A 20.5992 +*END + +*D_NET *4083 0.00107122 +*CONN +*I *23179:A I *D sky130_fd_sc_hd__or2_1 +*I *23174:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23179:A 0.000228852 +2 *23174:Y 0.000228852 +3 *23179:A *23592:C 0.000271311 +4 *23179:A *5458:56 6.73022e-05 +5 *3831:50 *23179:A 0.000274908 +*RES +1 *23174:Y *23179:A 34.4849 +*END + +*D_NET *4084 0.00195298 +*CONN +*I *23178:A I *D sky130_fd_sc_hd__and3_1 +*I *23590:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23175:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23178:A 0.000355752 +2 *23590:A3 0.000105518 +3 *23175:Y 5.0318e-05 +4 *4084:5 0.000511588 +5 *23178:A *23178:C 2.53992e-05 +6 *23178:A *23179:B 7.65861e-05 +7 *23178:A *23675:A 3.60268e-05 +8 *23178:A *4106:95 5.23916e-05 +9 *23178:A *5458:56 7.80895e-05 +10 *23590:A3 *4228:29 9.91932e-05 +11 *23590:A3 *4529:26 0.000237038 +12 *4084:5 *5458:56 2.16355e-05 +13 *3834:21 *23178:A 0.000165495 +14 *4020:42 *23178:A 0.00013795 +*RES +1 *23175:Y *4084:5 9.97254 +2 *4084:5 *23590:A3 22.5727 +3 *4084:5 *23178:A 26.9332 +*END + +*D_NET *4085 0.00416775 +*CONN +*I *23178:B I *D sky130_fd_sc_hd__and3_1 +*I *23556:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23181:B I *D sky130_fd_sc_hd__and3_1 +*I *23639:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23176:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23178:B 0.00035743 +2 *23556:A2 7.53792e-05 +3 *23181:B 0 +4 *23639:A2 0.000239677 +5 *23176:Y 0 +6 *4085:27 0.000767348 +7 *4085:15 0.000491767 +8 *4085:4 0.000396906 +9 *23178:B *23556:A1 2.36494e-05 +10 *23178:B *23556:B1 0.000165459 +11 *23178:B *4106:95 8.12931e-05 +12 *23178:B *4146:64 0.000221185 +13 *23556:A2 *23556:B1 0 +14 *23556:A2 *4463:15 0.000162739 +15 *23639:A2 *4470:15 5.25994e-05 +16 *4085:27 *23181:A 7.98171e-06 +17 *4085:27 *23624:B2 0.000125291 +18 *4085:27 *4089:76 4.78069e-06 +19 *4085:27 *4324:7 0.000110306 +20 *23181:C *23639:A2 0.000124917 +21 *23181:C *4085:15 7.13972e-05 +22 *23181:C *4085:27 8.20262e-06 +23 *23416:A *4085:27 7.3287e-05 +24 *23416:B *23556:A2 1.72858e-05 +25 *23416:B *4085:27 1.88152e-05 +26 *23624:B1 *4085:27 0.00017416 +27 *3870:47 *4085:27 6.08467e-05 +28 *3877:72 *23639:A2 2.68066e-05 +29 *4010:12 *23639:A2 0.000120544 +30 *4020:42 *23178:B 8.01987e-05 +31 *4032:37 *23639:A2 0.000107496 +*RES +1 *23176:Y *4085:4 9.24915 +2 *4085:4 *23639:A2 23.4382 +3 *4085:4 *4085:15 2.38721 +4 *4085:15 *23181:B 9.24915 +5 *4085:15 *4085:27 17.4068 +6 *4085:27 *23556:A2 12.0704 +7 *4085:27 *23178:B 26.9318 +*END + +*D_NET *4086 0.00150323 +*CONN +*I *23654:A3 I *D sky130_fd_sc_hd__a311o_1 +*I *23178:C I *D sky130_fd_sc_hd__and3_1 +*I *23556:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23177:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23654:A3 1.60327e-05 +2 *23178:C 5.47525e-05 +3 *23556:A3 4.94886e-05 +4 *23177:Y 0.00013068 +5 *4086:26 0.000242811 +6 *4086:10 0.000352194 +7 *23178:C *4529:26 0.000127179 +8 *23556:A3 *23556:A1 1.07248e-05 +9 *23556:A3 *4146:60 1.60613e-05 +10 *23556:A3 *4146:64 8.33073e-06 +11 *23654:A3 *4463:15 4.46209e-05 +12 *4086:10 *4089:76 3.92275e-05 +13 *4086:10 *4146:60 0.00011741 +14 *4086:10 *4529:26 0 +15 *4086:26 *23556:A1 5.22654e-06 +16 *4086:26 *4463:15 7.7434e-05 +17 *4086:26 *4529:26 4.04447e-05 +18 *23177:A *4086:10 2.16355e-05 +19 *23178:A *23178:C 2.53992e-05 +20 *4020:34 *23178:C 0.000123582 +*RES +1 *23177:Y *4086:10 17.5061 +2 *4086:10 *23556:A3 15.2758 +3 *4086:10 *4086:26 8.42829 +4 *4086:26 *23178:C 20.7616 +5 *4086:26 *23654:A3 9.77964 +*END + +*D_NET *4087 0.00226187 +*CONN +*I *23179:B I *D sky130_fd_sc_hd__or2_1 +*I *23556:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23178:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *23179:B 0.000320426 +2 *23556:B1 0.000198958 +3 *23178:X 0 +4 *4087:4 0.000519384 +5 *23179:B *23654:B1 0.000107496 +6 *23179:B *23654:C1 1.65872e-05 +7 *23179:B *23675:A 0.000170577 +8 *23179:B *4106:95 0.000154145 +9 *23179:B *4463:15 3.99086e-06 +10 *23556:B1 *23556:A1 0.000101133 +11 *23556:B1 *4106:95 0.00026468 +12 *23556:B1 *4463:15 2.36941e-05 +13 *23556:B1 *4529:26 8.3647e-05 +14 *23178:A *23179:B 7.65861e-05 +15 *23178:B *23556:B1 0.000165459 +16 *23556:A2 *23556:B1 0 +17 *23654:A1 *23179:B 8.62625e-06 +18 *23654:A1 *23556:B1 0 +19 *23654:A2 *23556:B1 0 +20 *3834:21 *23179:B 3.28261e-05 +21 *4020:42 *23556:B1 1.36556e-05 +*RES +1 *23178:X *4087:4 9.24915 +2 *4087:4 *23556:B1 25.8836 +3 *4087:4 *23179:B 26.1254 +*END + +*D_NET *4088 0.00174148 +*CONN +*I *23182:A I *D sky130_fd_sc_hd__or2_1 +*I *23179:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23182:A 0.000286555 +2 *23179:X 0.000286555 +3 *23182:A *23820:C 6.08467e-05 +4 *23182:A *4090:14 0.000273217 +5 *23182:A *4120:34 0.000515703 +6 *23182:A *4199:8 1.67376e-05 +7 *23182:A *4463:15 0.000110306 +8 *3791:66 *23182:A 0.000191556 +*RES +1 *23179:X *23182:A 38.8062 +*END + +*D_NET *4089 0.0440304 +*CONN +*I *23183:B I *D sky130_fd_sc_hd__and3_1 +*I *23181:A I *D sky130_fd_sc_hd__and3_1 +*I *23556:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23635:A I *D sky130_fd_sc_hd__or2_4 +*I *23773:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23180:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *23183:B 0.000725136 +2 *23181:A 0.000411827 +3 *23556:A1 0.000332309 +4 *23635:A 1.98947e-05 +5 *23773:A3 0.000203887 +6 *23180:Y 0 +7 *4089:76 0.00158471 +8 *4089:55 0.00137857 +9 *4089:40 0.0020704 +10 *4089:38 0.00200686 +11 *4089:27 0.0034005 +12 *4089:5 0.00320854 +13 *23183:B *4092:10 1.41976e-05 +14 *23183:B *4228:20 0.000153365 +15 *23183:B *4579:14 0.00032235 +16 *23183:B *4623:11 0.000475312 +17 *23556:A1 *4106:95 5.12349e-05 +18 *23556:A1 *4146:64 0 +19 *23556:A1 *4529:26 5.2504e-06 +20 *23635:A *4415:7 6.08467e-05 +21 *23635:A *4540:14 2.16355e-05 +22 *23773:A3 *4282:11 0.000231956 +23 *23773:A3 *4345:20 0.00024065 +24 *4089:27 *23733:C 7.22263e-05 +25 *4089:27 *23791:B 0.000251928 +26 *4089:27 *4282:11 0.000250331 +27 *4089:27 *4345:20 0.00144687 +28 *4089:27 *4352:21 0.000699836 +29 *4089:27 *4528:17 0.00383888 +30 *4089:27 *4538:61 7.56514e-05 +31 *4089:27 *4664:33 0.000157671 +32 *4089:27 *4676:27 0.000234486 +33 *4089:27 *5455:12 4.00225e-05 +34 *4089:27 *5920:19 0.000151342 +35 *4089:27 *5924:20 0.000153427 +36 *4089:38 *23733:C 0.000670466 +37 *4089:38 *4538:61 0.000170163 +38 *4089:38 *4556:16 7.2522e-06 +39 *4089:38 *4627:24 4.44857e-05 +40 *4089:40 *23324:A 4.55535e-05 +41 *4089:40 *23417:B 0.00040973 +42 *4089:40 *4137:47 0.00117431 +43 *4089:40 *4146:64 0.000162319 +44 *4089:40 *4228:45 0.000158359 +45 *4089:40 *4324:8 0.000574515 +46 *4089:40 *4352:39 0.000121887 +47 *4089:40 *4556:16 0.000110592 +48 *4089:40 *4681:20 0.000225465 +49 *4089:40 *4684:34 0.000150481 +50 *4089:55 *23624:B2 0.000126056 +51 *4089:55 *4146:64 2.29287e-05 +52 *4089:55 *4324:8 6.43474e-05 +53 *4089:76 *23626:B 9.31917e-05 +54 *4089:76 *23717:A 0.000335658 +55 *4089:76 *23718:C 6.14128e-05 +56 *4089:76 *4146:60 0.00112144 +57 *4089:76 *4463:15 0.000258847 +58 *4089:76 *4529:26 7.37932e-05 +59 *23057:A2 *23183:B 0.000139177 +60 *23108:A *4089:27 4.47494e-06 +61 *23177:A *4089:76 0.000494524 +62 *23178:B *23556:A1 2.36494e-05 +63 *23181:C *23181:A 2.16355e-05 +64 *23416:A *4089:55 7.75615e-05 +65 *23416:B *23181:A 5.04829e-06 +66 *23416:B *4089:76 3.73375e-05 +67 *23556:A3 *23556:A1 1.07248e-05 +68 *23556:B1 *23556:A1 0.000101133 +69 *23628:A2 *23183:B 7.92757e-06 +70 *23773:A2 *23773:A3 6.08467e-05 +71 *1757:106 *4089:27 0.00122552 +72 *3784:56 *23183:B 0.000106246 +73 *3784:67 *23183:B 0.000157828 +74 *3784:75 *4089:76 0.000107496 +75 *3785:24 *23183:B 0.000631023 +76 *3846:37 *4089:76 5.75508e-05 +77 *3849:12 *23183:B 0.000194657 +78 *3852:23 *4089:76 0.000251669 +79 *3862:15 *23773:A3 0.000402462 +80 *3868:151 *4089:40 0.000748258 +81 *3877:194 *23773:A3 0.000141357 +82 *3877:318 *4089:76 0.000487161 +83 *3888:24 *4089:27 0.000903551 +84 *3989:52 *4089:40 0.000635349 +85 *4001:68 *4089:40 0.000216088 +86 *4001:75 *4089:40 0.00251239 +87 *4011:22 *4089:27 0.00290501 +88 *4015:8 *23181:A 0.000165495 +89 *4018:11 *4089:40 2.38992e-05 +90 *4020:42 *23556:A1 4.87439e-05 +91 *4021:10 *4089:38 0.000408423 +92 *4021:10 *4089:40 1.4494e-05 +93 *4035:8 *23181:A 0.000169093 +94 *4085:27 *23181:A 7.98171e-06 +95 *4085:27 *4089:76 4.78069e-06 +96 *4086:10 *4089:76 3.92275e-05 +97 *4086:26 *23556:A1 5.22654e-06 +*RES +1 *23180:Y *4089:5 13.7491 +2 *4089:5 *23773:A3 22.6783 +3 *4089:5 *4089:27 49.2442 +4 *4089:27 *23635:A 14.4725 +5 *4089:27 *4089:38 14.3751 +6 *4089:38 *4089:40 73.9027 +7 *4089:40 *23556:A1 29.0474 +8 *4089:40 *4089:55 7.993 +9 *4089:55 *23181:A 26.1013 +10 *4089:55 *4089:76 46.4151 +11 *4089:76 *23183:B 39.9739 +*END + +*D_NET *4090 0.00791089 +*CONN +*I *23787:A I *D sky130_fd_sc_hd__or4_1 +*I *23592:A I *D sky130_fd_sc_hd__or3_1 +*I *23820:C I *D sky130_fd_sc_hd__or4_1 +*I *23182:B I *D sky130_fd_sc_hd__or2_1 +*I *23181:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *23787:A 0.000247657 +2 *23592:A 0.000148962 +3 *23820:C 0.000536618 +4 *23182:B 0 +5 *23181:X 0.00111557 +6 *4090:33 0.000531638 +7 *4090:14 0.00061311 +8 *4090:9 0.00132708 +9 *23592:A *23658:B 4.74591e-05 +10 *23592:A *23734:A 1.14755e-05 +11 *23592:A *4120:34 0.000298676 +12 *23592:A *4450:45 6.31665e-05 +13 *23787:A *23658:A 0.000110306 +14 *23787:A *23658:B 0.000258222 +15 *23787:A *23658:D 5.35201e-05 +16 *23787:A *23787:D 0.000160617 +17 *23787:A *4562:23 0.000118638 +18 *23820:C *23658:C 1.21461e-06 +19 *23820:C *23721:A 8.98943e-05 +20 *23820:C *23820:B 6.08467e-05 +21 *23820:C *23820:D 6.08467e-05 +22 *23820:C *4091:7 6.36477e-05 +23 *23820:C *4136:10 0.000157854 +24 *23820:C *4499:13 2.33193e-05 +25 *23820:C *4563:23 0.000352281 +26 *4090:9 *23446:C 7.08723e-06 +27 *4090:9 *4127:36 7.86847e-05 +28 *4090:14 *4199:8 0.000273217 +29 *23182:A *23820:C 6.08467e-05 +30 *23182:A *4090:14 0.000273217 +31 *23416:A *4090:9 0 +32 *23624:B1 *4090:9 1.07248e-05 +33 *3791:50 *23820:C 6.64392e-05 +34 *3791:227 *4090:9 0.000340204 +35 *3907:37 *4090:9 0.000347849 +36 *4001:53 *4090:9 0 +*RES +1 *23181:X *4090:9 35.9182 +2 *4090:9 *4090:14 13.7388 +3 *4090:14 *23182:B 9.24915 +4 *4090:14 *23820:C 32.2261 +5 *4090:9 *4090:33 1.8326 +6 *4090:33 *23592:A 23.8184 +7 *4090:33 *23787:A 17.6164 +*END + +*D_NET *4091 0.0103449 +*CONN +*I *23184:A I *D sky130_fd_sc_hd__nor2_1 +*I *23182:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23184:A 4.62078e-05 +2 *23182:X 4.32647e-05 +3 *4091:8 0.00221245 +4 *4091:7 0.00220951 +5 *23184:A *23656:A 6.08467e-05 +6 *23184:A *4560:23 0.00011818 +7 *4091:8 *23293:B1_N 0.000122083 +8 *4091:8 *23656:A 0.000134323 +9 *4091:8 *23719:C1 2.01775e-05 +10 *4091:8 *4097:23 6.64536e-05 +11 *4091:8 *4106:29 0.000203273 +12 *4091:8 *4120:34 0.000361348 +13 *4091:8 *4199:8 0 +14 *4091:8 *4500:14 7.36804e-06 +15 *4091:8 *4500:16 0.000986398 +16 *4091:8 *4512:15 1.72954e-05 +17 *4091:8 *4563:23 0.000133124 +18 *22914:A *23184:A 0.00026468 +19 *22915:A *4091:8 0.000309989 +20 *23056:B1_N *4091:8 0.000122083 +21 *23451:A2 *4091:8 1.5714e-05 +22 *23451:B1 *4091:8 4.04556e-05 +23 *23628:A2 *4091:8 8.62321e-06 +24 *23820:C *4091:7 6.36477e-05 +25 *3781:43 *4091:8 0.000731934 +26 *3791:50 *4091:8 1.561e-05 +27 *3791:273 *4091:8 5.2504e-06 +28 *3831:42 *4091:8 0.000428128 +29 *3835:27 *4091:8 0.00015917 +30 *3838:30 *4091:8 5.84038e-05 +31 *3838:32 *4091:8 1.94615e-05 +32 *3838:39 *4091:8 0.000240419 +33 *3849:66 *4091:8 7.65861e-05 +34 *3868:38 *4091:8 0.000199441 +35 *3868:52 *4091:8 7.48886e-05 +36 *3868:76 *4091:8 0.000183236 +37 *3868:93 *4091:8 0.000554096 +38 *3976:17 *23184:A 3.07561e-05 +*RES +1 *23182:X *4091:7 14.4725 +2 *4091:7 *4091:8 79.0689 +3 *4091:8 *23184:A 16.691 +*END + +*D_NET *4092 0.0121301 +*CONN +*I *23493:B I *D sky130_fd_sc_hd__or2_1 +*I *23401:A I *D sky130_fd_sc_hd__or2_1 +*I *23184:B I *D sky130_fd_sc_hd__nor2_1 +*I *23656:A I *D sky130_fd_sc_hd__or3b_2 +*I *23543:B I *D sky130_fd_sc_hd__or2_2 +*I *23183:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *23493:B 0.000322302 +2 *23401:A 9.19463e-05 +3 *23184:B 0 +4 *23656:A 0.000156819 +5 *23543:B 2.64456e-05 +6 *23183:X 0.000218444 +7 *4092:54 0.000959598 +8 *4092:41 0.000661276 +9 *4092:23 0.000893675 +10 *4092:10 0.000865818 +11 *23401:A *23403:B 6.99486e-05 +12 *23401:A *4228:15 2.16355e-05 +13 *23493:B *4138:165 0.000339077 +14 *23493:B *4228:10 3.42793e-05 +15 *23493:B *4397:28 0.000142001 +16 *23656:A *4111:12 0.000413266 +17 *23656:A *4500:14 5.41377e-05 +18 *23656:A *4500:16 9.24241e-05 +19 *4092:23 *23292:B 0.00030861 +20 *4092:23 *4228:16 0.00156196 +21 *4092:23 *4228:18 5.08071e-06 +22 *4092:23 *4228:20 8.36973e-06 +23 *4092:41 *4228:16 0.000154125 +24 *4092:41 *4560:23 0.000113968 +25 *4092:54 *23402:A 0.000172966 +26 *4092:54 *23402:B 5.33266e-05 +27 *4092:54 *23593:B 0.000105227 +28 *4092:54 *4228:10 1.50262e-05 +29 *4092:54 *4397:22 0.000603355 +30 *4092:54 *4397:28 0.000446567 +31 *4092:54 *4560:23 5.08751e-05 +32 *22914:A *23656:A 1.65872e-05 +33 *22914:A *4092:41 6.50586e-05 +34 *22914:A *4092:54 6.08467e-05 +35 *23057:A2 *4092:10 0.0002141 +36 *23183:B *4092:10 1.41976e-05 +37 *23184:A *23656:A 6.08467e-05 +38 *23593:A *4092:23 0.000190605 +39 *23593:A *4092:41 6.07091e-05 +40 *23593:A *4092:54 0.000164266 +41 *23628:A2 *4092:10 2.65667e-05 +42 *3785:20 *4092:10 0.000343436 +43 *3785:20 *4092:23 0.000109851 +44 *3785:24 *4092:10 5.41227e-05 +45 *3786:17 *23493:B 0 +46 *3786:22 *23493:B 0.000118186 +47 *3849:8 *4092:23 0.00061909 +48 *3849:12 *4092:10 7.26335e-05 +49 *3849:12 *4092:23 0.000189098 +50 *3854:6 *4092:23 4.20607e-05 +51 *3868:33 *23656:A 0.000416076 +52 *3958:33 *23543:B 2.65831e-05 +53 *3976:17 *23656:A 0.000107496 +54 *3996:17 *4092:54 0 +55 *4031:7 *23493:B 6.08467e-05 +56 *4091:8 *23656:A 0.000134323 +*RES +1 *23183:X *4092:10 22.2815 +2 *4092:10 *23543:B 14.4725 +3 *4092:10 *4092:23 31.3882 +4 *4092:23 *23656:A 31.2953 +5 *4092:23 *4092:41 8.85575 +6 *4092:41 *23184:B 9.24915 +7 *4092:41 *4092:54 26.2303 +8 *4092:54 *23401:A 16.1364 +9 *4092:54 *23493:B 23.9832 +*END + +*D_NET *4093 0.000880385 +*CONN +*I *23186:A I *D sky130_fd_sc_hd__nand2_1 +*I *23184:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23186:A 0.000238209 +2 *23184:Y 0.000238209 +3 *23186:A *23186:B 4.80635e-06 +4 *23186:A *23187:B1_N 2.57986e-05 +5 *23186:A *4106:29 1.90218e-05 +6 *23186:A *4397:22 8.92568e-06 +7 *23186:A *4500:7 3.01683e-06 +8 *23186:A *4500:14 7.14746e-05 +9 *23186:A *4500:35 6.3657e-05 +10 *22914:A *23186:A 0.000207266 +*RES +1 *23184:Y *23186:A 33.0196 +*END + +*D_NET *4094 0.000946241 +*CONN +*I *23320:A I *D sky130_fd_sc_hd__inv_2 +*I *23186:B I *D sky130_fd_sc_hd__nand2_1 +*I *23185:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23320:A 6.24532e-05 +2 *23186:B 0.00019338 +3 *23185:X 0 +4 *4094:4 0.000255833 +5 *23186:B *4500:7 1.43848e-05 +6 *23186:B *4500:35 2.41274e-06 +7 *23320:A *23593:B 0.000141533 +8 *23320:A *4228:16 0.000141533 +9 *22914:A *23186:B 6.90581e-05 +10 *23186:A *23186:B 4.80635e-06 +11 *23593:A *23186:B 6.08467e-05 +*RES +1 *23185:X *4094:4 9.24915 +2 *4094:4 *23186:B 14.3129 +3 *4094:4 *23320:A 20.9116 +*END + +*D_NET *4095 0.000757881 +*CONN +*I *23187:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *23186:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23187:B1_N 0.000283975 +2 *23186:Y 0.000283975 +3 *23187:B1_N *4097:7 2.44829e-05 +4 *23187:B1_N *4500:35 1.76147e-05 +5 *23186:A *23187:B1_N 2.57986e-05 +6 *23188:A *23187:B1_N 6.08467e-05 +7 *3874:307 *23187:B1_N 6.11872e-05 +*RES +1 *23186:Y *23187:B1_N 25.3223 +*END + +*D_NET *4096 0.000466892 +*CONN +*I *23191:A I *D sky130_fd_sc_hd__or2_1 +*I *23187:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *23191:A 0.000145757 +2 *23187:Y 0.000145757 +3 *23191:A *23191:B 8.73932e-05 +4 *3874:307 *23191:A 8.79845e-05 +*RES +1 *23187:Y *23191:A 22.5975 +*END + +*D_NET *4097 0.0257789 +*CONN +*I *23404:A I *D sky130_fd_sc_hd__or2_1 +*I *23190:A I *D sky130_fd_sc_hd__or2_1 +*I *23450:B I *D sky130_fd_sc_hd__or3_1 +*I *23786:A I *D sky130_fd_sc_hd__or3_1 +*I *23830:A I *D sky130_fd_sc_hd__or4_1 +*I *23808:A1 I *D sky130_fd_sc_hd__o211ai_2 +*I *23606:B I *D sky130_fd_sc_hd__or3_1 +*I *23188:Y O *D sky130_fd_sc_hd__nor2_4 +*CAP +1 *23404:A 0.000539709 +2 *23190:A 0.000276453 +3 *23450:B 9.16638e-05 +4 *23786:A 7.83552e-05 +5 *23830:A 4.28472e-05 +6 *23808:A1 0.000409942 +7 *23606:B 0.00012404 +8 *23188:Y 8.69482e-05 +9 *4097:50 0.0015332 +10 *4097:42 0.00202898 +11 *4097:26 0.000994249 +12 *4097:24 6.40232e-05 +13 *4097:23 0.000539703 +14 *4097:16 0.000739542 +15 *4097:12 0.000582848 +16 *4097:8 0.00138634 +17 *4097:7 0.0018788 +18 *23190:A *23595:B 5.46803e-05 +19 *23190:A *23657:A 6.08467e-05 +20 *23190:A *4098:7 3.31745e-05 +21 *23190:A *4499:13 6.50586e-05 +22 *23404:A *23404:B 0.000213524 +23 *23404:A *4120:83 0.000224289 +24 *23404:A *4126:45 0.000466239 +25 *23404:A *4500:35 0 +26 *23404:A *4882:11 0.000107065 +27 *23450:B *23628:C1 1.4091e-06 +28 *23450:B *4357:21 1.00981e-05 +29 *23786:A *4126:91 0.000163315 +30 *23786:A *4561:44 3.76905e-05 +31 *23786:A *4654:16 1.91391e-05 +32 *23808:A1 *23806:C 0.000124289 +33 *23808:A1 *23808:B1 3.82228e-05 +34 *23808:A1 *23808:C1 3.12828e-05 +35 *23808:A1 *23809:C1 4.03921e-05 +36 *23808:A1 *23830:B 0.00010623 +37 *23808:A1 *23831:B 7.15926e-05 +38 *23808:A1 *4705:8 6.28168e-05 +39 *23808:A1 *4706:8 2.60537e-05 +40 *23830:A *23830:D 6.38636e-05 +41 *23830:A *4581:16 1.03403e-05 +42 *4097:8 *4106:29 0 +43 *4097:8 *4120:8 0.000844778 +44 *4097:8 *4120:70 0.00109099 +45 *4097:8 *4120:83 0.000352821 +46 *4097:8 *4602:34 0.000112685 +47 *4097:12 *4120:8 3.17782e-05 +48 *4097:16 *4499:13 0.000190221 +49 *4097:23 *4120:17 5.88594e-05 +50 *4097:23 *4499:13 0.00104452 +51 *4097:23 *4512:15 5.41227e-05 +52 *4097:23 *4602:34 0.000132593 +53 *4097:42 *4136:10 4.69495e-06 +54 *4097:42 *4301:40 0.000139391 +55 *4097:42 *4499:13 1.9101e-05 +56 *4097:50 *23749:B 1.81222e-05 +57 *4097:50 *23830:B 0.000122083 +58 *4097:50 *4301:40 0.00027354 +59 *4097:50 *4583:14 0.00023315 +60 *4097:50 *4664:33 0.00156394 +61 *4097:50 *4705:8 0.000348105 +62 *4097:50 *4800:14 8.61767e-06 +63 *23057:B1 *4097:8 0.000112897 +64 *23072:A *4097:8 1.5714e-05 +65 *23072:B *23404:A 8.18934e-05 +66 *23072:B *4097:8 3.29175e-05 +67 *23187:B1_N *4097:7 2.44829e-05 +68 *23188:B *23404:A 8.37812e-05 +69 *23193:A1 *4097:8 0 +70 *23503:B *23450:B 7.34948e-06 +71 *23503:B *4097:12 2.95757e-05 +72 *23503:B *4097:16 1.42303e-05 +73 *657:181 *23808:A1 5.20546e-06 +74 *1809:115 *23786:A 3.93661e-05 +75 *1809:115 *4097:42 1.10774e-05 +76 *3791:34 *4097:8 7.83998e-06 +77 *3791:34 *4097:12 3.21787e-05 +78 *3835:27 *23190:A 0.000121845 +79 *3838:24 *4097:8 0.00044692 +80 *3838:39 *4097:12 3.31194e-06 +81 *3838:39 *4097:16 0.000232829 +82 *3838:39 *4097:23 0.000145217 +83 *3849:21 *23606:B 5.90028e-05 +84 *3849:21 *4097:23 5.88594e-05 +85 *3849:21 *4097:42 1.94584e-05 +86 *3849:29 *23786:A 2.02035e-05 +87 *3868:38 *4097:8 0.000309251 +88 *3868:76 *4097:23 7.69649e-05 +89 *3868:93 *4097:23 0.000158852 +90 *3874:297 *23404:A 0.000163465 +91 *3981:11 *4097:8 8.36615e-05 +92 *4001:244 *4097:8 0.000780711 +93 *4009:115 *4097:42 0.000198411 +94 *4009:115 *4097:50 0.0022008 +95 *4014:23 *23190:A 6.08467e-05 +96 *4091:8 *4097:23 6.64536e-05 +*RES +1 *23188:Y *4097:7 15.0271 +2 *4097:7 *4097:8 50.2089 +3 *4097:8 *4097:12 3.18767 +4 *4097:12 *4097:16 5.61812 +5 *4097:16 *4097:23 33.3299 +6 *4097:23 *4097:24 81.1229 +7 *4097:24 *4097:26 9.24915 +8 *4097:26 *23606:B 11.0817 +9 *4097:26 *4097:42 10.9745 +10 *4097:42 *4097:50 15.6376 +11 *4097:50 *23808:A1 24.9011 +12 *4097:50 *23830:A 15.0513 +13 *4097:42 *23786:A 18.1143 +14 *4097:16 *23450:B 15.0271 +15 *4097:12 *23190:A 20.7661 +16 *4097:7 *23404:A 32.7837 +*END + +*D_NET *4098 0.000917145 +*CONN +*I *23657:A I *D sky130_fd_sc_hd__or2_1 +*I *23190:B I *D sky130_fd_sc_hd__or2_1 +*I *23189:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23657:A 8.81512e-05 +2 *23190:B 0 +3 *23189:Y 4.93639e-05 +4 *4098:7 0.000137515 +5 *23657:A *23595:B 1.65872e-05 +6 *23657:A *23628:C1 6.50586e-05 +7 *23657:A *4461:17 6.08467e-05 +8 *23657:A *4654:10 0.000143032 +9 *23657:A *4697:22 0.000139435 +10 *4098:7 *23595:B 0.000123134 +11 *23190:A *23657:A 6.08467e-05 +12 *23190:A *4098:7 3.31745e-05 +13 *3835:27 *4098:7 0 +*RES +1 *23189:Y *4098:7 11.1059 +2 *4098:7 *23190:B 9.24915 +3 *4098:7 *23657:A 22.3584 +*END + +*D_NET *4099 0.00834829 +*CONN +*I *23191:B I *D sky130_fd_sc_hd__or2_1 +*I *23821:A I *D sky130_fd_sc_hd__or2_1 +*I *23190:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23191:B 0.000525696 +2 *23821:A 8.6345e-05 +3 *23190:X 0.000114467 +4 *4099:17 0.00124898 +5 *4099:7 0.000924092 +6 *23821:A *23547:A 0.000216088 +7 *23821:A *23596:A 0.000118485 +8 *23821:A *4561:27 3.65454e-05 +9 *23821:A *4673:17 2.03996e-05 +10 *4099:7 *4374:55 0.000154145 +11 *4099:17 *23547:A 0.00127479 +12 *4099:17 *23547:B 7.30233e-05 +13 *4099:17 *23677:A 0.000284018 +14 *4099:17 *4561:27 0.0014754 +15 *23191:A *23191:B 8.73932e-05 +16 *23194:A *23191:B 0.000283363 +17 *23546:B *23191:B 5.80754e-05 +18 *23546:B *4099:17 0.000195892 +19 *23704:A *23191:B 0.000913677 +20 *23704:A *4099:17 8.36973e-06 +21 *3824:19 *23191:B 1.9101e-05 +22 *3874:307 *23191:B 3.99086e-06 +23 *3974:49 *4099:17 0.000225961 +*RES +1 *23190:X *4099:7 15.5817 +2 *4099:7 *23821:A 17.6574 +3 *4099:7 *4099:17 36.5544 +4 *4099:17 *23191:B 32.9027 +*END + +*D_NET *4100 7.39928e-05 +*CONN +*I *23192:B I *D sky130_fd_sc_hd__nor2_1 +*I *23191:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23192:B 2.9804e-05 +2 *23191:X 2.9804e-05 +3 *3874:307 *23192:B 1.43848e-05 +*RES +1 *23191:X *23192:B 19.2217 +*END + +*D_NET *4101 0.000469363 +*CONN +*I *23193:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23192:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23193:B1 0.000140712 +2 *23192:Y 0.000140712 +3 *23193:B1 *4214:35 1.42502e-05 +4 *23192:A *23193:B1 2.16355e-05 +5 *23193:A2 *23193:B1 1.66771e-05 +6 *3981:23 *23193:B1 0.000135376 +*RES +1 *23192:Y *23193:B1 30.8842 +*END + +*D_NET *4102 0.00100767 +*CONN +*I *23195:A I *D sky130_fd_sc_hd__nor2_1 +*I *23193:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23195:A 0.00023523 +2 *23193:Y 0.00023523 +3 *23195:A *4214:35 0.000135188 +4 *3974:39 *23195:A 0.000135188 +5 *3976:17 *23195:A 0.000266832 +*RES +1 *23193:Y *23195:A 33.1026 +*END + +*D_NET *4103 0.00860466 +*CONN +*I *23789:B I *D sky130_fd_sc_hd__or3_1 +*I *23723:A I *D sky130_fd_sc_hd__or2_1 +*I *23195:B I *D sky130_fd_sc_hd__nor2_1 +*I *23194:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23789:B 0.000187233 +2 *23723:A 0 +3 *23195:B 0.000224845 +4 *23194:Y 0 +5 *4103:20 0.000187233 +6 *4103:18 0.000801379 +7 *4103:4 0.00102622 +8 *23195:B *4214:35 0.000418525 +9 *23195:B *4538:15 6.08467e-05 +10 *23789:B *23628:C1 0.000107496 +11 *23789:B *4363:14 0.000451096 +12 *23789:B *4504:6 0.000445984 +13 *23789:B *4626:8 1.82679e-05 +14 *4103:18 *4214:35 6.20492e-05 +15 *4103:18 *4214:40 0.000154914 +16 *4103:18 *4363:14 0.00239101 +17 *4103:18 *4538:15 6.08467e-05 +18 *4103:18 *4582:8 0.00182759 +19 *3974:49 *23195:B 0.000173904 +20 *3981:23 *23195:B 5.21758e-06 +*RES +1 *23194:Y *4103:4 9.24915 +2 *4103:4 *23195:B 26.6181 +3 *4103:4 *4103:18 47.3349 +4 *4103:18 *4103:20 4.5 +5 *4103:20 *23723:A 9.24915 +6 *4103:20 *23789:B 27.5879 +*END + +*D_NET *4104 0.000712533 +*CONN +*I *23199:A I *D sky130_fd_sc_hd__and2_1 +*I *23195:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23199:A 8.79161e-05 +2 *23195:Y 8.79161e-05 +3 *23199:A *23199:B 0.000115934 +4 *23199:A *4106:47 0.00035144 +5 *23199:A *4107:5 2.3527e-05 +6 *3974:45 *23199:A 4.58003e-05 +*RES +1 *23195:Y *23199:A 22.5493 +*END + +*D_NET *4105 0.00127072 +*CONN +*I *23197:A I *D sky130_fd_sc_hd__buf_8 +*I *23196:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23197:A 0.000458695 +2 *23196:X 0.000458695 +3 *23197:A *23701:A3 5.48805e-05 +4 *23197:A *4116:20 0 +5 *23197:A *4225:11 7.06485e-05 +6 *23197:A *4225:20 4.70005e-05 +7 *23197:A *4227:10 0.000144323 +8 *3811:8 *23197:A 3.6482e-05 +*RES +1 *23196:X *23197:A 37.1186 +*END + +*D_NET *4106 0.111612 +*CONN +*I *23682:B I *D sky130_fd_sc_hd__nor2_1 +*I *23276:B I *D sky130_fd_sc_hd__nor2_1 +*I *23245:B I *D sky130_fd_sc_hd__nor2_1 +*I *23268:B I *D sky130_fd_sc_hd__nor2_1 +*I *23256:B I *D sky130_fd_sc_hd__nor2_1 +*I *23229:A I *D sky130_fd_sc_hd__inv_4 +*I *23251:B I *D sky130_fd_sc_hd__nor2_1 +*I *23283:B I *D sky130_fd_sc_hd__nor2_1 +*I *23757:B I *D sky130_fd_sc_hd__nor3_1 +*I *23834:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23198:B I *D sky130_fd_sc_hd__or2_1 +*I *23197:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *23682:B 0 +2 *23276:B 0.000120509 +3 *23245:B 2.7855e-05 +4 *23268:B 0 +5 *23256:B 0 +6 *23229:A 0.000290286 +7 *23251:B 3.06605e-05 +8 *23283:B 0.000254455 +9 *23757:B 0.000660225 +10 *23834:B2 0.000644815 +11 *23198:B 0 +12 *23197:X 0.00035502 +13 *4106:245 0.00147459 +14 *4106:227 0.00159562 +15 *4106:214 0.000901476 +16 *4106:191 0.0011299 +17 *4106:180 0.00101448 +18 *4106:175 0.00194356 +19 *4106:169 0.00270962 +20 *4106:162 0.00223125 +21 *4106:157 0.0018681 +22 *4106:131 0.00247493 +23 *4106:126 0.00210356 +24 *4106:95 0.003107 +25 *4106:89 0.00300893 +26 *4106:79 0.00230831 +27 *4106:75 0.0033923 +28 *4106:72 0.00343036 +29 *4106:69 0.00163798 +30 *4106:62 0.00241389 +31 *4106:55 0.00341456 +32 *4106:47 0.00480449 +33 *4106:29 0.00327604 +34 *4106:24 0.00187391 +35 *4106:11 0.00147411 +36 *23229:A *23476:A 0 +37 *23229:A *23691:C 2.16355e-05 +38 *23245:B *5682:35 6.08467e-05 +39 *23251:B *4384:10 3.41059e-05 +40 *23251:B *4662:7 1.15856e-05 +41 *23276:B *4185:5 6.08467e-05 +42 *23276:B *4801:20 4.49767e-05 +43 *23283:B *23282:A 0.000110297 +44 *23283:B *23282:B 0.000207154 +45 *23283:B *4665:37 0.000154145 +46 *23757:B *23757:A 0.000114584 +47 *23757:B *4418:14 0.00110002 +48 *23757:B *4660:10 2.99733e-05 +49 *23757:B *5923:20 0.000112374 +50 *23834:B2 *23835:A1 8.14371e-05 +51 *23834:B2 *23836:B 8.45459e-06 +52 *23834:B2 *4241:46 3.4403e-05 +53 *4106:11 *23300:A2 6.75138e-05 +54 *4106:11 *23795:B 0 +55 *4106:11 *23795:C 8.62625e-06 +56 *4106:11 *4230:20 0 +57 *4106:11 *4398:8 0.000216118 +58 *4106:11 *5458:114 0.000296659 +59 *4106:24 *6108:DIODE 1.5714e-05 +60 *4106:24 *6210:DIODE 3.6549e-05 +61 *4106:24 *23404:B 0.000148144 +62 *4106:24 *23492:C 0 +63 *4106:24 *23497:D 2.14588e-05 +64 *4106:24 *23682:A 3.20069e-06 +65 *4106:24 *23703:A 1.91391e-05 +66 *4106:24 *23764:C 0.000282357 +67 *4106:24 *23796:D 0.000148129 +68 *4106:24 *4230:20 0 +69 *4106:24 *4397:28 0 +70 *4106:24 *4500:35 0.000155756 +71 *4106:24 *4500:45 0.000216185 +72 *4106:24 *4587:14 0.000227072 +73 *4106:24 *4696:10 0.000209209 +74 *4106:29 *4111:12 0.000165435 +75 *4106:29 *4397:22 0 +76 *4106:29 *4500:14 1.27831e-06 +77 *4106:29 *4500:35 0.000500059 +78 *4106:47 *23199:B 3.58044e-05 +79 *4106:47 *23203:A 7.82737e-05 +80 *4106:47 *23203:B 7.00729e-05 +81 *4106:47 *24189:CLK 0.000109889 +82 *4106:47 *24757:RESET_B 4.58102e-06 +83 *4106:47 *4107:5 6.12686e-06 +84 *4106:47 *4111:12 0.000904828 +85 *4106:47 *4137:80 0.00106268 +86 *4106:47 *4211:7 3.24705e-06 +87 *4106:55 *4146:26 0.000380829 +88 *4106:55 *4374:40 6.61836e-05 +89 *4106:55 *4538:15 0.000154145 +90 *4106:62 *20497:B1 6.77593e-05 +91 *4106:62 *21612:A 2.7961e-05 +92 *4106:62 *5861:10 0.000381213 +93 *4106:69 *4840:186 9.60366e-05 +94 *4106:72 *19811:A 0.000524122 +95 *4106:72 *22026:A 0.000196638 +96 *4106:72 *5858:8 0.00100492 +97 *4106:75 *24453:CLK 2.41274e-06 +98 *4106:75 *5858:13 0.00107283 +99 *4106:79 *4137:69 0.000107496 +100 *4106:79 *4656:8 0.000663433 +101 *4106:79 *4799:6 0.00022927 +102 *4106:89 *23736:A 0.000213544 +103 *4106:89 *4137:69 0.00107707 +104 *4106:89 *4452:10 0 +105 *4106:95 *23654:B1 0.000247443 +106 *4106:126 *4585:17 0.000586437 +107 *4106:126 *4656:8 0.000582839 +108 *4106:126 *4799:6 6.9561e-05 +109 *4106:126 *4873:11 0.00025175 +110 *4106:126 *5925:17 0.000128583 +111 *4106:131 *23282:A 0.000107496 +112 *4106:131 *24423:SET_B 0.000287114 +113 *4106:131 *4799:6 0.0013124 +114 *4106:131 *5851:36 0.00012609 +115 *4106:131 *5868:57 0.000148159 +116 *4106:157 *23841:A1 0.000196753 +117 *4106:157 *23858:A3 1.37658e-05 +118 *4106:157 *4136:54 0.000526964 +119 *4106:157 *4636:17 0.000439847 +120 *4106:157 *4704:24 0.000900631 +121 *4106:157 *4751:27 8.20799e-06 +122 *4106:157 *5453:21 0.000517783 +123 *4106:157 *5457:13 0.000184516 +124 *4106:157 *5924:37 0.000174361 +125 *4106:162 *4189:6 0 +126 *4106:162 *4755:11 0.000240158 +127 *4106:162 *4801:17 0.000587633 +128 *4106:162 *4801:20 0.000864358 +129 *4106:169 *23382:A 0.000527338 +130 *4106:169 *23382:B 2.30251e-05 +131 *4106:169 *23384:A 2.57986e-05 +132 *4106:169 *4801:20 0.000217782 +133 *4106:175 *4415:50 0.00023462 +134 *4106:175 *4481:13 7.14746e-05 +135 *4106:180 *4126:193 0.000162185 +136 *4106:180 *4153:11 9.57557e-06 +137 *4106:180 *4694:10 0.000562454 +138 *4106:191 *23253:A 0.000831048 +139 *4106:191 *23475:A 0.000110297 +140 *4106:191 *23693:B 0 +141 *4106:191 *23759:A 3.33274e-05 +142 *4106:191 *4126:209 1.12605e-05 +143 *4106:191 *4384:10 2.77625e-06 +144 *4106:191 *4595:8 0.000742154 +145 *4106:191 *4662:7 6.3657e-05 +146 *4106:214 *23253:C 0.000113096 +147 *4106:214 *23693:C 0.000264583 +148 *4106:214 *4160:8 8.03393e-06 +149 *4106:214 *5674:15 0.000445416 +150 *4106:245 *23272:A 0.000107496 +151 *4106:245 *23273:B 9.32983e-05 +152 *4106:245 *23530:B 0.000553844 +153 *4106:245 *4126:238 0.000300017 +154 *4106:245 *4173:7 0.000203595 +155 *4106:245 *4173:10 6.90079e-05 +156 *4106:245 *4181:9 0.000164815 +157 *4106:245 *4289:21 0.000207266 +158 *20494:B2 *4106:69 0.000378069 +159 *20792:B2 *4106:126 0.000376265 +160 *23072:B *4106:29 2.04459e-05 +161 *23133:B *4106:95 0.000188488 +162 *23133:D *23834:B2 5.04829e-06 +163 *23133:D *4106:95 8.01158e-05 +164 *23178:A *4106:95 5.23916e-05 +165 *23178:B *4106:95 8.12931e-05 +166 *23179:B *4106:95 0.000154145 +167 *23186:A *4106:29 1.90218e-05 +168 *23188:A *4106:29 0.00018643 +169 *23193:A1 *4106:29 0.000151758 +170 *23198:A *4106:47 2.21251e-05 +171 *23199:A *4106:47 0.00035144 +172 *23251:A *4106:191 0 +173 *23256:A *4106:245 8.79845e-05 +174 *23264:B *4106:245 4.23858e-05 +175 *23266:A *4106:245 0.000544481 +176 *23276:A *23276:B 7.92757e-06 +177 *23281:A *23283:B 3.75603e-05 +178 *23283:A *23283:B 4.30291e-05 +179 *23302:A *4106:47 7.98425e-06 +180 *23556:A1 *4106:95 5.12349e-05 +181 *23556:B1 *4106:95 0.00026468 +182 *23638:B *4106:95 7.13972e-05 +183 *23653:A *4106:95 0.000247443 +184 *23702:B *4106:24 0.000492577 +185 *23834:A2 *23834:B2 0.000108707 +186 *24089:A0 *4106:89 6.08467e-05 +187 *24576:D *4106:62 9.07365e-05 +188 *24577:D *4106:69 0.000151741 +189 *566:136 *4106:89 0.000187498 +190 *657:218 *4106:55 0.000377231 +191 *1438:92 *4106:169 8.30822e-05 +192 *1482:29 *4106:79 0.000414142 +193 *1483:14 *4106:126 0.00122303 +194 *1483:14 *4106:131 1.94224e-05 +195 *1483:26 *4106:162 0.00111801 +196 *1483:26 *4106:169 0.000222706 +197 *1506:114 *4106:169 0.000127654 +198 *1506:125 *4106:162 0.000144531 +199 *1569:9 *4106:72 9.88457e-05 +200 *1585:8 *4106:62 0 +201 *1587:80 *4106:180 0.000352744 +202 *1587:80 *4106:191 0.000281788 +203 *1724:8 *4106:62 0 +204 *1724:39 *4106:69 0.000325369 +205 *1731:85 *23229:A 0.000343552 +206 *1731:85 *4106:227 0.000285697 +207 *1731:85 *4106:245 1.34424e-05 +208 *1784:71 *4106:131 0.000817503 +209 *1976:48 *4106:62 0.000394828 +210 *1977:8 *4106:62 9.47659e-05 +211 *1977:10 *4106:62 0.000195247 +212 *1977:12 *4106:62 3.66029e-05 +213 *1977:12 *4106:69 0.000300822 +214 *2080:12 *4106:126 0.000315176 +215 *2080:14 *4106:126 0.000378054 +216 *2231:6 *4106:72 9.57557e-06 +217 *2552:13 *4106:55 5.04829e-06 +218 *2620:40 *4106:62 0.00021718 +219 *2620:47 *4106:75 0.000119554 +220 *2620:57 *4106:75 7.27574e-05 +221 *2620:57 *4106:79 4.65545e-06 +222 *2624:45 *4106:162 0.000108729 +223 *2632:47 *4106:245 4.29187e-05 +224 *2728:8 *4106:62 1.78687e-05 +225 *2728:8 *4106:69 0.000236341 +226 *2796:8 *4106:62 0.00011853 +227 *2883:11 *4106:69 0.00127883 +228 *2963:93 *4106:162 0.000128591 +229 *3035:10 *4106:69 0.000208218 +230 *3368:27 *4106:162 0.000191526 +231 *3671:51 *4106:162 0.000211209 +232 *3791:123 *4106:175 0.00203145 +233 *3824:11 *4106:29 0.000253916 +234 *3824:11 *4106:47 4.66492e-05 +235 *3842:336 *4106:11 0.00075472 +236 *3861:76 *4106:180 7.50872e-05 +237 *3868:33 *4106:29 6.08467e-05 +238 *3868:38 *4106:29 2.95757e-05 +239 *3877:147 *4106:169 0.00130828 +240 *3886:8 *4106:245 0.000181146 +241 *3900:9 *4106:180 0 +242 *3900:18 *4106:214 0.000264583 +243 *3905:30 *23757:B 2.61955e-05 +244 *3917:26 *23757:B 0.00110488 +245 *3937:32 *23229:A 0.000153389 +246 *3937:32 *4106:191 0 +247 *3937:32 *4106:214 1.83628e-05 +248 *3958:27 *4106:89 6.19901e-05 +249 *3958:27 *4106:95 0.000225004 +250 *3974:33 *4106:11 0.000373047 +251 *3974:33 *4106:24 6.08697e-06 +252 *3974:45 *4106:47 1.98996e-05 +253 *3974:219 *4106:169 0.000173131 +254 *3975:5 *4106:47 2.7585e-05 +255 *3980:147 *4106:169 0.00106497 +256 *3981:11 *4106:29 0.000191251 +257 *3981:11 *4106:47 3.99086e-06 +258 *3981:38 *4106:24 0.000506551 +259 *3981:38 *4106:29 2.03793e-05 +260 *3999:44 *23276:B 4.49767e-05 +261 *4001:53 *4106:95 2.61955e-05 +262 *4003:9 *4106:11 0.000525925 +263 *4008:26 *4106:169 0.000154145 +264 *4009:47 *4106:169 7.56374e-05 +265 *4017:24 *4106:175 0.0002316 +266 *4017:47 *4106:245 0.000154145 +267 *4017:49 *4106:245 1.65872e-05 +268 *4017:51 *4106:245 0.000152901 +269 *4020:20 *4106:24 0 +270 *4020:42 *4106:95 0.000364326 +271 *4022:38 *4106:169 0.000139075 +272 *4022:87 *4106:180 3.20069e-06 +273 *4022:87 *4106:191 5.58743e-05 +274 *4026:50 *4106:95 0.000438346 +275 *4074:23 *4106:157 0.000439847 +276 *4091:8 *4106:29 0.000203273 +277 *4097:8 *4106:29 0 +*RES +1 *23197:X *4106:11 36.3601 +2 *4106:11 *4106:24 43.2284 +3 *4106:24 *4106:29 29.6129 +4 *4106:29 *23198:B 9.24915 +5 *4106:29 *4106:47 47.72 +6 *4106:47 *4106:55 49.8778 +7 *4106:55 *4106:62 38.533 +8 *4106:62 *4106:69 43.5084 +9 *4106:69 *4106:72 22.5268 +10 *4106:72 *4106:75 48.4825 +11 *4106:75 *4106:79 17.9913 +12 *4106:79 *4106:89 32.9584 +13 *4106:89 *4106:95 42.4995 +14 *4106:95 *23834:B2 27.875 +15 *4106:95 *23757:B 44.9004 +16 *4106:79 *4106:126 49.2834 +17 *4106:126 *4106:131 45.9095 +18 *4106:131 *23283:B 16.6519 +19 *4106:131 *4106:157 47.0223 +20 *4106:157 *4106:162 39.4856 +21 *4106:162 *4106:169 48.4323 +22 *4106:169 *4106:175 38.4467 +23 *4106:175 *4106:180 15.6086 +24 *4106:180 *4106:191 28.0353 +25 *4106:191 *23251:B 10.7803 +26 *4106:191 *4106:214 30.1462 +27 *4106:214 *23229:A 26.1013 +28 *4106:214 *4106:227 3.49641 +29 *4106:227 *23256:B 9.24915 +30 *4106:227 *4106:245 49.5068 +31 *4106:245 *23268:B 9.24915 +32 *4106:180 *23245:B 14.4725 +33 *4106:162 *23276:B 25.0286 +34 *4106:11 *23682:B 9.24915 +*END + +*D_NET *4107 0.00165746 +*CONN +*I *23199:B I *D sky130_fd_sc_hd__and2_1 +*I *23303:A I *D sky130_fd_sc_hd__clkinv_2 +*I *23198:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23199:B 8.69904e-05 +2 *23303:A 3.98808e-05 +3 *23198:X 0.000295842 +4 *4107:5 0.000422714 +5 *23199:B *23203:B 0 +6 *23199:A *23199:B 0.000115934 +7 *23199:A *4107:5 2.3527e-05 +8 *3824:24 *23303:A 0.000127164 +9 *3825:35 *23303:A 0.000127164 +10 *3974:45 *4107:5 0.00021569 +11 *3975:5 *23199:B 0.000160617 +12 *4106:47 *23199:B 3.58044e-05 +13 *4106:47 *4107:5 6.12686e-06 +*RES +1 *23198:X *4107:5 13.8548 +2 *4107:5 *23303:A 20.4964 +3 *4107:5 *23199:B 12.625 +*END + +*D_NET *4108 0.000400239 +*CONN +*I *23200:C I *D sky130_fd_sc_hd__and3_1 +*I *23199:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23200:C 0.000116316 +2 *23199:X 0.000116316 +3 *23302:A *23200:C 0.00010676 +4 *3975:5 *23200:C 6.08467e-05 +*RES +1 *23199:X *23200:C 20.4273 +*END + +*D_NET *4109 0.000333459 +*CONN +*I *23203:A I *D sky130_fd_sc_hd__nand2_1 +*I *23200:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *23203:A 6.921e-05 +2 *23200:X 6.921e-05 +3 *23203:A *23203:B 6.50586e-05 +4 *23302:A *23203:A 5.17071e-05 +5 *4106:47 *23203:A 7.82737e-05 +*RES +1 *23200:X *23203:A 21.4883 +*END + +*D_NET *4110 0.000581733 +*CONN +*I *23202:B I *D sky130_fd_sc_hd__or2_1 +*I *23655:D I *D sky130_fd_sc_hd__or4_1 +*I *23201:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23202:B 2.59244e-05 +2 *23655:D 0.000146548 +3 *23201:X 0 +4 *4110:4 0.000172473 +5 *23655:D *4550:10 3.31733e-05 +6 *23655:D *5629:85 9.22623e-05 +7 *23202:A *23202:B 6.50586e-05 +8 *23655:B *23655:D 1.42903e-05 +9 *3817:12 *23655:D 4.88764e-06 +10 *3842:24 *23655:D 1.04378e-05 +11 *3990:46 *23655:D 1.66771e-05 +*RES +1 *23201:X *4110:4 9.24915 +2 *4110:4 *23655:D 22.5482 +3 *4110:4 *23202:B 9.97254 +*END + +*D_NET *4111 0.00934102 +*CONN +*I *23203:B I *D sky130_fd_sc_hd__nand2_1 +*I *23601:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23202:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23203:B 7.94999e-05 +2 *23601:B1 0.000217489 +3 *23202:X 2.84672e-05 +4 *4111:12 0.00257745 +5 *4111:8 0.00230893 +6 *23601:B1 *4508:8 6.50586e-05 +7 *23601:B1 *4873:54 0.000584938 +8 *23601:B1 *5801:92 0.000579842 +9 *4111:8 *4116:32 7.86847e-05 +10 *4111:12 *4873:54 4.33979e-05 +11 *4111:12 *5456:133 0.000631321 +12 *4111:12 *5801:92 5.41227e-05 +13 *23199:B *23203:B 0 +14 *23203:A *23203:B 6.50586e-05 +15 *23302:A *23203:B 0.000260388 +16 *23655:A *4111:8 7.50872e-05 +17 *23656:A *4111:12 0.000413266 +18 *3868:33 *4111:12 0.000123484 +19 *3975:5 *23203:B 1.41976e-05 +20 *4106:29 *4111:12 0.000165435 +21 *4106:47 *23203:B 7.00729e-05 +22 *4106:47 *4111:12 0.000904828 +*RES +1 *23202:X *4111:8 19.6659 +2 *4111:8 *4111:12 41.1649 +3 *4111:12 *23601:B1 25.1883 +4 *4111:12 *23203:B 17.2697 +*END + +*D_NET *4112 0.000480666 +*CONN +*I *23204:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *23203:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23204:B_N 8.27456e-05 +2 *23203:Y 8.27456e-05 +3 *23204:B_N *24876:CLK 0.000127164 +4 *23204:B_N *4371:30 0.000127164 +5 *23302:A *23204:B_N 6.08467e-05 +*RES +1 *23203:Y *23204:B_N 30.4689 +*END + +*D_NET *4113 0.000900124 +*CONN +*I *23413:A I *D sky130_fd_sc_hd__nand2_1 +*I *23204:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *23413:A 0.000319687 +2 *23204:X 0.000319687 +3 *23413:A *24876:CLK 6.02377e-05 +4 *23413:A *4409:8 0.000141807 +5 *566:116 *23413:A 5.8705e-05 +*RES +1 *23204:X *23413:A 33.6572 +*END + +*D_NET *4114 0.000818305 +*CONN +*I *23299:A I *D sky130_fd_sc_hd__nor2_1 +*I *23550:B I *D sky130_fd_sc_hd__or2_1 +*I *23205:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23299:A 0 +2 *23550:B 9.86095e-05 +3 *23205:Y 0.000140015 +4 *4114:7 0.000238625 +5 *23550:B *4508:8 9.31226e-05 +6 *23550:B *5801:92 0.000217141 +7 *4114:7 *23301:A 1.03403e-05 +8 *23205:A *4114:7 1.31015e-05 +9 *23601:A2 *4114:7 7.34948e-06 +10 *4001:250 *4114:7 0 +*RES +1 *23205:Y *4114:7 12.2151 +2 *4114:7 *23550:B 22.1574 +3 *4114:7 *23299:A 9.24915 +*END + +*D_NET *4115 0.00399885 +*CONN +*I *23207:D_N I *D sky130_fd_sc_hd__or4bb_4 +*I *23237:A I *D sky130_fd_sc_hd__or2_4 +*I *23206:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *23207:D_N 0 +2 *23237:A 0.000113698 +3 *23206:X 0.000474215 +4 *4115:8 0.000587914 +5 *23237:A *4146:7 1.84293e-05 +6 *4115:8 *4882:113 1.31764e-05 +7 *23237:B *23237:A 7.01239e-05 +8 *23237:B *4115:8 6.01944e-06 +9 *3806:10 *4115:8 0.0010565 +10 *3806:16 *23237:A 0.000174205 +11 *3806:16 *4115:8 0.000219686 +12 *3809:47 *23237:A 1.32509e-05 +13 *3993:10 *4115:8 0.00125164 +*RES +1 *23206:X *4115:8 37.6217 +2 *4115:8 *23237:A 18.1049 +3 *4115:8 *23207:D_N 13.7491 +*END + +*D_NET *4116 0.0271713 +*CONN +*I *23300:A2 I *D sky130_fd_sc_hd__o31ai_4 +*I *23208:C I *D sky130_fd_sc_hd__or3_1 +*I *23503:A I *D sky130_fd_sc_hd__or2_1 +*I *23674:A1 I *D sky130_fd_sc_hd__o311a_1 +*I *23207:X O *D sky130_fd_sc_hd__or4bb_4 +*CAP +1 *23300:A2 0.000796478 +2 *23208:C 3.51473e-05 +3 *23503:A 0.0013899 +4 *23674:A1 0.000195227 +5 *23207:X 0.000987387 +6 *4116:38 0.00254791 +7 *4116:32 0.00170909 +8 *4116:20 0.00219792 +9 *4116:10 0.00327062 +10 *23300:A2 *4587:14 0.000113968 +11 *23503:A *23628:C1 7.0012e-06 +12 *23503:A *23719:C1 7.86858e-06 +13 *23503:A *4357:21 8.86317e-05 +14 *23674:A1 *23227:A 0.000107496 +15 *23674:A1 *23227:C 1.65872e-05 +16 *23674:A1 *4127:30 0.000230012 +17 *23674:A1 *4127:34 2.77564e-05 +18 *4116:10 *23225:A 5.45851e-05 +19 *4116:10 *4130:8 0.000176014 +20 *4116:10 *4227:10 0 +21 *4116:10 *4244:10 0.000692822 +22 *4116:10 *4301:50 6.46333e-05 +23 *4116:20 *23405:B1 5.19349e-05 +24 *4116:20 *23406:A1 7.20535e-05 +25 *4116:20 *4127:18 0.000234269 +26 *4116:20 *4138:165 0.00020831 +27 *4116:20 *4225:20 0.000200256 +28 *4116:20 *4227:10 0 +29 *4116:20 *4227:18 0.000236506 +30 *4116:20 *4227:20 0.000750533 +31 *4116:20 *4227:24 0.000131727 +32 *4116:20 *4364:13 0.000101148 +33 *4116:20 *4396:35 0.000133835 +34 *4116:20 *4668:9 4.27003e-05 +35 *4116:20 *5629:73 0 +36 *4116:32 *4538:12 0.000340742 +37 *4116:32 *4560:23 2.28508e-05 +38 *4116:32 *5629:85 2.20415e-05 +39 *4116:38 *4127:30 0.000151961 +40 *4116:38 *4547:8 0 +41 *22913:B *4116:32 2.02035e-05 +42 *22936:A *4116:38 6.14273e-05 +43 *22955:C *23208:C 0.000107496 +44 *22998:A *23674:A1 0.000111708 +45 *23002:A *23503:A 0.00128553 +46 *23069:A *4116:20 5.07959e-06 +47 *23069:A *4116:32 0 +48 *23101:B *4116:38 4.82291e-05 +49 *23116:B *4116:38 5.05252e-05 +50 *23197:A *4116:20 0 +51 *23300:A1 *23300:A2 1.09551e-05 +52 *23415:A *4116:38 0.000231378 +53 *23449:A3 *23503:A 0.00030039 +54 *23451:A2 *23503:A 2.82027e-05 +55 *23503:B *23503:A 2.15272e-05 +56 *23588:A *4116:32 0.000100509 +57 *23597:B1 *23503:A 1.5714e-05 +58 *23625:A4 *4116:32 4.5435e-07 +59 *23625:A4 *4116:38 1.51556e-05 +60 *23655:A *4116:32 0.000188007 +61 *23655:B *4116:20 1.00017e-05 +62 *3781:39 *23503:A 1.08484e-06 +63 *3784:10 *4116:10 0 +64 *3784:14 *4116:10 0.000318361 +65 *3784:14 *4116:20 0 +66 *3784:22 *4116:20 0 +67 *3784:22 *4116:32 0 +68 *3800:72 *4116:32 8.01741e-05 +69 *3817:10 *4116:32 0 +70 *3833:275 *23208:C 3.82228e-05 +71 *3838:14 *4116:32 7.65861e-05 +72 *3838:115 *4116:20 4.23622e-05 +73 *3838:115 *4116:32 0.000381293 +74 *3842:24 *4116:32 0.00030383 +75 *3842:24 *4116:38 0.0011805 +76 *3842:336 *4116:20 1.9396e-05 +77 *3846:8 *4116:38 0.000373172 +78 *3846:20 *4116:38 0.000431771 +79 *3860:58 *4116:38 4.40272e-05 +80 *3864:12 *4116:32 0.000292262 +81 *3865:8 *4116:38 0.000699649 +82 *3868:52 *23503:A 0 +83 *3868:76 *23503:A 0.000148852 +84 *3974:33 *23300:A2 0.00116248 +85 *3974:33 *4116:20 0.000167076 +86 *3974:60 *23503:A 0 +87 *3991:21 *23674:A1 1.65872e-05 +88 *3996:48 *4116:38 0.000119528 +89 *3996:65 *4116:38 1.41761e-05 +90 *4001:26 *4116:38 0.000148379 +91 *4003:9 *23300:A2 0.000248371 +92 *4003:29 *23300:A2 3.44917e-05 +93 *4009:116 *23503:A 1.5714e-05 +94 *4015:8 *23674:A1 0.000261052 +95 *4015:8 *4116:38 0.000375256 +96 *4106:11 *23300:A2 6.75138e-05 +97 *4111:8 *4116:32 7.86847e-05 +*RES +1 *23207:X *4116:10 49.181 +2 *4116:10 *4116:20 49.7509 +3 *4116:20 *4116:32 31.7374 +4 *4116:32 *4116:38 42.3924 +5 *4116:38 *23674:A1 20.9434 +6 *4116:38 *23503:A 26.8044 +7 *4116:32 *23208:C 15.0271 +8 *4116:10 *23300:A2 32.9283 +*END + +*D_NET *4117 0.00348855 +*CONN +*I *23210:B I *D sky130_fd_sc_hd__nor2_1 +*I *23209:A I *D sky130_fd_sc_hd__inv_2 +*I *23208:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23210:B 0 +2 *23209:A 0.000433645 +3 *23208:X 0.000195767 +4 *4117:8 0.000629412 +5 *23209:A *23296:A1 0.000647076 +6 *23209:A *4308:6 1.55462e-05 +7 *23209:A *4308:29 3.20069e-06 +8 *23209:A *4355:32 9.24241e-05 +9 *23209:A *4495:13 0.000137936 +10 *4117:8 *4308:29 5.26557e-05 +11 *4117:8 *4495:13 0.000156325 +12 *4117:8 *5624:116 0.000400335 +13 *22875:A *23209:A 7.13972e-05 +14 *3784:29 *23209:A 5.04829e-06 +15 *3785:82 *23209:A 0.000200794 +16 *3833:275 *4117:8 0.000446985 +*RES +1 *23208:X *4117:8 21.9871 +2 *4117:8 *23209:A 27.142 +3 *4117:8 *23210:B 13.7491 +*END + +*D_NET *4118 0.00184155 +*CONN +*I *23297:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *23751:A2 I *D sky130_fd_sc_hd__a211o_1 +*I *23209:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23297:A2 2.30024e-05 +2 *23751:A2 0.000107791 +3 *23209:Y 0.000373192 +4 *4118:8 0.000503985 +5 *23297:A2 *23298:D_N 6.08467e-05 +6 *23751:A2 *23297:B1 5.22654e-06 +7 *23751:A2 *4120:70 6.76857e-06 +8 *23751:A2 *4561:14 0 +9 *4118:8 *23296:A1 4.38043e-05 +10 *4118:8 *23297:B1 0.000118901 +11 *4118:8 *4561:14 0.00010817 +12 *23297:A1 *23297:A2 7.34948e-06 +13 *23297:A1 *23751:A2 5.53789e-05 +14 *3978:52 *23751:A2 6.28168e-05 +15 *4001:250 *23751:A2 4.01378e-06 +16 *4001:250 *4118:8 0.000157724 +17 *4020:24 *23751:A2 0 +18 *4020:31 *23751:A2 5.7297e-05 +19 *4020:31 *4118:8 0.000145277 +*RES +1 *23209:Y *4118:8 23.0963 +2 *4118:8 *23751:A2 17.7307 +3 *4118:8 *23297:A2 14.4725 +*END + +*D_NET *4119 0.0054384 +*CONN +*I *23296:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *23548:A I *D sky130_fd_sc_hd__or2_1 +*I *23751:C1 I *D sky130_fd_sc_hd__a211o_1 +*I *23210:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23296:A2 2.6585e-05 +2 *23548:A 0.000348363 +3 *23751:C1 3.90062e-05 +4 *23210:Y 0.000705227 +5 *4119:12 0.000534463 +6 *4119:8 0.000878906 +7 *23296:A2 *23296:A1 5.04829e-06 +8 *23548:A *23547:B 2.65667e-05 +9 *23548:A *23548:B 6.08467e-05 +10 *23751:C1 *4654:7 2.41274e-06 +11 *4119:8 *23295:B 0.000118166 +12 *4119:8 *23296:B1 0.000107496 +13 *4119:8 *4673:8 0.000139435 +14 *4119:8 *4697:16 0.000132292 +15 *4119:12 *4673:8 0.000367038 +16 *4119:12 *4697:16 0.000362994 +17 *22939:B *4119:8 0.000150271 +18 *23210:A *4119:8 2.46505e-05 +19 *23291:A *4119:8 0.000112826 +20 *23447:A *4119:8 0.000154145 +21 *23546:A *4119:8 6.08467e-05 +22 *23751:A1 *23548:A 0 +23 *23751:B1 *23548:A 3.88212e-05 +24 *23751:B1 *23751:C1 0.000111708 +25 *3848:59 *4119:8 2.77625e-06 +26 *3849:5 *4119:8 0.000107496 +27 *3849:66 *4119:8 0.000605021 +28 *3856:24 *4119:8 0.000154145 +29 *4001:250 *23296:A2 6.08467e-05 +*RES +1 *23210:Y *4119:8 35.437 +2 *4119:8 *4119:12 11.315 +3 *4119:12 *23751:C1 10.5271 +4 *4119:12 *23548:A 16.676 +5 *4119:8 *23296:A2 14.4725 +*END + +*D_NET *4120 0.0217858 +*CONN +*I *23837:A I *D sky130_fd_sc_hd__or3_1 +*I *23294:A I *D sky130_fd_sc_hd__or3_1 +*I *23450:A I *D sky130_fd_sc_hd__or3_1 +*I *23804:A I *D sky130_fd_sc_hd__or3_1 +*I *23797:D I *D sky130_fd_sc_hd__nor4_2 +*I *23606:A I *D sky130_fd_sc_hd__or3_1 +*I *23211:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23837:A 0 +2 *23294:A 0 +3 *23450:A 1.2055e-05 +4 *23804:A 0.000407908 +5 *23797:D 0 +6 *23606:A 1.90605e-05 +7 *23211:Y 5.32276e-05 +8 *4120:83 0.0012071 +9 *4120:70 0.00157197 +10 *4120:39 0.000728622 +11 *4120:34 0.0014591 +12 *4120:17 0.00176044 +13 *4120:8 0.00111759 +14 *4120:7 0.000920644 +15 *23450:A *4357:21 6.08467e-05 +16 *23804:A *23749:B 0.000220563 +17 *23804:A *23788:A 4.15236e-05 +18 *23804:A *4136:18 4.62112e-05 +19 *23804:A *4301:40 3.70027e-06 +20 *4120:17 *23452:A 6.85778e-05 +21 *4120:17 *4136:10 0.000644204 +22 *4120:17 *4499:13 0.000362595 +23 *4120:17 *4512:15 6.08467e-05 +24 *4120:34 *23654:B1 0.000103546 +25 *4120:34 *23658:B 2.49225e-05 +26 *4120:34 *4199:8 8.82085e-05 +27 *4120:34 *4450:45 0.000100553 +28 *4120:34 *4499:13 0 +29 *4120:34 *4563:23 7.21243e-05 +30 *4120:39 *23797:A 2.16355e-05 +31 *4120:39 *23797:B 7.5208e-05 +32 *4120:39 *4695:72 0.000107496 +33 *4120:70 *4561:14 2.762e-05 +34 *4120:83 *23764:C 0.000398644 +35 *4120:83 *23770:A1 0 +36 *4120:83 *23837:B 2.01855e-05 +37 *4120:83 *4301:50 0.000132593 +38 *4120:83 *4500:35 4.10961e-05 +39 *4120:83 *4561:14 0.000793432 +40 *23173:A *4120:34 0.000270747 +41 *23173:B *4120:34 8.01837e-05 +42 *23182:A *4120:34 0.000515703 +43 *23188:B *4120:83 8.01837e-05 +44 *23211:A *4120:7 1.41976e-05 +45 *23297:A1 *4120:70 0.000146098 +46 *23404:A *4120:83 0.000224289 +47 *23503:B *23450:A 6.50727e-05 +48 *23503:B *4120:8 0.000144712 +49 *23503:B *4120:17 3.65662e-05 +50 *23592:A *4120:34 0.000298676 +51 *23653:A *4120:34 6.42805e-05 +52 *23735:A1 *4120:17 3.01683e-06 +53 *23735:B1 *4120:17 0.000265293 +54 *23749:A *4120:39 0 +55 *23751:A2 *4120:70 6.76857e-06 +56 *566:136 *23804:A 1.86242e-05 +57 *3781:66 *4120:34 0.000291305 +58 *3791:50 *4120:34 0.000366632 +59 *3791:66 *4120:34 6.78248e-05 +60 *3849:13 *4120:34 5.04829e-06 +61 *3849:21 *23606:A 4.80635e-06 +62 *3849:21 *4120:17 4.62212e-05 +63 *3849:21 *4120:34 1.34424e-05 +64 *3868:93 *4120:34 0.000377654 +65 *3874:297 *4120:83 0.000165481 +66 *3958:33 *4120:7 3.99086e-06 +67 *3978:51 *4120:70 0.000323132 +68 *4001:250 *4120:70 0.000199309 +69 *4009:115 *23804:A 2.15513e-05 +70 *4009:116 *4120:8 0.00109806 +71 *4009:116 *4120:17 0.00015585 +72 *4009:116 *4120:70 0.000325369 +73 *4020:24 *4120:83 0.000382314 +74 *4081:11 *4120:34 0.000141337 +75 *4082:8 *4120:34 4.74917e-05 +76 *4091:8 *4120:34 0.000361348 +77 *4097:8 *4120:8 0.000844778 +78 *4097:8 *4120:70 0.00109099 +79 *4097:8 *4120:83 0.000352821 +80 *4097:12 *4120:8 3.17782e-05 +81 *4097:23 *4120:17 5.88594e-05 +*RES +1 *23211:Y *4120:7 14.4725 +2 *4120:7 *4120:8 20.9336 +3 *4120:8 *4120:17 28.0259 +4 *4120:17 *23606:A 9.82786 +5 *4120:17 *4120:34 45.6347 +6 *4120:34 *4120:39 11.3481 +7 *4120:39 *23797:D 9.24915 +8 *4120:39 *23804:A 27.9597 +9 *4120:8 *23450:A 14.4725 +10 *4120:7 *4120:70 20.0054 +11 *4120:70 *23294:A 13.7491 +12 *4120:70 *4120:83 46.1474 +13 *4120:83 *23837:A 9.24915 +*END + +*D_NET *4121 0.00177394 +*CONN +*I *23677:A I *D sky130_fd_sc_hd__or2_2 +*I *23294:B I *D sky130_fd_sc_hd__or3_1 +*I *23212:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23677:A 0.00042602 +2 *23294:B 9.3347e-05 +3 *23212:Y 0 +4 *4121:4 0.000519367 +5 *23677:A *23547:B 8.62625e-06 +6 *23677:A *4453:8 9.75356e-05 +7 *22915:A *23677:A 8.63005e-06 +8 *23704:A *23677:A 7.8406e-05 +9 *3978:29 *23677:A 8.64186e-05 +10 *3978:51 *23294:B 0.000171572 +11 *4099:17 *23677:A 0.000284018 +*RES +1 *23212:Y *4121:4 9.24915 +2 *4121:4 *23294:B 12.2392 +3 *4121:4 *23677:A 28.0088 +*END + +*D_NET *4122 0.00114107 +*CONN +*I *23214:A I *D sky130_fd_sc_hd__or2_1 +*I *23287:A I *D sky130_fd_sc_hd__or2_1 +*I *23213:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23214:A 0.00014397 +2 *23287:A 0.000145876 +3 *23213:X 0 +4 *4122:4 0.000289846 +5 *23214:A *23288:A 3.31745e-05 +6 *23287:A *23513:A 0.000111722 +7 *23214:B *23214:A 6.08467e-05 +8 *23285:B *23287:A 0.000156955 +9 *3869:55 *23287:A 9.75356e-05 +10 *3980:105 *23287:A 0.000101148 +*RES +1 *23213:X *4122:4 9.24915 +2 *4122:4 *23287:A 23.023 +3 *4122:4 *23214:A 11.6605 +*END + +*D_NET *4123 0.00133877 +*CONN +*I *23505:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23215:B I *D sky130_fd_sc_hd__nor2_1 +*I *23214:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23505:A1 1.95041e-05 +2 *23215:B 0.000165142 +3 *23214:X 9.23043e-05 +4 *4123:8 0.000276951 +5 *23215:B *4124:20 0.000306823 +6 *23215:B *4228:41 3.29488e-05 +7 *23505:A1 *4146:86 2.02035e-05 +8 *23505:A1 *4686:35 2.96971e-05 +9 *4123:8 *4146:86 0.000104372 +10 *4123:8 *4686:35 0.000104494 +11 *23215:A *23215:B 6.08467e-05 +12 *3842:84 *23215:B 8.12259e-06 +13 *3846:51 *23505:A1 8.6297e-06 +14 *3869:55 *23215:B 4.3663e-05 +15 *4003:61 *4123:8 6.50727e-05 +*RES +1 *23214:X *4123:8 16.5122 +2 *4123:8 *23215:B 22.3598 +3 *4123:8 *23505:A1 14.543 +*END + +*D_NET *4124 0.00551802 +*CONN +*I *23805:A I *D sky130_fd_sc_hd__or3_1 +*I *23542:A I *D sky130_fd_sc_hd__or3_1 +*I *23290:A I *D sky130_fd_sc_hd__or2_1 +*I *23215:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23805:A 0.000230278 +2 *23542:A 0 +3 *23290:A 0 +4 *23215:Y 0.000676069 +5 *4124:35 0.000557863 +6 *4124:20 0.00100365 +7 *23805:A *23289:A 0.000465764 +8 *23805:A *23544:A 2.65667e-05 +9 *23805:A *4495:48 0.000188641 +10 *23805:A *4581:16 5.73392e-05 +11 *23805:A *4684:47 0.00056225 +12 *4124:20 *23505:B1 7.56859e-06 +13 *4124:20 *4228:29 6.08467e-05 +14 *4124:20 *4228:41 1.07248e-05 +15 *4124:20 *4301:40 9.63054e-06 +16 *4124:20 *4529:26 5.33358e-06 +17 *4124:20 *4620:34 0.000221828 +18 *4124:35 *23289:A 7.92757e-06 +19 *4124:35 *23289:C_N 0 +20 *4124:35 *23490:A 6.08467e-05 +21 *4124:35 *4300:45 6.08467e-05 +22 *4124:35 *4412:11 0.00011191 +23 *4124:35 *4494:18 0.000115508 +24 *4124:35 *4665:45 0.000172132 +25 *23215:A *4124:20 2.57365e-05 +26 *23215:B *4124:20 0.000306823 +27 *3842:84 *4124:20 0.000126445 +28 *3869:55 *4124:20 2.26985e-05 +29 *3958:20 *4124:20 0.000198378 +30 *4003:61 *4124:35 0.000224408 +*RES +1 *23215:Y *4124:20 32.4687 +2 *4124:20 *23290:A 9.24915 +3 *4124:20 *4124:35 19.9521 +4 *4124:35 *23542:A 9.24915 +5 *4124:35 *23805:A 29.1208 +*END + +*D_NET *4125 0.00063959 +*CONN +*I *23217:A I *D sky130_fd_sc_hd__buf_12 +*I *23216:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23217:A 8.01589e-05 +2 *23216:X 8.01589e-05 +3 *23217:A *4371:9 0.000313495 +4 *23216:B *23217:A 6.50727e-05 +5 *3982:17 *23217:A 0.000100705 +*RES +1 *23216:X *23217:A 22.5734 +*END + +*D_NET *4126 0.123946 +*CONN +*I *23306:B I *D sky130_fd_sc_hd__nor2_1 +*I *23307:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *23394:A3 I *D sky130_fd_sc_hd__o32a_1 +*I *23319:B I *D sky130_fd_sc_hd__nor2_4 +*I *23516:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *23687:A1 I *D sky130_fd_sc_hd__o221ai_4 +*I *23519:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23242:A I *D sky130_fd_sc_hd__nor2_1 +*I *23247:A I *D sky130_fd_sc_hd__nor2_1 +*I *23272:A I *D sky130_fd_sc_hd__nor2_1 +*I *23264:A I *D sky130_fd_sc_hd__nor2_1 +*I *23259:A I *D sky130_fd_sc_hd__nor2_1 +*I *23254:A I *D sky130_fd_sc_hd__nor2_1 +*I *23685:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *23218:A I *D sky130_fd_sc_hd__clkinv_2 +*I *23330:A I *D sky130_fd_sc_hd__or2_1 +*I *23217:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23306:B 4.17142e-06 +2 *23307:A2 2.50285e-05 +3 *23394:A3 0.000164364 +4 *23319:B 0.000473043 +5 *23516:A1 0.000194187 +6 *23687:A1 6.85137e-05 +7 *23519:A1 0.000294911 +8 *23242:A 0 +9 *23247:A 0 +10 *23272:A 0.000336021 +11 *23264:A 0 +12 *23259:A 0 +13 *23254:A 3.39494e-05 +14 *23685:A1 2.56696e-05 +15 *23218:A 0 +16 *23330:A 0.000324363 +17 *23217:X 0 +18 *4126:316 9.00745e-05 +19 *4126:299 0.000979897 +20 *4126:238 0.000696053 +21 *4126:226 0.00106822 +22 *4126:209 0.00161467 +23 *4126:193 0.00118236 +24 *4126:184 0.00105012 +25 *4126:161 0.0028739 +26 *4126:145 0.00271618 +27 *4126:137 0.00126888 +28 *4126:124 0.00239306 +29 *4126:103 7.63991e-05 +30 *4126:102 0.000655121 +31 *4126:98 0.0033188 +32 *4126:91 0.00497948 +33 *4126:79 0.00433148 +34 *4126:76 0.00216605 +35 *4126:68 0.00537432 +36 *4126:66 0.00484437 +37 *4126:57 0.00237722 +38 *4126:56 0.00152137 +39 *4126:54 0.00355248 +40 *4126:53 0.003594 +41 *4126:48 0.00465936 +42 *4126:47 0.00461783 +43 *4126:45 0.00154787 +44 *4126:26 0.00185719 +45 *4126:21 0.0015222 +46 *4126:6 0.00149646 +47 *4126:5 0.00118692 +48 *23254:A *23693:D 4.7372e-05 +49 *23254:A *4598:13 0.000107496 +50 *23272:A *4173:7 4.0752e-05 +51 *23306:B *4215:9 1.08178e-06 +52 *23307:A2 *4215:9 2.16355e-05 +53 *23319:B *4668:9 0.000608395 +54 *23330:A *23316:A 0.000141245 +55 *23330:A *4225:11 0.000160491 +56 *23394:A3 *23394:A1 0.000307023 +57 *23394:A3 *23394:B2 2.16355e-05 +58 *23394:A3 *23462:A 2.15348e-05 +59 *23394:A3 *23462:B 0.000264586 +60 *23394:A3 *4216:8 9.60366e-05 +61 *23394:A3 *4223:6 0.000169093 +62 *23394:A3 *4303:10 2.41274e-06 +63 *23516:A1 *23818:C1 1.5714e-05 +64 *23516:A1 *4471:10 0.000107496 +65 *23516:A1 *4631:20 1.3023e-05 +66 *23519:A1 *23519:C1 6.11359e-06 +67 *23519:A1 *23733:B 1.03434e-05 +68 *23519:A1 *4426:7 6.08467e-05 +69 *23687:A1 *23726:A 0.000154145 +70 *4126:6 *23317:C 0.000169207 +71 *4126:6 *4401:10 0.000189926 +72 *4126:21 *23064:A 0.000160315 +73 *4126:21 *23496:D 0.000102707 +74 *4126:21 *5627:135 4.70005e-05 +75 *4126:26 *4882:11 0.000309847 +76 *4126:45 *23402:A 0.000154145 +77 *4126:45 *23402:B 6.72015e-05 +78 *4126:45 *23404:B 3.58208e-05 +79 *4126:45 *23407:A 0.000149628 +80 *4126:45 *4127:7 0.000215771 +81 *4126:45 *4315:11 0.000317707 +82 *4126:45 *4609:6 0.000195124 +83 *4126:45 *4673:8 5.12519e-05 +84 *4126:45 *4697:8 7.50722e-05 +85 *4126:45 *4882:11 0.00096144 +86 *4126:45 *5452:70 0 +87 *4126:48 *19659:A 2.65831e-05 +88 *4126:48 *19668:A 0.000109714 +89 *4126:48 *23409:A 7.98425e-06 +90 *4126:48 *23411:A 7.36801e-05 +91 *4126:48 *23411:B 4.81015e-05 +92 *4126:48 *23500:B 6.08467e-05 +93 *4126:48 *4407:8 1.40179e-05 +94 *4126:48 *4869:113 6.50586e-05 +95 *4126:48 *4869:115 0.000384754 +96 *4126:48 *5452:67 1.8078e-05 +97 *4126:53 *24587:CLK 2.99929e-05 +98 *4126:53 *4841:44 7.14746e-05 +99 *4126:54 *20752:A1 0.000324151 +100 *4126:54 *24386:CLK 0.00015727 +101 *4126:54 *4843:43 0.000901192 +102 *4126:54 *4843:63 1.5613e-05 +103 *4126:54 *5008:10 1.47567e-06 +104 *4126:54 *5458:104 2.8182e-06 +105 *4126:57 *24429:SET_B 6.51527e-05 +106 *4126:57 *5867:263 0.000683787 +107 *4126:57 *5867:301 9.60366e-05 +108 *4126:57 *5867:310 0.000723241 +109 *4126:66 *24647:RESET_B 0.000253275 +110 *4126:68 *21973:A 2.40736e-05 +111 *4126:68 *4840:186 2.87801e-05 +112 *4126:68 *4889:183 7.63284e-05 +113 *4126:76 *24250:RESET_B 0.000103992 +114 *4126:76 *24473:CLK 7.34948e-06 +115 *4126:76 *24996:A 1.24656e-05 +116 *4126:76 *4138:137 4.82656e-05 +117 *4126:76 *4869:44 8.20601e-06 +118 *4126:76 *5815:28 0.000403507 +119 *4126:79 *21019:A1 9.75356e-05 +120 *4126:79 *24252:SET_B 5.79399e-05 +121 *4126:79 *24996:A 0 +122 *4126:79 *4137:73 0 +123 *4126:79 *4935:15 5.92342e-05 +124 *4126:79 *5856:47 0.000105966 +125 *4126:91 *23658:A 7.3359e-05 +126 *4126:91 *24251:CLK 1.03403e-05 +127 *4126:91 *4561:44 0.00011641 +128 *4126:91 *4579:14 3.24877e-05 +129 *4126:91 *4620:41 6.65016e-05 +130 *4126:91 *4664:33 0 +131 *4126:91 *4873:54 0.000143378 +132 *4126:91 *5801:92 0.000139781 +133 *4126:91 *5856:74 2.41274e-06 +134 *4126:98 *4127:36 7.50722e-05 +135 *4126:124 *4143:36 0.000344145 +136 *4126:124 *4630:10 7.49251e-05 +137 *4126:124 *4871:31 0.000709742 +138 *4126:124 *4871:32 0.000116525 +139 *4126:137 *23637:B1 0.000114877 +140 *4126:137 *23818:C1 0.00110595 +141 *4126:137 *4138:97 0.000695466 +142 *4126:137 *4338:17 0.000285123 +143 *4126:137 *4631:20 0.00111149 +144 *4126:137 *5815:68 0.00037817 +145 *4126:145 *23783:C 0.000131559 +146 *4126:145 *4138:97 6.65016e-05 +147 *4126:145 *4539:20 5.05252e-05 +148 *4126:145 *4548:8 4.18989e-05 +149 *4126:145 *4683:6 0.000239497 +150 *4126:145 *5082:93 1.3857e-05 +151 *4126:161 *23244:C 4.99006e-05 +152 *4126:161 *23666:C1 5.37324e-05 +153 *4126:161 *4137:47 0.000696701 +154 *4126:161 *4138:97 8.2663e-05 +155 *4126:161 *4151:21 4.40499e-05 +156 *4126:161 *4539:20 0.000114869 +157 *4126:161 *4539:37 3.63738e-05 +158 *4126:161 *4548:8 8.61131e-05 +159 *4126:161 *4627:23 0.000150274 +160 *4126:161 *4664:33 0.000443903 +161 *4126:161 *4694:10 0 +162 *4126:161 *4695:56 1.06728e-05 +163 *4126:161 *4744:20 0.0017482 +164 *4126:161 *4745:43 0.000559131 +165 *4126:161 *5454:15 3.25264e-05 +166 *4126:161 *5458:13 7.93457e-06 +167 *4126:161 *5924:20 0 +168 *4126:161 *5925:11 0.00112269 +169 *4126:184 *23471:A 0.000142347 +170 *4126:184 *4139:11 8.36586e-06 +171 *4126:184 *4151:7 1.78942e-05 +172 *4126:184 *4153:11 0.000630761 +173 *4126:184 *4326:77 0.000325217 +174 *4126:184 *4379:8 5.04829e-06 +175 *4126:184 *4380:10 3.69734e-05 +176 *4126:184 *4380:14 0.000278047 +177 *4126:184 *4694:10 0.000163428 +178 *4126:184 *5592:17 0.000458307 +179 *4126:193 *23525:B 0.00010594 +180 *4126:209 *23253:A 0.00033854 +181 *4126:209 *4156:7 1.37189e-05 +182 *4126:226 *23693:D 0 +183 *4126:226 *4168:6 6.40861e-05 +184 *4126:226 *4598:13 0.000308667 +185 *4126:299 *23317:C 0.000278373 +186 *4126:299 *23394:A1 0.000107496 +187 *4126:299 *23462:A 1.92172e-05 +188 *4126:316 *4370:10 0.000139435 +189 *4126:316 *5631:20 0.000139435 +190 *20477:B2 *4126:54 0.000110701 +191 *20480:B2 *4126:54 7.65564e-05 +192 *20544:A2 *4126:57 0.00043166 +193 *22996:A *4126:98 2.16355e-05 +194 *22996:B *4126:124 6.03122e-05 +195 *23004:A2 *4126:98 0.00023355 +196 *23004:A2 *4126:102 4.95739e-05 +197 *23004:B1 *4126:102 6.50586e-05 +198 *23065:A *4126:21 6.17339e-05 +199 *23093:A1 *4126:299 0.000124658 +200 *23118:B *23519:A1 6.57427e-05 +201 *23118:B *4126:145 5.24081e-05 +202 *23136:A_N *4126:145 0.000135072 +203 *23136:B_N *4126:145 0.000262434 +204 *23136:D *23519:A1 0.00025456 +205 *23136:D *4126:145 0.000304871 +206 *23142:B *4126:209 1.88152e-05 +207 *23144:C1 *4126:209 0.000190042 +208 *23216:A *4126:299 0.000115933 +209 *23242:B *4126:184 0.00021218 +210 *23246:B *4126:209 4.80635e-06 +211 *23247:B *4126:209 6.64392e-05 +212 *23264:B *4126:238 0.000110306 +213 *23266:A *23272:A 6.08467e-05 +214 *23266:B *23272:A 6.63489e-05 +215 *23272:B *23272:A 4.80635e-06 +216 *23307:A1 *23394:A3 0 +217 *23394:A2 *23394:A3 3.81056e-05 +218 *23394:B1 *23394:A3 3.26195e-05 +219 *23404:A *4126:45 0.000466239 +220 *23416:A *4126:98 0.000256717 +221 *23425:A1 *4126:98 5.51483e-06 +222 *23519:A2 *23519:A1 0.000301119 +223 *23574:A2 *4126:226 0.000220183 +224 *23574:B1 *4126:226 2.65667e-05 +225 *23624:A1 *4126:98 0.000324105 +226 *23654:A1 *4126:98 0.000252534 +227 *23704:A *4126:45 3.67708e-05 +228 *23708:A2 *23685:A1 1.03403e-05 +229 *23786:A *4126:91 0.000163315 +230 *24542:D *4126:57 0.000153225 +231 *24647:D *4126:68 1.01044e-05 +232 *495:8 *4126:79 0 +233 *1439:424 *4126:66 2.56364e-05 +234 *1455:85 *4126:209 0.000937652 +235 *1476:10 *4126:48 1.01085e-05 +236 *1550:16 *4126:57 0.000208152 +237 *1574:12 *4126:79 0 +238 *1607:18 *4126:57 7.29374e-05 +239 *1656:12 *4126:57 0.00123902 +240 *1656:37 *4126:57 0.000173207 +241 *1731:89 *23272:A 8.92931e-05 +242 *1731:89 *4126:238 0.000742711 +243 *1770:31 *4126:57 7.12207e-05 +244 *1770:31 *4126:66 0.000376351 +245 *1808:57 *4126:226 6.50586e-05 +246 *1808:57 *4126:238 0.000449996 +247 *1808:69 *4126:226 6.72546e-05 +248 *1809:103 *4126:91 9.43496e-05 +249 *1809:115 *4126:91 0.00031359 +250 *1809:115 *4126:98 0.000703641 +251 *1991:14 *4126:57 0.000144546 +252 *1992:19 *4126:57 9.45719e-05 +253 *2347:26 *4126:54 4.83806e-05 +254 *2624:21 *4126:79 0.000148104 +255 *2685:25 *4126:68 9.19632e-06 +256 *2704:59 *23272:A 0.000444819 +257 *2737:15 *4126:54 0.00031586 +258 *2764:29 *4126:57 1.66771e-05 +259 *2783:8 *4126:76 0.000399909 +260 *2963:93 *4126:161 0.00102479 +261 *2987:10 *4126:68 1.83795e-06 +262 *3089:36 *4126:79 0.000587921 +263 *3791:142 *4126:184 0.000487335 +264 *3792:21 *23394:A3 1.41976e-05 +265 *3792:33 *23306:B 6.13007e-06 +266 *3792:33 *23307:A2 2.16355e-05 +267 *3793:30 *4126:26 0.00035709 +268 *3827:41 *4126:124 0.000422785 +269 *3829:137 *4126:209 6.64392e-05 +270 *3829:143 *4126:209 9.90819e-05 +271 *3829:206 *4126:137 1.72028e-05 +272 *3842:141 *4126:161 1.71936e-05 +273 *3842:241 *4126:161 2.42732e-05 +274 *3861:76 *4126:184 6.31151e-05 +275 *3861:252 *23516:A1 8.1118e-05 +276 *3862:58 *4126:124 0.000881698 +277 *3862:58 *4126:137 0.000396677 +278 *3862:58 *4126:161 0.000338135 +279 *3862:77 *4126:124 0.0019818 +280 *3862:113 *4126:124 2.05082e-05 +281 *3864:12 *4126:6 0 +282 *3864:12 *4126:21 0.000433876 +283 *3864:12 *4126:26 0.000248866 +284 *3866:18 *4126:299 8.16827e-05 +285 *3866:22 *4126:6 0.000818708 +286 *3866:22 *4126:21 0 +287 *3866:22 *4126:299 0.000189511 +288 *3872:45 *23516:A1 4.37337e-05 +289 *3872:73 *23516:A1 5.97905e-06 +290 *3874:77 *4126:161 6.22732e-06 +291 *3877:106 *4126:124 7.40684e-06 +292 *3891:15 *4126:209 0 +293 *3895:27 *4126:209 0.000160328 +294 *3898:37 *4126:226 0.000314931 +295 *3906:7 *4126:98 0.000154145 +296 *3907:37 *4126:98 7.14746e-05 +297 *3914:7 *23685:A1 5.88594e-05 +298 *3914:7 *4126:102 0.000618656 +299 *3922:40 *4126:124 7.49251e-05 +300 *3937:32 *4126:193 5.48466e-05 +301 *3974:11 *23330:A 0.00118328 +302 *3980:195 *4126:209 0.000379553 +303 *3980:282 *4126:26 0.000128804 +304 *3980:282 *4126:45 0.000202549 +305 *3981:38 *4126:45 2.39519e-05 +306 *3981:38 *4126:48 3.86121e-05 +307 *3990:46 *4126:21 0.000120858 +308 *4003:8 *4126:6 0 +309 *4003:8 *4126:21 1.07248e-05 +310 *4006:40 *4126:124 0 +311 *4008:104 *23272:A 4.58003e-05 +312 *4014:8 *4126:21 0.000189551 +313 *4014:8 *4126:26 6.05448e-05 +314 *4017:47 *4126:226 6.08467e-05 +315 *4017:47 *4126:238 6.50727e-05 +316 *4019:62 *4126:209 4.3116e-06 +317 *4022:87 *4126:193 1.13359e-05 +318 *4034:33 *4126:45 7.14746e-05 +319 *4042:20 *4126:137 0.000136601 +320 *4042:20 *4126:145 3.57202e-05 +321 *4045:7 *23519:A1 0.000345048 +322 *4045:8 *4126:161 8.72537e-06 +323 *4106:180 *4126:193 0.000162185 +324 *4106:191 *4126:209 1.12605e-05 +325 *4106:245 *23272:A 0.000107496 +326 *4106:245 *4126:238 0.000300017 +*RES +1 *23217:X *4126:5 13.7491 +2 *4126:5 *4126:6 15.5353 +3 *4126:6 *23330:A 27.2284 +4 *4126:6 *4126:21 24.2556 +5 *4126:21 *4126:26 18.1335 +6 *4126:26 *23218:A 9.24915 +7 *4126:26 *4126:45 49.7112 +8 *4126:45 *4126:47 4.5 +9 *4126:47 *4126:48 65.612 +10 *4126:48 *4126:53 10.4167 +11 *4126:53 *4126:54 53.4107 +12 *4126:54 *4126:56 4.5 +13 *4126:56 *4126:57 58.7215 +14 *4126:57 *4126:66 20.5353 +15 *4126:66 *4126:68 52.3015 +16 *4126:68 *4126:76 38.2311 +17 *4126:76 *4126:79 27.9251 +18 *4126:79 *4126:91 48.1724 +19 *4126:91 *4126:98 39.0391 +20 *4126:98 *4126:102 18.8462 +21 *4126:102 *4126:103 57.9449 +22 *4126:103 *23685:A1 19.2217 +23 *4126:98 *4126:124 19.8622 +24 *4126:124 *4126:137 41.0425 +25 *4126:137 *4126:145 21.0069 +26 *4126:145 *4126:161 25.6641 +27 *4126:161 *4126:184 49.0483 +28 *4126:184 *4126:193 17.8424 +29 *4126:193 *4126:209 48.2331 +30 *4126:209 *23254:A 10.5271 +31 *4126:209 *4126:226 25.9078 +32 *4126:226 *23259:A 9.24915 +33 *4126:226 *4126:238 15.3601 +34 *4126:238 *23264:A 9.24915 +35 *4126:238 *23272:A 20.0036 +36 *4126:193 *23247:A 9.24915 +37 *4126:161 *23242:A 9.24915 +38 *4126:145 *23519:A1 23.3703 +39 *4126:137 *23687:A1 15.5817 +40 *4126:124 *23516:A1 19.0107 +41 *4126:21 *23319:B 26.1433 +42 *4126:5 *4126:299 23.8272 +43 *4126:299 *23394:A3 26.1013 +44 *4126:299 *4126:316 11.6625 +45 *4126:316 *23307:A2 9.97254 +46 *4126:316 *23306:B 9.45411 +*END + +*D_NET *4127 0.0280488 +*CONN +*I *23406:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23399:A I *D sky130_fd_sc_hd__and3_1 +*I *23227:A I *D sky130_fd_sc_hd__and3_2 +*I *23742:A I *D sky130_fd_sc_hd__nor2_1 +*I *23218:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23406:A1 0.000275871 +2 *23399:A 1.15029e-05 +3 *23227:A 0.000130131 +4 *23742:A 0 +5 *23218:Y 2.91454e-05 +6 *4127:36 0.0016971 +7 *4127:34 0.00201886 +8 *4127:30 0.00157915 +9 *4127:18 0.0023867 +10 *4127:7 0.00155295 +11 *23227:A *23227:C 0.000393863 +12 *23227:A *4579:14 1.47488e-05 +13 *23406:A1 *23405:B1 0 +14 *23406:A1 *23406:A2 2.73193e-05 +15 *23406:A1 *23406:A3 4.50362e-05 +16 *23406:A1 *23406:B1 2.08299e-05 +17 *23406:A1 *4315:11 0.000131093 +18 *4127:18 *4308:29 6.46414e-05 +19 *4127:18 *4315:11 5.0459e-05 +20 *4127:18 *5629:85 8.21511e-06 +21 *4127:30 *23446:C 6.89178e-05 +22 *4127:34 *23779:D_N 0.000320287 +23 *4127:34 *5918:14 0.000130501 +24 *4127:36 *23446:C 5.2504e-06 +25 *4127:36 *23513:A 8.12248e-05 +26 *4127:36 *23828:B1 0 +27 *4127:36 *4352:39 7.9261e-05 +28 *4127:36 *4871:11 3.39898e-05 +29 *4127:36 *5918:14 0.000347922 +30 *22077:A1 *4127:18 0 +31 *23069:A *4127:18 4.11454e-05 +32 *23117:A *4127:30 0.000115746 +33 *23171:A *4127:36 3.6482e-05 +34 *23588:A *4127:18 1.62832e-05 +35 *23624:B1 *4127:36 0.000634911 +36 *23625:A1 *23399:A 6.50586e-05 +37 *23625:A4 *4127:18 2.78917e-05 +38 *23625:A4 *4127:30 1.44467e-05 +39 *23655:A *4127:18 0.000311608 +40 *23674:A1 *23227:A 0.000107496 +41 *23674:A1 *4127:30 0.000230012 +42 *23674:A1 *4127:34 2.77564e-05 +43 *23674:A3 *23227:A 1.03403e-05 +44 *1829:73 *4127:36 9.60366e-05 +45 *3784:22 *23406:A1 0 +46 *3784:22 *4127:18 0.000344559 +47 *3784:24 *4127:18 0.000345306 +48 *3784:29 *4127:18 0.00071459 +49 *3784:29 *4127:30 5.64867e-05 +50 *3789:67 *4127:30 4.96202e-06 +51 *3800:72 *4127:18 0.000724868 +52 *3829:12 *23399:A 1.81618e-05 +53 *3838:14 *4127:30 2.79402e-05 +54 *3838:115 *4127:18 3.88358e-05 +55 *3846:20 *4127:30 0.000142353 +56 *3857:18 *4127:30 5.25019e-05 +57 *3861:271 *4127:36 0.000137936 +58 *3877:20 *4127:30 0.0012186 +59 *3907:26 *4127:30 0.000144826 +60 *3907:26 *4127:34 3.44695e-05 +61 *3907:37 *4127:34 0.000755667 +62 *3907:37 *4127:36 0.000222005 +63 *3980:282 *4127:7 0.000215771 +64 *3990:54 *4127:30 2.44031e-06 +65 *3991:8 *4127:30 0.00118711 +66 *3991:21 *23227:A 1.19856e-05 +67 *3991:21 *4127:34 0.000305748 +68 *3996:26 *4127:30 0.000541303 +69 *3996:31 *4127:30 1.3807e-05 +70 *3997:6 *4127:36 0.000484223 +71 *3997:11 *4127:36 0.000240184 +72 *4001:53 *4127:36 0.000354245 +73 *4001:60 *4127:36 0.000255979 +74 *4001:68 *4127:36 0.000618209 +75 *4004:10 *4127:36 0.000846273 +76 *4006:40 *4127:36 9.0891e-05 +77 *4007:8 *4127:36 0.00125674 +78 *4011:22 *4127:36 0.000316582 +79 *4015:8 *4127:34 5.50649e-05 +80 *4015:8 *4127:36 0.00144606 +81 *4016:13 *4127:36 0.000107003 +82 *4018:23 *4127:36 0.00064503 +83 *4031:29 *4127:30 2.05342e-06 +84 *4090:9 *4127:36 7.86847e-05 +85 *4116:20 *23406:A1 7.20535e-05 +86 *4116:20 *4127:18 0.000234269 +87 *4116:38 *4127:30 0.000151961 +88 *4126:45 *4127:7 0.000215771 +89 *4126:98 *4127:36 7.50722e-05 +*RES +1 *23218:Y *4127:7 16.1364 +2 *4127:7 *4127:18 39.9619 +3 *4127:18 *4127:30 48.9182 +4 *4127:30 *4127:34 15.23 +5 *4127:34 *4127:36 83.0138 +6 *4127:36 *23742:A 13.7491 +7 *4127:30 *23227:A 18.3548 +8 *4127:18 *23399:A 14.4725 +9 *4127:7 *23406:A1 22.0407 +*END + +*D_NET *4128 0.00288916 +*CONN +*I *23221:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *23222:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23220:A I *D sky130_fd_sc_hd__inv_2 +*I *23219:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23221:A2 0.000205361 +2 *23222:B2 1.81917e-05 +3 *23220:A 0.00039461 +4 *23219:X 0.000259792 +5 *4128:22 0.000272476 +6 *4128:8 0.000703325 +7 *23220:A *22895:B1 9.75356e-05 +8 *23220:A *4129:7 2.82583e-05 +9 *23221:A2 *23222:A2 0 +10 *23221:A2 *5613:37 1.19721e-05 +11 *23222:B2 *23222:B1 1.09551e-05 +12 *4128:8 *22895:B1 4.70104e-05 +13 *4128:8 *23222:A2 0 +14 *4128:8 *4882:113 2.9373e-05 +15 *4128:22 *22895:B1 2.65831e-05 +16 *4128:22 *5613:37 1.41291e-05 +17 *22895:A1 *23220:A 2.36494e-05 +18 *22895:A1 *23221:A2 0.000111722 +19 *22895:A1 *4128:8 9.35753e-06 +20 *23219:A *4128:8 0 +21 *2191:18 *23221:A2 0.00015511 +22 *3803:19 *23220:A 0.000321606 +23 *3993:10 *23221:A2 0.000148144 +24 *3993:10 *4128:8 0 +*RES +1 *23219:X *4128:8 18.6595 +2 *4128:8 *23220:A 21.73 +3 *4128:8 *4128:22 5.778 +4 *4128:22 *23222:B2 9.82786 +5 *4128:22 *23221:A2 24.4081 +*END + +*D_NET *4129 0.00142739 +*CONN +*I *23221:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *23222:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *23220:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23221:B2 0 +2 *23222:A2 0.000119924 +3 *23220:Y 0.000178436 +4 *4129:7 0.00029836 +5 *22895:A1 *23222:A2 0.000169093 +6 *22900:A2 *4129:7 6.08467e-05 +7 *23220:A *4129:7 2.82583e-05 +8 *23221:A2 *23222:A2 0 +9 *23221:B1 *4129:7 5.07314e-05 +10 *3803:19 *4129:7 0.000132219 +11 *3804:5 *4129:7 0.00036437 +12 *3864:11 *4129:7 2.51527e-05 +13 *3993:10 *23222:A2 0 +14 *4128:8 *23222:A2 0 +*RES +1 *23220:Y *4129:7 16.6519 +2 *4129:7 *23222:A2 21.7421 +3 *4129:7 *23221:B2 9.24915 +*END + +*D_NET *4130 0.00453262 +*CONN +*I *23317:B I *D sky130_fd_sc_hd__or3_2 +*I *23224:B I *D sky130_fd_sc_hd__or3_1 +*I *23221:X O *D sky130_fd_sc_hd__a22o_1 +*CAP +1 *23317:B 0.000157132 +2 *23224:B 0 +3 *23221:X 0.000953543 +4 *4130:10 0.000157132 +5 *4130:8 0.000953543 +6 *23317:B *23410:A1 1.65872e-05 +7 *4130:8 *22949:B 2.33193e-05 +8 *4130:8 *23224:C 0.000142697 +9 *4130:8 *23318:A 0.000118002 +10 *4130:8 *4244:10 0.000167113 +11 *23087:A *4130:8 0.00035712 +12 *23224:A *23317:B 0.00016195 +13 *23317:A *23317:B 4.61271e-05 +14 *3784:10 *4130:8 0 +15 *3806:10 *4130:8 0.000280892 +16 *3806:16 *4130:8 0.000150643 +17 *3809:10 *4130:8 7.14746e-05 +18 *3809:32 *4130:8 0.000544516 +19 *3864:11 *4130:8 5.481e-05 +20 *4116:10 *4130:8 0.000176014 +*RES +1 *23221:X *4130:8 48.9729 +2 *4130:8 *4130:10 4.5 +3 *4130:10 *23224:B 9.24915 +4 *4130:10 *23317:B 13.903 +*END + +*D_NET *4131 0.00585698 +*CONN +*I *23223:A I *D sky130_fd_sc_hd__inv_2 +*I *23317:C I *D sky130_fd_sc_hd__or3_2 +*I *23222:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *23223:A 0.000211206 +2 *23317:C 0.000234948 +3 *23222:X 0.000639682 +4 *4131:8 0.00108584 +5 *23317:C *23494:C 1.80042e-05 +6 *23317:C *4374:12 0.000357367 +7 *4131:8 *22895:B1 0.00014808 +8 *4131:8 *5932:13 3.99086e-06 +9 *22900:B2 *4131:8 2.15801e-05 +10 *22901:A *23317:C 0.000229879 +11 *23015:A *23317:C 0.00013207 +12 *23015:B *23317:C 8.8047e-05 +13 *23080:B *4131:8 5.05252e-05 +14 *3805:8 *23317:C 2.24484e-05 +15 *3805:8 *4131:8 0.00145433 +16 *3866:16 *4131:8 0.000293286 +17 *3866:18 *4131:8 0.000418115 +18 *4126:6 *23317:C 0.000169207 +19 *4126:299 *23317:C 0.000278373 +*RES +1 *23222:X *4131:8 43.2959 +2 *4131:8 *23317:C 26.0244 +3 *4131:8 *23223:A 17.8243 +*END + +*D_NET *4132 0.00124489 +*CONN +*I *23224:C I *D sky130_fd_sc_hd__or3_1 +*I *23335:C I *D sky130_fd_sc_hd__or3_2 +*I *23223:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23224:C 0.000258891 +2 *23335:C 0 +3 *23223:Y 7.04507e-05 +4 *4132:5 0.000329342 +5 *23224:C *23318:A 5.71849e-05 +6 *23125:B *23224:C 9.60366e-05 +7 *3806:16 *23224:C 8.52652e-05 +8 *3809:47 *23224:C 4.88955e-05 +9 *3809:47 *4132:5 6.50727e-05 +10 *3809:50 *23224:C 2.04806e-05 +11 *3809:54 *23224:C 7.05737e-05 +12 *4130:8 *23224:C 0.000142697 +*RES +1 *23223:Y *4132:5 11.0817 +2 *4132:5 *23335:C 9.24915 +3 *4132:5 *23224:C 26.8968 +*END + +*D_NET *4133 0.000577078 +*CONN +*I *23225:A I *D sky130_fd_sc_hd__buf_6 +*I *23224:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23225:A 0.000165425 +2 *23224:X 0.000165425 +3 *23225:A *23410:A1 6.55265e-05 +4 *3784:14 *23225:A 0.000126117 +5 *4116:10 *23225:A 5.45851e-05 +*RES +1 *23224:X *23225:A 31.5781 +*END + +*D_NET *4134 0.0349743 +*CONN +*I *23321:B I *D sky130_fd_sc_hd__nor2_1 +*I *23461:A3 I *D sky130_fd_sc_hd__o32a_2 +*I *23428:A I *D sky130_fd_sc_hd__or2_2 +*I *23466:A I *D sky130_fd_sc_hd__or2_2 +*I *23684:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23468:A I *D sky130_fd_sc_hd__or3_1 +*I *23757:A I *D sky130_fd_sc_hd__nor3_1 +*I *23686:A1 I *D sky130_fd_sc_hd__o211ai_4 +*I *23834:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *23834:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *23339:C I *D sky130_fd_sc_hd__or3_1 +*I *23338:C I *D sky130_fd_sc_hd__or3_1 +*I *23226:A I *D sky130_fd_sc_hd__inv_2 +*I *23225:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23321:B 0 +2 *23461:A3 0.00013878 +3 *23428:A 0.000132292 +4 *23466:A 2.60201e-05 +5 *23684:A1 0.000146931 +6 *23468:A 4.64015e-05 +7 *23757:A 0.000114089 +8 *23686:A1 0 +9 *23834:B1 0 +10 *23834:A1 9.72866e-05 +11 *23339:C 0.000227046 +12 *23338:C 3.47042e-05 +13 *23226:A 0.000482854 +14 *23225:X 0.000208391 +15 *4134:91 0.000148973 +16 *4134:78 0.000495989 +17 *4134:65 0.00038113 +18 *4134:63 0.000194511 +19 *4134:61 0.000258984 +20 *4134:59 0.000166656 +21 *4134:57 0.000238899 +22 *4134:54 0.000858775 +23 *4134:30 0.00268531 +24 *4134:28 0.00156786 +25 *4134:24 0.00158321 +26 *4134:16 0.00271569 +27 *4134:8 0.00148128 +28 *23226:A *4242:8 0.000163428 +29 *23226:A *5452:51 0.000122068 +30 *23428:A *23428:B 0.000114584 +31 *23428:A *4336:7 5.11121e-05 +32 *23461:A3 *23316:A 0.000119483 +33 *23461:A3 *23461:A1 2.15184e-05 +34 *23461:A3 *23461:B2 0.000270235 +35 *23461:A3 *4239:5 6.08467e-05 +36 *23466:A *4373:14 4.66492e-05 +37 *23468:A *4374:73 1.41976e-05 +38 *23468:A *4374:84 6.08467e-05 +39 *23757:A *23469:B 0.00025456 +40 *23757:A *23685:A2 0.000205101 +41 *23757:A *23757:C 6.3657e-05 +42 *4134:8 *23410:A2 0.000181635 +43 *4134:8 *4146:10 0 +44 *4134:8 *4219:34 1.03594e-05 +45 *4134:16 *23698:A2 2.95757e-05 +46 *4134:16 *4219:34 0.000118017 +47 *4134:16 *4230:11 2.88667e-05 +48 *4134:16 *4244:16 0.001967 +49 *4134:16 *4244:43 0.000301544 +50 *4134:16 *5600:39 0.000356622 +51 *4134:16 *5631:20 0.00014089 +52 *4134:24 *4239:20 0.000500153 +53 *4134:24 *4239:25 0.000504672 +54 *4134:24 *4245:6 0.000529234 +55 *4134:24 *5600:39 0.000390295 +56 *4134:24 *5600:50 3.71118e-05 +57 *4134:24 *5624:41 2.57986e-05 +58 *4134:24 *5624:67 2.57847e-05 +59 *4134:24 *5628:14 8.8679e-05 +60 *4134:24 *5631:20 7.77309e-06 +61 *4134:24 *5827:10 3.17192e-06 +62 *4134:28 *5628:14 9.71323e-06 +63 *4134:30 *22929:A 0 +64 *4134:30 *23001:A 0 +65 *4134:30 *23006:A 7.08723e-06 +66 *4134:30 *5452:51 0.00047634 +67 *4134:30 *5612:72 0 +68 *4134:30 *5624:70 0.000193108 +69 *4134:30 *5624:75 0.000798402 +70 *4134:30 *5628:14 7.22572e-05 +71 *4134:30 *5628:16 0.00272019 +72 *4134:30 *5628:23 0.000173692 +73 *4134:30 *5825:10 0.000140502 +74 *4134:30 *5825:14 2.15801e-05 +75 *4134:54 *25337:A 0.000706504 +76 *4134:54 *4246:7 0.000300565 +77 *4134:54 *4246:8 0.00012776 +78 *4134:54 *4373:14 6.08467e-05 +79 *4134:54 *4374:73 4.40985e-05 +80 *4134:54 *5850:8 9.75356e-05 +81 *4134:54 *5850:13 0.000476563 +82 *4134:54 *5926:19 0.000156333 +83 *4134:57 *4242:19 9.22013e-06 +84 *4134:57 *4373:14 0.000116439 +85 *4134:59 *4373:14 0.000172144 +86 *4134:61 *4242:19 6.01944e-06 +87 *4134:61 *4373:14 0.00017419 +88 *4134:63 *23685:A2 0.000201734 +89 *4134:63 *4242:19 2.01595e-05 +90 *4134:65 *23340:A 1.65078e-05 +91 *4134:65 *4241:46 0 +92 *4134:65 *4242:19 6.14273e-05 +93 *4134:78 *23340:A 0.000186451 +94 *4134:78 *4336:20 0.000274791 +95 *22884:A *4134:24 8.90311e-06 +96 *22921:A *4134:24 0.000115934 +97 *22930:A *4134:30 0 +98 *23012:A *4134:30 0.0002463 +99 *23235:C_N *23226:A 0.000210067 +100 *23333:A *23226:A 0.00108862 +101 *23333:B *23226:A 5.0459e-05 +102 *23333:B *4134:30 3.20069e-06 +103 *23339:A *23339:C 2.19102e-05 +104 *23468:B *23468:A 1.21329e-05 +105 *23757:B *23757:A 0.000114584 +106 *23834:A2 *23834:A1 2.95884e-05 +107 *23834:A2 *4134:78 1.59917e-05 +108 *23834:A2 *4134:91 5.04829e-06 +109 *3086:20 *4134:28 3.77804e-05 +110 *3087:31 *4134:54 0.00011818 +111 *3779:11 *4134:30 0 +112 *3779:24 *4134:30 0 +113 *3780:10 *4134:30 2.5386e-05 +114 *3784:14 *4134:8 0.000149958 +115 *3784:14 *4134:16 8.76385e-05 +116 *3789:93 *4134:24 3.21973e-05 +117 *3792:102 *4134:30 0 +118 *3792:139 *4134:30 0 +119 *3796:75 *4134:30 3.22726e-05 +120 *3840:57 *23339:C 0.000366997 +121 *3840:57 *23834:A1 0.000215611 +122 *3840:57 *4134:91 0.000115626 +123 *3840:75 *23339:C 2.16355e-05 +124 *3860:74 *4134:54 0.00105587 +125 *3861:301 *23226:A 5.19897e-05 +126 *3899:19 *4134:57 0.000144531 +127 *3899:19 *4134:59 0.000179271 +128 *3899:19 *4134:61 0.000190042 +129 *3899:19 *4134:63 0.000247231 +130 *3899:19 *4134:65 0.000304731 +131 *3914:10 *4134:65 0.00024873 +132 *3914:10 *4134:78 2.90244e-05 +133 *3931:8 *4134:54 0 +134 *3931:31 *23338:C 7.92757e-06 +135 *3931:31 *4134:65 3.88655e-06 +136 *3931:36 *23338:C 2.41274e-06 +137 *4026:5 *23226:A 0.000286367 +138 *4034:10 *4134:8 2.65831e-05 +*RES +1 *23225:X *4134:8 20.8723 +2 *4134:8 *4134:16 45.9584 +3 *4134:16 *4134:24 43.3024 +4 *4134:24 *4134:28 3.74948 +5 *4134:28 *4134:30 61.0054 +6 *4134:30 *23226:A 32.4657 +7 *4134:30 *4134:54 46.1364 +8 *4134:54 *4134:57 7.1625 +9 *4134:57 *4134:59 3.07775 +10 *4134:59 *4134:61 3.493 +11 *4134:61 *4134:63 4.32351 +12 *4134:63 *4134:65 6.81502 +13 *4134:65 *23338:C 14.4725 +14 *4134:65 *4134:78 12.6096 +15 *4134:78 *23339:C 15.7356 +16 *4134:78 *4134:91 1.8326 +17 *4134:91 *23834:A1 12.7938 +18 *4134:91 *23834:B1 9.24915 +19 *4134:63 *23686:A1 13.7491 +20 *4134:61 *23757:A 18.3548 +21 *4134:59 *23468:A 15.0513 +22 *4134:57 *23684:A1 16.691 +23 *4134:54 *23466:A 9.97254 +24 *4134:24 *23428:A 17.2456 +25 *4134:16 *23461:A3 18.3789 +26 *4134:8 *23321:B 13.7491 +*END + +*D_NET *4135 0.00892192 +*CONN +*I *23337:B1 I *D sky130_fd_sc_hd__o32a_2 +*I *23227:C I *D sky130_fd_sc_hd__and3_2 +*I *23460:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23226:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23337:B1 0.000346752 +2 *23227:C 0.00114615 +3 *23460:B1 0.000294332 +4 *23226:Y 0.000144056 +5 *4135:8 0.0017789 +6 *4135:7 0.000829227 +7 *23227:C *4579:14 1.01791e-05 +8 *23337:B1 *23337:B2 4.23445e-05 +9 *23460:B1 *4371:51 1.82679e-05 +10 *23460:B1 *4871:32 0.000210347 +11 *22998:A *23227:C 0.000120382 +12 *22999:A *4135:8 0.000235992 +13 *23002:A *23460:B1 3.53525e-05 +14 *23227:A *23227:C 0.000393863 +15 *23333:A *4135:7 6.50727e-05 +16 *23337:A1 *23337:B1 2.3527e-05 +17 *23674:A1 *23227:C 1.65872e-05 +18 *3786:54 *23337:B1 0.000340742 +19 *3791:27 *4135:7 0.000142194 +20 *3831:27 *23337:B1 0.000118485 +21 *3831:27 *4135:8 0.000166533 +22 *3840:20 *23337:B1 3.82228e-05 +23 *3862:113 *4135:8 1.72799e-05 +24 *3874:32 *4135:8 4.22888e-05 +25 *3892:8 *23337:B1 4.87198e-05 +26 *3892:8 *4135:8 5.79544e-05 +27 *3908:21 *23460:B1 6.02017e-05 +28 *3909:20 *23460:B1 3.65454e-05 +29 *3909:20 *4135:8 7.14746e-05 +30 *3910:16 *23460:B1 0.000148867 +31 *3922:26 *23337:B1 6.08467e-05 +32 *3922:36 *23460:B1 0.000215076 +33 *3974:60 *23227:C 0.000584282 +34 *4037:13 *23337:B1 0.000224814 +35 *4037:13 *23460:B1 4.0143e-05 +36 *4037:13 *4135:8 0.000795908 +*RES +1 *23226:Y *4135:7 16.1364 +2 *4135:7 *4135:8 14.7048 +3 *4135:8 *23460:B1 27.1068 +4 *4135:8 *23227:C 31.6653 +5 *4135:7 *23337:B1 24.9208 +*END + +*D_NET *4136 0.0243746 +*CONN +*I *23825:B I *D sky130_fd_sc_hd__or4_1 +*I *23280:A I *D sky130_fd_sc_hd__or2_1 +*I *23696:A I *D sky130_fd_sc_hd__or3_2 +*I *23540:B I *D sky130_fd_sc_hd__or3b_1 +*I *23227:X O *D sky130_fd_sc_hd__and3_2 +*CAP +1 *23825:B 0 +2 *23280:A 4.36938e-05 +3 *23696:A 0.000110272 +4 *23540:B 0.000189839 +5 *23227:X 0.00107011 +6 *4136:54 0.00144289 +7 *4136:40 0.00177099 +8 *4136:36 0.00127351 +9 *4136:18 0.00186051 +10 *4136:10 0.001804 +11 *4136:7 0.00199488 +12 *23540:B *4447:10 3.24705e-06 +13 *23696:A *23280:B 0.000210866 +14 *23696:A *4601:35 0.000233314 +15 *23696:A *4803:10 8.92568e-06 +16 *4136:7 *4371:42 5.47837e-05 +17 *4136:7 *4579:14 0.000440512 +18 *4136:10 *23658:A 3.55968e-05 +19 *4136:10 *23658:C 6.87503e-05 +20 *4136:10 *23721:A 0.000225811 +21 *4136:10 *23786:C 4.16013e-05 +22 *4136:10 *23787:B 2.55314e-05 +23 *4136:10 *4397:21 0.000238637 +24 *4136:10 *4450:45 0 +25 *4136:10 *4499:13 5.72636e-05 +26 *4136:10 *4561:44 1.6843e-05 +27 *4136:18 *23544:A 9.57004e-05 +28 *4136:18 *23750:D 2.64638e-05 +29 *4136:18 *23788:A 0.00024172 +30 *4136:18 *23797:C 0.000809302 +31 *4136:18 *4538:51 0.000415311 +32 *4136:18 *4575:36 3.50367e-05 +33 *4136:18 *4581:16 7.97445e-05 +34 *4136:36 *23676:B 0 +35 *4136:36 *23750:B 0.000841692 +36 *4136:36 *23750:D 0.000111096 +37 *4136:36 *23826:A 0.0001537 +38 *4136:36 *4193:14 0.000232969 +39 *4136:36 *4416:10 9.24241e-05 +40 *4136:36 *4494:18 5.6706e-05 +41 *4136:36 *4575:36 4.50175e-05 +42 *4136:36 *4576:5 6.92705e-05 +43 *4136:36 *4650:6 6.28168e-05 +44 *4136:36 *4704:24 0 +45 *4136:40 *4494:18 0.000142956 +46 *4136:40 *4650:6 0.0007541 +47 *4136:40 *4665:37 0.000403069 +48 *4136:54 *23841:A1 0.000315517 +49 *4136:54 *23859:A2 0.000195588 +50 *4136:54 *4601:35 0.000864983 +51 *4136:54 *4704:24 3.43411e-06 +52 *4136:54 *4802:18 2.57465e-06 +53 *4136:54 *4803:10 0.000159154 +54 *23735:B1 *4136:10 1.70077e-05 +55 *23804:A *4136:18 4.62112e-05 +56 *23820:C *4136:10 0.000157854 +57 *1521:55 *23696:A 0.000160617 +58 *1690:95 *23696:A 0.000169041 +59 *3788:11 *4136:7 1.2253e-05 +60 *3791:66 *4136:10 3.58321e-05 +61 *3791:66 *4136:18 0 +62 *3872:26 *4136:10 0.000191139 +63 *3872:26 *4136:18 0.000731179 +64 *4009:116 *4136:10 0.000956838 +65 *4074:15 *4136:54 3.29001e-05 +66 *4074:23 *4136:36 0.000159973 +67 *4074:23 *4136:54 0.00109519 +68 *4097:42 *4136:10 4.69495e-06 +69 *4106:157 *4136:54 0.000526964 +70 *4120:17 *4136:10 0.000644204 +*RES +1 *23227:X *4136:7 28.8922 +2 *4136:7 *4136:10 34.6858 +3 *4136:10 *4136:18 31.8889 +4 *4136:18 *4136:36 39.6751 +5 *4136:36 *4136:40 17.9591 +6 *4136:40 *23540:B 11.6364 +7 *4136:40 *4136:54 47.2744 +8 *4136:54 *23696:A 19.9053 +9 *4136:54 *23280:A 14.4725 +10 *4136:36 *23825:B 13.7491 +*END + +*D_NET *4137 0.0665015 +*CONN +*I *23661:B I *D sky130_fd_sc_hd__or3_2 +*I *23701:A2 I *D sky130_fd_sc_hd__a311o_1 +*I *23263:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *23228:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *23661:B 5.67259e-05 +2 *23701:A2 0 +3 *23263:A2 0.000130563 +4 *23228:Y 5.03998e-05 +5 *4137:106 0.00187347 +6 *4137:83 0.0028664 +7 *4137:80 0.00134671 +8 *4137:77 0.00178923 +9 *4137:73 0.00285387 +10 *4137:69 0.00312665 +11 *4137:54 0.00318319 +12 *4137:47 0.00476365 +13 *4137:28 0.00638063 +14 *4137:7 0.00321619 +15 *23263:A2 *4347:8 1.35239e-05 +16 *23263:A2 *4663:40 6.36477e-05 +17 *23661:B *23646:A1 1.5714e-05 +18 *23661:B *23852:B 1.91391e-05 +19 *23661:B *4735:58 6.08467e-05 +20 *4137:28 *23746:A 0.000335961 +21 *4137:28 *4169:50 0.000896935 +22 *4137:28 *4347:8 9.24721e-05 +23 *4137:28 *4347:12 4.25147e-05 +24 *4137:28 *4437:6 5.35941e-05 +25 *4137:28 *4528:17 0.000132605 +26 *4137:28 *4664:33 0.000129656 +27 *4137:28 *4748:19 0.000759963 +28 *4137:28 *5924:20 0.000637659 +29 *4137:47 *4138:97 0.000523839 +30 *4137:47 *4619:26 0.000347607 +31 *4137:47 *4664:33 0.000293777 +32 *4137:47 *4695:56 0.000775853 +33 *4137:47 *5453:21 5.81336e-05 +34 *4137:47 *5924:20 0.000109247 +35 *4137:54 *23418:A 0.000216103 +36 *4137:54 *23591:A 0.000455583 +37 *4137:54 *4146:64 0.000508863 +38 *4137:54 *5458:56 0.00015687 +39 *4137:69 *20793:B1 0.000948104 +40 *4137:69 *24385:CLK 0.000207266 +41 *4137:69 *5458:56 0.000593332 +42 *4137:73 *19816:A 8.47466e-05 +43 *4137:73 *21019:A1 0 +44 *4137:73 *24252:SET_B 4.73211e-05 +45 *4137:73 *4841:70 0 +46 *4137:73 *5409:6 4.27148e-05 +47 *4137:73 *5856:47 0.000382316 +48 *4137:73 *5856:65 0.000551712 +49 *4137:73 *5868:148 0.000143047 +50 *4137:73 *5868:169 0.000237422 +51 *4137:73 *5868:175 0.000370912 +52 *4137:73 *5868:183 0.000570601 +53 *4137:73 *6001:8 0 +54 *4137:77 *4138:143 0.00107962 +55 *4137:77 *4838:86 0.000166346 +56 *4137:77 *4841:70 0.000492257 +57 *4137:77 *4874:20 0 +58 *4137:77 *5045:8 4.69865e-05 +59 *4137:77 *5856:47 0 +60 *4137:77 *6001:8 3.40576e-05 +61 *4137:77 *6001:175 0 +62 *4137:77 *6001:189 0 +63 *4137:77 *6021:9 4.75721e-06 +64 *4137:83 *21041:A 0.000201333 +65 *4137:83 *4409:8 0.00150927 +66 *4137:83 *4664:40 0.000359312 +67 *4137:106 *6210:DIODE 0.000145601 +68 *4137:106 *23400:A 0.000233011 +69 *4137:106 *23400:B 4.66492e-05 +70 *4137:106 *23401:B 0.000258128 +71 *4137:106 *23498:A 9.39797e-05 +72 *4137:106 *23701:A3 1.09551e-05 +73 *4137:106 *23701:B1 0.000114725 +74 *4137:106 *23703:C 0.00015511 +75 *4137:106 *23705:B 0.000661474 +76 *4137:106 *23763:B 8.52816e-05 +77 *4137:106 *23839:B 6.11359e-06 +78 *4137:106 *4364:13 0.000261018 +79 *4137:106 *4371:29 0.00025535 +80 *4137:106 *4606:7 0.000228884 +81 *4137:106 *5671:232 2.65667e-05 +82 *22118:B *4137:83 0.000129299 +83 *23089:A *4137:47 0.000731699 +84 *23095:A *4137:47 4.31703e-05 +85 *23108:A *4137:28 0.000196634 +86 *23175:A *4137:54 6.6641e-05 +87 *23482:A1 *23263:A2 0.000228258 +88 *23482:A1 *4137:28 2.4562e-05 +89 *23579:A1 *4137:28 9.24241e-05 +90 *24176:D *4137:83 0.000122083 +91 *24424:D *4137:77 7.50722e-05 +92 *566:110 *4137:83 0 +93 *657:199 *4137:69 5.33589e-05 +94 *657:199 *4137:73 3.32812e-05 +95 *1482:8 *4137:83 0.000555001 +96 *1521:25 *4137:69 0.000258128 +97 *1550:64 *4137:69 8.01837e-05 +98 *2046:8 *4137:77 0 +99 *2046:10 *4137:77 0 +100 *2046:14 *4137:77 0 +101 *2174:9 *4137:73 0.000116971 +102 *2531:24 *4137:73 0 +103 *2624:21 *4137:73 0.000128756 +104 *2684:8 *4137:69 8.98062e-06 +105 *2684:8 *4137:73 4.02265e-05 +106 *2784:42 *4137:28 0.000423505 +107 *2836:20 *4137:28 0.00385173 +108 *3002:51 *4137:28 0.000145266 +109 *3081:25 *4137:83 0 +110 *3086:14 *4137:83 8.04608e-05 +111 *3833:56 *4137:47 2.4473e-05 +112 *3833:63 *4137:47 0.00171744 +113 *3842:84 *4137:54 0.000107496 +114 *3862:58 *4137:47 0.00048664 +115 *3870:47 *4137:47 0.000132008 +116 *3870:47 *4137:54 0.000155987 +117 *3877:148 *4137:28 0.000167281 +118 *3893:34 *4137:28 0.00014284 +119 *3974:107 *4137:28 0.000138148 +120 *3974:154 *4137:7 0.000154145 +121 *3980:105 *4137:47 2.25583e-07 +122 *3989:52 *4137:47 0.000141827 +123 *3989:52 *4137:54 3.22992e-05 +124 *4001:126 *4137:28 0.000961117 +125 *4011:22 *4137:47 2.2115e-05 +126 *4012:36 *4137:28 0.000234493 +127 *4013:7 *4137:7 2.16355e-05 +128 *4013:12 *23263:A2 8.50356e-05 +129 *4013:12 *4137:28 5.56367e-05 +130 *4013:42 *4137:7 3.31745e-05 +131 *4016:13 *4137:47 1.16209e-05 +132 *4018:11 *4137:47 6.31925e-05 +133 *4020:42 *4137:47 5.94319e-06 +134 *4020:42 *4137:54 0.000897141 +135 *4058:16 *4137:28 7.80929e-05 +136 *4058:28 *4137:28 3.34255e-05 +137 *4089:40 *4137:47 0.00117431 +138 *4106:47 *4137:80 0.00106268 +139 *4106:79 *4137:69 0.000107496 +140 *4106:89 *4137:69 0.00107707 +141 *4126:79 *4137:73 0 +142 *4126:161 *4137:47 0.000696701 +*RES +1 *23228:Y *4137:7 15.5817 +2 *4137:7 *23263:A2 17.8678 +3 *4137:7 *4137:28 37.8981 +4 *4137:28 *4137:47 47.7892 +5 *4137:47 *4137:54 38.5287 +6 *4137:54 *4137:69 48.861 +7 *4137:69 *4137:73 47.9983 +8 *4137:73 *4137:77 48.4557 +9 *4137:77 *4137:80 16.3155 +10 *4137:80 *4137:83 44.5352 +11 *4137:83 *4137:106 49.4079 +12 *4137:106 *23701:A2 9.24915 +13 *4137:28 *23661:B 18.2199 +*END + +*D_NET *4138 0.0805945 +*CONN +*I *23507:B I *D sky130_fd_sc_hd__or2_1 +*I *23405:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23756:A2 I *D sky130_fd_sc_hd__a2111o_1 +*I *23241:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *23262:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *23760:A2 I *D sky130_fd_sc_hd__a2111o_2 +*I *23229:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *23507:B 0.000443296 +2 *23405:A2 0 +3 *23756:A2 0.000239362 +4 *23241:B2 0.000255319 +5 *23262:A2 8.17328e-05 +6 *23760:A2 0.000174144 +7 *23229:Y 0 +8 *4138:165 0.00100779 +9 *4138:152 0.0023441 +10 *4138:143 0.00277592 +11 *4138:142 0.00227605 +12 *4138:137 0.00178829 +13 *4138:127 0.00236954 +14 *4138:126 0.00141769 +15 *4138:124 0.00125753 +16 *4138:112 0.0033703 +17 *4138:110 0.00325715 +18 *4138:97 0.00248605 +19 *4138:78 0.00242649 +20 *4138:59 0.00107244 +21 *4138:42 0.00106885 +22 *4138:41 0.00156691 +23 *4138:16 0.00148734 +24 *4138:5 0.00217639 +25 *23241:B2 *23241:C1 3.5534e-06 +26 *23241:B2 *23459:A1 3.26427e-05 +27 *23241:B2 *23459:A2 3.26427e-05 +28 *23241:B2 *23689:D 3.29488e-05 +29 *23241:B2 *23794:D 0 +30 *23241:B2 *4701:18 2.7413e-07 +31 *23241:B2 *5920:19 0.000308722 +32 *23262:A2 *23262:B1 6.08467e-05 +33 *23262:A2 *23263:B1 5.22932e-05 +34 *23262:A2 *4663:40 0.000202525 +35 *23507:B *23647:A1 8.25015e-05 +36 *23507:B *4742:8 0.000137149 +37 *23756:A2 *23689:D 1.66771e-05 +38 *23756:A2 *23756:A1 2.16355e-05 +39 *23756:A2 *5919:40 0.00030368 +40 *23756:A2 *5923:17 6.85005e-05 +41 *4138:16 *23261:A 0.000686703 +42 *4138:16 *23691:A 7.13972e-05 +43 *4138:16 *23691:C 4.23858e-05 +44 *4138:16 *23693:C 8.77154e-06 +45 *4138:16 *4164:5 0.000123341 +46 *4138:16 *4328:32 0.000106245 +47 *4138:16 *4617:8 0.000109827 +48 *4138:41 *4233:44 1.86819e-05 +49 *4138:41 *4233:64 0.000174135 +50 *4138:41 *4328:32 0.00254215 +51 *4138:41 *4617:8 0.00254101 +52 *4138:41 *4663:19 3.17436e-05 +53 *4138:42 *5929:28 0.00157503 +54 *4138:59 *5929:28 0.000469081 +55 *4138:78 *4539:37 0.000116111 +56 *4138:78 *4683:6 0.000523716 +57 *4138:78 *4744:20 1.61821e-05 +58 *4138:78 *5919:40 0.000428823 +59 *4138:97 *23637:B1 0.000182116 +60 *4138:97 *4539:37 0.000238213 +61 *4138:97 *5082:93 0.000901248 +62 *4138:97 *5457:13 4.12026e-05 +63 *4138:97 *5458:13 1.60578e-06 +64 *4138:97 *5815:68 3.39665e-05 +65 *4138:110 *4424:9 7.50872e-05 +66 *4138:110 *4470:16 7.14746e-05 +67 *4138:110 *4717:8 0.000325369 +68 *4138:110 *5815:68 3.67708e-05 +69 *4138:112 *4798:16 0.00102607 +70 *4138:124 *20792:A1 4.97617e-05 +71 *4138:124 *20864:B1 0.000322296 +72 *4138:124 *25376:A 6.08467e-05 +73 *4138:124 *4797:22 0.000377595 +74 *4138:124 *5854:719 0.000640509 +75 *4138:124 *5856:93 0.000134249 +76 *4138:124 *5866:8 0.000225475 +77 *4138:127 *5412:10 0.000101148 +78 *4138:137 *20714:B1 0.000448976 +79 *4138:137 *24996:A 9.5562e-05 +80 *4138:137 *4838:68 0.000349029 +81 *4138:137 *4838:86 0.000248549 +82 *4138:137 *4869:44 5.04829e-06 +83 *4138:137 *5856:33 0.000354669 +84 *4138:137 *5868:251 0.000263876 +85 *4138:137 *5868:259 0.000339428 +86 *4138:142 *4874:19 6.21462e-05 +87 *4138:143 *4837:8 0.000135702 +88 *4138:143 *4881:125 0.000247216 +89 *4138:143 *4881:132 0.000336155 +90 *4138:143 *4881:135 0 +91 *4138:143 *4881:140 0 +92 *4138:143 *6001:8 0.000177846 +93 *4138:143 *6001:189 0 +94 *4138:152 *23706:D_N 8.43707e-05 +95 *4138:152 *23767:C 0.000260388 +96 *4138:152 *23798:A 2.16355e-05 +97 *4138:152 *23798:C 1.58551e-05 +98 *4138:152 *4363:48 0.000101118 +99 *4138:152 *4407:19 9.75356e-05 +100 *4138:152 *4665:82 0.00191479 +101 *4138:152 *4671:7 6.50586e-05 +102 *4138:152 *4699:8 1.75637e-06 +103 *4138:152 *4881:5 4.66492e-05 +104 *4138:152 *4881:10 0.000351426 +105 *4138:165 *23405:A3 4.10675e-05 +106 *4138:165 *23406:B1 7.83506e-06 +107 *4138:165 *23765:A2 0.000158371 +108 *4138:165 *23765:B1 6.08467e-05 +109 *4138:165 *23770:A1 8.60992e-05 +110 *4138:165 *23770:A2 9.35753e-06 +111 *4138:165 *23770:A3 0 +112 *4138:165 *23770:B1 2.65667e-05 +113 *4138:165 *4225:20 3.49272e-05 +114 *4138:165 *4400:8 8.3288e-05 +115 *4138:165 *4609:6 8.62625e-06 +116 *4138:165 *4609:17 0.000161966 +117 *4138:165 *4673:7 0.000211478 +118 *19669:B2 *4138:143 5.30033e-05 +119 *20305:B1 *4138:143 4.88406e-05 +120 *23102:A *4138:110 3.99086e-06 +121 *23102:A *4138:112 1.58551e-05 +122 *23102:B *4138:112 9.22246e-05 +123 *23109:A *4138:112 1.58551e-05 +124 *23109:B *4138:112 9.79265e-05 +125 *23172:A *4138:112 0.000111067 +126 *23172:B *4138:112 0.000137813 +127 *23493:B *4138:165 0.000339077 +128 *23517:A1 *4138:110 0 +129 *23760:A1 *23760:A2 0.000213725 +130 *23765:A1 *4138:165 0.000264821 +131 *24178:D *4138:143 4.61732e-05 +132 *24179:D *4138:143 2.3939e-05 +133 *1438:83 *23760:A2 0.000180108 +134 *1438:83 *4138:16 0.000311084 +135 *1483:137 *4138:143 0 +136 *1483:139 *4138:143 0 +137 *1582:43 *4138:127 0.000212801 +138 *1594:43 *4138:127 0.00117147 +139 *1808:57 *23760:A2 1.55025e-05 +140 *1809:142 *4138:127 0.000767536 +141 *1947:89 *4138:124 0.000173857 +142 *2535:8 *4138:127 0.00024482 +143 *2608:25 *4138:124 1.41976e-05 +144 *2632:46 *23760:A2 4.81115e-05 +145 *2632:47 *4138:16 0.000107496 +146 *2654:10 *4138:137 0.000153748 +147 *2654:17 *4138:137 0.000187213 +148 *2660:68 *23507:B 0.000144254 +149 *2660:68 *4138:78 1.41976e-05 +150 *3002:69 *4138:42 0.00160105 +151 *3002:69 *4138:59 0.000448573 +152 *3059:11 *4138:124 0.000280755 +153 *3069:6 *4138:127 0.00380409 +154 *3089:58 *4138:143 0.000151622 +155 *3529:155 *4138:127 0.000943231 +156 *3786:17 *4138:165 5.49209e-05 +157 *3786:22 *4138:165 5.51483e-06 +158 *3857:18 *4138:142 0.0013124 +159 *3857:26 *4138:137 9.91532e-05 +160 *3860:91 *4138:110 1.06398e-05 +161 *3861:91 *4138:41 6.46249e-06 +162 *3861:202 *4138:112 3.96496e-05 +163 *3861:211 *4138:112 0.000436841 +164 *3862:58 *4138:97 0.000232712 +165 *3868:132 *4138:112 0.000713935 +166 *3868:151 *4138:97 0.000470642 +167 *3874:77 *4138:97 5.60804e-05 +168 *3877:120 *4138:110 0.000775589 +169 *3877:161 *23760:A2 4.76283e-05 +170 *3877:161 *4138:16 0.00030537 +171 *3891:133 *23756:A2 3.17436e-05 +172 *3891:133 *4138:78 0.000316865 +173 *3915:8 *4138:110 7.77309e-06 +174 *3915:13 *4138:110 5.9708e-05 +175 *3923:10 *4138:97 3.67528e-06 +176 *3923:10 *4138:110 0 +177 *3923:17 *4138:97 2.96652e-05 +178 *3928:18 *4138:110 4.83622e-05 +179 *3931:74 *4138:110 5.92342e-05 +180 *3980:201 *4138:16 0.000100604 +181 *4001:92 *4138:78 0.000515022 +182 *4007:7 *4138:112 0.000111802 +183 *4011:22 *4138:112 6.08467e-05 +184 *4024:70 *4138:78 1.41976e-05 +185 *4024:70 *4138:97 0 +186 *4031:113 *4138:165 0.000404813 +187 *4034:23 *4138:165 0.000307351 +188 *4034:33 *4138:165 0 +189 *4042:20 *4138:110 3.57202e-05 +190 *4045:8 *4138:97 0.000663089 +191 *4080:18 *4138:112 5.90501e-05 +192 *4081:7 *4138:112 7.92757e-06 +193 *4116:20 *4138:165 0.00020831 +194 *4126:76 *4138:137 4.82656e-05 +195 *4126:137 *4138:97 0.000695466 +196 *4126:145 *4138:97 6.65016e-05 +197 *4126:161 *4138:97 8.2663e-05 +198 *4137:47 *4138:97 0.000523839 +199 *4137:77 *4138:143 0.00107962 +*RES +1 *23229:Y *4138:5 13.7491 +2 *4138:5 *4138:16 36.0347 +3 *4138:16 *23760:A2 19.6294 +4 *4138:16 *23262:A2 16.7151 +5 *4138:5 *4138:41 49.9664 +6 *4138:41 *4138:42 3.59385 +7 *4138:42 *23241:B2 19.1448 +8 *4138:42 *4138:59 1.4558 +9 *4138:59 *23756:A2 18.6905 +10 *4138:59 *4138:78 22.5493 +11 *4138:78 *4138:97 46.7646 +12 *4138:97 *4138:110 36.7891 +13 *4138:110 *4138:112 51.1923 +14 *4138:112 *4138:124 47.8302 +15 *4138:124 *4138:126 4.5 +16 *4138:126 *4138:127 69.5181 +17 *4138:127 *4138:137 46.8596 +18 *4138:137 *4138:142 9.46461 +19 *4138:142 *4138:143 46.8869 +20 *4138:143 *4138:152 46.7274 +21 *4138:152 *4138:165 47.324 +22 *4138:165 *23405:A2 13.7491 +23 *4138:78 *23507:B 19.9795 +*END + +*D_NET *4139 0.00196305 +*CONN +*I *23244:A I *D sky130_fd_sc_hd__or4_1 +*I *23471:A I *D sky130_fd_sc_hd__or2_1 +*I *23230:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23244:A 6.08835e-05 +2 *23471:A 0.000138234 +3 *23230:Y 0.000194239 +4 *4139:11 0.000393357 +5 *23244:A *23244:C 2.16355e-05 +6 *23244:A *4152:11 0.000139947 +7 *23471:A *23472:A 0.000207901 +8 *23471:A *4152:11 1.41976e-05 +9 *23471:A *4326:77 1.79338e-05 +10 *23471:A *4379:8 0.000144598 +11 *23471:A *4380:10 0.000215489 +12 *4139:11 *23244:C 4.76161e-06 +13 *4139:11 *4153:11 7.26606e-05 +14 *4139:11 *4326:77 2.02848e-05 +15 *23230:A *4139:11 5.04829e-06 +16 *23230:B *4139:11 2.57847e-05 +17 *3861:76 *4139:11 0.000133626 +18 *3974:112 *4139:11 1.75637e-06 +19 *4126:184 *23471:A 0.000142347 +20 *4126:184 *4139:11 8.36586e-06 +*RES +1 *23230:Y *4139:11 22.7684 +2 *4139:11 *23471:A 24.5446 +3 *4139:11 *23244:A 11.0817 +*END + +*D_NET *4140 0.00115869 +*CONN +*I *23241:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *23459:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *23231:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23241:A1 0 +2 *23459:A1 5.89148e-05 +3 *23231:Y 6.77781e-05 +4 *4140:5 0.000126693 +5 *23459:A1 *23241:B1 6.08467e-05 +6 *23459:A1 *23459:A2 7.13964e-05 +7 *23459:A1 *4141:11 1.41976e-05 +8 *4140:5 *23241:A2 1.67329e-05 +9 *4140:5 *4141:11 4.66492e-05 +10 *22985:A *23459:A1 0.000309775 +11 *22985:A *4140:5 0.00025175 +12 *23231:A *4140:5 6.08467e-05 +13 *23241:B2 *23459:A1 3.26427e-05 +14 *3781:101 *23459:A1 1.37189e-05 +15 *3781:101 *4140:5 2.67448e-05 +*RES +1 *23231:Y *4140:5 12.191 +2 *4140:5 *23459:A1 12.7456 +3 *4140:5 *23241:A1 9.24915 +*END + +*D_NET *4141 0.00612774 +*CONN +*I *23557:B2 I *D sky130_fd_sc_hd__a2bb2o_1 +*I *23801:A1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23756:A1 I *D sky130_fd_sc_hd__a2111o_1 +*I *23241:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *23459:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *23241:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *23232:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23557:B2 0.000454457 +2 *23801:A1 0.00104496 +3 *23756:A1 0.000139023 +4 *23241:A2 2.55104e-06 +5 *23459:A2 7.61009e-05 +6 *23241:B1 1.10706e-05 +7 *23232:Y 0 +8 *4141:37 0.00138773 +9 *4141:11 0.000104146 +10 *4141:10 1.95257e-05 +11 *4141:8 0.000362645 +12 *4141:4 0.000613351 +13 *23801:A1 *23746:B 4.15216e-06 +14 *23801:A1 *23801:B1 4.03381e-05 +15 *23801:A1 *23801:C1 6.9205e-05 +16 *4141:8 *23761:D 0.000134191 +17 *4141:8 *4617:12 0.00013298 +18 *4141:37 *23761:D 0.000382367 +19 *4141:37 *4617:12 0.00036743 +20 *23241:B2 *23459:A2 3.26427e-05 +21 *23459:A1 *23241:B1 6.08467e-05 +22 *23459:A1 *23459:A2 7.13964e-05 +23 *23459:A1 *4141:11 1.41976e-05 +24 *23557:A2_N *23557:B2 0.000143292 +25 *23557:A2_N *4141:8 3.82228e-05 +26 *23557:B1 *23557:B2 3.75603e-05 +27 *23756:A2 *23756:A1 2.16355e-05 +28 *3781:101 *23241:A2 9.0148e-07 +29 *3781:101 *23459:A2 0.000263107 +30 *3781:101 *4141:11 1.65872e-05 +31 *3891:122 *23557:B2 1.77472e-05 +32 *4140:5 *23241:A2 1.67329e-05 +33 *4140:5 *4141:11 4.66492e-05 +*RES +1 *23232:Y *4141:4 9.24915 +2 *4141:4 *4141:8 8.4405 +3 *4141:8 *4141:10 4.5 +4 *4141:10 *4141:11 0.723396 +5 *4141:11 *23241:B1 9.97254 +6 *4141:11 *23459:A2 13.3484 +7 *4141:10 *23241:A2 9.44808 +8 *4141:8 *4141:37 12.1455 +9 *4141:37 *23756:A1 11.0817 +10 *4141:37 *23801:A1 23.283 +11 *4141:4 *23557:B2 16.5072 +*END + +*D_NET *4142 0.00685436 +*CONN +*I *23516:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *23234:B I *D sky130_fd_sc_hd__nand2_2 +*I *23828:B1 I *D sky130_fd_sc_hd__o221ai_4 +*I *23233:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23516:A2 0.000181363 +2 *23234:B 7.41484e-05 +3 *23828:B1 0.000756666 +4 *23233:X 0.000112437 +5 *4142:22 0.000597426 +6 *4142:8 0.00121102 +7 *23234:B *23517:C1 1.65872e-05 +8 *23516:A2 *23516:B1 5.92342e-05 +9 *23516:A2 *4143:36 1.94224e-05 +10 *23516:A2 *4496:26 5.80859e-05 +11 *23516:A2 *4649:24 0.000169684 +12 *23828:B1 *23742:B 0.000158551 +13 *23828:B1 *23828:A2 0.000134294 +14 *23828:B1 *4496:42 3.67452e-05 +15 *23828:B1 *4644:8 0.00033508 +16 *4142:8 *23750:A 2.58616e-05 +17 *23112:A *23828:B1 0.000344363 +18 *23114:B *4142:22 6.08467e-05 +19 *23115:A *23828:B1 0.000427162 +20 *23171:A *23828:B1 0.00041939 +21 *23234:A *23234:B 6.27782e-05 +22 *23234:A *4142:22 4.76794e-05 +23 *23741:A *23828:B1 0.000619741 +24 *23741:A *4142:8 4.97352e-05 +25 *2660:52 *23828:B1 0.000129181 +26 *3829:206 *23828:B1 1.66626e-05 +27 *3872:73 *23516:A2 4.04995e-06 +28 *4016:13 *23828:B1 5.3965e-05 +29 *4016:13 *4142:8 2.98969e-05 +30 *4018:23 *23828:B1 2.35709e-05 +31 *4020:59 *4142:22 4.97617e-05 +32 *4026:72 *23516:A2 0.000414832 +33 *4026:82 *4142:22 0.000154145 +34 *4127:36 *23828:B1 0 +*RES +1 *23233:X *4142:8 16.7198 +2 *4142:8 *23828:B1 44.7221 +3 *4142:8 *4142:22 11.8786 +4 *4142:22 *23234:B 11.1059 +5 *4142:22 *23516:A2 26.7514 +*END + +*D_NET *4143 0.0165148 +*CONN +*I *23240:A3 I *D sky130_fd_sc_hd__a41o_2 +*I *23510:A I *D sky130_fd_sc_hd__inv_2 +*I *23234:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *23240:A3 0 +2 *23510:A 0.000235487 +3 *23234:Y 0 +4 *4143:46 0.000871339 +5 *4143:36 0.00337806 +6 *4143:5 0.00274221 +7 *23510:A *4417:7 1.41976e-05 +8 *23510:A *4539:12 0.000263219 +9 *23510:A *4545:8 1.79271e-05 +10 *23510:A *4649:24 0.000294858 +11 *4143:36 *23517:C1 3.16514e-05 +12 *4143:36 *4539:12 6.23012e-05 +13 *4143:36 *4630:10 7.40162e-05 +14 *4143:36 *4649:24 0.000121494 +15 *4143:36 *4871:31 0.000205567 +16 *4143:46 *23240:B1 2.16355e-05 +17 *4143:46 *23337:A3 0.000118088 +18 *4143:46 *4418:14 0.000213559 +19 *4143:46 *4880:5 6.08467e-05 +20 *22996:B *4143:36 8.18293e-05 +21 *23003:D_N *4143:46 2.1356e-05 +22 *23004:B1 *4143:36 0.000904279 +23 *23235:C_N *4143:46 0.000193565 +24 *23460:A1 *4143:36 0.000112551 +25 *23460:A2 *4143:36 9.75356e-05 +26 *23516:A2 *4143:36 1.94224e-05 +27 *23517:A1 *23510:A 0 +28 *23727:A1 *4143:36 3.82228e-05 +29 *23727:A2 *4143:36 1.19856e-05 +30 *3827:41 *4143:36 0.00141432 +31 *3831:21 *4143:46 0.000847182 +32 *3833:56 *4143:36 0.000460046 +33 *3840:30 *4143:46 0.000110877 +34 *3840:42 *4143:46 2.54199e-05 +35 *3861:202 *23510:A 2.46913e-05 +36 *3910:16 *4143:36 4.23622e-05 +37 *3922:26 *4143:46 2.61874e-05 +38 *3922:36 *4143:36 2.18041e-06 +39 *3922:36 *4143:46 0.000330254 +40 *3927:42 *4143:36 0.000157667 +41 *3974:83 *4143:36 2.66594e-05 +42 *3980:42 *4143:36 0.00153052 +43 *4026:38 *4143:36 0.000178966 +44 *4026:38 *4143:46 0.000216374 +45 *4026:50 *4143:36 0.000432294 +46 *4026:72 *4143:36 0.000137409 +47 *4126:124 *4143:36 0.000344145 +*RES +1 *23234:Y *4143:5 13.7491 +2 *4143:5 *23510:A 21.778 +3 *4143:5 *4143:36 46.536 +4 *4143:36 *4143:46 41.5268 +5 *4143:46 *23240:A3 9.24915 +*END + +*D_NET *4144 0.000419829 +*CONN +*I *23240:A4 I *D sky130_fd_sc_hd__a41o_2 +*I *23235:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *23240:A4 0.000113704 +2 *23235:X 0.000113704 +3 *23235:A *23240:A4 5.04829e-06 +4 *23235:C_N *23240:A4 5.53789e-05 +5 *23511:A *23240:A4 1.65872e-05 +6 *3831:21 *23240:A4 0.000115406 +*RES +1 *23235:X *23240:A4 30.4689 +*END + +*D_NET *4145 0.00283322 +*CONN +*I *23239:A3 I *D sky130_fd_sc_hd__o31a_1 +*I *23663:A I *D sky130_fd_sc_hd__nor2_1 +*I *23236:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23239:A3 0.000384585 +2 *23663:A 0.000263796 +3 *23236:Y 2.37008e-05 +4 *4145:6 0.000672082 +5 *23239:A3 *23239:B1 0.000298304 +6 *23663:A *23238:A2 6.8143e-05 +7 *22998:A *23239:A3 9.95234e-05 +8 *23236:A *23663:A 3.1297e-05 +9 *23239:A2 *23239:A3 6.08467e-05 +10 *3782:26 *23663:A 1.14115e-05 +11 *3827:41 *23663:A 0.000145916 +12 *3877:33 *23663:A 2.99287e-05 +13 *3908:5 *23239:A3 0.000154145 +14 *3925:40 *23239:A3 0.000159703 +15 *3925:40 *4145:6 4.6012e-05 +16 *3974:60 *23239:A3 1.00981e-05 +17 *3974:60 *23663:A 1.25791e-05 +18 *3991:21 *23663:A 4.07914e-06 +19 *4001:26 *23663:A 1.28671e-05 +20 *4010:10 *23239:A3 0.000158976 +21 *4010:10 *4145:6 3.63593e-05 +22 *4031:53 *23663:A 0.000148867 +*RES +1 *23236:Y *4145:6 14.543 +2 *4145:6 *23663:A 22.8126 +3 *4145:6 *23239:A3 24.4132 +*END + +*D_NET *4146 0.0505733 +*CONN +*I *23505:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23288:B I *D sky130_fd_sc_hd__or2_1 +*I *23672:A2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23514:B I *D sky130_fd_sc_hd__nor2_1 +*I *23238:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23237:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *23505:A2 0 +2 *23288:B 3.07124e-05 +3 *23672:A2 0.000285103 +4 *23514:B 0.000361447 +5 *23238:A2 0.000393104 +6 *23237:X 0.000585826 +7 *4146:86 0.000425361 +8 *4146:83 0.000649629 +9 *4146:64 0.00141468 +10 *4146:60 0.00136286 +11 *4146:37 0.00319687 +12 *4146:35 0.00396947 +13 *4146:26 0.00384137 +14 *4146:25 0.00321152 +15 *4146:21 0.0025614 +16 *4146:10 0.00225162 +17 *4146:7 0.00166162 +18 *23238:A2 *4371:48 0.000347214 +19 *23238:A2 *4371:51 0.000800947 +20 *23238:A2 *4578:7 0.000207266 +21 *23288:B *23288:A 6.08467e-05 +22 *23514:B *23515:A2 2.95835e-05 +23 *23514:B *4147:13 0 +24 *23672:A2 *23289:C_N 1.58551e-05 +25 *23672:A2 *23672:B2 0.000107496 +26 *23672:A2 *23672:C1 6.3657e-05 +27 *4146:10 *23400:A 0.000670254 +28 *4146:10 *23410:A2 4.59656e-05 +29 *4146:10 *23491:B1 0.000169093 +30 *4146:10 *23698:A2 1.40966e-05 +31 *4146:10 *24818:CLK 0 +32 *4146:10 *4219:34 7.74044e-06 +33 *4146:10 *4230:11 7.46573e-05 +34 *4146:10 *5456:150 0.000739581 +35 *4146:21 *23764:C 0.000510762 +36 *4146:21 *23840:A 2.06478e-05 +37 *4146:21 *23840:C 0.000927616 +38 *4146:21 *4739:5 1.61631e-05 +39 *4146:25 *23499:A 0.000118166 +40 *4146:25 *23501:A 8.65358e-05 +41 *4146:25 *4739:5 0.000178167 +42 *4146:26 *22090:A 0.00015324 +43 *4146:26 *24472:CLK 0.00112207 +44 *4146:26 *24475:CLK 0.00015423 +45 *4146:26 *4538:22 1.40384e-05 +46 *4146:26 *4550:19 0.00105767 +47 *4146:35 *19816:A 3.79336e-05 +48 *4146:35 *21019:B1 1.65872e-05 +49 *4146:35 *4538:22 8.15496e-05 +50 *4146:35 *5856:47 6.23875e-05 +51 *4146:37 *23790:D 0.000152348 +52 *4146:37 *4371:48 0.000905751 +53 *4146:37 *4578:7 0.000113968 +54 *4146:60 *23626:A 0.000248171 +55 *4146:60 *23717:A 8.62081e-05 +56 *4146:60 *23717:B 6.83223e-05 +57 *4146:60 *4530:18 8.92568e-06 +58 *4146:83 *23505:B1 0.000107496 +59 *4146:83 *23675:A 6.36477e-05 +60 *4146:83 *23788:B 0.000109329 +61 *4146:83 *4228:29 2.41274e-06 +62 *4146:86 *4686:35 1.37925e-05 +63 *21019:B2 *4146:35 7.6719e-06 +64 *23087:A *4146:7 4.04995e-05 +65 *23178:B *4146:64 0.000221185 +66 *23236:A *23238:A2 0.000113746 +67 *23237:A *4146:7 1.84293e-05 +68 *23238:B1 *23238:A2 0.000107496 +69 *23285:A *23672:A2 1.58551e-05 +70 *23416:A *4146:60 0 +71 *23416:A *4146:64 1.16596e-05 +72 *23416:B *4146:60 2.60879e-06 +73 *23416:B *4146:64 8.05972e-06 +74 *23505:A1 *4146:86 2.02035e-05 +75 *23514:A *23514:B 6.08467e-05 +76 *23556:A1 *4146:64 0 +77 *23556:A3 *4146:60 1.60613e-05 +78 *23556:A3 *4146:64 8.33073e-06 +79 *23663:A *23238:A2 6.8143e-05 +80 *23672:A1 *4146:83 9.58067e-05 +81 *23698:A1 *4146:10 5.1573e-05 +82 *23779:A *4146:60 3.75227e-05 +83 *24192:D *4146:26 4.61732e-05 +84 *24428:D *4146:35 0.000161437 +85 *657:218 *4146:26 0.00033419 +86 *1574:7 *4146:35 1.6956e-05 +87 *1829:77 *4146:83 9.39205e-05 +88 *1829:90 *4146:35 0.00073981 +89 *1829:117 *4146:25 0.00107841 +90 *1891:11 *4146:26 0.000775948 +91 *2044:15 *4146:35 0.000477142 +92 *2175:7 *4146:35 0.000353686 +93 *2765:8 *4146:26 0.00107182 +94 *2765:8 *4146:35 0.000213627 +95 *3081:8 *4146:26 9.24241e-05 +96 *3081:38 *4146:26 0.000239883 +97 *3082:8 *4146:26 0.000403489 +98 *3784:14 *4146:10 0 +99 *3784:88 *4146:60 1.70077e-05 +100 *3829:54 *4146:83 0.00012332 +101 *3831:56 *23514:B 0.000642801 +102 *3831:56 *4146:83 0.000716318 +103 *3833:247 *4146:60 0.000175376 +104 *3846:51 *23672:A2 0.000191541 +105 *3846:51 *4146:86 0.000195961 +106 *3857:18 *4146:26 0.000231979 +107 *3860:8 *4146:10 0.000372364 +108 *3868:76 *4146:37 0.000205006 +109 *3872:34 *23514:B 8.78673e-05 +110 *3877:41 *23238:A2 0.000135344 +111 *3877:41 *4146:60 0.000122083 +112 *3907:37 *4146:60 0.000121735 +113 *3958:8 *23672:A2 0.000195139 +114 *3958:8 *4146:86 4.18989e-05 +115 *3958:20 *4146:83 0.000341832 +116 *3989:52 *4146:64 7.23866e-05 +117 *3996:7 *4146:7 0.000156351 +118 *4003:36 *4146:26 0.000481629 +119 *4003:42 *4146:26 7.86782e-05 +120 *4020:13 *4146:10 0.000322642 +121 *4020:42 *4146:64 7.17469e-05 +122 *4034:10 *4146:10 4.70469e-05 +123 *4034:56 *4146:60 0 +124 *4077:21 *23672:A2 7.5301e-06 +125 *4086:10 *4146:60 0.00011741 +126 *4089:40 *4146:64 0.000162319 +127 *4089:55 *4146:64 2.29287e-05 +128 *4089:76 *4146:60 0.00112144 +129 *4106:55 *4146:26 0.000380829 +130 *4123:8 *4146:86 0.000104372 +131 *4134:8 *4146:10 0 +132 *4137:54 *4146:64 0.000508863 +*RES +1 *23237:X *4146:7 22.7916 +2 *4146:7 *4146:10 42.8742 +3 *4146:10 *4146:21 24.8366 +4 *4146:21 *4146:25 43.491 +5 *4146:25 *4146:26 83.4291 +6 *4146:26 *4146:35 43.4977 +7 *4146:35 *4146:37 40.1003 +8 *4146:37 *23238:A2 24.778 +9 *4146:37 *4146:60 34.215 +10 *4146:60 *4146:64 21.904 +11 *4146:64 *23514:B 19.9795 +12 *4146:64 *4146:83 27.5769 +13 *4146:83 *4146:86 8.40826 +14 *4146:86 *23672:A2 21.8478 +15 *4146:86 *23288:B 14.4725 +16 *4146:83 *23505:A2 9.24915 +*END + +*D_NET *4147 0.00856836 +*CONN +*I *23239:B1 I *D sky130_fd_sc_hd__o31a_1 +*I *23515:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23238:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23239:B1 0.000181731 +2 *23515:B1 8.20455e-05 +3 *23238:Y 0.000174229 +4 *4147:13 0.000954108 +5 *4147:7 0.00122802 +6 *23515:B1 *23515:A2 3.82228e-05 +7 *4147:13 *23515:A2 1.03434e-05 +8 *4147:13 *4496:26 0.000303937 +9 *22998:A *23239:B1 0.000200794 +10 *23238:A1 *4147:7 2.16355e-05 +11 *23238:B1 *4147:7 2.37827e-05 +12 *23239:A3 *23239:B1 0.000298304 +13 *23514:B *4147:13 0 +14 *3872:34 *23515:B1 0.00025175 +15 *3877:87 *4147:13 7.66501e-05 +16 *3891:190 *4147:13 1.561e-05 +17 *3926:20 *23239:B1 0.000223646 +18 *3926:20 *4147:13 0.00196001 +19 *3974:60 *23239:B1 3.81056e-05 +20 *3974:83 *4147:13 0.000133823 +21 *3996:65 *23239:B1 0.000131175 +22 *3996:70 *23239:B1 9.96134e-05 +23 *3996:70 *4147:13 0.00212082 +*RES +1 *23238:Y *4147:7 16.1364 +2 *4147:7 *4147:13 47.9082 +3 *4147:13 *23515:B1 12.191 +4 *4147:7 *23239:B1 22.1478 +*END + +*D_NET *4148 0.00540337 +*CONN +*I *23240:B1 I *D sky130_fd_sc_hd__a41o_2 +*I *23239:X O *D sky130_fd_sc_hd__o31a_1 +*CAP +1 *23240:B1 0.000225773 +2 *23239:X 0.000751225 +3 *4148:6 0.000976998 +4 *23240:B1 *23240:A1 4.97617e-05 +5 *23240:B1 *23240:A2 0.000105509 +6 *23240:B1 *4880:5 3.1616e-05 +7 *23240:B1 *5600:63 0.000152158 +8 *4148:6 *23240:A1 9.22013e-06 +9 *4148:6 *4418:10 0.000298336 +10 *4148:6 *4871:32 0.0017761 +11 *3840:20 *4148:6 0.00082265 +12 *3922:36 *4148:6 0.000182386 +13 *4026:38 *4148:6 0 +14 *4143:46 *23240:B1 2.16355e-05 +*RES +1 *23239:X *4148:6 46.7251 +2 *4148:6 *23240:B1 19.4881 +*END + +*D_NET *4149 0.0319014 +*CONN +*I *23241:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *23240:X O *D sky130_fd_sc_hd__a41o_2 +*CAP +1 *23241:C1 0.00305588 +2 *23240:X 0.000783869 +3 *4149:18 0.00461393 +4 *4149:17 0.00219775 +5 *4149:11 0.00142357 +6 *23241:C1 *23689:D 2.74078e-05 +7 *23241:C1 *23756:C1 1.26657e-05 +8 *23241:C1 *4251:41 1.76176e-05 +9 *23241:C1 *4326:52 2.46654e-05 +10 *23241:C1 *5457:17 0 +11 *23241:C1 *5593:20 5.17932e-06 +12 *23241:C1 *5919:40 2.65609e-05 +13 *23241:C1 *5929:28 0.0013809 +14 *4149:11 *22992:A 6.46921e-05 +15 *4149:11 *22992:C 3.31745e-05 +16 *4149:11 *25341:A 0.000313495 +17 *4149:11 *4880:5 0.000643382 +18 *4149:11 *4880:10 0.000213725 +19 *4149:17 *5454:88 0.0015869 +20 *4149:17 *5455:47 0.000765014 +21 *4149:17 *5820:20 0.000368672 +22 *4149:18 *4377:16 0.00202988 +23 *4149:18 *5453:40 7.87165e-05 +24 *4149:18 *5453:51 0.00468176 +25 *4149:18 *5453:71 0.000287058 +26 *4149:18 *5930:14 0.00013021 +27 *23010:A1 *23241:C1 0.000285418 +28 *23241:B2 *23241:C1 3.5534e-06 +29 *23387:A *23241:C1 0.000219709 +30 *23611:A2 *23241:C1 0.000314651 +31 *25033:A *23241:C1 4.24667e-05 +32 *25182:A *23241:C1 3.63138e-05 +33 *3002:69 *23241:C1 0.00118787 +34 *3796:75 *4149:11 0.00035001 +35 *3796:82 *4149:11 0.000107496 +36 *3840:101 *23241:C1 0 +37 *3860:101 *4149:18 0.00027495 +38 *3868:302 *23241:C1 2.55358e-05 +39 *3874:77 *23241:C1 6.22732e-06 +40 *3883:18 *4149:18 0.000104058 +41 *3891:133 *23241:C1 0.000196088 +42 *3902:7 *4149:11 0.000785363 +43 *3917:19 *4149:11 5.481e-05 +44 *3989:12 *4149:18 0.000685817 +45 *4006:24 *4149:18 0.00245435 +*RES +1 *23240:X *4149:11 40.0325 +2 *4149:11 *4149:17 38.9648 +3 *4149:17 *4149:18 115.819 +4 *4149:18 *23241:C1 32.0763 +*END + +*D_NET *4150 0.00429666 +*CONN +*I *23244:B I *D sky130_fd_sc_hd__or4_1 +*I *23241:X O *D sky130_fd_sc_hd__a221o_1 +*CAP +1 *23244:B 0 +2 *23241:X 0.000790502 +3 *4150:9 0.000790502 +4 *4150:9 *23244:C 0.000315549 +5 *4150:9 *23761:D 0.00114286 +6 *4150:9 *23800:A 1.34424e-05 +7 *4150:9 *4594:8 1.2366e-05 +8 *4150:9 *4662:16 0.000169108 +9 *4150:9 *4701:18 0.00106233 +*RES +1 *23241:X *4150:9 45.3128 +2 *4150:9 *23244:B 9.24915 +*END + +*D_NET *4151 0.00295922 +*CONN +*I *23521:B I *D sky130_fd_sc_hd__or2_1 +*I *23688:A I *D sky130_fd_sc_hd__or3_1 +*I *23244:C I *D sky130_fd_sc_hd__or4_1 +*I *23242:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23521:B 0.000228505 +2 *23688:A 8.74034e-05 +3 *23244:C 0.000277971 +4 *23242:Y 8.44738e-05 +5 *4151:21 0.00051538 +6 *4151:7 0.000561916 +7 *23244:C *23800:A 5.04829e-06 +8 *23244:C *23800:B 4.15685e-05 +9 *23244:C *4152:11 7.92757e-06 +10 *23244:C *4662:8 0.000197764 +11 *23244:C *4694:10 0 +12 *23521:B *4593:8 5.04829e-06 +13 *23688:A *4593:8 4.87301e-05 +14 *4151:7 *5592:17 5.99529e-06 +15 *4151:21 *4662:8 0.000313199 +16 *4151:21 *4694:10 0 +17 *23244:A *23244:C 2.16355e-05 +18 *1690:86 *23521:B 6.08467e-05 +19 *3829:109 *23521:B 6.36477e-05 +20 *4126:161 *23244:C 4.99006e-05 +21 *4126:161 *4151:21 4.40499e-05 +22 *4126:184 *4151:7 1.78942e-05 +23 *4139:11 *23244:C 4.76161e-06 +24 *4150:9 *23244:C 0.000315549 +*RES +1 *23242:Y *4151:7 15.0271 +2 *4151:7 *23244:C 22.2871 +3 *4151:7 *4151:21 10.4845 +4 *4151:21 *23688:A 11.6364 +5 *4151:21 *23521:B 13.8548 +*END + +*D_NET *4152 0.00161104 +*CONN +*I *23800:B I *D sky130_fd_sc_hd__or2_1 +*I *23244:D I *D sky130_fd_sc_hd__or4_1 +*I *23472:A I *D sky130_fd_sc_hd__or2_1 +*I *23243:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23800:B 5.6004e-05 +2 *23244:D 0 +3 *23472:A 0.000145705 +4 *23243:Y 0.000118914 +5 *4152:11 0.000199356 +6 *4152:10 0.000228569 +7 *23472:A *4153:11 6.08467e-05 +8 *23800:B *4153:11 4.94594e-05 +9 *4152:10 *4694:10 8.76081e-05 +10 *4152:11 *4153:11 0.000186597 +11 *23243:B *4152:10 6.64392e-05 +12 *23244:A *4152:11 0.000139947 +13 *23244:C *23800:B 4.15685e-05 +14 *23244:C *4152:11 7.92757e-06 +15 *23471:A *23472:A 0.000207901 +16 *23471:A *4152:11 1.41976e-05 +17 *3899:29 *4152:10 0 +*RES +1 *23243:Y *4152:10 20.8045 +2 *4152:10 *4152:11 2.38721 +3 *4152:11 *23472:A 12.7456 +4 *4152:11 *23244:D 9.24915 +5 *4152:10 *23800:B 11.0817 +*END + +*D_NET *4153 0.00414269 +*CONN +*I *23248:A I *D sky130_fd_sc_hd__or4_1 +*I *23244:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23248:A 0 +2 *23244:X 0.000692425 +3 *4153:11 0.000692425 +4 *4153:11 *23248:B 0.000107052 +5 *4153:11 *23793:A 0.000275777 +6 *4153:11 *4155:7 6.08467e-05 +7 *4153:11 *4326:77 9.82207e-05 +8 *4153:11 *5852:117 0.000125488 +9 *23246:B *4153:11 6.3657e-05 +10 *23472:A *4153:11 6.08467e-05 +11 *23800:B *4153:11 4.94594e-05 +12 *1587:80 *4153:11 0.000876904 +13 *3791:142 *4153:11 2.01595e-05 +14 *3861:76 *4153:11 0.000119836 +15 *4106:180 *4153:11 9.57557e-06 +16 *4126:184 *4153:11 0.000630761 +17 *4139:11 *4153:11 7.26606e-05 +18 *4152:11 *4153:11 0.000186597 +*RES +1 *23244:X *4153:11 44.6483 +2 *4153:11 *23248:A 9.24915 +*END + +*D_NET *4154 0.00150576 +*CONN +*I *23793:A I *D sky130_fd_sc_hd__or2_1 +*I *23248:B I *D sky130_fd_sc_hd__or4_1 +*I *23245:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23793:A 7.2929e-05 +2 *23248:B 0.000104941 +3 *23245:Y 0.000114467 +4 *4154:7 0.000292337 +5 *23248:B *23474:A 8.37812e-05 +6 *23248:B *4380:14 3.79662e-05 +7 *23793:A *4380:14 2.53169e-05 +8 *4154:7 *5682:35 0.000154145 +9 *1587:80 *23248:B 3.17121e-05 +10 *3791:142 *23793:A 0.000205332 +11 *4153:11 *23248:B 0.000107052 +12 *4153:11 *23793:A 0.000275777 +*RES +1 *23245:Y *4154:7 15.5817 +2 *4154:7 *23248:B 17.2421 +3 *4154:7 *23793:A 18.4879 +*END + +*D_NET *4155 0.00209995 +*CONN +*I *23248:C I *D sky130_fd_sc_hd__or4_1 +*I *23474:A I *D sky130_fd_sc_hd__or2_1 +*I *23246:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23248:C 0 +2 *23474:A 0.000499148 +3 *23246:Y 9.2627e-05 +4 *4155:7 0.000591775 +5 *23474:A *23690:C 2.64419e-05 +6 *23474:A *4256:5 2.8182e-06 +7 *23474:A *4380:14 0.000270563 +8 *23474:A *4595:8 9.19632e-06 +9 *4155:7 *23253:A 3.99086e-06 +10 *4155:7 *4156:7 0.000258786 +11 *23246:B *4155:7 5.19758e-05 +12 *23248:B *23474:A 8.37812e-05 +13 *1587:80 *23474:A 1.57079e-05 +14 *3938:41 *23474:A 0.000132291 +15 *4153:11 *4155:7 6.08467e-05 +*RES +1 *23246:Y *4155:7 12.7697 +2 *4155:7 *23474:A 28.7268 +3 *4155:7 *23248:C 9.24915 +*END + +*D_NET *4156 0.00320396 +*CONN +*I *23525:B I *D sky130_fd_sc_hd__nor2_1 +*I *23248:D I *D sky130_fd_sc_hd__or4_1 +*I *23690:A I *D sky130_fd_sc_hd__or3_1 +*I *23247:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23525:B 0.000236306 +2 *23248:D 0 +3 *23690:A 9.20093e-05 +4 *23247:Y 0 +5 *4156:12 0.000170026 +6 *4156:11 0.000115246 +7 *4156:7 0.000425677 +8 *4156:4 0.000624754 +9 *23525:B *5682:35 0.000535932 +10 *23246:B *23690:A 1.94512e-05 +11 *23246:B *4156:7 1.34424e-05 +12 *23246:B *4156:11 1.94584e-05 +13 *23247:B *4156:7 2.57986e-05 +14 *3904:21 *23690:A 0.00015321 +15 *3974:134 *23690:A 0.000156823 +16 *4022:76 *23525:B 0.000200243 +17 *4022:87 *23525:B 3.7132e-05 +18 *4126:193 *23525:B 0.00010594 +19 *4126:209 *4156:7 1.37189e-05 +20 *4155:7 *4156:7 0.000258786 +*RES +1 *23247:Y *4156:4 9.24915 +2 *4156:4 *4156:7 6.29355 +3 *4156:7 *4156:11 9.97254 +4 *4156:11 *4156:12 104.301 +5 *4156:12 *23690:A 30.8842 +6 *4156:7 *23248:D 9.24915 +7 *4156:4 *23525:B 26.7658 +*END + +*D_NET *4157 0.00271443 +*CONN +*I *23253:A I *D sky130_fd_sc_hd__or4_1 +*I *23248:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23253:A 0.000523512 +2 *23248:X 0.000523512 +3 *23253:A *23250:A 9.98274e-05 +4 *23253:A *23253:B 0.00021461 +5 *23253:A *23476:A 3.67528e-06 +6 *23253:A *23759:D 1.51692e-05 +7 *23253:A *4159:8 8.63437e-05 +8 *23253:A *4161:8 4.40506e-05 +9 *23253:A *4595:8 8.51784e-06 +10 *23246:B *23253:A 2.16355e-05 +11 *3937:32 *23253:A 0 +12 *4106:191 *23253:A 0.000831048 +13 *4126:209 *23253:A 0.00033854 +14 *4155:7 *23253:A 3.99086e-06 +*RES +1 *23248:X *23253:A 47.6336 +*END + +*D_NET *4158 0.00529339 +*CONN +*I *23745:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23250:A I *D sky130_fd_sc_hd__inv_2 +*I *23249:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23745:C1 0.00114134 +2 *23250:A 0.000284861 +3 *23249:X 0 +4 *4158:4 0.00142621 +5 *23745:C1 *23724:A 6.3657e-05 +6 *23745:C1 *23745:D1 6.08467e-05 +7 *23745:C1 *4648:12 4.97617e-05 +8 *23745:C1 *5929:37 0.000286208 +9 *23143:A2 *23745:C1 0.000169826 +10 *23252:A *23250:A 0.000121331 +11 *23253:A *23250:A 9.98274e-05 +12 *23569:A1 *23745:C1 1.41307e-05 +13 *23569:A2 *23745:C1 1.06902e-05 +14 *23745:B1 *23745:C1 6.08467e-05 +15 *1587:80 *23250:A 2.16608e-05 +16 *3895:27 *23745:C1 0.000886955 +17 *3974:146 *23250:A 6.64392e-05 +18 *4019:32 *23745:C1 4.58706e-05 +19 *4019:39 *23745:C1 0.00012147 +20 *4019:43 *23250:A 0.00021217 +21 *4019:43 *23745:C1 0.000149285 +*RES +1 *23249:X *4158:4 9.24915 +2 *4158:4 *23250:A 26.9559 +3 *4158:4 *23745:C1 47.1538 +*END + +*D_NET *4159 0.00259054 +*CONN +*I *23475:A I *D sky130_fd_sc_hd__or2_1 +*I *23253:B I *D sky130_fd_sc_hd__or4_1 +*I *23250:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23475:A 0.000201993 +2 *23253:B 0.000203289 +3 *23250:Y 0.000123477 +4 *4159:8 0.00052876 +5 *23253:B *23253:C 7.28457e-05 +6 *23253:B *23476:A 0 +7 *23253:B *4160:8 1.42683e-05 +8 *23253:B *5455:19 6.36477e-05 +9 *23475:A *23477:B 0.000169041 +10 *23475:A *23759:A 5.04829e-06 +11 *23475:A *23759:B 0.000298399 +12 *23475:A *23759:C 0.000301209 +13 *23475:A *4382:5 0.000160617 +14 *23475:A *4384:10 8.77154e-06 +15 *4159:8 *4160:8 2.1203e-06 +16 *23253:A *23253:B 0.00021461 +17 *23253:A *4159:8 8.63437e-05 +18 *3937:32 *4159:8 0 +19 *3974:146 *4159:8 2.57986e-05 +20 *4106:191 *23475:A 0.000110297 +*RES +1 *23250:Y *4159:8 16.3045 +2 *4159:8 *23253:B 19.6266 +3 *4159:8 *23475:A 22.1164 +*END + +*D_NET *4160 0.00125624 +*CONN +*I *23759:A I *D sky130_fd_sc_hd__or4_2 +*I *23253:C I *D sky130_fd_sc_hd__or4_1 +*I *23251:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23759:A 3.35144e-05 +2 *23253:C 0.000159413 +3 *23251:Y 0.00013689 +4 *4160:8 0.000329818 +5 *23253:C *5455:19 0.00021498 +6 *23253:C *5674:15 8.82817e-05 +7 *23759:A *4384:10 4.45999e-05 +8 *23253:B *23253:C 7.28457e-05 +9 *23253:B *4160:8 1.42683e-05 +10 *23475:A *23759:A 5.04829e-06 +11 *3937:32 *4160:8 0 +12 *4106:191 *23759:A 3.33274e-05 +13 *4106:214 *23253:C 0.000113096 +14 *4106:214 *4160:8 8.03393e-06 +15 *4159:8 *4160:8 2.1203e-06 +*RES +1 *23251:Y *4160:8 16.0452 +2 *4160:8 *23253:C 19.6294 +3 *4160:8 *23759:A 15.0271 +*END + +*D_NET *4161 0.00209721 +*CONN +*I *23253:D I *D sky130_fd_sc_hd__or4_1 +*I *23476:A I *D sky130_fd_sc_hd__or2_1 +*I *23252:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23253:D 0 +2 *23476:A 0.000337107 +3 *23252:Y 0.000184992 +4 *4161:8 0.000522099 +5 *23476:A *23477:D 6.50586e-05 +6 *23476:A *23691:C 0.000244024 +7 *4161:8 *23759:D 4.3648e-05 +8 *4161:8 *4595:8 9.42726e-05 +9 *23229:A *23476:A 0 +10 *23253:A *23476:A 3.67528e-06 +11 *23253:A *4161:8 4.40506e-05 +12 *23253:B *23476:A 0 +13 *1731:85 *23476:A 5.04734e-05 +14 *3937:32 *23476:A 0 +15 *3938:30 *23476:A 0.00011943 +16 *3938:41 *23476:A 7.75874e-05 +17 *3938:41 *4161:8 0.000289162 +18 *3974:146 *4161:8 2.16355e-05 +*RES +1 *23252:Y *4161:8 20.0418 +2 *4161:8 *23476:A 24.1999 +3 *4161:8 *23253:D 13.7491 +*END + +*D_NET *4162 0.00294033 +*CONN +*I *23257:A I *D sky130_fd_sc_hd__or4_1 +*I *23253:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23257:A 0.000742342 +2 *23253:X 0.000742342 +3 *23257:A *23257:B 3.20069e-06 +4 *23257:A *4163:8 9.2346e-06 +5 *23257:A *4744:20 0.000297357 +6 *23257:A *5455:19 0.00087814 +7 *3980:195 *23257:A 0.000267713 +*RES +1 *23253:X *23257:A 43.2192 +*END + +*D_NET *4163 0.00594824 +*CONN +*I *23528:B I *D sky130_fd_sc_hd__nor2_1 +*I *23691:A I *D sky130_fd_sc_hd__or3_1 +*I *23257:B I *D sky130_fd_sc_hd__or4_1 +*I *23254:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23528:B 0.000718243 +2 *23691:A 9.59748e-05 +3 *23257:B 2.63031e-05 +4 *23254:Y 3.8307e-05 +5 *4163:8 0.000403183 +6 *4163:5 0.00103746 +7 *23257:B *23478:A 5.77352e-05 +8 *23257:B *23847:A 8.01837e-05 +9 *23257:B *4744:20 3.89332e-06 +10 *23528:B *4478:6 0.000318788 +11 *23528:B *4598:13 0.000632194 +12 *23528:B *4744:20 0.00011462 +13 *23528:B *5082:62 0 +14 *23691:A *23693:C 5.04829e-06 +15 *23691:A *4596:5 3.31745e-05 +16 *4163:5 *4598:13 0.000107496 +17 *4163:8 *23847:A 2.4562e-05 +18 *4163:8 *4165:8 9.34769e-05 +19 *4163:8 *4384:10 0.000155379 +20 *4163:8 *4618:20 3.31194e-06 +21 *23148:B1 *23528:B 0.000156823 +22 *23251:A *4163:5 6.08467e-05 +23 *23254:B *4163:8 5.05252e-05 +24 *23257:A *23257:B 3.20069e-06 +25 *23257:A *4163:8 9.2346e-06 +26 *23574:A1 *23528:B 8.62625e-06 +27 *23745:B1 *23528:B 3.31736e-05 +28 *1587:72 *23528:B 0.000116224 +29 *1808:69 *23528:B 0.00084434 +30 *3842:170 *23528:B 4.83758e-05 +31 *3891:15 *4163:8 2.57465e-06 +32 *3980:195 *4163:8 0.00054309 +33 *4051:18 *23528:B 5.04734e-05 +34 *4138:16 *23691:A 7.13972e-05 +*RES +1 *23254:Y *4163:5 10.5271 +2 *4163:5 *4163:8 15.0523 +3 *4163:8 *23257:B 15.1659 +4 *4163:8 *23691:A 16.1364 +5 *4163:5 *23528:B 44.9004 +*END + +*D_NET *4164 0.0019066 +*CONN +*I *23257:C I *D sky130_fd_sc_hd__or4_1 +*I *23478:A I *D sky130_fd_sc_hd__or2_1 +*I *23255:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23257:C 0 +2 *23478:A 0.000188536 +3 *23255:Y 0.000109152 +4 *4164:5 0.000297688 +5 *23478:A *23478:B 0.000156955 +6 *23478:A *23847:A 4.69806e-05 +7 *23478:A *23847:C 0.000162884 +8 *23478:A *4744:20 2.33397e-05 +9 *23478:A *5563:35 6.08467e-05 +10 *4164:5 *23261:A 0.000253916 +11 *23257:B *23478:A 5.77352e-05 +12 *2502:36 *23478:A 0.000273526 +13 *3980:195 *4164:5 0.000151701 +14 *4138:16 *4164:5 0.000123341 +*RES +1 *23255:Y *4164:5 13.8548 +2 *4164:5 *23478:A 26.0663 +3 *4164:5 *23257:C 9.24915 +*END + +*D_NET *4165 0.00124024 +*CONN +*I *23257:D I *D sky130_fd_sc_hd__or4_1 +*I *23847:A I *D sky130_fd_sc_hd__or4_2 +*I *23256:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23257:D 0 +2 *23847:A 7.9713e-05 +3 *23256:Y 0.000160514 +4 *4165:8 0.000240227 +5 *23847:A *23847:C 3.31733e-05 +6 *23847:A *4384:10 0.000245762 +7 *4165:8 *4384:10 8.15058e-05 +8 *23257:B *23847:A 8.01837e-05 +9 *23478:A *23847:A 4.69806e-05 +10 *1731:85 *4165:8 0.000154145 +11 *4163:8 *23847:A 2.4562e-05 +12 *4163:8 *4165:8 9.34769e-05 +*RES +1 *23256:Y *4165:8 17.4137 +2 *4165:8 *23847:A 18.0727 +3 *4165:8 *23257:D 13.7491 +*END + +*D_NET *4166 0.00248561 +*CONN +*I *23261:A I *D sky130_fd_sc_hd__or4_1 +*I *23257:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23261:A 0.000292191 +2 *23257:X 0.000292191 +3 *23261:A *4168:6 0.00031702 +4 *23261:A *4597:29 0.000219662 +5 *3898:35 *23261:A 1.80803e-05 +6 *3980:195 *23261:A 5.51483e-06 +7 *3980:201 *23261:A 0.000400335 +8 *4138:16 *23261:A 0.000686703 +9 *4164:5 *23261:A 0.000253916 +*RES +1 *23257:X *23261:A 41.416 +*END + +*D_NET *4167 0.00241672 +*CONN +*I *23479:A I *D sky130_fd_sc_hd__or2_1 +*I *23261:B I *D sky130_fd_sc_hd__or4_1 +*I *23258:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23479:A 0.000359476 +2 *23261:B 4.51842e-05 +3 *23258:Y 0.00010192 +4 *4167:6 0.00050658 +5 *23261:B *4663:40 6.08467e-05 +6 *23479:A *23480:A 0.000230383 +7 *23479:A *23692:A 0.000318529 +8 *23479:A *23760:B1 2.16783e-05 +9 *23479:A *4236:71 0.000213739 +10 *4167:6 *23480:A 9.19873e-05 +11 *4167:6 *23692:A 1.18203e-05 +12 *4167:6 *4168:6 7.48876e-06 +13 *4167:6 *4169:10 9.85369e-05 +14 *1587:63 *23479:A 8.84097e-05 +15 *2612:41 *23479:A 0.000164829 +16 *3877:164 *23479:A 3.59934e-05 +17 *3877:166 *23479:A 3.39118e-05 +18 *3897:64 *4167:6 2.54084e-05 +*RES +1 *23258:Y *4167:6 17.2421 +2 *4167:6 *23261:B 14.4725 +3 *4167:6 *23479:A 26.1757 +*END + +*D_NET *4168 0.00619091 +*CONN +*I *23530:B I *D sky130_fd_sc_hd__or2_1 +*I *23261:C I *D sky130_fd_sc_hd__or4_1 +*I *23692:A I *D sky130_fd_sc_hd__or3_1 +*I *23259:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23530:B 0.000607595 +2 *23261:C 0 +3 *23692:A 0.000255067 +4 *23259:Y 0 +5 *4168:6 0.000610442 +6 *4168:4 0.000962969 +7 *23530:B *4515:21 0.000654945 +8 *23692:A *23480:B 0.000217937 +9 *23692:A *23692:C 0.000164843 +10 *23692:A *23760:B1 2.15634e-05 +11 *23692:A *4387:10 0.000149628 +12 *23692:A *4597:8 1.87078e-05 +13 *23692:A *4597:29 2.79974e-05 +14 *4168:6 *4169:10 5.4663e-05 +15 *4168:6 *4597:29 8.6297e-06 +16 *23258:A *4168:6 0.000344345 +17 *23261:A *4168:6 0.00031702 +18 *23479:A *23692:A 0.000318529 +19 *1438:83 *23530:B 1.2693e-05 +20 *1744:141 *23530:B 0.000630398 +21 *1808:69 *4168:6 2.50362e-05 +22 *2836:20 *23530:B 0.000118828 +23 *3898:35 *4168:6 0 +24 *3898:37 *4168:6 3.18371e-05 +25 *4106:245 *23530:B 0.000553844 +26 *4126:226 *4168:6 6.40861e-05 +27 *4167:6 *23692:A 1.18203e-05 +28 *4167:6 *4168:6 7.48876e-06 +*RES +1 *23259:Y *4168:4 9.24915 +2 *4168:4 *4168:6 15.8828 +3 *4168:6 *23692:A 23.8552 +4 *4168:6 *23261:C 13.7491 +5 *4168:4 *23530:B 36.3166 +*END + +*D_NET *4169 0.00898675 +*CONN +*I *23744:B I *D sky130_fd_sc_hd__or4_1 +*I *23261:D I *D sky130_fd_sc_hd__or4_1 +*I *23480:A I *D sky130_fd_sc_hd__or2_1 +*I *23260:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23744:B 0 +2 *23261:D 0 +3 *23480:A 0.000372642 +4 *23260:Y 0 +5 *4169:50 0.000921446 +6 *4169:10 0.00114145 +7 *4169:4 0.00169026 +8 *23480:A *23692:C 9.16051e-05 +9 *23480:A *4663:8 5.09103e-05 +10 *23480:A *4663:40 6.00827e-05 +11 *4169:10 *4597:29 7.84066e-05 +12 *4169:50 *23578:A 0.000325034 +13 *4169:50 *23744:A 0.000143032 +14 *4169:50 *4347:8 0.000933782 +15 *4169:50 *4347:10 6.67835e-06 +16 *4169:50 *4347:12 0.000320866 +17 *4169:50 *4484:8 0.000179271 +18 *4169:50 *4528:17 0.000132605 +19 *4169:50 *4647:8 3.31882e-05 +20 *23258:A *4169:10 0.000244379 +21 *23260:B *4169:10 6.08467e-05 +22 *23260:B *4169:50 0.00014642 +23 *23479:A *23480:A 0.000230383 +24 *23577:A *4169:50 0.00012568 +25 *1587:63 *23480:A 1.91246e-05 +26 *3893:26 *4169:50 0.000184946 +27 *3897:64 *23480:A 7.03022e-05 +28 *3897:64 *4169:10 0 +29 *3980:201 *4169:10 0.000139899 +30 *4001:138 *4169:50 1.82679e-05 +31 *4012:10 *4169:50 1.2819e-05 +32 *4012:25 *4169:50 0.000110306 +33 *4137:28 *4169:50 0.000896935 +34 *4167:6 *23480:A 9.19873e-05 +35 *4167:6 *4169:10 9.85369e-05 +36 *4168:6 *4169:10 5.4663e-05 +*RES +1 *23260:Y *4169:4 9.24915 +2 *4169:4 *4169:10 24.1635 +3 *4169:10 *23480:A 28.6874 +4 *4169:10 *23261:D 9.24915 +5 *4169:4 *4169:50 49.7675 +6 *4169:50 *23744:B 13.7491 +*END + +*D_NET *4170 0.000570173 +*CONN +*I *23262:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *23261:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23262:B1 0.000153193 +2 *23261:X 0.000153193 +3 *23262:B1 *23263:B1 0.000107496 +4 *23262:B1 *4663:40 9.54448e-05 +5 *23262:A2 *23262:B1 6.08467e-05 +*RES +1 *23261:X *23262:B1 22.9833 +*END + +*D_NET *4171 0.000793823 +*CONN +*I *23263:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *23262:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *23263:B1 0.000185542 +2 *23262:X 0.000185542 +3 *23263:B1 *4663:40 6.21558e-05 +4 *23262:A1 *23263:B1 0.000107496 +5 *23262:A2 *23263:B1 5.22932e-05 +6 *23262:B1 *23263:B1 0.000107496 +7 *4013:13 *23263:B1 9.32983e-05 +*RES +1 *23262:X *23263:B1 23.6826 +*END + +*D_NET *4172 0.00251618 +*CONN +*I *23265:A I *D sky130_fd_sc_hd__or2_1 +*I *23263:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *23265:A 0 +2 *23263:X 0.000739243 +3 *4172:16 0.000739243 +4 *4172:16 *23482:B1 0.000107052 +5 *4172:16 *23485:A 0.000682907 +6 *4172:16 *4347:8 2.652e-05 +7 *4172:16 *4514:29 4.8676e-05 +8 *4172:16 *4663:40 0.000134959 +9 *3886:8 *4172:16 7.5909e-06 +10 *3893:42 *4172:16 2.99929e-05 +*RES +1 *23263:X *4172:16 41.0942 +2 *4172:16 *23265:A 9.24915 +*END + +*D_NET *4173 0.00334385 +*CONN +*I *23534:B I *D sky130_fd_sc_hd__or2_1 +*I *23694:A I *D sky130_fd_sc_hd__or3_1 +*I *23265:B I *D sky130_fd_sc_hd__or2_1 +*I *23264:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23534:B 0.00012613 +2 *23694:A 8.32145e-05 +3 *23265:B 4.12668e-05 +4 *23264:Y 0.000215029 +5 *4173:10 0.000461587 +6 *4173:7 0.000678265 +7 *23265:B *23482:B1 2.16355e-05 +8 *23265:B *23792:D 1.5613e-05 +9 *23265:B *4389:8 0.000167076 +10 *23534:B *4514:29 0.000284048 +11 *23534:B *4685:10 3.31882e-05 +12 *23694:A *23267:A 3.82228e-05 +13 *23694:A *23482:B1 2.53145e-06 +14 *23694:A *23792:D 0.000158357 +15 *23694:A *4389:8 7.6719e-06 +16 *4173:10 *4514:29 0.000545398 +17 *23272:A *4173:7 4.0752e-05 +18 *3886:8 *23534:B 6.41357e-05 +19 *3886:8 *4173:10 8.71241e-05 +20 *4106:245 *4173:7 0.000203595 +21 *4106:245 *4173:10 6.90079e-05 +*RES +1 *23264:Y *4173:7 17.2456 +2 *4173:7 *4173:10 15.0523 +3 *4173:10 *23265:B 11.0817 +4 *4173:10 *23694:A 12.0704 +5 *4173:7 *23534:B 18.9032 +*END + +*D_NET *4174 0.000702955 +*CONN +*I *23267:A I *D sky130_fd_sc_hd__or2_1 +*I *23265:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23267:A 0.000236551 +2 *23265:X 0.000236551 +3 *23267:A *23267:B 3.82228e-05 +4 *23267:A *23792:D 9.25603e-05 +5 *23267:A *4389:8 6.08467e-05 +6 *23694:A *23267:A 3.82228e-05 +*RES +1 *23265:X *23267:A 23.6585 +*END + +*D_NET *4175 0.00521084 +*CONN +*I *23802:B I *D sky130_fd_sc_hd__or2_1 +*I *23267:B I *D sky130_fd_sc_hd__or2_1 +*I *23483:A I *D sky130_fd_sc_hd__or2_1 +*I *23266:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23802:B 0.000454934 +2 *23267:B 5.07412e-05 +3 *23483:A 0.000126296 +4 *23266:Y 0 +5 *4175:6 0.000464603 +6 *4175:5 0.0007425 +7 *23267:B *23792:D 0.000141642 +8 *23483:A *23485:A 0.000107496 +9 *23802:B *4440:10 0.000134323 +10 *23802:B *4441:10 0.000147561 +11 *23802:B *4870:8 8.28879e-05 +12 *23802:B *4870:10 2.44103e-05 +13 *23155:B *23802:B 0.000182401 +14 *23158:B *23802:B 0.000157839 +15 *23267:A *23267:B 3.82228e-05 +16 *1744:131 *23483:A 0.000111722 +17 *2785:51 *23483:A 0.000201816 +18 *2785:51 *23802:B 0.000184399 +19 *2785:51 *4175:6 0.000582913 +20 *3887:30 *23483:A 0.00018832 +21 *3887:30 *23802:B 0.000287646 +22 *3887:30 *4175:6 0.000583414 +23 *3951:14 *23802:B 2.64856e-05 +24 *4064:10 *23802:B 0.00013413 +25 *4066:17 *23802:B 5.41377e-05 +*RES +1 *23266:Y *4175:5 13.7491 +2 *4175:5 *4175:6 11.3828 +3 *4175:6 *23483:A 18.9354 +4 *4175:6 *23267:B 15.6059 +5 *4175:5 *23802:B 30.6035 +*END + +*D_NET *4176 0.00123611 +*CONN +*I *23269:A I *D sky130_fd_sc_hd__or2_1 +*I *23267:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23269:A 0.000329363 +2 *23267:X 0.000329363 +3 *23269:A *23697:B 9.07365e-05 +4 *23269:A *4289:21 0.000395909 +5 *2561:38 *23269:A 9.07365e-05 +*RES +1 *23267:X *23269:A 33.9359 +*END + +*D_NET *4177 0.00248904 +*CONN +*I *23269:B I *D sky130_fd_sc_hd__or2_1 +*I *23791:A I *D sky130_fd_sc_hd__or2_1 +*I *23268:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23269:B 8.16519e-05 +2 *23791:A 0.000406294 +3 *23268:Y 0.000120869 +4 *4177:8 0.000608815 +5 *23791:A *23484:B 0.000107286 +6 *23791:A *23695:A 0.000283961 +7 *23791:A *23695:B 1.92076e-05 +8 *23791:A *23792:B 1.27966e-05 +9 *23791:A *4391:10 0.000116971 +10 *23791:A *4600:10 0.000266884 +11 *4177:8 *23695:A 0.000150437 +12 *4177:8 *4600:10 0.00015261 +13 *23270:B *23269:B 6.11872e-05 +14 *1515:78 *23791:A 3.92275e-05 +15 *2632:47 *4177:8 6.08467e-05 +*RES +1 *23268:Y *4177:8 17.5503 +2 *4177:8 *23791:A 25.5822 +3 *4177:8 *23269:B 15.5817 +*END + +*D_NET *4178 0.000373728 +*CONN +*I *23271:A I *D sky130_fd_sc_hd__or2_1 +*I *23269:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23271:A 4.67917e-05 +2 *23269:X 4.67917e-05 +3 *23271:A *23271:B 6.08467e-05 +4 *23271:A *4179:5 6.08467e-05 +5 *23270:B *23271:A 0.000158451 +*RES +1 *23269:X *23271:A 20.3309 +*END + +*D_NET *4179 0.00225607 +*CONN +*I *23484:A I *D sky130_fd_sc_hd__or2_1 +*I *23271:B I *D sky130_fd_sc_hd__or2_1 +*I *23270:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23484:A 0.000391187 +2 *23271:B 3.07124e-05 +3 *23270:Y 0.000110737 +4 *4179:5 0.000532636 +5 *23484:A *4289:11 0.000326964 +6 *23484:A *4391:10 0.000107496 +7 *23484:A *4693:15 9.07931e-05 +8 *23484:A *5671:100 0.000129602 +9 *23270:A *4179:5 1.19856e-05 +10 *23270:B *4179:5 6.49003e-05 +11 *23271:A *23271:B 6.08467e-05 +12 *23271:A *4179:5 6.08467e-05 +13 *460:39 *23484:A 0.000171446 +14 *1699:32 *23484:A 0.000160552 +15 *2561:38 *23484:A 5.3627e-06 +*RES +1 *23270:Y *4179:5 12.191 +2 *4179:5 *23271:B 9.97254 +3 *4179:5 *23484:A 30.986 +*END + +*D_NET *4180 0.000416891 +*CONN +*I *23273:A I *D sky130_fd_sc_hd__or2_1 +*I *23271:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23273:A 6.91195e-05 +2 *23271:X 6.91195e-05 +3 *23273:A *4803:12 0.000137561 +4 *23270:A *23273:A 0.000107902 +5 *4008:81 *23273:A 3.31882e-05 +*RES +1 *23271:X *23273:A 30.1608 +*END + +*D_NET *4181 0.00738779 +*CONN +*I *23537:B I *D sky130_fd_sc_hd__or2_1 +*I *23273:B I *D sky130_fd_sc_hd__or2_1 +*I *23695:A I *D sky130_fd_sc_hd__or3_1 +*I *23272:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23537:B 0.000746038 +2 *23273:B 0.000285459 +3 *23695:A 0.000358694 +4 *23272:Y 0 +5 *4181:9 0.000850625 +6 *4181:5 0.00095251 +7 *23537:B *4289:28 7.13972e-05 +8 *23537:B *4392:12 0.000278168 +9 *23537:B *6001:54 6.74322e-05 +10 *23695:A *23485:D 8.23651e-05 +11 *23695:A *23792:B 1.84664e-05 +12 *23695:A *4289:28 5.05252e-05 +13 *23695:A *4392:7 5.04829e-06 +14 *23695:A *4392:12 0.000473192 +15 *23695:A *4600:10 7.62571e-05 +16 *4181:9 *4392:12 7.45845e-05 +17 *4181:9 *6001:54 1.30711e-05 +18 *4181:9 *6001:62 0.000163849 +19 *23158:A *23537:B 0.000192594 +20 *23268:A *23273:B 0.000127536 +21 *23791:A *23695:A 0.000283961 +22 *1515:78 *23695:A 7.92757e-06 +23 *2632:47 *23273:B 1.92481e-05 +24 *3874:115 *23537:B 0.00121945 +25 *4008:49 *23537:B 0.00045461 +26 *4008:54 *23537:B 0.00010623 +27 *4106:245 *23273:B 9.32983e-05 +28 *4106:245 *4181:9 0.000164815 +29 *4177:8 *23695:A 0.000150437 +*RES +1 *23272:Y *4181:5 13.7491 +2 *4181:5 *4181:9 10.2409 +3 *4181:9 *23695:A 30.634 +4 *4181:9 *23273:B 15.5186 +5 *4181:5 *23537:B 42.8863 +*END + +*D_NET *4182 0.00469552 +*CONN +*I *23275:A I *D sky130_fd_sc_hd__or2_1 +*I *23273:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23275:A 0 +2 *23273:X 0.000830278 +3 *4182:8 0.000830278 +4 *4182:8 *4601:14 0.00147967 +5 *4182:8 *4803:12 0.000459228 +6 *23164:A *4182:8 0.000278952 +7 *24527:D *4182:8 0.000139435 +8 *1515:85 *4182:8 1.61631e-05 +9 *1521:62 *4182:8 0.000201334 +10 *2632:47 *4182:8 7.97098e-06 +11 *4009:11 *4182:8 0.000193956 +12 *4009:35 *4182:8 0.000258261 +*RES +1 *23273:X *4182:8 49.5247 +2 *4182:8 *23275:A 13.7491 +*END + +*D_NET *4183 0.00184033 +*CONN +*I *23747:B I *D sky130_fd_sc_hd__or4_2 +*I *23275:B I *D sky130_fd_sc_hd__or2_1 +*I *23486:A I *D sky130_fd_sc_hd__or2_1 +*I *23274:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23747:B 0 +2 *23275:B 0.000252609 +3 *23486:A 0.000126814 +4 *23274:Y 0.000110274 +5 *4183:19 0.000252609 +6 *4183:8 0.000237087 +7 *23275:B *23539:C1 3.82228e-05 +8 *23486:A *23538:A 4.20981e-05 +9 *23486:A *4393:8 0.000200545 +10 *23486:A *4444:6 0.000179672 +11 *4183:8 *23538:A 7.50722e-05 +12 *4183:8 *4393:8 6.43474e-05 +13 *4183:8 *5680:30 0.000107496 +14 *23161:A *23486:A 6.64392e-05 +15 *23163:A *23275:B 6.16561e-05 +16 *23539:A1 *23275:B 0 +17 *4009:35 *23486:A 2.5386e-05 +*RES +1 *23274:Y *4183:8 16.4439 +2 *4183:8 *23486:A 18.7961 +3 *4183:8 *4183:19 4.5 +4 *4183:19 *23275:B 15.0363 +5 *4183:19 *23747:B 9.24915 +*END + +*D_NET *4184 0.00241739 +*CONN +*I *23277:A I *D sky130_fd_sc_hd__or2_1 +*I *23275:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23277:A 0.000485002 +2 *23275:X 0.000485002 +3 *23277:A *20158:A1 0.000110809 +4 *23277:A *4601:14 8.21849e-06 +5 *23277:A *5680:29 0.000111722 +6 *1506:114 *23277:A 9.17297e-05 +7 *1521:62 *23277:A 6.53632e-05 +8 *1587:106 *23277:A 0.000129569 +9 *3368:53 *23277:A 3.25539e-05 +10 *3999:84 *23277:A 0.000118485 +11 *4074:8 *23277:A 0.000778934 +*RES +1 *23275:X *23277:A 44.7269 +*END + +*D_NET *4185 0.00124733 +*CONN +*I *23277:B I *D sky130_fd_sc_hd__or2_1 +*I *23762:A I *D sky130_fd_sc_hd__or4_4 +*I *23276:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23277:B 0 +2 *23762:A 0.000250695 +3 *23276:Y 0.000106374 +4 *4185:5 0.000357069 +5 *23762:A *4665:10 9.60627e-05 +6 *23762:A *5592:19 0.000135701 +7 *4185:5 *23279:A 0.000164815 +8 *4185:5 *5592:19 3.75404e-05 +9 *23276:A *4185:5 3.82228e-05 +10 *23276:B *4185:5 6.08467e-05 +*RES +1 *23276:Y *4185:5 12.7456 +2 *4185:5 *23762:A 15.0122 +3 *4185:5 *23277:B 9.24915 +*END + +*D_NET *4186 0.00196176 +*CONN +*I *23279:A I *D sky130_fd_sc_hd__or2_1 +*I *23277:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23279:A 0.000400542 +2 *23277:X 0.000400542 +3 *1506:114 *23279:A 0.000696141 +4 *4074:8 *23279:A 0.000299717 +5 *4185:5 *23279:A 0.000164815 +*RES +1 *23277:X *23279:A 41.9594 +*END + +*D_NET *4187 0.00104583 +*CONN +*I *23279:B I *D sky130_fd_sc_hd__or2_1 +*I *23487:A I *D sky130_fd_sc_hd__or2_1 +*I *23278:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23279:B 0 +2 *23487:A 8.59998e-05 +3 *23278:Y 9.65949e-05 +4 *4187:10 0.000182595 +5 *23487:A *5456:41 0.000253916 +6 *4187:10 *4601:10 0.000148129 +7 *1506:114 *4187:10 0.000144531 +8 *3671:51 *23487:A 0.000107496 +9 *3999:35 *4187:10 2.65667e-05 +*RES +1 *23278:Y *4187:10 21.635 +2 *4187:10 *23487:A 12.191 +3 *4187:10 *23279:B 9.24915 +*END + +*D_NET *4188 0.00124737 +*CONN +*I *23280:B I *D sky130_fd_sc_hd__or2_1 +*I *23279:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23280:B 0.000305891 +2 *23279:X 0.000305891 +3 *23280:B *4601:10 7.50722e-05 +4 *23280:B *4601:35 1.55462e-05 +5 *23280:B *4803:10 9.03296e-05 +6 *23280:B *4803:12 6.04912e-06 +7 *23280:B *5456:41 0.000217937 +8 *23696:A *23280:B 0.000210866 +9 *1506:114 *23280:B 1.97857e-05 +*RES +1 *23279:X *23280:B 35.87 +*END + +*D_NET *4189 0.00647221 +*CONN +*I *23282:A I *D sky130_fd_sc_hd__or2_1 +*I *23280:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23282:A 8.76607e-05 +2 *23280:X 0 +3 *4189:6 0.00121861 +4 *4189:5 0.00113095 +5 *4189:6 *23857:A2 0.00010562 +6 *4189:6 *23858:A2 2.26985e-05 +7 *4189:6 *23859:A2 6.9663e-05 +8 *4189:6 *4636:17 0.000769687 +9 *4189:6 *4684:40 0.000101148 +10 *4189:6 *4684:47 3.64684e-05 +11 *4189:6 *4739:52 2.09053e-05 +12 *4189:6 *4755:11 4.83126e-05 +13 *23283:B *23282:A 0.000110297 +14 *24091:A1 *4189:6 7.21868e-05 +15 *24092:A1 *4189:6 7.50872e-05 +16 *24092:S *4189:6 0.000195654 +17 *566:144 *4189:6 0.00095808 +18 *3368:27 *4189:6 8.92568e-06 +19 *3671:51 *4189:6 0.000250321 +20 *4074:15 *4189:6 0.000956752 +21 *4074:23 *4189:6 0.000125691 +22 *4106:131 *23282:A 0.000107496 +23 *4106:162 *4189:6 0 +*RES +1 *23280:X *4189:5 13.7491 +2 *4189:5 *4189:6 45.8487 +3 *4189:6 *23282:A 16.1364 +*END + +*D_NET *4190 0.00759207 +*CONN +*I *23825:D I *D sky130_fd_sc_hd__or4_1 +*I *23282:B I *D sky130_fd_sc_hd__or2_1 +*I *23488:A I *D sky130_fd_sc_hd__or2_1 +*I *23281:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23825:D 0.000136078 +2 *23282:B 0.000379135 +3 *23488:A 0 +4 *23281:Y 0 +5 *4190:21 0.000576529 +6 *4190:15 0.00092252 +7 *4190:5 0.000983837 +8 *23825:D *4416:14 0.000501067 +9 *23825:D *5082:101 1.65872e-05 +10 *23825:D *5671:112 0.000334125 +11 *4190:15 *23392:A 6.08375e-05 +12 *4190:15 *23540:A 0.000221993 +13 *4190:15 *23587:B 0.000114455 +14 *4190:15 *4350:8 0.000103044 +15 *4190:15 *4416:14 6.64609e-05 +16 *4190:15 *4492:8 0.000618388 +17 *4190:15 *4636:7 9.19632e-06 +18 *4190:15 *4665:25 6.39593e-05 +19 *4190:15 *4693:35 1.55561e-05 +20 *4190:15 *5671:106 0.000967493 +21 *4190:15 *5671:112 0.000357928 +22 *4190:21 *4416:14 0.000116971 +23 *4190:21 *5671:112 0.000114955 +24 *23283:A *23282:B 0.000260445 +25 *23283:B *23282:B 0.000207154 +26 *23825:C *23825:D 0.000210077 +27 *3781:68 *4190:15 1.67453e-05 +28 *3791:106 *4190:15 0.000100741 +29 *3791:108 *4190:15 0.000115799 +*RES +1 *23281:Y *4190:5 13.7491 +2 *4190:5 *4190:15 46.0202 +3 *4190:15 *23488:A 9.24915 +4 *4190:5 *4190:21 2.24725 +5 *4190:21 *23282:B 21.176 +6 *4190:21 *23825:D 22.1209 +*END + +*D_NET *4191 0.000495106 +*CONN +*I *23284:A I *D sky130_fd_sc_hd__or2_1 +*I *23282:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23284:A 0.000156705 +2 *23282:X 0.000156705 +3 *23284:A *4193:14 2.20457e-05 +4 *23284:A *4575:36 1.61015e-05 +5 *23284:A *4636:17 4.24168e-05 +6 *4074:23 *23284:A 0.000101133 +*RES +1 *23282:X *23284:A 31.7175 +*END + +*D_NET *4192 0.00420058 +*CONN +*I *23284:B I *D sky130_fd_sc_hd__or2_1 +*I *23832:A I *D sky130_fd_sc_hd__or3_1 +*I *23283:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23284:B 0.000244366 +2 *23832:A 0.000530839 +3 *23283:Y 4.51842e-05 +4 *4192:7 0.000820389 +5 *23284:B *4494:18 0.000207394 +6 *23284:B *4693:35 0.000202366 +7 *23832:A *4297:9 0.000681517 +8 *23832:A *4494:18 0.00043186 +9 *23832:A *4665:37 3.30925e-05 +10 *23832:A *4693:33 0.000176921 +11 *23832:A *4693:35 0.000765801 +12 *4192:7 *4665:37 6.08467e-05 +*RES +1 *23283:Y *4192:7 14.4725 +2 *4192:7 *23832:A 35.9285 +3 *4192:7 *23284:B 20.5992 +*END + +*D_NET *4193 0.00538597 +*CONN +*I *23289:A I *D sky130_fd_sc_hd__or3b_1 +*I *23284:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23289:A 0.000273071 +2 *23284:X 0.000849965 +3 *4193:14 0.00112304 +4 *23289:A *23290:B 1.31872e-05 +5 *23289:A *23748:A 0.000207822 +6 *23289:A *4412:11 6.08467e-05 +7 *23289:A *4581:16 0.000211573 +8 *4193:14 *23748:A 0.000140472 +9 *4193:14 *23750:C 0.000171009 +10 *4193:14 *23750:D 6.33211e-05 +11 *4193:14 *23806:B 6.60511e-06 +12 *4193:14 *4495:48 0.000157586 +13 *4193:14 *4575:36 0.00072405 +14 *4193:14 *4636:17 0.00014436 +15 *4193:14 *5671:136 2.27135e-05 +16 *23284:A *4193:14 2.20457e-05 +17 *23805:A *23289:A 0.000465764 +18 *4074:23 *4193:14 0.000487649 +19 *4124:35 *23289:A 7.92757e-06 +20 *4136:36 *4193:14 0.000232969 +*RES +1 *23284:X *4193:14 49.6696 +2 *4193:14 *23289:A 19.0632 +*END + +*D_NET *4194 0.00103324 +*CONN +*I *23672:C1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23286:A I *D sky130_fd_sc_hd__inv_2 +*I *23285:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23672:C1 3.01148e-05 +2 *23286:A 0.000152151 +3 *23285:X 0 +4 *4194:4 0.000182266 +5 *23286:A *23672:B2 2.33397e-05 +6 *23286:A *4557:12 0.000135121 +7 *23286:A *4577:17 0.000205101 +8 *23672:C1 *23672:B2 6.97988e-06 +9 *23672:C1 *4577:17 0 +10 *23285:A *23672:C1 5.04829e-06 +11 *23672:A2 *23672:C1 6.3657e-05 +12 *3846:51 *23286:A 0.000198752 +13 *4077:21 *23672:C1 3.07095e-05 +*RES +1 *23285:X *4194:4 9.24915 +2 *4194:4 *23286:A 24.1294 +3 *4194:4 *23672:C1 10.5513 +*END + +*D_NET *4195 0.00155223 +*CONN +*I *23490:A I *D sky130_fd_sc_hd__or2_2 +*I *23289:B I *D sky130_fd_sc_hd__or3b_1 +*I *23286:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23490:A 0.000108294 +2 *23289:B 0 +3 *23286:Y 0.000250291 +4 *4195:8 0.000358585 +5 *23490:A *23290:B 1.91246e-05 +6 *23490:A *4665:45 6.08467e-05 +7 *3781:68 *23490:A 0.000200197 +8 *3781:68 *4195:8 7.50872e-05 +9 *4077:34 *4195:8 0.000303375 +10 *4081:11 *23490:A 8.55768e-05 +11 *4081:11 *4195:8 3.00073e-05 +12 *4124:35 *23490:A 6.08467e-05 +*RES +1 *23286:Y *4195:8 18.6623 +2 *4195:8 *23289:B 13.7491 +3 *4195:8 *23490:A 18.3808 +*END + +*D_NET *4196 0.00359137 +*CONN +*I *23288:A I *D sky130_fd_sc_hd__or2_1 +*I *23513:A I *D sky130_fd_sc_hd__inv_2 +*I *23287:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23288:A 0.000303295 +2 *23513:A 0.0005354 +3 *23287:X 0 +4 *4196:4 0.000838695 +5 *23513:A *23515:A1 2.16355e-05 +6 *23513:A *4352:39 0.000474108 +7 *23513:A *4695:68 0.000200794 +8 *23089:A *23288:A 0.000101133 +9 *23095:A *23288:A 6.08467e-05 +10 *23214:A *23288:A 3.31745e-05 +11 *23214:B *23288:A 6.08467e-05 +12 *23287:A *23513:A 0.000111722 +13 *23288:B *23288:A 6.08467e-05 +14 *23817:A1 *23513:A 1.34424e-05 +15 *1829:73 *23513:A 9.24241e-05 +16 *3861:271 *23513:A 0.00017422 +17 *3870:47 *23288:A 9.75356e-05 +18 *3958:20 *23288:A 0.000107496 +19 *3989:52 *23288:A 1.07248e-05 +20 *4003:61 *23288:A 0.00013673 +21 *4004:10 *23513:A 7.50722e-05 +22 *4127:36 *23513:A 8.12248e-05 +*RES +1 *23287:X *4196:4 9.24915 +2 *4196:4 *23513:A 33.024 +3 *4196:4 *23288:A 27.0981 +*END + +*D_NET *4197 0.0018859 +*CONN +*I *23505:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23289:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *23288:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23505:B1 0.000135751 +2 *23289:C_N 0.000307144 +3 *23288:X 5.38744e-05 +4 *4197:7 0.00049677 +5 *23289:C_N *23290:B 6.09999e-05 +6 *23289:C_N *23672:B2 1.65872e-05 +7 *23289:C_N *4300:45 9.19632e-06 +8 *23289:C_N *4577:17 0 +9 *23289:C_N *4665:42 3.88655e-06 +10 *23505:B1 *23290:B 3.31882e-05 +11 *23505:B1 *4620:34 0 +12 *23672:A1 *23289:C_N 7.14746e-05 +13 *23672:A1 *23505:B1 0.000224814 +14 *23672:A2 *23289:C_N 1.58551e-05 +15 *1829:77 *23505:B1 0.000107496 +16 *3868:128 *23289:C_N 0.000107496 +17 *3958:20 *23505:B1 6.25467e-05 +18 *3958:20 *4197:7 1.03403e-05 +19 *4077:21 *23289:C_N 5.34123e-05 +20 *4124:20 *23505:B1 7.56859e-06 +21 *4124:35 *23289:C_N 0 +22 *4146:83 *23505:B1 0.000107496 +*RES +1 *23288:X *4197:7 14.4725 +2 *4197:7 *23289:C_N 21.0977 +3 *4197:7 *23505:B1 19.3507 +*END + +*D_NET *4198 0.00162564 +*CONN +*I *23290:B I *D sky130_fd_sc_hd__or2_1 +*I *23289:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *23290:B 0.000511513 +2 *23289:X 0.000511513 +3 *23290:B *4301:40 0.000152189 +4 *23290:B *4620:34 6.3082e-06 +5 *23290:B *4665:42 6.01588e-05 +6 *23289:A *23290:B 1.31872e-05 +7 *23289:C_N *23290:B 6.09999e-05 +8 *23490:A *23290:B 1.91246e-05 +9 *23505:B1 *23290:B 3.31882e-05 +10 *23672:A1 *23290:B 0.000241744 +11 *4081:11 *23290:B 1.5714e-05 +*RES +1 *23289:X *23290:B 39.3643 +*END + +*D_NET *4199 0.0140035 +*CONN +*I *23291:B I *D sky130_fd_sc_hd__nor2_1 +*I *23290:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23291:B 0 +2 *23290:X 7.71196e-05 +3 *4199:8 0.00219779 +4 *4199:7 0.00227491 +5 *4199:8 *23592:C 0.000318306 +6 *4199:8 *23654:B1 1.26119e-05 +7 *4199:8 *4371:42 1.70077e-05 +8 *4199:8 *4397:22 0.00167852 +9 *4199:8 *4450:8 4.06485e-05 +10 *4199:8 *4450:21 0.000789849 +11 *4199:8 *4450:45 0.000529234 +12 *4199:8 *4500:16 0.000407808 +13 *23055:A *4199:8 0.000146098 +14 *23173:B *4199:8 8.01837e-05 +15 *23182:A *4199:8 1.67376e-05 +16 *23628:A2 *4199:8 0.000728684 +17 *23653:A *4199:8 0.000198768 +18 *3781:43 *4199:8 2.53624e-06 +19 *3781:66 *4199:8 6.76486e-05 +20 *3781:68 *4199:8 1.9101e-05 +21 *3791:50 *4199:8 1.88656e-05 +22 *3791:66 *4199:8 0.000371571 +23 *3831:42 *4199:8 0.00114837 +24 *3831:50 *4199:8 0.000131731 +25 *3843:8 *4199:8 0.000174862 +26 *3868:52 *4199:8 0.000358079 +27 *3868:76 *4199:8 0.00036115 +28 *3868:93 *4199:8 0.000390013 +29 *4003:61 *4199:7 0.000111722 +30 *4080:24 *4199:8 0.000855198 +31 *4082:8 *4199:8 0.000116971 +32 *4090:14 *4199:8 0.000273217 +33 *4091:8 *4199:8 0 +34 *4120:34 *4199:8 8.82085e-05 +*RES +1 *23290:X *4199:7 15.0271 +2 *4199:7 *4199:8 98.5858 +3 *4199:8 *23291:B 13.7491 +*END + +*D_NET *4200 0.00135437 +*CONN +*I *23292:B I *D sky130_fd_sc_hd__nand2_1 +*I *23291:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23292:B 0.000262519 +2 *23291:Y 0.000262519 +3 *23291:A *23292:B 0.000215704 +4 *23593:A *23292:B 0.00013592 +5 *3854:6 *23292:B 0.000169093 +6 *4092:23 *23292:B 0.00030861 +*RES +1 *23291:Y *23292:B 35.4548 +*END + +*D_NET *4201 0.000941794 +*CONN +*I *23293:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *23292:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23293:B1_N 0.000218628 +2 *23292:Y 0.000218628 +3 *23293:B1_N *4500:16 0.000122083 +4 *23293:B1_N *4538:15 0.000260374 +5 *4091:8 *23293:B1_N 0.000122083 +*RES +1 *23292:Y *23293:B1_N 32.6874 +*END + +*D_NET *4202 0.000458378 +*CONN +*I *23294:C I *D sky130_fd_sc_hd__or3_1 +*I *23293:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *23294:C 0.00018134 +2 *23293:Y 0.00018134 +3 *23212:A *23294:C 3.07481e-05 +4 *23212:B *23294:C 0 +5 *23293:A1 *23294:C 3.01683e-06 +6 *23293:A2 *23294:C 1.55025e-05 +7 *3833:279 *23294:C 1.78704e-05 +8 *3978:51 *23294:C 2.85602e-05 +*RES +1 *23293:Y *23294:C 22.7663 +*END + +*D_NET *4203 0.000636298 +*CONN +*I *23295:B I *D sky130_fd_sc_hd__or2_1 +*I *23294:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23295:B 6.53422e-05 +2 *23294:X 6.53422e-05 +3 *23295:B *4697:8 0.000104731 +4 *3849:66 *23295:B 0.000113968 +5 *3872:12 *23295:B 1.70077e-05 +6 *4020:24 *23295:B 0.000151741 +7 *4119:8 *23295:B 0.000118166 +*RES +1 *23294:X *23295:B 31.4388 +*END + +*D_NET *4204 0.000693355 +*CONN +*I *23296:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *23295:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23296:B1 0.000160762 +2 *23295:X 0.000160762 +3 *23296:B1 *4697:16 0.000122068 +4 *23546:A *23296:B1 1.65872e-05 +5 *3872:12 *23296:B1 0.00012568 +6 *4119:8 *23296:B1 0.000107496 +*RES +1 *23295:X *23296:B1 31.5781 +*END + +*D_NET *4205 0.000777105 +*CONN +*I *23297:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23296:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *23297:B1 0.000200941 +2 *23296:X 0.000200941 +3 *23297:B1 *4561:14 9.32891e-05 +4 *23751:A2 *23297:B1 5.22654e-06 +5 *4001:250 *23297:B1 0.000157806 +6 *4118:8 *23297:B1 0.000118901 +*RES +1 *23296:X *23297:B1 31.9934 +*END + +*D_NET *4206 0.00172744 +*CONN +*I *23298:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *23297:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *23298:D_N 0.000445998 +2 *23297:Y 0.000445998 +3 *23298:D_N *4561:27 0.000484901 +4 *22920:A *23298:D_N 3.75382e-05 +5 *22920:B *23298:D_N 6.08467e-05 +6 *23297:A1 *23298:D_N 2.18035e-05 +7 *23297:A2 *23298:D_N 6.08467e-05 +8 *3829:16 *23298:D_N 0.000109747 +9 *3830:5 *23298:D_N 5.97576e-05 +*RES +1 *23297:Y *23298:D_N 30.9166 +*END + +*D_NET *4207 0.00066371 +*CONN +*I *23299:B I *D sky130_fd_sc_hd__nor2_1 +*I *23298:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *23299:B 0.000102295 +2 *23298:X 0.000102295 +3 *23299:B *4873:54 0.000171593 +4 *23299:B *5801:92 0.000171593 +5 *23298:C *23299:B 0.000115934 +*RES +1 *23298:X *23299:B 31.854 +*END + +*D_NET *4208 0.00129753 +*CONN +*I *23301:A I *D sky130_fd_sc_hd__or2_1 +*I *23299:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23301:A 0.000308649 +2 *23299:Y 0.000308649 +3 *23301:A *4371:30 0.000299041 +4 *23301:A *4371:36 1.94327e-05 +5 *23301:A *4611:12 0.000351415 +6 *4114:7 *23301:A 1.03403e-05 +*RES +1 *23299:Y *23301:A 36.4246 +*END + +*D_NET *4209 0.0182206 +*CONN +*I *23753:B I *D sky130_fd_sc_hd__or4_2 +*I *23681:B I *D sky130_fd_sc_hd__or4b_1 +*I *23552:A I *D sky130_fd_sc_hd__or2_1 +*I *23301:B I *D sky130_fd_sc_hd__or2_1 +*I *23300:Y O *D sky130_fd_sc_hd__o31ai_4 +*CAP +1 *23753:B 0.000316178 +2 *23681:B 0.000284284 +3 *23552:A 0 +4 *23301:B 0 +5 *23300:Y 0.00085355 +6 *4209:40 0.00150151 +7 *4209:27 0.00131866 +8 *4209:15 0.00139364 +9 *4209:10 0.00182958 +10 *23681:B *23707:B1 0.000107496 +11 *23681:B *24253:CLK 3.28898e-06 +12 *23681:B *5458:65 5.12412e-05 +13 *4209:10 *4319:8 0.000406663 +14 *4209:15 *23411:B 0.000737164 +15 *4209:15 *4319:8 0.000178385 +16 *4209:15 *4319:10 0.000165495 +17 *4209:15 *4508:8 1.43848e-05 +18 *4209:15 *4611:10 0.000930519 +19 *4209:15 *4611:12 0.000592076 +20 *4209:15 *5801:92 0.000486015 +21 *4209:27 *4371:30 0.000145364 +22 *4209:27 *4371:36 0.000470268 +23 *4209:40 *23602:A 5.40828e-05 +24 *4209:40 *23603:B_N 0.000127179 +25 *4209:40 *4371:36 0 +26 *4209:40 *4664:40 0.000131524 +27 *4209:40 *5458:65 0.000547956 +28 *4209:40 *5925:17 0.000193994 +29 *21264:A *4209:10 0.000260809 +30 *23200:B *4209:15 0.000153225 +31 *23681:A *23753:B 8.93134e-05 +32 *23753:A *23753:B 2.16355e-05 +33 *1483:13 *4209:40 0.000601883 +34 *2327:13 *4209:10 0.000685851 +35 *2327:13 *4209:15 1.62321e-05 +36 *3958:28 *23681:B 9.19967e-05 +37 *3958:28 *4209:40 0.00190834 +38 *3971:12 *4209:27 0.000778485 +39 *3971:12 *4209:40 8.93566e-05 +40 *4003:29 *4209:10 0.000683001 +*RES +1 *23300:Y *4209:10 38.8493 +2 *4209:10 *4209:15 46.712 +3 *4209:15 *23301:B 9.24915 +4 *4209:15 *4209:27 26.1243 +5 *4209:27 *23552:A 9.24915 +6 *4209:27 *4209:40 47.4081 +7 *4209:40 *23681:B 19.4267 +8 *4209:40 *23753:B 20.0427 +*END + +*D_NET *4210 0.000679626 +*CONN +*I *23412:A I *D sky130_fd_sc_hd__and2_1 +*I *23301:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23412:A 0.000108608 +2 *23301:X 0.000108608 +3 *23412:A *4371:30 0.000125695 +4 *23412:A *4538:15 0.000207266 +5 *23412:A *4550:11 7.89747e-05 +6 *3971:12 *23412:A 5.04734e-05 +*RES +1 *23301:X *23412:A 32.1327 +*END + +*D_NET *4211 0.00759276 +*CONN +*I *23409:A I *D sky130_fd_sc_hd__nor2_1 +*I *23500:B I *D sky130_fd_sc_hd__or2_1 +*I *23631:A I *D sky130_fd_sc_hd__or3_1 +*I *23550:A I *D sky130_fd_sc_hd__or2_1 +*I *23302:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23409:A 0.000159157 +2 *23500:B 1.98947e-05 +3 *23631:A 9.25409e-05 +4 *23550:A 6.26294e-05 +5 *23302:Y 0.000101136 +6 *4211:31 0.00048994 +7 *4211:11 0.000589931 +8 *4211:7 0.000846785 +9 *23409:A *23411:A 0.000260374 +10 *23409:A *24876:CLK 7.15724e-05 +11 *23409:A *4407:8 0.000167076 +12 *23500:B *23411:A 2.16355e-05 +13 *23550:A *4457:8 0.000107496 +14 *23631:A *4371:36 0.000346333 +15 *4211:11 *4664:40 0 +16 *4211:11 *5925:17 0.0001184 +17 *4211:31 *24876:CLK 0.0001854 +18 *23298:C *23550:A 3.82228e-05 +19 *657:224 *23409:A 1.44431e-05 +20 *3788:50 *23631:A 0.000341222 +21 *3788:66 *23409:A 2.01653e-05 +22 *3788:66 *23550:A 1.65872e-05 +23 *3788:66 *4211:11 0.00115138 +24 *3788:66 *4211:31 0.000777153 +25 *3971:8 *4211:11 0.000197488 +26 *3971:8 *4211:31 0.000386187 +27 *3971:12 *4211:11 0.000937539 +28 *4106:47 *4211:7 3.24705e-06 +29 *4126:48 *23409:A 7.98425e-06 +30 *4126:48 *23500:B 6.08467e-05 +*RES +1 *23302:Y *4211:7 15.0271 +2 *4211:7 *4211:11 26.575 +3 *4211:11 *23550:A 11.0817 +4 *4211:11 *23631:A 24.2337 +5 *4211:7 *4211:31 14.7781 +6 *4211:31 *23500:B 14.4725 +7 *4211:31 *23409:A 19.6509 +*END + +*D_NET *4212 0.0127903 +*CONN +*I *23549:B I *D sky130_fd_sc_hd__or3_1 +*I *23679:B I *D sky130_fd_sc_hd__or3_1 +*I *23789:C I *D sky130_fd_sc_hd__or3_1 +*I *23767:A I *D sky130_fd_sc_hd__or3_1 +*I *23456:B I *D sky130_fd_sc_hd__or2_2 +*I *23408:A I *D sky130_fd_sc_hd__or3_1 +*I *23303:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23549:B 0 +2 *23679:B 0 +3 *23789:C 0.000143488 +4 *23767:A 0.000121262 +5 *23456:B 1.77893e-05 +6 *23408:A 9.83685e-05 +7 *23303:Y 0 +8 *4212:40 0.000589672 +9 *4212:38 0.000886985 +10 *4212:17 0.000213664 +11 *4212:8 0.000751227 +12 *4212:4 0.00101905 +13 *23408:A *23408:C 0.000260388 +14 *23408:A *4213:31 2.16355e-05 +15 *23408:A *4213:40 6.23875e-05 +16 *23456:B *4874:29 0.000113968 +17 *23456:B *4882:168 0.000113968 +18 *23767:A *23706:A 9.98029e-06 +19 *23767:A *23764:A 0.00011818 +20 *23767:A *4213:40 5.7648e-05 +21 *23767:A *4670:17 7.34948e-06 +22 *23789:C *23789:A 6.08467e-05 +23 *23789:C *23790:A 0.000165481 +24 *23789:C *4322:10 8.52802e-05 +25 *23789:C *4371:42 0.000260374 +26 *23789:C *4584:6 4.55535e-05 +27 *23789:C *4873:54 1.2819e-05 +28 *4212:8 *4213:40 2.71397e-05 +29 *4212:8 *4214:35 4.31025e-05 +30 *4212:8 *4363:13 8.39223e-05 +31 *4212:8 *4363:14 5.92192e-05 +32 *4212:17 *4213:40 6.74667e-05 +33 *4212:38 *4213:6 0.00114793 +34 *4212:38 *4363:13 2.65667e-05 +35 *4212:40 *23454:B 3.75608e-05 +36 *4212:40 *23598:A 4.06401e-05 +37 *4212:40 *4213:6 0.00103775 +38 *4212:40 *4322:8 0.000290644 +39 *4212:40 *4322:10 0.000168546 +40 *23062:A2 *4212:8 0.000385364 +41 *23414:A *4212:40 0.000368199 +42 *23679:A *23789:C 8.52802e-05 +43 *23679:A *4212:40 0.000511882 +44 *3824:24 *4212:8 0.000240615 +45 *3825:12 *4212:38 0.000296619 +46 *3825:14 *4212:38 0.000236506 +47 *3825:14 *4212:40 0.000696085 +48 *3825:35 *4212:8 0.000146718 +49 *3825:35 *4212:38 0.000121135 +50 *3974:39 *23767:A 0.000214073 +51 *3974:39 *4212:8 0.000971528 +52 *3974:39 *4212:17 0.000161966 +53 *4034:51 *23789:C 8.64351e-05 +*RES +1 *23303:Y *4212:4 9.24915 +2 *4212:4 *4212:8 27.8208 +3 *4212:8 *23408:A 17.2456 +4 *4212:8 *4212:17 3.07775 +5 *4212:17 *23456:B 15.0271 +6 *4212:17 *23767:A 18.9354 +7 *4212:4 *4212:38 25.3265 +8 *4212:38 *4212:40 27.9929 +9 *4212:40 *23789:C 21.0145 +10 *4212:40 *23679:B 13.7491 +11 *4212:38 *23549:B 13.7491 +*END + +*D_NET *4213 0.01207 +*CONN +*I *23408:B I *D sky130_fd_sc_hd__or3_1 +*I *23706:A I *D sky130_fd_sc_hd__or4b_2 +*I *23767:B I *D sky130_fd_sc_hd__or3_1 +*I *23599:B I *D sky130_fd_sc_hd__or2_1 +*I *23454:B I *D sky130_fd_sc_hd__or3_1 +*I *23304:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23408:B 0 +2 *23706:A 0.000311792 +3 *23767:B 0 +4 *23599:B 1.98947e-05 +5 *23454:B 0.000339719 +6 *23304:Y 0 +7 *4213:40 0.000628409 +8 *4213:31 0.000602646 +9 *4213:6 0.00134401 +10 *4213:5 0.00127042 +11 *23454:B *23454:C 3.4123e-05 +12 *23454:B *4873:54 0.000482275 +13 *23706:A *23706:C 2.64419e-05 +14 *23706:A *23706:D_N 6.50727e-05 +15 *23706:A *23767:C 0.000302336 +16 *23706:A *4363:48 7.67978e-05 +17 *23706:A *4611:10 1.19721e-05 +18 *23706:A *4670:17 0.000209961 +19 *4213:6 *4363:13 0.000456207 +20 *4213:6 *4873:54 0.00104248 +21 *4213:31 *4363:13 0.000628319 +22 *4213:31 *4407:8 7.50722e-05 +23 *4213:31 *4873:54 0.000246994 +24 *23408:A *4213:31 2.16355e-05 +25 *23408:A *4213:40 6.23875e-05 +26 *23599:A *23599:B 2.16355e-05 +27 *23601:A2 *4213:6 0.000320272 +28 *23679:A *23454:B 0.000435228 +29 *23767:A *23706:A 9.98029e-06 +30 *23767:A *4213:40 5.7648e-05 +31 *23768:A *23706:A 0.00021206 +32 *23768:A *4213:40 0.000151574 +33 *3825:35 *4213:6 1.14755e-05 +34 *3825:35 *4213:40 0.000212491 +35 *3860:58 *23599:B 6.08467e-05 +36 *3974:39 *23706:A 0 +37 *4212:8 *4213:40 2.71397e-05 +38 *4212:17 *4213:40 6.74667e-05 +39 *4212:38 *4213:6 0.00114793 +40 *4212:40 *23454:B 3.75608e-05 +41 *4212:40 *4213:6 0.00103775 +*RES +1 *23304:Y *4213:5 13.7491 +2 *4213:5 *4213:6 47.0945 +3 *4213:6 *23454:B 25.5162 +4 *4213:6 *23599:B 14.4725 +5 *4213:5 *4213:31 16.6062 +6 *4213:31 *4213:40 14.0514 +7 *4213:40 *23767:B 13.7491 +8 *4213:40 *23706:A 24.9152 +9 *4213:31 *23408:B 9.24915 +*END + +*D_NET *4214 0.0120927 +*CONN +*I *23414:B I *D sky130_fd_sc_hd__or2_1 +*I *23723:B I *D sky130_fd_sc_hd__or2_1 +*I *23407:A I *D sky130_fd_sc_hd__or2_1 +*I *23704:B I *D sky130_fd_sc_hd__or2_1 +*I *23305:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *23414:B 4.13267e-05 +2 *23723:B 0.000269541 +3 *23407:A 0.000303715 +4 *23704:B 0 +5 *23305:Y 0 +6 *4214:40 0.000990854 +7 *4214:35 0.00142165 +8 *4214:8 0.000566822 +9 *4214:4 0.00100477 +10 *23407:A *4315:11 0.000264586 +11 *23407:A *4673:8 0.000254343 +12 *23407:A *5452:67 0.000111722 +13 *23723:B *23598:B 7.45404e-05 +14 *23723:B *23628:C1 0.000185913 +15 *23723:B *23821:B 0 +16 *23723:B *4363:14 0.000368782 +17 *23723:B *4504:6 0.00028913 +18 *4214:8 *4673:8 0.000466915 +19 *4214:35 *4363:14 0.000100927 +20 *4214:40 *4582:8 3.60268e-05 +21 *23187:A1 *4214:8 0.000132567 +22 *23193:A1 *4214:35 3.16685e-05 +23 *23193:A2 *4214:35 6.02064e-05 +24 *23193:B1 *4214:35 1.42502e-05 +25 *23195:A *4214:35 0.000135188 +26 *23195:B *4214:35 0.000418525 +27 *23305:B *4214:8 0.000107496 +28 *23597:B2 *4214:40 0.000111708 +29 *3829:16 *4214:40 6.18026e-05 +30 *3829:20 *4214:40 0.00128498 +31 *3830:17 *23414:B 2.41274e-06 +32 *3860:58 *23414:B 0.000107496 +33 *3860:58 *4214:40 0.000247443 +34 *3872:10 *4214:8 3.0951e-05 +35 *3974:39 *4214:35 5.97975e-05 +36 *3974:49 *4214:35 1.03743e-05 +37 *3974:49 *4214:40 0.00194525 +38 *3976:17 *4214:35 2.11063e-05 +39 *3981:23 *4214:35 0 +40 *4034:33 *23407:A 4.09467e-05 +41 *4034:33 *4214:8 0.000107294 +42 *4103:18 *4214:35 6.20492e-05 +43 *4103:18 *4214:40 0.000154914 +44 *4126:45 *23407:A 0.000149628 +45 *4212:8 *4214:35 4.31025e-05 +*RES +1 *23305:Y *4214:4 9.24915 +2 *4214:4 *4214:8 14.254 +3 *4214:8 *23704:B 13.7491 +4 *4214:8 *23407:A 32.5727 +5 *4214:4 *4214:35 23.6517 +6 *4214:35 *4214:40 41.4559 +7 *4214:40 *23723:B 27.6148 +8 *4214:40 *23414:B 10.5271 +*END + +*D_NET *4215 0.000755247 +*CONN +*I *23307:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *23394:B2 I *D sky130_fd_sc_hd__o32a_1 +*I *23306:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23307:B1 0 +2 *23394:B2 9.36225e-05 +3 *23306:Y 0.000174618 +4 *4215:9 0.000268241 +5 *23394:B2 *4216:8 6.08467e-05 +6 *4215:9 *4216:8 5.43386e-05 +7 *23306:B *4215:9 1.08178e-06 +8 *23307:A2 *4215:9 2.16355e-05 +9 *23394:A3 *23394:B2 2.16355e-05 +10 *3792:21 *23394:B2 2.20702e-05 +11 *3792:21 *4215:9 2.85274e-05 +12 *3792:33 *4215:9 8.62957e-06 +13 *3792:37 *4215:9 0 +*RES +1 *23306:Y *4215:9 12.9385 +2 *4215:9 *23394:B2 11.6605 +3 *4215:9 *23307:B1 9.24915 +*END + +*D_NET *4216 0.00177882 +*CONN +*I *23462:A I *D sky130_fd_sc_hd__or2_1 +*I *23395:A I *D sky130_fd_sc_hd__or3b_1 +*I *23308:A I *D sky130_fd_sc_hd__inv_2 +*I *23307:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *23462:A 0.000143152 +2 *23395:A 0 +3 *23308:A 8.63994e-05 +4 *23307:Y 0.000143113 +5 *4216:19 0.000143152 +6 *4216:8 0.000229513 +7 *23308:A *23464:A 6.50586e-05 +8 *23308:A *4223:6 3.06126e-05 +9 *23308:A *4223:8 6.17339e-05 +10 *23308:A *4370:11 6.92705e-05 +11 *23462:A *23462:B 0.000213725 +12 *4216:8 *4223:6 1.5254e-05 +13 *23394:A3 *23462:A 2.15348e-05 +14 *23394:A3 *4216:8 9.60366e-05 +15 *23394:B1 *23308:A 0.000191541 +16 *23394:B1 *4216:8 0.000134323 +17 *23394:B2 *4216:8 6.08467e-05 +18 *4126:299 *23462:A 1.92172e-05 +19 *4215:9 *4216:8 5.43386e-05 +*RES +1 *23307:Y *4216:8 17.7258 +2 *4216:8 *23308:A 17.9655 +3 *4216:8 *4216:19 4.5 +4 *4216:19 *23395:A 9.24915 +5 *4216:19 *23462:A 13.3243 +*END + +*D_NET *4217 0.00214998 +*CONN +*I *23467:B I *D sky130_fd_sc_hd__or3_4 +*I *23315:B I *D sky130_fd_sc_hd__or3_1 +*I *23700:B I *D sky130_fd_sc_hd__nor3_1 +*I *23308:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23467:B 0 +2 *23315:B 3.63633e-05 +3 *23700:B 4.47661e-05 +4 *23308:Y 0.000210912 +5 *4217:13 0.000390572 +6 *4217:8 0.000520355 +7 *23315:B *4374:12 6.08467e-05 +8 *23700:B *4304:6 9.29777e-05 +9 *4217:8 *23467:C 0.000110978 +10 *4217:8 *4370:11 0.0002646 +11 *4217:8 *5624:18 0.000124073 +12 *4217:13 *23467:C 9.89388e-06 +13 *4217:13 *4223:20 5.51483e-06 +14 *4217:13 *4374:12 1.41976e-05 +15 *4217:13 *5624:18 8.23577e-05 +16 *23467:A *4217:13 8.85947e-05 +17 *3800:48 *23700:B 9.29777e-05 +*RES +1 *23308:Y *4217:8 18.9382 +2 *4217:8 *4217:13 9.96776 +3 *4217:13 *23700:B 20.0811 +4 *4217:13 *23315:B 9.97254 +5 *4217:8 *23467:B 13.7491 +*END + +*D_NET *4218 0.00128473 +*CONN +*I *23463:A I *D sky130_fd_sc_hd__or3b_1 +*I *23314:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23309:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *23463:A 0.000163137 +2 *23314:A1 0 +3 *23309:X 0.00010782 +4 *4218:8 0.000270957 +5 *23463:A *23314:A2 0.000145234 +6 *23463:A *23314:B1 6.08467e-05 +7 *4218:8 *4223:6 5.41377e-05 +8 *4218:8 *5624:18 0.000349931 +9 *3792:10 *4218:8 0.000132665 +*RES +1 *23309:X *4218:8 24.2337 +2 *4218:8 *23314:A1 9.24915 +3 *4218:8 *23463:A 14.0718 +*END + +*D_NET *4219 0.0114303 +*CONN +*I *23410:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *23321:A I *D sky130_fd_sc_hd__nor2_1 +*I *23491:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23311:A I *D sky130_fd_sc_hd__inv_2 +*I *23310:X O *D sky130_fd_sc_hd__or3_4 +*CAP +1 *23410:A2 0.000425288 +2 *23321:A 1.14991e-05 +3 *23491:A1 3.6352e-05 +4 *23311:A 0.000411443 +5 *23310:X 0.00013238 +6 *4219:34 0.00054972 +7 *4219:23 0.00107988 +8 *4219:22 0.00205191 +9 *4219:5 0.00166514 +10 *23311:A *5632:16 0.000271046 +11 *23321:A *4374:22 6.27782e-05 +12 *23410:A2 *23410:A1 0.00074531 +13 *4219:23 *4244:16 0.000189315 +14 *4219:23 *4244:43 6.86098e-05 +15 *4219:34 *4230:11 6.93171e-05 +16 *4219:34 *4244:43 7.92757e-06 +17 *22893:B *23311:A 0.000253826 +18 *22893:B *4219:22 0 +19 *23097:B *4219:22 0 +20 *2328:13 *23321:A 6.27782e-05 +21 *3792:56 *4219:22 0.000238831 +22 *3792:60 *4219:22 0.000120863 +23 *3866:16 *4219:22 0.000282018 +24 *3866:18 *4219:22 0.00105949 +25 *3866:22 *4219:22 0.000648144 +26 *3925:11 *4219:23 0.00025233 +27 *3984:6 *23311:A 0.000253826 +28 *3985:28 *4219:5 2.41274e-06 +29 *4006:6 *4219:22 0.000114112 +30 *4134:8 *23410:A2 0.000181635 +31 *4134:8 *4219:34 1.03594e-05 +32 *4134:16 *4219:34 0.000118017 +33 *4146:10 *23410:A2 4.59656e-05 +34 *4146:10 *4219:34 7.74044e-06 +*RES +1 *23310:X *4219:5 11.6364 +2 *4219:5 *23311:A 31.6151 +3 *4219:5 *4219:22 48.6578 +4 *4219:22 *4219:23 14.5885 +5 *4219:23 *23491:A1 10.2378 +6 *4219:23 *4219:34 7.47064 +7 *4219:34 *23321:A 14.4725 +8 *4219:34 *23410:A2 27.4179 +*END + +*D_NET *4220 0.00113532 +*CONN +*I *23463:B I *D sky130_fd_sc_hd__or3b_1 +*I *23314:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *23311:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23463:B 0 +2 *23314:A2 0.000260987 +3 *23311:Y 0.000134351 +4 *4220:10 0.000395338 +5 *23314:A2 *23314:B1 6.08467e-05 +6 *23314:A2 *4370:10 2.41274e-06 +7 *4220:10 *5629:23 1.79672e-05 +8 *4220:10 *5632:16 0.00011818 +9 *23463:A *23314:A2 0.000145234 +10 *3792:10 *4220:10 0 +*RES +1 *23311:Y *4220:10 20.9439 +2 *4220:10 *23314:A2 15.9767 +3 *4220:10 *23463:B 9.24915 +*END + +*D_NET *4221 0.000658086 +*CONN +*I *23313:A2_N I *D sky130_fd_sc_hd__a2bb2o_1 +*I *23312:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23313:A2_N 0.000181675 +2 *23312:Y 0.000181675 +3 *23313:A2_N *4370:10 1.12605e-05 +4 *23313:A2_N *5629:23 0.000153225 +5 *23313:A2_N *5631:16 0.000127179 +6 *23312:B *23313:A2_N 3.072e-06 +*RES +1 *23312:Y *23313:A2_N 31.9934 +*END + +*D_NET *4222 0.000799707 +*CONN +*I *23463:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *23314:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23313:X O *D sky130_fd_sc_hd__a2bb2o_1 +*CAP +1 *23463:C_N 0 +2 *23314:B1 1.47608e-05 +3 *23313:X 0.000175462 +4 *4222:10 0.000190223 +5 *4222:10 *4370:10 0 +6 *4222:10 *5629:23 0 +7 *4222:10 *5801:107 0.000175485 +8 *23314:A2 *23314:B1 6.08467e-05 +9 *23463:A *23314:B1 6.08467e-05 +10 *3792:10 *4222:10 0.000122083 +*RES +1 *23313:X *4222:10 22.329 +2 *4222:10 *23314:B1 9.97254 +3 *4222:10 *23463:C_N 9.24915 +*END + +*D_NET *4223 0.0053254 +*CONN +*I *23395:B I *D sky130_fd_sc_hd__or3b_1 +*I *23494:A I *D sky130_fd_sc_hd__or3_1 +*I *23315:C I *D sky130_fd_sc_hd__or3_1 +*I *23700:C I *D sky130_fd_sc_hd__nor3_1 +*I *23314:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *23395:B 0 +2 *23494:A 0.000143873 +3 *23315:C 0 +4 *23700:C 0.000193186 +5 *23314:Y 0.000520412 +6 *4223:20 0.000248666 +7 *4223:8 0.000461901 +8 *4223:6 0.000684333 +9 *23494:A *23494:C 1.34784e-05 +10 *23494:A *4374:12 0.000236253 +11 *23700:C *4605:10 7.01935e-06 +12 *23700:C *5624:18 9.02861e-05 +13 *4223:6 *4303:10 0.000118485 +14 *4223:6 *4370:10 0 +15 *4223:6 *5624:18 0.000577336 +16 *4223:8 *5624:18 0.000129045 +17 *4223:20 *4374:12 2.58616e-05 +18 *23307:A1 *4223:6 0 +19 *23308:A *4223:6 3.06126e-05 +20 *23308:A *4223:8 6.17339e-05 +21 *23394:A3 *4223:6 0.000169093 +22 *23394:B1 *4223:8 8.21849e-06 +23 *23467:A *4223:20 0.000160047 +24 *2328:13 *23700:C 0.000160047 +25 *3792:10 *4223:6 0.0011346 +26 *3800:42 *23700:C 3.87022e-06 +27 *3800:42 *4223:8 3.06647e-05 +28 *3800:48 *23700:C 4.14715e-05 +29 *4216:8 *4223:6 1.5254e-05 +30 *4217:13 *4223:20 5.51483e-06 +31 *4218:8 *4223:6 5.41377e-05 +*RES +1 *23314:Y *4223:6 38.8353 +2 *4223:6 *4223:8 5.56926 +3 *4223:8 *23700:C 19.49 +4 *4223:8 *4223:20 7.44181 +5 *4223:20 *23315:C 9.24915 +6 *4223:20 *23494:A 13.4931 +7 *4223:6 *23395:B 13.7491 +*END + +*D_NET *4224 0.00263124 +*CONN +*I *23316:A I *D sky130_fd_sc_hd__clkinvlp_2 +*I *23330:B I *D sky130_fd_sc_hd__or2_1 +*I *23315:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23316:A 0.000206112 +2 *23330:B 0 +3 *23315:X 0.000363127 +4 *4224:10 0.000569239 +5 *23316:A *23461:B2 5.04829e-06 +6 *23316:A *4239:5 1.65872e-05 +7 *4224:10 *23315:A 9.29919e-05 +8 *4224:10 *23461:A1 8.03699e-06 +9 *4224:10 *23461:B1 0.000136457 +10 *4224:10 *4304:6 0.000168455 +11 *4224:10 *4374:12 0.000107496 +12 *4224:10 *5631:20 0.00062843 +13 *23330:A *23316:A 0.000141245 +14 *23461:A3 *23316:A 0.000119483 +15 *3974:11 *23316:A 6.85321e-05 +*RES +1 *23315:X *4224:10 31.3252 +2 *4224:10 *23330:B 9.24915 +3 *4224:10 *23316:A 15.5668 +*END + +*D_NET *4225 0.0063906 +*CONN +*I *23457:B I *D sky130_fd_sc_hd__and4b_1 +*I *23406:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23405:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23316:Y O *D sky130_fd_sc_hd__clkinvlp_2 +*CAP +1 *23457:B 0 +2 *23406:A2 0.000400423 +3 *23405:A3 0.000103278 +4 *23316:Y 0.000764422 +5 *4225:20 0.00120024 +6 *4225:11 0.00146096 +7 *23405:A3 *23405:B1 5.04829e-06 +8 *23405:A3 *23406:B1 2.02035e-05 +9 *23406:A2 *23405:B1 0 +10 *23406:A2 *23406:A3 2.20526e-05 +11 *23406:A2 *23406:B1 4.95809e-05 +12 *4225:11 *4227:10 4.54077e-05 +13 *4225:20 *4227:18 6.98337e-06 +14 *4225:20 *4364:13 4.61732e-05 +15 *4225:20 *4668:9 0.000104731 +16 *23197:A *4225:11 7.06485e-05 +17 *23197:A *4225:20 4.70005e-05 +18 *23330:A *4225:11 0.000160491 +19 *23406:A1 *23406:A2 2.73193e-05 +20 *23457:A_N *4225:20 3.01683e-06 +21 *23457:D *4225:11 4.44663e-05 +22 *23457:D *4225:20 6.78619e-05 +23 *3078:19 *4225:11 4.45999e-05 +24 *3078:19 *4225:20 7.54269e-06 +25 *3086:14 *23406:A2 2.57465e-06 +26 *3086:20 *23405:A3 2.65831e-05 +27 *3784:22 *23406:A2 0.000101641 +28 *3786:17 *23405:A3 3.77804e-05 +29 *3786:17 *23406:A2 0.000462023 +30 *3786:17 *4225:20 9.22013e-06 +31 *3811:8 *4225:11 0.000328752 +32 *3811:8 *4225:20 0 +33 *3842:24 *4225:20 0.000111201 +34 *3974:11 *4225:11 0.000332124 +35 *4116:20 *4225:20 0.000200256 +36 *4138:165 *23405:A3 4.10675e-05 +37 *4138:165 *4225:20 3.49272e-05 +*RES +1 *23316:Y *4225:11 38.9909 +2 *4225:11 *4225:20 24.1465 +3 *4225:20 *23405:A3 16.7198 +4 *4225:20 *23406:A2 30.9678 +5 *4225:11 *23457:B 9.24915 +*END + +*D_NET *4226 0.00627681 +*CONN +*I *23318:A I *D sky130_fd_sc_hd__inv_2 +*I *23410:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23494:C I *D sky130_fd_sc_hd__or3_1 +*I *23317:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23318:A 0.0001932 +2 *23410:A1 0.00054117 +3 *23494:C 0.00132993 +4 *23317:X 0 +5 *4226:13 0.000846923 +6 *4226:4 0.00144248 +7 *23318:A *4244:10 2.89898e-05 +8 *23318:A *4374:22 6.46815e-05 +9 *23494:C *4374:12 0.000101124 +10 *23015:B *23494:C 0.000302956 +11 *23081:A1 *23494:C 1.58551e-05 +12 *23081:A2 *23494:C 0.000154145 +13 *23081:B1_N *23494:C 3.14627e-05 +14 *23224:A *23410:A1 1.73356e-05 +15 *23224:A *4226:13 3.58759e-05 +16 *23224:C *23318:A 5.71849e-05 +17 *23225:A *23410:A1 6.55265e-05 +18 *23317:A *23494:C 4.89251e-05 +19 *23317:B *23410:A1 1.65872e-05 +20 *23317:C *23494:C 1.80042e-05 +21 *23410:A2 *23410:A1 0.00074531 +22 *23494:A *23494:C 1.34784e-05 +23 *2328:13 *23318:A 6.27782e-05 +24 *3990:15 *23494:C 2.48824e-05 +25 *4130:8 *23318:A 0.000118002 +*RES +1 *23317:X *4226:4 9.24915 +2 *4226:4 *23494:C 29.8177 +3 *4226:4 *4226:13 1.8326 +4 *4226:13 *23410:A1 24.2717 +5 *4226:13 *23318:A 23.7113 +*END + +*D_NET *4227 0.00582413 +*CONN +*I *23701:A1 I *D sky130_fd_sc_hd__a311o_1 +*I *23765:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *23406:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23405:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23457:C I *D sky130_fd_sc_hd__and4b_1 +*I *23318:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23701:A1 0 +2 *23765:B1 1.47608e-05 +3 *23406:A3 6.41633e-05 +4 *23405:A1 3.62241e-05 +5 *23457:C 0 +6 *23318:Y 0.000793682 +7 *4227:24 0.000205673 +8 *4227:20 0.000431716 +9 *4227:18 0.000523375 +10 *4227:10 0.00100539 +11 *23405:A1 *23405:B1 1.41307e-05 +12 *23406:A3 *23406:B1 1.75209e-05 +13 *4227:10 *4244:10 0 +14 *4227:10 *4374:22 0.000261135 +15 *4227:18 *23497:B 7.82448e-06 +16 *4227:18 *4400:8 3.67528e-06 +17 *4227:20 *4396:35 0.00012301 +18 *4227:20 *4400:8 0.000207232 +19 *4227:24 *23406:B1 0 +20 *23094:A *4227:10 1.27433e-05 +21 *23197:A *4227:10 0.000144323 +22 *23406:A1 *23406:A3 4.50362e-05 +23 *23406:A2 *23406:A3 2.20526e-05 +24 *23457:D *4227:18 1.20971e-05 +25 *3078:19 *4227:18 0.000264666 +26 *3086:14 *4227:24 3.93117e-06 +27 *3086:20 *23405:A1 6.08467e-05 +28 *3086:20 *23406:A3 0.000169872 +29 *3784:14 *4227:18 0 +30 *3784:14 *4227:20 0 +31 *3786:17 *23765:B1 6.08467e-05 +32 *3811:8 *4227:10 0 +33 *3842:336 *4227:10 8.62048e-05 +34 *4116:10 *4227:10 0 +35 *4116:20 *4227:10 0 +36 *4116:20 *4227:18 0.000236506 +37 *4116:20 *4227:20 0.000750533 +38 *4116:20 *4227:24 0.000131727 +39 *4138:165 *23765:B1 6.08467e-05 +40 *4225:11 *4227:10 4.54077e-05 +41 *4225:20 *4227:18 6.98337e-06 +*RES +1 *23318:Y *4227:10 36.311 +2 *4227:10 *23457:C 9.24915 +3 *4227:10 *4227:18 11.7653 +4 *4227:18 *4227:20 13.4591 +5 *4227:20 *4227:24 7.1625 +6 *4227:24 *23405:A1 10.5513 +7 *4227:24 *23406:A3 12.3899 +8 *4227:20 *23765:B1 14.4725 +9 *4227:18 *23701:A1 13.7491 +*END + +*D_NET *4228 0.0327391 +*CONN +*I *23838:A I *D sky130_fd_sc_hd__or4_1 +*I *23796:A I *D sky130_fd_sc_hd__or4_4 +*I *23403:B I *D sky130_fd_sc_hd__or3_1 +*I *23780:D I *D sky130_fd_sc_hd__or4_1 +*I *23319:Y O *D sky130_fd_sc_hd__nor2_4 +*CAP +1 *23838:A 0.00012309 +2 *23796:A 0 +3 *23403:B 0.000144775 +4 *23780:D 0 +5 *23319:Y 0.00010409 +6 *4228:69 0.000563469 +7 *4228:45 0.00084028 +8 *4228:41 0.00171074 +9 *4228:29 0.00187946 +10 *4228:20 0.00226759 +11 *4228:18 0.00131743 +12 *4228:16 0.00128095 +13 *4228:15 0.00130656 +14 *4228:10 0.000434393 +15 *4228:5 0.000749635 +16 *23403:B *23770:A2 0 +17 *23838:A *23838:C 0.000239004 +18 *23838:A *4402:15 0.000165684 +19 *23838:A *4697:7 0.000113968 +20 *4228:5 *4668:9 0.000251655 +21 *4228:10 *4397:28 0 +22 *4228:16 *23402:A 2.15656e-05 +23 *4228:16 *23593:B 5.36542e-05 +24 *4228:16 *4560:23 0.000141864 +25 *4228:16 *5456:140 0 +26 *4228:16 *5624:116 0 +27 *4228:20 *4579:14 0.00118756 +28 *4228:29 *4529:26 0.000122046 +29 *4228:29 *4619:26 0.000117561 +30 *4228:29 *4686:35 0.00013952 +31 *4228:41 *4233:11 9.40212e-05 +32 *4228:41 *4529:26 0.00152318 +33 *4228:45 *23651:A1 0.000125695 +34 *4228:45 *23651:B1 3.74433e-05 +35 *4228:45 *23733:D 1.01029e-05 +36 *4228:45 *23813:D 6.50586e-05 +37 *4228:45 *23816:C 6.31631e-06 +38 *4228:45 *4415:123 0.000354032 +39 *4228:45 *4556:16 0.000658474 +40 *4228:45 *4635:18 4.17069e-06 +41 *4228:45 *4684:34 0.000147005 +42 *4228:69 *23764:C 0.000144546 +43 *4228:69 *23796:C 4.80635e-06 +44 *4228:69 *23796:D 0 +45 *4228:69 *23837:C 0.000209619 +46 *4228:69 *23838:C 2.16355e-05 +47 *4228:69 *4402:15 1.65872e-05 +48 *4228:69 *4668:9 0.000135364 +49 *22914:A *4228:16 4.3317e-05 +50 *22943:B *4228:16 4.01573e-05 +51 *23048:B *4228:45 0.000146645 +52 *23054:C1 *4228:16 3.0902e-05 +53 *23090:A *4228:41 0.000304507 +54 *23183:B *4228:20 0.000153365 +55 *23215:A *4228:29 1.65872e-05 +56 *23215:B *4228:41 3.29488e-05 +57 *23291:A *4228:16 3.92275e-05 +58 *23320:A *4228:16 0.000141533 +59 *23401:A *23403:B 6.99486e-05 +60 *23401:A *4228:15 2.16355e-05 +61 *23493:B *4228:10 3.42793e-05 +62 *23590:A3 *4228:29 9.91932e-05 +63 *23593:A *4228:16 1.26447e-05 +64 *23654:A1 *4228:20 6.51527e-05 +65 *23815:C_N *4228:45 2.74269e-05 +66 *1829:73 *4228:29 2.83834e-06 +67 *3784:56 *4228:20 0.000670889 +68 *3785:20 *4228:20 0.000452733 +69 *3785:24 *4228:20 0.000733738 +70 *3791:227 *4228:29 2.61955e-05 +71 *3833:207 *4228:41 6.63489e-05 +72 *3834:21 *4228:20 0.00030705 +73 *3834:21 *4228:29 0.00111225 +74 *3840:292 *4228:20 0.000636663 +75 *3840:307 *4228:20 0.000200858 +76 *3842:84 *4228:41 0.000108405 +77 *3842:92 *4228:41 0.000685276 +78 *3846:51 *4228:29 0.000521886 +79 *3852:8 *4228:16 6.74811e-05 +80 *3852:12 *4228:16 0.00032905 +81 *3852:22 *4228:20 9.01411e-05 +82 *3856:10 *4228:16 0 +83 *3856:10 *4228:20 4.41269e-05 +84 *3856:21 *4228:16 0.000207564 +85 *3856:21 *4228:18 0 +86 *3856:39 *4228:16 0 +87 *3868:151 *4228:45 0.000739579 +88 *3869:8 *4228:41 2.01595e-05 +89 *3869:55 *4228:41 8.72159e-05 +90 *3870:8 *4228:45 1.37925e-05 +91 *3872:87 *4228:45 0.000546247 +92 *3874:77 *4228:45 0.000101593 +93 *3888:49 *4228:41 0.000100364 +94 *3980:124 *4228:45 5.69394e-05 +95 *3996:17 *4228:10 0.000392876 +96 *3996:17 *4228:16 0.000553313 +97 *3999:8 *4228:41 0.000157839 +98 *4001:87 *4228:45 4.45277e-05 +99 *4018:11 *4228:45 0.000254405 +100 *4020:34 *4228:29 0.000610754 +101 *4031:17 *4228:16 0.000616162 +102 *4034:23 *4228:10 7.50722e-05 +103 *4077:10 *4228:29 1.3813e-05 +104 *4089:40 *4228:45 0.000158359 +105 *4092:23 *4228:16 0.00156196 +106 *4092:23 *4228:18 5.08071e-06 +107 *4092:23 *4228:20 8.36973e-06 +108 *4092:41 *4228:16 0.000154125 +109 *4092:54 *4228:10 1.50262e-05 +110 *4124:20 *4228:29 6.08467e-05 +111 *4124:20 *4228:41 1.07248e-05 +112 *4146:83 *4228:29 2.41274e-06 +*RES +1 *23319:Y *4228:5 12.191 +2 *4228:5 *4228:10 16.2303 +3 *4228:10 *4228:15 6.35672 +4 *4228:15 *4228:16 49.3784 +5 *4228:16 *4228:18 1.29461 +6 *4228:18 *4228:20 51.8699 +7 *4228:20 *4228:29 47.789 +8 *4228:29 *4228:41 46.7067 +9 *4228:41 *4228:45 41.1061 +10 *4228:45 *23780:D 9.24915 +11 *4228:10 *23403:B 12.7697 +12 *4228:5 *4228:69 21.3319 +13 *4228:69 *23796:A 9.24915 +14 *4228:69 *23838:A 14.6023 +*END + +*D_NET *4229 0.00197797 +*CONN +*I *23402:A I *D sky130_fd_sc_hd__or2_1 +*I *23593:B I *D sky130_fd_sc_hd__or2_2 +*I *23320:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23402:A 0.000251811 +2 *23593:B 0.000131224 +3 *23320:Y 0 +4 *4229:5 0.000383036 +5 *23402:A *23402:B 0.000154145 +6 *23320:A *23593:B 0.000141533 +7 *23593:A *23593:B 1.07248e-05 +8 *3996:17 *23402:A 0.000397943 +9 *4092:54 *23402:A 0.000172966 +10 *4092:54 *23593:B 0.000105227 +11 *4126:45 *23402:A 0.000154145 +12 *4228:16 *23402:A 2.15656e-05 +13 *4228:16 *23593:B 5.36542e-05 +*RES +1 *23320:Y *4229:5 13.7491 +2 *4229:5 *23593:B 18.4879 +3 *4229:5 *23402:A 24.0578 +*END + +*D_NET *4230 0.00364272 +*CONN +*I *23795:A I *D sky130_fd_sc_hd__or3_2 +*I *23492:C I *D sky130_fd_sc_hd__or4_1 +*I *23398:A I *D sky130_fd_sc_hd__or2_1 +*I *23321:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23795:A 0 +2 *23492:C 0.000392686 +3 *23398:A 0 +4 *23321:Y 0.000222506 +5 *4230:20 0.000972886 +6 *4230:11 0.000802706 +7 *23492:C *23492:A 3.41459e-05 +8 *23492:C *23492:D 0.000124658 +9 *23492:C *23497:D 8.30767e-06 +10 *23492:C *23795:B 3.99133e-05 +11 *23492:C *4696:10 2.55536e-05 +12 *4230:11 *23491:B1 0.000195676 +13 *4230:11 *23698:A2 0.000211039 +14 *4230:11 *4374:22 6.08467e-05 +15 *4230:20 *23491:B1 7.34948e-06 +16 *4230:20 *23795:B 3.1741e-05 +17 *4230:20 *4398:8 0 +18 *4230:20 *4401:15 0.000168998 +19 *3078:14 *23492:C 1.5714e-05 +20 *3974:33 *4230:20 0.000155155 +21 *4106:11 *4230:20 0 +22 *4106:24 *23492:C 0 +23 *4106:24 *4230:20 0 +24 *4134:16 *4230:11 2.88667e-05 +25 *4146:10 *4230:11 7.46573e-05 +26 *4219:34 *4230:11 6.93171e-05 +*RES +1 *23321:Y *4230:11 26.0957 +2 *4230:11 *23398:A 9.24915 +3 *4230:11 *4230:20 18.833 +4 *4230:20 *23492:C 21.446 +5 *4230:20 *23795:A 13.7491 +*END + +*D_NET *4231 0.0104214 +*CONN +*I *23712:B I *D sky130_fd_sc_hd__or4b_1 +*I *23471:B I *D sky130_fd_sc_hd__or2_1 +*I *23344:A I *D sky130_fd_sc_hd__or4_1 +*I *23322:Y O *D sky130_fd_sc_hd__nor3_2 +*CAP +1 *23712:B 4.51842e-05 +2 *23471:B 0 +3 *23344:A 0.000784476 +4 *23322:Y 0.0004285 +5 *4231:54 0.00105366 +6 *4231:39 0.00116111 +7 *4231:11 0.00136561 +8 *23344:A *23342:B 2.07365e-05 +9 *23344:A *23344:C 7.43087e-05 +10 *23344:A *23344:D 0.00037226 +11 *23344:A *25210:A 0.000237395 +12 *23344:A *4236:19 2.66052e-05 +13 *23344:A *4236:28 1.09551e-05 +14 *23344:A *4677:11 2.19276e-05 +15 *23344:A *5456:50 4.80397e-05 +16 *23344:A *5909:38 0 +17 *23344:A *5927:43 6.98716e-05 +18 *23712:B *23776:C 6.08467e-05 +19 *4231:11 *23344:D 8.04172e-05 +20 *4231:11 *23348:A 0.00011557 +21 *4231:11 *4252:6 0.000102032 +22 *4231:11 *5455:24 1.31067e-05 +23 *4231:11 *5800:32 1.70614e-05 +24 *4231:11 *5800:42 1.0656e-05 +25 *4231:11 *5926:19 9.00714e-07 +26 *4231:11 *5927:43 0 +27 *4231:39 *4522:7 7.92757e-06 +28 *4231:39 *5592:17 6.08467e-05 +29 *4231:54 *23794:A 0.000170614 +30 *4231:54 *4234:26 0.000636448 +31 *4231:54 *4326:77 0.000292573 +32 *4231:54 *4379:8 0.000322843 +33 *4231:54 *4380:10 0.000280025 +34 *4231:54 *4522:7 1.41976e-05 +35 *4231:54 *4522:8 7.45297e-05 +36 *4231:54 *5592:17 1.58551e-05 +37 *4231:54 *5923:17 0.000944749 +38 irq[2] *23344:A 1.23869e-05 +39 *23611:A2 *4231:54 7.58517e-05 +40 *657:171 *23344:A 9.50615e-06 +41 *3840:138 *4231:11 3.51785e-06 +42 *3860:123 *23344:A 0.000221423 +43 *3861:62 *4231:54 0.00033311 +44 *3861:64 *4231:54 0.000141244 +45 *3861:76 *4231:54 4.3314e-05 +46 *3902:50 *4231:39 0.000288087 +47 *3904:21 *4231:39 0.000289637 +48 *3917:72 *23344:A 5.8909e-05 +49 *3974:126 *4231:11 2.60879e-06 +*RES +1 *23322:Y *4231:11 20.7729 +2 *4231:11 *23344:A 28.4398 +3 *4231:11 *4231:39 14.5325 +4 *4231:39 *23471:B 9.24915 +5 *4231:39 *4231:54 49.3944 +6 *4231:54 *23712:B 9.97254 +*END + +*D_NET *4232 0.00041102 +*CONN +*I *23324:A I *D sky130_fd_sc_hd__buf_6 +*I *23323:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23324:A 0.000119077 +2 *23323:X 0.000119077 +3 *23389:A *23324:A 1.03403e-05 +4 *4018:11 *23324:A 0.000116971 +5 *4089:40 *23324:A 4.55535e-05 +*RES +1 *23323:X *23324:A 30.4689 +*END + +*D_NET *4233 0.0570603 +*CONN +*I *23424:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23328:B I *D sky130_fd_sc_hd__or2_2 +*I *23325:B I *D sky130_fd_sc_hd__nor2_1 +*I *23381:B I *D sky130_fd_sc_hd__nor2_1 +*I *23351:B I *D sky130_fd_sc_hd__nor2_1 +*I *23373:B I *D sky130_fd_sc_hd__nor2_1 +*I *23357:B I *D sky130_fd_sc_hd__nor2_1 +*I *23364:B I *D sky130_fd_sc_hd__nor2_1 +*I *23431:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *23345:B I *D sky130_fd_sc_hd__nor2_2 +*I *23324:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23424:A2 0 +2 *23328:B 7.45101e-05 +3 *23325:B 1.69719e-05 +4 *23381:B 0.00139313 +5 *23351:B 0.000960476 +6 *23373:B 0.00107471 +7 *23357:B 7.08061e-05 +8 *23364:B 3.18364e-05 +9 *23431:A2 0.000108918 +10 *23345:B 0.0012552 +11 *23324:X 0.0012916 +12 *4233:167 6.77015e-05 +13 *4233:166 0.0004007 +14 *4233:94 7.62675e-05 +15 *4233:93 0.000583276 +16 *4233:79 0.00126615 +17 *4233:71 0.000721967 +18 *4233:68 0.00132141 +19 *4233:64 0.0021825 +20 *4233:44 0.00356558 +21 *4233:33 0.00363637 +22 *4233:28 0.00220796 +23 *4233:26 0.00124057 +24 *4233:17 0.000450529 +25 *4233:11 0.0014931 +26 *23328:B *4254:53 4.40531e-05 +27 *23328:B *4519:22 4.87595e-05 +28 *23345:B *23348:B 7.34948e-06 +29 *23345:B *4236:39 0 +30 *23345:B *4254:21 1.92172e-05 +31 *23351:B *4236:39 0.000386436 +32 *23351:B *4236:61 0.000291888 +33 *23351:B *4260:13 3.21754e-05 +34 *23351:B *4262:8 1.25395e-05 +35 *23351:B *4326:100 6.3196e-05 +36 *23357:B *4265:10 0.000107496 +37 *23357:B *4329:15 8.50666e-05 +38 *23364:B *23622:D_N 1.94584e-05 +39 *23373:B *4275:29 0.000122862 +40 *23381:B *23647:B1 1.19058e-05 +41 *23381:B *23731:A 1.41976e-05 +42 *23381:B *4634:13 0.000396003 +43 *23381:B *4648:29 0.000168434 +44 *23381:B *5454:15 0.00201157 +45 *23381:B *5852:117 0 +46 *23381:B *5924:20 0.000476884 +47 *23431:A2 *23436:B 2.65667e-05 +48 *23431:A2 *5563:39 0.000123648 +49 *4233:11 *4326:31 4.6535e-05 +50 *4233:11 *4717:25 0.000242198 +51 *4233:17 *4237:29 0.000187498 +52 *4233:17 *4326:31 3.00979e-05 +53 *4233:26 *4519:22 2.37478e-05 +54 *4233:26 *5458:37 0.000317599 +55 *4233:26 *5926:19 0.000676801 +56 *4233:28 *4254:53 0.000100693 +57 *4233:28 *5458:37 0.000691523 +58 *4233:28 *5926:19 0.00287147 +59 *4233:33 *4254:48 0.000223043 +60 *4233:33 *5454:15 0.000944511 +61 *4233:33 *5458:22 4.9573e-05 +62 *4233:33 *5924:20 0.00026128 +63 *4233:33 *5926:19 0.00141902 +64 *4233:44 *4328:32 0.00108865 +65 *4233:44 *4594:8 0.00108865 +66 *4233:44 *4663:19 0.000157671 +67 *4233:44 *5909:38 9.00714e-06 +68 *4233:64 *4594:8 0.000174729 +69 *4233:64 *4663:19 0.00113791 +70 *4233:79 *23432:B1 0.000671663 +71 *4233:79 *4329:15 7.48886e-05 +72 *4233:79 *4340:20 0.000304857 +73 *4233:93 *23622:D_N 3.8608e-06 +74 *4233:93 *4340:20 0.00073981 +75 *4233:166 *4236:107 5.57532e-05 +76 *4233:166 *4251:35 5.11466e-05 +77 *4233:166 *5800:42 1.36342e-05 +78 *4233:166 *5919:40 1.34851e-05 +79 *22968:B *23381:B 8.29595e-05 +80 *23008:A *4233:11 1.15389e-05 +81 *23013:B *4233:11 0.000250812 +82 *23023:A2 *4233:11 0.000204943 +83 *23023:B1 *4233:11 3.67124e-05 +84 *23023:C1 *4233:11 4.78069e-06 +85 *23023:C1 *4233:17 8.10372e-05 +86 *23023:D1 *4233:11 1.7883e-05 +87 *23024:D1 *4233:11 0.000110297 +88 *23025:A *23373:B 0.000398768 +89 *23025:A *4233:71 2.31083e-05 +90 *23025:B *23351:B 6.3657e-05 +91 *23246:B *23345:B 6.92705e-05 +92 *23325:A *23325:B 5.88657e-05 +93 *23325:A *4233:166 0.000152164 +94 *23424:A1 *4233:17 9.20855e-05 +95 *23424:B1 *4233:17 6.08467e-05 +96 *23424:B1 *4233:26 1.66626e-05 +97 *23431:A1 *23431:A2 5.04829e-06 +98 *23611:A2 *4233:28 0.00142361 +99 *23611:A2 *4233:33 2.05082e-05 +100 *23611:A3 *23325:B 6.08467e-05 +101 *23611:A3 *4233:166 7.92757e-06 +102 *23665:B1 *4233:11 0.000128736 +103 *2281:13 *23351:B 7.62063e-05 +104 *2281:13 *23373:B 0 +105 *2502:36 *4233:79 0.000812217 +106 *2612:41 *23373:B 0.000156946 +107 *2618:18 *23373:B 0.000175709 +108 *2711:36 *23373:B 0.000126323 +109 *2963:96 *4233:64 0.00258652 +110 *2963:96 *4233:68 0.000279595 +111 *2963:96 *4233:79 0.000118725 +112 *3002:69 *23345:B 0 +113 *3002:69 *4233:44 0.000705904 +114 *3791:161 *23351:B 2.57986e-05 +115 *3833:207 *4233:11 0.000844575 +116 *3840:138 *4233:33 0.00105753 +117 *3860:91 *4233:17 0.000108721 +118 *3861:46 *4233:17 1.66626e-05 +119 *3874:204 *23357:B 1.82679e-05 +120 *3883:18 *23351:B 0.000147472 +121 *3883:18 *23373:B 0.000679468 +122 *3883:18 *4233:71 1.43499e-05 +123 *3883:29 *23373:B 0.000154145 +124 *3895:41 *23431:A2 7.52459e-05 +125 *3895:43 *23431:A2 4.99151e-05 +126 *3899:29 *4233:44 7.40684e-06 +127 *3902:50 *23345:B 1.35771e-05 +128 *3902:57 *23345:B 3.20069e-06 +129 *3904:21 *23345:B 1.66771e-05 +130 *3923:8 *4233:11 0.000161243 +131 *3923:31 *4233:11 0.000343168 +132 *3934:21 *23351:B 6.40413e-05 +133 *3937:29 *23351:B 0.000199996 +134 *3937:29 *23357:B 8.43847e-05 +135 *3937:29 *4233:79 0.000158299 +136 *3974:126 *23345:B 1.61631e-05 +137 *4019:8 *23381:B 0.000136695 +138 *4024:5 *4233:11 4.23858e-05 +139 *4026:93 *4233:11 0.000258128 +140 *4058:28 *23381:B 2.15184e-05 +141 *4138:41 *4233:44 1.86819e-05 +142 *4138:41 *4233:64 0.000174135 +143 *4228:41 *4233:11 9.40212e-05 +*RES +1 *23324:X *4233:11 47.348 +2 *4233:11 *4233:17 15.9894 +3 *4233:17 *4233:26 10.1342 +4 *4233:26 *4233:28 6.10854 +5 *4233:28 *4233:33 4.82567 +6 *4233:33 *4233:44 29.6387 +7 *4233:44 *23345:B 20.202 +8 *4233:44 *4233:64 5.79935 +9 *4233:64 *4233:68 0.998583 +10 *4233:68 *4233:71 3.45692 +11 *4233:71 *4233:79 25.4736 +12 *4233:79 *23431:A2 21.635 +13 *4233:79 *4233:93 18.2916 +14 *4233:93 *4233:94 57.9449 +15 *4233:94 *23364:B 19.2217 +16 *4233:71 *23357:B 16.6515 +17 *4233:68 *23373:B 24.4767 +18 *4233:64 *23351:B 22.3598 +19 *4233:33 *23381:B 29.7922 +20 *4233:28 *4233:166 21.332 +21 *4233:166 *4233:167 57.9449 +22 *4233:167 *23325:B 19.2217 +23 *4233:26 *23328:B 18.3766 +24 *4233:17 *23424:A2 9.24915 +*END + +*D_NET *4234 0.00928131 +*CONN +*I *23341:A I *D sky130_fd_sc_hd__or4b_2 +*I *23712:C I *D sky130_fd_sc_hd__or4b_1 +*I *23756:B1 I *D sky130_fd_sc_hd__a2111o_1 +*I *23325:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23341:A 0.000734084 +2 *23712:C 5.11658e-05 +3 *23756:B1 0 +4 *23325:Y 0.000619807 +5 *4234:26 0.001475 +6 *4234:13 0.00130956 +7 *23341:A *23341:B 1.21461e-06 +8 *23341:A *23617:B 0.000295311 +9 *23341:A *4254:53 3.57202e-05 +10 *23341:A *4519:27 3.82228e-05 +11 *23341:A *4735:28 6.36477e-05 +12 *23712:C *23776:C 8.53519e-05 +13 *23712:C *4678:7 3.80324e-05 +14 *4234:13 *23756:C1 0.000114594 +15 *4234:13 *4517:24 7.57474e-05 +16 *4234:26 *23617:B 8.62625e-06 +17 *4234:26 *4517:24 0.000284145 +18 *4234:26 *4522:8 0.000702965 +19 *23024:C1 *23341:A 8.50356e-05 +20 *23329:A1 *23341:A 0.000220343 +21 *23611:A1 *4234:13 0.000154145 +22 *23611:A2 *4234:13 6.7671e-06 +23 *23611:A2 *4234:26 0.000110297 +24 *23611:A3 *4234:13 0.000212333 +25 *23611:B1 *4234:13 1.03403e-05 +26 *23611:C1 *4234:13 6.08467e-05 +27 *3861:62 *23341:A 9.56692e-05 +28 *3861:62 *4234:26 2.97007e-05 +29 *3918:24 *23341:A 0.000261319 +30 *3920:26 *23341:A 0.000881494 +31 *3929:15 *23341:A 0.000468858 +32 *3929:15 *23712:C 0.000114523 +33 *4231:54 *4234:26 0.000636448 +*RES +1 *23325:Y *4234:13 22.005 +2 *4234:13 *23756:B1 9.24915 +3 *4234:13 *4234:26 25.1402 +4 *4234:26 *23712:C 16.1364 +5 *4234:26 *23341:A 49.7587 +*END + +*D_NET *4235 0.000772138 +*CONN +*I *23327:A I *D sky130_fd_sc_hd__buf_4 +*I *23326:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23327:A 0.000148351 +2 *23326:X 0.000148351 +3 *23327:A *5455:24 0.00015324 +4 *23327:A *5828:13 0.000149628 +5 *23327:A *5920:19 6.50727e-05 +6 *3781:101 *23327:A 0.000107496 +*RES +1 *23326:X *23327:A 32.1622 +*END + +*D_NET *4236 0.0304842 +*CONN +*I *23687:B2 I *D sky130_fd_sc_hd__o221ai_4 +*I *23329:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23342:B I *D sky130_fd_sc_hd__nor2_2 +*I *23347:B I *D sky130_fd_sc_hd__nor2_1 +*I *23377:B I *D sky130_fd_sc_hd__nor2_1 +*I *23369:B I *D sky130_fd_sc_hd__nor2_1 +*I *23361:B I *D sky130_fd_sc_hd__nor2_1 +*I *23355:B I *D sky130_fd_sc_hd__nor2_1 +*I *23327:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23687:B2 0.000473171 +2 *23329:A2 0.000603901 +3 *23342:B 0.000152952 +4 *23347:B 3.37551e-05 +5 *23377:B 4.4221e-05 +6 *23369:B 0.000116779 +7 *23361:B 0.000102243 +8 *23355:B 5.49639e-05 +9 *23327:X 0 +10 *4236:107 0.00211862 +11 *4236:71 0.000531638 +12 *4236:61 0.00117375 +13 *4236:39 0.00287678 +14 *4236:28 0.00263847 +15 *4236:19 0.00135088 +16 *4236:5 0.00175572 +17 *23329:A2 *22087:A1 6.50586e-05 +18 *23329:A2 *23687:C1 1.51352e-05 +19 *23329:A2 *25331:A 7.14746e-05 +20 *23329:A2 *5815:77 5.04829e-06 +21 *23329:A2 *5927:30 7.86847e-05 +22 *23342:B *23344:C 0.000256726 +23 *23342:B *23348:A 0.000107496 +24 *23347:B *4255:5 2.16355e-05 +25 *23355:B *4329:15 2.57847e-05 +26 *23361:B *23362:A 0.000168381 +27 *23361:B *5082:62 0.000168381 +28 *23369:B *4515:21 0.000175888 +29 *23377:B *4286:5 1.41976e-05 +30 *23687:B2 *23687:C1 0.000693176 +31 *23687:B2 *4521:8 0.000356521 +32 *23687:B2 *4592:14 1.41976e-05 +33 *4236:19 *23344:B 0.000175684 +34 *4236:19 *23616:B 0.000203646 +35 *4236:19 *4252:21 8.36586e-06 +36 *4236:19 *5455:24 0.00038228 +37 *4236:19 *5800:42 0.000895462 +38 *4236:19 *5828:13 0.000518941 +39 *4236:28 *23344:B 1.07248e-05 +40 *4236:28 *23775:B 0.000161951 +41 *4236:28 *25210:A 0 +42 *4236:28 *4255:18 0.000143032 +43 *4236:28 *5452:23 0.000148965 +44 *4236:28 *5455:24 0.000896836 +45 *4236:61 *23478:B 1.66771e-05 +46 *4236:61 *4265:10 0.000140082 +47 *4236:61 *4386:8 5.04829e-06 +48 *4236:61 *4663:19 0.000180499 +49 *4236:61 *5563:39 1.50389e-06 +50 *4236:61 *5929:38 0.000256541 +51 *4236:71 *4386:8 0.000103139 +52 *4236:107 *4250:17 0.000151632 +53 *4236:107 *4251:35 0.00037135 +54 *4236:107 *4326:52 0.00011906 +55 *4236:107 *4519:22 1.74106e-05 +56 *4236:107 *5800:42 0.000554288 +57 *4236:107 *5816:8 8.08437e-05 +58 *4236:107 *5816:24 0.00121114 +59 *4236:107 *5828:13 0.000656191 +60 *22087:A2 *23329:A2 2.8182e-06 +61 *22089:A1 *4236:107 5.99155e-05 +62 *23329:A1 *23329:A2 3.69265e-05 +63 *23344:A *23342:B 2.07365e-05 +64 *23344:A *4236:19 2.66052e-05 +65 *23344:A *4236:28 1.09551e-05 +66 *23345:B *4236:39 0 +67 *23347:A *4236:28 8.62625e-06 +68 *23347:A *4236:39 0.000116454 +69 *23351:B *4236:39 0.000386436 +70 *23351:B *4236:61 0.000291888 +71 *23479:A *4236:71 0.000213739 +72 *23615:A *23687:B2 1.45261e-05 +73 *23687:A2 *23687:B2 0 +74 *23687:B1 *23687:B2 5.48756e-05 +75 *1438:83 *23369:B 6.65271e-05 +76 *1731:85 *23355:B 0 +77 *2281:13 *4236:39 4.68824e-05 +78 *2281:13 *4236:61 1.09444e-05 +79 *2405:34 *4236:61 7.13972e-05 +80 *2502:36 *4236:61 0.000381204 +81 *2612:41 *23377:B 1.65872e-05 +82 *2612:41 *4236:71 0.000240997 +83 *3840:101 *4236:107 0.000238366 +84 *3860:123 *4236:28 1.76936e-05 +85 *3860:125 *4236:28 0.000233159 +86 *3860:131 *4236:28 0.000807054 +87 *3860:131 *4236:39 0.000166248 +88 *3868:165 *4236:107 0.000342087 +89 *3874:194 *4236:61 0.000303366 +90 *3874:194 *4236:71 9.32983e-05 +91 *3877:161 *4236:71 8.39223e-05 +92 *3883:18 *4236:61 2.72284e-06 +93 *3883:29 *23377:B 1.56419e-05 +94 *3895:39 *4236:61 0.000183337 +95 *3900:34 *23355:B 2.16355e-05 +96 *3902:60 *4236:39 4.51176e-05 +97 *3917:90 *23342:B 9.94284e-06 +98 *3934:21 *4236:39 0.00201027 +99 *3937:32 *23355:B 3.77568e-05 +100 *3974:126 *23342:B 6.08467e-05 +101 *4233:166 *4236:107 5.57532e-05 +*RES +1 *23327:X *4236:5 13.7491 +2 *4236:5 *4236:19 40.8265 +3 *4236:19 *4236:28 28.5771 +4 *4236:28 *4236:39 13.1696 +5 *4236:39 *23355:B 18.6352 +6 *4236:39 *4236:61 20.3359 +7 *4236:61 *23361:B 21.7421 +8 *4236:61 *4236:71 11.2609 +9 *4236:71 *23369:B 21.7421 +10 *4236:71 *23377:B 10.5513 +11 *4236:28 *23347:B 14.4725 +12 *4236:19 *23342:B 14.2888 +13 *4236:5 *4236:107 47.1902 +14 *4236:107 *23329:A2 30.2034 +15 *4236:107 *23687:B2 24.0547 +*END + +*D_NET *4237 0.0097937 +*CONN +*I *23811:B1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23835:C1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23429:D I *D sky130_fd_sc_hd__and4bb_1 +*I *23329:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23328:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23811:B1 2.40788e-05 +2 *23835:C1 0.000906885 +3 *23429:D 4.27457e-05 +4 *23329:B1 0.000275543 +5 *23328:X 0 +6 *4237:34 0.00122962 +7 *4237:29 0.000690572 +8 *4237:8 0.00035288 +9 *4237:7 0.000220124 +10 *4237:4 0.00052929 +11 *23329:B1 *4326:32 0.000513351 +12 *23329:B1 *4373:32 0.000135519 +13 *23329:B1 *5929:10 0.000205332 +14 *23835:C1 *4241:46 0 +15 *23835:C1 *4249:10 3.24019e-05 +16 *23835:C1 *4336:20 0.000310379 +17 *4237:29 *23811:A2 0.000157107 +18 *4237:29 *4735:12 0.000693155 +19 *4237:34 *23429:C 3.5534e-06 +20 *4237:34 *4326:31 0.000741605 +21 *23023:C1 *4237:29 0.000111878 +22 *23024:A2 *4237:7 1.9633e-05 +23 *23024:C1 *4237:29 8.62625e-06 +24 *23130:A *23835:C1 7.27245e-06 +25 *23328:A *4237:7 0.000107496 +26 *23328:A *4237:29 4.94594e-05 +27 *23430:B1 *23429:D 6.36477e-05 +28 *23430:B1 *23835:C1 9.61086e-05 +29 *23834:A2 *23835:C1 1.92368e-05 +30 *3861:35 *23811:B1 6.08467e-05 +31 *3861:35 *4237:29 1.37669e-05 +32 *3861:38 *4237:29 4.95132e-05 +33 *3902:31 *23329:B1 0.000152158 +34 *3902:31 *4237:7 1.27994e-05 +35 *3914:10 *23835:C1 3.47846e-05 +36 *3914:14 *23835:C1 0.000691187 +37 *3914:14 *4237:34 0.000811933 +38 *3922:79 *4237:29 4.47123e-05 +39 *3929:15 *4237:29 3.95141e-05 +40 *3931:46 *23835:C1 3.40636e-05 +41 *3989:23 *23835:C1 9.60167e-05 +42 *4006:40 *4237:34 1.74106e-05 +43 *4233:17 *4237:29 0.000187498 +*RES +1 *23328:X *4237:4 9.24915 +2 *4237:4 *4237:7 12.191 +3 *4237:7 *4237:8 104.301 +4 *4237:8 *23329:B1 38.2222 +5 *4237:4 *4237:29 24.9026 +6 *4237:29 *4237:34 23.7048 +7 *4237:34 *23429:D 9.97254 +8 *4237:34 *23835:C1 45.0285 +9 *4237:29 *23811:B1 9.97254 +*END + +*D_NET *4238 0.000554061 +*CONN +*I *23341:B I *D sky130_fd_sc_hd__or4b_2 +*I *23329:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23341:B 0.000259789 +2 *23329:Y 0.000259789 +3 *23341:B *23341:D_N 1.03403e-05 +4 *23329:A1 *23341:B 2.29282e-05 +5 *23341:A *23341:B 1.21461e-06 +*RES +1 *23329:Y *23341:B 21.9947 +*END + +*D_NET *4239 0.00528318 +*CONN +*I *23336:B I *D sky130_fd_sc_hd__nor2_1 +*I *23331:A I *D sky130_fd_sc_hd__inv_2 +*I *23428:B I *D sky130_fd_sc_hd__or2_2 +*I *23461:B2 I *D sky130_fd_sc_hd__o32a_2 +*I *23330:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23336:B 1.98947e-05 +2 *23331:A 0 +3 *23428:B 0.000188376 +4 *23461:B2 0.000142519 +5 *23330:X 7.49405e-05 +6 *4239:25 0.000531732 +7 *4239:20 0.000584733 +8 *4239:5 0.000438943 +9 *23428:B *4240:7 9.97086e-05 +10 *23428:B *4336:7 8.19046e-05 +11 *23461:B2 *23461:A1 6.3657e-05 +12 *23461:B2 *5631:20 5.05252e-05 +13 *4239:20 *5631:20 0.00025439 +14 *4239:20 *5631:22 3.8872e-05 +15 *4239:25 *23765:A2 5.73392e-05 +16 *4239:25 *4240:7 2.57847e-05 +17 *4239:25 *4245:6 2.64856e-05 +18 *4239:25 *5631:22 0.000339167 +19 *22931:A *4239:20 0.000163982 +20 *23316:A *23461:B2 5.04829e-06 +21 *23316:A *4239:5 1.65872e-05 +22 *23428:A *23428:B 0.000114584 +23 *23461:A3 *23461:B2 0.000270235 +24 *23461:A3 *4239:5 6.08467e-05 +25 *3779:111 *23336:B 6.08467e-05 +26 *3800:57 *23428:B 0.000116764 +27 *3800:57 *23461:B2 1.36556e-05 +28 *3800:57 *4239:25 0.000198777 +29 *3826:15 *23336:B 2.16355e-05 +30 *3831:6 *4239:25 0.000195169 +31 *3974:11 *23461:B2 2.1249e-05 +32 *4134:24 *4239:20 0.000500153 +33 *4134:24 *4239:25 0.000504672 +*RES +1 *23330:X *4239:5 10.5271 +2 *4239:5 *23461:B2 22.3612 +3 *4239:5 *4239:20 13.8065 +4 *4239:20 *4239:25 20.3463 +5 *4239:25 *23428:B 14.964 +6 *4239:25 *23331:A 9.24915 +7 *4239:20 *23336:B 14.4725 +*END + +*D_NET *4240 0.0134469 +*CONN +*I *23765:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *23337:A2 I *D sky130_fd_sc_hd__o32a_2 +*I *23331:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23765:A2 0.00100185 +2 *23337:A2 0.00011929 +3 *23331:Y 0 +4 *4240:8 0.00143564 +5 *4240:7 0.00147135 +6 *4240:4 0.00115684 +7 *4240:8 *23001:A 1.00225e-05 +8 *4240:8 *4368:8 0.00358151 +9 *4240:8 *5612:18 0.00088298 +10 *4240:8 *5612:29 0.000130024 +11 *4240:8 *5612:35 0.000162387 +12 *4240:8 *5612:37 1.15099e-05 +13 *4240:8 *5612:72 0.000177513 +14 *4240:8 *5923:20 0.000110421 +15 *22884:A *23765:A2 0.00011818 +16 *22884:B *23765:A2 0.000408759 +17 *22902:A *23765:A2 2.99978e-05 +18 *22902:C *23765:A2 0.000307023 +19 *23020:A *4240:8 8.23418e-05 +20 *23021:A *4240:8 0.000153225 +21 *23337:A1 *23337:A2 1.03403e-05 +22 *23428:B *4240:7 9.97086e-05 +23 *3786:17 *23765:A2 4.3731e-05 +24 *3789:28 *4240:8 0.000754321 +25 *3793:30 *23765:A2 5.4225e-05 +26 *3794:10 *23765:A2 0.000164829 +27 *3800:57 *23765:A2 7.97203e-05 +28 *3819:8 *23765:A2 1.01044e-05 +29 *3899:13 *4240:8 0.00023065 +30 *3917:19 *4240:8 0.000103557 +31 *3922:26 *23337:A2 0.000303366 +32 *4138:165 *23765:A2 0.000158371 +33 *4239:25 *23765:A2 5.73392e-05 +34 *4239:25 *4240:7 2.57847e-05 +*RES +1 *23331:Y *4240:4 9.24915 +2 *4240:4 *4240:7 7.99641 +3 *4240:7 *4240:8 64.1198 +4 *4240:8 *23337:A2 17.2456 +5 *4240:4 *23765:A2 34.3751 +*END + +*D_NET *4241 0.0105615 +*CONN +*I *23334:C1 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23685:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *23423:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23332:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23334:C1 0.000158131 +2 *23685:B1 2.01148e-05 +3 *23423:C1 0 +4 *23332:X 3.33389e-05 +5 *4241:46 0.00140904 +6 *4241:16 0.00237935 +7 *4241:5 0.00114166 +8 *23334:C1 *4374:69 0.000291299 +9 *23685:B1 *23685:A2 4.87301e-05 +10 *4241:5 *4374:69 0.000163418 +11 *4241:16 *23465:A2 6.43474e-05 +12 *4241:16 *23469:B 3.29239e-05 +13 *4241:16 *23613:B 1.3813e-05 +14 *4241:16 *23684:A2 0.000117741 +15 *4241:16 *23685:A2 4.21186e-05 +16 *4241:16 *23686:B1 0.00015652 +17 *4241:16 *23835:A1 0.000477488 +18 *4241:16 *4368:8 6.54004e-05 +19 *4241:16 *4376:8 0.000313725 +20 *4241:16 *4519:14 3.80686e-05 +21 *4241:46 *23685:A2 1.59917e-05 +22 *4241:46 *23686:B1 0.000215817 +23 *4241:46 *23758:A 0.000233009 +24 *4241:46 *23758:D_N 8.63831e-05 +25 *4241:46 *23835:A1 3.45747e-05 +26 *4241:46 *23836:B 4.76072e-05 +27 *4241:46 *4376:8 0.000647877 +28 *4241:46 *4614:22 0.000413976 +29 *4241:46 *4660:10 1.74601e-05 +30 *4241:46 *4735:11 1.10565e-05 +31 *4241:46 *5458:55 3.73052e-05 +32 *23002:A *4241:16 4.51062e-05 +33 *23023:A2 *4241:46 7.59407e-05 +34 *23612:B2 *4241:16 0.000144546 +35 *23727:A1 *4241:16 0.000239453 +36 *23834:A2 *4241:46 0.000356662 +37 *23834:B2 *4241:46 3.4403e-05 +38 *23835:C1 *4241:46 0 +39 *3792:102 *4241:16 4.70005e-05 +40 *3840:30 *23334:C1 0.0002212 +41 *3892:11 *23334:C1 0.000117326 +42 *3892:11 *4241:5 6.65367e-05 +43 *3905:19 *23334:C1 9.63545e-05 +44 *3911:20 *4241:46 0.000197723 +45 *3914:7 *23685:B1 0.000114584 +46 *3974:71 *4241:16 4.09467e-05 +47 *3974:83 *4241:16 7.82239e-06 +48 *3980:26 *4241:16 2.76334e-05 +49 *4134:65 *4241:46 0 +*RES +1 *23332:X *4241:5 11.0817 +2 *4241:5 *4241:16 36.108 +3 *4241:16 *4241:46 49.5697 +4 *4241:46 *23423:C1 9.24915 +5 *4241:16 *23685:B1 15.0271 +6 *4241:5 *23334:C1 25.6538 +*END + +*D_NET *4242 0.015932 +*CONN +*I *23334:D1 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23465:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *23811:A2 I *D sky130_fd_sc_hd__o211ai_1 +*I *23333:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23334:D1 0.000292635 +2 *23465:B1 3.5246e-05 +3 *23811:A2 0.000202724 +4 *23333:X 0.000116991 +5 *4242:22 0.000853326 +6 *4242:19 0.00144167 +7 *4242:12 0.00107223 +8 *4242:8 0.000655544 +9 *23334:D1 *23337:A3 6.98337e-06 +10 *4242:8 *5452:51 0.000159406 +11 *4242:12 *4336:8 3.17385e-05 +12 *4242:12 *5452:51 0.000607401 +13 *4242:19 *23340:B 0.000151741 +14 *4242:19 *23466:B 0.000156823 +15 *4242:19 *23685:A2 0.000208915 +16 *4242:19 *23835:B1 0.000127179 +17 *4242:19 *4336:8 0.00151512 +18 *4242:19 *4373:14 0.000466385 +19 *4242:22 *23427:B 0.00066408 +20 *4242:22 *23429:B_N 2.75828e-05 +21 *4242:22 *25361:A 0.000294241 +22 *4242:22 *4519:22 0.00212068 +23 *23226:A *4242:8 0.000163428 +24 *23333:A *4242:8 0.000114518 +25 *23333:B *4242:8 4.29149e-05 +26 *3792:102 *4242:8 0 +27 *3840:57 *4242:19 0.000193069 +28 *3840:75 *4242:19 0.000154145 +29 *3840:75 *4242:22 1.1095e-05 +30 *3860:78 *4242:22 0.00171308 +31 *3861:11 *23334:D1 0.000557389 +32 *3861:11 *4242:8 1.44611e-05 +33 *3861:11 *4242:12 6.28598e-05 +34 *3861:18 *4242:19 2.01595e-05 +35 *3861:35 *23811:A2 6.08467e-05 +36 *3861:301 *23334:D1 1.65872e-05 +37 *3899:19 *4242:12 0.000623254 +38 *3899:19 *4242:19 2.56516e-05 +39 *3914:10 *4242:19 0.000680428 +40 *3931:26 *4242:12 1.55462e-05 +41 *4134:57 *4242:19 9.22013e-06 +42 *4134:61 *4242:19 6.01944e-06 +43 *4134:63 *4242:19 2.01595e-05 +44 *4134:65 *4242:19 6.14273e-05 +45 *4237:29 *23811:A2 0.000157107 +*RES +1 *23333:X *4242:8 18.6595 +2 *4242:8 *4242:12 17.9591 +3 *4242:12 *4242:19 45.6439 +4 *4242:19 *4242:22 47.0267 +5 *4242:22 *23811:A2 18.3548 +6 *4242:12 *23465:B1 9.97254 +7 *4242:8 *23334:D1 22.237 +*END + +*D_NET *4243 0.000968989 +*CONN +*I *23337:A3 I *D sky130_fd_sc_hd__o32a_2 +*I *23334:Y O *D sky130_fd_sc_hd__o2111ai_1 +*CAP +1 *23337:A3 0.000301629 +2 *23334:Y 0.000301629 +3 *23235:C_N *23337:A3 0.000165495 +4 *23334:D1 *23337:A3 6.98337e-06 +5 *3840:30 *23337:A3 2.65831e-05 +6 *3980:8 *23337:A3 4.85808e-05 +7 *4143:46 *23337:A3 0.000118088 +*RES +1 *23334:Y *23337:A3 34.9002 +*END + +*D_NET *4244 0.0115507 +*CONN +*I *23491:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23698:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23336:A I *D sky130_fd_sc_hd__nor2_1 +*I *23396:C I *D sky130_fd_sc_hd__or3_1 +*I *23461:B1 I *D sky130_fd_sc_hd__o32a_2 +*I *23335:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23491:A2 0 +2 *23698:A2 0.00058962 +3 *23336:A 0.000406864 +4 *23396:C 0 +5 *23461:B1 0.000149637 +6 *23335:X 0.000481936 +7 *4244:43 0.000692576 +8 *4244:16 0.0011281 +9 *4244:10 0.00115649 +10 *23336:A *23396:A 6.08467e-05 +11 *23336:A *5456:151 3.58044e-05 +12 *23336:A *5632:28 0.000257519 +13 *23461:B1 *23396:A 0.000300565 +14 *23461:B1 *23461:A1 0.000162462 +15 *23461:B1 *5456:151 0.000117097 +16 *23461:B1 *5631:20 1.2693e-05 +17 *23698:A2 *23397:B 0.000220748 +18 *23698:A2 *4302:8 5.6623e-05 +19 *23698:A2 *5456:150 0.000250247 +20 *4244:16 *5600:39 0.000187461 +21 *23318:A *4244:10 2.89898e-05 +22 *23457:D *23336:A 0.000101133 +23 *23698:A1 *23698:A2 3.64415e-05 +24 *3779:111 *23336:A 0.000111722 +25 *3784:14 *23698:A2 3.71637e-05 +26 *3799:28 *23336:A 0.000728601 +27 *3799:28 *4244:16 0.000183849 +28 *3826:15 *23336:A 3.82228e-05 +29 *3925:11 *4244:16 0.000231759 +30 *4116:10 *4244:10 0.000692822 +31 *4130:8 *4244:10 0.000167113 +32 *4134:16 *23698:A2 2.95757e-05 +33 *4134:16 *4244:16 0.001967 +34 *4134:16 *4244:43 0.000301544 +35 *4146:10 *23698:A2 1.40966e-05 +36 *4219:23 *4244:16 0.000189315 +37 *4219:23 *4244:43 6.86098e-05 +38 *4219:34 *4244:43 7.92757e-06 +39 *4224:10 *23461:B1 0.000136457 +40 *4227:10 *4244:10 0 +41 *4230:11 *23698:A2 0.000211039 +*RES +1 *23335:X *4244:10 32.7103 +2 *4244:10 *4244:16 34.2913 +3 *4244:16 *23461:B1 24.8233 +4 *4244:16 *23396:C 9.24915 +5 *4244:16 *23336:A 34.2642 +6 *4244:10 *4244:43 4.05102 +7 *4244:43 *23698:A2 35.2751 +8 *4244:43 *23491:A2 9.24915 +*END + +*D_NET *4245 0.0114399 +*CONN +*I *23337:B2 I *D sky130_fd_sc_hd__o32a_2 +*I *23336:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23337:B2 6.65376e-05 +2 *23336:Y 0 +3 *4245:6 0.00155928 +4 *4245:5 0.00149274 +5 *4245:6 *5600:50 0.000600259 +6 *4245:6 *5600:55 0.00183006 +7 *4245:6 *5600:63 0.00108812 +8 *4245:6 *5632:39 0.000755491 +9 *4245:6 *5923:20 0.000238244 +10 *22923:A *4245:6 0.000146645 +11 *22995:B *4245:6 1.09645e-05 +12 *23337:A1 *23337:B2 0.0001687 +13 *23337:B1 *23337:B2 4.23445e-05 +14 *3781:14 *4245:6 0.000198501 +15 *3786:46 *4245:6 0.00036201 +16 *3786:54 *4245:6 0.000855317 +17 *3831:6 *4245:6 0.000331074 +18 *3831:12 *4245:6 0.000365329 +19 *3831:21 *4245:6 0.000752622 +20 *3922:26 *23337:B2 1.98996e-05 +21 *4134:24 *4245:6 0.000529234 +22 *4239:25 *4245:6 2.64856e-05 +*RES +1 *23336:Y *4245:5 13.7491 +2 *4245:5 *4245:6 76.5774 +3 *4245:6 *23337:B2 16.7392 +*END + +*D_NET *4246 0.0185857 +*CONN +*I *23341:C I *D sky130_fd_sc_hd__or4b_2 +*I *23337:X O *D sky130_fd_sc_hd__o32a_2 +*CAP +1 *23341:C 0 +2 *23337:X 0.00067909 +3 *4246:8 0.00195568 +4 *4246:7 0.00263477 +5 *4246:8 *23429:B_N 0.00133816 +6 *4246:8 *4254:53 1.23804e-05 +7 *4246:8 *4336:8 0.000114749 +8 *4246:8 *4735:12 0.00297027 +9 *4246:8 *5452:36 0.00191878 +10 *4246:8 *5458:37 0.000501488 +11 *23333:B *4246:7 0.000315549 +12 *3860:67 *4246:8 4.51619e-05 +13 *3860:74 *4246:8 0.0018193 +14 *3860:78 *4246:8 0.000203678 +15 *3861:18 *4246:8 0.000381903 +16 *3918:24 *4246:8 0.00077953 +17 *3922:26 *4246:7 0.000292715 +18 *3931:8 *4246:8 0.000216998 +19 *3931:36 *4246:8 0.000403507 +20 *3931:46 *4246:8 0.00157369 +21 *4134:54 *4246:7 0.000300565 +22 *4134:54 *4246:8 0.00012776 +*RES +1 *23337:X *4246:7 25.01 +2 *4246:7 *4246:8 115.611 +3 *4246:8 *23341:C 13.7491 +*END + +*D_NET *4247 0.00230817 +*CONN +*I *23686:B1 I *D sky130_fd_sc_hd__o211ai_4 +*I *23340:A I *D sky130_fd_sc_hd__and2_1 +*I *23338:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23686:B1 0.00024285 +2 *23340:A 0.000371646 +3 *23338:X 0 +4 *4247:4 0.000614496 +5 *23340:A *4249:10 2.16355e-05 +6 *23340:A *4336:20 3.99287e-05 +7 *23686:B1 *4376:8 0.000331074 +8 *23338:A *23686:B1 0.000111241 +9 *4134:65 *23340:A 1.65078e-05 +10 *4134:78 *23340:A 0.000186451 +11 *4241:16 *23686:B1 0.00015652 +12 *4241:46 *23686:B1 0.000215817 +*RES +1 *23338:X *4247:4 9.24915 +2 *4247:4 *23340:A 27.1783 +3 *4247:4 *23686:B1 27.1755 +*END + +*D_NET *4248 0.00134265 +*CONN +*I *23340:B I *D sky130_fd_sc_hd__and2_1 +*I *23835:B1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23339:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23340:B 4.22643e-05 +2 *23835:B1 0.000189357 +3 *23339:X 0 +4 *4248:5 0.000231621 +5 *23835:B1 *23835:A1 2.15184e-05 +6 *23835:B1 *23835:A2 0.000107496 +7 *3861:18 *23340:B 0.000141016 +8 *3861:18 *23835:B1 0.000264 +9 *3914:10 *23835:B1 6.14128e-05 +10 *4006:30 *23835:B1 5.04829e-06 +11 *4242:19 *23340:B 0.000151741 +12 *4242:19 *23835:B1 0.000127179 +*RES +1 *23339:X *4248:5 13.7491 +2 *4248:5 *23835:B1 20.8751 +3 *4248:5 *23340:B 16.4116 +*END + +*D_NET *4249 0.0116171 +*CONN +*I *23470:C1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23341:D_N I *D sky130_fd_sc_hd__or4b_2 +*I *23340:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23470:C1 6.00631e-05 +2 *23341:D_N 2.15252e-05 +3 *23340:X 0.0002876 +4 *4249:14 0.000959802 +5 *4249:13 0.00116549 +6 *4249:10 0.000574875 +7 *23470:C1 *23470:D1 5.13414e-05 +8 *23470:C1 *4336:20 9.95542e-06 +9 *4249:10 *4336:20 0.000629199 +10 *4249:13 *4336:20 1.82679e-05 +11 *4249:13 *4377:15 0.000348161 +12 *4249:13 *5848:5 3.4123e-05 +13 *4249:14 *4326:32 0.000995057 +14 *4249:14 *4519:22 0.0032921 +15 *4249:14 *5926:19 0.000562144 +16 *4249:14 *5929:10 4.60375e-07 +17 *23107:B *23470:C1 6.2497e-05 +18 *23107:B *4249:13 3.73375e-05 +19 *23329:A1 *23341:D_N 6.3657e-05 +20 *23340:A *4249:10 2.16355e-05 +21 *23341:B *23341:D_N 1.03403e-05 +22 *23835:C1 *4249:10 3.24019e-05 +23 *3840:75 *4249:14 0.000527493 +24 *3860:82 *4249:14 0.000767164 +25 *3860:91 *4249:14 0.000227334 +26 *3899:29 *4249:10 0.000107511 +27 *3902:31 *4249:14 4.84132e-05 +28 *3914:10 *4249:10 0.000701163 +*RES +1 *23340:X *4249:10 31.6011 +2 *4249:10 *4249:13 10.7694 +3 *4249:13 *4249:14 56.6453 +4 *4249:14 *23341:D_N 14.4725 +5 *4249:10 *23470:C1 11.6605 +*END + +*D_NET *4250 0.0101579 +*CONN +*I *23344:B I *D sky130_fd_sc_hd__or4_1 +*I *23341:X O *D sky130_fd_sc_hd__or4b_2 +*CAP +1 *23344:B 0.000846934 +2 *23341:X 0.000174949 +3 *4250:17 0.00184892 +4 *4250:7 0.00117693 +5 *23344:B *23344:D 1.5714e-05 +6 *23344:B *23919:A 0.000124279 +7 *23344:B *5455:24 0.000683408 +8 *23344:B *5455:30 4.63031e-05 +9 *23344:B *5455:32 7.09666e-06 +10 *23344:B *5592:9 0.000120218 +11 *23344:B *5909:38 1.57662e-05 +12 *23344:B *5924:20 0.000120807 +13 *4250:17 *4326:52 1.41761e-05 +14 *4250:17 *4373:32 6.3152e-05 +15 *4250:17 *5455:32 0.00217614 +16 *4250:17 *5456:41 0 +17 *4250:17 *5816:8 9.2013e-05 +18 *4250:17 *5816:24 0.00120404 +19 *4250:17 *5828:13 0.000331892 +20 *23329:A1 *4250:7 0.000258222 +21 *23611:A3 *23344:B 0.00012896 +22 *3860:123 *23344:B 5.77352e-05 +23 *3868:279 *4250:17 2.26297e-05 +24 *3917:72 *23344:B 0.00028956 +25 *4236:19 *23344:B 0.000175684 +26 *4236:28 *23344:B 1.07248e-05 +27 *4236:107 *4250:17 0.000151632 +*RES +1 *23341:X *4250:7 16.691 +2 *4250:7 *4250:17 46.5656 +3 *4250:17 *23344:B 44.5145 +*END + +*D_NET *4251 0.0195774 +*CONN +*I *23427:A I *D sky130_fd_sc_hd__or4b_1 +*I *23688:B I *D sky130_fd_sc_hd__or3_1 +*I *23616:A I *D sky130_fd_sc_hd__or2_1 +*I *23344:C I *D sky130_fd_sc_hd__or4_1 +*I *23342:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *23427:A 0.000450157 +2 *23688:B 0.000448831 +3 *23616:A 0 +4 *23344:C 9.17119e-05 +5 *23342:Y 0 +6 *4251:41 0.00223978 +7 *4251:35 0.00279423 +8 *4251:22 0.00159363 +9 *4251:15 0.000259529 +10 *4251:5 0.000211055 +11 *23344:C *4254:48 7.48435e-05 +12 *23344:C *5800:42 6.9747e-05 +13 *23427:A *23429:A_N 2.81678e-06 +14 *23427:A *4589:19 0.000107496 +15 *23427:A *4614:26 9.4205e-05 +16 *23688:B *23473:B 1.99543e-05 +17 *23688:B *23688:C 0.000128508 +18 *23688:B *4380:10 2.37827e-05 +19 *4251:15 *4254:48 0.000321325 +20 *4251:15 *5800:42 0.0003122 +21 *4251:22 *4254:48 0.000397339 +22 *4251:22 *5800:42 0.00037909 +23 *4251:35 *4254:48 0.000977702 +24 *4251:35 *5800:42 0.00108252 +25 *4251:41 *23618:C 0.000241641 +26 *4251:41 *4326:52 0.000162752 +27 *4251:41 *4589:20 0.000574484 +28 *4251:41 *4591:26 0.00221784 +29 *4251:41 *4592:14 0.000343982 +30 *22089:A1 *4251:35 5.99155e-05 +31 *23008:B *4251:41 1.91246e-05 +32 *23010:A1 *4251:41 3.39823e-05 +33 *23241:C1 *4251:41 1.76176e-05 +34 *23342:A *23344:C 0.000107496 +35 *23342:B *23344:C 0.000256726 +36 *23344:A *23344:C 7.43087e-05 +37 *23422:B *23427:A 3.66213e-05 +38 *23611:A1 *4251:35 3.29488e-05 +39 *23611:A2 *4251:41 2.70488e-05 +40 *23725:A1 *4251:41 3.64903e-05 +41 *3840:101 *4251:35 0.000228715 +42 *3861:54 *4251:41 1.43499e-05 +43 *3861:76 *23688:B 0.000107496 +44 *3861:237 *23427:A 1.45721e-05 +45 *3868:165 *4251:35 0.000182966 +46 *3874:77 *4251:41 7.02686e-05 +47 *3891:176 *23427:A 0.00018349 +48 *3902:44 *4251:35 0.00146882 +49 *3914:14 *23427:A 1.41761e-05 +50 *3917:38 *4251:41 2.65605e-06 +51 *3917:72 *23344:C 5.21466e-06 +52 *3917:90 *23344:C 1.65872e-05 +53 *3918:13 *4251:41 1.5714e-05 +54 *3922:67 *4251:41 0.000493982 +55 *3931:46 *23427:A 1.24878e-05 +56 *4233:166 *4251:35 5.11466e-05 +57 *4236:107 *4251:35 0.00037135 +*RES +1 *23342:Y *4251:5 13.7491 +2 *4251:5 *23344:C 18.1077 +3 *4251:5 *4251:15 5.98452 +4 *4251:15 *23616:A 13.7491 +5 *4251:15 *4251:22 7.23027 +6 *4251:22 *23688:B 23.4909 +7 *4251:22 *4251:35 49.0099 +8 *4251:35 *4251:41 49.5055 +9 *4251:41 *23427:A 29.6109 +*END + +*D_NET *4252 0.00270051 +*CONN +*I *23616:B I *D sky130_fd_sc_hd__or2_1 +*I *23472:B I *D sky130_fd_sc_hd__or2_1 +*I *23344:D I *D sky130_fd_sc_hd__or4_1 +*I *23343:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *23616:B 7.36232e-05 +2 *23472:B 0.000137661 +3 *23344:D 0.00023476 +4 *23343:Y 9.67878e-05 +5 *4252:21 0.000311295 +6 *4252:6 0.000431559 +7 *23344:D *5592:9 1.9101e-05 +8 *23344:D *5800:32 4.81733e-06 +9 *23616:B *5800:42 0.000212012 +10 *4252:6 *5455:24 7.06079e-06 +11 *4252:6 *5800:42 0.000136501 +12 *4252:21 *5455:24 3.09611e-05 +13 *4252:21 *5800:42 0.000144928 +14 *23344:A *23344:D 0.00037226 +15 *23344:B *23344:D 1.5714e-05 +16 *3860:123 *23344:D 1.61631e-05 +17 *3917:72 *23344:D 6.08467e-05 +18 *4231:11 *23344:D 8.04172e-05 +19 *4231:11 *4252:6 0.000102032 +20 *4236:19 *23616:B 0.000203646 +21 *4236:19 *4252:21 8.36586e-06 +*RES +1 *23343:Y *4252:6 16.6193 +2 *4252:6 *23344:D 22.0976 +3 *4252:6 *4252:21 2.87013 +4 *4252:21 *23472:B 16.1364 +5 *4252:21 *23616:B 17.6574 +*END + +*D_NET *4253 0.00317493 +*CONN +*I *23348:A I *D sky130_fd_sc_hd__or4_1 +*I *23344:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23348:A 0.000430682 +2 *23344:X 0.000430682 +3 *23348:A *5455:24 0.00104811 +4 *23348:A *5800:32 0.000154378 +5 *23348:A *5926:35 0.000864674 +6 *23342:B *23348:A 0.000107496 +7 *3860:191 *23348:A 2.33397e-05 +8 *3974:126 *23348:A 0 +9 *4231:11 *23348:A 0.00011557 +*RES +1 *23344:X *23348:A 47.6336 +*END + +*D_NET *4254 0.0219681 +*CONN +*I *23429:B_N I *D sky130_fd_sc_hd__and4bb_1 +*I *23793:B I *D sky130_fd_sc_hd__or2_1 +*I *23775:A I *D sky130_fd_sc_hd__or2_1 +*I *23348:B I *D sky130_fd_sc_hd__or4_1 +*I *23345:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *23429:B_N 0.000407531 +2 *23793:B 0.000168964 +3 *23775:A 5.142e-05 +4 *23348:B 0.000490545 +5 *23345:Y 0 +6 *4254:53 0.00145265 +7 *4254:50 0.00104512 +8 *4254:48 0.00107283 +9 *4254:30 0.00130549 +10 *4254:21 0.000233226 +11 *4254:4 0.000608656 +12 *23348:B *23348:D 0.000341956 +13 *23429:B_N *23427:B 0.000777887 +14 *23429:B_N *4519:22 1.28326e-05 +15 *23429:B_N *5458:37 0.000158304 +16 *23775:A *5682:35 0.000255976 +17 *4254:21 *5682:35 9.55447e-05 +18 *4254:48 *5458:22 0.00021575 +19 *4254:48 *5800:42 1.0397e-05 +20 *4254:48 *5919:40 1.43499e-05 +21 *4254:53 *23617:B 0.000298923 +22 *4254:53 *4519:22 7.73527e-05 +23 *4254:53 *4522:8 0.000699568 +24 *23328:B *4254:53 4.40531e-05 +25 *23341:A *4254:53 3.57202e-05 +26 *23344:C *4254:48 7.48435e-05 +27 *23345:A *23348:B 1.65872e-05 +28 *23345:B *23348:B 7.34948e-06 +29 *23345:B *4254:21 1.92172e-05 +30 *23387:A *4254:48 1.43499e-05 +31 *23424:B1 *23429:B_N 0.000125455 +32 *23611:A2 *4254:48 3.17436e-05 +33 *23776:D_N *4254:53 0.000215043 +34 *1809:35 *4254:48 6.68986e-06 +35 *3791:142 *23775:A 0.00025175 +36 *3791:142 *4254:21 0.000107496 +37 *3840:106 *4254:53 0.000656429 +38 *3860:78 *23429:B_N 8.52802e-05 +39 *3868:279 *4254:48 3.17436e-05 +40 *3868:302 *4254:48 0.000121684 +41 *3902:38 *4254:53 0.00105548 +42 *3902:44 *4254:48 0.000422887 +43 *3902:44 *4254:53 0.000191427 +44 *3902:50 *4254:21 0.000118485 +45 *3902:50 *4254:30 0.00024873 +46 *3902:50 *4254:48 0.00201164 +47 *3904:21 *4254:21 0.000118485 +48 *3918:24 *23429:B_N 0.000106973 +49 *3918:24 *4254:53 0.0016681 +50 *3920:6 *4254:53 7.50872e-05 +51 *3974:126 *23348:B 7.68538e-06 +52 *3974:126 *4254:30 0.000252327 +53 *3974:126 *4254:48 0.000651884 +54 *4233:28 *4254:53 0.000100693 +55 *4233:33 *4254:48 0.000223043 +56 *4242:22 *23429:B_N 2.75828e-05 +57 *4246:8 *23429:B_N 0.00133816 +58 *4246:8 *4254:53 1.23804e-05 +59 *4251:15 *4254:48 0.000321325 +60 *4251:22 *4254:48 0.000397339 +61 *4251:35 *4254:48 0.000977702 +*RES +1 *23345:Y *4254:4 9.24915 +2 *4254:4 *23348:B 17.9058 +3 *4254:4 *4254:21 13.2486 +4 *4254:21 *23775:A 12.191 +5 *4254:21 *4254:30 8.82351 +6 *4254:30 *23793:B 16.691 +7 *4254:30 *4254:48 48.939 +8 *4254:48 *4254:50 3.36879 +9 *4254:50 *4254:53 49.9035 +10 *4254:53 *23429:B_N 37.3819 +*END + +*D_NET *4255 0.00151822 +*CONN +*I *23775:B I *D sky130_fd_sc_hd__or2_1 +*I *23348:C I *D sky130_fd_sc_hd__or4_1 +*I *23474:B I *D sky130_fd_sc_hd__or2_1 +*I *23346:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23775:B 5.29429e-05 +2 *23348:C 0 +3 *23474:B 8.97659e-05 +4 *23346:Y 0.000104365 +5 *4255:18 0.000109494 +6 *4255:5 0.000250682 +7 *23474:B *23690:C 0 +8 *23474:B *4256:5 3.53886e-05 +9 *23775:B *5455:24 0.000172676 +10 *4255:5 *4256:5 0.000107496 +11 *4255:18 *5455:24 0.000148129 +12 *23346:A *23474:B 2.49511e-06 +13 *23346:A *4255:5 0.000118166 +14 *23347:B *4255:5 2.16355e-05 +15 *4236:28 *23775:B 0.000161951 +16 *4236:28 *4255:18 0.000143032 +*RES +1 *23346:Y *4255:5 12.191 +2 *4255:5 *23474:B 11.6846 +3 *4255:5 *4255:18 7.1625 +4 *4255:18 *23348:C 13.7491 +5 *4255:18 *23775:B 16.8269 +*END + +*D_NET *4256 0.0020356 +*CONN +*I *23690:B I *D sky130_fd_sc_hd__or3_1 +*I *23348:D I *D sky130_fd_sc_hd__or4_1 +*I *23347:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23690:B 4.75833e-05 +2 *23348:D 0.000195912 +3 *23347:Y 0.000322378 +4 *4256:5 0.000565873 +5 *23690:B *23690:C 1.00846e-05 +6 *4256:5 *23690:C 4.30017e-06 +7 *23345:A *23348:D 6.08467e-05 +8 *23348:B *23348:D 0.000341956 +9 *23474:A *4256:5 2.8182e-06 +10 *23474:B *4256:5 3.53886e-05 +11 *3840:147 *23348:D 0.000165481 +12 *3902:50 *23348:D 1.43613e-05 +13 *3902:57 *23348:D 0.000144531 +14 *3974:126 *23348:D 1.65872e-05 +15 *4255:5 *4256:5 0.000107496 +*RES +1 *23347:Y *4256:5 13.8548 +2 *4256:5 *23348:D 25.3779 +3 *4256:5 *23690:B 9.97254 +*END + +*D_NET *4257 0.00368137 +*CONN +*I *23354:A I *D sky130_fd_sc_hd__or4_1 +*I *23348:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23354:A 0 +2 *23348:X 0.000511541 +3 *4257:9 0.000511541 +4 *4257:9 *23354:C 7.32006e-05 +5 *4257:9 *23619:C1 0.000119531 +6 *4257:9 *4525:14 0.000162739 +7 *4257:9 *5926:35 0.000286905 +8 *4257:9 *5927:48 0 +9 *20054:A3 *4257:9 0.000167281 +10 *23619:A1 *4257:9 0.000572646 +11 *3840:147 *4257:9 0.00127599 +*RES +1 *23348:X *4257:9 43.231 +2 *4257:9 *23354:A 9.24915 +*END + +*D_NET *4258 0.00233761 +*CONN +*I *23619:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23350:A I *D sky130_fd_sc_hd__inv_2 +*I *23349:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23619:C1 0.000306106 +2 *23350:A 0 +3 *23349:X 0.000126883 +4 *4258:9 0.000432989 +5 *23619:C1 *23354:B 2.82537e-05 +6 *23619:C1 *23354:C 2.35959e-05 +7 *23619:C1 *23619:A2 5.78268e-05 +8 *23619:C1 *4326:100 0.000238355 +9 *23619:C1 *5455:24 7.65861e-05 +10 *23619:C1 *5674:11 4.97617e-05 +11 *23619:C1 *5927:48 0 +12 *4258:9 *5674:11 6.11872e-05 +13 *4258:9 *5927:48 0.000135644 +14 *23619:A1 *23619:C1 0.000323857 +15 *657:146 *4258:9 0.000139241 +16 *3860:138 *23619:C1 0.000154145 +17 *3860:138 *4258:9 6.36477e-05 +18 *4257:9 *23619:C1 0.000119531 +*RES +1 *23349:X *4258:9 22.7442 +2 *4258:9 *23350:A 9.24915 +3 *4258:9 *23619:C1 29.9165 +*END + +*D_NET *4259 0.00101246 +*CONN +*I *23475:B I *D sky130_fd_sc_hd__or2_1 +*I *23354:B I *D sky130_fd_sc_hd__or4_1 +*I *23350:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23475:B 0.000183554 +2 *23354:B 8.74272e-05 +3 *23350:Y 0 +4 *4259:5 0.000270981 +5 *23354:B *5455:24 0.000122951 +6 *23354:B *5927:48 1.61918e-05 +7 *23475:B *5455:24 9.46352e-05 +8 *23619:C1 *23354:B 2.82537e-05 +9 *1455:82 *23354:B 0.000119354 +10 *1455:82 *23475:B 8.91086e-05 +*RES +1 *23350:Y *4259:5 13.7491 +2 *4259:5 *23354:B 17.2421 +3 *4259:5 *23475:B 17.9683 +*END + +*D_NET *4260 0.00640556 +*CONN +*I *23421:B I *D sky130_fd_sc_hd__nor2_1 +*I *23759:B I *D sky130_fd_sc_hd__or4_2 +*I *23354:C I *D sky130_fd_sc_hd__or4_1 +*I *23351:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23421:B 0.000163231 +2 *23759:B 0.000364933 +3 *23354:C 0.00041093 +4 *23351:Y 0.000212317 +5 *4260:16 0.00106935 +6 *4260:13 0.000669036 +7 *23354:C *23619:A2 5.481e-05 +8 *23354:C *4525:14 1.65872e-05 +9 *23421:B *4328:7 5.1633e-05 +10 *23421:B *4328:31 1.04818e-05 +11 *23759:B *23477:D 0.000268034 +12 *23759:B *4326:85 0.000194481 +13 *4260:13 *4262:8 0.000105182 +14 *4260:13 *4326:100 0.000101836 +15 *4260:16 *23477:D 0.000186445 +16 *23026:B1 *23421:B 9.68909e-05 +17 *23026:B1 *4260:13 3.58044e-05 +18 *23349:B *23354:C 0 +19 *23351:B *4260:13 3.21754e-05 +20 *23420:A *23421:B 0.000107496 +21 *23475:A *23759:B 0.000298399 +22 *23619:A1 *23354:C 0.000156955 +23 *23619:C1 *23354:C 2.35959e-05 +24 *1427:48 *4260:16 0.000317005 +25 *3860:143 *23759:B 0.000158092 +26 *3860:143 *4260:16 0.000787019 +27 *3900:34 *23421:B 0.000210063 +28 *3904:5 *4260:13 5.97576e-05 +29 *3904:8 *4260:16 0.000132359 +30 *3904:17 *23759:B 3.74593e-05 +31 *4257:9 *23354:C 7.32006e-05 +*RES +1 *23351:Y *4260:13 24.7572 +2 *4260:13 *4260:16 18.7896 +3 *4260:16 *23354:C 21.176 +4 *4260:16 *23759:B 24.0606 +5 *4260:13 *23421:B 14.9881 +*END + +*D_NET *4261 0.00287707 +*CONN +*I *23713:B1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23353:A I *D sky130_fd_sc_hd__inv_2 +*I *23352:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23713:B1 0.000181199 +2 *23353:A 0.000222082 +3 *23352:X 0 +4 *4261:4 0.000403281 +5 *23353:A *4525:14 4.27636e-05 +6 *23353:A *4525:15 0.000394418 +7 *23713:B1 *4329:15 3.57578e-05 +8 *23713:B1 *4525:15 0.000532638 +9 *23713:A2 *23713:B1 0.000511409 +10 *657:143 *23353:A 0.000184915 +11 *2405:34 *23353:A 7.63448e-05 +12 *2405:34 *23713:B1 0.000118409 +13 *2406:10 *23353:A 0.000127164 +14 *3791:174 *23713:B1 4.66859e-05 +*RES +1 *23352:X *4261:4 9.24915 +2 *4261:4 *23353:A 26.3478 +3 *4261:4 *23713:B1 18.8703 +*END + +*D_NET *4262 0.00356227 +*CONN +*I *23354:D I *D sky130_fd_sc_hd__or4_1 +*I *23476:B I *D sky130_fd_sc_hd__or2_1 +*I *23353:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23354:D 0.000379127 +2 *23476:B 9.53927e-05 +3 *23353:Y 0.000405572 +4 *4262:8 0.000880092 +5 *23354:D *4263:11 0.000131479 +6 *23354:D *4326:100 1.26746e-05 +7 *23354:D *4525:14 8.78153e-05 +8 *23354:D *5455:70 0 +9 *23354:D *5927:48 2.60879e-06 +10 *23476:B *23922:A 3.82228e-05 +11 *23476:B *23923:A 2.64419e-05 +12 *23476:B *25207:A 0 +13 *4262:8 *4263:11 0.000429883 +14 *4262:8 *4326:100 1.95176e-05 +15 *4262:8 *5456:72 4.42033e-05 +16 *23025:B *4262:8 0.000120985 +17 *23351:B *4262:8 1.25395e-05 +18 *657:143 *4262:8 7.5194e-05 +19 *3791:161 *4262:8 0.000116632 +20 *3840:147 *23354:D 2.1203e-06 +21 *3903:61 *23354:D 8.74551e-05 +22 *3903:61 *4262:8 0.000489134 +23 *4260:13 *4262:8 0.000105182 +*RES +1 *23353:Y *4262:8 29.3167 +2 *4262:8 *23476:B 16.1605 +3 *4262:8 *23354:D 22.0961 +*END + +*D_NET *4263 0.00496953 +*CONN +*I *23358:A I *D sky130_fd_sc_hd__or4_1 +*I *23354:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23358:A 0.000119225 +2 *23354:X 0.0014352 +3 *4263:11 0.00155443 +4 *23358:A *23358:C 6.37152e-05 +5 *23358:A *23358:D 3.61635e-07 +6 *23358:A *4618:20 0.000127931 +7 *4263:11 *23478:B 2.41274e-06 +8 *4263:11 *4264:13 0.000595463 +9 *4263:11 *4525:14 2.62371e-05 +10 *4263:11 *5563:35 7.13469e-05 +11 debug_in *4263:11 1.22858e-05 +12 *23354:D *4263:11 0.000131479 +13 *657:143 *4263:11 0.000288867 +14 *3900:18 *23358:A 0.000110696 +15 *4262:8 *4263:11 0.000429883 +*RES +1 *23354:X *4263:11 49.6931 +2 *4263:11 *23358:A 17.4967 +*END + +*D_NET *4264 0.00361519 +*CONN +*I *23691:B I *D sky130_fd_sc_hd__or3_1 +*I *23358:B I *D sky130_fd_sc_hd__or4_1 +*I *23355:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23691:B 0.000128789 +2 *23358:B 7.0295e-05 +3 *23355:Y 0.00040976 +4 *4264:13 0.000608843 +5 *23358:B *23847:B 3.06807e-05 +6 *23691:B *23847:B 3.029e-06 +7 *23691:B *4328:32 0.000325704 +8 *4264:13 *4328:31 0.000531429 +9 *4264:13 *5563:35 1.97516e-05 +10 *1731:85 *4264:13 9.68902e-05 +11 *3900:18 *23358:B 8.5985e-05 +12 *3900:18 *23691:B 0.000347863 +13 *3900:34 *4264:13 0.000360712 +14 *4263:11 *4264:13 0.000595463 +*RES +1 *23355:Y *4264:13 35.4562 +2 *4264:13 *23358:B 15.5811 +3 *4264:13 *23691:B 20.1489 +*END + +*D_NET *4265 0.00300202 +*CONN +*I *23478:B I *D sky130_fd_sc_hd__or2_1 +*I *23358:C I *D sky130_fd_sc_hd__or4_1 +*I *23356:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23478:B 0.000252859 +2 *23358:C 0.000165694 +3 *23356:Y 0.000412363 +4 *4265:10 0.000830917 +5 *23358:C *23362:A 7.92757e-06 +6 *23358:C *23481:A 3.82228e-05 +7 *23358:C *4329:15 2.41274e-06 +8 *23478:B *23481:B 1.03403e-05 +9 *23478:B *23847:C 4.99151e-05 +10 *23478:B *5563:35 3.73237e-05 +11 *23478:B *5563:39 6.4767e-05 +12 *4265:10 *4266:10 0.000159756 +13 *4265:10 *5563:39 0.000152544 +14 *23357:A *4265:10 0.000156955 +15 *23357:B *4265:10 0.000107496 +16 *23358:A *23358:C 6.37152e-05 +17 *23478:A *23478:B 0.000156955 +18 *2502:36 *23478:B 0.000122167 +19 *3874:204 *4265:10 1.47488e-05 +20 *3936:14 *4265:10 3.57646e-05 +21 *4236:61 *23478:B 1.66771e-05 +22 *4236:61 *4265:10 0.000140082 +23 *4263:11 *23478:B 2.41274e-06 +*RES +1 *23356:Y *4265:10 24.7843 +2 *4265:10 *23358:C 17.2456 +3 *4265:10 *23478:B 21.2512 +*END + +*D_NET *4266 0.00237165 +*CONN +*I *23358:D I *D sky130_fd_sc_hd__or4_1 +*I *23847:B I *D sky130_fd_sc_hd__or4_2 +*I *23357:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23358:D 2.58608e-05 +2 *23847:B 0.000410739 +3 *23357:Y 0.000196294 +4 *4266:10 0.000632894 +5 *23358:D *4329:15 1.97752e-05 +6 *23847:B *4328:32 0.000259243 +7 *23847:B *4617:8 0.000438855 +8 *4266:10 *4329:15 7.14746e-05 +9 *4266:10 *4617:8 6.61215e-06 +10 *23355:A *23358:D 1.42275e-06 +11 *23358:A *23358:D 3.61635e-07 +12 *23358:B *23847:B 3.06807e-05 +13 *23691:B *23847:B 3.029e-06 +14 *1427:57 *23847:B 2.09482e-05 +15 *2502:36 *23847:B 1.81863e-06 +16 *2502:36 *4266:10 8.39222e-06 +17 *3900:18 *23847:B 9.65083e-06 +18 *3900:18 *4266:10 6.67835e-06 +19 *3900:21 *23358:D 7.03155e-06 +20 *3937:29 *4266:10 6.01329e-05 +21 *4265:10 *4266:10 0.000159756 +*RES +1 *23357:Y *4266:10 18.1465 +2 *4266:10 *23847:B 24.6124 +3 *4266:10 *23358:D 14.4183 +*END + +*D_NET *4267 0.002791 +*CONN +*I *23362:A I *D sky130_fd_sc_hd__or3_1 +*I *23358:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23362:A 0.000684069 +2 *23358:X 0.000684069 +3 *23362:A *23479:B 6.5389e-05 +4 *23362:A *23481:A 0.000444819 +5 *23362:A *23481:C 8.92615e-05 +6 *23362:A *4269:6 2.22198e-05 +7 *23362:A *4270:8 0.000125695 +8 *23362:A *4386:8 0.000168596 +9 *23362:A *5082:62 4.06262e-05 +10 *23358:C *23362:A 7.92757e-06 +11 *23361:B *23362:A 0.000168381 +12 *2405:34 *23362:A 0.000189712 +13 *3862:37 *23362:A 0.000100233 +*RES +1 *23358:X *23362:A 45.4264 +*END + +*D_NET *4268 0.00127385 +*CONN +*I *23360:A I *D sky130_fd_sc_hd__inv_2 +*I *23843:B1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23359:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23360:A 0.000166114 +2 *23843:B1 0 +3 *23359:X 5.50174e-05 +4 *4268:6 0.000221131 +5 *23360:A *4741:18 0.000324698 +6 *23360:A *5929:38 0.000338876 +7 *4268:6 *5929:38 0.000125695 +8 *1587:63 *23360:A 7.83506e-06 +9 *1587:63 *4268:6 3.44886e-05 +*RES +1 *23359:X *4268:6 15.9964 +2 *4268:6 *23843:B1 13.7491 +3 *4268:6 *23360:A 20.5642 +*END + +*D_NET *4269 0.00199906 +*CONN +*I *23479:B I *D sky130_fd_sc_hd__or2_1 +*I *23362:B I *D sky130_fd_sc_hd__or3_1 +*I *23360:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23479:B 0.000227323 +2 *23362:B 4.18856e-05 +3 *23360:Y 0.000206296 +4 *4269:6 0.000475504 +5 *4269:6 *4270:8 8.92568e-06 +6 *4269:6 *5082:62 0 +7 *4269:6 *5929:38 7.41058e-05 +8 *23362:A *23479:B 6.5389e-05 +9 *23362:A *4269:6 2.22198e-05 +10 *2405:34 *23479:B 0.000116728 +11 *2632:39 *23362:B 6.50727e-05 +12 *3862:15 *23479:B 0.000134797 +13 *3862:15 *4269:6 0.000221185 +14 *3862:37 *23479:B 0.000138836 +15 *3874:194 *23479:B 0.000200794 +*RES +1 *23360:Y *4269:6 20.5642 +2 *4269:6 *23362:B 14.4725 +3 *4269:6 *23479:B 21.2904 +*END + +*D_NET *4270 0.00270189 +*CONN +*I *23692:B I *D sky130_fd_sc_hd__or3_1 +*I *23362:C I *D sky130_fd_sc_hd__or3_1 +*I *23361:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23692:B 0.000419564 +2 *23362:C 3.84357e-05 +3 *23361:Y 4.75044e-05 +4 *4270:8 0.000505504 +5 *23692:B *23480:B 0.000173725 +6 *23692:B *23760:B1 0.000492167 +7 *23692:B *4271:7 0.000136298 +8 *4270:8 *5082:62 0.000148159 +9 *23362:A *4270:8 0.000125695 +10 *2632:39 *23362:C 5.0715e-05 +11 *2632:39 *23692:B 3.31745e-05 +12 *3874:131 *23692:B 0.000453443 +13 *3877:161 *23692:B 6.85778e-05 +14 *4269:6 *4270:8 8.92568e-06 +*RES +1 *23361:Y *4270:8 20.9116 +2 *4270:8 *23362:C 10.5271 +3 *4270:8 *23692:B 33.8251 +*END + +*D_NET *4271 0.00543092 +*CONN +*I *23365:A I *D sky130_fd_sc_hd__or3_1 +*I *23362:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23365:A 0.000861453 +2 *23362:X 0.000266022 +3 *4271:7 0.00112747 +4 *23365:A *23435:C 9.55925e-05 +5 *23365:A *23714:C 7.47581e-05 +6 *23365:A *23760:B1 0.000240667 +7 *23365:A *4272:12 2.16355e-05 +8 *23365:A *4273:14 0.00115283 +9 *23365:A *4340:20 0.000151716 +10 *23028:A *23365:A 0.000198511 +11 *23031:A *23365:A 6.50727e-05 +12 *23033:A *23365:A 9.32951e-05 +13 *23692:B *4271:7 0.000136298 +14 *1786:43 *23365:A 0.000806157 +15 *3877:166 *23365:A 0.000139435 +*RES +1 *23362:X *4271:7 17.8002 +2 *4271:7 *23365:A 47.0332 +*END + +*D_NET *4272 0.00744876 +*CONN +*I *23365:B I *D sky130_fd_sc_hd__or3_1 +*I *23480:B I *D sky130_fd_sc_hd__or2_1 +*I *23607:B I *D sky130_fd_sc_hd__or3_1 +*I *23363:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23365:B 0 +2 *23480:B 0.00078009 +3 *23607:B 0 +4 *23363:Y 0.00023065 +5 *4272:12 0.00142887 +6 *4272:5 0.000879431 +7 *23480:B *23622:A 0.000158448 +8 *23480:B *23692:C 4.11649e-05 +9 *23480:B *23760:B1 5.22555e-05 +10 *23480:B *4597:8 2.77625e-06 +11 *23480:B *4618:16 0.000417593 +12 *4272:12 *23622:A 0.000117385 +13 *4272:12 *23714:D 0.000795428 +14 *4272:12 *4618:13 5.94319e-06 +15 *4272:12 *4618:16 0.000803076 +16 *23031:A *4272:5 0.000102762 +17 *23031:A *4272:12 2.39581e-05 +18 *23033:A *4272:12 4.23101e-05 +19 *23033:B *4272:12 0.00011818 +20 *23365:A *4272:12 2.16355e-05 +21 *23692:A *23480:B 0.000217937 +22 *23692:B *23480:B 0.000173725 +23 *23714:A *4272:12 1.5714e-05 +24 *1438:83 *4272:12 0 +25 *1756:49 *4272:12 1.44611e-05 +26 *1786:52 *23480:B 7.77309e-06 +27 *2632:46 *23480:B 0.000476681 +28 *3877:161 *23480:B 8.98943e-05 +29 *3898:35 *23480:B 0.000227778 +30 *3943:8 *4272:12 0.000202835 +*RES +1 *23363:Y *4272:5 12.7456 +2 *4272:5 *4272:12 28.5389 +3 *4272:12 *23607:B 13.7491 +4 *4272:12 *23480:B 40.5529 +5 *4272:5 *23365:B 9.24915 +*END + +*D_NET *4273 0.0072041 +*CONN +*I *23760:B1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23434:B I *D sky130_fd_sc_hd__or2_1 +*I *23365:C I *D sky130_fd_sc_hd__or3_1 +*I *23364:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23760:B1 0.000521813 +2 *23434:B 0 +3 *23365:C 0.000126359 +4 *23364:Y 4.51842e-05 +5 *4273:14 0.000876526 +6 *4273:7 0.00131716 +7 *23365:C *5563:44 8.72537e-06 +8 *23760:B1 *4387:10 0.000127179 +9 *23760:B1 *4597:8 0.000127179 +10 *4273:7 *23622:D_N 6.08467e-05 +11 *4273:14 *23435:C 7.50722e-05 +12 *4273:14 *4618:16 8.56132e-05 +13 *23033:A *4273:14 0.000165422 +14 *23034:A *23365:C 3.12828e-05 +15 *23034:A *4273:14 9.2874e-05 +16 *23037:B1 *4273:14 0.000200794 +17 *23038:A1 *4273:14 0.000113496 +18 *23363:A *23365:C 0.000170955 +19 *23365:A *23760:B1 0.000240667 +20 *23365:A *4273:14 0.00115283 +21 *23479:A *23760:B1 2.16783e-05 +22 *23480:B *23760:B1 5.22555e-05 +23 *23692:A *23760:B1 2.15634e-05 +24 *23692:B *23760:B1 0.000492167 +25 *1786:43 *23365:C 8.90323e-05 +26 *1786:43 *4273:14 9.83674e-06 +27 *1786:52 *23760:B1 9.60366e-05 +28 *1786:52 *4273:14 0.000657082 +29 *3877:161 *23760:B1 3.41728e-05 +30 *3877:164 *23760:B1 1.51735e-05 +31 *3877:166 *23760:B1 0.000175112 +*RES +1 *23364:Y *4273:7 14.4725 +2 *4273:7 *4273:14 35.3986 +3 *4273:14 *23365:C 18.0727 +4 *4273:14 *23434:B 13.7491 +5 *4273:7 *23760:B1 32.6065 +*END + +*D_NET *4274 0.000974119 +*CONN +*I *23368:A I *D sky130_fd_sc_hd__or2_1 +*I *23365:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23368:A 0.000411753 +2 *23365:X 0.000411753 +3 *23368:A *4864:7 3.64685e-05 +4 *514:31 *23368:A 8.02042e-05 +5 *1756:49 *23368:A 0 +6 *1786:43 *23368:A 3.39391e-05 +*RES +1 *23365:X *23368:A 36.7089 +*END + +*D_NET *4275 0.00921469 +*CONN +*I *23482:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23367:A I *D sky130_fd_sc_hd__inv_2 +*I *23366:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23482:B1 0.000317718 +2 *23367:A 7.12408e-05 +3 *23366:X 0.00030254 +4 *4275:29 0.00151592 +5 *4275:17 0.00157198 +6 *23482:B1 *23485:A 0.000578294 +7 *23482:B1 *23792:D 0.000275686 +8 *23482:B1 *4389:8 3.57112e-05 +9 *23482:B1 *4514:29 4.69204e-06 +10 *4275:17 *23374:B 2.97487e-05 +11 *4275:17 *4870:22 1.7289e-05 +12 *4275:17 *4870:26 6.05486e-06 +13 *4275:29 *23622:A 0.000155243 +14 *4275:29 *4278:15 1.48937e-05 +15 *4275:29 *4345:11 0 +16 *4275:29 *4345:20 9.77974e-05 +17 *4275:29 *4347:8 0.00121681 +18 *4275:29 *4515:14 0.00048503 +19 *4275:29 *4516:8 7.77309e-06 +20 *4275:29 *4528:17 1.91246e-05 +21 *21683:A1 *4275:17 0.000133268 +22 *23032:A *4275:29 7.97874e-05 +23 *23265:B *23482:B1 2.16355e-05 +24 *23363:A *4275:17 4.39326e-05 +25 *23371:A *4275:17 5.05032e-05 +26 *23373:B *4275:29 0.000122862 +27 *23437:A *4275:17 2.65667e-05 +28 *23694:A *23482:B1 2.53145e-06 +29 *1705:16 *4275:29 1.5714e-05 +30 *1818:29 *23367:A 2.3939e-05 +31 *1818:29 *4275:29 3.67653e-05 +32 *2284:11 *4275:17 0 +33 *2711:22 *4275:29 6.3541e-05 +34 *2711:36 *23482:B1 0.000589607 +35 *2711:36 *4275:29 0.000372974 +36 *2803:58 *4275:29 2.88113e-05 +37 *2955:40 *23367:A 0.000108642 +38 *2955:40 *4275:29 0.000258521 +39 *3791:185 *4275:29 0.000110081 +40 *3886:8 *23482:B1 0.000148951 +41 *3893:42 *4275:29 8.92568e-06 +42 *3942:32 *23367:A 6.50586e-05 +43 *3946:10 *23367:A 6.09999e-05 +44 *3946:10 *4275:29 1.04747e-05 +45 *4172:16 *23482:B1 0.000107052 +*RES +1 *23366:X *4275:17 22.8336 +2 *4275:17 *23367:A 16.5122 +3 *4275:17 *4275:29 45.9886 +4 *4275:29 *23482:B1 31.8644 +*END + +*D_NET *4276 0.00226765 +*CONN +*I *23368:B I *D sky130_fd_sc_hd__or2_1 +*I *23714:B I *D sky130_fd_sc_hd__or4_4 +*I *23367:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23368:B 0.00017084 +2 *23714:B 9.15994e-05 +3 *23367:Y 5.89685e-05 +4 *4276:5 0.000321408 +5 *23714:B *23714:D 7.34948e-06 +6 *23031:A *23368:B 0.000188919 +7 *23033:B *23368:B 0.000136827 +8 *23714:A *23714:B 1.41291e-05 +9 *1438:83 *23368:B 4.0681e-05 +10 *1818:29 *23368:B 0.000105847 +11 *2911:17 *23714:B 0.000368635 +12 *2911:17 *4276:5 0.000343701 +13 *3942:32 *23714:B 6.08467e-05 +14 *3942:32 *4276:5 0.000357898 +*RES +1 *23367:Y *4276:5 13.3002 +2 *4276:5 *23714:B 13.3002 +3 *4276:5 *23368:B 24.2337 +*END + +*D_NET *4277 0.00087432 +*CONN +*I *23370:A I *D sky130_fd_sc_hd__or2_1 +*I *23368:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23370:A 0.000355924 +2 *23368:X 0.000355924 +3 *23370:A *4864:7 4.41351e-05 +4 *23370:A *4870:26 8.714e-05 +5 *1699:23 *23370:A 5.04829e-06 +6 *2284:22 *23370:A 1.56949e-05 +7 *2864:64 *23370:A 1.04548e-05 +*RES +1 *23368:X *23370:A 33.3813 +*END + +*D_NET *4278 0.00968653 +*CONN +*I *23694:B I *D sky130_fd_sc_hd__or3_1 +*I *23370:B I *D sky130_fd_sc_hd__or2_1 +*I *23369:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23694:B 0.00114703 +2 *23370:B 0 +3 *23369:Y 8.37618e-05 +4 *4278:15 0.00120567 +5 *4278:5 0.00243646 +6 *23694:B *23792:D 0.000347214 +7 *4278:15 *4515:14 9.8306e-05 +8 *4278:15 *4515:21 0.000673924 +9 *22975:A *23694:B 7.34948e-06 +10 *23031:A *4278:15 0.000233217 +11 *1471:191 *4278:15 5.88009e-05 +12 *1756:49 *4278:15 7.65374e-05 +13 *1818:29 *4278:15 0.00052409 +14 *2405:35 *23694:B 8.99674e-05 +15 *2612:62 *23694:B 0.000399664 +16 *2711:22 *4278:15 0.000575209 +17 *2711:36 *4278:15 0.000424554 +18 *3874:124 *23694:B 0.000341785 +19 *3877:221 *4278:15 0.000329172 +20 *3886:8 *23694:B 0.000321529 +21 *3889:24 *23694:B 0.000249182 +22 *3893:48 *23694:B 5.04829e-06 +23 *3893:48 *4278:5 4.31539e-05 +24 *3893:55 *4278:15 0 +25 *4275:29 *4278:15 1.48937e-05 +*RES +1 *23369:Y *4278:5 10.5271 +2 *4278:5 *4278:15 49.8375 +3 *4278:15 *23370:B 9.24915 +4 *4278:5 *23694:B 44.9232 +*END + +*D_NET *4279 0.00038263 +*CONN +*I *23372:A I *D sky130_fd_sc_hd__or2_1 +*I *23370:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23372:A 7.58535e-05 +2 *23370:X 7.58535e-05 +3 *23372:A *23372:B 6.08467e-05 +4 *1756:49 *23372:A 1.17054e-05 +5 *2864:71 *23372:A 0.000158371 +*RES +1 *23370:X *23372:A 20.8855 +*END + +*D_NET *4280 0.00889613 +*CONN +*I *23483:B I *D sky130_fd_sc_hd__or2_1 +*I *23610:B I *D sky130_fd_sc_hd__or3_1 +*I *23372:B I *D sky130_fd_sc_hd__or2_1 +*I *23371:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23483:B 0.000718573 +2 *23610:B 0.000504245 +3 *23372:B 0.000199225 +4 *23371:Y 0.000213736 +5 *4280:17 0.00187631 +6 *4280:7 0.00106645 +7 *23372:B *6001:66 0 +8 *23483:B *23484:B 0.000252797 +9 *23483:B *23485:A 2.20702e-05 +10 *23483:B *4282:11 0 +11 *23483:B *4284:8 4.10341e-05 +12 *23483:B *4327:8 5.28118e-05 +13 *23483:B *4514:29 3.61659e-05 +14 *4280:17 *23374:B 7.55202e-05 +15 *4280:17 *23419:A 7.68827e-05 +16 *4280:17 *4284:8 0.000158973 +17 *4280:17 *4327:8 7.50722e-05 +18 *4280:17 *4870:22 0 +19 *22975:A *23483:B 0.000122083 +20 *23038:A1 *4280:17 0.000200618 +21 *23038:A2 *4280:17 4.18258e-05 +22 *23039:A1 *23483:B 0.000143017 +23 *23372:A *23372:B 6.08467e-05 +24 *23379:A *4280:17 0 +25 *460:30 *23372:B 0.000280436 +26 *460:30 *4280:17 6.28484e-05 +27 *1744:131 *23483:B 0.000217951 +28 *1756:60 *4280:17 2.01595e-05 +29 *2283:21 *4280:7 0.000298399 +30 *2619:56 *23483:B 9.42549e-05 +31 *2619:56 *23610:B 0.000746144 +32 *2711:36 *23483:B 0.00011818 +33 *2785:47 *23372:B 0 +34 *2785:47 *4280:17 0 +35 *3886:8 *23483:B 0.000919159 +36 *3889:26 *23483:B 8.9493e-05 +37 *3889:30 *23483:B 0.000110844 +*RES +1 *23371:Y *4280:7 17.2456 +2 *4280:7 *23372:B 19.6266 +3 *4280:7 *4280:17 18.0268 +4 *4280:17 *23610:B 22.237 +5 *4280:17 *23483:B 40.8805 +*END + +*D_NET *4281 0.000728886 +*CONN +*I *23374:A I *D sky130_fd_sc_hd__or2_1 +*I *23372:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23374:A 0.000218376 +2 *23372:X 0.000218376 +3 *23374:A *6001:66 6.31809e-05 +4 *2785:41 *23374:A 0.000169122 +5 *2785:47 *23374:A 3.68867e-05 +6 *2864:71 *23374:A 2.29454e-05 +*RES +1 *23372:X *23374:A 32.548 +*END + +*D_NET *4282 0.00888636 +*CONN +*I *23791:B I *D sky130_fd_sc_hd__or2_1 +*I *23374:B I *D sky130_fd_sc_hd__or2_1 +*I *23438:B I *D sky130_fd_sc_hd__or2_1 +*I *23373:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23791:B 0.000370167 +2 *23374:B 0.000632085 +3 *23438:B 1.92059e-05 +4 *23373:Y 4.51842e-05 +5 *4282:11 0.00122703 +6 *4282:7 0.000991087 +7 *23374:B *23419:A 0.000183834 +8 *23374:B *23439:B1 2.99287e-05 +9 *23374:B *4345:11 4.81452e-05 +10 *23374:B *4346:8 1.37189e-05 +11 *23374:B *4870:22 0.00073808 +12 *23374:B *4870:26 2.13495e-05 +13 *23438:B *23439:B1 4.87439e-05 +14 *23438:B *4345:11 1.55025e-05 +15 *23438:B *4345:16 6.64392e-05 +16 *23791:B *23484:B 0.000400335 +17 *4282:11 *23439:B1 0.00012883 +18 *4282:11 *23774:A 0.000154822 +19 *4282:11 *23774:B 7.86847e-05 +20 *4282:11 *4345:20 0.000110686 +21 *4282:11 *4346:8 0.000110477 +22 *4282:11 *4870:22 2.60879e-06 +23 *23038:A1 *23374:B 6.67704e-05 +24 *23371:A *23374:B 7.12632e-06 +25 *23379:A *4282:11 3.26582e-06 +26 *23483:B *4282:11 0 +27 *23773:A3 *4282:11 0.000231956 +28 *1756:60 *23374:B 0.000169078 +29 *1786:65 *23791:B 0.000784213 +30 *2283:21 *23374:B 0.000405253 +31 *2612:41 *4282:7 6.08467e-05 +32 *2802:15 *23374:B 5.61389e-05 +33 *2802:15 *23438:B 2.37299e-05 +34 *2911:17 *23374:B 0.000350949 +35 *3874:124 *23791:B 7.39264e-05 +36 *3888:24 *23791:B 0.000252098 +37 *3888:24 *4282:11 0.000356545 +38 *3889:26 *4282:11 0 +39 *3889:30 *4282:11 0 +40 *4089:27 *23791:B 0.000251928 +41 *4089:27 *4282:11 0.000250331 +42 *4275:17 *23374:B 2.97487e-05 +43 *4280:17 *23374:B 7.55202e-05 +*RES +1 *23373:Y *4282:7 14.4725 +2 *4282:7 *4282:11 23.9361 +3 *4282:11 *23438:B 10.5271 +4 *4282:11 *23374:B 41.6078 +5 *4282:7 *23791:B 28.6396 +*END + +*D_NET *4283 0.00103831 +*CONN +*I *23376:A I *D sky130_fd_sc_hd__or2_1 +*I *23374:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23376:A 0.000330239 +2 *23374:X 0.000330239 +3 *23376:A *5476:38 0.000119471 +4 *1756:60 *23376:A 5.51457e-05 +5 *1801:52 *23376:A 3.97974e-05 +6 *2283:21 *23376:A 0.000163414 +*RES +1 *23374:X *23376:A 35.3154 +*END + +*D_NET *4284 0.00636643 +*CONN +*I *23376:B I *D sky130_fd_sc_hd__or2_1 +*I *23484:B I *D sky130_fd_sc_hd__or2_1 +*I *23773:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23375:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23376:B 0.000106994 +2 *23484:B 0.000631051 +3 *23773:B1 0 +4 *23375:Y 0 +5 *4284:8 0.00140463 +6 *4284:4 0.000880576 +7 *23484:B *4391:10 4.17531e-06 +8 *23484:B *4514:29 0.000725472 +9 *4284:8 *4514:8 7.86837e-05 +10 *4284:8 *4514:29 0.00022333 +11 *22975:A *23484:B 0.000122083 +12 *23039:A1 *4284:8 0.000139435 +13 *23039:B1 *4284:8 2.92999e-05 +14 *23375:A *23376:B 5.76386e-05 +15 *23375:A *4284:8 0.000252176 +16 *23483:B *23484:B 0.000252797 +17 *23483:B *4284:8 4.10341e-05 +18 *23791:A *23484:B 0.000107286 +19 *23791:B *23484:B 0.000400335 +20 *1818:38 *23376:B 7.92757e-06 +21 *2619:56 *4284:8 2.52921e-05 +22 *2785:47 *4284:8 0.000609739 +23 *3874:124 *23484:B 0.000107496 +24 *4280:17 *4284:8 0.000158973 +*RES +1 *23375:Y *4284:4 9.24915 +2 *4284:4 *4284:8 26.7144 +3 *4284:8 *23773:B1 13.7491 +4 *4284:8 *23484:B 36.4141 +5 *4284:4 *23376:B 11.1059 +*END + +*D_NET *4285 0.00224347 +*CONN +*I *23378:A I *D sky130_fd_sc_hd__or2_1 +*I *23376:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23378:A 0.000596116 +2 *23376:X 0.000596116 +3 *460:35 *23378:A 2.57986e-05 +4 *1818:38 *23378:A 2.37827e-05 +5 *2505:62 *23378:A 0.000550584 +6 *2561:26 *23378:A 9.74255e-05 +7 *2922:54 *23378:A 3.91944e-05 +8 *3014:72 *23378:A 0.000314452 +*RES +1 *23376:X *23378:A 45.0056 +*END + +*D_NET *4286 0.00536803 +*CONN +*I *23695:B I *D sky130_fd_sc_hd__or3_1 +*I *23378:B I *D sky130_fd_sc_hd__or2_1 +*I *23377:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23695:B 0.000278881 +2 *23378:B 0.000464104 +3 *23377:Y 0.000886212 +4 *4286:5 0.0016292 +5 *23378:B *4288:29 0 +6 *23695:B *4288:29 0.000399167 +7 *23695:B *4391:10 0.000118485 +8 *23695:B *4600:10 3.0577e-05 +9 *22974:B *4286:5 2.16355e-05 +10 *23377:B *4286:5 1.41976e-05 +11 *23791:A *23695:B 1.92076e-05 +12 *1515:78 *23378:B 0.000505094 +13 *1515:78 *23695:B 0 +14 *1756:61 *23378:B 2.65831e-05 +15 *2406:19 *23695:B 2.7264e-05 +16 *2406:19 *4286:5 0.00010394 +17 *2561:26 *23378:B 0.000273145 +18 *2612:41 *4286:5 3.52585e-05 +19 *2922:54 *23378:B 2.08076e-05 +20 *3883:29 *4286:5 0.000340742 +21 *3883:35 *4286:5 1.65872e-05 +22 *3889:24 *4286:5 0.000156946 +*RES +1 *23377:Y *4286:5 27.7199 +2 *4286:5 *23378:B 33.2621 +3 *4286:5 *23695:B 27.312 +*END + +*D_NET *4287 0.000215988 +*CONN +*I *23380:A I *D sky130_fd_sc_hd__or2_1 +*I *23378:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23380:A 8.05892e-05 +2 *23378:X 8.05892e-05 +3 *1756:61 *23380:A 5.481e-05 +*RES +1 *23378:X *23380:A 20.3309 +*END + +*D_NET *4288 0.0106428 +*CONN +*I *23486:B I *D sky130_fd_sc_hd__or2_1 +*I *23380:B I *D sky130_fd_sc_hd__or2_1 +*I *23608:C1 I *D sky130_fd_sc_hd__a211o_1 +*I *23379:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23486:B 0 +2 *23380:B 0 +3 *23608:C1 0.000204258 +4 *23379:Y 0.000100316 +5 *4288:29 0.00166846 +6 *4288:22 0.0018357 +7 *4288:7 0.000471814 +8 *4288:29 *23697:B 0.00090314 +9 *4288:29 *4599:11 6.1438e-05 +10 *4288:29 *4600:10 0.000372121 +11 *4288:29 *4600:22 0.00128172 +12 *23100:A *4288:29 2.6506e-05 +13 *23160:B1 *4288:29 0.000120584 +14 *23378:B *4288:29 0 +15 *23379:A *23608:C1 9.76653e-05 +16 *23379:A *4288:7 3.69326e-05 +17 *23379:B *23608:C1 0.000107496 +18 *23608:B1 *23608:C1 4.31337e-05 +19 *23695:B *4288:29 0.000399167 +20 *1427:83 *4288:29 0.000333179 +21 *1699:24 *4288:22 0.000111646 +22 *1699:24 *4288:29 0.00120323 +23 *2505:65 *4288:22 8.19374e-05 +24 *2561:38 *4288:29 4.38e-05 +25 *2619:57 *4288:22 0.000309083 +26 *2785:47 *23608:C1 2.16355e-05 +27 *2922:54 *4288:29 2.04861e-05 +28 *3014:72 *4288:22 0.000111646 +29 *3014:72 *4288:29 1.00004e-05 +30 *3842:207 *4288:29 4.20462e-05 +31 *4009:35 *4288:29 0.000623695 +*RES +1 *23379:Y *4288:7 11.1059 +2 *4288:7 *23608:C1 14.8675 +3 *4288:7 *4288:22 10.7983 +4 *4288:22 *23380:B 13.7491 +5 *4288:22 *4288:29 64.1198 +6 *4288:29 *23486:B 13.7491 +*END + +*D_NET *4289 0.0145802 +*CONN +*I *23382:A I *D sky130_fd_sc_hd__or2_1 +*I *23380:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23382:A 0.000656257 +2 *23380:X 0.000610663 +3 *4289:28 0.00152499 +4 *4289:21 0.00131929 +5 *4289:11 0.00106122 +6 *23382:A *23382:B 0.000110306 +7 *23382:A *23539:A2 0.000146557 +8 *23382:A *23669:B 0 +9 *23382:A *4446:15 8.98943e-05 +10 *23382:A *4446:16 0.000570318 +11 *23382:A *4704:17 6.23101e-05 +12 *4289:11 *5671:98 0.000154856 +13 *4289:11 *5671:100 0.00108741 +14 *4289:21 *23697:B 7.96078e-05 +15 *4289:21 *23792:A 3.07561e-05 +16 *4289:21 *23792:D 0.000258114 +17 *4289:21 *4392:12 0.000114807 +18 *4289:21 *4599:11 4.20857e-05 +19 *4289:21 *6001:62 0.000313418 +20 *4289:28 *4392:12 0.000193903 +21 *4289:28 *4442:11 5.80995e-05 +22 *4289:28 *4600:10 0.000597779 +23 *4289:28 *4600:22 0.000110182 +24 *4289:28 *4602:8 8.01987e-05 +25 *4289:28 *4602:27 0.00108863 +26 *4289:28 *6001:54 1.26119e-05 +27 *23269:A *4289:21 0.000395909 +28 *23484:A *4289:11 0.000326964 +29 *23536:A1 *23382:A 2.42327e-05 +30 *23537:B *4289:28 7.13972e-05 +31 *23695:A *4289:28 5.05252e-05 +32 *460:39 *4289:11 0.000395441 +33 *1438:92 *23382:A 9.66893e-05 +34 *1756:61 *4289:11 6.61904e-06 +35 *2612:62 *4289:21 2.01653e-05 +36 *2632:47 *4289:21 0.000210077 +37 *3872:185 *23382:A 0.000366459 +38 *3874:115 *4289:28 0.000203833 +39 *3974:219 *23382:A 0.000154145 +40 *4001:164 *4289:28 0.00082919 +41 *4008:26 *23382:A 8.62321e-06 +42 *4008:49 *23382:A 1.69653e-05 +43 *4008:49 *4289:28 0.000294068 +44 *4106:169 *23382:A 0.000527338 +45 *4106:245 *4289:21 0.000207266 +*RES +1 *23380:X *4289:11 42.6951 +2 *4289:11 *4289:21 29.2504 +3 *4289:21 *4289:28 47.2332 +4 *4289:28 *23382:A 40.0446 +*END + +*D_NET *4290 0.00429105 +*CONN +*I *23762:B I *D sky130_fd_sc_hd__or4_4 +*I *23382:B I *D sky130_fd_sc_hd__or2_1 +*I *23440:B I *D sky130_fd_sc_hd__or2_1 +*I *23381:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23762:B 1.25143e-05 +2 *23382:B 0.00020114 +3 *23440:B 0 +4 *23381:Y 0.000298467 +5 *4290:19 0.000617409 +6 *4290:10 0.000702222 +7 *23382:B *4491:28 0.000205178 +8 *23762:B *4665:10 1.33419e-05 +9 *23762:B *5592:19 8.29362e-06 +10 *4290:10 *23716:C 7.13972e-05 +11 *4290:10 *4514:47 0.000112057 +12 *4290:10 *4634:13 1.55025e-05 +13 *4290:10 *4685:12 0.000158865 +14 *4290:10 *4870:8 1.37925e-05 +15 *4290:19 *4348:8 0.000207266 +16 *4290:19 *4600:22 0.000154145 +17 *4290:19 *4665:10 0.000221593 +18 *4290:19 *5592:19 0.000129053 +19 *22968:A *4290:10 0.000158451 +20 *22968:B *4290:10 1.37189e-05 +21 *22969:A *4290:10 3.82228e-05 +22 *23043:B1 *4290:19 0.000299704 +23 *23274:A *4290:19 5.04829e-06 +24 *23382:A *23382:B 0.000110306 +25 *1438:92 *23382:B 0.000160369 +26 *1521:61 *23382:B 2.67514e-05 +27 *3879:16 *4290:10 6.9473e-05 +28 *3886:27 *4290:19 6.08467e-05 +29 *3974:219 *4290:19 4.97617e-05 +30 *4009:47 *23382:B 0.000133129 +31 *4106:169 *23382:B 2.30251e-05 +*RES +1 *23381:Y *4290:10 27.0417 +2 *4290:10 *23440:B 9.24915 +3 *4290:10 *4290:19 12.563 +4 *4290:19 *23382:B 25.3015 +5 *4290:19 *23762:B 9.69524 +*END + +*D_NET *4291 0.00154053 +*CONN +*I *23384:A I *D sky130_fd_sc_hd__or2_1 +*I *23382:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23384:A 0.000262363 +2 *23382:X 0.000262363 +3 *23384:A *4650:6 0.000495003 +4 *1521:61 *23384:A 0.000495003 +5 *4106:169 *23384:A 2.57986e-05 +*RES +1 *23382:X *23384:A 37.5282 +*END + +*D_NET *4292 0.00282894 +*CONN +*I *23384:B I *D sky130_fd_sc_hd__or2_1 +*I *23487:B I *D sky130_fd_sc_hd__or2_1 +*I *23716:B I *D sky130_fd_sc_hd__or4_4 +*I *23383:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23384:B 0 +2 *23487:B 0.000139998 +3 *23716:B 0.000297178 +4 *23383:Y 0 +5 *4292:19 0.000357941 +6 *4292:4 0.000515121 +7 *23487:B *4575:19 0.00039183 +8 *23487:B *5456:41 6.08467e-05 +9 *23716:B *4870:7 6.08467e-05 +10 *23716:B *6001:49 9.34145e-05 +11 *1521:61 *23487:B 0.000388232 +12 *3879:19 *23716:B 0.000188837 +13 *3879:19 *4292:19 1.41976e-05 +14 *4008:26 *23716:B 9.68902e-05 +15 *4009:47 *23716:B 0.000107496 +16 *4009:47 *4292:19 0.000116111 +*RES +1 *23383:Y *4292:4 9.24915 +2 *4292:4 *23716:B 26.3506 +3 *4292:4 *4292:19 5.20845 +4 *4292:19 *23487:B 25.7876 +5 *4292:19 *23384:B 9.24915 +*END + +*D_NET *4293 0.00216676 +*CONN +*I *23386:A I *D sky130_fd_sc_hd__or2_1 +*I *23384:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23386:A 0.00040001 +2 *23384:X 0.00040001 +3 *23386:A *23386:B 1.26168e-05 +4 *23386:A *23696:B 3.92774e-05 +5 *23386:A *4575:19 6.84784e-06 +6 *23386:A *4602:27 0.000107499 +7 *23386:A *4650:6 0.00068654 +8 *1521:61 *23386:A 0.000513959 +*RES +1 *23384:X *23386:A 41.4048 +*END + +*D_NET *4294 0.00132335 +*CONN +*I *23386:B I *D sky130_fd_sc_hd__or2_1 +*I *23696:B I *D sky130_fd_sc_hd__or3_2 +*I *23385:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23386:B 2.06504e-05 +2 *23696:B 0.000223168 +3 *23385:Y 0.000153946 +4 *4294:7 0.000397765 +5 *23386:B *4575:19 4.95263e-05 +6 *23386:B *4650:6 8.92568e-06 +7 *23696:B *4396:11 0.000118166 +8 *23696:B *4575:19 0.000109354 +9 *4294:7 *4702:16 2.5798e-05 +10 *23386:A *23386:B 1.26168e-05 +11 *23386:A *23696:B 3.92774e-05 +12 *1521:55 *23696:B 3.72666e-05 +13 *3781:83 *4294:7 0.000110306 +14 *3781:97 *4294:7 1.65872e-05 +*RES +1 *23385:Y *4294:7 17.2456 +2 *4294:7 *23696:B 18.3836 +3 *4294:7 *23386:B 14.7506 +*END + +*D_NET *4295 0.00166154 +*CONN +*I *23388:A I *D sky130_fd_sc_hd__or2_1 +*I *23386:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23388:A 0.00051204 +2 *23386:X 0.00051204 +3 *23388:A *23489:D 0 +4 *23388:A *4575:19 8.74058e-05 +5 *23388:A *4650:6 0.000430573 +6 *23388:A *4702:16 0.000110297 +7 *2838:67 *23388:A 9.18559e-06 +*RES +1 *23386:X *23388:A 39.6395 +*END + +*D_NET *4296 0.00233874 +*CONN +*I *23388:B I *D sky130_fd_sc_hd__or2_1 +*I *23488:B I *D sky130_fd_sc_hd__or2_1 +*I *23623:B I *D sky130_fd_sc_hd__or3_2 +*I *23387:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23388:B 6.97808e-05 +2 *23488:B 0.000178704 +3 *23623:B 0.000384379 +4 *23387:Y 0 +5 *4296:15 0.000388159 +6 *4296:4 0.000524054 +7 *23488:B *4665:25 0.00029916 +8 *23488:B *5671:106 9.82479e-06 +9 *22970:B *23623:B 0 +10 *23387:B *23623:B 8.65015e-05 +11 *23387:B *4296:15 0 +12 *3791:108 *23488:B 0.000316237 +13 *3879:71 *23623:B 8.19374e-05 +*RES +1 *23387:Y *4296:4 9.24915 +2 *4296:4 *23623:B 15.398 +3 *4296:4 *4296:15 2.96592 +4 *4296:15 *23488:B 24.6489 +5 *4296:15 *23388:B 10.5271 +*END + +*D_NET *4297 0.00424083 +*CONN +*I *23390:A I *D sky130_fd_sc_hd__or2_1 +*I *23388:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23390:A 0 +2 *23388:X 0.000706993 +3 *4297:9 0.000706993 +4 *4297:9 *23489:D 4.63936e-05 +5 *4297:9 *23819:B 0.000156946 +6 *4297:9 *23832:C 3.86374e-05 +7 *4297:9 *4494:13 0.00025175 +8 *4297:9 *4650:6 3.94435e-05 +9 *4297:9 *4665:37 0.00103192 +10 *4297:9 *4693:18 4.12977e-05 +11 *4297:9 *4693:33 0.000275845 +12 *4297:9 *4715:9 7.54269e-06 +13 *4297:9 *4745:43 0.000104505 +14 *23832:A *4297:9 0.000681517 +15 *588:19 *4297:9 2.16265e-05 +16 *2838:64 *4297:9 6.85742e-05 +17 *3791:106 *4297:9 6.08467e-05 +*RES +1 *23388:X *4297:9 46.2771 +2 *4297:9 *23390:A 9.24915 +*END + +*D_NET *4298 0.00828625 +*CONN +*I *23390:B I *D sky130_fd_sc_hd__or2_1 +*I *23832:B I *D sky130_fd_sc_hd__or3_1 +*I *23443:B I *D sky130_fd_sc_hd__or2_1 +*I *23389:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *23390:B 2.9191e-05 +2 *23832:B 0.00015089 +3 *23443:B 7.18608e-05 +4 *23389:Y 0.000958972 +5 *4298:10 0.000511027 +6 *4298:8 0.00127644 +7 *23390:B *4715:9 4.23858e-05 +8 *23443:B *23443:A 6.08467e-05 +9 *23443:B *23781:A 0.000156955 +10 *23443:B *4396:23 5.41377e-05 +11 *23443:B *4713:14 1.58551e-05 +12 *23443:B *5671:106 5.05252e-05 +13 *23832:B *23443:A 0.000154145 +14 *23832:B *23585:A 6.08467e-05 +15 *23832:B *23585:B 1.65872e-05 +16 *23832:B *23781:A 1.6286e-05 +17 *23832:B *4492:8 1.88014e-05 +18 *23832:B *4713:14 0.00025456 +19 *4298:8 *23541:A2 6.7671e-06 +20 *4298:8 *4300:45 0.000120974 +21 *4298:8 *4447:10 0.00119772 +22 *4298:8 *5671:106 0.000133047 +23 *4298:10 *4396:23 0.000602979 +24 *4298:10 *4446:16 1.69107e-05 +25 *4298:10 *5671:106 0.000695356 +26 *23391:A *4298:8 2.16355e-05 +27 *23391:B *4298:8 0.000154145 +28 *657:181 *4298:8 0.000117093 +29 *3781:68 *4298:8 8.11817e-05 +30 *3791:81 *4298:8 3.82228e-05 +31 *3791:106 *23390:B 0.000110306 +32 *3791:106 *4298:8 0.000478856 +33 *3791:106 *4298:10 2.77564e-05 +34 *4077:59 *4298:8 0.000582981 +*RES +1 *23389:Y *4298:8 46.3477 +2 *4298:8 *4298:10 13.0438 +3 *4298:10 *23443:B 16.5832 +4 *4298:10 *23832:B 19.0179 +5 *4298:8 *23390:B 15.0271 +*END + +*D_NET *4299 0.00257649 +*CONN +*I *23392:A I *D sky130_fd_sc_hd__or2_2 +*I *23390:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23392:A 0.000604239 +2 *23390:X 0.000604239 +3 *23392:A *23540:A 0.000225814 +4 *23392:A *23810:B 5.04829e-06 +5 *23392:A *4301:40 0.000113107 +6 *23392:A *4416:14 0.0003014 +7 *23392:A *4693:35 0.000661801 +8 *4190:15 *23392:A 6.08375e-05 +*RES +1 *23390:X *23392:A 45.981 +*END + +*D_NET *4300 0.0100865 +*CONN +*I *23490:B I *D sky130_fd_sc_hd__or2_2 +*I *23392:B I *D sky130_fd_sc_hd__or2_2 +*I *23810:B I *D sky130_fd_sc_hd__or4b_1 +*I *23391:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *23490:B 0 +2 *23392:B 0 +3 *23810:B 0.000846063 +4 *23391:Y 0 +5 *4300:45 0.000756239 +6 *4300:11 0.00124047 +7 *4300:4 0.00115065 +8 *23810:B *23813:B 0.000154145 +9 *23810:B *23816:B 0.000297853 +10 *23810:B *4529:9 3.31745e-05 +11 *23810:B *4529:25 4.45999e-05 +12 *23810:B *4620:26 0.00123487 +13 *23810:B *4665:42 0.000174175 +14 *4300:11 *4557:12 0.000711537 +15 *4300:45 *23541:A2 0.000200794 +16 *4300:45 *4620:34 0.000557087 +17 *4300:45 *4665:45 6.08467e-05 +18 *23046:B *23810:B 3.77519e-05 +19 *23047:A2 *23810:B 0 +20 *23289:C_N *4300:45 9.19632e-06 +21 *23389:C *4300:11 5.04829e-06 +22 *23391:B *4300:45 2.77625e-06 +23 *23391:C *4300:11 3.31745e-05 +24 *23391:C *4300:45 5.04829e-06 +25 *23392:A *23810:B 5.04829e-06 +26 *3781:68 *4300:45 0.000231762 +27 *3791:106 *23810:B 2.60447e-05 +28 *3791:106 *4300:45 7.65861e-05 +29 *3868:128 *4300:45 0.00014744 +30 *3872:77 *4300:11 1.90708e-05 +31 *3877:120 *4300:11 3.91585e-05 +32 *3877:134 *4300:11 0.000557847 +33 *4009:95 *23810:B 0.000329884 +34 *4080:18 *4300:45 3.19561e-05 +35 *4080:24 *4300:45 0.000884357 +36 *4124:35 *4300:45 6.08467e-05 +37 *4298:8 *4300:45 0.000120974 +*RES +1 *23391:Y *4300:4 9.24915 +2 *4300:4 *4300:11 24.0452 +3 *4300:11 *23810:B 47.7455 +4 *4300:11 *23392:B 9.24915 +5 *4300:4 *4300:45 43.6325 +6 *4300:45 *23490:B 9.24915 +*END + +*D_NET *4301 0.0360611 +*CONN +*I *23397:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *6107:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23392:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23397:A_N 0 +2 *6107:DIODE 4.2373e-05 +3 *23392:X 0.0043004 +4 *4301:50 0.00589534 +5 *4301:40 0.0101534 +6 *6107:DIODE *4305:7 0.000111708 +7 *4301:40 *23658:A 0.000284145 +8 *4301:40 *4357:21 1.10931e-05 +9 *4301:40 *4396:23 0.00149343 +10 *4301:40 *4397:21 0.0051237 +11 *4301:40 *4495:26 0.000506518 +12 *4301:40 *4550:53 0.000523021 +13 *4301:40 *4561:27 4.88715e-07 +14 *4301:40 *4602:34 0.000106946 +15 *4301:40 *4619:26 0.000195206 +16 *4301:40 *4620:26 2.26985e-05 +17 *4301:40 *4664:33 0.00114012 +18 *4301:40 *4665:42 1.91246e-05 +19 *4301:40 *5918:20 3.39207e-06 +20 *4301:40 *5920:22 3.9803e-05 +21 *4301:40 *6001:38 0.000888401 +22 *4301:50 *4495:26 8.01e-06 +23 *4301:50 *4561:14 0.000192376 +24 *4301:50 *4602:34 0.0015974 +25 *4301:50 *4697:8 0.000139376 +26 *4301:50 *5923:23 0.001735 +27 *23047:A1 *4301:40 0 +28 *23290:B *4301:40 0.000152189 +29 *23392:A *4301:40 0.000113107 +30 *23804:A *4301:40 3.70027e-06 +31 *3078:14 *4301:50 0 +32 *3784:14 *4301:50 2.19138e-05 +33 *3791:81 *4301:40 0 +34 *3842:92 *4301:40 0.000291743 +35 *3842:99 *4301:40 1.46832e-05 +36 *3849:29 *4301:40 0.000115876 +37 *3877:134 *4301:40 5.89545e-05 +38 *4020:24 *4301:50 0.000135721 +39 *4097:42 *4301:40 0.000139391 +40 *4097:50 *4301:40 0.00027354 +41 *4116:10 *4301:50 6.46333e-05 +42 *4120:83 *4301:50 0.000132593 +43 *4124:20 *4301:40 9.63054e-06 +*RES +1 *23392:X *4301:40 39.5764 +2 *4301:40 *4301:50 24.6898 +3 *4301:50 *6107:DIODE 10.5271 +4 *4301:50 *23397:A_N 9.24915 +*END + +*D_NET *4302 0.0125022 +*CONN +*I *23698:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23397:B I *D sky130_fd_sc_hd__nand3b_1 +*I *23393:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23698:B1 0 +2 *23397:B 0.000348775 +3 *23393:X 6.3978e-05 +4 *4302:8 0.00219546 +5 *4302:7 0.00191066 +6 *23397:B *23491:B1 6.08467e-05 +7 *4302:8 *4495:13 0.00219335 +8 *4302:8 *4538:12 0.000195139 +9 *4302:8 *5456:140 0.000155075 +10 *4302:8 *5456:150 0.00012973 +11 *23121:B *4302:8 0.000254458 +12 *23183:A *4302:7 7.92757e-06 +13 *23185:B *4302:8 0.000151741 +14 *23496:A_N *4302:8 0.000174175 +15 *23628:A2 *4302:7 2.16355e-05 +16 *23698:A2 *23397:B 0.000220748 +17 *23698:A2 *4302:8 5.6623e-05 +18 *3784:14 *23397:B 0.000541661 +19 *3784:14 *4302:8 0.000154146 +20 *3838:118 *4302:8 0.0011003 +21 *3852:18 *4302:8 0.00105774 +22 *3852:22 *4302:8 8.45896e-06 +23 *3856:39 *4302:8 0.000364646 +24 *3980:283 *4302:8 0.000542573 +25 *3996:26 *4302:8 0.000158534 +26 *4031:32 *4302:8 0.000374595 +27 *4031:39 *4302:8 5.92342e-05 +*RES +1 *23393:X *4302:7 15.0271 +2 *4302:7 *4302:8 80.3147 +3 *4302:8 *23397:B 25.9042 +4 *4302:8 *23698:B1 13.7491 +*END + +*D_NET *4303 0.00139559 +*CONN +*I *23462:B I *D sky130_fd_sc_hd__or2_1 +*I *23395:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *23394:X O *D sky130_fd_sc_hd__o32a_1 +*CAP +1 *23462:B 9.09088e-05 +2 *23395:C_N 0 +3 *23394:X 0.000227633 +4 *4303:10 0.000318542 +5 *23462:B *23394:A1 3.58208e-05 +6 *4303:10 *5624:18 0.000122068 +7 *23394:A2 *4303:10 1.4091e-06 +8 *23394:A3 *23462:B 0.000264586 +9 *23394:A3 *4303:10 2.41274e-06 +10 *23462:A *23462:B 0.000213725 +11 *4223:6 *4303:10 0.000118485 +*RES +1 *23394:X *4303:10 22.8836 +2 *4303:10 *23395:C_N 9.24915 +3 *4303:10 *23462:B 13.3002 +*END + +*D_NET *4304 0.00350477 +*CONN +*I *23396:A I *D sky130_fd_sc_hd__or3_1 +*I *23461:A1 I *D sky130_fd_sc_hd__o32a_2 +*I *23395:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *23396:A 0.000123633 +2 *23461:A1 0.000144769 +3 *23395:X 0.000412647 +4 *4304:6 0.000681049 +5 *23396:A *5456:151 7.92757e-06 +6 *23461:A1 *4368:7 2.41274e-06 +7 *4304:6 *23315:A 0.00013697 +8 *4304:6 *4370:10 0.000169108 +9 *4304:6 *5631:20 3.67747e-05 +10 *23336:A *23396:A 6.08467e-05 +11 *23394:B1 *4304:6 7.87416e-05 +12 *23396:B *23396:A 0.000136314 +13 *23461:A3 *23461:A1 2.15184e-05 +14 *23461:B1 *23396:A 0.000300565 +15 *23461:B1 *23461:A1 0.000162462 +16 *23461:B2 *23461:A1 6.3657e-05 +17 *23700:B *4304:6 9.29777e-05 +18 *3800:42 *4304:6 5.74021e-05 +19 *3800:48 *4304:6 5.55772e-05 +20 *3800:57 *23461:A1 0.000195139 +21 *3800:57 *4304:6 0.000325402 +22 *3974:11 *23461:A1 6.23875e-05 +23 *4224:10 *23461:A1 8.03699e-06 +24 *4224:10 *4304:6 0.000168455 +*RES +1 *23395:X *4304:6 29.2845 +2 *4304:6 *23461:A1 19.6294 +3 *4304:6 *23396:A 18.3548 +*END + +*D_NET *4305 0.00413561 +*CONN +*I *23491:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23397:C I *D sky130_fd_sc_hd__nand3b_1 +*I *23396:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23491:B1 0.000135298 +2 *23397:C 2.18282e-05 +3 *23396:X 0.000698799 +4 *4305:7 0.000855926 +5 *23491:B1 *23398:B 2.65667e-05 +6 *23491:B1 *4401:15 0.000311235 +7 *4305:7 *4401:15 0.000999413 +8 *4305:7 *5456:151 0.000166262 +9 *6107:DIODE *4305:7 0.000111708 +10 *23094:A *4305:7 6.08467e-05 +11 *23094:B *4305:7 0.000107496 +12 *23397:B *23491:B1 6.08467e-05 +13 *4002:9 *4305:7 0.000207266 +14 *4146:10 *23491:B1 0.000169093 +15 *4230:11 *23491:B1 0.000195676 +16 *4230:20 *23491:B1 7.34948e-06 +*RES +1 *23396:X *4305:7 31.0716 +2 *4305:7 *23397:C 9.82786 +3 *4305:7 *23491:B1 24.8233 +*END + +*D_NET *4306 8.50203e-05 +*CONN +*I *23398:B I *D sky130_fd_sc_hd__or2_1 +*I *23397:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *23398:B 2.92268e-05 +2 *23397:Y 2.92268e-05 +3 *23491:B1 *23398:B 2.65667e-05 +*RES +1 *23397:Y *23398:B 19.2217 +*END + +*D_NET *4307 0.00229294 +*CONN +*I *23400:A I *D sky130_fd_sc_hd__or2_1 +*I *23398:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23400:A 0.000369094 +2 *23398:X 0.000369094 +3 *23400:A *4606:7 0.000258128 +4 *23698:A1 *23400:A 0.000202543 +5 *4034:10 *23400:A 0.000190822 +6 *4137:106 *23400:A 0.000233011 +7 *4146:10 *23400:A 0.000670254 +*RES +1 *23398:X *23400:A 43.0687 +*END + +*D_NET *4308 0.0081704 +*CONN +*I *23400:B I *D sky130_fd_sc_hd__or2_1 +*I *23701:B1 I *D sky130_fd_sc_hd__a311o_1 +*I *23447:B I *D sky130_fd_sc_hd__or2_1 +*I *23399:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *23400:B 1.81726e-05 +2 *23701:B1 4.73041e-05 +3 *23447:B 5.70739e-05 +4 *23399:X 5.74256e-05 +5 *4308:29 0.00170157 +6 *4308:6 0.00175059 +7 *23400:B *4606:7 2.16355e-05 +8 *23447:B *23625:B1 4.23238e-05 +9 *23701:B1 *23701:A3 0 +10 *23701:B1 *4606:7 4.31539e-05 +11 *4308:6 *4355:32 7.50722e-05 +12 *4308:29 *23406:B1 3.70027e-06 +13 *4308:29 *23497:B 1.43499e-05 +14 *4308:29 *4315:11 0.000171506 +15 *4308:29 *4396:35 0.000587585 +16 *4308:29 *4400:8 3.55968e-05 +17 *4308:29 *4495:13 9.73667e-06 +18 *4308:29 *5920:22 0 +19 *23209:A *4308:6 1.55462e-05 +20 *23209:A *4308:29 3.20069e-06 +21 *23210:A *23447:B 5.481e-05 +22 *23447:A *23447:B 6.08467e-05 +23 *3784:14 *4308:29 3.55968e-05 +24 *3784:22 *4308:29 4.52469e-05 +25 *3786:30 *4308:29 0.000864385 +26 *3786:38 *4308:29 0.00068784 +27 *3996:26 *4308:29 4.09438e-05 +28 *4031:29 *4308:6 0.000116971 +29 *4031:29 *4308:29 0.00132955 +30 *4117:8 *4308:29 5.26557e-05 +31 *4127:18 *4308:29 6.46414e-05 +32 *4137:106 *23400:B 4.66492e-05 +33 *4137:106 *23701:B1 0.000114725 +*RES +1 *23399:X *4308:6 15.9964 +2 *4308:6 *23447:B 15.5817 +3 *4308:6 *4308:29 49.5401 +4 *4308:29 *23701:B1 11.1059 +5 *4308:29 *23400:B 9.97254 +*END + +*D_NET *4309 0.00281166 +*CONN +*I *23401:B I *D sky130_fd_sc_hd__or2_1 +*I *23400:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23401:B 0.000447093 +2 *23400:X 0.000447093 +3 *23698:A1 *23401:B 0.000717245 +4 *3996:17 *23401:B 3.42876e-05 +5 *4030:6 *23401:B 0.0001441 +6 *4031:17 *23401:B 9.34769e-05 +7 *4031:113 *23401:B 8.89094e-05 +8 *4034:23 *23401:B 0.000581326 +9 *4137:106 *23401:B 0.000258128 +*RES +1 *23400:X *23401:B 45.5602 +*END + +*D_NET *4310 0.000938729 +*CONN +*I *23402:B I *D sky130_fd_sc_hd__or2_1 +*I *23401:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23402:B 0.000149747 +2 *23401:X 0.000149747 +3 *23402:B *4882:11 0.000311235 +4 *23402:A *23402:B 0.000154145 +5 *3996:17 *23402:B 5.33266e-05 +6 *4092:54 *23402:B 5.33266e-05 +7 *4126:45 *23402:B 6.72015e-05 +*RES +1 *23401:X *23402:B 33.6572 +*END + +*D_NET *4311 0.00107239 +*CONN +*I *23403:C I *D sky130_fd_sc_hd__or3_1 +*I *23402:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23403:C 0.000274901 +2 *23402:X 0.000274901 +3 *23403:C *23405:B1 0.000311263 +4 *23403:C *4397:28 0.000148144 +5 *3981:38 *23403:C 6.31809e-05 +*RES +1 *23402:X *23403:C 33.6572 +*END + +*D_NET *4312 0.00106953 +*CONN +*I *23404:B I *D sky130_fd_sc_hd__or2_1 +*I *23403:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23404:B 0.000109522 +2 *23403:X 0.000109522 +3 *23404:B *4500:35 0.000148144 +4 *23404:B *4882:11 0.000304857 +5 *23404:A *23404:B 0.000213524 +6 *4106:24 *23404:B 0.000148144 +7 *4126:45 *23404:B 3.58208e-05 +*RES +1 *23403:X *23404:B 33.6572 +*END + +*D_NET *4313 0.00324808 +*CONN +*I *23405:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23404:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23405:B1 0.000588017 +2 *23404:X 0.000588017 +3 *23405:B1 *4882:11 0.00133181 +4 *23405:B1 *5629:73 0 +5 *23403:C *23405:B1 0.000311263 +6 *23405:A1 *23405:B1 1.41307e-05 +7 *23405:A3 *23405:B1 5.04829e-06 +8 *23406:A1 *23405:B1 0 +9 *23406:A2 *23405:B1 0 +10 *3086:20 *23405:B1 1.28832e-05 +11 *3786:30 *23405:B1 0.000344968 +12 *4116:20 *23405:B1 5.19349e-05 +*RES +1 *23404:X *23405:B1 47.2999 +*END + +*D_NET *4314 0.00147129 +*CONN +*I *23406:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23405:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23406:B1 0.000621323 +2 *23405:X 0.000621323 +3 *23406:B1 *5629:73 1.44467e-05 +4 *23405:A3 *23406:B1 2.02035e-05 +5 *23406:A1 *23406:B1 2.08299e-05 +6 *23406:A2 *23406:B1 4.95809e-05 +7 *23406:A3 *23406:B1 1.75209e-05 +8 *3086:14 *23406:B1 9.45294e-05 +9 *4138:165 *23406:B1 7.83506e-06 +10 *4227:24 *23406:B1 0 +11 *4308:29 *23406:B1 3.70027e-06 +*RES +1 *23405:X *23406:B1 39.3831 +*END + +*D_NET *4315 0.00384427 +*CONN +*I *23407:B I *D sky130_fd_sc_hd__or2_1 +*I *23406:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23407:B 0 +2 *23406:X 0.00144078 +3 *4315:11 0.00144078 +4 *23406:A1 *4315:11 0.000131093 +5 *23407:A *4315:11 0.000264586 +6 *3784:22 *4315:11 1.42919e-05 +7 *3786:30 *4315:11 1.30711e-05 +8 *4126:45 *4315:11 0.000317707 +9 *4127:18 *4315:11 5.0459e-05 +10 *4308:29 *4315:11 0.000171506 +*RES +1 *23406:X *4315:11 49.2525 +2 *4315:11 *23407:B 9.24915 +*END + +*D_NET *4316 0.000575837 +*CONN +*I *23408:C I *D sky130_fd_sc_hd__or3_1 +*I *23407:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23408:C 0.000157724 +2 *23407:X 0.000157724 +3 *23408:A *23408:C 0.000260388 +*RES +1 *23407:X *23408:C 22.5493 +*END + +*D_NET *4317 0.00157337 +*CONN +*I *23409:B I *D sky130_fd_sc_hd__nor2_1 +*I *23408:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23409:B 0.000661904 +2 *23408:X 0.000661904 +3 *23409:B *4664:40 0.000143032 +4 *657:224 *23409:B 8.80116e-05 +5 *657:228 *23409:B 1.85183e-05 +*RES +1 *23408:X *23409:B 38.094 +*END + +*D_NET *4318 0.000770977 +*CONN +*I *23411:A I *D sky130_fd_sc_hd__or2_1 +*I *23409:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23411:A 0.000168215 +2 *23409:Y 0.000168215 +3 *23411:A *4407:8 7.88576e-05 +4 *23409:A *23411:A 0.000260374 +5 *23500:B *23411:A 2.16355e-05 +6 *4126:48 *23411:A 7.36801e-05 +*RES +1 *23409:Y *23411:A 24.2372 +*END + +*D_NET *4319 0.0125052 +*CONN +*I *23767:C I *D sky130_fd_sc_hd__or3_1 +*I *23706:B I *D sky130_fd_sc_hd__or4b_2 +*I *23502:A I *D sky130_fd_sc_hd__or2_1 +*I *23411:B I *D sky130_fd_sc_hd__or2_1 +*I *23410:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *23767:C 0.000307481 +2 *23706:B 0 +3 *23502:A 0.000146294 +4 *23411:B 0.000250481 +5 *23410:Y 0.00126121 +6 *4319:29 0.000399995 +7 *4319:10 0.000457576 +8 *4319:8 0.000948519 +9 *4319:7 0.00205641 +10 *23411:B *4407:8 2.23259e-05 +11 *23411:B *5801:92 0.000744344 +12 *23502:A *4665:82 0.000124658 +13 *23767:C *23706:C 1.19856e-05 +14 *23767:C *23706:D_N 4.0752e-05 +15 *23767:C *4670:17 0.000213544 +16 *4319:7 *4374:28 0.000892189 +17 *4319:8 *5801:92 0.00184621 +18 *4319:10 *5801:92 0.000158353 +19 *4319:29 *23706:C 6.11359e-06 +20 *4319:29 *4611:10 1.4091e-06 +21 *21264:A *4319:8 1.62054e-05 +22 *23706:A *23767:C 0.000302336 +23 *657:242 *4319:8 0.000139896 +24 *657:243 *4319:7 2.35191e-05 +25 *657:248 *4319:7 0.000211495 +26 *1829:122 *4319:8 0 +27 *3974:39 *23767:C 0.000125661 +28 *4126:48 *23411:B 4.81015e-05 +29 *4138:152 *23767:C 0.000260388 +30 *4209:10 *4319:8 0.000406663 +31 *4209:15 *23411:B 0.000737164 +32 *4209:15 *4319:8 0.000178385 +33 *4209:15 *4319:10 0.000165495 +*RES +1 *23410:Y *4319:7 34.4383 +2 *4319:7 *4319:8 33.3912 +3 *4319:8 *4319:10 3.07775 +4 *4319:10 *23411:B 28.0709 +5 *4319:10 *23502:A 17.2456 +6 *4319:8 *4319:29 5.778 +7 *4319:29 *23706:B 9.24915 +8 *4319:29 *23767:C 29.418 +*END + +*D_NET *4320 0.00308053 +*CONN +*I *23412:B I *D sky130_fd_sc_hd__and2_1 +*I *23411:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23412:B 0 +2 *23411:X 0.000681234 +3 *4320:12 0.000681234 +4 *4320:12 *24876:CLK 9.60366e-05 +5 *4320:12 *4371:30 9.96342e-05 +6 *4320:12 *4664:40 0.00102274 +7 *657:224 *4320:12 9.2023e-05 +8 *3788:66 *4320:12 0.000407633 +*RES +1 *23411:X *4320:12 46.1044 +2 *4320:12 *23412:B 13.7491 +*END + +*D_NET *4321 0.000116918 +*CONN +*I *23413:B I *D sky130_fd_sc_hd__nand2_1 +*I *23412:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23413:B 1.26312e-05 +2 *23412:X 1.26312e-05 +3 *23413:B *4538:15 6.50727e-05 +4 *23413:B *4550:11 2.65831e-05 +*RES +1 *23412:X *23413:B 19.2217 +*END + +*D_NET *4322 0.00499181 +*CONN +*I *23453:A I *D sky130_fd_sc_hd__or2_1 +*I *23628:C1 I *D sky130_fd_sc_hd__a211o_1 +*I *23679:C I *D sky130_fd_sc_hd__or3_1 +*I *23790:A I *D sky130_fd_sc_hd__or4_2 +*I *23414:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23453:A 0 +2 *23628:C1 0.000885547 +3 *23679:C 3.58004e-05 +4 *23790:A 0.00020163 +5 *23414:X 0.000188808 +6 *4322:26 0.000885547 +7 *4322:10 0.000316488 +8 *4322:8 0.000267865 +9 *23628:C1 *23596:A 2.15348e-05 +10 *23628:C1 *23657:B 1.82817e-05 +11 *23628:C1 *23822:C 1.03403e-05 +12 *23628:C1 *4357:21 0.000287512 +13 *23628:C1 *4410:13 6.4036e-06 +14 *23628:C1 *4461:9 8.16459e-05 +15 *23628:C1 *4461:17 6.3947e-05 +16 *23628:C1 *4562:14 2.23124e-05 +17 *23628:C1 *4626:8 5.18899e-06 +18 *23790:A *23790:C 0.000122083 +19 *23790:A *4504:6 5.69718e-05 +20 *23790:A *4504:8 8.92568e-06 +21 *23790:A *4584:6 5.59308e-05 +22 *4322:8 *23598:A 7.50872e-05 +23 *4322:8 *4504:6 6.01266e-05 +24 *4322:10 *23453:B 9.34869e-05 +25 *4322:10 *4504:6 9.562e-06 +26 *23450:B *23628:C1 1.4091e-06 +27 *23503:A *23628:C1 7.0012e-06 +28 *23628:B1 *23628:C1 4.63919e-06 +29 *23657:A *23628:C1 6.50586e-05 +30 *23723:B *23628:C1 0.000185913 +31 *23789:B *23628:C1 0.000107496 +32 *23789:C *23790:A 0.000165481 +33 *23789:C *4322:10 8.52802e-05 +34 *3830:17 *4322:8 0.000107496 +35 *3835:27 *23628:C1 2.1818e-05 +36 *4212:40 *4322:8 0.000290644 +37 *4212:40 *4322:10 0.000168546 +*RES +1 *23414:X *4322:8 20.1812 +2 *4322:8 *4322:10 4.32351 +3 *4322:10 *23790:A 20.5642 +4 *4322:10 *23679:C 14.4725 +5 *4322:8 *4322:26 4.5 +6 *4322:26 *23628:C1 29.1546 +7 *4322:26 *23453:A 9.24915 +*END + +*D_NET *4323 0.00771549 +*CONN +*I *23717:A I *D sky130_fd_sc_hd__or2_1 +*I *23446:B I *D sky130_fd_sc_hd__or3_1 +*I *23415:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23717:A 0.000654852 +2 *23446:B 0.000181314 +3 *23415:Y 0.000784953 +4 *4323:10 0.00162112 +5 *23717:A *23719:C1 5.38612e-06 +6 *23717:A *23779:C 6.50727e-05 +7 *4323:10 *4495:13 2.53624e-06 +8 *22878:B *23717:A 5.88009e-05 +9 *22953:C *4323:10 0.000545624 +10 *23051:A2 *4323:10 2.95757e-05 +11 *23054:A2 *4323:10 6.08467e-05 +12 *23054:A3 *4323:10 0.000154145 +13 *23227:B *23717:A 0.000342477 +14 *23227:B *4323:10 3.39118e-05 +15 *23449:A1 *23717:A 7.01586e-06 +16 *23449:A2 *23717:A 6.08467e-05 +17 *23449:A3 *23446:B 0.000205006 +18 *23449:A3 *23717:A 1.41976e-05 +19 *3784:34 *4323:10 0.000137018 +20 *3784:75 *23717:A 0.000511637 +21 *3833:247 *23446:B 1.92336e-05 +22 *3834:8 *23717:A 2.77625e-06 +23 *3844:15 *4323:10 0.00073865 +24 *3847:29 *4323:10 0.000772536 +25 *3991:8 *4323:10 6.08467e-05 +26 *4020:34 *23717:A 8.02105e-05 +27 *4031:29 *4323:10 0.000143032 +28 *4089:76 *23717:A 0.000335658 +29 *4146:60 *23717:A 8.62081e-05 +*RES +1 *23415:Y *4323:10 47.665 +2 *4323:10 *23446:B 12.191 +3 *4323:10 *23717:A 38.6926 +*END + +*D_NET *4324 0.00411006 +*CONN +*I *23624:B2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23445:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23417:B I *D sky130_fd_sc_hd__or2_1 +*I *23416:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23624:B2 0.000273052 +2 *23445:A2 2.22257e-05 +3 *23417:B 0.000164354 +4 *23416:Y 7.73788e-05 +5 *4324:8 0.000486376 +6 *4324:7 0.000650227 +7 *23417:B *4352:39 0.000381139 +8 *23417:B *4871:11 1.2693e-05 +9 *23445:A2 *4352:39 0.000110306 +10 *23445:A2 *4353:19 0.000110306 +11 *4324:8 *4353:19 1.5714e-05 +12 *4324:8 *4871:11 2.68992e-05 +13 *23416:A *23624:B2 9.09451e-05 +14 *23624:B1 *23624:B2 2.07738e-05 +15 *23624:B1 *4324:8 0.000172208 +16 *3870:47 *23624:B2 8.52121e-05 +17 *4085:27 *23624:B2 0.000125291 +18 *4085:27 *4324:7 0.000110306 +19 *4089:40 *23417:B 0.00040973 +20 *4089:40 *4324:8 0.000574515 +21 *4089:55 *23624:B2 0.000126056 +22 *4089:55 *4324:8 6.43474e-05 +*RES +1 *23416:Y *4324:7 15.0271 +2 *4324:7 *4324:8 11.798 +3 *4324:8 *23417:B 21.3947 +4 *4324:8 *23445:A2 15.0271 +5 *4324:7 *23624:B2 24.9817 +*END + +*D_NET *4325 0.000777998 +*CONN +*I *23418:A I *D sky130_fd_sc_hd__buf_6 +*I *23417:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23418:A 0.000124492 +2 *23417:X 0.000124492 +3 *23418:A *4326:14 0.000111802 +4 *23215:A *23418:A 0.000114594 +5 *3989:52 *23418:A 8.65147e-05 +6 *4137:54 *23418:A 0.000216103 +*RES +1 *23417:X *23418:A 32.6845 +*END + +*D_NET *4326 0.0558855 +*CONN +*I *23426:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23430:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *23615:B I *D sky130_fd_sc_hd__nor2_1 +*I *23441:B I *D sky130_fd_sc_hd__nor2_1 +*I *23436:B I *D sky130_fd_sc_hd__nor2_1 +*I *23432:A2 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23419:A I *D sky130_fd_sc_hd__inv_2 +*I *23433:B I *D sky130_fd_sc_hd__nor2_1 +*I *23620:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *23619:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *23418:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23426:B2 2.13544e-05 +2 *23430:B2 0 +3 *23615:B 0.000192269 +4 *23441:B 0 +5 *23436:B 0.000946479 +6 *23432:A2 0 +7 *23419:A 0.000575558 +8 *23433:B 2.10299e-05 +9 *23620:B2 2.17778e-05 +10 *23619:A2 9.68787e-05 +11 *23418:X 0.000530561 +12 *4326:160 0.000778619 +13 *4326:137 0.00103283 +14 *4326:117 0.000899767 +15 *4326:113 0.000553257 +16 *4326:103 0.000337071 +17 *4326:100 0.00124821 +18 *4326:85 0.00202094 +19 *4326:77 0.00177125 +20 *4326:60 0.00218699 +21 *4326:52 0.00147828 +22 *4326:32 0.0015491 +23 *4326:31 0.00121326 +24 *4326:21 0.00104118 +25 *4326:19 0.000954578 +26 *4326:14 0.00129278 +27 *23419:A *4341:11 1.4106e-05 +28 *23436:B *23432:D1 0.000107496 +29 *23436:B *23622:A 0.000160617 +30 *23615:B *23687:C1 0.000492112 +31 *4326:14 *4695:68 0.000420869 +32 *4326:19 *23427:C 9.40212e-05 +33 *4326:19 *23516:B1 0.000241964 +34 *4326:19 *23614:B_N 0.000398541 +35 *4326:19 *4333:15 0.000200794 +36 *4326:19 *4520:7 3.17103e-05 +37 *4326:21 *23427:D_N 1.5962e-05 +38 *4326:21 *4338:17 1.03403e-05 +39 *4326:21 *4520:7 2.41274e-06 +40 *4326:31 *23427:D_N 0.000211172 +41 *4326:31 *23429:A_N 0.000154145 +42 *4326:31 *23430:C1 6.3657e-05 +43 *4326:31 *4711:6 0.000280013 +44 *4326:32 *4373:32 0.000149895 +45 *4326:32 *4519:22 5.9055e-05 +46 *4326:32 *5926:19 0.000173198 +47 *4326:32 *5929:10 2.01595e-05 +48 *4326:52 *23617:A 7.51112e-06 +49 *4326:52 *23617:C 0.000120052 +50 *4326:52 *23712:A 0.000122068 +51 *4326:52 *4365:19 0.000256367 +52 *4326:52 *4373:32 3.31882e-05 +53 *4326:52 *4517:24 2.87719e-05 +54 *4326:52 *4519:22 6.93211e-05 +55 *4326:52 *4712:18 0 +56 *4326:52 *4735:28 0.000382931 +57 *4326:52 *5840:19 5.04829e-06 +58 *4326:60 *23761:A 0.00015567 +59 *4326:60 *23794:D 0.000229217 +60 *4326:60 *4365:19 0.000431171 +61 *4326:60 *4517:24 0.000475828 +62 *4326:77 *23473:A 2.69691e-05 +63 *4326:77 *23794:A 0.000169377 +64 *4326:77 *4378:8 0.000302356 +65 *4326:77 *4379:8 0.00016577 +66 *4326:77 *5904:130 3.31745e-05 +67 *4326:85 *4380:14 0.0021374 +68 *4326:85 *5458:22 0.000264583 +69 *4326:100 *23922:A 1.5714e-05 +70 *4326:100 *5455:70 0.00220022 +71 *4326:100 *5852:74 0.00019951 +72 *4326:103 *5456:79 0.000656415 +73 *4326:113 *23843:D1 0.000260924 +74 *4326:113 *5456:79 0.000355936 +75 *4326:160 *23444:A 6.08467e-05 +76 *4326:160 *23785:C 1.02986e-05 +77 *4326:160 *4352:21 2.16355e-05 +78 *4326:160 *4487:36 0.000170698 +79 *4326:160 *4634:28 0.000206435 +80 *4326:160 *5904:130 0.00279303 +81 *22971:A *4326:160 0.00260922 +82 *23009:C1 *4326:52 6.62712e-05 +83 *23010:A1 *4326:52 4.07685e-05 +84 *23023:C1 *4326:31 7.465e-05 +85 *23215:A *4326:14 0.000287601 +86 *23241:C1 *4326:52 2.46654e-05 +87 *23329:B1 *4326:32 0.000513351 +88 *23351:B *4326:100 6.3196e-05 +89 *23354:C *23619:A2 5.481e-05 +90 *23354:D *4326:100 1.26746e-05 +91 *23374:B *23419:A 0.000183834 +92 *23418:A *4326:14 0.000111802 +93 *23426:A2 *4326:19 9.32983e-05 +94 *23426:A2 *4326:21 0.000396003 +95 *23426:B1 *4326:21 6.08467e-05 +96 *23430:B1 *4326:31 7.00866e-05 +97 *23431:A1 *23436:B 6.5223e-06 +98 *23431:A2 *23436:B 2.65667e-05 +99 *23431:B1 *23436:B 7.98171e-06 +100 *23433:A *23419:A 4.24629e-05 +101 *23433:A *23433:B 4.80635e-06 +102 *23433:A *4326:117 0.000196864 +103 *23458:B *4326:60 0.00012538 +104 *23471:A *4326:77 1.79338e-05 +105 *23611:A2 *4326:52 8.12259e-06 +106 *23619:A1 *23619:A2 3.75603e-05 +107 *23619:C1 *23619:A2 5.78268e-05 +108 *23619:C1 *4326:100 0.000238355 +109 *23620:A1 *4326:113 6.64392e-05 +110 *23620:A2 *4326:103 0.000149769 +111 *23620:A2 *4326:113 2.99287e-05 +112 *23620:B1 *4326:103 6.50586e-05 +113 *23759:B *4326:85 0.000194481 +114 *657:129 *4326:100 0.000242134 +115 *1467:127 *23419:A 0.00133409 +116 *1467:127 *4326:117 0.000782413 +117 *1467:134 *23419:A 7.69662e-05 +118 *1697:48 *4326:117 0.000173077 +119 *1697:48 *4326:137 0.000162936 +120 *2405:26 *4326:100 0.000400783 +121 *2619:44 *4326:103 4.16657e-05 +122 *2619:44 *4326:113 5.66113e-05 +123 *2619:56 *23436:B 0.000226828 +124 *3791:161 *4326:100 0.000177512 +125 *3831:56 *4326:14 0.000417393 +126 *3860:143 *4326:85 0.000210199 +127 *3861:38 *4326:31 0.000195354 +128 *3861:46 *4326:31 1.66626e-05 +129 *3861:64 *4326:60 4.3116e-06 +130 *3861:76 *4326:60 4.55372e-05 +131 *3861:76 *4326:77 0.000941447 +132 *3861:271 *4326:14 0.000203604 +133 *3861:271 *4326:19 0.000190037 +134 *3868:165 *4326:52 9.32998e-05 +135 *3868:251 *23419:A 0.000358903 +136 *3902:31 *4326:32 0.000428054 +137 *3903:61 *4326:100 0.000229715 +138 *3904:17 *4326:85 9.00364e-06 +139 *3904:21 *4326:85 0.00201949 +140 *3914:14 *4326:31 1.31673e-05 +141 *3917:42 *4326:52 8.61737e-06 +142 *3920:26 *23615:B 3.82228e-05 +143 *3922:106 *4326:52 0.000278225 +144 *3922:106 *4326:60 0.000237743 +145 *3929:15 *4326:52 0.000269846 +146 *3938:30 *4326:85 0.00011726 +147 *3938:41 *4326:85 8.99223e-05 +148 *3939:14 *4326:117 7.79231e-05 +149 *3939:14 *4326:137 5.75757e-05 +150 *3974:126 *4326:77 1.69574e-05 +151 *4006:40 *4326:31 0.000224353 +152 *4126:184 *4326:77 0.000325217 +153 *4139:11 *4326:77 2.02848e-05 +154 *4153:11 *4326:77 9.82207e-05 +155 *4231:54 *4326:77 0.000292573 +156 *4233:11 *4326:31 4.6535e-05 +157 *4233:17 *4326:31 3.00979e-05 +158 *4236:107 *4326:52 0.00011906 +159 *4237:34 *4326:31 0.000741605 +160 *4249:14 *4326:32 0.000995057 +161 *4250:17 *4326:52 1.41761e-05 +162 *4251:41 *4326:52 0.000162752 +163 *4260:13 *4326:100 0.000101836 +164 *4262:8 *4326:100 1.95176e-05 +165 *4280:17 *23419:A 7.68827e-05 +*RES +1 *23418:X *4326:14 35.6846 +2 *4326:14 *4326:19 19.2182 +3 *4326:19 *4326:21 5.16022 +4 *4326:21 *4326:31 42.9537 +5 *4326:31 *4326:32 20.1031 +6 *4326:32 *4326:52 42.9148 +7 *4326:52 *4326:60 22.8077 +8 *4326:60 *4326:77 46.8263 +9 *4326:77 *4326:85 49.8996 +10 *4326:85 *23619:A2 12.2151 +11 *4326:85 *4326:100 48.4603 +12 *4326:100 *4326:103 11.8786 +13 *4326:103 *23620:B2 9.82786 +14 *4326:103 *4326:113 11.324 +15 *4326:113 *4326:117 16.6202 +16 *4326:117 *23433:B 9.82786 +17 *4326:117 *23419:A 39.6583 +18 *4326:113 *4326:137 7.57775 +19 *4326:137 *23432:A2 9.24915 +20 *4326:137 *23436:B 27.6234 +21 *4326:60 *4326:160 46.9614 +22 *4326:160 *23441:B 9.24915 +23 *4326:32 *23615:B 19.464 +24 *4326:21 *23430:B2 9.24915 +25 *4326:19 *23426:B2 9.82786 +*END + +*D_NET *4327 0.00104501 +*CONN +*I *23608:A2 I *D sky130_fd_sc_hd__a211o_1 +*I *23439:A2 I *D sky130_fd_sc_hd__a2111o_2 +*I *23419:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23608:A2 3.87004e-05 +2 *23439:A2 1.69126e-05 +3 *23419:Y 0.000114863 +4 *4327:8 0.000170476 +5 *23379:A *23439:A2 0.000116755 +6 *23379:A *23608:A2 0.000193069 +7 *23379:A *4327:8 0 +8 *23439:A1 *23439:A2 4.48847e-05 +9 *23483:B *4327:8 5.28118e-05 +10 *23608:A1 *23439:A2 1.41976e-05 +11 *23608:A1 *23608:A2 0.000207266 +12 *4280:17 *4327:8 7.50722e-05 +*RES +1 *23419:Y *4327:8 20.9116 +2 *4327:8 *23439:A2 10.5271 +3 *4327:8 *23608:A2 11.6364 +*END + +*D_NET *4328 0.00987396 +*CONN +*I *23568:A I *D sky130_fd_sc_hd__or2_1 +*I *23421:A I *D sky130_fd_sc_hd__nor2_1 +*I *23420:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23568:A 3.18159e-05 +2 *23421:A 0 +3 *23420:Y 9.22441e-05 +4 *4328:32 0.00117316 +5 *4328:31 0.00149205 +6 *4328:7 0.000442954 +7 *23568:A *4474:7 2.57986e-05 +8 *4328:7 *4329:15 6.64392e-05 +9 *4328:31 *5563:35 9.69405e-05 +10 *4328:32 *23693:C 0.000522156 +11 *4328:32 *23761:D 7.88448e-05 +12 *4328:32 *4594:8 0.000226271 +13 *4328:32 *4617:8 4.87604e-05 +14 *23421:B *4328:7 5.1633e-05 +15 *23421:B *4328:31 1.04818e-05 +16 *23691:B *4328:32 0.000325704 +17 *23847:B *4328:32 0.000259243 +18 *1731:85 *4328:31 1.52114e-05 +19 *3900:18 *4328:32 4.84276e-05 +20 *3900:34 *4328:7 9.54357e-06 +21 *3900:34 *4328:31 6.3657e-05 +22 *3937:32 *4328:31 0 +23 *3980:180 *4328:32 0.000524152 +24 *4138:16 *4328:32 0.000106245 +25 *4138:41 *4328:32 0.00254215 +26 *4233:44 *4328:32 0.00108865 +27 *4264:13 *4328:31 0.000531429 +*RES +1 *23420:Y *4328:7 12.2151 +2 *4328:7 *23421:A 9.24915 +3 *4328:7 *4328:31 24.8477 +4 *4328:31 *4328:32 56.23 +5 *4328:32 *23568:A 14.4725 +*END + +*D_NET *4329 0.00541566 +*CONN +*I *23713:C1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23432:B1 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23421:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23713:C1 0 +2 *23432:B1 0.00054977 +3 *23421:Y 0.000690204 +4 *4329:15 0.00123997 +5 *23432:B1 *23432:C1 1.91246e-05 +6 *23432:B1 *23621:B 0 +7 *23432:B1 *23621:C 0 +8 *23432:B1 *4340:20 1.94327e-05 +9 *4329:15 *4617:7 2.65667e-05 +10 *23355:B *4329:15 2.57847e-05 +11 *23357:B *4329:15 8.50666e-05 +12 *23358:C *4329:15 2.41274e-06 +13 *23358:D *4329:15 1.97752e-05 +14 *23713:A2 *4329:15 9.4279e-05 +15 *23713:B1 *4329:15 3.57578e-05 +16 *2405:34 *4329:15 6.11359e-06 +17 *2406:14 *23432:B1 0.000304871 +18 *2502:36 *23432:B1 3.71647e-05 +19 *2502:36 *4329:15 0.000256286 +20 *3791:174 *4329:15 0.000357993 +21 *3891:24 *23432:B1 4.34346e-05 +22 *3900:21 *4329:15 1.49073e-05 +23 *3900:34 *4329:15 3.30537e-05 +24 *3936:14 *23432:B1 3.37714e-06 +25 *3937:29 *23432:B1 0.000654429 +26 *3937:29 *4329:15 1.14175e-05 +27 *4233:79 *23432:B1 0.000671663 +28 *4233:79 *4329:15 7.48886e-05 +29 *4266:10 *4329:15 7.14746e-05 +30 *4328:7 *4329:15 6.64392e-05 +*RES +1 *23421:Y *4329:15 35.1463 +2 *4329:15 *23432:B1 47.2824 +3 *4329:15 *23713:C1 9.24915 +*END + +*D_NET *4330 0.00144161 +*CONN +*I *23564:B I *D sky130_fd_sc_hd__or2_1 +*I *23423:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23422:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23564:B 3.85661e-05 +2 *23423:B1 0.000334289 +3 *23422:X 0 +4 *4330:4 0.000372856 +5 *23423:B1 *4338:17 8.76888e-05 +6 *23564:B *23427:C 1.92172e-05 +7 *23023:A2 *23423:B1 0.000103582 +8 *23422:A *23423:B1 6.36477e-05 +9 *23422:A *23564:B 4.46618e-05 +10 *23423:D1 *23423:B1 2.40736e-05 +11 *3861:237 *23423:B1 2.57986e-05 +12 *3861:237 *23564:B 3.90491e-05 +13 *3922:58 *23423:B1 0.000116439 +14 *3922:67 *23423:B1 0.00017174 +*RES +1 *23422:X *4330:4 9.24915 +2 *4330:4 *23423:B1 27.1388 +3 *4330:4 *23564:B 10.5271 +*END + +*D_NET *4331 0.000539152 +*CONN +*I *23430:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *23423:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23430:A2 0.000146889 +2 *23423:X 0.000146889 +3 *23430:A2 *4614:22 0.000113632 +4 *1829:59 *23430:A2 3.99086e-06 +5 *3931:46 *23430:A2 0.000127751 +*RES +1 *23423:X *23430:A2 31.0235 +*END + +*D_NET *4332 0.00273387 +*CONN +*I *23427:B I *D sky130_fd_sc_hd__or4b_1 +*I *23424:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23427:B 0.000555902 +2 *23424:Y 0.000555902 +3 *23427:B *23427:D_N 1.03403e-05 +4 *23427:B *4519:22 5.30391e-05 +5 *23427:B *5926:19 0.00011672 +6 *23429:B_N *23427:B 0.000777887 +7 *4242:22 *23427:B 0.00066408 +*RES +1 *23424:Y *23427:B 45.563 +*END + +*D_NET *4333 0.00956135 +*CONN +*I *23614:A I *D sky130_fd_sc_hd__or2b_1 +*I *23427:C I *D sky130_fd_sc_hd__or4b_1 +*I *23425:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23614:A 0 +2 *23427:C 0.000750983 +3 *23425:X 0.00102649 +4 *4333:15 0.00115178 +5 *4333:10 0.00142729 +6 *23427:C *4471:10 6.50727e-05 +7 *4333:10 *24940:A 3.55859e-05 +8 *4333:10 *4544:22 0 +9 *4333:10 *4630:10 0.000320302 +10 *4333:10 *4631:10 2.1558e-06 +11 *4333:10 *4871:32 8.40936e-05 +12 *4333:15 *23818:C1 0.000588803 +13 *4333:15 *4631:20 0.000563104 +14 *23131:B1 *4333:10 1.36556e-05 +15 *23422:A *23427:C 0.000162677 +16 *23422:B *23427:C 5.44452e-05 +17 *23563:D1 *4333:10 9.00364e-06 +18 *23564:A *23427:C 2.59516e-05 +19 *23564:B *23427:C 1.92172e-05 +20 *23708:C1 *4333:10 0.000343552 +21 *23710:A1 *4333:15 4.20184e-06 +22 *23818:B1 *4333:10 0.000643109 +23 *23818:B1 *4333:15 4.31485e-06 +24 *3861:237 *23427:C 3.69721e-05 +25 *3861:252 *23427:C 4.0999e-05 +26 *3909:40 *4333:10 9.76046e-05 +27 *3922:40 *4333:10 0.000499605 +28 *3922:50 *4333:10 0.000788265 +29 *3928:18 *23427:C 0.000136538 +30 *4026:50 *4333:10 7.66668e-05 +31 *4037:14 *4333:10 0 +32 *4037:14 *4333:15 0 +33 *4038:22 *23427:C 0.000133113 +34 *4040:34 *4333:10 7.79875e-05 +35 *4042:19 *4333:10 8.29929e-05 +36 *4326:19 *23427:C 9.40212e-05 +37 *4326:19 *4333:15 0.000200794 +*RES +1 *23425:X *4333:10 48.2579 +2 *4333:10 *4333:15 18.4776 +3 *4333:15 *23427:C 33.7158 +4 *4333:15 *23614:A 9.24915 +*END + +*D_NET *4334 0.00189879 +*CONN +*I *23427:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *23426:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *23427:D_N 0.000524976 +2 *23426:X 0.000524976 +3 *23427:D_N *23429:A_N 0.000200794 +4 *23426:A2 *23427:D_N 0.000258838 +5 *23427:B *23427:D_N 1.03403e-05 +6 *4006:40 *23427:D_N 0.000151726 +7 *4326:21 *23427:D_N 1.5962e-05 +8 *4326:31 *23427:D_N 0.000211172 +*RES +1 *23426:X *23427:D_N 38.2628 +*END + +*D_NET *4335 0.00152667 +*CONN +*I *23429:A_N I *D sky130_fd_sc_hd__and4bb_1 +*I *23427:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *23429:A_N 0.000415556 +2 *23427:X 0.000415556 +3 *23427:A *23429:A_N 2.81678e-06 +4 *23427:D_N *23429:A_N 0.000200794 +5 *23430:B1 *23429:A_N 2.95884e-05 +6 *3861:237 *23429:A_N 0.000212189 +7 *3914:14 *23429:A_N 9.60216e-05 +8 *4326:31 *23429:A_N 0.000154145 +*RES +1 *23427:X *23429:A_N 36.8777 +*END + +*D_NET *4336 0.0218265 +*CONN +*I *23709:A I *D sky130_fd_sc_hd__inv_2 +*I *23429:C I *D sky130_fd_sc_hd__and4bb_1 +*I *23428:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23709:A 9.92438e-05 +2 *23429:C 0.00026464 +3 *23428:X 0.000325266 +4 *4336:20 0.00108208 +5 *4336:8 0.00262479 +6 *4336:7 0.00223186 +7 *23709:A *4879:7 0.000506452 +8 *4336:7 *22074:A1 2.41274e-06 +9 *4336:8 *5452:36 9.22013e-06 +10 *4336:8 *5452:51 0.00025974 +11 *4336:8 *5452:54 0.000571893 +12 *4336:8 *5628:14 0.000116485 +13 *4336:8 *5628:16 0.000172956 +14 *4336:8 *5825:14 0.00305969 +15 *4336:8 *5834:14 0.00137363 +16 *4336:20 *23470:D1 1.55025e-05 +17 *4336:20 *23835:A2 5.04879e-05 +18 *23340:A *4336:20 3.99287e-05 +19 *23428:A *4336:7 5.11121e-05 +20 *23428:B *4336:7 8.19046e-05 +21 *23470:C1 *4336:20 9.95542e-06 +22 *23834:A2 *4336:20 0 +23 *23835:C1 *4336:20 0.000310379 +24 *24146:D *4336:8 0.000153225 +25 *3087:7 *4336:7 2.60729e-05 +26 *3861:18 *4336:8 0.00166121 +27 *3914:10 *23429:C 4.10997e-05 +28 *3914:10 *4336:20 0.000475021 +29 *3914:14 *23429:C 0.000201791 +30 *3931:8 *4336:8 0.0016243 +31 *3931:26 *4336:8 0.000224783 +32 *3989:23 *23709:A 0.000415936 +33 *4006:30 *4336:20 0.000124435 +34 *4006:40 *23429:C 0.000729634 +35 *4006:40 *4336:20 0.000301891 +36 *4134:78 *4336:20 0.000274791 +37 *4237:34 *23429:C 3.5534e-06 +38 *4242:12 *4336:8 3.17385e-05 +39 *4242:19 *4336:8 0.00151512 +40 *4246:8 *4336:8 0.000114749 +41 *4249:10 *4336:20 0.000629199 +42 *4249:13 *4336:20 1.82679e-05 +*RES +1 *23428:X *4336:7 21.1278 +2 *4336:7 *4336:8 109.382 +3 *4336:8 *4336:20 43.3244 +4 *4336:20 *23429:C 26.3777 +5 *4336:20 *23709:A 19.464 +*END + +*D_NET *4337 0.000149132 +*CONN +*I *23430:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *23429:X O *D sky130_fd_sc_hd__and4bb_1 +*CAP +1 *23430:C1 1.09091e-05 +2 *23429:X 1.09091e-05 +3 *23430:B1 *23430:C1 6.3657e-05 +4 *4326:31 *23430:C1 6.3657e-05 +*RES +1 *23429:X *23430:C1 19.2217 +*END + +*D_NET *4338 0.0319976 +*CONN +*I *23432:C1 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23430:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *23432:C1 6.83779e-06 +2 *23430:X 0.00563778 +3 *4338:17 0.00564462 +4 *23432:C1 *4340:20 2.26985e-05 +5 *4338:17 *4717:8 0.000100693 +6 *4338:17 *4741:18 0.0076465 +7 *4338:17 *5923:20 0.000663477 +8 *23023:A2 *4338:17 8.76888e-05 +9 *23028:A *4338:17 2.37709e-05 +10 *23423:B1 *4338:17 8.76888e-05 +11 *23432:B1 *23432:C1 1.91246e-05 +12 *74:35 *4338:17 0.000246047 +13 *1697:52 *4338:17 1.86819e-05 +14 *2922:54 *4338:17 0.000459552 +15 *2963:96 *4338:17 2.68956e-05 +16 *3829:75 *4338:17 0.000821248 +17 *3829:77 *4338:17 0.000406306 +18 *3829:85 *4338:17 0.00117274 +19 *3840:191 *4338:17 9.84873e-06 +20 *3842:141 *4338:17 0.00185289 +21 *3861:96 *4338:17 0.00402171 +22 *3862:58 *4338:17 0.00202942 +23 *3874:40 *4338:17 0 +24 *3891:176 *4338:17 0.000153427 +25 *3931:65 *4338:17 0.000542452 +26 *4126:137 *4338:17 0.000285123 +27 *4326:21 *4338:17 1.03403e-05 +*RES +1 *23430:X *4338:17 47.2744 +2 *4338:17 *23432:C1 17.4965 +*END + +*D_NET *4339 0.00115625 +*CONN +*I *23843:C1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23432:D1 I *D sky130_fd_sc_hd__o2111ai_1 +*I *23431:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *23843:C1 7.38578e-05 +2 *23432:D1 0.00010107 +3 *23431:X 0 +4 *4339:4 0.000174928 +5 *23431:B1 *23432:D1 7.92757e-06 +6 *23432:A1 *23432:D1 0.000255883 +7 *23436:B *23432:D1 0.000107496 +8 *1697:48 *23843:C1 0.000158815 +9 *1783:48 *23843:C1 0.000158815 +10 *3939:14 *23432:D1 0.000117455 +*RES +1 *23431:X *4339:4 9.24915 +2 *4339:4 *23432:D1 13.3243 +3 *4339:4 *23843:C1 21.3269 +*END + +*D_NET *4340 0.00342675 +*CONN +*I *23435:A I *D sky130_fd_sc_hd__or3_1 +*I *23432:Y O *D sky130_fd_sc_hd__o2111ai_1 +*CAP +1 *23435:A 0 +2 *23432:Y 0.000731021 +3 *4340:20 0.000731021 +4 *4340:20 *23435:C 1.07248e-05 +5 *4340:20 *23621:C 4.31485e-06 +6 *4340:20 *23622:D_N 0.000164536 +7 *4340:20 *4341:11 4.53418e-05 +8 *23365:A *4340:20 0.000151716 +9 *23432:B1 *4340:20 1.94327e-05 +10 *23432:C1 *4340:20 2.26985e-05 +11 *1587:63 *4340:20 0.000304791 +12 *2612:41 *4340:20 3.46831e-05 +13 *2619:44 *4340:20 3.56217e-05 +14 *3936:14 *4340:20 6.11074e-05 +15 *3937:29 *4340:20 6.50727e-05 +16 *4233:79 *4340:20 0.000304857 +17 *4233:93 *4340:20 0.00073981 +*RES +1 *23432:Y *4340:20 45.6962 +2 *4340:20 *23435:A 13.7491 +*END + +*D_NET *4341 0.0012301 +*CONN +*I *23435:B I *D sky130_fd_sc_hd__or3_1 +*I *23607:C I *D sky130_fd_sc_hd__or3_1 +*I *23433:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23435:B 0 +2 *23607:C 0.000212498 +3 *23433:Y 0.000216433 +4 *4341:11 0.000428931 +5 *23607:C *23439:B1 2.16355e-05 +6 *4341:11 *23435:C 0.000127179 +7 *23419:A *4341:11 1.4106e-05 +8 *23433:A *4341:11 6.50727e-05 +9 *23843:A1 *23607:C 2.53145e-06 +10 *2612:41 *4341:11 6.57904e-05 +11 *2619:44 *4341:11 3.0577e-05 +12 *4340:20 *4341:11 4.53418e-05 +*RES +1 *23433:Y *4341:11 23.7435 +2 *4341:11 *23607:C 13.7583 +3 *4341:11 *23435:B 9.24915 +*END + +*D_NET *4342 0.00274795 +*CONN +*I *23714:C I *D sky130_fd_sc_hd__or4_4 +*I *23435:C I *D sky130_fd_sc_hd__or3_1 +*I *23434:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23714:C 7.95934e-05 +2 *23435:C 0.000613394 +3 *23434:X 0 +4 *4342:4 0.000692988 +5 *23435:C *5563:44 9.27724e-05 +6 *23714:C *23714:D 8.87348e-05 +7 *23033:A *23714:C 7.12317e-05 +8 *23034:A *23435:C 1.39699e-05 +9 *23037:A1 *23435:C 3.77568e-05 +10 *23365:A *23435:C 9.55925e-05 +11 *23365:A *23714:C 7.47581e-05 +12 *23434:A *23435:C 2.61372e-05 +13 *1786:43 *23435:C 0.000574203 +14 *2612:41 *23435:C 1.76071e-05 +15 *2911:17 *23435:C 3.16547e-06 +16 *2911:17 *23714:C 5.30702e-05 +17 *4273:14 *23435:C 7.50722e-05 +18 *4340:20 *23435:C 1.07248e-05 +19 *4341:11 *23435:C 0.000127179 +*RES +1 *23434:X *4342:4 9.24915 +2 *4342:4 *23435:C 35.6171 +3 *4342:4 *23714:C 21.2754 +*END + +*D_NET *4343 0.00233316 +*CONN +*I *23439:B1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23435:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23439:B1 0.000494757 +2 *23435:X 0.000494757 +3 *23439:B1 *4346:8 0.000110297 +4 *23439:B1 *4513:7 0.000111722 +5 *23439:B1 *4515:14 8.38894e-05 +6 *23374:B *23439:B1 2.99287e-05 +7 *23379:A *23439:B1 0.00012883 +8 *23438:B *23439:B1 4.87439e-05 +9 *23607:A *23439:B1 6.08467e-05 +10 *23607:C *23439:B1 2.16355e-05 +11 *2802:15 *23439:B1 0.000461977 +12 *3877:221 *23439:B1 0.000156946 +13 *4282:11 *23439:B1 0.00012883 +*RES +1 *23435:X *23439:B1 43.5677 +*END + +*D_NET *4344 0.00104721 +*CONN +*I *23439:C1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23610:C I *D sky130_fd_sc_hd__or3_1 +*I *23436:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23439:C1 0.000162403 +2 *23610:C 0 +3 *23436:Y 5.05812e-05 +4 *4344:7 0.000212985 +5 *23439:C1 *23622:A 0.000255881 +6 *23439:C1 *4347:7 2.65831e-05 +7 *4344:7 *23622:A 3.58208e-05 +8 *2619:56 *23439:C1 0.000191235 +9 *2619:56 *4344:7 0.000111722 +*RES +1 *23436:Y *4344:7 11.1059 +2 *4344:7 *23610:C 9.24915 +3 *4344:7 *23439:C1 14.964 +*END + +*D_NET *4345 0.011171 +*CONN +*I *23438:A I *D sky130_fd_sc_hd__or2_1 +*I *23578:A I *D sky130_fd_sc_hd__or2_1 +*I *23437:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23438:A 0 +2 *23578:A 0.000520009 +3 *23437:Y 0.000523497 +4 *4345:20 0.00163198 +5 *4345:16 0.00115966 +6 *4345:11 0.000571187 +7 *23578:A *4347:8 0.000142638 +8 *4345:11 *4870:22 0.000229114 +9 *4345:20 *23774:A 0.0001421 +10 *4345:20 *4346:8 0.000114074 +11 *4345:20 *4347:8 0.00213911 +12 *4345:20 *4870:22 0 +13 *23152:A *23578:A 0.000184946 +14 *23152:B *23578:A 0.000255428 +15 *23366:A *4345:11 0.000142282 +16 *23374:B *4345:11 4.81452e-05 +17 *23437:A *4345:11 4.58003e-05 +18 *23438:B *4345:11 1.55025e-05 +19 *23438:B *4345:16 6.64392e-05 +20 *23577:A *23578:A 0.000122083 +21 *23773:A3 *4345:20 0.00024065 +22 *2802:15 *4345:11 4.81452e-05 +23 *2802:15 *4345:16 6.64392e-05 +24 *2803:58 *4345:11 0.0001541 +25 *3888:24 *23578:A 0.000172676 +26 *3888:24 *4345:20 5.86166e-05 +27 *3947:23 *4345:11 0.000130832 +28 *4012:10 *23578:A 0.000141859 +29 *4012:14 *23578:A 3.71263e-05 +30 *4012:20 *23578:A 8.61936e-05 +31 *4089:27 *4345:20 0.00144687 +32 *4169:50 *23578:A 0.000325034 +33 *4275:29 *4345:11 0 +34 *4275:29 *4345:20 9.77974e-05 +35 *4282:11 *4345:20 0.000110686 +*RES +1 *23437:Y *4345:11 33.0184 +2 *4345:11 *4345:16 5.95619 +3 *4345:16 *4345:20 45.751 +4 *4345:20 *23578:A 33.0217 +5 *4345:11 *23438:A 9.24915 +*END + +*D_NET *4346 0.00134265 +*CONN +*I *23774:A I *D sky130_fd_sc_hd__or4_2 +*I *23439:D1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23438:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23774:A 0.000146738 +2 *23439:D1 0 +3 *23438:X 0.000171497 +4 *4346:8 0.000318235 +5 *23774:A *23622:B 5.33409e-05 +6 *23774:A *23774:C 7.34948e-06 +7 *23374:B *4346:8 1.37189e-05 +8 *23439:B1 *4346:8 0.000110297 +9 *4282:11 *23774:A 0.000154822 +10 *4282:11 *4346:8 0.000110477 +11 *4345:20 *23774:A 0.0001421 +12 *4345:20 *4346:8 0.000114074 +*RES +1 *23438:X *4346:8 17.829 +2 *4346:8 *23439:D1 13.7491 +3 *4346:8 *23774:A 18.6836 +*END + +*D_NET *4347 0.017089 +*CONN +*I *23444:A I *D sky130_fd_sc_hd__nor4_2 +*I *23439:X O *D sky130_fd_sc_hd__a2111o_2 +*CAP +1 *23444:A 0.000215542 +2 *23439:X 4.57604e-05 +3 *4347:12 0.00180091 +4 *4347:10 0.00161043 +5 *4347:8 0.0011699 +6 *4347:7 0.0011906 +7 *23444:A *23746:C 6.08467e-05 +8 *23444:A *4349:7 4.45999e-05 +9 *23444:A *4352:21 2.68848e-05 +10 *4347:12 *23670:A 0.000276356 +11 *4347:12 *23670:B 0.000187295 +12 *4347:12 *23744:A 0.00013592 +13 *4347:12 *23744:D 0.000342943 +14 *4347:12 *23785:C 5.1493e-06 +15 *4347:12 *4437:6 0.00025843 +16 *4347:12 *4484:8 0.000182869 +17 *4347:12 *4528:17 0.000117093 +18 *4347:12 *4567:51 1.69932e-05 +19 *4347:12 *4647:8 0.00017419 +20 *4347:12 *4647:17 0.00241668 +21 *23152:A *4347:8 0.000184946 +22 *23263:A2 *4347:8 1.35239e-05 +23 *23439:C1 *4347:7 2.65831e-05 +24 *23482:A1 *4347:8 2.48025e-05 +25 *23578:A *4347:8 0.000142638 +26 *1438:92 *4347:12 0.000105728 +27 *3857:78 *23444:A 6.56531e-05 +28 *3877:147 *4347:12 5.74627e-05 +29 *3893:42 *4347:8 0 +30 *4013:12 *4347:8 0.000132046 +31 *4017:9 *4347:12 0.00015395 +32 *4018:39 *4347:12 0.00103329 +33 *4058:28 *4347:12 2.9372e-05 +34 *4137:28 *4347:8 9.24721e-05 +35 *4137:28 *4347:12 4.25147e-05 +36 *4169:50 *4347:8 0.000933782 +37 *4169:50 *4347:10 6.67835e-06 +38 *4169:50 *4347:12 0.000320866 +39 *4172:16 *4347:8 2.652e-05 +40 *4275:29 *4347:8 0.00121681 +41 *4326:160 *23444:A 6.08467e-05 +42 *4345:20 *4347:8 0.00213911 +*RES +1 *23439:X *4347:7 14.4725 +2 *4347:7 *4347:8 50.2089 +3 *4347:8 *4347:10 0.732798 +4 *4347:10 *4347:12 62.6664 +5 *4347:12 *23444:A 18.9335 +*END + +*D_NET *4348 0.00490826 +*CONN +*I *23444:B I *D sky130_fd_sc_hd__nor4_2 +*I *23716:C I *D sky130_fd_sc_hd__or4_4 +*I *23440:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23444:B 0.000644501 +2 *23716:C 0.000268582 +3 *23440:X 0.000396137 +4 *4348:8 0.00130922 +5 *23444:B *23649:B1 0 +6 *23444:B *23732:D 0.000203065 +7 *23444:B *23785:A 7.41203e-05 +8 *23444:B *4487:19 0.000106406 +9 *23444:B *4488:19 8.14934e-05 +10 *23444:B *4686:10 0.000818363 +11 *4348:8 *4487:19 8.16247e-05 +12 *4348:8 *5592:19 7.97098e-06 +13 *23274:A *4348:8 2.37827e-05 +14 *2878:44 *23444:B 6.04169e-05 +15 *3879:16 *23716:C 1.19856e-05 +16 *3980:134 *23444:B 0.000343652 +17 *3980:134 *4348:8 0.000185246 +18 *4070:36 *23444:B 1.30353e-05 +19 *4290:10 *23716:C 7.13972e-05 +20 *4290:19 *4348:8 0.000207266 +*RES +1 *23440:X *4348:8 21.8478 +2 *4348:8 *23716:C 17.5229 +3 *4348:8 *23444:B 36.3438 +*END + +*D_NET *4349 0.00136127 +*CONN +*I *23444:C I *D sky130_fd_sc_hd__nor4_2 +*I *23623:C I *D sky130_fd_sc_hd__or3_2 +*I *23441:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23444:C 0 +2 *23623:C 0.000156525 +3 *23441:Y 0.000112946 +4 *4349:7 0.00026947 +5 *23623:C *4557:10 3.82228e-05 +6 *23623:C *4635:13 5.41227e-05 +7 *23623:C *4686:10 0.000167579 +8 *4349:7 *4352:21 0.000352146 +9 *23444:A *4349:7 4.45999e-05 +10 *3857:78 *4349:7 7.82211e-05 +11 *3872:164 *23623:C 7.12079e-05 +12 *3980:126 *23623:C 1.62321e-05 +*RES +1 *23441:Y *4349:7 13.8789 +2 *4349:7 *23623:C 23.1595 +3 *4349:7 *23444:C 9.24915 +*END + +*D_NET *4350 0.000923924 +*CONN +*I *23585:A I *D sky130_fd_sc_hd__or2_1 +*I *23443:A I *D sky130_fd_sc_hd__or2_1 +*I *23442:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23585:A 1.30387e-05 +2 *23443:A 5.71774e-05 +3 *23442:Y 7.35712e-05 +4 *4350:8 0.000143787 +5 *23443:A *23585:B 6.08467e-05 +6 *23443:A *23781:A 3.03403e-05 +7 *23443:A *4713:14 1.65872e-05 +8 *23585:A *23585:B 4.66492e-05 +9 *23443:B *23443:A 6.08467e-05 +10 *23832:B *23443:A 0.000154145 +11 *23832:B *23585:A 6.08467e-05 +12 *3791:108 *4350:8 0.000103044 +13 *4190:15 *4350:8 0.000103044 +*RES +1 *23442:Y *4350:8 20.4964 +2 *4350:8 *23443:A 11.6364 +3 *4350:8 *23585:A 9.97254 +*END + +*D_NET *4351 0.00410566 +*CONN +*I *23810:C I *D sky130_fd_sc_hd__or4b_1 +*I *23444:D I *D sky130_fd_sc_hd__nor4_2 +*I *23443:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23810:C 4.57738e-05 +2 *23444:D 0.000445288 +3 *23443:X 0.000464245 +4 *4351:11 0.000955307 +5 *23444:D *4490:8 0.00029796 +6 *23810:C *23813:B 7.86847e-05 +7 *23810:C *4490:8 1.91406e-05 +8 *4351:11 *23781:A 4.79321e-06 +9 *4351:11 *23813:A 3.12996e-05 +10 *4351:11 *23813:C 5.04829e-06 +11 *4351:11 *4713:14 0.000484995 +12 *3879:71 *23444:D 0.000186014 +13 *3980:126 *23444:D 0.000918298 +14 *3980:126 *23810:C 0.000146098 +15 *4009:72 *23810:C 2.27135e-05 +*RES +1 *23443:X *4351:11 21.8753 +2 *4351:11 *23444:D 30.9455 +3 *4351:11 *23810:C 16.4116 +*END + +*D_NET *4352 0.0185709 +*CONN +*I *23445:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23444:Y O *D sky130_fd_sc_hd__nor4_2 +*CAP +1 *23445:B1 0 +2 *23444:Y 0.00119156 +3 *4352:39 0.000871607 +4 *4352:34 0.00147831 +5 *4352:31 0.00125329 +6 *4352:21 0.00183816 +7 *4352:21 *23647:B1 7.58372e-05 +8 *4352:21 *23731:D 3.20386e-05 +9 *4352:21 *23746:B 6.08467e-05 +10 *4352:21 *23746:C 9.43616e-05 +11 *4352:21 *23746:D_N 0.000107496 +12 *4352:21 *23801:A2 1.1718e-05 +13 *4352:21 *4627:23 0.000413265 +14 *4352:21 *4664:33 3.47492e-05 +15 *4352:21 *5919:40 2.22559e-05 +16 *4352:21 *5920:19 0.000696208 +17 *4352:31 *23507:A 4.73813e-05 +18 *4352:31 *23649:A2 4.31485e-06 +19 *4352:31 *23733:B 2.40249e-05 +20 *4352:31 *23733:D 6.08467e-05 +21 *4352:31 *23742:B 8.3566e-05 +22 *4352:31 *4413:6 6.1578e-06 +23 *4352:31 *4414:8 0.000131291 +24 *4352:31 *4540:14 0.000517593 +25 *4352:34 *5918:14 0.000150552 +26 *4352:39 *4353:19 3.95326e-05 +27 *4352:39 *4871:11 0.000123956 +28 *4352:39 *5918:14 0.000102893 +29 *23109:A *4352:39 0.000133696 +30 *23417:B *4352:39 0.000381139 +31 *23444:A *4352:21 2.68848e-05 +32 *23445:A2 *4352:39 0.000110306 +33 *23513:A *4352:39 0.000474108 +34 *23624:B1 *4352:39 0.000107496 +35 *657:171 *4352:21 0.000110946 +36 *2836:20 *4352:21 0.000333868 +37 *2878:47 *4352:21 0.000449125 +38 *3857:78 *4352:21 3.99406e-05 +39 *3861:271 *4352:39 7.77309e-06 +40 *3869:55 *4352:39 0.000162739 +41 *4001:68 *4352:39 0.000210445 +42 *4001:75 *4352:34 6.38982e-06 +43 *4001:75 *4352:39 0.000959321 +44 *4004:10 *4352:39 0.000283618 +45 *4007:8 *4352:34 0.00156536 +46 *4007:8 *4352:39 5.61517e-05 +47 *4018:23 *4352:31 0.00086052 +48 *4021:10 *4352:34 0.00154251 +49 *4089:27 *4352:21 0.000699836 +50 *4089:40 *4352:39 0.000121887 +51 *4127:36 *4352:39 7.9261e-05 +52 *4326:160 *4352:21 2.16355e-05 +53 *4349:7 *4352:21 0.000352146 +*RES +1 *23444:Y *4352:21 39.9158 +2 *4352:21 *4352:31 29.9433 +3 *4352:31 *4352:34 29.3486 +4 *4352:34 *4352:39 41.4559 +5 *4352:39 *23445:B1 9.24915 +*END + +*D_NET *4353 0.00856027 +*CONN +*I *23446:C I *D sky130_fd_sc_hd__or3_1 +*I *23445:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23446:C 0.000771572 +2 *23445:Y 0.000601896 +3 *4353:19 0.00137347 +4 *4353:19 *4681:20 0.00116953 +5 *4353:19 *4871:11 2.26985e-05 +6 *4353:19 *5918:14 0.00117557 +7 *23445:A2 *4353:19 0.000110306 +8 *23777:B *23446:C 9.60216e-05 +9 *3833:247 *23446:C 0.000107496 +10 *3834:8 *23446:C 0.000107496 +11 *3846:20 *23446:C 0.000345653 +12 *3907:26 *23446:C 0.000179296 +13 *3907:37 *23446:C 0.00131892 +14 *3991:8 *23446:C 0.000332301 +15 *4001:53 *23446:C 0.000649391 +16 *4001:53 *4353:19 6.21462e-05 +17 *4090:9 *23446:C 7.08723e-06 +18 *4127:30 *23446:C 6.89178e-05 +19 *4127:36 *23446:C 5.2504e-06 +20 *4324:8 *4353:19 1.5714e-05 +21 *4352:39 *4353:19 3.95326e-05 +*RES +1 *23445:Y *4353:19 26.6329 +2 *4353:19 *23446:C 46.5497 +*END + +*D_NET *4354 0.000453791 +*CONN +*I *23448:A I *D sky130_fd_sc_hd__or2_1 +*I *23446:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23448:A 0.000122586 +2 *23446:X 0.000122586 +3 *22953:C *23448:A 4.27003e-05 +4 *23050:A *23448:A 0.000104731 +5 *4014:23 *23448:A 6.11872e-05 +*RES +1 *23446:X *23448:A 31.1629 +*END + +*D_NET *4355 0.00677503 +*CONN +*I *23448:B I *D sky130_fd_sc_hd__or2_1 +*I *23625:B1 I *D sky130_fd_sc_hd__a41o_1 +*I *23447:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23448:B 0 +2 *23625:B1 0.000372766 +3 *23447:X 0 +4 *4355:32 0.000800046 +5 *4355:4 0.00117281 +6 *4355:32 *4495:13 1.72799e-05 +7 *22953:C *4355:32 0.000329575 +8 *23050:A *4355:32 0.000193324 +9 *23051:A2 *4355:32 0.000158353 +10 *23209:A *4355:32 9.24241e-05 +11 *23210:A *23625:B1 1.34424e-05 +12 *23393:A *4355:32 0.000497639 +13 *23399:B *4355:32 0.000127194 +14 *23447:A *23625:B1 0.000107496 +15 *23447:B *23625:B1 4.23238e-05 +16 *23625:A1 *23625:B1 1.918e-05 +17 *23625:A4 *23625:B1 1.65872e-05 +18 *3784:34 *4355:32 0.000413659 +19 *3789:67 *23625:B1 0.000160617 +20 *3800:72 *23625:B1 0.000253916 +21 *3829:12 *23625:B1 7.92757e-06 +22 *3844:15 *4355:32 0.000731523 +23 *3847:6 *4355:32 0.000522739 +24 *3877:20 *23625:B1 9.96342e-05 +25 *3996:26 *23625:B1 9.60216e-05 +26 *4031:29 *4355:32 0.000453475 +27 *4308:6 *4355:32 7.50722e-05 +*RES +1 *23447:X *4355:4 9.24915 +2 *4355:4 *23625:B1 28.4243 +3 *4355:4 *4355:32 48.0642 +4 *4355:32 *23448:B 13.7491 +*END + +*D_NET *4356 0.000355254 +*CONN +*I *23449:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23448:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23449:B1 0.000123152 +2 *23448:X 0.000123152 +3 *3847:29 *23449:B1 4.90264e-05 +4 *4014:23 *23449:B1 1.43848e-05 +5 *4020:34 *23449:B1 4.5539e-05 +*RES +1 *23448:X *23449:B1 30.4689 +*END + +*D_NET *4357 0.00446897 +*CONN +*I *23450:C I *D sky130_fd_sc_hd__or3_1 +*I *23449:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23450:C 0 +2 *23449:X 0.00141333 +3 *4357:21 0.00141333 +4 *4357:21 *4397:21 0 +5 *4357:21 *4561:28 3.55859e-05 +6 *23450:A *4357:21 6.08467e-05 +7 *23450:B *4357:21 1.00981e-05 +8 *23503:A *4357:21 8.86317e-05 +9 *23503:B *4357:21 6.5704e-05 +10 *23597:B1 *4357:21 8.12259e-06 +11 *23628:A1 *4357:21 0.000443323 +12 *23628:A2 *4357:21 1.9101e-05 +13 *23628:B1 *4357:21 2.50042e-06 +14 *23628:C1 *4357:21 0.000287512 +15 *3785:29 *4357:21 0.000110272 +16 *3791:34 *4357:21 1.7003e-05 +17 *3834:8 *4357:21 0.000449786 +18 *3835:27 *4357:21 1.5714e-05 +19 *3868:52 *4357:21 5.30605e-06 +20 *4009:116 *4357:21 1.17108e-05 +21 *4301:40 *4357:21 1.10931e-05 +*RES +1 *23449:X *4357:21 43.1864 +2 *4357:21 *23450:C 9.24915 +*END + +*D_NET *4358 0.000535205 +*CONN +*I *23452:A I *D sky130_fd_sc_hd__or2b_1 +*I *23450:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23452:A 0.000186245 +2 *23450:X 0.000186245 +3 *23452:A *23453:B 7.34948e-06 +4 *23503:B *23452:A 2.16355e-05 +5 *4009:116 *23452:A 6.51527e-05 +6 *4120:17 *23452:A 6.85778e-05 +*RES +1 *23450:X *23452:A 30.9164 +*END + +*D_NET *4359 0.0033962 +*CONN +*I *23452:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *23719:C1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23451:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *23452:B_N 0.000203834 +2 *23719:C1 0.000341893 +3 *23451:X 0.00022929 +4 *4359:5 0.000775017 +5 *23002:A *23719:C1 0.000255353 +6 *23451:A2 *23719:C1 1.9101e-05 +7 *23503:A *23719:C1 7.86858e-06 +8 *23717:A *23719:C1 5.38612e-06 +9 *23719:A1 *23452:B_N 0 +10 *23719:A1 *4359:5 4.97617e-05 +11 *23719:B2 *23719:C1 0.000107496 +12 *3781:39 *23719:C1 0.000847422 +13 *3784:75 *23719:C1 0.000111708 +14 *3831:42 *23452:B_N 0.000139947 +15 *3831:42 *4359:5 0.000154145 +16 *3868:76 *23719:C1 0.000112081 +17 *4020:34 *23719:C1 1.5714e-05 +18 *4091:8 *23719:C1 2.01775e-05 +*RES +1 *23451:X *4359:5 12.7456 +2 *4359:5 *23719:C1 29.4649 +3 *4359:5 *23452:B_N 13.0349 +*END + +*D_NET *4360 0.00191321 +*CONN +*I *23453:B I *D sky130_fd_sc_hd__or2_1 +*I *23452:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *23453:B 0.000744982 +2 *23452:X 0.000744982 +3 *23453:B *4410:13 0.00011708 +4 *23453:B *4504:6 0.000205332 +5 *23452:A *23453:B 7.34948e-06 +6 *4322:10 *23453:B 9.34869e-05 +*RES +1 *23452:X *23453:B 44.4706 +*END + +*D_NET *4361 0.000340937 +*CONN +*I *23454:C I *D sky130_fd_sc_hd__or3_1 +*I *23453:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23454:C 0.000153407 +2 *23453:X 0.000153407 +3 *23454:B *23454:C 3.4123e-05 +*RES +1 *23453:X *23454:C 21.4401 +*END + +*D_NET *4362 0.000328544 +*CONN +*I *23455:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23454:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23455:A 0.000110524 +2 *23454:X 0.000110524 +3 *24087:A1 *23455:A 0.000107496 +*RES +1 *23454:X *23455:A 21.3195 +*END + +*D_NET *4363 0.0182733 +*CONN +*I *23499:A I *D sky130_fd_sc_hd__or2_1 +*I *23705:C I *D sky130_fd_sc_hd__or4_1 +*I *23822:A I *D sky130_fd_sc_hd__or4_1 +*I *23659:C I *D sky130_fd_sc_hd__or4b_1 +*I *23771:A1 I *D sky130_fd_sc_hd__o311a_1 +*I *23456:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23499:A 0.000187705 +2 *23705:C 0.000385554 +3 *23822:A 0.000127749 +4 *23659:C 0.000140117 +5 *23771:A1 0.000297699 +6 *23456:X 9.34923e-06 +7 *4363:48 0.000970756 +8 *4363:19 0.000707651 +9 *4363:14 0.00163955 +10 *4363:13 0.0019238 +11 *4363:7 0.00108868 +12 *23499:A *23501:B 2.22342e-05 +13 *23499:A *4739:5 5.0715e-05 +14 *23499:A *4873:54 5.86314e-05 +15 *23659:C *23659:A 2.16355e-05 +16 *23659:C *23659:B 1.09551e-05 +17 *23659:C *4563:23 7.92757e-06 +18 *23705:C *23799:A 0.000262918 +19 *23705:C *23799:B 0.000311235 +20 *23705:C *23840:A 3.31733e-05 +21 *23705:C *4587:44 6.50586e-05 +22 *23705:C *4699:8 5.77352e-05 +23 *23705:C *4700:11 6.50586e-05 +24 *23771:A1 *23737:A 1.37925e-05 +25 *23771:A1 *23771:A2 3.31745e-05 +26 *23771:A1 *23771:A3 7.92757e-06 +27 *23771:A1 *23771:B1 6.08467e-05 +28 *23771:A1 *23771:C1 0.000425072 +29 *23771:A1 *23824:C 0.000346919 +30 *23771:A1 *4504:8 5.56367e-05 +31 *23771:A1 *4691:11 0 +32 *23822:A *23789:A 2.65831e-05 +33 *23822:A *23822:B 6.23875e-05 +34 *4363:7 *4874:29 6.50727e-05 +35 *4363:7 *4882:168 6.50727e-05 +36 *4363:13 *4407:8 8.47466e-05 +37 *4363:14 *23598:B 4.44045e-05 +38 *4363:14 *4504:6 3.53726e-05 +39 *4363:14 *4504:8 0.000113967 +40 *4363:14 *4582:8 1.2366e-05 +41 *4363:14 *4582:17 0.000716576 +42 *4363:19 *23823:A 4.31539e-05 +43 *4363:19 *4504:8 0.000250948 +44 *4363:19 *4563:23 1.00846e-05 +45 *4363:19 *4582:17 0.000565488 +46 *4363:48 *23501:B 3.74593e-05 +47 *4363:48 *4407:8 0.00014759 +48 *4363:48 *4407:19 6.36274e-05 +49 *22920:A *4363:14 0.000122823 +50 *23706:A *4363:48 7.67978e-05 +51 *23723:B *4363:14 0.000368782 +52 *23768:A *4363:13 8.62625e-06 +53 *23768:A *4363:48 0.000353078 +54 *23789:B *4363:14 0.000451096 +55 *3824:24 *4363:14 0.000123969 +56 *3824:26 *4363:14 0.000154579 +57 *3825:35 *4363:13 0.000547086 +58 *3830:8 *4363:14 4.82328e-05 +59 *3830:10 *4363:14 0.000238644 +60 *3830:17 *4363:14 4.84773e-05 +61 *3974:39 *23499:A 0 +62 *3974:39 *23705:C 3.14544e-05 +63 *3974:39 *4363:48 0 +64 *4103:18 *4363:14 0.00239101 +65 *4138:152 *4363:48 0.000101118 +66 *4146:25 *23499:A 0.000118166 +67 *4212:8 *4363:13 8.39223e-05 +68 *4212:8 *4363:14 5.92192e-05 +69 *4212:38 *4363:13 2.65667e-05 +70 *4213:6 *4363:13 0.000456207 +71 *4213:31 *4363:13 0.000628319 +72 *4214:35 *4363:14 0.000100927 +*RES +1 *23456:X *4363:7 14.4725 +2 *4363:7 *4363:13 35.5063 +3 *4363:13 *4363:14 59.5521 +4 *4363:14 *4363:19 16.0544 +5 *4363:19 *23771:A1 29.2758 +6 *4363:19 *23659:C 12.2151 +7 *4363:14 *23822:A 16.691 +8 *4363:7 *4363:48 13.0438 +9 *4363:48 *23705:C 32.2679 +10 *4363:48 *23499:A 19.7659 +*END + +*D_NET *4364 0.00708278 +*CONN +*I *23498:A I *D sky130_fd_sc_hd__or2_1 +*I *23799:B I *D sky130_fd_sc_hd__or4_4 +*I *23766:A I *D sky130_fd_sc_hd__nor3_1 +*I *23839:A I *D sky130_fd_sc_hd__or3_1 +*I *23457:X O *D sky130_fd_sc_hd__and4b_1 +*CAP +1 *23498:A 0.000162834 +2 *23799:B 0.000187935 +3 *23766:A 0.000140157 +4 *23839:A 0 +5 *23457:X 0.000910974 +6 *4364:20 0.000511263 +7 *4364:13 0.00125698 +8 *23498:A *23497:B 0 +9 *23498:A *23839:B 0.0001305 +10 *23498:A *4371:24 3.83819e-05 +11 *23498:A *4402:15 0 +12 *23766:A *4587:44 0.000222511 +13 *23766:A *4670:22 0 +14 *23766:A *4697:8 0 +15 *23799:B *23705:B 6.92705e-05 +16 *23799:B *23799:A 2.20702e-05 +17 *23799:B *23799:C 0.000291154 +18 *23799:B *23838:C 1.09551e-05 +19 *23799:B *23839:C 1.41976e-05 +20 *23799:B *4402:15 0 +21 *23799:B *4587:44 6.75302e-05 +22 *4364:13 *23703:B 0.000311249 +23 *4364:13 *23703:C 0.000167076 +24 *4364:13 *4606:7 0.00118974 +25 *4364:20 *23705:B 0.000259471 +26 *4364:20 *23839:B 0 +27 *4364:20 *23839:C 0.000304983 +28 *4364:20 *4402:15 0 +29 *4364:20 *4670:22 0 +30 *23705:C *23799:B 0.000311235 +31 *2194:12 *23498:A 0 +32 *4116:20 *4364:13 0.000101148 +33 *4137:106 *23498:A 9.39797e-05 +34 *4137:106 *4364:13 0.000261018 +35 *4225:20 *4364:13 4.61732e-05 +*RES +1 *23457:X *4364:13 44.8454 +2 *4364:13 *23839:A 9.24915 +3 *4364:13 *4364:20 11.315 +4 *4364:20 *23766:A 17.5503 +5 *4364:20 *23799:B 21.1519 +6 *4364:13 *23498:A 24.1294 +*END + +*D_NET *4365 0.00472552 +*CONN +*I *23617:C I *D sky130_fd_sc_hd__or4b_1 +*I *23711:B I *D sky130_fd_sc_hd__or3b_1 +*I *23459:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *23458:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *23617:C 6.40017e-05 +2 *23711:B 0 +3 *23459:B1 0.000126682 +4 *23458:Y 0.000373194 +5 *4365:19 0.000743255 +6 *4365:7 0.00117913 +7 *23459:B1 *23756:C1 0.000187207 +8 *23459:B1 *23794:D 0.000215692 +9 *4365:7 *5904:130 2.37827e-05 +10 *4365:19 *23756:C1 6.85778e-05 +11 *4365:19 *23756:D1 7.67048e-05 +12 *4365:19 *23761:A 0.00016258 +13 *4365:19 *23794:D 0.000121388 +14 *4365:19 *23844:D 0 +15 *4365:19 *23848:C 4.81532e-05 +16 *4365:19 *4517:24 5.66868e-06 +17 *4365:19 *4592:23 0 +18 *4365:19 *4617:12 0 +19 *4365:19 *4735:28 0.000176866 +20 *23458:B *4365:7 0.000345048 +21 *4326:52 *23617:C 0.000120052 +22 *4326:52 *4365:19 0.000256367 +23 *4326:60 *4365:19 0.000431171 +*RES +1 *23458:Y *4365:7 19.464 +2 *4365:7 *23459:B1 18.0727 +3 *4365:7 *4365:19 21.0069 +4 *4365:19 *23711:B 13.7491 +5 *4365:19 *23617:C 15.9964 +*END + +*D_NET *4366 0.00331362 +*CONN +*I *23756:C1 I *D sky130_fd_sc_hd__a2111o_1 +*I *23473:A I *D sky130_fd_sc_hd__or4_1 +*I *23459:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *23756:C1 0.000313799 +2 *23473:A 0.000302735 +3 *23459:X 0 +4 *4366:4 0.000616534 +5 *23473:A *23794:D 0.000626127 +6 *23756:C1 *23689:D 0 +7 *22985:A *23756:C1 0.000154145 +8 *23241:C1 *23756:C1 1.26657e-05 +9 *23459:B1 *23756:C1 0.000187207 +10 *3781:101 *23756:C1 3.57772e-05 +11 *3861:76 *23473:A 0.000753702 +12 *3868:302 *23756:C1 7.81064e-05 +13 *4024:74 *23473:A 2.26808e-05 +14 *4234:13 *23756:C1 0.000114594 +15 *4326:77 *23473:A 2.69691e-05 +16 *4365:19 *23756:C1 6.85778e-05 +*RES +1 *23459:X *4366:4 9.24915 +2 *4366:4 *23473:A 32.1235 +3 *4366:4 *23756:C1 26.5138 +*END + +*D_NET *4367 0.00760981 +*CONN +*I *23470:B1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23758:D_N I *D sky130_fd_sc_hd__or4b_2 +*I *23460:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *23470:B1 0 +2 *23758:D_N 0.000244893 +3 *23460:Y 7.47639e-05 +4 *4367:15 0.00105954 +5 *4367:7 0.000889407 +6 *23758:D_N *23758:B 7.50722e-05 +7 *23758:D_N *4660:10 3.02434e-05 +8 *23758:D_N *4661:7 1.55025e-05 +9 *4367:7 *4371:51 0.000200794 +10 *4367:15 *23470:D1 6.50727e-05 +11 *4367:15 *4519:14 2.15656e-05 +12 *4367:15 *4519:21 0.00122079 +13 *4367:15 *4591:11 3.58469e-05 +14 *4367:15 *5923:20 0.000125458 +15 *23107:B *4367:15 0.000177677 +16 *23132:A1 *4367:15 7.86728e-05 +17 *23460:A2 *4367:7 2.41274e-06 +18 *23470:A2 *4367:15 0.000154145 +19 *23683:A *4367:7 3.82228e-05 +20 *23834:A2 *4367:15 0.000359217 +21 *3827:41 *4367:15 1.5714e-05 +22 *3905:30 *4367:15 0.000671282 +23 *3917:26 *4367:15 0.00195879 +24 *4038:22 *4367:15 8.35235e-06 +25 *4241:46 *23758:D_N 8.63831e-05 +*RES +1 *23460:Y *4367:7 16.1364 +2 *4367:7 *4367:15 47.1209 +3 *4367:15 *23758:D_N 24.3392 +4 *4367:15 *23470:B1 9.24915 +*END + +*D_NET *4368 0.0167332 +*CONN +*I *23684:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23469:A I *D sky130_fd_sc_hd__and3_1 +*I *23461:X O *D sky130_fd_sc_hd__o32a_2 +*CAP +1 *23684:B1 4.12039e-05 +2 *23469:A 0.000190068 +3 *23461:X 9.13987e-05 +4 *4368:8 0.00265886 +5 *4368:7 0.00251899 +6 *23469:A *23686:C1 0.000190184 +7 *23469:A *4375:9 7.96444e-05 +8 *23684:B1 *4589:8 5.20546e-06 +9 *4368:8 *22929:A 0.000134056 +10 *4368:8 *23064:B 7.08723e-06 +11 *4368:8 *5612:18 0.000263293 +12 *4368:8 *5624:18 1.40496e-05 +13 *4368:8 *5624:27 5.94572e-05 +14 *4368:8 *5627:74 0.000549666 +15 *4368:8 *5627:76 3.50367e-05 +16 *4368:8 *5833:9 4.27148e-05 +17 *4368:8 *5923:20 0.000269189 +18 *23461:A1 *4368:7 2.41274e-06 +19 *23468:B *23469:A 0.000256037 +20 *23727:A1 *4368:8 0.000230896 +21 *3789:6 *4368:8 1.62054e-05 +22 *3789:114 *4368:8 7.586e-05 +23 *3792:102 *4368:8 0 +24 *3796:57 *4368:8 0.000462174 +25 *3800:57 *4368:8 5.76081e-05 +26 *3800:104 *4368:8 5.12109e-05 +27 *3800:116 *4368:8 3.58525e-05 +28 *3866:30 *4368:8 0.00108614 +29 *3866:35 *4368:8 0.000740747 +30 *3899:13 *4368:8 0.000123421 +31 *3905:14 *4368:8 0.000132148 +32 *3911:8 *4368:8 0.00125085 +33 *3911:14 *23469:A 0.000243648 +34 *3911:14 *4368:8 0.000484297 +35 *3974:71 *23469:A 9.2346e-06 +36 *3974:83 *23469:A 1.09738e-05 +37 *3980:26 *23469:A 0.000223205 +38 *3980:26 *4368:8 0.000443274 +39 *4240:8 *4368:8 0.00358151 +40 *4241:16 *4368:8 6.54004e-05 +*RES +1 *23461:X *4368:7 15.0271 +2 *4368:7 *4368:8 111.459 +3 *4368:8 *23469:A 22.7024 +4 *4368:8 *23684:B1 14.4725 +*END + +*D_NET *4369 0.00218547 +*CONN +*I *23464:A I *D sky130_fd_sc_hd__or2_2 +*I *23494:B I *D sky130_fd_sc_hd__or3_1 +*I *23462:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23464:A 0.000187318 +2 *23494:B 0.000115291 +3 *23462:X 4.27557e-05 +4 *4369:6 0.000345365 +5 *23464:A *4370:11 0.000136542 +6 *23464:A *4371:9 0.000500106 +7 *23494:B *5600:39 0.000257947 +8 *23494:B *5629:27 0.000259445 +9 *4369:6 *5600:39 0.000122098 +10 *4369:6 *5629:27 0.000113471 +11 *23308:A *23464:A 6.50586e-05 +12 *3800:41 *23464:A 4.00689e-05 +*RES +1 *23462:X *4369:6 15.9964 +2 *4369:6 *23494:B 18.4879 +3 *4369:6 *23464:A 21.1519 +*END + +*D_NET *4370 0.00443419 +*CONN +*I *23464:B I *D sky130_fd_sc_hd__or2_2 +*I *23467:C I *D sky130_fd_sc_hd__or3_4 +*I *23463:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *23464:B 0 +2 *23467:C 8.84425e-05 +3 *23463:X 0.000852317 +4 *4370:11 0.000224619 +5 *4370:10 0.000988493 +6 *23467:C *5624:18 8.32204e-06 +7 *4370:10 *5629:23 2.75427e-05 +8 *4370:10 *5631:16 0.000939151 +9 *4370:10 *5631:20 0.000119848 +10 *23307:A1 *4370:10 5.92192e-05 +11 *23308:A *4370:11 6.92705e-05 +12 *23313:A2_N *4370:10 1.12605e-05 +13 *23314:A2 *4370:10 2.41274e-06 +14 *23394:B1 *4370:10 4.78949e-05 +15 *23464:A *4370:11 0.000136542 +16 *3792:10 *4370:10 0 +17 *3800:41 *4370:11 0.000164843 +18 *4126:316 *4370:10 0.000139435 +19 *4217:8 *23467:C 0.000110978 +20 *4217:8 *4370:11 0.0002646 +21 *4217:13 *23467:C 9.89388e-06 +22 *4222:10 *4370:10 0 +23 *4223:6 *4370:10 0 +24 *4304:6 *4370:10 0.000169108 +*RES +1 *23463:X *4370:10 45.859 +2 *4370:10 *4370:11 5.71483 +3 *4370:11 *23467:C 20.9116 +4 *4370:11 *23464:B 9.24915 +*END + +*D_NET *4371 0.0441355 +*CONN +*I *23465:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *23835:A1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23464:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23465:A2 0.000245106 +2 *23835:A1 0.00185428 +3 *23464:X 0.00211528 +4 *4371:51 0.0040275 +5 *4371:48 0.00223876 +6 *4371:42 0.00120401 +7 *4371:36 0.00176697 +8 *4371:30 0.00240845 +9 *4371:29 0.00207786 +10 *4371:24 0.00219249 +11 *4371:9 0.00376475 +12 *23465:A2 *4519:14 0.00021217 +13 *23835:A1 *23835:A2 0.000205101 +14 *23835:A1 *23836:B 2.95031e-05 +15 *23835:A1 *4376:8 0.000174428 +16 *23835:A1 *4612:10 0.000103806 +17 *23835:A1 *4660:10 0.000108597 +18 *23835:A1 *5923:20 4.72999e-05 +19 *4371:24 *23839:B 1.44467e-05 +20 *4371:24 *4873:80 0.000171307 +21 *4371:24 *5452:70 0.000746128 +22 *4371:30 *23502:B 0.000323281 +23 *4371:30 *24876:CLK 0.000640088 +24 *4371:30 *4611:10 0.000185802 +25 *4371:30 *4611:12 0.000599511 +26 *4371:36 *23600:B 9.83892e-05 +27 *4371:36 *23602:A 3.63053e-05 +28 *4371:36 *23603:B_N 3.44886e-05 +29 *4371:36 *4611:12 6.94319e-05 +30 *4371:36 *5458:65 3.11451e-05 +31 *4371:36 *5458:71 0.000469034 +32 *4371:36 *5925:17 0.000113119 +33 *4371:42 *23789:A 8.29362e-05 +34 *4371:48 *23673:A 0.00067797 +35 *4371:48 *4450:21 0.000154145 +36 *4371:51 *23684:A2 1.09267e-05 +37 *20095:A *4371:24 4.44386e-05 +38 *22901:A *4371:9 1.65872e-05 +39 *23125:A *4371:9 1.00846e-05 +40 *23125:B *4371:9 6.08467e-05 +41 *23125:C *4371:9 0.000337045 +42 *23130:A *23835:A1 1.0218e-05 +43 *23204:B_N *4371:30 0.000127164 +44 *23216:B *4371:9 7.88576e-05 +45 *23217:A *4371:9 0.000313495 +46 *23236:A *4371:48 3.07726e-05 +47 *23238:A1 *4371:51 4.75654e-05 +48 *23238:A2 *4371:48 0.000347214 +49 *23238:A2 *4371:51 0.000800947 +50 *23238:B1 *4371:51 1.65872e-05 +51 *23301:A *4371:30 0.000299041 +52 *23301:A *4371:36 1.94327e-05 +53 *23412:A *4371:30 0.000125695 +54 *23460:A2 *4371:51 3.99086e-06 +55 *23460:B1 *4371:51 1.82679e-05 +56 *23464:A *4371:9 0.000500106 +57 *23465:A1 *23465:A2 9.75148e-06 +58 *23498:A *4371:24 3.83819e-05 +59 *23631:A *4371:36 0.000346333 +60 *23683:A *4371:51 7.92757e-06 +61 *23789:C *4371:42 0.000260374 +62 *23834:A2 *23835:A1 8.33721e-06 +63 *23834:B2 *23835:A1 8.14371e-05 +64 *23835:B1 *23835:A1 2.15184e-05 +65 *24820:RESET_B *4371:24 8.52652e-05 +66 *657:228 *4371:30 0 +67 *1482:16 *4371:36 0.0012975 +68 *1483:14 *4371:36 0.000758596 +69 *1829:122 *4371:30 0.000191541 +70 *1829:148 *4371:24 4.91751e-05 +71 *1830:8 *4371:24 0.000169041 +72 *2194:12 *4371:24 0 +73 *2327:13 *4371:30 0.000126006 +74 *3781:43 *4371:42 0.000205901 +75 *3788:11 *4371:42 0.00208478 +76 *3788:50 *4371:36 0.000171224 +77 *3800:41 *4371:9 8.90311e-06 +78 *3840:57 *23835:A1 0.000302529 +79 *3842:336 *4371:24 0.000247246 +80 *3846:20 *4371:48 5.41227e-05 +81 *3860:14 *4371:24 0.000743938 +82 *3868:76 *4371:42 0.000167543 +83 *3877:41 *4371:48 0.000298304 +84 *3971:8 *4371:30 2.2022e-05 +85 *3971:12 *4371:30 3.92659e-05 +86 *3971:12 *4371:36 7.06474e-05 +87 *3974:71 *23465:A2 7.14746e-05 +88 *3974:71 *23835:A1 0.000438293 +89 *3974:83 *23835:A1 3.55968e-05 +90 *3983:8 *4371:9 6.50586e-05 +91 *4001:53 *4371:48 5.05252e-05 +92 *4034:10 *4371:9 0.000264633 +93 *4034:43 *4371:42 6.62254e-05 +94 *4034:51 *4371:42 0.000107561 +95 *4136:7 *4371:42 5.47837e-05 +96 *4137:106 *4371:29 0.00025535 +97 *4146:37 *4371:48 0.000905751 +98 *4199:8 *4371:42 1.70077e-05 +99 *4209:27 *4371:30 0.000145364 +100 *4209:27 *4371:36 0.000470268 +101 *4209:40 *4371:36 0 +102 *4241:16 *23465:A2 6.43474e-05 +103 *4241:16 *23835:A1 0.000477488 +104 *4241:46 *23835:A1 3.45747e-05 +105 *4320:12 *4371:30 9.96342e-05 +106 *4367:7 *4371:51 0.000200794 +*RES +1 *23464:X *4371:9 49.5423 +2 *4371:9 *4371:24 48.4953 +3 *4371:24 *4371:29 21.3701 +4 *4371:29 *4371:30 55.1919 +5 *4371:30 *4371:36 46.7214 +6 *4371:36 *4371:42 39.698 +7 *4371:42 *4371:48 24.59 +8 *4371:48 *4371:51 31.8444 +9 *4371:51 *23835:A1 39.3163 +10 *4371:51 *23465:A2 18.6623 +*END + +*D_NET *4372 0.000322245 +*CONN +*I *23466:B I *D sky130_fd_sc_hd__or2_2 +*I *23465:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *23466:B 4.69315e-05 +2 *23465:X 4.69315e-05 +3 *3899:19 *23466:B 7.15593e-05 +4 *4242:19 *23466:B 0.000156823 +*RES +1 *23465:X *23466:B 30.1608 +*END + +*D_NET *4373 0.018999 +*CONN +*I *23469:B I *D sky130_fd_sc_hd__and3_1 +*I *23687:C1 I *D sky130_fd_sc_hd__o221ai_4 +*I *23466:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23469:B 0.000425484 +2 *23687:C1 0.000187918 +3 *23466:X 0.00029802 +4 *4373:32 0.00113235 +5 *4373:22 0.0021883 +6 *4373:20 0.00241639 +7 *4373:14 0.00189602 +8 *23469:B *23685:A2 1.15389e-05 +9 *23469:B *4374:84 0.000109978 +10 *4373:20 *23685:A2 5.04829e-06 +11 *4373:20 *24129:CLK 1.03403e-05 +12 *4373:20 *5849:8 4.69808e-06 +13 *4373:20 *5927:24 0.000373511 +14 *4373:22 *25357:A 0.000468897 +15 *4373:22 *25359:A 0.000226296 +16 *4373:22 *4879:10 0 +17 *4373:22 *4879:57 0 +18 *4373:22 *4879:59 0 +19 *4373:22 *5457:67 5.41227e-05 +20 *4373:22 *5800:49 0.000262983 +21 *4373:22 *5847:8 0.000116971 +22 *4373:22 *5927:24 0.000188331 +23 *4373:22 *5927:26 0.000885279 +24 *4373:32 *5455:32 0.0013893 +25 *4373:32 *5455:39 0.000329771 +26 *4373:32 *5926:19 0.000203148 +27 *4373:32 *5929:10 0.00136306 +28 wb_dat_o[11] *4373:20 6.56617e-05 +29 wb_dat_o[11] *4373:22 7.85871e-06 +30 wb_dat_o[12] *4373:20 4.51176e-05 +31 wb_dat_o[3] *4373:22 3.44412e-06 +32 wb_dat_o[4] *4373:22 3.01656e-05 +33 wb_dat_o[5] *4373:22 4.53485e-05 +34 wb_dat_o[6] *4373:22 1.20291e-05 +35 wb_dat_o[7] *4373:22 4.86511e-05 +36 wb_dat_o[8] *4373:22 4.20184e-06 +37 *23329:A2 *23687:C1 1.51352e-05 +38 *23329:B1 *4373:32 0.000135519 +39 *23466:A *4373:14 4.66492e-05 +40 *23468:B *23469:B 6.04708e-05 +41 *23615:A *23687:C1 3.25906e-05 +42 *23615:B *23687:C1 0.000492112 +43 *23687:B1 *23687:C1 7.92757e-06 +44 *23687:B2 *23687:C1 0.000693176 +45 *23757:A *23469:B 0.00025456 +46 *24129:D *4373:20 6.67095e-06 +47 *24142:D *4373:22 0.000101133 +48 *24143:D *4373:22 9.22013e-06 +49 *3083:19 *4373:20 0.00011788 +50 *3083:25 *4373:22 0.000935217 +51 *3931:26 *23469:B 1.13359e-05 +52 *4134:54 *4373:14 6.08467e-05 +53 *4134:57 *4373:14 0.000116439 +54 *4134:59 *4373:14 0.000172144 +55 *4134:61 *4373:14 0.00017419 +56 *4241:16 *23469:B 3.29239e-05 +57 *4242:19 *4373:14 0.000466385 +58 *4250:17 *4373:32 6.3152e-05 +59 *4326:32 *4373:32 0.000149895 +60 *4326:52 *4373:32 3.31882e-05 +*RES +1 *23466:X *4373:14 29.4446 +2 *4373:14 *4373:20 25.3267 +3 *4373:20 *4373:22 48.9631 +4 *4373:22 *4373:32 47.0773 +5 *4373:32 *23687:C1 22.2611 +6 *4373:14 *23469:B 26.68 +*END + +*D_NET *4374 0.04912 +*CONN +*I *23757:C I *D sky130_fd_sc_hd__nor3_1 +*I *23685:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *23468:C I *D sky130_fd_sc_hd__or3_1 +*I *23467:X O *D sky130_fd_sc_hd__or3_4 +*CAP +1 *23757:C 1.65795e-05 +2 *23685:A2 0.00044211 +3 *23468:C 0 +4 *23467:X 0.000748133 +5 *4374:84 0.000662347 +6 *4374:73 0.000841237 +7 *4374:69 0.00251242 +8 *4374:60 0.0019539 +9 *4374:59 0.00188215 +10 *4374:55 0.00414557 +11 *4374:48 0.00306071 +12 *4374:40 0.00122711 +13 *4374:32 0.00201207 +14 *4374:31 0.00273733 +15 *4374:28 0.00193084 +16 *4374:22 0.00213234 +17 *4374:12 0.00218377 +18 *23685:A2 *23686:A2 0.000116227 +19 *4374:12 *4401:10 0.00101936 +20 *4374:22 *5452:70 4.92721e-05 +21 *4374:28 *23410:B1 0.000122925 +22 *4374:32 *24185:RESET_B 0.00061755 +23 *4374:32 *24189:RESET_B 0.00019364 +24 *4374:32 *5671:280 0 +25 *4374:32 *5815:18 0.000642268 +26 *4374:32 *6018:11 0 +27 *4374:32 *6018:28 0.000139461 +28 *4374:32 *6020:16 0.000174175 +29 *4374:32 *6020:25 0 +30 *4374:40 *4538:15 5.04829e-06 +31 *4374:40 *4700:16 0.00080574 +32 *4374:40 *4700:30 5.08071e-06 +33 *4374:40 *5856:33 0.000191042 +34 *4374:48 *5046:10 0.0002873 +35 *4374:48 *5856:33 0 +36 *4374:55 *23595:A 5.51483e-06 +37 *4374:55 *23595:B 5.23312e-05 +38 *4374:55 *23596:A 0.000128736 +39 *4374:55 *23598:A 0.000164829 +40 *4374:55 *23605:A 8.65358e-05 +41 *4374:55 *24428:RESET_B 1.91114e-05 +42 *4374:55 *24428:CLK 6.3657e-05 +43 *4374:55 *5868:251 6.23875e-05 +44 *4374:59 *23595:B 0.000405712 +45 *4374:73 *25337:A 9.75356e-05 +46 *4374:73 *5453:68 0.000679835 +47 *4374:73 *5850:8 9.25219e-05 +48 *4374:73 *5850:13 0.000843314 +49 *4374:73 *5926:19 0.000136198 +50 *4374:84 *4375:9 5.04829e-06 +51 *21154:B2 *4374:40 8.85525e-05 +52 *22101:A1 *23685:A2 9.29156e-05 +53 *23015:B *4374:12 1.00901e-05 +54 *23081:A1 *4374:12 0.000154145 +55 *23081:A2 *4374:12 7.66391e-06 +56 *23081:B1_N *4374:12 2.08274e-05 +57 *23315:B *4374:12 6.08467e-05 +58 *23317:C *4374:12 0.000357367 +59 *23318:A *4374:22 6.46815e-05 +60 *23321:A *4374:22 6.27782e-05 +61 *23334:C1 *4374:69 0.000291299 +62 *23393:A *4374:59 0.000489487 +63 *23468:A *4374:73 1.41976e-05 +64 *23468:A *4374:84 6.08467e-05 +65 *23468:B *4374:84 8.24629e-05 +66 *23469:B *23685:A2 1.15389e-05 +67 *23469:B *4374:84 0.000109978 +68 *23494:A *4374:12 0.000236253 +69 *23494:C *4374:12 0.000101124 +70 *23685:B1 *23685:A2 4.87301e-05 +71 *23708:A2 *23685:A2 0.000165521 +72 *23757:A *23685:A2 0.000205101 +73 *23757:A *23757:C 6.3657e-05 +74 *24087:A0 *4374:55 1.19618e-05 +75 *24428:D *4374:55 8.333e-05 +76 *24475:D *4374:48 0.000115588 +77 *657:243 *4374:28 0.000434032 +78 *657:276 *4374:28 3.74146e-05 +79 *1619:44 *4374:48 0.000110477 +80 *1809:142 *4374:48 2.62125e-05 +81 *1809:153 *4374:40 6.34651e-06 +82 *1809:153 *4374:48 0.000494893 +83 *1809:160 *4374:32 0 +84 *2328:13 *4374:22 0.000989599 +85 *2654:10 *4374:48 0.000430762 +86 *3069:6 *4374:48 0.000110477 +87 *3087:42 *4374:73 0.000116821 +88 *3087:48 *4374:73 6.9745e-05 +89 *3781:25 *4374:69 4.15527e-05 +90 *3811:8 *4374:12 0.000188503 +91 *3835:27 *4374:59 0.000411874 +92 *3857:23 *4374:55 0.000298304 +93 *3860:14 *4374:22 0.000204126 +94 *3892:11 *4374:69 0.000449562 +95 *3914:7 *23685:A2 9.95542e-06 +96 *3931:11 *4374:69 0.000133668 +97 *3931:26 *23685:A2 5.10988e-05 +98 *3931:26 *4374:84 6.09593e-05 +99 *3990:15 *4374:12 0.000205681 +100 *4003:34 *4374:32 1.3813e-05 +101 *4003:36 *4374:32 0.00259492 +102 *4014:23 *4374:59 0.000416527 +103 *4014:23 *4374:69 0.00023742 +104 *4099:7 *4374:55 0.000154145 +105 *4106:55 *4374:40 6.61836e-05 +106 *4134:54 *4374:73 4.40985e-05 +107 *4134:63 *23685:A2 0.000201734 +108 *4217:13 *4374:12 1.41976e-05 +109 *4223:20 *4374:12 2.58616e-05 +110 *4224:10 *4374:12 0.000107496 +111 *4227:10 *4374:22 0.000261135 +112 *4230:11 *4374:22 6.08467e-05 +113 *4241:5 *4374:69 0.000163418 +114 *4241:16 *23685:A2 4.21186e-05 +115 *4241:46 *23685:A2 1.59917e-05 +116 *4242:19 *23685:A2 0.000208915 +117 *4319:7 *4374:28 0.000892189 +118 *4373:20 *23685:A2 5.04829e-06 +*RES +1 *23467:X *4374:12 43.5646 +2 *4374:12 *4374:22 44.3278 +3 *4374:22 *4374:28 32.346 +4 *4374:28 *4374:31 30.7352 +5 *4374:31 *4374:32 63.2893 +6 *4374:32 *4374:40 28.1417 +7 *4374:40 *4374:48 30.6975 +8 *4374:48 *4374:55 49.7455 +9 *4374:55 *4374:59 37.7027 +10 *4374:59 *4374:60 104.301 +11 *4374:60 *4374:69 49.0509 +12 *4374:69 *4374:73 29.4874 +13 *4374:73 *23468:C 9.24915 +14 *4374:73 *4374:84 14.465 +15 *4374:84 *23685:A2 42.7819 +16 *4374:84 *23757:C 9.97254 +*END + +*D_NET *4375 0.0015778 +*CONN +*I *23686:C1 I *D sky130_fd_sc_hd__o211ai_4 +*I *23469:C I *D sky130_fd_sc_hd__and3_1 +*I *23468:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23686:C1 0.000210472 +2 *23469:C 0 +3 *23468:X 0.000144376 +4 *4375:9 0.000354848 +5 *23686:C1 *4376:8 3.38595e-05 +6 *23686:C1 *4660:10 0.00015321 +7 *23468:B *4375:9 5.51483e-06 +8 *23469:A *23686:C1 0.000190184 +9 *23469:A *4375:9 7.96444e-05 +10 *3911:14 *23686:C1 0.000266181 +11 *3974:83 *23686:C1 0.000134461 +12 *4374:84 *4375:9 5.04829e-06 +*RES +1 *23468:X *4375:9 12.0222 +2 *4375:9 *23469:C 9.24915 +3 *4375:9 *23686:C1 26.8432 +*END + +*D_NET *4376 0.00482799 +*CONN +*I *23470:D1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23469:X O *D sky130_fd_sc_hd__and3_1 +*CAP +1 *23470:D1 0.000156176 +2 *23469:X 0.000581316 +3 *4376:8 0.000737492 +4 *4376:8 *4660:10 0.00139621 +5 *23107:B *23470:D1 4.37866e-05 +6 *23470:C1 *23470:D1 5.13414e-05 +7 *23686:B1 *4376:8 0.000331074 +8 *23686:C1 *4376:8 3.38595e-05 +9 *23834:A2 *23470:D1 0.000163418 +10 *23835:A1 *4376:8 0.000174428 +11 *3974:83 *4376:8 0.000116719 +12 *4241:16 *4376:8 0.000313725 +13 *4241:46 *4376:8 0.000647877 +14 *4336:20 *23470:D1 1.55025e-05 +15 *4367:15 *23470:D1 6.50727e-05 +*RES +1 *23469:X *4376:8 46.6573 +2 *4376:8 *23470:D1 14.4335 +*END + +*D_NET *4377 0.018957 +*CONN +*I *23473:B I *D sky130_fd_sc_hd__or4_1 +*I *23470:Y O *D sky130_fd_sc_hd__o2111ai_4 +*CAP +1 *23473:B 0.00108395 +2 *23470:Y 0.00111109 +3 *4377:16 0.00250683 +4 *4377:15 0.00253398 +5 *23473:B *4380:10 0.000107496 +6 *23473:B *5828:13 3.47829e-05 +7 *4377:15 *24144:CLK 7.88797e-05 +8 *4377:15 *25360:A 2.10985e-05 +9 *4377:15 *5848:5 4.43257e-06 +10 *4377:16 *5456:106 0.000171298 +11 *4377:16 *5928:29 0.000117093 +12 *4377:16 *5930:14 0.00695343 +13 *23107:B *4377:15 6.08467e-05 +14 *23688:B *23473:B 1.99543e-05 +15 *24138:D *23473:B 2.13584e-05 +16 *24144:D *4377:15 5.59587e-06 +17 *1755:58 *4377:16 0.00161773 +18 *3860:101 *4377:16 0 +19 *3892:23 *23473:B 6.56019e-06 +20 *3903:20 *4377:16 0.000119896 +21 *3989:23 *4377:15 2.60273e-06 +22 *4149:18 *4377:16 0.00202988 +23 *4249:13 *4377:15 0.000348161 +*RES +1 *23470:Y *4377:15 33.7149 +2 *4377:15 *4377:16 111.874 +3 *4377:16 *23473:B 33.4255 +*END + +*D_NET *4378 0.00135905 +*CONN +*I *23688:C I *D sky130_fd_sc_hd__or3_1 +*I *23473:C I *D sky130_fd_sc_hd__or4_1 +*I *23471:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23688:C 9.80008e-05 +2 *23473:C 0 +3 *23471:X 0.0001132 +4 *4378:8 0.000211201 +5 *23688:C *4380:10 0.000205101 +6 *4378:8 *4379:8 0.000300685 +7 *23688:B *23688:C 0.000128508 +8 *4326:77 *4378:8 0.000302356 +*RES +1 *23471:X *4378:8 23.8184 +2 *4378:8 *23473:C 9.24915 +3 *4378:8 *23688:C 12.7697 +*END + +*D_NET *4379 0.0026287 +*CONN +*I *23794:A I *D sky130_fd_sc_hd__or4_4 +*I *23473:D I *D sky130_fd_sc_hd__or4_1 +*I *23472:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23794:A 0.000232926 +2 *23473:D 0 +3 *23472:X 0.000272246 +4 *4379:8 0.000505172 +5 *23794:A *23794:C 4.58114e-05 +6 *4379:8 *4380:10 1.01851e-05 +7 *23471:A *4379:8 0.000144598 +8 *3892:23 *23794:A 0.000283428 +9 *4126:184 *4379:8 5.04829e-06 +10 *4231:54 *23794:A 0.000170614 +11 *4231:54 *4379:8 0.000322843 +12 *4326:77 *23794:A 0.000169377 +13 *4326:77 *4379:8 0.00016577 +14 *4378:8 *4379:8 0.000300685 +*RES +1 *23472:X *4379:8 23.7791 +2 *4379:8 *23473:D 13.7491 +3 *4379:8 *23794:A 21.2932 +*END + +*D_NET *4380 0.00779854 +*CONN +*I *23477:A I *D sky130_fd_sc_hd__or4_1 +*I *23473:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23477:A 0 +2 *23473:X 0.000443187 +3 *4380:14 0.000697318 +4 *4380:10 0.0011405 +5 *4380:10 *4522:8 0.00028009 +6 *4380:14 *5458:22 0.000230509 +7 *23248:B *4380:14 3.79662e-05 +8 *23471:A *4380:10 0.000215489 +9 *23473:B *4380:10 0.000107496 +10 *23474:A *4380:14 0.000270563 +11 *23688:B *4380:10 2.37827e-05 +12 *23688:C *4380:10 0.000205101 +13 *23782:C_N *4380:10 8.34211e-06 +14 *23793:A *4380:14 2.53169e-05 +15 *3791:142 *4380:14 0.000728952 +16 *3904:21 *4380:10 0.000321947 +17 *3904:21 *4380:14 1.20058e-05 +18 *3938:41 *4380:14 0.000307342 +19 *4126:184 *4380:10 3.69734e-05 +20 *4126:184 *4380:14 0.000278047 +21 *4231:54 *4380:10 0.000280025 +22 *4326:85 *4380:14 0.0021374 +23 *4379:8 *4380:10 1.01851e-05 +*RES +1 *23473:X *4380:10 30.1722 +2 *4380:10 *4380:14 42.6177 +3 *4380:14 *23477:A 9.24915 +*END + +*D_NET *4381 0.0019862 +*CONN +*I *23690:C I *D sky130_fd_sc_hd__or3_1 +*I *23477:B I *D sky130_fd_sc_hd__or4_1 +*I *23474:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23690:C 4.28805e-05 +2 *23477:B 0.000350127 +3 *23474:X 0 +4 *4381:4 0.000393007 +5 *23474:A *23690:C 2.64419e-05 +6 *23474:B *23690:C 0 +7 *23475:A *23477:B 0.000169041 +8 *23690:B *23690:C 1.00846e-05 +9 *3840:147 *23477:B 0.000676262 +10 *3902:57 *23477:B 9.60366e-05 +11 *3904:17 *23477:B 2.36608e-05 +12 *3904:21 *23477:B 0 +13 *3974:134 *23477:B 0.000194356 +14 *4256:5 *23690:C 4.30017e-06 +*RES +1 *23474:X *4381:4 9.24915 +2 *4381:4 *23477:B 31.8798 +3 *4381:4 *23690:C 10.5513 +*END + +*D_NET *4382 0.00082616 +*CONN +*I *23759:C I *D sky130_fd_sc_hd__or4_2 +*I *23477:C I *D sky130_fd_sc_hd__or4_1 +*I *23475:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23759:C 9.78565e-05 +2 *23477:C 0 +3 *23475:X 6.64218e-05 +4 *4382:5 0.000164278 +5 *23759:C *4384:10 3.57772e-05 +6 *23475:A *23759:C 0.000301209 +7 *23475:A *4382:5 0.000160617 +*RES +1 *23475:X *4382:5 11.0817 +2 *4382:5 *23477:C 9.24915 +3 *4382:5 *23759:C 12.7456 +*END + +*D_NET *4383 0.00324262 +*CONN +*I *23691:C I *D sky130_fd_sc_hd__or3_1 +*I *23477:D I *D sky130_fd_sc_hd__or4_1 +*I *23476:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23691:C 0.000749812 +2 *23477:D 0.000219817 +3 *23476:X 0 +4 *4383:4 0.000969629 +5 *23691:C *23693:C 6.98337e-06 +6 *23229:A *23691:C 2.16355e-05 +7 *23476:A *23477:D 6.50586e-05 +8 *23476:A *23691:C 0.000244024 +9 *23759:B *23477:D 0.000268034 +10 *3904:8 *23477:D 0.000120584 +11 *3904:17 *23477:D 0.000348217 +12 *4138:16 *23691:C 4.23858e-05 +13 *4260:16 *23477:D 0.000186445 +*RES +1 *23476:X *4383:4 9.24915 +2 *4383:4 *23477:D 27.4486 +3 *4383:4 *23691:C 21.6674 +*END + +*D_NET *4384 0.00572032 +*CONN +*I *23481:A I *D sky130_fd_sc_hd__or4_1 +*I *23477:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23481:A 0.000224794 +2 *23477:X 0.00119793 +3 *4384:10 0.00142272 +4 *23481:A *23481:D 7.0319e-05 +5 *4384:10 *23693:D 0.000298399 +6 *4384:10 *23847:C 4.20607e-05 +7 *4384:10 *4618:20 0.00106425 +8 *4384:10 *4662:7 4.91182e-06 +9 *4384:10 *5563:39 0.000253451 +10 *23251:A *4384:10 4.97617e-05 +11 *23251:B *4384:10 3.41059e-05 +12 *23358:C *23481:A 3.82228e-05 +13 *23362:A *23481:A 0.000444819 +14 *23475:A *4384:10 8.77154e-06 +15 *23759:A *4384:10 4.45999e-05 +16 *23759:C *4384:10 3.57772e-05 +17 *23847:A *4384:10 0.000245762 +18 *4106:191 *4384:10 2.77625e-06 +19 *4163:8 *4384:10 0.000155379 +20 *4165:8 *4384:10 8.15058e-05 +*RES +1 *23477:X *4384:10 49.1978 +2 *4384:10 *23481:A 16.0973 +*END + +*D_NET *4385 0.00160997 +*CONN +*I *23481:B I *D sky130_fd_sc_hd__or4_1 +*I *23847:C I *D sky130_fd_sc_hd__or4_2 +*I *23478:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23481:B 0.000327376 +2 *23847:C 0.000198177 +3 *23478:X 0 +4 *4385:4 0.000525553 +5 *23481:B *5082:62 1.49869e-05 +6 *23481:B *5929:37 4.65615e-06 +7 *23847:C *5563:39 0.000109177 +8 *23478:A *23847:C 0.000162884 +9 *23478:B *23481:B 1.03403e-05 +10 *23478:B *23847:C 4.99151e-05 +11 *23847:A *23847:C 3.31733e-05 +12 *1427:57 *23847:C 5.37492e-05 +13 *2502:36 *23847:C 9.17656e-06 +14 *3862:37 *23481:B 6.87503e-05 +15 *4384:10 *23847:C 4.20607e-05 +*RES +1 *23478:X *4385:4 9.24915 +2 *4385:4 *23847:C 24.2659 +3 *4385:4 *23481:B 23.1623 +*END + +*D_NET *4386 0.00218259 +*CONN +*I *23481:C I *D sky130_fd_sc_hd__or4_1 +*I *23692:C I *D sky130_fd_sc_hd__or3_1 +*I *23479:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23481:C 5.73527e-05 +2 *23692:C 0.000270235 +3 *23479:X 0.000255473 +4 *4386:8 0.000583061 +5 *23481:C *5082:62 0.000145547 +6 *23692:C *4387:10 2.65667e-05 +7 *23692:C *4597:8 7.34948e-06 +8 *4386:8 *5082:62 0.000161458 +9 *23362:A *23481:C 8.92615e-05 +10 *23362:A *4386:8 0.000168596 +11 *23480:A *23692:C 9.16051e-05 +12 *23480:B *23692:C 4.11649e-05 +13 *23692:A *23692:C 0.000164843 +14 *3862:37 *23481:C 1.18938e-05 +15 *4236:61 *4386:8 5.04829e-06 +16 *4236:71 *4386:8 0.000103139 +*RES +1 *23479:X *4386:8 19.7687 +2 *4386:8 *23692:C 20.7661 +3 *4386:8 *23481:C 16.4116 +*END + +*D_NET *4387 0.0013938 +*CONN +*I *23760:C1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23481:D I *D sky130_fd_sc_hd__or4_1 +*I *23480:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23760:C1 0 +2 *23481:D 0.000257956 +3 *23480:X 9.39783e-05 +4 *4387:10 0.000351935 +5 *23481:D *23485:A 0.000307023 +6 *4387:10 *4597:8 9.22013e-06 +7 *23481:A *23481:D 7.0319e-05 +8 *23692:A *4387:10 0.000149628 +9 *23692:C *4387:10 2.65667e-05 +10 *23760:B1 *4387:10 0.000127179 +*RES +1 *23480:X *4387:10 21.635 +2 *4387:10 *23481:D 16.0973 +3 *4387:10 *23760:C1 9.24915 +*END + +*D_NET *4388 0.00487585 +*CONN +*I *23485:A I *D sky130_fd_sc_hd__or4_2 +*I *23481:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23485:A 0.00085205 +2 *23481:X 0.00085205 +3 *23485:A *23485:B 0.00012515 +4 *23485:A *23485:D 0.000174533 +5 *23485:A *23760:D1 0.000167076 +6 *23485:A *4390:5 0.000255976 +7 *23485:A *4663:8 6.92705e-05 +8 *23481:D *23485:A 0.000307023 +9 *23482:B1 *23485:A 0.000578294 +10 *23483:A *23485:A 0.000107496 +11 *23483:B *23485:A 2.20702e-05 +12 *23760:A1 *23485:A 0.000533811 +13 *1744:131 *23485:A 6.52283e-05 +14 *2711:36 *23485:A 8.29171e-05 +15 *4172:16 *23485:A 0.000682907 +*RES +1 *23481:X *23485:A 47.5788 +*END + +*D_NET *4389 0.00221687 +*CONN +*I *23694:C I *D sky130_fd_sc_hd__or3_1 +*I *23485:B I *D sky130_fd_sc_hd__or4_2 +*I *23482:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23694:C 0 +2 *23485:B 0.000345797 +3 *23482:Y 0.00036235 +4 *4389:8 0.000708147 +5 *23485:B *4390:5 5.8973e-05 +6 *23485:B *4514:29 0.000131309 +7 *23485:B *4870:10 0.000127711 +8 *4389:8 *4514:29 3.85006e-05 +9 *4389:8 *4870:10 4.76248e-05 +10 *23265:B *4389:8 0.000167076 +11 *23267:A *4389:8 6.08467e-05 +12 *23482:B1 *4389:8 3.57112e-05 +13 *23485:A *23485:B 0.00012515 +14 *23694:A *4389:8 7.6719e-06 +*RES +1 *23482:Y *4389:8 19.9109 +2 *4389:8 *23485:B 20.4627 +3 *4389:8 *23694:C 13.7491 +*END + +*D_NET *4390 0.00192174 +*CONN +*I *23485:C I *D sky130_fd_sc_hd__or4_2 +*I *23792:A I *D sky130_fd_sc_hd__or4_4 +*I *23483:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23485:C 0 +2 *23792:A 0.00018822 +3 *23483:X 8.32126e-05 +4 *4390:5 0.000271433 +5 *23792:A *23697:B 6.96362e-05 +6 *23792:A *23792:B 0.000483804 +7 *23792:A *23792:D 0.000253916 +8 *23792:A *4392:12 6.14756e-06 +9 *23792:A *4599:11 5.86729e-05 +10 *23792:A *6001:62 0.000160995 +11 *23485:A *4390:5 0.000255976 +12 *23485:B *4390:5 5.8973e-05 +13 *4289:21 *23792:A 3.07561e-05 +*RES +1 *23483:X *4390:5 12.191 +2 *4390:5 *23792:A 26.4871 +3 *4390:5 *23485:C 9.24915 +*END + +*D_NET *4391 0.00152627 +*CONN +*I *23695:C I *D sky130_fd_sc_hd__or3_1 +*I *23485:D I *D sky130_fd_sc_hd__or4_2 +*I *23484:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23695:C 0 +2 *23485:D 0.000120263 +3 *23484:X 0.000215385 +4 *4391:10 0.000335647 +5 *23485:D *4392:7 1.00937e-05 +6 *23484:A *4391:10 0.000107496 +7 *23484:B *4391:10 4.17531e-06 +8 *23485:A *23485:D 0.000174533 +9 *23695:A *23485:D 8.23651e-05 +10 *23695:B *4391:10 0.000118485 +11 *23791:A *4391:10 0.000116971 +12 *1515:78 *23485:D 0.000130555 +13 *1744:131 *23485:D 0.000110297 +*RES +1 *23484:X *4391:10 22.8836 +2 *4391:10 *23485:D 14.0477 +3 *4391:10 *23695:C 9.24915 +*END + +*D_NET *4392 0.0124014 +*CONN +*I *23489:A I *D sky130_fd_sc_hd__or4_4 +*I *23485:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23489:A 0.000170051 +2 *23485:X 4.65098e-05 +3 *4392:20 0.000956692 +4 *4392:12 0.00210826 +5 *4392:7 0.00136813 +6 *23489:A *23489:B 6.08467e-05 +7 *23489:A *23489:C 0.000126257 +8 *23489:A *23489:D 2.65035e-05 +9 *23489:A *4396:11 0.000120212 +10 *4392:12 *23792:B 9.34145e-05 +11 *4392:12 *4600:22 1.2366e-05 +12 *4392:12 *4602:8 8.37812e-05 +13 *4392:12 *4602:27 0.00136383 +14 *4392:12 *6001:62 1.30327e-05 +15 *4392:20 *4446:16 0.00167634 +16 *4392:20 *5671:106 0.000212502 +17 *4392:20 *5680:30 0.000107496 +18 *23043:B1 *4392:20 0.000136736 +19 *23158:A *4392:12 0.000188997 +20 *23485:D *4392:7 1.00937e-05 +21 *23537:B *4392:12 0.000278168 +22 *23539:A1 *4392:12 7.14746e-05 +23 *23557:B1 *23489:A 6.08467e-05 +24 *23695:A *4392:7 5.04829e-06 +25 *23695:A *4392:12 0.000473192 +26 *23792:A *4392:12 6.14756e-06 +27 *1690:95 *23489:A 3.96379e-05 +28 *2612:62 *4392:12 1.66771e-05 +29 *3974:219 *4392:20 0.000205329 +30 *4001:164 *4392:12 6.27293e-05 +31 *4008:43 *4392:20 0.000106246 +32 *4008:49 *4392:12 0.000998946 +33 *4008:49 *4392:20 7.50872e-05 +34 *4008:54 *4392:12 9.75356e-05 +35 *4009:47 *4392:20 0.000638993 +36 *4181:9 *4392:12 7.45845e-05 +37 *4289:21 *4392:12 0.000114807 +38 *4289:28 *4392:12 0.000193903 +*RES +1 *23485:X *4392:7 14.4725 +2 *4392:7 *4392:12 49.3906 +3 *4392:12 *4392:20 46.0929 +4 *4392:20 *23489:A 14.4094 +*END + +*D_NET *4393 0.00561815 +*CONN +*I *23489:B I *D sky130_fd_sc_hd__or4_4 +*I *23762:C I *D sky130_fd_sc_hd__or4_4 +*I *23486:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23489:B 0.000442473 +2 *23762:C 6.25048e-05 +3 *23486:X 0.000537701 +4 *4393:8 0.00104268 +5 *23489:B *23489:D 7.14746e-05 +6 *23489:B *23585:B 0.000163997 +7 *23489:B *4396:11 6.08467e-05 +8 *23489:B *4491:28 0.000832532 +9 *23489:B *4575:19 2.78917e-05 +10 *23762:C *5592:19 8.41713e-05 +11 *4393:8 *23538:A 2.68066e-05 +12 *4393:8 *4491:28 0.000349942 +13 *23161:A *4393:8 9.94284e-06 +14 *23278:B *23489:B 0.000529564 +15 *23486:A *4393:8 0.000200545 +16 *23489:A *23489:B 6.08467e-05 +17 *3791:108 *23489:B 0.00011476 +18 *3791:118 *23489:B 1.0397e-05 +19 *3791:118 *4393:8 2.7614e-05 +20 *4009:35 *4393:8 0.000897116 +21 *4183:8 *4393:8 6.43474e-05 +*RES +1 *23486:X *4393:8 33.054 +2 *4393:8 *23762:C 14.7498 +3 *4393:8 *23489:B 32.9146 +*END + +*D_NET *4394 0.00123309 +*CONN +*I *23696:C I *D sky130_fd_sc_hd__or3_2 +*I *23489:C I *D sky130_fd_sc_hd__or4_4 +*I *23487:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23696:C 0 +2 *23489:C 9.42958e-05 +3 *23487:X 9.77559e-05 +4 *4394:10 0.000192052 +5 *23489:C *23489:D 7.96078e-05 +6 *23489:C *4396:11 3.57037e-05 +7 *4394:10 *4650:6 0.000116971 +8 *4394:10 *4803:10 3.88655e-06 +9 *4394:10 *4803:12 0.00010801 +10 *4394:10 *5456:41 6.08467e-05 +11 *23489:A *23489:C 0.000126257 +12 *1521:55 *23489:C 0.000154145 +13 *1690:95 *23489:C 0.000163557 +*RES +1 *23487:X *4394:10 21.2198 +2 *4394:10 *23489:C 13.5232 +3 *4394:10 *23696:C 9.24915 +*END + +*D_NET *4395 0.00414785 +*CONN +*I *23832:C I *D sky130_fd_sc_hd__or3_1 +*I *23489:D I *D sky130_fd_sc_hd__or4_4 +*I *23488:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23832:C 0.000236575 +2 *23489:D 0.000568969 +3 *23488:X 0 +4 *4395:5 0.000805544 +5 *23489:D *23585:B 5.16944e-05 +6 *23489:D *4575:19 0.000614104 +7 *23489:D *4650:6 0 +8 *23489:D *4693:18 1.91391e-05 +9 *23489:D *4745:43 0.000108103 +10 *23832:C *23585:B 0.000108497 +11 *23832:C *4693:18 3.12828e-05 +12 *23832:C *4693:33 0.000371155 +13 *23388:A *23489:D 0 +14 *23489:A *23489:D 2.65035e-05 +15 *23489:B *23489:D 7.14746e-05 +16 *23489:C *23489:D 7.96078e-05 +17 *1690:95 *23489:D 0.000156955 +18 *3781:83 *23489:D 0.000813217 +19 *4297:9 *23489:D 4.63936e-05 +20 *4297:9 *23832:C 3.86374e-05 +*RES +1 *23488:X *4395:5 13.7491 +2 *4395:5 *23489:D 35.2696 +3 *4395:5 *23832:C 21.3947 +*END + +*D_NET *4396 0.0432237 +*CONN +*I *23492:A I *D sky130_fd_sc_hd__or4_1 +*I *6108:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23489:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23492:A 0.000197231 +2 *6108:DIODE 5.67259e-05 +3 *23489:X 0.000401312 +4 *4396:35 0.00955998 +5 *4396:23 0.012147 +6 *4396:11 0.00324233 +7 *6108:DIODE *23492:B 6.08467e-05 +8 *6108:DIODE *4696:10 1.91391e-05 +9 *23492:A *23497:B 6.39089e-05 +10 *23492:A *23497:D 0.000350815 +11 *23492:A *4587:14 7.13655e-06 +12 *4396:23 *23651:B1 0.000104754 +13 *4396:23 *23658:A 8.34512e-06 +14 *4396:23 *4446:16 0.00192329 +15 *4396:23 *4619:26 0 +16 *4396:23 *4664:33 0.000111938 +17 *4396:23 *4742:8 0.000106529 +18 *4396:23 *5671:106 0.000176202 +19 *4396:23 *5920:22 0.000227323 +20 *4396:23 *6001:38 1.18189e-05 +21 *4396:35 *23497:B 0.000521128 +22 *4396:35 *23497:D 3.79718e-05 +23 *4396:35 *23626:A 0.00288935 +24 *4396:35 *4495:13 0.000104469 +25 *4396:35 *4681:20 0.000741902 +26 *4396:35 *5918:14 0.000171173 +27 *4396:35 *5920:22 0 +28 *23387:A *4396:23 0.000377266 +29 *23443:B *4396:23 5.41377e-05 +30 *23489:A *4396:11 0.000120212 +31 *23489:B *4396:11 6.08467e-05 +32 *23489:C *4396:11 3.57037e-05 +33 *23492:C *23492:A 3.41459e-05 +34 *23557:B1 *4396:11 6.36477e-05 +35 *23672:A1 *4396:23 0 +36 *23696:B *4396:11 0.000118166 +37 *657:181 *4396:23 0.00151891 +38 *1521:55 *4396:11 6.08467e-05 +39 *3078:14 *4396:35 2.62983e-05 +40 *3784:34 *4396:35 0.000104469 +41 *3827:16 *4396:35 0 +42 *3838:115 *4396:35 8.75019e-05 +43 *3842:24 *4396:35 0.00091259 +44 *3842:84 *4396:35 0.000108919 +45 *3842:92 *4396:23 0 +46 *3846:51 *4396:35 0 +47 *3870:47 *4396:35 0.00182319 +48 *3877:134 *4396:23 0.00103325 +49 *3880:8 *4396:23 0.000120681 +50 *4001:26 *4396:35 0 +51 *4009:72 *4396:23 0.000210032 +52 *4020:20 *23492:A 2.02035e-05 +53 *4020:42 *4396:35 0.000133466 +54 *4106:24 *6108:DIODE 1.5714e-05 +55 *4116:20 *4396:35 0.000133835 +56 *4227:20 *4396:35 0.00012301 +57 *4298:10 *4396:23 0.000602979 +58 *4301:40 *4396:23 0.00149343 +59 *4308:29 *4396:35 0.000587585 +*RES +1 *23489:X *4396:11 21.3207 +2 *4396:11 *4396:23 48.5092 +3 *4396:23 *4396:35 25.6496 +4 *4396:35 *6108:DIODE 18.2199 +5 *4396:35 *23492:A 19.3939 +*END + +*D_NET *4397 0.0249095 +*CONN +*I *23492:B I *D sky130_fd_sc_hd__or4_1 +*I *23699:A I *D sky130_fd_sc_hd__or2_1 +*I *23490:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23492:B 0.000240485 +2 *23699:A 0.00012709 +3 *23490:X 0.0027051 +4 *4397:28 0.00132304 +5 *4397:22 0.00247709 +6 *4397:21 0.00422672 +7 *23492:B *23492:D 0.000177125 +8 *23699:A *23492:D 0.000213739 +9 *4397:21 *4495:26 2.40249e-06 +10 *4397:21 *4550:53 0.00031312 +11 *4397:21 *4602:34 0.000956265 +12 *4397:21 *4664:33 0.000628798 +13 *4397:21 *5918:20 7.68401e-05 +14 *4397:22 *4450:8 0.00069405 +15 *4397:22 *4500:14 5.18174e-05 +16 *4397:22 *4500:16 0.000242375 +17 *4397:22 *5920:22 0.000244869 +18 *4397:28 *23497:D 0 +19 *4397:28 *23703:D 7.98691e-05 +20 *4397:28 *23764:C 3.00073e-05 +21 *4397:28 *23795:B 0.000146432 +22 *4397:28 *23795:C 8.72775e-05 +23 *6108:DIODE *23492:B 6.08467e-05 +24 *23186:A *4397:22 8.92568e-06 +25 *23188:A *4397:22 0 +26 *23292:A *4397:22 0.000116986 +27 *23403:C *4397:28 0.000148144 +28 *23493:B *4397:28 0.000142001 +29 *23543:A *4397:22 0.000178839 +30 *23593:A *4397:22 0.000257239 +31 *23702:B *4397:28 0.000140232 +32 *3078:19 *23699:A 7.92757e-06 +33 *3781:68 *4397:21 1.05601e-05 +34 *3791:34 *4397:21 1.87227e-05 +35 *3849:12 *4397:22 2.72635e-05 +36 *3854:6 *4397:22 0.000314802 +37 *3868:52 *4397:21 7.88969e-05 +38 *3872:26 *4397:21 0.000134557 +39 *3981:38 *4397:22 0 +40 *3981:38 *4397:28 9.44549e-05 +41 *3996:17 *4397:28 0 +42 *4034:23 *4397:28 1.47102e-05 +43 *4081:11 *4397:21 1.91246e-05 +44 *4092:54 *4397:22 0.000603355 +45 *4092:54 *4397:28 0.000446567 +46 *4106:24 *4397:28 0 +47 *4106:29 *4397:22 0 +48 *4136:10 *4397:21 0.000238637 +49 *4199:8 *4397:22 0.00167852 +50 *4228:10 *4397:28 0 +51 *4301:40 *4397:21 0.0051237 +52 *4357:21 *4397:21 0 +*RES +1 *23490:X *4397:21 33.4238 +2 *4397:21 *4397:22 58.3551 +3 *4397:22 *4397:28 33.7997 +4 *4397:28 *23699:A 12.7697 +5 *4397:28 *23492:B 15.5668 +*END + +*D_NET *4398 0.00378993 +*CONN +*I *23492:D I *D sky130_fd_sc_hd__or4_1 +*I *23763:A I *D sky130_fd_sc_hd__or2_1 +*I *23491:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23492:D 0.000161821 +2 *23763:A 0.000111537 +3 *23491:Y 0.000707413 +4 *4398:8 0.000980771 +5 *23763:A *23763:B 6.76836e-05 +6 *23763:A *23795:C 3.97491e-05 +7 *23763:A *4604:6 6.14505e-05 +8 *4398:8 *23795:C 6.42805e-05 +9 *23492:B *23492:D 0.000177125 +10 *23492:C *23492:D 0.000124658 +11 *23699:A *23492:D 0.000213739 +12 *3078:19 *23492:D 0.000132235 +13 *3996:10 *4398:8 0.000245771 +14 *3996:17 *23763:A 0.000225606 +15 *3996:17 *4398:8 4.84944e-05 +16 *4020:13 *4398:8 0.000211478 +17 *4106:11 *4398:8 0.000216118 +18 *4230:20 *4398:8 0 +*RES +1 *23491:Y *4398:8 30.5681 +2 *4398:8 *23763:A 18.0727 +3 *4398:8 *23492:D 21.1278 +*END + +*D_NET *4399 0.00044392 +*CONN +*I *23497:A I *D sky130_fd_sc_hd__or4_1 +*I *23492:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23497:A 0.000183281 +2 *23492:X 0.000183281 +3 *23497:A *23497:D 7.49449e-05 +4 *23497:A *23498:B 0 +5 *2194:15 *23497:A 2.41274e-06 +*RES +1 *23492:X *23497:A 22.2117 +*END + +*D_NET *4400 0.00527688 +*CONN +*I *23497:B I *D sky130_fd_sc_hd__or4_1 +*I *23701:C1 I *D sky130_fd_sc_hd__a311o_1 +*I *23493:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23497:B 0.00114427 +2 *23701:C1 0 +3 *23493:X 0.000647093 +4 *4400:8 0.00179136 +5 *23497:B *4402:15 0.000112013 +6 *23497:B *4602:34 5.60804e-05 +7 *23492:A *23497:B 6.39089e-05 +8 *23498:A *23497:B 0 +9 *3078:14 *23497:B 2.71376e-05 +10 *3784:14 *23497:B 1.51692e-05 +11 *3784:14 *4400:8 0.00028413 +12 *4031:113 *4400:8 0.000262619 +13 *4138:165 *4400:8 8.3288e-05 +14 *4227:18 *23497:B 7.82448e-06 +15 *4227:18 *4400:8 3.67528e-06 +16 *4227:20 *4400:8 0.000207232 +17 *4308:29 *23497:B 1.43499e-05 +18 *4308:29 *4400:8 3.55968e-05 +19 *4396:35 *23497:B 0.000521128 +*RES +1 *23493:X *4400:8 31.1227 +2 *4400:8 *23701:C1 13.7491 +3 *4400:8 *23497:B 26.0472 +*END + +*D_NET *4401 0.00734374 +*CONN +*I *23682:A I *D sky130_fd_sc_hd__nor2_1 +*I *23495:A I *D sky130_fd_sc_hd__nor2_1 +*I *23494:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23682:A 0.0001951 +2 *23495:A 0 +3 *23494:X 0.000965349 +4 *4401:15 0.00108592 +5 *4401:10 0.00185617 +6 *23491:B1 *4401:15 0.000311235 +7 *3864:12 *4401:10 0 +8 *3925:11 *4401:10 0.000271269 +9 *3974:33 *23682:A 0 +10 *3974:38 *23682:A 0.00015511 +11 *3974:38 *4401:15 8.93279e-05 +12 *4020:20 *23682:A 3.3351e-05 +13 *4106:24 *23682:A 3.20069e-06 +14 *4126:6 *4401:10 0.000189926 +15 *4230:20 *4401:15 0.000168998 +16 *4305:7 *4401:15 0.000999413 +17 *4374:12 *4401:10 0.00101936 +*RES +1 *23494:X *4401:10 38.5406 +2 *4401:10 *4401:15 24.6196 +3 *4401:15 *23495:A 9.24915 +4 *4401:15 *23682:A 23.1595 +*END + +*D_NET *4402 0.00525556 +*CONN +*I *23497:C I *D sky130_fd_sc_hd__or4_1 +*I *23796:B I *D sky130_fd_sc_hd__or4_4 +*I *23837:C I *D sky130_fd_sc_hd__or3_1 +*I *23495:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23497:C 0 +2 *23796:B 0 +3 *23837:C 0.000164448 +4 *23495:Y 0.000587476 +5 *4402:15 0.000496743 +6 *4402:8 0.00091977 +7 *23837:C *23764:C 3.17266e-05 +8 *23837:C *23837:B 2.01653e-05 +9 *23837:C *4587:14 0.000192326 +10 *23837:C *4668:9 4.31703e-05 +11 *4402:15 *23838:B 9.32983e-05 +12 *4402:15 *23839:C 8.28236e-05 +13 *4402:15 *4607:15 0.000205006 +14 *4402:15 *4697:7 0.000113968 +15 *23497:B *4402:15 0.000112013 +16 *23498:A *4402:15 0 +17 *23799:B *4402:15 0 +18 *23838:A *4402:15 0.000165684 +19 *1809:181 *4402:8 0.000500942 +20 *1809:181 *4402:15 0.000490435 +21 *2194:12 *4402:8 0.000216822 +22 *2194:12 *4402:15 1.79196e-05 +23 *3974:38 *4402:8 0.00057462 +24 *4228:69 *23837:C 0.000209619 +25 *4228:69 *4402:15 1.65872e-05 +26 *4364:20 *4402:15 0 +*RES +1 *23495:Y *4402:8 29.3251 +2 *4402:8 *4402:15 18.021 +3 *4402:15 *23837:C 24.1682 +4 *4402:15 *23796:B 9.24915 +5 *4402:8 *23497:C 13.7491 +*END + +*D_NET *4403 0.00504058 +*CONN +*I *23497:D I *D sky130_fd_sc_hd__or4_1 +*I *23702:A I *D sky130_fd_sc_hd__or2_1 +*I *23496:X O *D sky130_fd_sc_hd__and4b_1 +*CAP +1 *23497:D 0.000622885 +2 *23702:A 0 +3 *23496:X 0.000940618 +4 *4403:7 0.0015635 +5 *23497:D *23703:D 0.000298418 +6 *23497:D *23795:B 4.0208e-05 +7 *23497:D *23796:D 6.66393e-05 +8 *23497:D *4587:14 1.32841e-05 +9 *23497:D *4696:10 0.000329064 +10 *4403:7 *23796:C 0 +11 *4403:7 *23796:D 3.01683e-06 +12 *23492:A *23497:D 0.000350815 +13 *23492:C *23497:D 8.30767e-06 +14 *23496:A_N *4403:7 0.000131019 +15 *23497:A *23497:D 7.49449e-05 +16 *23702:B *4403:7 6.92705e-05 +17 *3078:14 *23497:D 0.000431403 +18 *4020:20 *23497:D 3.77568e-05 +19 *4106:24 *23497:D 2.14588e-05 +20 *4396:35 *23497:D 3.79718e-05 +21 *4397:28 *23497:D 0 +*RES +1 *23496:X *4403:7 23.1625 +2 *4403:7 *23702:A 9.24915 +3 *4403:7 *23497:D 40.9839 +*END + +*D_NET *4404 0.00022299 +*CONN +*I *23498:B I *D sky130_fd_sc_hd__or2_1 +*I *23497:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23498:B 9.98652e-05 +2 *23497:X 9.98652e-05 +3 *23497:A *23498:B 0 +4 *2194:15 *23498:B 2.32594e-05 +*RES +1 *23497:X *23498:B 20.355 +*END + +*D_NET *4405 0.00144882 +*CONN +*I *23499:B I *D sky130_fd_sc_hd__or2_1 +*I *23498:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23499:B 0.00045584 +2 *23498:X 0.00045584 +3 *23499:B *4873:54 0 +4 *2194:15 *23499:B 0.000417464 +5 *3974:39 *23499:B 0.000119674 +*RES +1 *23498:X *23499:B 37.2579 +*END + +*D_NET *4406 0.000775108 +*CONN +*I *23501:A I *D sky130_fd_sc_hd__nor2_1 +*I *23499:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23501:A 0.000191076 +2 *23499:X 0.000191076 +3 *23501:A *4873:54 0.00015321 +4 *23501:A *5801:92 0.00015321 +5 *4146:25 *23501:A 8.65358e-05 +*RES +1 *23499:X *23501:A 32.548 +*END + +*D_NET *4407 0.00474766 +*CONN +*I *23501:B I *D sky130_fd_sc_hd__nor2_1 +*I *23706:C I *D sky130_fd_sc_hd__or4b_2 +*I *23768:B I *D sky130_fd_sc_hd__or2_1 +*I *23500:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23501:B 6.84596e-05 +2 *23706:C 6.46162e-05 +3 *23768:B 0.00017366 +4 *23500:X 0.000836212 +5 *4407:19 0.000267398 +6 *4407:8 0.00114419 +7 *23501:B *4873:54 0.000143047 +8 *23706:C *4611:10 0.000160617 +9 *23768:B *4665:82 5.73392e-05 +10 *4407:8 *4873:54 0.000643143 +11 *4407:19 *4873:54 0.000273047 +12 *23409:A *4407:8 0.000167076 +13 *23411:A *4407:8 7.88576e-05 +14 *23411:B *4407:8 2.23259e-05 +15 *23499:A *23501:B 2.22342e-05 +16 *23706:A *23706:C 2.64419e-05 +17 *23767:C *23706:C 1.19856e-05 +18 *23768:A *23768:B 6.08467e-05 +19 *2327:13 *23706:C 0 +20 *4126:48 *4407:8 1.40179e-05 +21 *4138:152 *4407:19 9.75356e-05 +22 *4213:31 *4407:8 7.50722e-05 +23 *4319:29 *23706:C 6.11359e-06 +24 *4363:13 *4407:8 8.47466e-05 +25 *4363:48 *23501:B 3.74593e-05 +26 *4363:48 *4407:8 0.00014759 +27 *4363:48 *4407:19 6.36274e-05 +*RES +1 *23500:X *4407:8 33.6198 +2 *4407:8 *23768:B 16.7151 +3 *4407:8 *4407:19 5.15401 +4 *4407:19 *23706:C 16.1605 +5 *4407:19 *23501:B 16.4116 +*END + +*D_NET *4408 0.00162314 +*CONN +*I *23502:B I *D sky130_fd_sc_hd__or2_1 +*I *23501:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23502:B 0.000380347 +2 *23501:Y 0.000380347 +3 *23502:B *4611:10 0.000110411 +4 *23502:B *4700:11 0.000353686 +5 *2327:13 *23502:B 7.50722e-05 +6 *4371:30 *23502:B 0.000323281 +*RES +1 *23501:Y *23502:B 37.5338 +*END + +*D_NET *4409 0.00646523 +*CONN +*I *23553:A I *D sky130_fd_sc_hd__nand2_1 +*I *23502:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23553:A 3.25307e-05 +2 *23502:X 0.000304761 +3 *4409:8 0.00128681 +4 *4409:7 0.00155904 +5 *23553:A *23604:A 1.43983e-05 +6 *4409:7 *4665:82 9.4711e-05 +7 *23413:A *4409:8 0.000141807 +8 *566:110 *4409:8 0 +9 *566:114 *4409:8 0 +10 *566:116 *4409:8 0.000399625 +11 *576:11 *4409:8 0.000908578 +12 *1482:8 *4409:8 0.000156202 +13 *3086:14 *4409:8 5.74949e-05 +14 *4137:83 *4409:8 0.00150927 +*RES +1 *23502:X *4409:7 18.3548 +2 *4409:7 *4409:8 48.7555 +3 *4409:8 *23553:A 14.4725 +*END + +*D_NET *4410 0.0100475 +*CONN +*I *23504:B I *D sky130_fd_sc_hd__nor2_1 +*I *23678:B I *D sky130_fd_sc_hd__nor2_1 +*I *23749:B I *D sky130_fd_sc_hd__nor2_1 +*I *23503:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23504:B 0 +2 *23678:B 6.59855e-05 +3 *23749:B 0.000265049 +4 *23503:X 0.000319764 +5 *4410:35 6.59855e-05 +6 *4410:18 0.000711528 +7 *4410:16 0.00102732 +8 *4410:13 0.000900605 +9 *23678:B *23809:A1 6.23875e-05 +10 *23678:B *4698:22 0.000160617 +11 *23749:B *23736:A 7.86847e-05 +12 *23749:B *23750:D 2.57986e-05 +13 *23749:B *23804:B 0.000171593 +14 *23749:B *23804:C 6.98337e-06 +15 *23749:B *4495:48 3.71878e-05 +16 *23749:B *4654:16 1.1573e-05 +17 *23749:B *4698:22 6.98337e-06 +18 *4410:13 *4561:28 7.50722e-05 +19 *4410:16 *23595:A 0.000664123 +20 *4410:16 *23736:B 7.77309e-06 +21 *4410:16 *23786:B 7.84457e-06 +22 *4410:16 *4462:10 0.000529204 +23 *4410:16 *4495:26 0.000386419 +24 *4410:16 *4562:14 0.000206069 +25 *4410:16 *4673:17 0.00103294 +26 *4410:18 *23545:A 9.60366e-05 +27 *4410:18 *23736:A 0.00126946 +28 *4410:18 *23736:B 8.20492e-06 +29 *4410:18 *23804:B 4.33979e-05 +30 *4410:18 *4495:26 0.00100631 +31 *4410:18 *4495:48 0.000140647 +32 *23453:B *4410:13 0.00011708 +33 *23628:C1 *4410:13 6.4036e-06 +34 *23749:A *23749:B 5.04829e-06 +35 *23804:A *23749:B 0.000220563 +36 *23820:A *4410:16 0.000134323 +37 *566:136 *23749:B 2.35149e-05 +38 *3849:29 *23678:B 0 +39 *3872:20 *4410:13 7.14746e-05 +40 *4009:115 *23749:B 5.93953e-05 +41 *4097:50 *23749:B 1.81222e-05 +*RES +1 *23503:X *4410:13 28.3857 +2 *4410:13 *4410:16 28.3104 +3 *4410:16 *4410:18 23.4251 +4 *4410:18 *23749:B 25.984 +5 *4410:18 *4410:35 4.5 +6 *4410:35 *23678:B 12.2392 +7 *4410:35 *23504:B 9.24915 +*END + +*D_NET *4411 0.00138739 +*CONN +*I *23545:A I *D sky130_fd_sc_hd__or2_1 +*I *23804:B I *D sky130_fd_sc_hd__or3_1 +*I *23504:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23545:A 3.846e-05 +2 *23804:B 0.000205164 +3 *23504:Y 7.98254e-05 +4 *4411:7 0.00032345 +5 *23545:A *4495:48 8.89094e-05 +6 *23804:B *23804:C 1.95688e-05 +7 *23804:B *4495:48 0.000213492 +8 *4411:7 *23809:A1 0.000107496 +9 *23749:B *23804:B 0.000171593 +10 *4410:18 *23545:A 9.60366e-05 +11 *4410:18 *23804:B 4.33979e-05 +*RES +1 *23504:Y *4411:7 15.0271 +2 *4411:7 *23804:B 20.7921 +3 *4411:7 *23545:A 15.5811 +*END + +*D_NET *4412 0.0045724 +*CONN +*I *23748:A I *D sky130_fd_sc_hd__or2_1 +*I *23542:B I *D sky130_fd_sc_hd__or3_1 +*I *23505:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23748:A 0.000406172 +2 *23542:B 0 +3 *23505:Y 0.000798696 +4 *4412:11 0.00120487 +5 *23748:A *23750:D 0.000148129 +6 *23748:A *4581:16 0.000158451 +7 *4412:11 *23542:C 8.01837e-05 +8 *4412:11 *4494:18 6.77137e-05 +9 *4412:11 *4693:35 0.000362665 +10 *23289:A *23748:A 0.000207822 +11 *23289:A *4412:11 6.08467e-05 +12 *1829:77 *4412:11 0.00082447 +13 *4124:35 *4412:11 0.00011191 +14 *4193:14 *23748:A 0.000140472 +*RES +1 *23505:Y *4412:11 35.3846 +2 *4412:11 *23542:B 9.24915 +3 *4412:11 *23748:A 26.6265 +*END + +*D_NET *4413 0.00173799 +*CONN +*I *23635:B I *D sky130_fd_sc_hd__or2_4 +*I *23507:A I *D sky130_fd_sc_hd__or2_1 +*I *23506:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23635:B 0.000128347 +2 *23507:A 0.000144275 +3 *23506:Y 6.4772e-05 +4 *4413:6 0.000337394 +5 *23507:A *4414:8 0.000131291 +6 *23507:A *4566:8 0.000251408 +7 *23507:A *4742:8 6.38842e-05 +8 *23635:B *23661:C 6.08467e-05 +9 *23635:B *4415:7 2.16355e-05 +10 *23635:B *4540:14 0.000296893 +11 *23635:B *4735:58 3.72251e-05 +12 *4413:6 *23742:B 6.22259e-05 +13 *4413:6 *4540:14 6.56365e-05 +14 *4413:6 *4566:8 1.86178e-05 +15 *4352:31 *23507:A 4.73813e-05 +16 *4352:31 *4413:6 6.1578e-06 +*RES +1 *23506:Y *4413:6 15.5811 +2 *4413:6 *23507:A 19.2234 +3 *4413:6 *23635:B 17.8002 +*END + +*D_NET *4414 0.000970959 +*CONN +*I *23508:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23661:C I *D sky130_fd_sc_hd__or3_2 +*I *23507:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23508:A 0 +2 *23661:C 0.000158885 +3 *23507:X 7.25627e-05 +4 *4414:8 0.000231448 +5 *23661:C *4566:7 2.16355e-05 +6 *23661:C *4735:58 0.000102153 +7 *23507:A *4414:8 0.000131291 +8 *23635:B *23661:C 6.08467e-05 +9 *23661:A *23661:C 6.08467e-05 +10 *4352:31 *4414:8 0.000131291 +*RES +1 *23507:X *4414:8 20.9116 +2 *4414:8 *23661:C 13.3002 +3 *4414:8 *23508:A 9.24915 +*END + +*D_NET *4415 0.0353933 +*CONN +*I *23517:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *23672:B2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23541:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *23518:B I *D sky130_fd_sc_hd__or2_1 +*I *23532:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *23539:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *23536:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *23529:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *23526:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *23523:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *23508:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *23517:B2 0.000283381 +2 *23672:B2 0.000481472 +3 *23541:A2 0.000224403 +4 *23518:B 0.000216897 +5 *23532:A2 0 +6 *23539:A2 0.00102128 +7 *23536:A2 0.000351163 +8 *23529:A2 1.97901e-05 +9 *23526:A2 0.000163313 +10 *23523:A2 0.000337269 +11 *23508:Y 8.64973e-05 +12 *4415:154 0.00202515 +13 *4415:148 0.00199582 +14 *4415:146 0.00111045 +15 *4415:123 0.00161854 +16 *4415:72 0.00139524 +17 *4415:69 0.000336797 +18 *4415:59 0.000421713 +19 *4415:50 0.00108584 +20 *4415:29 0.00271913 +21 *4415:7 0.00231812 +22 *23517:B2 *4417:7 1.02267e-05 +23 *23517:B2 *4424:9 0.000185554 +24 *23517:B2 *4470:16 6.76857e-06 +25 *23517:B2 *4643:17 0.000107843 +26 *23517:B2 *4695:56 6.61582e-05 +27 *23518:B *23643:A1 0.000161172 +28 *23518:B *5921:26 0.000150466 +29 *23523:A2 *23523:C1 4.41e-05 +30 *23523:A2 *5456:41 0.000158451 +31 *23526:A2 *23526:B1 4.11553e-05 +32 *23526:A2 *23526:C1 3.12272e-05 +33 *23529:A2 *23529:C1 2.53145e-06 +34 *23536:A2 *23532:B1 5.99529e-06 +35 *23536:A2 *23532:C1 6.69754e-05 +36 *23536:A2 *23536:B1 0.000160531 +37 *23536:A2 *23539:B1 0.000208411 +38 *23536:A2 *23668:B 6.2007e-05 +39 *23539:A2 *23539:B1 5.33343e-05 +40 *23539:A2 *23539:C1 9.95922e-06 +41 *23539:A2 *23669:B 9.80958e-05 +42 *23539:A2 *4486:14 3.55859e-05 +43 *23539:A2 *4567:56 3.09392e-05 +44 *23539:A2 *4574:23 1.55025e-05 +45 *23539:A2 *4600:22 1.5714e-05 +46 *23539:A2 *4602:27 0.000231368 +47 *23539:A2 *4704:17 2.06215e-05 +48 *23539:A2 *6001:49 0.000121924 +49 *23672:B2 *4557:12 0.0003153 +50 *23672:B2 *4620:26 0.000320393 +51 *23672:B2 *4665:42 0.000746333 +52 *4415:7 *4540:14 1.34424e-05 +53 *4415:7 *4735:58 0.000247443 +54 *4415:29 *23647:B1 4.0605e-06 +55 *4415:29 *23649:A1 4.74387e-05 +56 *4415:29 *23650:A3 8.77951e-05 +57 *4415:29 *23652:A 0.000566233 +58 *4415:29 *23746:D_N 0.000106558 +59 *4415:29 *23801:A2 0.000218726 +60 *4415:29 *4487:36 0.000458066 +61 *4415:29 *4550:58 7.39789e-05 +62 *4415:29 *4556:16 0.000312548 +63 *4415:29 *4635:18 0 +64 *4415:29 *4745:43 4.79165e-05 +65 *4415:29 *5456:41 0.000190823 +66 *4415:50 *23647:B1 0.00044268 +67 *4415:50 *23731:D 0.000127046 +68 *4415:50 *4481:13 0.000346783 +69 *4415:50 *4481:14 0.000635515 +70 *4415:69 *23529:C1 1.94512e-05 +71 *4415:69 *23532:B1 0.00029012 +72 *4415:69 *23536:B1 1.96411e-05 +73 *4415:69 *4435:25 0.000152158 +74 *4415:69 *4436:7 7.68396e-06 +75 *4415:72 *4486:14 5.92192e-05 +76 *4415:123 *4556:16 5.04427e-05 +77 *4415:123 *4635:18 3.39376e-05 +78 *4415:123 *4676:27 4.69495e-06 +79 *4415:123 *4681:20 5.35941e-05 +80 *4415:123 *5921:26 0.000220682 +81 *4415:146 *23651:A2 0.000160617 +82 *4415:146 *23742:B 6.62968e-05 +83 *4415:146 *23746:A 1.9101e-05 +84 *4415:146 *23828:C1 0.000115746 +85 *4415:146 *23852:B 5.07097e-05 +86 *4415:146 *4424:9 3.30341e-05 +87 *4415:146 *4496:42 0.00031715 +88 *4415:146 *4567:127 0.000249182 +89 *4415:146 *4570:13 0.000428918 +90 *4415:146 *5921:26 0.000110297 +91 *4415:148 *4424:9 0.00028483 +92 *4415:148 *4567:132 0.00029226 +93 *4415:148 *4570:13 8.45896e-06 +94 *4415:148 *4643:17 0.000921559 +95 *4415:154 *4620:26 9.60366e-05 +96 *4415:154 *4665:42 9.24241e-05 +97 *23042:B *23539:A2 0 +98 *23099:A *4415:29 6.94943e-05 +99 *23108:A *4415:29 0.000274671 +100 *23115:A *4415:154 0.000107496 +101 *23286:A *23672:B2 2.33397e-05 +102 *23289:C_N *23672:B2 1.65872e-05 +103 *23382:A *23539:A2 0.000146557 +104 *23391:A *23541:A2 2.16355e-05 +105 *23391:B *23541:A2 2.41274e-06 +106 *23517:A1 *23517:B2 3.27553e-05 +107 *23518:A *23518:B 0.000110297 +108 *23529:A1 *23529:A2 9.95922e-06 +109 *23532:A1 *4415:69 4.85274e-05 +110 *23536:A1 *23536:A2 2.50858e-05 +111 *23539:A1 *23539:A2 1.82127e-05 +112 *23635:A *4415:7 6.08467e-05 +113 *23635:B *4415:7 2.16355e-05 +114 *23667:A *4415:59 1.1718e-05 +115 *23667:A *4415:69 5.64094e-05 +116 *23668:A *23536:A2 0.000163654 +117 *23672:A2 *23672:B2 0.000107496 +118 *23672:C1 *23672:B2 6.97988e-06 +119 *1438:83 *4415:50 0.000337108 +120 *1808:69 *23539:A2 8.43848e-05 +121 *2785:63 *23539:A2 0.000130388 +122 *3791:81 *23541:A2 0.000103686 +123 *3833:207 *4415:154 0.000866763 +124 *3848:34 *23517:B2 0.000203427 +125 *3861:202 *23517:B2 0.000211021 +126 *3877:148 *4415:50 0.000244929 +127 *3893:10 *4415:50 0.000516658 +128 *4001:87 *4415:123 0.000261741 +129 *4001:164 *23539:A2 0.000133334 +130 *4009:35 *23539:A2 2.02035e-05 +131 *4012:8 *23539:A2 1.31067e-05 +132 *4012:8 *4415:72 2.71542e-05 +133 *4012:10 *4415:72 3.20069e-06 +134 *4017:24 *4415:50 2.41348e-05 +135 *4017:115 *23526:A2 1.78704e-05 +136 *4017:115 *4415:59 1.82679e-05 +137 *4024:27 *4415:146 5.61389e-05 +138 *4070:36 *23539:A2 0 +139 *4077:21 *23672:B2 6.51628e-05 +140 *4077:34 *23672:B2 0.000140487 +141 *4106:175 *4415:50 0.00023462 +142 *4228:45 *4415:123 0.000354032 +143 *4298:8 *23541:A2 6.7671e-06 +144 *4300:45 *23541:A2 0.000200794 +*RES +1 *23508:Y *4415:7 16.691 +2 *4415:7 *4415:29 36.9771 +3 *4415:29 *23523:A2 14.8434 +4 *4415:29 *4415:50 42.5377 +5 *4415:50 *23526:A2 12.7697 +6 *4415:50 *4415:59 1.8326 +7 *4415:59 *23529:A2 9.82786 +8 *4415:59 *4415:69 8.51196 +9 *4415:69 *4415:72 5.50149 +10 *4415:72 *23536:A2 24.166 +11 *4415:72 *23539:A2 26.3827 +12 *4415:69 *23532:A2 9.24915 +13 *4415:7 *4415:123 22.7485 +14 *4415:123 *23518:B 15.5186 +15 *4415:123 *4415:146 42.4311 +16 *4415:146 *4415:148 17.404 +17 *4415:148 *4415:154 30.4119 +18 *4415:154 *23541:A2 19.4881 +19 *4415:154 *23672:B2 33.706 +20 *4415:148 *23517:B2 24.0186 +*END + +*D_NET *4416 0.00473399 +*CONN +*I *23825:A I *D sky130_fd_sc_hd__or4_1 +*I *23815:B I *D sky130_fd_sc_hd__or3b_1 +*I *23540:A I *D sky130_fd_sc_hd__or3b_1 +*I *23509:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23825:A 4.18134e-05 +2 *23815:B 0.000464507 +3 *23540:A 0.000132705 +4 *23509:Y 0.000123686 +5 *4416:14 0.000856805 +6 *4416:10 0.000425092 +7 *23540:A *4447:10 2.16355e-05 +8 *23815:B *4727:25 9.34626e-05 +9 *23825:A *5082:101 6.08467e-05 +10 *4416:10 *4494:18 9.60366e-05 +11 *4416:10 *4576:5 0.000107496 +12 *4416:14 *4693:35 0.000102359 +13 *4416:14 *5082:101 0.000258222 +14 *4416:14 *5671:112 1.2693e-05 +15 *23392:A *23540:A 0.000225814 +16 *23392:A *4416:14 0.0003014 +17 *23825:C *4416:14 1.88014e-05 +18 *23825:D *4416:14 0.000501067 +19 *4009:95 *23815:B 0.000391697 +20 *4136:36 *4416:10 9.24241e-05 +21 *4190:15 *23540:A 0.000221993 +22 *4190:15 *4416:14 6.64609e-05 +23 *4190:21 *4416:14 0.000116971 +*RES +1 *23509:Y *4416:10 21.3591 +2 *4416:10 *4416:14 17.1636 +3 *4416:14 *23540:A 18.7961 +4 *4416:14 *23815:B 21.1278 +5 *4416:10 *23825:A 10.5513 +*END + +*D_NET *4417 0.00265228 +*CONN +*I *23517:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *23665:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *23510:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23517:B1 0 +2 *23665:A1 0.000352263 +3 *23510:Y 0.000105737 +4 *4417:7 0.000458 +5 *23665:A1 *23665:B2 6.08467e-05 +6 *23665:A1 *23665:C1 0.000537458 +7 *23665:A1 *23671:B 3.79808e-05 +8 *23665:A1 *4496:31 0.000541056 +9 *23665:A1 *4570:7 5.51483e-06 +10 *23665:A1 *5082:93 2.41274e-06 +11 *23665:A1 *5815:68 0.000347214 +12 *23510:A *4417:7 1.41976e-05 +13 *23517:A1 *4417:7 4.07542e-05 +14 *23517:B2 *4417:7 1.02267e-05 +15 *23665:B1 *23665:A1 6.08467e-05 +16 *3861:202 *4417:7 7.77744e-05 +*RES +1 *23510:Y *4417:7 12.2151 +2 *4417:7 *23665:A1 33.0159 +3 *4417:7 *23517:B1 9.24915 +*END + +*D_NET *4418 0.0173332 +*CONN +*I *23739:C1 I *D sky130_fd_sc_hd__o311a_1 +*I *23512:B I *D sky130_fd_sc_hd__or2_1 +*I *23511:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23739:C1 0.000762241 +2 *23512:B 0.000272438 +3 *23511:X 0.000738242 +4 *4418:14 0.00216034 +5 *4418:13 0.00128935 +6 *4418:10 0.000901938 +7 *23512:B *23614:B_N 0.000344954 +8 *23512:B *4520:7 0.000154145 +9 *23739:C1 *23740:A2 0.000131104 +10 *23739:C1 *24940:A 0 +11 *23739:C1 *4496:26 6.71082e-05 +12 *23739:C1 *4695:68 3.52699e-05 +13 *23739:C1 *4871:11 0 +14 *4418:10 *5631:59 0.000111722 +15 *4418:14 *5923:20 0.000108025 +16 *23003:A *4418:14 9.25476e-05 +17 *23003:D_N *4418:14 0 +18 *23133:D *4418:14 0.000147005 +19 *23215:A *23739:C1 1.36585e-05 +20 *23683:A *4418:14 0.000117817 +21 *23710:A1 *23739:C1 1.85963e-05 +22 *23739:A1 *23739:C1 0.000288285 +23 *23757:B *4418:14 0.00110002 +24 *3781:14 *4418:10 0.000886061 +25 *3840:20 *4418:10 0.00120021 +26 *3874:40 *23739:C1 7.93647e-05 +27 *3909:51 *23739:C1 6.95117e-05 +28 *3917:26 *4418:14 0.000298215 +29 *3922:36 *4418:14 0.000101133 +30 *3996:75 *23739:C1 1.91246e-05 +31 *4010:12 *23739:C1 1.5714e-05 +32 *4026:38 *4418:10 0.000395519 +33 *4026:38 *4418:14 0.000726293 +34 *4026:50 *4418:14 2.01595e-05 +35 *4037:14 *23512:B 0.000403953 +36 *4037:14 *4418:14 0.00232293 +37 *4038:22 *23512:B 0.000412268 +38 *4038:22 *4418:14 0.000833771 +39 *4042:19 *23739:C1 0.000182245 +40 *4143:46 *4418:14 0.000213559 +41 *4148:6 *4418:10 0.000298336 +*RES +1 *23511:X *4418:10 49.181 +2 *4418:10 *4418:13 7.44181 +3 *4418:13 *4418:14 58.0987 +4 *4418:14 *23512:B 25.2381 +5 *4418:14 *23739:C1 23.9163 +*END + +*D_NET *4419 0.00237508 +*CONN +*I *23516:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *23614:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *23512:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23516:B1 0.000124216 +2 *23614:B_N 0.000214071 +3 *23512:X 0 +4 *4419:4 0.000338287 +5 *23516:B1 *4496:26 0.000195124 +6 *23614:B_N *4520:7 3.58208e-05 +7 *23512:B *23614:B_N 0.000344954 +8 *23516:A2 *23516:B1 5.92342e-05 +9 *3861:271 *23516:B1 0.000258128 +10 *3861:271 *23614:B_N 0.000111722 +11 *4026:72 *23516:B1 5.302e-05 +12 *4326:19 *23516:B1 0.000241964 +13 *4326:19 *23614:B_N 0.000398541 +*RES +1 *23512:X *4419:4 9.24915 +2 *4419:4 *23614:B_N 17.3753 +3 *4419:4 *23516:B1 24.684 +*END + +*D_NET *4420 0.00168667 +*CONN +*I *23515:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23513:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23515:A1 0.00037091 +2 *23513:Y 0.00037091 +3 *23515:A1 *23664:A2 0.000304791 +4 *23515:A1 *4422:8 0.000101148 +5 *23515:A1 *4496:26 0.000146607 +6 *23515:A1 *4695:68 7.6719e-06 +7 *23513:A *23515:A1 2.16355e-05 +8 *23817:A1 *23515:A1 0.000309427 +9 *3877:87 *23515:A1 5.3569e-05 +*RES +1 *23513:Y *23515:A1 39.4131 +*END + +*D_NET *4421 0.000334668 +*CONN +*I *23515:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23514:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23515:A2 0.000125803 +2 *23514:Y 0.000125803 +3 *23514:B *23515:A2 2.95835e-05 +4 *23515:B1 *23515:A2 3.82228e-05 +5 *3872:34 *23515:A2 4.91225e-06 +6 *4147:13 *23515:A2 1.03434e-05 +*RES +1 *23514:Y *23515:A2 21.4642 +*END + +*D_NET *4422 0.00271886 +*CONN +*I *23664:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *23516:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23515:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23664:B1 0 +2 *23516:C1 0.000355707 +3 *23515:Y 0.000134525 +4 *4422:8 0.000490232 +5 *23516:C1 *23665:C1 0.000322083 +6 *23516:C1 *23740:A2 0.000176349 +7 *23516:C1 *23740:B1 1.9101e-05 +8 *23516:C1 *4569:14 3.97303e-05 +9 *23516:C1 *4643:17 0.00035712 +10 *4422:8 *4496:26 3.26582e-06 +11 *4422:8 *4569:14 6.76857e-06 +12 *23515:A1 *4422:8 0.000101148 +13 *23739:A1 *23516:C1 1.91246e-05 +14 *3848:34 *23516:C1 1.37925e-05 +15 *3872:34 *4422:8 0.000107496 +16 *3872:73 *23516:C1 1.03403e-05 +17 *3877:87 *23516:C1 0.000320184 +18 *3877:87 *4422:8 0.000145396 +19 *3909:51 *23516:C1 9.6497e-05 +*RES +1 *23515:Y *4422:8 17.6896 +2 *4422:8 *23516:C1 27.9316 +3 *4422:8 *23664:B1 13.7491 +*END + +*D_NET *4423 0.00165512 +*CONN +*I *23517:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *23516:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23517:C1 0.000308958 +2 *23516:X 0.000308958 +3 *23517:C1 *4496:26 2.97883e-05 +4 *23517:C1 *4496:31 5.57012e-05 +5 *23517:C1 *4539:12 0.000173175 +6 *23517:C1 *4544:22 0.000387082 +7 *23517:C1 *4649:24 0.000137056 +8 *23234:A *23517:C1 6.08467e-05 +9 *23234:B *23517:C1 1.65872e-05 +10 *3927:42 *23517:C1 0.000145314 +11 *4143:36 *23517:C1 3.16514e-05 +*RES +1 *23516:X *23517:C1 48.363 +*END + +*D_NET *4424 0.00571308 +*CONN +*I *23519:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23517:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *23519:C1 0.000461982 +2 *23517:X 0.000885861 +3 *4424:12 0.000526005 +4 *4424:11 6.40232e-05 +5 *4424:9 0.000885861 +6 *23519:C1 *23651:A2 0.000105515 +7 *23519:C1 *23733:B 6.83312e-05 +8 *23519:C1 *23742:B 6.51637e-05 +9 *4424:9 *23651:A2 1.66531e-05 +10 *4424:9 *23746:A 4.69495e-06 +11 *4424:9 *23828:C1 4.59975e-05 +12 *4424:9 *23852:B 5.15155e-05 +13 *4424:9 *4470:16 7.62699e-05 +14 *4424:9 *4545:22 0.000213511 +15 *4424:9 *4567:127 4.76473e-05 +16 *4424:9 *4567:132 0.000283551 +17 *4424:9 *4684:5 0.000198807 +18 *23517:B2 *4424:9 0.000185554 +19 *23519:A1 *23519:C1 6.11359e-06 +20 *23519:A2 *23519:C1 1.99131e-05 +21 *23519:B1 *23519:C1 6.08467e-05 +22 *4024:27 *4424:9 0.000156946 +23 *4026:93 *4424:9 0.00088937 +24 *4138:110 *4424:9 7.50872e-05 +25 *4415:146 *4424:9 3.30341e-05 +26 *4415:148 *4424:9 0.00028483 +*RES +1 *23517:X *4424:9 49.4597 +2 *4424:9 *4424:11 9.24915 +3 *4424:11 *4424:12 81.1229 +4 *4424:12 *23519:C1 34.7987 +*END + +*D_NET *4425 0.000558714 +*CONN +*I *23519:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23518:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23519:D1 0.000116068 +2 *23518:X 0.000116068 +3 *23519:D1 *4570:13 0.000132464 +4 *23519:D1 *5921:26 6.50586e-05 +5 *3974:107 *23519:D1 0.000129054 +*RES +1 *23518:X *23519:D1 30.8842 +*END + +*D_NET *4426 0.00563782 +*CONN +*I *23523:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *23519:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23523:B1 0 +2 *23519:X 4.51842e-05 +3 *4426:10 0.00113507 +4 *4426:7 0.00118025 +5 *4426:10 *23636:A1 0.000225089 +6 *4426:10 *23646:B1 0.00014879 +7 *4426:10 *23648:D 1.05862e-05 +8 *4426:10 *4549:10 5.86567e-05 +9 *4426:10 *4567:16 0.000893663 +10 *4426:10 *4570:13 0.000931372 +11 *4426:10 *4748:19 6.66e-05 +12 *23113:A *4426:10 0 +13 *23519:A1 *4426:7 6.08467e-05 +14 *3872:119 *4426:10 9.49244e-05 +15 *4021:24 *4426:10 0.00072798 +16 *4024:41 *4426:10 5.88009e-05 +*RES +1 *23519:X *4426:7 14.4725 +2 *4426:7 *4426:10 43.2894 +3 *4426:10 *23523:B1 9.24915 +*END + +*D_NET *4427 0.00122805 +*CONN +*I *23782:B I *D sky130_fd_sc_hd__or3b_1 +*I *23521:A I *D sky130_fd_sc_hd__or2_1 +*I *23520:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23782:B 0.000128879 +2 *23521:A 0 +3 *23520:Y 0.000201943 +4 *4427:8 0.000330822 +5 *23782:B *23570:D 4.65421e-05 +6 *23782:B *4464:10 8.37812e-05 +7 *23782:B *4618:20 0.000194785 +8 *23782:B *5082:72 0 +9 *4427:8 *23570:D 5.35706e-05 +10 *23520:A *4427:8 1.03403e-05 +11 *3829:109 *23782:B 1.86178e-05 +12 *3829:109 *4427:8 0.000141264 +13 *4024:100 *4427:8 1.75e-05 +*RES +1 *23520:Y *4427:8 18.2442 +2 *4427:8 *23521:A 13.7491 +3 *4427:8 *23782:B 18.9764 +*END + +*D_NET *4428 0.0037394 +*CONN +*I *23801:B1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23522:A I *D sky130_fd_sc_hd__inv_2 +*I *23521:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23801:B1 0.000411579 +2 *23522:A 0 +3 *23521:X 0.00052544 +4 *4428:8 0.000937019 +5 *23801:B1 *23746:B 5.04829e-06 +6 *23801:B1 *23801:C1 0.000160617 +7 *23801:B1 *23801:D1 1.65872e-05 +8 *23801:B1 *4541:22 0.000682327 +9 *23801:B1 *4702:8 0.000239191 +10 *4428:8 *4541:22 0.000323315 +11 *23801:A1 *23801:B1 4.03381e-05 +12 *1690:86 *4428:8 8.3357e-05 +13 *4045:8 *23801:B1 0.000180827 +14 *4045:8 *4428:8 0.000133759 +*RES +1 *23521:X *4428:8 24.8939 +2 *4428:8 *23522:A 13.7491 +3 *4428:8 *23801:B1 29.1802 +*END + +*D_NET *4429 0.000652868 +*CONN +*I *23523:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23522:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23523:C1 0.00027379 +2 *23522:Y 0.00027379 +3 *23523:C1 *4430:10 6.11872e-05 +4 *23523:A2 *23523:C1 4.41e-05 +*RES +1 *23522:Y *23523:C1 24.7918 +*END + +*D_NET *4430 0.00474149 +*CONN +*I *23526:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *23523:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23526:B1 6.37802e-05 +2 *23523:X 0.000918673 +3 *4430:10 0.000982453 +4 *23526:B1 *23526:C1 0.000176753 +5 *4430:10 *23576:A 9.20275e-05 +6 *4430:10 *23851:B 0.00109028 +7 *4430:10 *23851:D 0.000138735 +8 *4430:10 *4567:16 0.000464563 +9 *4430:10 *4567:22 7.85871e-06 +10 *23523:C1 *4430:10 6.11872e-05 +11 *23526:A2 *23526:B1 4.11553e-05 +12 *3898:37 *4430:10 0.000239014 +13 *3974:107 *4430:10 3.77378e-05 +14 *3974:112 *4430:10 0.000197323 +15 *4019:26 *4430:10 0.000169108 +16 *4019:32 *23526:B1 6.08467e-05 +*RES +1 *23523:X *4430:10 48.9051 +2 *4430:10 *23526:B1 11.8413 +*END + +*D_NET *4431 0.000833064 +*CONN +*I *23724:B I *D sky130_fd_sc_hd__or4b_2 +*I *23525:A I *D sky130_fd_sc_hd__nor2_1 +*I *23524:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23724:B 7.03263e-05 +2 *23525:A 1.47608e-05 +3 *23524:Y 5.51729e-05 +4 *4431:6 0.00014026 +5 *23525:A *4432:8 6.08467e-05 +6 *23525:A *5682:35 6.08467e-05 +7 *3829:137 *23724:B 1.55462e-05 +8 *3829:137 *4431:6 6.26118e-05 +9 *3891:8 *23724:B 1.91391e-05 +10 *3891:15 *23724:B 0.000116832 +11 *3891:15 *4431:6 0.000122083 +12 *4022:73 *23724:B 9.46387e-05 +*RES +1 *23524:Y *4431:6 15.9964 +2 *4431:6 *23525:A 14.4725 +3 *4431:6 *23724:B 16.4116 +*END + +*D_NET *4432 0.00337287 +*CONN +*I *23526:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23745:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *23525:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23526:C1 0.00042818 +2 *23745:D1 1.47608e-05 +3 *23525:Y 0.0002641 +4 *4432:8 0.000707041 +5 *23526:C1 *4478:6 6.19054e-05 +6 *23526:C1 *5082:62 0.000201335 +7 *23745:D1 *4648:12 6.08467e-05 +8 *4432:8 *5082:62 0.000200251 +9 *4432:8 *5682:35 0.000216749 +10 *23143:A2 *4432:8 0.000332346 +11 *23525:A *4432:8 6.08467e-05 +12 *23526:A2 *23526:C1 3.12272e-05 +13 *23526:B1 *23526:C1 0.000176753 +14 *23529:A1 *23526:C1 0 +15 *23745:B1 *23526:C1 5.13937e-05 +16 *23745:B1 *4432:8 0.000196638 +17 *23745:C1 *23745:D1 6.08467e-05 +18 *4017:115 *23526:C1 1.55025e-05 +19 *4019:32 *23526:C1 0.000135189 +20 *4022:76 *4432:8 0.000156955 +*RES +1 *23525:Y *4432:8 24.6208 +2 *4432:8 *23745:D1 14.4725 +3 *4432:8 *23526:C1 25.8077 +*END + +*D_NET *4433 0.00136003 +*CONN +*I *23529:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *23526:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23529:B1 0.000482947 +2 *23526:X 0.000482947 +3 *23529:B1 *23851:B 1.9101e-05 +4 *23529:B1 *4515:21 1.91391e-05 +5 *1438:83 *23529:B1 7.13655e-06 +6 *1808:69 *23529:B1 3.82548e-05 +7 *3002:69 *23529:B1 0.000103673 +8 *3898:37 *23529:B1 4.69495e-06 +9 *3980:168 *23529:B1 9.18353e-05 +10 *4019:32 *23529:B1 0.000110297 +*RES +1 *23526:X *23529:B1 37.1624 +*END + +*D_NET *4434 0.00508976 +*CONN +*I *23851:B I *D sky130_fd_sc_hd__or4_1 +*I *23528:A I *D sky130_fd_sc_hd__nor2_1 +*I *23527:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23851:B 0.000734366 +2 *23528:A 2.19858e-05 +3 *23527:Y 0.000257232 +4 *4434:8 0.00101358 +5 *23528:A *5682:35 6.50586e-05 +6 *23851:B *23851:D 9.20782e-05 +7 *23146:A *4434:8 9.60216e-05 +8 *23527:A *4434:8 2.65667e-05 +9 *23529:B1 *23851:B 1.9101e-05 +10 *1808:69 *23851:B 0.00020545 +11 *1808:69 *4434:8 0.000186305 +12 *3833:106 *23851:B 0.00017094 +13 *3842:247 *23851:B 2.93365e-05 +14 *3891:96 *23851:B 0.00067213 +15 *3898:37 *23851:B 5.80283e-06 +16 *3898:37 *4434:8 1.81988e-05 +17 *3980:168 *23851:B 5.80501e-05 +18 *3980:180 *23851:B 2.19276e-05 +19 *3980:180 *4434:8 0.000101246 +20 *4019:26 *23851:B 9.2346e-06 +21 *4024:100 *23851:B 4.83562e-06 +22 *4055:8 *4434:8 0.000190028 +23 *4430:10 *23851:B 0.00109028 +*RES +1 *23527:Y *4434:8 23.3638 +2 *4434:8 *23528:A 14.4725 +3 *4434:8 *23851:B 41.742 +*END + +*D_NET *4435 0.00269058 +*CONN +*I *23529:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23853:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23528:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23529:C1 5.05528e-05 +2 *23853:C1 0 +3 *23528:Y 0.000299209 +4 *4435:26 0.000114576 +5 *4435:25 0.000327747 +6 *4435:11 0.000562932 +7 *4435:11 *23745:A2 0.000251655 +8 *4435:11 *4478:6 0.000104731 +9 *4435:11 *4648:12 0.000246043 +10 *4435:25 *4515:21 0.000113374 +11 *4435:25 *4648:12 0.000205101 +12 *4435:26 *4436:8 0 +13 *23161:A *4435:25 5.04829e-06 +14 *23529:A1 *23529:C1 0 +15 *23529:A2 *23529:C1 2.53145e-06 +16 *23667:A *23529:C1 5.88594e-05 +17 *23853:A1 *4435:11 2.99291e-05 +18 *1438:83 *4435:25 4.55535e-05 +19 *1808:69 *4435:11 0.000101133 +20 *4415:69 *23529:C1 1.94512e-05 +21 *4415:69 *4435:25 0.000152158 +*RES +1 *23528:Y *4435:11 27.3392 +2 *4435:11 *23853:C1 9.24915 +3 *4435:11 *4435:25 24.7162 +4 *4435:25 *4435:26 81.1229 +5 *4435:26 *23529:C1 19.8004 +*END + +*D_NET *4436 0.00252377 +*CONN +*I *23532:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *23529:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23532:B1 0.00023463 +2 *23529:X 0.000475789 +3 *4436:8 0.000327898 +4 *4436:7 0.000569058 +5 *23532:B1 *23532:C1 6.24655e-05 +6 *23536:A2 *23532:B1 5.99529e-06 +7 *23667:A *4436:7 0.000395985 +8 *4017:115 *4436:7 0.000154145 +9 *4415:69 *23532:B1 0.00029012 +10 *4415:69 *4436:7 7.68396e-06 +11 *4435:26 *4436:8 0 +*RES +1 *23529:X *4436:7 25.8769 +2 *4436:7 *4436:8 127.479 +3 *4436:8 *23532:B1 22.9833 +*END + +*D_NET *4437 0.0028031 +*CONN +*I *23531:A I *D sky130_fd_sc_hd__inv_2 +*I *23744:C I *D sky130_fd_sc_hd__or4_1 +*I *23530:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23531:A 8.90961e-05 +2 *23744:C 0.000104902 +3 *23530:X 0.000275259 +4 *4437:6 0.000469257 +5 *23531:A *23744:D 0.000196653 +6 *23531:A *4648:12 0.000107496 +7 *23744:C *23744:A 0.000107496 +8 *23744:C *23744:D 3.31745e-05 +9 *23744:C *4647:8 0.000110297 +10 *4437:6 *23744:D 5.92192e-05 +11 *23161:A *23531:A 3.82228e-05 +12 *4058:28 *23531:A 0.000193108 +13 *4058:28 *4437:6 0.0007069 +14 *4137:28 *4437:6 5.35941e-05 +15 *4347:12 *4437:6 0.00025843 +*RES +1 *23530:X *4437:6 26.3777 +2 *4437:6 *23744:C 16.691 +3 *4437:6 *23531:A 18.5201 +*END + +*D_NET *4438 0.00127147 +*CONN +*I *23532:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23531:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23532:C1 0.000390367 +2 *23531:Y 0.000390367 +3 *23532:C1 *23668:B 8.82816e-05 +4 *23532:C1 *23803:D 0.00012965 +5 *23532:C1 *4486:14 6.51527e-05 +6 *23532:C1 *4487:10 1.73636e-05 +7 *23532:C1 *4648:12 6.08467e-05 +8 *23532:B1 *23532:C1 6.24655e-05 +9 *23536:A2 *23532:C1 6.69754e-05 +*RES +1 *23531:Y *23532:C1 35.9681 +*END + +*D_NET *4439 0.00216004 +*CONN +*I *23536:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *23532:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23536:B1 0.000813181 +2 *23532:X 0.000813181 +3 *23536:B1 *23536:C1 5.14966e-06 +4 *23536:B1 *23539:B1 2.39616e-05 +5 *23536:B1 *4446:15 1.03434e-05 +6 *23532:A1 *23536:B1 7.13274e-06 +7 *23536:A1 *23536:B1 0.000146304 +8 *23536:A2 *23536:B1 0.000160531 +9 *23539:A1 *23536:B1 0 +10 *23668:A *23536:B1 0.000160617 +11 *4415:69 *23536:B1 1.96411e-05 +*RES +1 *23532:X *23536:B1 32.3634 +*END + +*D_NET *4440 0.000919194 +*CONN +*I *23784:B I *D sky130_fd_sc_hd__or2_1 +*I *23534:A I *D sky130_fd_sc_hd__or2_1 +*I *23533:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23784:B 0 +2 *23534:A 3.47329e-05 +3 *23533:Y 0.000159256 +4 *4440:10 0.000193989 +5 *23534:A *23697:A 0.000160617 +6 *23534:A *4685:10 6.73186e-05 +7 *4440:10 *4870:8 3.00073e-05 +8 *4440:10 *4870:10 3.14544e-05 +9 *23158:A *4440:10 0.000107496 +10 *23802:B *4440:10 0.000134323 +*RES +1 *23533:Y *4440:10 22.1896 +2 *4440:10 *23534:A 11.0817 +3 *4440:10 *23784:B 9.24915 +*END + +*D_NET *4441 0.00421895 +*CONN +*I *23535:A I *D sky130_fd_sc_hd__inv_2 +*I *23803:A I *D sky130_fd_sc_hd__or4_2 +*I *23534:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23535:A 1.60672e-05 +2 *23803:A 1.63602e-05 +3 *23534:X 0.000539258 +4 *4441:10 0.000571686 +5 *4441:10 *23697:A 0.000161167 +6 *4441:10 *23803:B 4.37999e-05 +7 *4441:10 *4870:116 0.000158357 +8 *4441:10 *6001:54 9.59822e-06 +9 *23155:B *4441:10 0.000178789 +10 *23161:A *23535:A 9.97706e-05 +11 *23161:A *23803:A 0.000114584 +12 *23580:B *4441:10 5.68225e-06 +13 *23802:B *4441:10 0.000147561 +14 *3874:109 *23535:A 0.000113968 +15 *3874:109 *23803:A 0.000114584 +16 *3886:27 *4441:10 0.000569967 +17 *3951:14 *4441:10 0.0012116 +18 *4064:10 *4441:10 0.000140487 +19 *4066:17 *4441:10 5.66868e-06 +*RES +1 *23534:X *4441:10 43.0916 +2 *4441:10 *23803:A 10.5271 +3 *4441:10 *23535:A 10.5271 +*END + +*D_NET *4442 0.000606142 +*CONN +*I *23536:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23535:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23536:C1 1.49017e-05 +2 *23535:Y 9.99748e-05 +3 *4442:12 6.56312e-05 +4 *4442:11 0.000150704 +5 *23536:C1 *23539:B1 6.33508e-05 +6 *23536:C1 *4446:15 2.8758e-05 +7 *4442:11 *23539:B1 5.88594e-05 +8 *4442:11 *6001:54 5.35941e-05 +9 *23536:B1 *23536:C1 5.14966e-06 +10 *23539:A1 *23536:C1 3.23231e-06 +11 *4008:49 *4442:11 3.88655e-06 +12 *4289:28 *4442:11 5.80995e-05 +*RES +1 *23535:Y *4442:11 29.6384 +2 *4442:11 *4442:12 57.9449 +3 *4442:12 *23536:C1 19.5834 +*END + +*D_NET *4443 0.00140703 +*CONN +*I *23539:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *23536:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23539:B1 0.000456518 +2 *23536:X 0.000456518 +3 *23539:B1 *23539:C1 4.80322e-07 +4 *23539:B1 *4446:15 8.2645e-06 +5 *23536:A1 *23539:B1 6.78364e-06 +6 *23536:A2 *23539:B1 0.000208411 +7 *23536:B1 *23539:B1 2.39616e-05 +8 *23536:C1 *23539:B1 6.33508e-05 +9 *23539:A1 *23539:B1 7.05452e-05 +10 *23539:A2 *23539:B1 5.33343e-05 +11 *4442:11 *23539:B1 5.88594e-05 +*RES +1 *23536:X *23539:B1 27.9868 +*END + +*D_NET *4444 0.00134312 +*CONN +*I *23538:A I *D sky130_fd_sc_hd__inv_2 +*I *23747:C I *D sky130_fd_sc_hd__or4_2 +*I *23537:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23538:A 8.70363e-05 +2 *23747:C 0 +3 *23537:X 0.000167657 +4 *4444:6 0.000254694 +5 *23538:A *23539:C1 0.000119182 +6 *23538:A *4491:28 5.3569e-05 +7 *4444:6 *23539:C1 3.04443e-05 +8 *4444:6 *4491:8 1.75293e-05 +9 *4444:6 *4693:15 1.91246e-05 +10 *23163:A *4444:6 0.000134509 +11 *23486:A *23538:A 4.20981e-05 +12 *23486:A *4444:6 0.000179672 +13 *1699:36 *4444:6 9.34145e-05 +14 *4009:35 *4444:6 6.71978e-06 +15 *4070:36 *4444:6 3.55859e-05 +16 *4183:8 *23538:A 7.50722e-05 +17 *4393:8 *23538:A 2.68066e-05 +*RES +1 *23537:X *4444:6 19.7337 +2 *4444:6 *23747:C 13.7491 +3 *4444:6 *23538:A 17.2421 +*END + +*D_NET *4445 0.000774709 +*CONN +*I *23539:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *23538:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23539:C1 0.00017467 +2 *23538:Y 0.00017467 +3 *23539:C1 *23747:D 6.27113e-05 +4 *23539:C1 *4491:8 8.62625e-06 +5 *23539:C1 *4491:28 0.000131876 +6 *23539:C1 *4574:23 1.88188e-05 +7 *23163:A *23539:C1 5.04829e-06 +8 *23275:B *23539:C1 3.82228e-05 +9 *23538:A *23539:C1 0.000119182 +10 *23539:A2 *23539:C1 9.95922e-06 +11 *23539:B1 *23539:C1 4.80322e-07 +12 *4444:6 *23539:C1 3.04443e-05 +*RES +1 *23538:Y *23539:C1 33.0905 +*END + +*D_NET *4446 0.012214 +*CONN +*I *23540:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *23539:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23540:C_N 0.000140431 +2 *23539:X 0.000232232 +3 *4446:16 0.00184032 +4 *4446:15 0.00193213 +5 *23540:C_N *4447:10 4.31539e-05 +6 *23540:C_N *5671:112 1.82679e-05 +7 *4446:15 *5680:30 0.000107496 +8 *4446:16 *4620:17 2.38715e-05 +9 *23046:B *4446:16 0.000448048 +10 *23382:A *4446:15 8.98943e-05 +11 *23382:A *4446:16 0.000570318 +12 *23536:A1 *4446:15 2.70257e-05 +13 *23536:B1 *4446:15 1.03434e-05 +14 *23536:C1 *4446:15 2.8758e-05 +15 *23539:A1 *4446:15 1.20105e-05 +16 *23539:B1 *4446:15 8.2645e-06 +17 *3791:106 *4446:16 0.000233208 +18 *3872:185 *4446:16 1.29948e-05 +19 *3877:134 *4446:16 0.000190597 +20 *3877:138 *4446:16 0.00206002 +21 *4009:47 *4446:16 9.22013e-06 +22 *4009:72 *4446:16 6.03391e-06 +23 *4009:95 *4446:16 0.000552824 +24 *4298:10 *4446:16 1.69107e-05 +25 *4392:20 *4446:16 0.00167634 +26 *4396:23 *4446:16 0.00192329 +*RES +1 *23539:X *4446:15 27.7159 +2 *4446:15 *4446:16 74.5011 +3 *4446:16 *23540:C_N 16.691 +*END + +*D_NET *4447 0.00415847 +*CONN +*I *23541:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *23540:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *23541:B1_N 0 +2 *23540:X 0.00079389 +3 *4447:10 0.00079389 +4 *23540:A *4447:10 2.16355e-05 +5 *23540:B *4447:10 3.24705e-06 +6 *23540:C_N *4447:10 4.31539e-05 +7 *657:181 *4447:10 0.000110809 +8 *3781:68 *4447:10 0.00119413 +9 *4298:8 *4447:10 0.00119772 +*RES +1 *23540:X *4447:10 45.0341 +2 *4447:10 *23541:B1_N 9.24915 +*END + +*D_NET *4448 0.00280699 +*CONN +*I *23542:C I *D sky130_fd_sc_hd__or3_1 +*I *23541:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *23542:C 0.000512462 +2 *23541:Y 0.000512462 +3 *23542:C *4494:18 0.000861036 +4 *23542:C *4693:35 1.76936e-05 +5 *3791:81 *23542:C 0.000823153 +6 *4412:11 *23542:C 8.01837e-05 +*RES +1 *23541:Y *23542:C 46.3907 +*END + +*D_NET *4449 0.00220461 +*CONN +*I *23544:A I *D sky130_fd_sc_hd__or2_1 +*I *23542:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23544:A 0.000455535 +2 *23542:X 0.000455535 +3 *23544:A *23797:C 0.000344322 +4 *23544:A *4538:51 0.000242572 +5 *23504:A *23544:A 6.50586e-05 +6 *23805:A *23544:A 2.65667e-05 +7 *3791:66 *23544:A 4.85134e-05 +8 *3791:81 *23544:A 0.000470811 +9 *4136:18 *23544:A 9.57004e-05 +*RES +1 *23542:X *23544:A 44.0652 +*END + +*D_NET *4450 0.0133883 +*CONN +*I *23544:B I *D sky130_fd_sc_hd__or2_1 +*I *23718:D I *D sky130_fd_sc_hd__or4_1 +*I *23673:A I *D sky130_fd_sc_hd__inv_2 +*I *23543:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23544:B 0 +2 *23718:D 0.000524182 +3 *23673:A 0.000230454 +4 *23543:X 0.000736752 +5 *4450:45 0.000824594 +6 *4450:21 0.00175249 +7 *4450:8 0.00137092 +8 *23718:D *23629:B 1.37289e-05 +9 *23718:D *23718:C 1.03403e-05 +10 *23718:D *23720:B 2.77211e-05 +11 *23718:D *4623:11 0.000216512 +12 *4450:8 *4534:10 0.000478498 +13 *4450:21 *4623:11 0.000107496 +14 *4450:45 *23591:A 0.000362186 +15 *4450:45 *23734:A 9.75356e-05 +16 *4450:45 *4499:13 6.2018e-05 +17 *4450:45 *4559:11 0.000127536 +18 *23236:A *23673:A 0.000304777 +19 *23504:A *4450:45 2.57847e-05 +20 *23592:A *4450:45 6.31665e-05 +21 *3781:43 *4450:21 3.88655e-06 +22 *3791:42 *4450:21 0.000386513 +23 *3791:50 *4450:21 0.000392861 +24 *3791:66 *4450:45 0.00051971 +25 *3831:42 *4450:8 0.000401927 +26 *3831:50 *4450:45 0.000532816 +27 *3849:12 *4450:8 0.000455489 +28 *3868:52 *4450:8 0.00036764 +29 *4081:11 *4450:45 4.3116e-06 +30 *4120:34 *4450:45 0.000100553 +31 *4136:10 *4450:45 0 +32 *4199:8 *4450:8 4.06485e-05 +33 *4199:8 *4450:21 0.000789849 +34 *4199:8 *4450:45 0.000529234 +35 *4371:48 *23673:A 0.00067797 +36 *4371:48 *4450:21 0.000154145 +37 *4397:22 *4450:8 0.00069405 +*RES +1 *23543:X *4450:8 47.4878 +2 *4450:8 *23673:A 17.1824 +3 *4450:8 *4450:21 26.4002 +4 *4450:21 *23718:D 17.2065 +5 *4450:21 *4450:45 49.7658 +6 *4450:45 *23544:B 9.24915 +*END + +*D_NET *4451 0.000554416 +*CONN +*I *23545:B I *D sky130_fd_sc_hd__or2_1 +*I *23544:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23545:B 0.000128757 +2 *23544:X 0.000128757 +3 *23504:A *23545:B 0.000296903 +*RES +1 *23544:X *23545:B 21.9947 +*END + +*D_NET *4452 0.0107492 +*CONN +*I *23547:A I *D sky130_fd_sc_hd__or2_1 +*I *23545:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23547:A 0.00046608 +2 *23545:X 0.000216803 +3 *4452:10 0.00157442 +4 *4452:7 0.00132514 +5 *23547:A *4673:17 1.32531e-05 +6 *4452:10 *23736:A 0 +7 *4452:10 *23789:A 0.000315206 +8 *4452:10 *23822:C 0.000683558 +9 *4452:10 *4537:12 0.000498084 +10 *4452:10 *4537:17 0.000209946 +11 *4452:10 *4673:17 0 +12 *23504:A *4452:7 5.20546e-06 +13 *23821:A *23547:A 0.000216088 +14 *24089:A0 *4452:10 3.68867e-05 +15 *566:136 *4452:10 0.000115189 +16 *580:10 *4452:10 0.00026468 +17 *3829:20 *4452:10 4.34328e-05 +18 *3829:26 *4452:10 0.00161816 +19 *3974:49 *23547:A 0.00152004 +20 *3974:49 *4452:10 9.78551e-06 +21 *3974:60 *23547:A 1.2366e-05 +22 *3974:60 *4452:10 0.000330087 +23 *4099:17 *23547:A 0.00127479 +24 *4106:89 *4452:10 0 +*RES +1 *23545:X *4452:7 16.691 +2 *4452:7 *4452:10 49.2807 +3 *4452:10 *23547:A 41.1192 +*END + +*D_NET *4453 0.00178104 +*CONN +*I *23677:B I *D sky130_fd_sc_hd__or2_2 +*I *23547:B I *D sky130_fd_sc_hd__or2_1 +*I *23546:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23677:B 3.58463e-05 +2 *23547:B 0.000184092 +3 *23546:X 0.000145901 +4 *4453:8 0.000365839 +5 *23547:B *4561:27 0.000190301 +6 *23546:B *4453:8 0.000158371 +7 *23548:A *23547:B 2.65667e-05 +8 *23677:A *23547:B 8.62625e-06 +9 *23677:A *4453:8 9.75356e-05 +10 *23704:A *23547:B 0.00037215 +11 *23704:A *4453:8 9.25219e-05 +12 *3835:36 *23547:B 8.62625e-06 +13 *4001:250 *23677:B 2.16355e-05 +14 *4099:17 *23547:B 7.30233e-05 +*RES +1 *23546:X *4453:8 17.4137 +2 *4453:8 *23547:B 21.2876 +3 *4453:8 *23677:B 14.4725 +*END + +*D_NET *4454 0.000118089 +*CONN +*I *23548:B I *D sky130_fd_sc_hd__or2_1 +*I *23547:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23548:B 2.86212e-05 +2 *23547:X 2.86212e-05 +3 *23548:A *23548:B 6.08467e-05 +*RES +1 *23547:X *23548:B 19.2217 +*END + +*D_NET *4455 0.000296337 +*CONN +*I *23549:C I *D sky130_fd_sc_hd__or3_1 +*I *23548:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23549:C 9.11846e-05 +2 *23548:X 9.11846e-05 +3 *23549:A *23549:C 0.000113968 +*RES +1 *23548:X *23549:C 20.8855 +*END + +*D_NET *4456 0.000520831 +*CONN +*I *23551:A I *D sky130_fd_sc_hd__nor2_1 +*I *23549:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23551:A 0.000253223 +2 *23549:X 0.000253223 +3 *23551:A *23552:B 1.43848e-05 +*RES +1 *23549:X *23551:A 22.4287 +*END + +*D_NET *4457 0.00709538 +*CONN +*I *23551:B I *D sky130_fd_sc_hd__nor2_1 +*I *23753:C I *D sky130_fd_sc_hd__or4_2 +*I *23681:C I *D sky130_fd_sc_hd__or4b_1 +*I *23550:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23551:B 0 +2 *23753:C 9.15998e-05 +3 *23681:C 0.000112282 +4 *23550:X 0.000207644 +5 *4457:10 0.00093009 +6 *4457:8 0.000933853 +7 *23681:C *23707:B1 0.000107496 +8 *23681:C *23707:C1 0.000111708 +9 *23681:C *4506:12 0.000168451 +10 *23681:C *5801:92 0.000252327 +11 *4457:8 *4508:8 5.0459e-05 +12 *4457:8 *5801:92 0.000114955 +13 *4457:10 *23602:B 6.66538e-05 +14 *4457:10 *4508:8 0.000104412 +15 *4457:10 *4611:12 0.000134765 +16 *4457:10 *5801:92 0.0022215 +17 *23060:B *4457:10 6.03102e-05 +18 *23298:C *4457:8 2.20702e-05 +19 *23550:A *4457:8 0.000107496 +20 *23753:A *23753:C 5.04829e-06 +21 *3788:14 *23681:C 5.56367e-05 +22 *3788:14 *4457:10 0.000379553 +23 *3788:33 *4457:10 0.000334095 +24 *3788:45 *4457:10 0.000522975 +*RES +1 *23550:X *4457:8 18.3836 +2 *4457:8 *4457:10 39.2047 +3 *4457:10 *23681:C 19.3507 +4 *4457:10 *23753:C 15.4612 +5 *4457:8 *23551:B 13.7491 +*END + +*D_NET *4458 0.000371112 +*CONN +*I *23552:B I *D sky130_fd_sc_hd__or2_1 +*I *23551:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23552:B 0.000178363 +2 *23551:Y 0.000178363 +3 *23551:A *23552:B 1.43848e-05 +*RES +1 *23551:Y *23552:B 22.0188 +*END + +*D_NET *4459 0.00017504 +*CONN +*I *23553:B I *D sky130_fd_sc_hd__nand2_1 +*I *23552:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23553:B 8.752e-05 +2 *23552:X 8.752e-05 +*RES +1 *23552:X *23553:B 20.3309 +*END + +*D_NET *4460 0.00102891 +*CONN +*I *23604:A I *D sky130_fd_sc_hd__or2b_1 +*I *23553:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23604:A 0.000283482 +2 *23553:Y 0.000283482 +3 *23604:A *23604:B_N 0.000127164 +4 *23604:A *23605:A 9.12416e-06 +5 *23604:A *5671:152 3.04407e-05 +6 *23553:A *23604:A 1.43983e-05 +7 *566:116 *23604:A 0.000173862 +8 *576:11 *23604:A 0.000106958 +*RES +1 *23553:Y *23604:A 36.1459 +*END + +*D_NET *4461 0.00254155 +*CONN +*I *23596:A I *D sky130_fd_sc_hd__or2_1 +*I *23821:B I *D sky130_fd_sc_hd__or2_1 +*I *23657:B I *D sky130_fd_sc_hd__or2_1 +*I *23554:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23596:A 0.000232615 +2 *23821:B 1.03162e-05 +3 *23657:B 1.51894e-05 +4 *23554:Y 0.000207389 +5 *4461:17 0.000437066 +6 *4461:9 0.000416713 +7 *23596:A *23595:A 6.08467e-05 +8 *23596:A *23596:B 0.000113968 +9 *23596:A *4673:17 0.000118485 +10 *4461:9 *4561:28 5.74984e-05 +11 *23189:B *4461:9 0.00014012 +12 *23628:C1 *23596:A 2.15348e-05 +13 *23628:C1 *23657:B 1.82817e-05 +14 *23628:C1 *4461:9 8.16459e-05 +15 *23628:C1 *4461:17 6.3947e-05 +16 *23657:A *4461:17 6.08467e-05 +17 *23723:B *23821:B 0 +18 *23821:A *23596:A 0.000118485 +19 *3872:12 *4461:9 0.000123405 +20 *3872:20 *4461:9 0.000114456 +21 *4009:116 *4461:9 0 +22 *4374:55 *23596:A 0.000128736 +*RES +1 *23554:Y *4461:9 24.2659 +2 *4461:9 *23657:B 9.82786 +3 *4461:9 *4461:17 2.94181 +4 *4461:17 *23821:B 9.82786 +5 *4461:17 *23596:A 25.2708 +*END + +*D_NET *4462 0.00630774 +*CONN +*I *23595:A I *D sky130_fd_sc_hd__or2_1 +*I *23820:D I *D sky130_fd_sc_hd__or4_1 +*I *23786:B I *D sky130_fd_sc_hd__or3_1 +*I *23555:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23595:A 0.000423101 +2 *23820:D 5.45845e-05 +3 *23786:B 0.000283594 +4 *23555:Y 9.07835e-05 +5 *4462:10 0.000573382 +6 *4462:7 0.000749088 +7 *23595:A *23595:B 0.000107496 +8 *23595:A *4673:17 3.73929e-05 +9 *23595:A *4697:22 0.000914446 +10 *23786:B *23736:C 0.000215332 +11 *23786:B *23787:C 2.47107e-05 +12 *23786:B *4495:26 0.000191104 +13 *23820:D *23820:B 1.95028e-05 +14 *23820:D *4563:23 0.000110513 +15 *4462:7 *23822:D 0.000300565 +16 *4462:7 *4537:5 3.99086e-06 +17 *4462:10 *23736:C 1.55462e-05 +18 *4462:10 *4638:22 4.17751e-05 +19 *4462:10 *4697:22 0.00034165 +20 *23596:A *23595:A 6.08467e-05 +21 *23597:B1 *23595:A 0.00017744 +22 *23632:A *4462:7 0.000193069 +23 *23820:C *23820:D 6.08467e-05 +24 *3852:43 *4462:7 0.000110297 +25 *4374:55 *23595:A 5.51483e-06 +26 *4410:16 *23595:A 0.000664123 +27 *4410:16 *23786:B 7.84457e-06 +28 *4410:16 *4462:10 0.000529204 +*RES +1 *23555:Y *4462:7 17.8002 +2 *4462:7 *4462:10 10.0393 +3 *4462:10 *23786:B 20.484 +4 *4462:10 *23820:D 15.6059 +5 *4462:7 *23595:A 32.9174 +*END + +*D_NET *4463 0.00318487 +*CONN +*I *23734:A I *D sky130_fd_sc_hd__or2_1 +*I *23592:B I *D sky130_fd_sc_hd__or3_1 +*I *23556:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23734:A 0.000267936 +2 *23592:B 0 +3 *23556:X 0.00044175 +4 *4463:15 0.000709686 +5 *23734:A *23658:B 8.62625e-06 +6 *23734:A *4499:7 1.04912e-06 +7 *23734:A *4499:13 0.000149628 +8 *4463:15 *23592:C 7.77744e-05 +9 *4463:15 *23654:C1 1.88014e-05 +10 *4463:15 *4559:11 0.000492473 +11 *23179:B *4463:15 3.99086e-06 +12 *23182:A *4463:15 0.000110306 +13 *23556:A2 *4463:15 0.000162739 +14 *23556:B1 *4463:15 2.36941e-05 +15 *23592:A *23734:A 1.14755e-05 +16 *23654:A2 *4463:15 0.000226497 +17 *23654:A3 *4463:15 4.46209e-05 +18 *4086:26 *4463:15 7.7434e-05 +19 *4089:76 *4463:15 0.000258847 +20 *4450:45 *23734:A 9.75356e-05 +*RES +1 *23556:X *4463:15 24.8022 +2 *4463:15 *23592:B 9.24915 +3 *4463:15 *23734:A 24.0222 +*END + +*D_NET *4464 0.00143674 +*CONN +*I *23570:A I *D sky130_fd_sc_hd__or4_1 +*I *23782:A I *D sky130_fd_sc_hd__or3b_1 +*I *23557:X O *D sky130_fd_sc_hd__a2bb2o_1 +*CAP +1 *23570:A 0.000123298 +2 *23782:A 0 +3 *23557:X 0.000177522 +4 *4464:10 0.00030082 +5 *23570:A *23570:C 6.3657e-05 +6 *23570:A *23570:D 6.08467e-05 +7 *4464:10 *4618:20 2.15656e-05 +8 *4464:10 *5082:72 5.68225e-06 +9 *4464:10 *5082:80 0.000304735 +10 *23557:A2_N *4464:10 7.92757e-06 +11 *23782:B *4464:10 8.37812e-05 +12 *3829:97 *4464:10 0.000186182 +13 *3891:133 *4464:10 5.04829e-06 +14 *3892:23 *23570:A 9.56715e-05 +*RES +1 *23557:X *4464:10 24.9571 +2 *4464:10 *23782:A 9.24915 +3 *4464:10 *23570:A 12.7456 +*END + +*D_NET *4465 0.00186359 +*CONN +*I *23643:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23566:A I *D sky130_fd_sc_hd__or2_1 +*I *23558:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23643:B1 0.000194533 +2 *23566:A 0 +3 *23558:Y 0.000252268 +4 *4465:5 0.000446801 +5 *23643:B1 *4472:11 0.000141444 +6 *23643:B1 *4646:18 0.000140436 +7 *23643:B1 *4649:20 0.000140436 +8 *4465:5 *4472:11 0.000110306 +9 *4465:5 *4473:7 1.19726e-05 +10 *23135:A *4465:5 6.08467e-05 +11 *23135:B *4465:5 3.31745e-05 +12 *23558:A *4465:5 2.65831e-05 +13 *23558:B *4465:5 0.000304791 +*RES +1 *23558:Y *4465:5 16.0732 +2 *4465:5 *23566:A 9.24915 +3 *4465:5 *23643:B1 23.8535 +*END + +*D_NET *4466 0.00350013 +*CONN +*I *23641:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23561:A I *D sky130_fd_sc_hd__or2_1 +*I *23559:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *23641:B1 0.000163236 +2 *23561:A 0 +3 *23559:Y 0.000535356 +4 *4466:11 0.000698592 +5 *23641:B1 *23641:A1 6.08467e-05 +6 *23641:B1 *23641:A2 2.15184e-05 +7 *23641:B1 *5815:68 0.000400321 +8 *4466:11 *4467:5 0.000209388 +9 *4466:11 *4520:12 0.000322601 +10 *4466:11 *4661:27 0.000447014 +11 *4466:11 *5815:68 1.65872e-05 +12 *23559:B1 *4466:11 3.99086e-06 +13 *23559:B2 *4466:11 1.41976e-05 +14 *23560:A2 *4466:11 5.04829e-06 +15 *3829:67 *4466:11 9.56589e-05 +16 *3829:75 *4466:11 7.91353e-05 +17 *3923:8 *4466:11 4.18989e-05 +18 *3923:10 *4466:11 0.000137419 +19 *3923:17 *4466:11 3.31882e-05 +20 *3928:18 *4466:11 0.000171747 +21 *3928:21 *4466:11 4.23858e-05 +*RES +1 *23559:Y *4466:11 37.7284 +2 *4466:11 *23561:A 9.24915 +3 *4466:11 *23641:B1 14.4335 +*END + +*D_NET *4467 0.00375165 +*CONN +*I *23561:B I *D sky130_fd_sc_hd__or2_1 +*I *23637:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23560:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23561:B 0 +2 *23637:B1 0.0010797 +3 *23560:Y 8.94983e-05 +4 *4467:5 0.0011692 +5 *23637:B1 *4496:42 0.000247443 +6 *23637:B1 *5082:99 9.2932e-05 +7 *23637:B1 *5815:68 0.00017744 +8 *23565:A *23637:B1 0.000230919 +9 *3829:206 *23637:B1 0.000124805 +10 *4024:20 *23637:B1 1.66626e-05 +11 *4026:93 *23637:B1 1.66626e-05 +12 *4126:137 *23637:B1 0.000114877 +13 *4138:97 *23637:B1 0.000182116 +14 *4466:11 *4467:5 0.000209388 +*RES +1 *23560:Y *4467:5 11.6364 +2 *4467:5 *23637:B1 33.4782 +3 *4467:5 *23561:B 9.24915 +*END + +*D_NET *4468 0.000804279 +*CONN +*I *23565:B I *D sky130_fd_sc_hd__or4b_1 +*I *23561:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23565:B 0.000198395 +2 *23561:X 0.000198395 +3 *23565:B *23637:A3 5.04829e-06 +4 *23565:B *23644:C 0.000187391 +5 *23565:B *23725:C1 2.23259e-05 +6 *23565:B *4631:20 0.000190973 +7 *23565:B *5082:93 1.75155e-06 +*RES +1 *23561:X *23565:B 32.8239 +*END + +*D_NET *4469 0.0030852 +*CONN +*I *23563:C1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *23562:X O *D sky130_fd_sc_hd__a311o_1 +*CAP +1 *23563:C1 0.000564749 +2 *23562:X 0.000564749 +3 *23124:B1 *23563:C1 0.000129609 +4 *23133:A *23563:C1 0.000224798 +5 *23562:A3 *23563:C1 3.82228e-05 +6 *3842:44 *23563:C1 7.41203e-05 +7 *4032:7 *23563:C1 4.94099e-06 +8 *4032:10 *23563:C1 0.000714203 +9 *4033:8 *23563:C1 0.000769809 +*RES +1 *23562:X *23563:C1 48.4669 +*END + +*D_NET *4470 0.0106199 +*CONN +*I *23565:C I *D sky130_fd_sc_hd__or4b_1 +*I *23563:Y O *D sky130_fd_sc_hd__o2111ai_2 +*CAP +1 *23565:C 0.000582744 +2 *23563:Y 0.000595217 +3 *4470:16 0.00166634 +4 *4470:15 0.00167881 +5 *23565:C *23637:A3 3.30822e-05 +6 *23565:C *4496:31 6.23875e-05 +7 *23565:C *4496:42 0.000109519 +8 *4470:15 *23639:A3 0.000127311 +9 *4470:15 *4544:11 8.38728e-05 +10 *4470:16 *4695:56 2.6244e-05 +11 *4470:16 *4695:68 0.000730314 +12 *23102:A *4470:16 0.000122841 +13 *23107:B *4470:15 0.000164815 +14 *23127:A1 *4470:15 2.77625e-06 +15 *23131:A1 *4470:15 4.04447e-05 +16 *23517:B2 *4470:16 6.76857e-06 +17 *23565:A *23565:C 3.18068e-05 +18 *23639:A2 *4470:15 5.25994e-05 +19 *23741:A *4470:16 0.000659084 +20 *3831:56 *4470:16 4.26698e-05 +21 *3833:34 *4470:15 1.91246e-05 +22 *3833:36 *4470:15 0.000116086 +23 *3848:30 *4470:15 3.5016e-05 +24 *3848:34 *4470:15 0.000144085 +25 *3877:72 *4470:15 0.000161854 +26 *3877:74 *4470:15 0.00022885 +27 *3877:87 *4470:15 5.92342e-05 +28 *3909:40 *4470:16 0.000389317 +29 *3909:51 *4470:16 0.000213401 +30 *4010:12 *4470:15 3.80618e-05 +31 *4010:12 *4470:16 0.00167586 +32 *4024:20 *4470:16 0.00025439 +33 *4026:93 *4470:16 0.000317253 +34 *4138:110 *4470:16 7.14746e-05 +35 *4424:9 *4470:16 7.62699e-05 +*RES +1 *23563:Y *4470:15 39.2092 +2 *4470:15 *4470:16 46.264 +3 *4470:16 *23565:C 22.671 +*END + +*D_NET *4471 0.00709149 +*CONN +*I *23565:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *23725:C1 I *D sky130_fd_sc_hd__o311a_1 +*I *23564:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23565:D_N 0 +2 *23725:C1 0.000529924 +3 *23564:X 0.000747671 +4 *4471:10 0.00127759 +5 *23725:C1 *5082:93 0.00104922 +6 *23725:C1 *5923:20 0.000105699 +7 *4471:10 *23641:A3 0.00017419 +8 *4471:10 *23644:C 0.000122098 +9 *4471:10 *23818:C1 0.00113331 +10 *4471:10 *4544:22 0.000115515 +11 *23118:A *23725:C1 1.4031e-05 +12 *23427:C *4471:10 6.50727e-05 +13 *23516:A1 *4471:10 0.000107496 +14 *23565:B *23725:C1 2.23259e-05 +15 *23640:A *4471:10 1.18194e-05 +16 *23725:B1 *23725:C1 4.80635e-06 +17 *3829:67 *4471:10 0.000181092 +18 *3861:252 *4471:10 5.52373e-05 +19 *3877:106 *4471:10 0.000307503 +20 *3877:120 *4471:10 0.000146721 +21 *3923:17 *23725:C1 0.000920168 +*RES +1 *23564:X *4471:10 46.5586 +2 *4471:10 *23725:C1 40.7585 +3 *4471:10 *23565:D_N 9.24915 +*END + +*D_NET *4472 0.0043947 +*CONN +*I *23566:B I *D sky130_fd_sc_hd__or2_1 +*I *23565:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *23566:B 0 +2 *23565:X 0.000798368 +3 *4472:11 0.000798368 +4 *4472:11 *23637:A3 3.82228e-05 +5 *4472:11 *4473:7 3.99287e-05 +6 *4472:11 *4539:14 0.000101148 +7 *4472:11 *4539:20 0.000862016 +8 *4472:11 *4545:22 0.000639099 +9 *4472:11 *4646:14 8.81052e-05 +10 *4472:11 *4646:18 0.000346998 +11 *23118:A *4472:11 5.46889e-05 +12 *23134:A *4472:11 1.91246e-05 +13 *23643:B1 *4472:11 0.000141444 +14 *2660:52 *4472:11 0.000262245 +15 *4043:20 *4472:11 9.46352e-05 +16 *4465:5 *4472:11 0.000110306 +*RES +1 *23565:X *4472:11 47.9703 +2 *4472:11 *23566:B 9.24915 +*END + +*D_NET *4473 0.00550685 +*CONN +*I *23570:B I *D sky130_fd_sc_hd__or4_1 +*I *23566:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23570:B 0.000899165 +2 *23566:X 0.000298146 +3 *4473:7 0.00119731 +4 *23570:B *23636:A3 0.00011906 +5 *23570:B *23636:B1 0.000496344 +6 *23570:B *23848:A 0.00018109 +7 *23570:B *4540:31 0.000695611 +8 *2660:68 *23570:B 0.000263915 +9 *3833:75 *23570:B 0.000206865 +10 *3842:141 *23570:B 0.000619274 +11 *3891:122 *23570:B 0.000283785 +12 *4001:92 *23570:B 0.000194379 +13 *4465:5 *4473:7 1.19726e-05 +14 *4472:11 *4473:7 3.99287e-05 +*RES +1 *23566:X *4473:7 17.8002 +2 *4473:7 *23570:B 48.3861 +*END + +*D_NET *4474 0.000735195 +*CONN +*I *23568:B I *D sky130_fd_sc_hd__or2_1 +*I *23800:A I *D sky130_fd_sc_hd__or2_1 +*I *23567:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23568:B 0 +2 *23800:A 8.80771e-05 +3 *23567:Y 0.000153068 +4 *4474:7 0.000241145 +5 *23800:A *4701:18 1.65872e-05 +6 *4474:7 *4701:18 0.000114594 +7 *23244:C *23800:A 5.04829e-06 +8 *23567:A *4474:7 6.08467e-05 +9 *23568:A *4474:7 2.57986e-05 +10 *4022:48 *4474:7 1.65872e-05 +11 *4150:9 *23800:A 1.34424e-05 +*RES +1 *23567:Y *4474:7 13.3243 +2 *4474:7 *23800:A 11.0817 +3 *4474:7 *23568:B 9.24915 +*END + +*D_NET *4475 0.006812 +*CONN +*I *23636:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23570:C I *D sky130_fd_sc_hd__or4_1 +*I *23568:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23636:B1 0.000664725 +2 *23570:C 2.96487e-05 +3 *23568:X 0.000695564 +4 *4475:12 0.00138994 +5 *23636:B1 *23646:B1 4.42033e-05 +6 *23636:B1 *23648:A 0 +7 *23636:B1 *23851:D 9.6266e-05 +8 *23636:B1 *4541:22 0.000132116 +9 *23636:B1 *4646:18 0.000214168 +10 *4475:12 *4594:8 7.73687e-05 +11 *4475:12 *4618:20 7.37562e-05 +12 *4475:12 *5592:17 0.000489283 +13 *23231:A *23636:B1 0.000345048 +14 *23570:A *23570:C 6.3657e-05 +15 *23570:B *23636:B1 0.000496344 +16 *3781:101 *23636:B1 0.000347858 +17 *3833:75 *23636:B1 0.000536144 +18 *3833:75 *4475:12 0.000584189 +19 *3842:144 *4475:12 4.04447e-05 +20 *3842:150 *4475:12 0.000254782 +21 *3891:122 *23636:B1 1.3807e-05 +22 *3891:122 *4475:12 0.000110891 +23 *3892:23 *23570:C 0.000111802 +*RES +1 *23568:X *4475:12 41.2635 +2 *4475:12 *23570:C 15.0271 +3 *4475:12 *23636:B1 46.659 +*END + +*D_NET *4476 0.00438813 +*CONN +*I *23724:C I *D sky130_fd_sc_hd__or4b_2 +*I *23570:D I *D sky130_fd_sc_hd__or4_1 +*I *23569:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *23724:C 0 +2 *23570:D 0.000801627 +3 *23569:Y 2.14518e-05 +4 *4476:5 0.000823078 +5 *23570:D *5082:72 0.000642591 +6 *23520:A *23570:D 1.55096e-05 +7 *23569:A1 *4476:5 9.91416e-05 +8 *23569:B2 *4476:5 9.63407e-05 +9 *23570:A *23570:D 6.08467e-05 +10 *23782:B *23570:D 4.65421e-05 +11 *3829:109 *23570:D 0.000104805 +12 *4022:67 *23570:D 0.000634572 +13 *4022:69 *23570:D 8.50356e-05 +14 *4022:73 *23570:D 3.31733e-05 +15 *4048:8 *23570:D 4.77834e-05 +16 *4049:10 *23570:D 0.000822061 +17 *4427:8 *23570:D 5.35706e-05 +*RES +1 *23569:Y *4476:5 10.3945 +2 *4476:5 *23570:D 48.2112 +3 *4476:5 *23724:C 9.24915 +*END + +*D_NET *4477 0.000685611 +*CONN +*I *23572:A I *D sky130_fd_sc_hd__or2_1 +*I *23570:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23572:A 0.000325343 +2 *23570:X 0.000325343 +3 *3892:23 *23572:A 3.49252e-05 +*RES +1 *23570:X *23572:A 23.128 +*END + +*D_NET *4478 0.00942656 +*CONN +*I *23646:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23572:B I *D sky130_fd_sc_hd__or2_1 +*I *23571:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23646:B1 0.0006493 +2 *23572:B 0 +3 *23571:Y 0 +4 *4478:6 0.00168105 +5 *4478:5 0.00103175 +6 *23646:B1 *23636:A1 5.86672e-05 +7 *23646:B1 *23646:A1 6.03397e-05 +8 *23646:B1 *23648:D 1.27831e-06 +9 *23646:B1 *23851:D 6.84784e-06 +10 *23646:B1 *4541:22 0.000615071 +11 *23646:B1 *4702:16 0.000453417 +12 *4478:6 *4541:22 0.000141143 +13 *4478:6 *5082:62 2.14422e-05 +14 *22968:B *4478:6 0.000640218 +15 *23140:B1 *4478:6 2.55536e-05 +16 *23231:A *23646:B1 0.000456209 +17 *23526:C1 *4478:6 6.19054e-05 +18 *23528:B *4478:6 0.000318788 +19 *23573:A2 *4478:6 6.85742e-05 +20 *23573:B2 *4478:6 3.55968e-05 +21 *23636:B1 *23646:B1 4.42033e-05 +22 *23745:A1 *4478:6 0.000109583 +23 *23745:B1 *4478:6 0.000573622 +24 *1808:69 *4478:6 8.09676e-05 +25 *3833:106 *4478:6 7.87636e-05 +26 *3842:247 *4478:6 2.47848e-05 +27 *3891:96 *4478:6 2.70995e-05 +28 *3895:8 *23646:B1 0.000537254 +29 *3895:8 *4478:6 0.000189674 +30 *3895:26 *4478:6 0.000127139 +31 *3980:168 *4478:6 0.000483087 +32 *4021:24 *23646:B1 0.000446924 +33 *4024:100 *4478:6 0.000122784 +34 *4426:10 *23646:B1 0.00014879 +35 *4435:11 *4478:6 0.000104731 +*RES +1 *23571:Y *4478:5 13.7491 +2 *4478:5 *4478:6 38.3742 +3 *4478:6 *23572:B 13.7491 +4 *4478:6 *23646:B1 49.3161 +*END + +*D_NET *4479 0.000783188 +*CONN +*I *23576:A I *D sky130_fd_sc_hd__or4_1 +*I *23572:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23576:A 0.000287018 +2 *23572:X 0.000287018 +3 *23576:A *23576:C 2.16355e-05 +4 *23576:A *23851:D 9.54888e-05 +5 *4430:10 *23576:A 9.20275e-05 +*RES +1 *23572:X *23576:A 33.96 +*END + +*D_NET *4480 0.000919192 +*CONN +*I *23576:B I *D sky130_fd_sc_hd__or4_1 +*I *23851:C I *D sky130_fd_sc_hd__or4_1 +*I *23573:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *23576:B 0.000139036 +2 *23851:C 2.21488e-05 +3 *23573:Y 0.00018272 +4 *4480:7 0.000343905 +5 *23576:B *23576:D 6.87762e-05 +6 *23576:B *4567:16 2.7985e-05 +7 *23573:B2 *4480:7 1.41976e-05 +8 *3891:106 *23576:B 1.40755e-05 +9 *3891:106 *4480:7 6.67956e-05 +10 *3891:122 *4480:7 3.95516e-05 +*RES +1 *23573:Y *4480:7 13.3243 +2 *4480:7 *23851:C 9.82786 +3 *4480:7 *23576:B 21.6914 +*END + +*D_NET *4481 0.0117585 +*CONN +*I *23647:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23576:C I *D sky130_fd_sc_hd__or4_1 +*I *23574:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *23647:B1 0.000710932 +2 *23576:C 0.000137195 +3 *23574:Y 0.000167671 +4 *4481:14 0.0011921 +5 *4481:13 0.00133638 +6 *4481:7 0.00116008 +7 *23576:C *23581:A 0.000107496 +8 *23647:B1 *23647:A1 1.62258e-05 +9 *23647:B1 *23731:D 0.000111972 +10 *23647:B1 *23746:A 0.000160146 +11 *23647:B1 *23746:D_N 0.000913592 +12 *23647:B1 *23801:A2 5.60559e-05 +13 *23647:B1 *4567:127 0 +14 *23647:B1 *4648:29 2.08155e-05 +15 *4481:13 *5681:25 0.000200794 +16 *4481:13 *5682:35 2.04806e-05 +17 *23144:A1 *4481:13 1.5714e-05 +18 *23381:B *23647:B1 1.19058e-05 +19 *23574:A1 *4481:7 0.000268798 +20 *23576:A *23576:C 2.16355e-05 +21 *23853:B1 *4481:13 0.000539442 +22 *1438:83 *4481:14 8.35444e-05 +23 *3002:51 *4481:13 0.000895414 +24 *3833:115 *4481:13 9.25069e-05 +25 *3833:120 *4481:13 0.000226281 +26 *3842:204 *4481:13 8.01597e-05 +27 *3877:148 *4481:13 7.39143e-05 +28 *4001:126 *4481:13 0.000145266 +29 *4001:129 *4481:13 3.55859e-05 +30 *4017:24 *4481:13 0.000454241 +31 *4017:28 *4481:13 6.61573e-05 +32 *4017:34 *4481:13 5.68225e-06 +33 *4017:36 *4481:13 2.29151e-05 +34 *4017:38 *4481:13 9.99386e-06 +35 *4017:40 *4481:13 3.69003e-05 +36 *4017:47 *4481:13 4.15008e-05 +37 *4019:8 *23647:B1 7.09666e-06 +38 *4019:8 *4481:14 0.000193106 +39 *4019:10 *4481:14 0.000447771 +40 *4019:19 *4481:14 9.46238e-05 +41 *4106:175 *4481:13 7.14746e-05 +42 *4352:21 *23647:B1 7.58372e-05 +43 *4415:29 *23647:B1 4.0605e-06 +44 *4415:50 *23647:B1 0.00044268 +45 *4415:50 *4481:13 0.000346783 +46 *4415:50 *4481:14 0.000635515 +*RES +1 *23574:Y *4481:7 16.691 +2 *4481:7 *4481:13 46.0241 +3 *4481:13 *4481:14 14.2896 +4 *4481:14 *23576:C 16.691 +5 *4481:14 *23647:B1 37.5565 +*END + +*D_NET *4482 0.00155722 +*CONN +*I *23576:D I *D sky130_fd_sc_hd__or4_1 +*I *23731:C I *D sky130_fd_sc_hd__or4_1 +*I *23575:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *23576:D 0.000132366 +2 *23731:C 0.000110671 +3 *23575:Y 0.000157888 +4 *4482:6 0.000400925 +5 *23576:D *23731:D 1.27347e-05 +6 *23576:D *4567:16 2.82386e-05 +7 *23576:D *4567:22 1.3023e-05 +8 *23576:D *4748:19 0.000116102 +9 *23731:C *23731:D 6.08467e-05 +10 *23731:C *4748:19 2.65831e-05 +11 *4482:6 *23731:D 6.73739e-06 +12 *4482:6 *4567:22 0.000228396 +13 *22968:B *23731:C 2.97421e-05 +14 *23576:B *23576:D 6.87762e-05 +15 *3891:106 *23731:C 2.16355e-05 +16 *3891:106 *4482:6 0.000127707 +17 *4017:24 *4482:6 1.48503e-05 +*RES +1 *23575:Y *4482:6 18.4879 +2 *4482:6 *23731:C 16.691 +3 *4482:6 *23576:D 18.1459 +*END + +*D_NET *4483 0.00140843 +*CONN +*I *23581:A I *D sky130_fd_sc_hd__or4_1 +*I *23576:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23581:A 0.000377463 +2 *23576:X 0.000377463 +3 *23581:A *23581:C 6.08467e-05 +4 *23581:A *4487:19 0.000156946 +5 *23581:A *4487:36 1.87702e-05 +6 *23581:A *4488:19 5.48823e-05 +7 *23576:C *23581:A 0.000107496 +8 *4018:39 *23581:A 0 +9 *4019:8 *23581:A 0.00025456 +*RES +1 *23576:X *23581:A 28.1436 +*END + +*D_NET *4484 0.00141697 +*CONN +*I *23744:A I *D sky130_fd_sc_hd__or4_1 +*I *23578:B I *D sky130_fd_sc_hd__or2_1 +*I *23577:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23744:A 0.000140792 +2 *23578:B 0 +3 *23577:Y 0.000124087 +4 *4484:8 0.000264879 +5 *23744:A *23744:D 6.08467e-05 +6 *23744:A *4647:8 3.31745e-05 +7 *4484:8 *5682:25 4.45999e-05 +8 *23744:C *23744:A 0.000107496 +9 *4169:50 *23744:A 0.000143032 +10 *4169:50 *4484:8 0.000179271 +11 *4347:12 *23744:A 0.00013592 +12 *4347:12 *4484:8 0.000182869 +*RES +1 *23577:Y *4484:8 18.1049 +2 *4484:8 *23578:B 13.7491 +3 *4484:8 *23744:A 18.7989 +*END + +*D_NET *4485 0.00836258 +*CONN +*I *23649:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23581:B I *D sky130_fd_sc_hd__or4_1 +*I *23578:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23649:B1 0.00086091 +2 *23581:B 0 +3 *23578:X 0.000953821 +4 *4485:10 0.00086091 +5 *4485:8 0.000953821 +6 *23649:B1 *23652:A 1.48605e-05 +7 *23649:B1 *23732:D 6.90264e-05 +8 *23649:B1 *23785:A 1.83738e-05 +9 *23649:B1 *4486:14 6.76836e-05 +10 *23649:B1 *4488:19 0.0006281 +11 *23649:B1 *4556:16 9.12416e-06 +12 *23649:B1 *4634:28 8.15952e-06 +13 *4485:8 *4486:14 0 +14 *4485:8 *4634:13 7.50722e-05 +15 *4485:8 *4647:17 1.71442e-05 +16 *23444:B *23649:B1 0 +17 *23666:A1 *4485:8 8.65628e-05 +18 *2878:44 *23649:B1 0.000432858 +19 *3791:118 *4485:8 9.12137e-05 +20 *3857:78 *23649:B1 5.41227e-05 +21 *3857:84 *23649:B1 0.00108008 +22 *3857:84 *4485:8 2.82537e-05 +23 *3893:26 *4485:8 0.00100259 +24 *4011:22 *4485:8 1.37925e-05 +25 *4012:8 *4485:8 0.000396461 +26 *4012:10 *4485:8 0.00047311 +27 *4022:22 *4485:8 0.000166532 +*RES +1 *23578:X *4485:8 45.7874 +2 *4485:8 *4485:10 4.5 +3 *4485:10 *23581:B 9.24915 +4 *4485:10 *23649:B1 45.9739 +*END + +*D_NET *4486 0.00833464 +*CONN +*I *23785:A I *D sky130_fd_sc_hd__or4_4 +*I *23581:C I *D sky130_fd_sc_hd__or4_1 +*I *23579:X O *D sky130_fd_sc_hd__a32o_1 +*CAP +1 *23785:A 0.000137531 +2 *23581:C 6.88419e-05 +3 *23579:X 0.00039859 +4 *4486:14 0.0014028 +5 *4486:13 0.00159501 +6 *23581:C *4487:19 3.31745e-05 +7 *23581:C *4488:19 0.000154145 +8 *23785:A *23785:B 6.08467e-05 +9 *23785:A *4487:19 4.29588e-05 +10 *23785:A *4488:19 0.000135648 +11 *4486:13 *4676:6 0.000404728 +12 *4486:14 *4487:10 0.000183967 +13 *4486:14 *4487:19 0.00124031 +14 *4486:14 *4676:6 0.00090039 +15 *23444:B *23785:A 7.41203e-05 +16 *23532:C1 *4486:14 6.51527e-05 +17 *23539:A2 *4486:14 3.55859e-05 +18 *23579:A1 *4486:13 3.99086e-06 +19 *23581:A *23581:C 6.08467e-05 +20 *23649:B1 *23785:A 1.83738e-05 +21 *23649:B1 *4486:14 6.76836e-05 +22 *1744:144 *4486:14 0 +23 *2784:42 *4486:13 0.000133334 +24 *2878:44 *4486:14 0.000117093 +25 *3857:84 *4486:14 5.64929e-05 +26 *3886:8 *4486:14 7.13041e-05 +27 *3888:24 *4486:13 0.000110306 +28 *3893:26 *4486:14 0.000198886 +29 *4012:8 *4486:14 6.05293e-05 +30 *4012:10 *4486:14 1.27419e-05 +31 *4012:12 *4486:13 5.46889e-05 +32 *4012:14 *4486:13 0.000188904 +33 *4012:20 *4486:13 0.000186445 +34 *4415:72 *4486:14 5.92192e-05 +35 *4485:8 *4486:14 0 +*RES +1 *23579:X *4486:13 30.3753 +2 *4486:13 *4486:14 41.4886 +3 *4486:14 *23581:C 16.1605 +4 *4486:14 *23785:A 18.6983 +*END + +*D_NET *4487 0.0114271 +*CONN +*I *23650:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23581:D I *D sky130_fd_sc_hd__or4_1 +*I *23580:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23650:B1 5.63226e-05 +2 *23581:D 0 +3 *23580:X 0.000789924 +4 *4487:36 0.0010764 +5 *4487:19 0.00185868 +6 *4487:10 0.00162852 +7 *23650:B1 *4538:61 4.76988e-05 +8 *4487:10 *23668:B 1.91391e-05 +9 *4487:10 *23670:C 4.2266e-05 +10 *4487:10 *23803:C 0.000145232 +11 *4487:10 *5682:25 0.000183429 +12 *4487:19 *23670:C 6.84784e-06 +13 *4487:19 *4514:47 0.000156013 +14 *4487:36 *23649:A2 0.000114426 +15 *4487:36 *23649:A3 9.38347e-05 +16 *4487:36 *23746:D_N 1.86389e-05 +17 *4487:36 *23785:C 0.000266488 +18 *4487:36 *4634:28 3.34471e-05 +19 *4487:36 *4648:29 6.56617e-05 +20 *4487:36 *5904:130 0.000296903 +21 *23099:A *4487:36 0.000376997 +22 *23108:A *4487:36 0.000111821 +23 *23444:B *4487:19 0.000106406 +24 *23532:C1 *4487:10 1.73636e-05 +25 *23581:A *4487:19 0.000156946 +26 *23581:A *4487:36 1.87702e-05 +27 *23581:C *4487:19 3.31745e-05 +28 *23668:A *4487:19 0.000349204 +29 *23785:A *4487:19 4.29588e-05 +30 *1744:144 *4487:10 6.87762e-05 +31 *2660:80 *4487:36 0.000294093 +32 *2838:64 *23650:B1 0.000107496 +33 *3888:11 *4487:10 0.000198692 +34 *3980:134 *4487:19 1.35043e-05 +35 *3980:147 *4487:19 2.58035e-05 +36 *4017:9 *4487:36 7.50872e-05 +37 *4018:39 *4487:36 0.000395482 +38 *4326:160 *4487:36 0.000170698 +39 *4348:8 *4487:19 8.16247e-05 +40 *4415:29 *4487:36 0.000458066 +41 *4486:14 *4487:10 0.000183967 +42 *4486:14 *4487:19 0.00124031 +*RES +1 *23580:X *4487:10 32.9719 +2 *4487:10 *4487:19 33.7104 +3 *4487:19 *23581:D 9.24915 +4 *4487:19 *4487:36 46.3286 +5 *4487:36 *23650:B1 15.7807 +*END + +*D_NET *4488 0.00313673 +*CONN +*I *23583:A I *D sky130_fd_sc_hd__or2_1 +*I *23581:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23583:A 0 +2 *23581:X 0.000670528 +3 *4488:19 0.000670528 +4 *4488:19 *4528:17 1.6234e-05 +5 *4488:19 *4634:13 8.32086e-05 +6 *4488:19 *5454:15 6.00856e-05 +7 *23099:A *4488:19 6.50727e-05 +8 *23444:B *4488:19 8.14934e-05 +9 *23581:A *4488:19 5.48823e-05 +10 *23581:C *4488:19 0.000154145 +11 *23649:B1 *4488:19 0.0006281 +12 *23785:A *4488:19 0.000135648 +13 *1690:95 *4488:19 0.000404547 +14 *4008:13 *4488:19 7.13972e-05 +15 *4022:22 *4488:19 4.0863e-05 +*RES +1 *23581:X *4488:19 43.2221 +2 *4488:19 *23583:A 9.24915 +*END + +*D_NET *4489 0.0022417 +*CONN +*I *23732:C I *D sky130_fd_sc_hd__or4_1 +*I *23583:B I *D sky130_fd_sc_hd__or2_1 +*I *23582:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *23732:C 0.000205462 +2 *23583:B 0 +3 *23582:Y 0.000226218 +4 *4489:8 0.00043168 +5 *23582:A2 *4489:8 6.08467e-05 +6 *23582:B1 *4489:8 0.000111722 +7 *3980:126 *23732:C 0.000135467 +8 *3980:134 *23732:C 0.000255443 +9 *3980:134 *4489:8 0.000208462 +10 *4008:13 *4489:8 1.41689e-05 +11 *4070:36 *23732:C 0.000380185 +12 *4070:36 *4489:8 0.000212045 +*RES +1 *23582:Y *4489:8 20.0446 +2 *4489:8 *23583:B 13.7491 +3 *4489:8 *23732:C 21.3947 +*END + +*D_NET *4490 0.00565134 +*CONN +*I *23587:A I *D sky130_fd_sc_hd__or3_1 +*I *23583:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23587:A 0.00037344 +2 *23583:X 0.000941962 +3 *4490:8 0.0013154 +4 *23587:A *23587:C 6.50586e-05 +5 *23587:A *23816:B 3.58208e-05 +6 *23587:A *4557:12 0.000151672 +7 *4490:8 *23813:B 7.50722e-05 +8 *4490:8 *4557:10 0.000994921 +9 *4490:8 *4557:12 5.4729e-06 +10 *4490:8 *6001:38 7.6287e-06 +11 *23444:D *4490:8 0.00029796 +12 *23810:C *4490:8 1.91406e-05 +13 *3872:164 *4490:8 0.000509024 +14 *3879:50 *4490:8 0.000145584 +15 *3879:59 *4490:8 0.000134092 +16 *3879:71 *4490:8 0.000362653 +17 *3980:124 *23587:A 4.47777e-05 +18 *3980:124 *4490:8 1.70868e-05 +19 *3999:35 *23587:A 7.75401e-05 +20 *4009:72 *4490:8 7.70344e-05 +*RES +1 *23583:X *4490:8 45.5892 +2 *4490:8 *23587:A 23.5984 +*END + +*D_NET *4491 0.0105689 +*CONN +*I *23585:B I *D sky130_fd_sc_hd__or2_1 +*I *23747:A I *D sky130_fd_sc_hd__or4_2 +*I *23584:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23585:B 0.000783651 +2 *23747:A 0 +3 *23584:Y 0.000347709 +4 *4491:28 0.00170737 +5 *4491:8 0.00127143 +6 *23585:B *23781:A 0.000154145 +7 *23585:B *4693:33 8.20942e-05 +8 *4491:8 *5671:100 0.000114679 +9 *4491:28 *4575:19 0.000682375 +10 *4491:28 *4650:6 8.21849e-06 +11 *4491:28 *5456:41 0.000110297 +12 *4491:28 *5671:100 0.000234666 +13 *23161:A *4491:8 7.92757e-06 +14 *23161:B *4491:8 0.000347214 +15 *23163:A *4491:8 6.87503e-05 +16 *23382:B *4491:28 0.000205178 +17 *23443:A *23585:B 6.08467e-05 +18 *23489:B *23585:B 0.000163997 +19 *23489:B *4491:28 0.000832532 +20 *23489:D *23585:B 5.16944e-05 +21 *23538:A *4491:28 5.3569e-05 +22 *23539:C1 *4491:8 8.62625e-06 +23 *23539:C1 *4491:28 0.000131876 +24 *23585:A *23585:B 4.66492e-05 +25 *23832:B *23585:B 1.65872e-05 +26 *23832:C *23585:B 0.000108497 +27 *1521:61 *4491:28 0.000301659 +28 *3781:83 *23585:B 0.000807172 +29 *3791:108 *23585:B 0.00146501 +30 *4009:47 *4491:28 2.70439e-05 +31 *4393:8 *4491:28 0.000349942 +32 *4444:6 *4491:8 1.75293e-05 +*RES +1 *23584:Y *4491:8 20.602 +2 *4491:8 *23747:A 13.7491 +3 *4491:8 *4491:28 43.9623 +4 *4491:28 *23585:B 43.9899 +*END + +*D_NET *4492 0.00661677 +*CONN +*I *23587:B I *D sky130_fd_sc_hd__or3_1 +*I *23651:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23585:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23587:B 0.000392708 +2 *23651:B1 0.000754047 +3 *23585:X 0.000343387 +4 *4492:8 0.00149014 +5 *23587:B *4715:9 0.000387391 +6 *23587:B *5671:106 2.44897e-05 +7 *23651:B1 *23651:A2 3.41459e-05 +8 *23651:B1 *23816:A 2.16355e-05 +9 *23651:B1 *23819:B 0.00120491 +10 *23651:B1 *23858:A3 2.57847e-05 +11 *23651:B1 *4550:53 7.40684e-06 +12 *23651:B1 *4664:33 0.000296086 +13 *4492:8 *4713:14 0.000110297 +14 *4492:8 *5671:106 1.77302e-05 +15 *23832:B *4492:8 1.88014e-05 +16 *3781:68 *23587:B 3.38973e-05 +17 *3791:106 *4492:8 9.65878e-06 +18 *3791:108 *4492:8 0.000524743 +19 *3980:124 *23651:B1 3.63738e-05 +20 *4001:75 *23651:B1 8.09106e-06 +21 *4190:15 *23587:B 0.000114455 +22 *4190:15 *4492:8 0.000618388 +23 *4228:45 *23651:B1 3.74433e-05 +24 *4396:23 *23651:B1 0.000104754 +*RES +1 *23585:X *4492:8 26.7569 +2 *4492:8 *23651:B1 24.3919 +3 *4492:8 *23587:B 20.8096 +*END + +*D_NET *4493 0.00148219 +*CONN +*I *23815:A I *D sky130_fd_sc_hd__or3b_1 +*I *23587:C I *D sky130_fd_sc_hd__or3_1 +*I *23586:Y O *D sky130_fd_sc_hd__o22ai_1 +*CAP +1 *23815:A 0.000139023 +2 *23587:C 9.42205e-05 +3 *23586:Y 0.000123436 +4 *4493:7 0.000356679 +5 *23587:C *23816:B 6.08467e-05 +6 *23587:C *4557:12 0.000121676 +7 *23587:C *4686:33 0.000100748 +8 *23815:A *4557:12 0.000227105 +9 *23815:A *4686:33 0.000106797 +10 *23586:A1 *4493:7 1.5121e-05 +11 *23586:A2 *4493:7 6.3657e-05 +12 *23587:A *23587:C 6.50586e-05 +13 *3999:35 *23587:C 7.82637e-06 +*RES +1 *23586:Y *4493:7 15.5817 +2 *4493:7 *23587:C 17.135 +3 *4493:7 *23815:A 18.0727 +*END + +*D_NET *4494 0.0116414 +*CONN +*I *23591:A I *D sky130_fd_sc_hd__or2_1 +*I *23587:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23591:A 0.000605238 +2 *23587:X 0.000648437 +3 *4494:18 0.0019144 +4 *4494:13 0.00195759 +5 *23591:A *23797:B 8.01837e-05 +6 *23591:A *4580:9 0.000135699 +7 *23591:A *4693:35 0.000221185 +8 *23591:A *5458:56 0.000118219 +9 *4494:13 *23819:B 3.31745e-05 +10 *4494:13 *4650:6 0.000120985 +11 *4494:13 *4665:37 0.000115874 +12 *4494:13 *4715:9 9.02336e-05 +13 *4494:18 *23676:B 0.000460979 +14 *4494:18 *23676:D 5.41227e-05 +15 *4494:18 *23750:B 0 +16 *4494:18 *4538:51 4.60375e-07 +17 *4494:18 *4665:37 0.000460821 +18 *4494:18 *4693:35 0.000199723 +19 *23166:A2 *4494:18 9.14092e-05 +20 *23174:B *23591:A 6.08467e-05 +21 *23284:B *4494:18 0.000207394 +22 *23509:A *4494:18 7.83506e-06 +23 *23542:C *4494:18 0.000861036 +24 *23832:A *4494:18 0.00043186 +25 *3791:66 *23591:A 8.72414e-05 +26 *3791:81 *23591:A 9.91586e-05 +27 *3791:81 *4494:18 0.000478226 +28 *3791:106 *4494:13 0.00021944 +29 *3999:124 *4494:18 9.67131e-06 +30 *4081:11 *23591:A 0.000321482 +31 *4124:35 *4494:18 0.000115508 +32 *4136:36 *4494:18 5.6706e-05 +33 *4136:40 *4494:18 0.000142956 +34 *4137:54 *23591:A 0.000455583 +35 *4297:9 *4494:13 0.00025175 +36 *4412:11 *4494:18 6.77137e-05 +37 *4416:10 *4494:18 9.60366e-05 +38 *4450:45 *23591:A 0.000362186 +*RES +1 *23587:X *4494:13 34.2076 +2 *4494:13 *4494:18 49.6593 +3 *4494:18 *23591:A 37.4736 +*END + +*D_NET *4495 0.0348101 +*CONN +*I *23590:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23651:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23588:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23590:A1 0.000820363 +2 *23651:A1 0.000239076 +3 *23588:Y 9.13701e-05 +4 *4495:48 0.00336895 +5 *4495:26 0.00689252 +6 *4495:13 0.0046362 +7 *4495:7 0.00178529 +8 *23590:A1 *4862:11 0.000172474 +9 *4495:13 *22094:A1 0 +10 *4495:13 *5918:20 0.0019251 +11 *4495:26 *23736:C 0.000110158 +12 *4495:26 *4654:16 8.11686e-05 +13 *4495:26 *4673:17 0.000118939 +14 *4495:26 *4697:22 0.000300411 +15 *4495:48 *23750:C 0.000167396 +16 *4495:48 *23750:D 0.000197046 +17 *4495:48 *23806:B 9.48082e-05 +18 *4495:48 *23858:A3 0.000172334 +19 *4495:48 *4529:25 0.000262424 +20 *4495:48 *4619:26 9.76247e-05 +21 *4495:48 *4654:16 0.000152941 +22 *4495:48 *4684:47 0.000134056 +23 *4495:48 *5671:136 1.91391e-05 +24 *22965:A *4495:48 1.9101e-05 +25 *23067:A *4495:7 7.92757e-06 +26 *23067:C *4495:7 5.481e-05 +27 *23166:A1 *4495:48 8.69578e-05 +28 *23173:B *23590:A1 0.000495774 +29 *23209:A *4495:13 0.000137936 +30 *23393:C *4495:13 0.000169108 +31 *23545:A *4495:48 8.89094e-05 +32 *23749:A *4495:48 0.000115874 +33 *23749:B *4495:48 3.71878e-05 +34 *23786:B *4495:26 0.000191104 +35 *23804:B *4495:48 0.000213492 +36 *23805:A *4495:48 0.000188641 +37 *566:136 *4495:48 0 +38 *1809:115 *4495:26 6.22732e-06 +39 *3784:34 *4495:13 0.00102179 +40 *3791:81 *4495:48 0 +41 *3842:92 *4495:48 0.000356842 +42 *3842:99 *4495:48 0.000328315 +43 *3842:105 *4495:48 3.86927e-05 +44 *3847:29 *4495:13 1.31067e-05 +45 *3868:19 *4495:7 0.000200794 +46 *3868:33 *4495:7 6.08467e-05 +47 *3872:73 *4495:48 0.000785591 +48 *3872:87 *23651:A1 9.5562e-05 +49 *3872:104 *4495:48 2.33103e-06 +50 *3888:49 *4495:48 0.00196744 +51 *3980:124 *23651:A1 0.000122083 +52 *3996:26 *4495:13 0.000699531 +53 *4009:115 *4495:26 3.17436e-05 +54 *4031:39 *4495:13 5.43666e-05 +55 *4077:5 *23590:A1 0.000107496 +56 *4080:24 *23590:A1 0.000453412 +57 *4117:8 *4495:13 0.000156325 +58 *4193:14 *4495:48 0.000157586 +59 *4228:45 *23651:A1 0.000125695 +60 *4301:40 *4495:26 0.000506518 +61 *4301:50 *4495:26 8.01e-06 +62 *4302:8 *4495:13 0.00219335 +63 *4308:29 *4495:13 9.73667e-06 +64 *4323:10 *4495:13 2.53624e-06 +65 *4355:32 *4495:13 1.72799e-05 +66 *4396:35 *4495:13 0.000104469 +67 *4397:21 *4495:26 2.40249e-06 +68 *4410:16 *4495:26 0.000386419 +69 *4410:18 *4495:26 0.00100631 +70 *4410:18 *4495:48 0.000140647 +*RES +1 *23588:Y *4495:7 16.691 +2 *4495:7 *4495:13 48.7533 +3 *4495:13 *4495:26 33.5659 +4 *4495:26 *4495:48 46.8947 +5 *4495:48 *23651:A1 27.9382 +6 *4495:26 *23590:A1 32.4369 +*END + +*D_NET *4496 0.0197613 +*CONN +*I *23590:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23647:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23637:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23589:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23590:A2 0.000333136 +2 *23647:A1 0.000774343 +3 *23637:A1 0 +4 *23589:Y 0 +5 *4496:42 0.00187511 +6 *4496:31 0.00147798 +7 *4496:26 0.00141512 +8 *4496:4 0.00137105 +9 *23590:A2 *4862:11 0.000147713 +10 *23647:A1 *23646:A1 0.000252911 +11 *23647:A1 *23647:A2 0 +12 *23647:A1 *23650:A3 0.000116854 +13 *23647:A1 *23652:A 0.000325662 +14 *23647:A1 *23746:A 0.000114104 +15 *23647:A1 *23801:A2 0.000223867 +16 *23647:A1 *23852:B 0.000265937 +17 *23647:A1 *4550:67 0.000361371 +18 *23647:A1 *4742:8 2.57309e-06 +19 *4496:26 *23665:C1 0.000743206 +20 *4496:26 *23740:A2 1.5714e-05 +21 *4496:26 *4569:14 0.00037263 +22 *4496:26 *4649:24 2.2766e-06 +23 *4496:26 *4871:11 7.42178e-06 +24 *4496:31 *23637:A3 6.36477e-05 +25 *4496:31 *23665:C1 2.60978e-05 +26 *4496:31 *4643:17 0 +27 *4496:31 *4649:24 5.91182e-05 +28 *4496:31 *4717:25 0.000310079 +29 *4496:31 *5082:99 0.00013434 +30 *4496:42 *23733:B 0.00027362 +31 *4496:42 *23742:B 0.00028493 +32 *4496:42 *23828:A2 0.000137755 +33 *4496:42 *4566:8 0.000167158 +34 *4496:42 *4567:127 0.000676043 +35 *4496:42 *4644:8 0.000330066 +36 *4496:42 *4717:26 7.02358e-06 +37 *4496:42 *5082:99 2.3527e-05 +38 *23107:A *23590:A2 3.81056e-05 +39 *23107:B *23590:A2 0.000353672 +40 *23107:B *4496:26 7.98214e-05 +41 *23167:A *23590:A2 0.000200794 +42 *23168:A *23590:A2 0.000352136 +43 *23507:B *23647:A1 8.25015e-05 +44 *23515:A1 *4496:26 0.000146607 +45 *23516:A2 *4496:26 5.80859e-05 +46 *23516:B1 *4496:26 0.000195124 +47 *23517:A1 *4496:31 1.5714e-05 +48 *23517:C1 *4496:26 2.97883e-05 +49 *23517:C1 *4496:31 5.57012e-05 +50 *23565:C *4496:31 6.23875e-05 +51 *23565:C *4496:42 0.000109519 +52 *23637:B1 *4496:42 0.000247443 +53 *23647:B1 *23647:A1 1.62258e-05 +54 *23665:A1 *4496:31 0.000541056 +55 *23739:C1 *4496:26 6.71082e-05 +56 *23741:A *4496:42 0.000170592 +57 *23828:B1 *4496:42 3.67452e-05 +58 *657:171 *23647:A1 4.99743e-05 +59 *3829:206 *4496:42 2.02035e-05 +60 *3833:207 *4496:31 0.000257733 +61 *3874:40 *4496:26 0.000123895 +62 *3877:87 *4496:26 3.89332e-06 +63 *3891:190 *4496:26 0.000333596 +64 *3980:93 *23590:A2 0.00133692 +65 *3980:93 *4496:26 0.000118451 +66 *4007:8 *23647:A1 3.809e-06 +67 *4024:20 *4496:42 0.000572532 +68 *4024:27 *4496:42 0.000360103 +69 *4026:72 *4496:26 0.000342078 +70 *4042:19 *4496:26 0 +71 *4077:5 *23590:A2 6.22703e-05 +72 *4147:13 *4496:26 0.000303937 +73 *4415:146 *4496:42 0.00031715 +74 *4422:8 *4496:26 3.26582e-06 +*RES +1 *23589:Y *4496:4 9.24915 +2 *4496:4 *4496:26 45.4233 +3 *4496:26 *4496:31 20.6222 +4 *4496:31 *23637:A1 9.24915 +5 *4496:31 *4496:42 49.4883 +6 *4496:42 *23647:A1 40.312 +7 *4496:4 *23590:A2 26.6106 +*END + +*D_NET *4497 0.00271218 +*CONN +*I *23654:C1 I *D sky130_fd_sc_hd__a311o_1 +*I *23591:B I *D sky130_fd_sc_hd__or2_1 +*I *23590:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23654:C1 0.000165538 +2 *23591:B 0 +3 *23590:X 0.000381803 +4 *4497:8 0.000547341 +5 *23654:C1 *23654:B1 0.000139291 +6 *23654:C1 *23675:A 2.15861e-05 +7 *23654:C1 *4620:41 0.00040157 +8 *4497:8 *4620:41 9.60366e-05 +9 *4497:8 *4862:11 0.000501366 +10 *23179:B *23654:C1 1.65872e-05 +11 *23654:A2 *23654:C1 6.3657e-05 +12 *3958:20 *23654:C1 0.000262567 +13 *3958:20 *4497:8 9.60366e-05 +14 *4463:15 *23654:C1 1.88014e-05 +*RES +1 *23590:X *4497:8 21.296 +2 *4497:8 *23591:B 13.7491 +3 *4497:8 *23654:C1 22.2574 +*END + +*D_NET *4498 0.00116038 +*CONN +*I *23592:C I *D sky130_fd_sc_hd__or3_1 +*I *23591:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23592:C 0.000233802 +2 *23591:X 0.000233802 +3 *23179:A *23592:C 0.000271311 +4 *3831:50 *23592:C 2.5386e-05 +5 *4199:8 *23592:C 0.000318306 +6 *4463:15 *23592:C 7.77744e-05 +*RES +1 *23591:X *23592:C 35.87 +*END + +*D_NET *4499 0.00556661 +*CONN +*I *23594:A I *D sky130_fd_sc_hd__or2_1 +*I *23592:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23594:A 0 +2 *23592:X 5.30806e-05 +3 *4499:13 0.00103698 +4 *4499:7 0.00109006 +5 *4499:13 *23594:B 1.61631e-05 +6 *4499:13 *23658:B 7.52031e-05 +7 *4499:13 *23721:A 0.000694559 +8 *4499:13 *4512:15 0.000190987 +9 *4499:13 *4563:23 7.3367e-05 +10 *23190:A *4499:13 6.50586e-05 +11 *23503:B *4499:13 0.000100995 +12 *23734:A *4499:7 1.04912e-06 +13 *23734:A *4499:13 0.000149628 +14 *23735:B1 *4499:13 0.000243633 +15 *23820:C *4499:13 2.33193e-05 +16 *4014:23 *4499:13 1.67988e-05 +17 *4097:16 *4499:13 0.000190221 +18 *4097:23 *4499:13 0.00104452 +19 *4097:42 *4499:13 1.9101e-05 +20 *4120:17 *4499:13 0.000362595 +21 *4120:34 *4499:13 0 +22 *4136:10 *4499:13 5.72636e-05 +23 *4450:45 *4499:13 6.2018e-05 +*RES +1 *23592:X *4499:7 14.4725 +2 *4499:7 *4499:13 46.3919 +3 *4499:13 *23594:A 9.24915 +*END + +*D_NET *4500 0.0124433 +*CONN +*I *23838:C I *D sky130_fd_sc_hd__or4_1 +*I *23796:C I *D sky130_fd_sc_hd__or4_4 +*I *23764:D I *D sky130_fd_sc_hd__nor4_1 +*I *23656:B I *D sky130_fd_sc_hd__or3b_2 +*I *23594:B I *D sky130_fd_sc_hd__or2_1 +*I *23593:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23838:C 0.00047441 +2 *23796:C 5.11255e-05 +3 *23764:D 0 +4 *23656:B 0.000114467 +5 *23594:B 0.000131137 +6 *23593:X 6.79149e-05 +7 *4500:45 0.000868998 +8 *4500:35 0.001081 +9 *4500:16 0.00150901 +10 *4500:14 0.00165553 +11 *4500:7 0.000968654 +12 *23796:C *23796:D 2.91559e-06 +13 *23796:C *4607:15 5.601e-06 +14 *23838:C *23799:A 0 +15 *23838:C *23839:C 7.34948e-06 +16 *23838:C *4607:15 9.80242e-07 +17 *4500:16 *5920:22 0.000125458 +18 *4500:35 *23764:C 2.57071e-05 +19 *4500:45 *23764:C 0.000205785 +20 *4500:45 *4607:15 4.18358e-06 +21 *22914:A *4500:7 0 +22 *23055:A *4500:16 0.000148144 +23 *23072:B *4500:35 8.50941e-05 +24 *23186:A *4500:7 3.01683e-06 +25 *23186:A *4500:14 7.14746e-05 +26 *23186:A *4500:35 6.3657e-05 +27 *23186:B *4500:7 1.43848e-05 +28 *23186:B *4500:35 2.41274e-06 +29 *23187:B1_N *4500:35 1.76147e-05 +30 *23188:A *4500:35 2.65667e-05 +31 *23293:B1_N *4500:16 0.000122083 +32 *23404:A *4500:35 0 +33 *23404:B *4500:35 0.000148144 +34 *23628:A2 *4500:16 0.000566733 +35 *23656:A *4500:14 5.41377e-05 +36 *23656:A *4500:16 9.24241e-05 +37 *23799:B *23838:C 1.09551e-05 +38 *23838:A *23838:C 0.000239004 +39 *3835:27 *4500:16 5.41377e-05 +40 *3843:8 *4500:16 0.000167921 +41 *3849:66 *4500:16 7.50872e-05 +42 *3868:52 *4500:16 7.48886e-05 +43 *3976:17 *23656:B 0.000154145 +44 *4014:23 *23594:B 0.000298318 +45 *4091:8 *4500:14 7.36804e-06 +46 *4091:8 *4500:16 0.000986398 +47 *4106:24 *4500:35 0.000155756 +48 *4106:24 *4500:45 0.000216185 +49 *4106:29 *4500:14 1.27831e-06 +50 *4106:29 *4500:35 0.000500059 +51 *4120:83 *4500:35 4.10961e-05 +52 *4199:8 *4500:16 0.000407808 +53 *4228:69 *23796:C 4.80635e-06 +54 *4228:69 *23838:C 2.16355e-05 +55 *4397:22 *4500:14 5.18174e-05 +56 *4397:22 *4500:16 0.000242375 +57 *4403:7 *23796:C 0 +58 *4499:13 *23594:B 1.61631e-05 +*RES +1 *23593:X *4500:7 10.5513 +2 *4500:7 *4500:14 8.89679 +3 *4500:14 *4500:16 49.1707 +4 *4500:16 *23594:B 17.2456 +5 *4500:14 *23656:B 15.5817 +6 *4500:7 *4500:35 25.4686 +7 *4500:35 *23764:D 13.7491 +8 *4500:35 *4500:45 14.6693 +9 *4500:45 *23796:C 10.6296 +10 *4500:45 *23838:C 19.6902 +*END + +*D_NET *4501 0.00117993 +*CONN +*I *23595:B I *D sky130_fd_sc_hd__or2_1 +*I *23594:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23595:B 0.000179573 +2 *23594:X 0.000179573 +3 *23190:A *23595:B 5.46803e-05 +4 *23595:A *23595:B 0.000107496 +5 *23657:A *23595:B 1.65872e-05 +6 *3835:27 *23595:B 6.08467e-05 +7 *4098:7 *23595:B 0.000123134 +8 *4374:55 *23595:B 5.23312e-05 +9 *4374:59 *23595:B 0.000405712 +*RES +1 *23594:X *23595:B 25.9252 +*END + +*D_NET *4502 0.000207814 +*CONN +*I *23596:B I *D sky130_fd_sc_hd__or2_1 +*I *23595:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23596:B 4.6923e-05 +2 *23595:X 4.6923e-05 +3 *23596:A *23596:B 0.000113968 +*RES +1 *23595:X *23596:B 19.7763 +*END + +*D_NET *4503 0.00106854 +*CONN +*I *23598:A I *D sky130_fd_sc_hd__or2_1 +*I *23596:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23598:A 0.00021759 +2 *23596:X 0.00021759 +3 *23598:A *4504:6 3.74738e-05 +4 *23414:A *23598:A 0.000122936 +5 *3830:17 *23598:A 0.000192395 +6 *4212:40 *23598:A 4.06401e-05 +7 *4322:8 *23598:A 7.50872e-05 +8 *4374:55 *23598:A 0.000164829 +*RES +1 *23596:X *23598:A 34.4849 +*END + +*D_NET *4504 0.0043697 +*CONN +*I *23598:B I *D sky130_fd_sc_hd__or2_1 +*I *23790:B I *D sky130_fd_sc_hd__or4_2 +*I *23823:A I *D sky130_fd_sc_hd__or4_1 +*I *23737:A I *D sky130_fd_sc_hd__nor4_1 +*I *23597:Y O *D sky130_fd_sc_hd__o22ai_4 +*CAP +1 *23598:B 7.11771e-05 +2 *23790:B 0 +3 *23823:A 2.52021e-05 +4 *23737:A 9.92021e-05 +5 *23597:Y 0 +6 *4504:8 0.00055527 +7 *4504:6 0.000783095 +8 *4504:5 0.000423407 +9 *23737:A *23824:C 9.70894e-05 +10 *23737:A *4535:16 9.34769e-05 +11 *23737:A *4582:17 1.90335e-05 +12 *23823:A *4563:23 0.000115934 +13 *4504:6 *23790:C 3.34802e-05 +14 *4504:8 *23707:A2 0 +15 *4504:8 *23771:C1 6.14273e-05 +16 *4504:8 *23790:C 2.57071e-05 +17 *4504:8 *4582:17 1.41761e-05 +18 *4504:8 *4584:6 0 +19 *4504:8 *4691:11 0 +20 *23453:B *4504:6 0.000205332 +21 *23598:A *4504:6 3.74738e-05 +22 *23723:B *23598:B 7.45404e-05 +23 *23723:B *4504:6 0.00028913 +24 *23771:A1 *23737:A 1.37925e-05 +25 *23771:A1 *4504:8 5.56367e-05 +26 *23789:B *4504:6 0.000445984 +27 *23790:A *4504:6 5.69718e-05 +28 *23790:A *4504:8 8.92568e-06 +29 *3830:17 *23598:B 0.000195978 +30 *3830:17 *4504:6 1.07248e-05 +31 *4322:8 *4504:6 6.01266e-05 +32 *4322:10 *4504:6 9.562e-06 +33 *4363:14 *23598:B 4.44045e-05 +34 *4363:14 *4504:6 3.53726e-05 +35 *4363:14 *4504:8 0.000113967 +36 *4363:19 *23823:A 4.31539e-05 +37 *4363:19 *4504:8 0.000250948 +*RES +1 *23597:Y *4504:5 13.7491 +2 *4504:5 *4504:6 15.1201 +3 *4504:6 *4504:8 14.7048 +4 *4504:8 *23737:A 17.1444 +5 *4504:8 *23823:A 15.0271 +6 *4504:6 *23790:B 13.7491 +7 *4504:5 *23598:B 17.2421 +*END + +*D_NET *4505 0.00107903 +*CONN +*I *23600:A I *D sky130_fd_sc_hd__or2_1 +*I *23598:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23600:A 0.000426138 +2 *23598:X 0.000426138 +3 *23061:A *23600:A 0.000160617 +4 *3788:45 *23600:A 6.61347e-05 +*RES +1 *23598:X *23600:A 27.5649 +*END + +*D_NET *4506 0.00649531 +*CONN +*I *23600:B I *D sky130_fd_sc_hd__or2_1 +*I *23722:A I *D sky130_fd_sc_hd__or2_1 +*I *23659:B I *D sky130_fd_sc_hd__or4b_1 +*I *23599:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23600:B 0.000212131 +2 *23722:A 7.86098e-05 +3 *23659:B 0.000198334 +4 *23599:X 7.98254e-05 +5 *4506:12 0.00076643 +6 *4506:5 0.000781442 +7 *23600:B *5458:65 9.83892e-05 +8 *23659:B *23659:A 2.16355e-05 +9 *23659:B *23660:A 0.000107496 +10 *23659:B *4585:17 0.000148129 +11 *23659:B *4656:8 0.000144531 +12 *23722:A *23707:A2 1.96456e-05 +13 *23722:A *23722:B 5.04829e-06 +14 *4506:12 *4585:17 0.000158353 +15 *4506:12 *4611:12 0.0012607 +16 *4506:12 *4656:8 0.000325962 +17 *4506:12 *5801:92 1.3807e-05 +18 *4506:12 *5925:17 0.000217113 +19 *23659:C *23659:B 1.09551e-05 +20 *23681:C *4506:12 0.000168451 +21 *3788:14 *4506:12 0.00043166 +22 *3788:33 *4506:12 0.000351415 +23 *3788:45 *4506:12 0.000445421 +24 *3860:47 *23600:B 0.000243944 +25 *3860:47 *4506:5 0.000107496 +26 *4371:36 *23600:B 9.83892e-05 +*RES +1 *23599:X *4506:5 10.5271 +2 *4506:5 *4506:12 33.3967 +3 *4506:12 *23659:B 19.9322 +4 *4506:12 *23722:A 15.6059 +5 *4506:5 *23600:B 23.023 +*END + +*D_NET *4507 0.00166554 +*CONN +*I *23602:A I *D sky130_fd_sc_hd__or2_1 +*I *23600:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23602:A 0.000300907 +2 *23600:X 0.000300907 +3 *23602:A *5458:71 0.000436096 +4 *23061:A *23602:A 0.000154145 +5 *1483:13 *23602:A 0.000383093 +6 *4209:40 *23602:A 5.40828e-05 +7 *4371:36 *23602:A 3.63053e-05 +*RES +1 *23600:X *23602:A 38.6374 +*END + +*D_NET *4508 0.00313653 +*CONN +*I *23631:C I *D sky130_fd_sc_hd__or3_1 +*I *23602:B I *D sky130_fd_sc_hd__or2_1 +*I *23601:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23631:C 4.92104e-05 +2 *23602:B 0.000175658 +3 *23601:Y 0.000476733 +4 *4508:8 0.000701601 +5 *23602:B *4611:12 0.000144546 +6 *23631:C *4536:9 0.000107496 +7 *4508:8 *4611:12 0.000930242 +8 *4508:8 *5801:92 0.000112835 +9 *23550:B *4508:8 9.31226e-05 +10 *23601:B1 *4508:8 6.50586e-05 +11 *3958:33 *23602:B 4.41159e-05 +12 *4209:15 *4508:8 1.43848e-05 +13 *4457:8 *4508:8 5.0459e-05 +14 *4457:10 *23602:B 6.66538e-05 +15 *4457:10 *4508:8 0.000104412 +*RES +1 *23601:Y *4508:8 32.5022 +2 *4508:8 *23602:B 18.7989 +3 *4508:8 *23631:C 15.0271 +*END + +*D_NET *4509 0.000401134 +*CONN +*I *23603:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *23602:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23603:B_N 0.000115141 +2 *23602:X 0.000115141 +3 *3958:33 *23603:B_N 9.18559e-06 +4 *4209:40 *23603:B_N 0.000127179 +5 *4371:36 *23603:B_N 3.44886e-05 +*RES +1 *23602:X *23603:B_N 30.4689 +*END + +*D_NET *4510 0.000535054 +*CONN +*I *23604:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *23603:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *23604:B_N 0.000140363 +2 *23603:X 0.000140363 +3 *23604:A *23604:B_N 0.000127164 +4 *576:11 *23604:B_N 0.000127164 +*RES +1 *23603:X *23604:B_N 31.0235 +*END + +*D_NET *4511 0.00269631 +*CONN +*I *23605:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23604:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *23605:A 0.000548345 +2 *23604:X 0.000548345 +3 *23605:A *5671:142 3.32227e-05 +4 *23605:A *5671:152 0.000423218 +5 *23604:A *23605:A 9.12416e-06 +6 *24086:A1 *23605:A 0.000122083 +7 *24087:A0 *23605:A 3.82228e-05 +8 *566:116 *23605:A 0.000660913 +9 *566:120 *23605:A 0.000226296 +10 *4374:55 *23605:A 8.65358e-05 +*RES +1 *23604:X *23605:A 47.6687 +*END + +*D_NET *4512 0.00163253 +*CONN +*I *23720:A I *D sky130_fd_sc_hd__or4_1 +*I *23629:A I *D sky130_fd_sc_hd__or4_1 +*I *23606:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23720:A 0 +2 *23629:A 8.39604e-05 +3 *23606:X 0.000207044 +4 *4512:15 0.000291005 +5 *23629:A *23720:C 0.000200794 +6 *23629:A *4535:16 6.24438e-05 +7 *23629:A *4563:23 6.3657e-05 +8 *23629:A *4623:11 0.000154145 +9 *4512:15 *4535:16 5.09367e-05 +10 *4512:15 *4563:23 7.46215e-05 +11 *3868:93 *4512:15 0.000120673 +12 *4091:8 *4512:15 1.72954e-05 +13 *4097:23 *4512:15 5.41227e-05 +14 *4120:17 *4512:15 6.08467e-05 +15 *4499:13 *4512:15 0.000190987 +*RES +1 *23606:X *4512:15 25.3385 +2 *4512:15 *23629:A 12.7456 +3 *4512:15 *23720:A 9.24915 +*END + +*D_NET *4513 0.00549143 +*CONN +*I *23622:A I *D sky130_fd_sc_hd__or4b_4 +*I *23714:D I *D sky130_fd_sc_hd__or4_4 +*I *23607:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23622:A 0.000493068 +2 *23714:D 0.000446671 +3 *23607:X 7.65268e-05 +4 *4513:7 0.00101627 +5 *23622:A *23622:C 0.000107496 +6 *23622:A *23774:C 4.66492e-05 +7 *23622:A *23774:D 0.000154145 +8 *23622:A *4516:8 0.000135391 +9 *23714:D *4618:13 0.000118485 +10 *23436:B *23622:A 0.000160617 +11 *23439:B1 *4513:7 0.000111722 +12 *23439:C1 *23622:A 0.000255881 +13 *23480:B *23622:A 0.000158448 +14 *23714:A *23714:D 0.000107496 +15 *23714:B *23714:D 7.34948e-06 +16 *23714:C *23714:D 8.87348e-05 +17 *2619:56 *23622:A 5.51483e-06 +18 *2711:36 *23622:A 7.39022e-06 +19 *2911:17 *23714:D 1.08736e-05 +20 *3898:18 *23622:A 5.05252e-05 +21 *3898:18 *23714:D 0.000602951 +22 *3898:35 *23622:A 0.000213189 +23 *3947:23 *23714:D 1.21652e-05 +24 *4272:12 *23622:A 0.000117385 +25 *4272:12 *23714:D 0.000795428 +26 *4275:29 *23622:A 0.000155243 +27 *4344:7 *23622:A 3.58208e-05 +*RES +1 *23607:X *4513:7 15.0271 +2 *4513:7 *23714:D 31.1171 +3 *4513:7 *23622:A 39.0829 +*END + +*D_NET *4514 0.0162853 +*CONN +*I *23716:D I *D sky130_fd_sc_hd__or4_4 +*I *23622:B I *D sky130_fd_sc_hd__or4b_4 +*I *23608:X O *D sky130_fd_sc_hd__a211o_1 +*CAP +1 *23716:D 0 +2 *23622:B 0.000732116 +3 *23608:X 0.000177061 +4 *4514:47 0.000760825 +5 *4514:29 0.00247554 +6 *4514:8 0.00262389 +7 *23622:B *23622:C 6.08467e-05 +8 *23622:B *23774:C 2.77625e-06 +9 *23622:B *23774:D 0.000391603 +10 *4514:29 *4685:10 2.2599e-05 +11 *4514:29 *4685:12 0.0016821 +12 *4514:29 *4870:8 1.87942e-05 +13 *4514:29 *4870:10 0.000553125 +14 *4514:47 *23670:A 0.000158357 +15 *4514:47 *4685:12 1.9515e-05 +16 *4514:47 *4751:10 9.60216e-05 +17 *4514:47 *4870:8 0.000260248 +18 *23039:A1 *23622:B 7.92757e-06 +19 *23039:A2 *23622:B 7.70262e-05 +20 *23039:A3 *23622:B 0.000164829 +21 *23039:B1 *4514:8 7.86837e-05 +22 *23039:B1 *4514:29 3.31882e-05 +23 *23040:B *23622:B 0.000213725 +24 *23274:A *4514:29 8.62625e-06 +25 *23274:A *4514:47 0.000111086 +26 *23364:A *23622:B 1.41976e-05 +27 *23482:B1 *4514:29 4.69204e-06 +28 *23483:B *4514:29 3.61659e-05 +29 *23484:B *4514:29 0.000725472 +30 *23485:B *4514:29 0.000131309 +31 *23534:B *4514:29 0.000284048 +32 *23608:A1 *4514:8 0.000164843 +33 *23668:A *4514:47 4.82882e-05 +34 *23774:A *23622:B 5.33409e-05 +35 *2711:36 *4514:29 4.04447e-05 +36 *2785:63 *4514:29 0.00177126 +37 *3874:124 *4514:29 0.00049706 +38 *3877:147 *4514:47 0.000249375 +39 *3886:8 *4514:29 0 +40 *3888:11 *4514:47 0.000158276 +41 *3888:49 *4514:47 5.33358e-06 +42 *3980:147 *4514:47 6.93171e-05 +43 *4070:36 *4514:47 9.87369e-05 +44 *4172:16 *4514:29 4.8676e-05 +45 *4173:10 *4514:29 0.000545398 +46 *4284:8 *4514:8 7.86837e-05 +47 *4284:8 *4514:29 0.00022333 +48 *4290:10 *4514:47 0.000112057 +49 *4389:8 *4514:29 3.85006e-05 +50 *4487:19 *4514:47 0.000156013 +*RES +1 *23608:X *4514:8 17.4137 +2 *4514:8 *23622:B 30.7007 +3 *4514:8 *4514:29 71.5944 +4 *4514:29 *4514:47 43.4692 +5 *4514:47 *23716:D 9.24915 +*END + +*D_NET *4515 0.0152474 +*CONN +*I *23731:A I *D sky130_fd_sc_hd__or4_1 +*I *23610:A I *D sky130_fd_sc_hd__or3_1 +*I *23609:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23731:A 2.65572e-05 +2 *23610:A 0 +3 *23609:Y 0.000510148 +4 *4515:21 0.00227388 +5 *4515:14 0.00275747 +6 *4515:21 *23774:D 0.000139435 +7 *4515:21 *23854:B1 0.000314631 +8 *4515:21 *4648:29 0.000738176 +9 *22968:B *23731:A 2.16355e-05 +10 *23038:A1 *4515:14 0.000112367 +11 *23161:A *4515:21 0.000123597 +12 *23369:B *4515:21 0.000175888 +13 *23381:B *23731:A 1.41976e-05 +14 *23439:B1 *4515:14 8.38894e-05 +15 *23529:B1 *4515:21 1.91391e-05 +16 *23530:B *4515:21 0.000654945 +17 *23760:A1 *4515:21 0.000218319 +18 *1438:83 *4515:21 0.000161863 +19 *1744:141 *4515:21 3.39089e-05 +20 *1808:57 *4515:21 0.000692287 +21 *2711:22 *4515:14 0.000419238 +22 *2711:36 *4515:21 1.60671e-05 +23 *2836:20 *4515:21 0.000126641 +24 *3833:107 *4515:21 0.000472981 +25 *3833:115 *4515:21 0.000261584 +26 *3893:34 *4515:21 0.00176685 +27 *3893:42 *4515:21 0.00049878 +28 *3893:48 *4515:21 0.000194525 +29 *3893:50 *4515:21 4.05023e-05 +30 *3893:55 *4515:14 6.4464e-05 +31 *3893:55 *4515:21 7.5909e-06 +32 *4019:8 *4515:21 1.66626e-05 +33 *4019:10 *4515:21 0.000452355 +34 *4019:19 *4515:21 0.000252623 +35 *4058:28 *23731:A 7.92757e-06 +36 *4058:28 *4515:21 0.000205611 +37 *4275:29 *4515:14 0.00048503 +38 *4278:15 *4515:14 9.8306e-05 +39 *4278:15 *4515:21 0.000673924 +40 *4435:25 *4515:21 0.000113374 +*RES +1 *23609:Y *4515:14 38.7986 +2 *4515:14 *23610:A 13.7491 +3 *4515:14 *4515:21 89.8655 +4 *4515:21 *23731:A 14.4725 +*END + +*D_NET *4516 0.0012634 +*CONN +*I *23774:C I *D sky130_fd_sc_hd__or4_2 +*I *23622:C I *D sky130_fd_sc_hd__or4b_4 +*I *23610:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23774:C 0.000155013 +2 *23622:C 9.32713e-05 +3 *23610:X 7.96342e-05 +4 *4516:8 0.000327919 +5 *23622:C *23774:D 3.31745e-05 +6 *23774:C *23774:D 4.97617e-05 +7 *23622:A *23622:C 0.000107496 +8 *23622:A *23774:C 4.66492e-05 +9 *23622:A *4516:8 0.000135391 +10 *23622:B *23622:C 6.08467e-05 +11 *23622:B *23774:C 2.77625e-06 +12 *23774:A *23774:C 7.34948e-06 +13 *2711:36 *4516:8 0.00015634 +14 *4275:29 *4516:8 7.77309e-06 +*RES +1 *23610:X *4516:8 21.3269 +2 *4516:8 *23622:C 11.6364 +3 *4516:8 *23774:C 12.7697 +*END + +*D_NET *4517 0.00551319 +*CONN +*I *23618:A I *D sky130_fd_sc_hd__or4_2 +*I *23711:A I *D sky130_fd_sc_hd__or3b_1 +*I *23611:Y O *D sky130_fd_sc_hd__a311oi_2 +*CAP +1 *23618:A 0.000188297 +2 *23711:A 0 +3 *23611:Y 0.000747263 +4 *4517:27 0.000221852 +5 *4517:24 0.000780818 +6 *23618:A *4589:20 0.000202176 +7 *23618:A *4592:14 0.000202176 +8 *23618:A *5453:29 0.000394588 +9 *4517:24 *23689:D 0 +10 *4517:24 *23712:A 0.00012568 +11 *4517:24 *23756:D1 0.000208674 +12 *4517:24 *23761:A 5.61389e-05 +13 *4517:24 *4592:23 0 +14 *4517:27 *5453:29 0.000156946 +15 *23558:A *23618:A 6.08467e-05 +16 *23611:A2 *4517:24 0 +17 *23611:B1 *4517:24 9.95922e-06 +18 *23611:C1 *4517:24 6.08467e-05 +19 *3922:106 *4517:24 0.000665257 +20 *3923:17 *23618:A 0.00040456 +21 *3923:17 *4517:27 0.000156946 +22 *4234:13 *4517:24 7.57474e-05 +23 *4234:26 *4517:24 0.000284145 +24 *4326:52 *4517:24 2.87719e-05 +25 *4326:60 *4517:24 0.000475828 +26 *4365:19 *4517:24 5.66868e-06 +*RES +1 *23611:Y *4517:24 48.086 +2 *4517:24 *4517:27 6.3326 +3 *4517:27 *23711:A 9.24915 +4 *4517:27 *23618:A 27.4864 +*END + +*D_NET *4518 0.00104593 +*CONN +*I *23613:B I *D sky130_fd_sc_hd__nor2_2 +*I *23612:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *23613:B 0.000313256 +2 *23612:X 0.000313256 +3 *23002:A *23613:B 4.62112e-05 +4 *23002:B *23613:B 6.78596e-05 +5 *23612:A2 *23613:B 0.000216458 +6 *23612:B2 *23613:B 7.50722e-05 +7 *4241:16 *23613:B 1.3813e-05 +*RES +1 *23612:X *23613:B 34.9352 +*END + +*D_NET *4519 0.0221665 +*CONN +*I *23812:C I *D sky130_fd_sc_hd__or4_1 +*I *23618:B I *D sky130_fd_sc_hd__or4_2 +*I *23613:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *23812:C 0 +2 *23618:B 0.000622245 +3 *23613:Y 0.000668294 +4 *4519:27 0.00105708 +5 *4519:22 0.00163007 +6 *4519:21 0.00221088 +7 *4519:14 0.00168393 +8 *23618:B *23715:A 0.000415213 +9 *23618:B *23812:A 4.2372e-05 +10 *23618:B *23812:B 9.95922e-06 +11 *23618:B *23812:D 2.32625e-05 +12 *23618:B *4524:27 0.000154145 +13 *23618:B *4712:18 0.000154145 +14 *4519:14 *4589:8 0.000448536 +15 *4519:21 *25361:A 5.04829e-06 +16 *4519:21 *4589:8 2.01595e-05 +17 *4519:21 *4591:11 0.00116105 +18 *4519:22 *22082:A1 0.000108653 +19 *4519:22 *5926:19 0.000319402 +20 *4519:27 *23812:B 0.000207892 +21 *4519:27 *23812:D 2.99287e-05 +22 *23130:A *4519:21 0.000536612 +23 *23328:B *4519:22 4.87595e-05 +24 *23341:A *4519:27 3.82228e-05 +25 *23424:B1 *4519:22 4.10333e-05 +26 *23427:B *4519:22 5.30391e-05 +27 *23429:B_N *4519:22 1.28326e-05 +28 *23465:A1 *4519:14 5.98627e-05 +29 *23465:A2 *4519:14 0.00021217 +30 *23558:A *23618:B 0.000391697 +31 *23683:B *4519:14 4.51062e-05 +32 *3827:41 *4519:21 5.04829e-06 +33 *3840:75 *4519:22 3.11923e-05 +34 *3840:285 *4519:14 1.66626e-05 +35 *3868:165 *4519:22 2.99929e-05 +36 *3902:38 *4519:22 0.00101279 +37 *3905:19 *4519:14 6.36477e-05 +38 *3905:30 *4519:14 0.000773837 +39 *3911:14 *4519:14 0.000145546 +40 *3918:24 *4519:22 0.000293945 +41 *3923:17 *23618:B 0.000364149 +42 *3931:26 *4519:14 4.66932e-05 +43 *3974:60 *4519:14 3.13254e-05 +44 *4233:26 *4519:22 2.37478e-05 +45 *4236:107 *4519:22 1.74106e-05 +46 *4241:16 *4519:14 3.80686e-05 +47 *4242:22 *4519:22 0.00212068 +48 *4249:14 *4519:22 0.0032921 +49 *4254:53 *4519:22 7.73527e-05 +50 *4326:32 *4519:22 5.9055e-05 +51 *4326:52 *4519:22 6.93211e-05 +52 *4367:15 *4519:14 2.15656e-05 +53 *4367:15 *4519:21 0.00122079 +*RES +1 *23613:Y *4519:14 47.8098 +2 *4519:14 *4519:21 44.9373 +3 *4519:21 *4519:22 68.6876 +4 *4519:22 *4519:27 14.3864 +5 *4519:27 *23618:B 37.0967 +6 *4519:27 *23812:C 9.24915 +*END + +*D_NET *4520 0.0110837 +*CONN +*I *23710:C1 I *D sky130_fd_sc_hd__a211o_1 +*I *23618:C I *D sky130_fd_sc_hd__or4_2 +*I *23614:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *23710:C1 0.000216385 +2 *23618:C 0.000642966 +3 *23614:X 0.000358148 +4 *4520:12 0.001719 +5 *4520:7 0.00165057 +6 *23618:C *23617:A 0.000206435 +7 *23618:C *23618:D 4.31603e-06 +8 *23618:C *4521:8 8.61737e-06 +9 *23618:C *4589:20 3.59507e-05 +10 *23618:C *4712:18 0.000140607 +11 *23618:C *5457:13 0 +12 *23710:C1 *4591:26 0.00043658 +13 *23710:C1 *4661:27 0.000443707 +14 *4520:12 *23726:A 0.000148144 +15 *4520:12 *4591:26 0.00102747 +16 *4520:12 *4661:27 0.000975597 +17 *4520:12 *4661:28 0.00151234 +18 *4520:12 *5923:20 0.00012188 +19 *23512:B *4520:7 0.000154145 +20 *23558:A *23618:C 3.19464e-05 +21 *23560:A2 *4520:12 1.9101e-05 +22 *23614:B_N *4520:7 3.58208e-05 +23 *23710:A1 *23710:C1 2.95835e-05 +24 *3868:157 *4520:12 8.81251e-05 +25 *3874:77 *23618:C 2.22063e-05 +26 *3917:38 *23618:C 1.41769e-05 +27 *3917:42 *23618:C 0 +28 *3923:17 *4520:12 0.000441499 +29 *4251:41 *23618:C 0.000241641 +30 *4326:19 *4520:7 3.17103e-05 +31 *4326:21 *4520:7 2.41274e-06 +32 *4466:11 *4520:12 0.000322601 +*RES +1 *23614:X *4520:7 18.9094 +2 *4520:7 *4520:12 47.3754 +3 *4520:12 *23618:C 29.1944 +4 *4520:7 *23710:C1 23.6908 +*END + +*D_NET *4521 0.00362924 +*CONN +*I *23812:A I *D sky130_fd_sc_hd__or4_1 +*I *23617:A I *D sky130_fd_sc_hd__or4b_1 +*I *23615:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23812:A 2.92108e-05 +2 *23617:A 0.000309494 +3 *23615:Y 0.000516525 +4 *4521:8 0.00085523 +5 *23617:A *4589:20 0.00020891 +6 *23617:A *4712:18 6.27458e-05 +7 *23617:A *5921:26 3.53797e-05 +8 *23812:A *23715:A 4.66492e-05 +9 *23812:A *23812:D 6.36477e-05 +10 *4521:8 *4589:20 0.000287433 +11 *4521:8 *4614:26 0.000250346 +12 *23010:A1 *23617:A 8.33628e-05 +13 *23618:B *23812:A 4.2372e-05 +14 *23618:C *23617:A 0.000206435 +15 *23618:C *4521:8 8.61737e-06 +16 *23687:B2 *4521:8 0.000356521 +17 *3872:119 *23617:A 0.000258847 +18 *4326:52 *23617:A 7.51112e-06 +*RES +1 *23615:Y *4521:8 25.5878 +2 *4521:8 *23617:A 32.677 +3 *4521:8 *23812:A 15.0271 +*END + +*D_NET *4522 0.00736753 +*CONN +*I *23617:B I *D sky130_fd_sc_hd__or4b_1 +*I *23776:A I *D sky130_fd_sc_hd__or4b_1 +*I *23616:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23617:B 0.000396934 +2 *23776:A 3.37551e-05 +3 *23616:X 9.45313e-05 +4 *4522:8 0.00131327 +5 *4522:7 0.00097711 +6 *23776:A *23776:C 2.16355e-05 +7 *4522:7 *5592:17 3.99086e-06 +8 *4522:8 *5920:19 0.000255596 +9 *4522:8 *5923:17 0.000937124 +10 *23010:A2 *23617:B 3.82228e-05 +11 *23341:A *23617:B 0.000295311 +12 *23458:A *4522:8 1.91246e-05 +13 *23558:A *23617:B 1.27624e-05 +14 *23617:D_N *23617:B 1.41307e-05 +15 *23782:C_N *4522:8 0.000424531 +16 *3840:106 *4522:8 0.000225179 +17 *3902:44 *4522:8 4.31673e-05 +18 *3902:50 *4522:8 0.000170334 +19 *3918:25 *23617:B 3.99086e-06 +20 *4231:39 *4522:7 7.92757e-06 +21 *4231:54 *4522:7 1.41976e-05 +22 *4231:54 *4522:8 7.45297e-05 +23 *4234:26 *23617:B 8.62625e-06 +24 *4234:26 *4522:8 0.000702965 +25 *4254:53 *23617:B 0.000298923 +26 *4254:53 *4522:8 0.000699568 +27 *4380:10 *4522:8 0.00028009 +*RES +1 *23616:X *4522:7 15.0271 +2 *4522:7 *4522:8 39.2047 +3 *4522:8 *23776:A 14.4725 +4 *4522:8 *23617:B 23.3694 +*END + +*D_NET *4523 0.00017286 +*CONN +*I *23618:D I *D sky130_fd_sc_hd__or4_2 +*I *23617:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *23618:D 6.65697e-05 +2 *23617:X 6.65697e-05 +3 *23558:A *23618:D 3.54045e-05 +4 *23618:C *23618:D 4.31603e-06 +*RES +1 *23617:X *23618:D 19.8004 +*END + +*D_NET *4524 0.0284639 +*CONN +*I *23621:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *23618:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23621:A_N 0.00165563 +2 *23618:X 0.00331304 +3 *4524:28 0.00230914 +4 *4524:27 0.00396655 +5 *23621:A_N *23621:B 6.18348e-05 +6 *23621:A_N *5462:11 1.83795e-06 +7 *4524:27 *23844:D 0.000160373 +8 *4524:27 *23848:C 0.000256414 +9 *4524:27 *4589:20 0.000278426 +10 *4524:27 *4695:56 4.30584e-05 +11 *4524:27 *4712:18 0.000182654 +12 *4524:27 *5452:33 0 +13 *4524:27 *5453:103 0.000384116 +14 *4524:27 *5918:14 0 +15 *4524:27 *5928:29 0.00242345 +16 *4524:27 *5930:14 0.000271919 +17 *4524:27 *5931:22 0.00034294 +18 *4524:28 *5928:29 0.000719165 +19 ser_rx *4524:27 0.000160566 +20 *22089:A1 *4524:27 0.000107025 +21 *22991:A *23621:A_N 1.00846e-05 +22 *23618:B *4524:27 0.000154145 +23 *24831:D *23621:A_N 1.31872e-05 +24 *25179:A *4524:27 2.13802e-05 +25 *657:171 *4524:27 0.000390316 +26 *1755:57 *23621:A_N 0 +27 *1829:50 *4524:27 0.000148104 +28 *1829:50 *4524:28 0.00523294 +29 *2838:34 *4524:27 0.000153517 +30 *2838:34 *4524:28 0.00522467 +31 *3840:101 *4524:27 1.17292e-05 +32 *3840:179 *23621:A_N 1.7883e-05 +33 *3892:12 *4524:27 0.000234606 +34 *3901:8 *23621:A_N 0.000158451 +35 *4024:70 *4524:27 5.47065e-05 +*RES +1 *23618:X *4524:27 45.3478 +2 *4524:27 *4524:28 83.4291 +3 *4524:28 *23621:A_N 37.79 +*END + +*D_NET *4525 0.00759121 +*CONN +*I *23713:D1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23621:B I *D sky130_fd_sc_hd__and3b_1 +*I *23619:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23713:D1 0 +2 *23621:B 0.000606462 +3 *23619:X 0.00055343 +4 *4525:15 0.00088633 +5 *4525:14 0.000833298 +6 *4525:14 *5456:72 0.00078415 +7 *4525:14 *5563:35 0.00026818 +8 *4525:14 *5927:48 0.000218862 +9 debug_in *4525:14 3.63738e-05 +10 *23352:B *4525:15 1.88152e-05 +11 *23353:A *4525:14 4.27636e-05 +12 *23353:A *4525:15 0.000394418 +13 *23354:C *4525:14 1.65872e-05 +14 *23354:D *4525:14 8.78153e-05 +15 *23432:B1 *23621:B 0 +16 *23621:A_N *23621:B 6.18348e-05 +17 *23713:B1 *4525:15 0.000532638 +18 *657:143 *4525:14 2.7279e-05 +19 *1731:78 *23621:B 0.000741798 +20 *2406:10 *4525:14 0.000149628 +21 *3060:43 *4525:14 0.000351593 +22 *3791:161 *4525:15 6.08467e-05 +23 *3791:174 *4525:15 0.000525217 +24 *3840:179 *23621:B 6.36477e-05 +25 *3868:213 *4525:15 0.000111708 +26 *3937:29 *23621:B 2.85561e-05 +27 *4257:9 *4525:14 0.000162739 +28 *4263:11 *4525:14 2.62371e-05 +*RES +1 *23619:X *4525:14 41.228 +2 *4525:14 *4525:15 11.8155 +3 *4525:15 *23621:B 35.3922 +4 *4525:15 *23713:D1 9.24915 +*END + +*D_NET *4526 0.00159649 +*CONN +*I *23621:C I *D sky130_fd_sc_hd__and3b_1 +*I *23843:D1 I *D sky130_fd_sc_hd__o2111ai_4 +*I *23620:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *23621:C 0.000235923 +2 *23843:D1 0.000125007 +3 *23620:X 4.27457e-05 +4 *4526:7 0.000403675 +5 *23432:B1 *23621:C 0 +6 *2502:36 *23621:C 0 +7 *2619:44 *23843:D1 9.9725e-05 +8 *2619:44 *4526:7 6.36477e-05 +9 *3840:191 *23843:D1 0 +10 *3891:24 *23621:C 0.000109058 +11 *3891:42 *23621:C 0.00010836 +12 *3891:42 *23843:D1 2.4764e-05 +13 *3936:14 *23621:C 5.74949e-05 +14 *3937:29 *23621:C 6.08467e-05 +15 *4326:113 *23843:D1 0.000260924 +16 *4340:20 *23621:C 4.31485e-06 +*RES +1 *23620:X *4526:7 14.4725 +2 *4526:7 *23843:D1 18.1077 +3 *4526:7 *23621:C 19.2113 +*END + +*D_NET *4527 0.00223889 +*CONN +*I *23622:D_N I *D sky130_fd_sc_hd__or4b_4 +*I *23621:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *23622:D_N 0.00091114 +2 *23621:X 0.00091114 +3 *23364:A *23622:D_N 3.12784e-05 +4 *23364:B *23622:D_N 1.94584e-05 +5 *1587:63 *23622:D_N 0.000112149 +6 *3937:29 *23622:D_N 2.44829e-05 +7 *4233:93 *23622:D_N 3.8608e-06 +8 *4273:7 *23622:D_N 6.08467e-05 +9 *4340:20 *23622:D_N 0.000164536 +*RES +1 *23621:X *23622:D_N 37.5236 +*END + +*D_NET *4528 0.0364601 +*CONN +*I *23626:A I *D sky130_fd_sc_hd__or4_1 +*I *23622:X O *D sky130_fd_sc_hd__or4b_4 +*CAP +1 *23626:A 0.00358362 +2 *23622:X 0.00365231 +3 *4528:17 0.00723592 +4 *23626:A *4530:18 8.82861e-05 +5 *23626:A *4538:61 0.000801828 +6 *23626:A *4619:26 5.1823e-05 +7 *23626:A *4627:23 0.000838304 +8 *23626:A *4664:33 0.000988202 +9 *23626:A *4676:27 4.47494e-06 +10 *23626:A *4681:20 0.000192784 +11 *4528:17 *4676:27 0.00190826 +12 *4528:17 *5454:15 0 +13 *4528:17 *5852:117 0.000188378 +14 *1757:106 *23626:A 7.12597e-06 +15 *1757:106 *4528:17 0.00063329 +16 *2711:36 *4528:17 1.05601e-05 +17 *2784:42 *4528:17 0.000140803 +18 *2836:20 *4528:17 0.000782048 +19 *2878:44 *23626:A 0.00017044 +20 *2922:54 *4528:17 1.10565e-05 +21 *2963:93 *23626:A 0.000139411 +22 *3888:49 *23626:A 0.00763716 +23 *4089:27 *4528:17 0.00383888 +24 *4137:28 *4528:17 0.000132605 +25 *4146:60 *23626:A 0.000248171 +26 *4169:50 *4528:17 0.000132605 +27 *4275:29 *4528:17 1.91246e-05 +28 *4347:12 *4528:17 0.000117093 +29 *4396:35 *23626:A 0.00288935 +30 *4488:19 *4528:17 1.6234e-05 +*RES +1 *23622:X *4528:17 32.5348 +2 *4528:17 *23626:A 39.6528 +*END + +*D_NET *4529 0.0184388 +*CONN +*I *23810:A I *D sky130_fd_sc_hd__or4b_1 +*I *23626:B I *D sky130_fd_sc_hd__or4_1 +*I *23623:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23810:A 0 +2 *23626:B 0.000269869 +3 *23623:X 0.000422538 +4 *4529:26 0.00220313 +5 *4529:25 0.0027931 +6 *4529:9 0.00128237 +7 *23626:B *23629:B 6.08467e-05 +8 *23626:B *23718:B 1.3813e-05 +9 *23626:B *23718:C 5.41227e-05 +10 *4529:9 *4557:10 0.000857642 +11 *4529:9 *4620:26 3.02881e-05 +12 *4529:9 *6001:38 0.00078183 +13 *4529:25 *4547:35 4.7282e-05 +14 *4529:25 *4635:18 6.30741e-05 +15 *4529:25 *4686:14 5.49916e-05 +16 *4529:25 *5457:13 0.000155692 +17 *4529:25 *5458:13 0.000329183 +18 *4529:25 *5920:19 0.000158469 +19 *4529:25 *6001:38 0.000161961 +20 *4529:26 *23718:B 0.000112657 +21 *4529:26 *4686:33 4.36742e-06 +22 *4529:26 *4686:35 0.00274449 +23 *23177:A *23626:B 7.67963e-06 +24 *23177:A *4529:26 0.000494524 +25 *23178:C *4529:26 0.000127179 +26 *23556:A1 *4529:26 5.2504e-06 +27 *23556:B1 *4529:26 8.3647e-05 +28 *23590:A3 *4529:26 0.000237038 +29 *23661:A *4529:25 7.61406e-05 +30 *23810:B *4529:9 3.31745e-05 +31 *23810:B *4529:25 4.45999e-05 +32 *23810:D_N *4529:25 6.3657e-05 +33 *3842:84 *4529:26 0 +34 *3842:99 *4529:25 0.000159964 +35 *3869:55 *4529:26 9.00364e-06 +36 *3872:164 *4529:25 0.000110297 +37 *3874:92 *4529:25 0.000327872 +38 *3888:49 *4529:25 0.000274823 +39 *3888:49 *4529:26 0.000110809 +40 *3980:93 *4529:26 0.000583373 +41 *3980:108 *4529:26 0.000243648 +42 *3980:124 *4529:26 0.000204446 +43 *3999:8 *4529:26 6.35458e-05 +44 *3999:16 *4529:26 0.000122183 +45 *4009:95 *4529:9 3.82228e-05 +46 *4009:95 *4529:25 1.65872e-05 +47 *4020:34 *4529:26 0.000279043 +48 *4020:42 *4529:26 0 +49 *4086:10 *4529:26 0 +50 *4086:26 *4529:26 4.04447e-05 +51 *4089:76 *23626:B 9.31917e-05 +52 *4089:76 *4529:26 7.37932e-05 +53 *4124:20 *4529:26 5.33358e-06 +54 *4228:29 *4529:26 0.000122046 +55 *4228:41 *4529:26 0.00152318 +56 *4495:48 *4529:25 0.000262424 +*RES +1 *23623:X *4529:9 35.893 +2 *4529:9 *4529:25 19.516 +3 *4529:25 *4529:26 79.8994 +4 *4529:26 *23626:B 19.0481 +5 *4529:9 *23810:A 9.24915 +*END + +*D_NET *4530 0.00235143 +*CONN +*I *23717:B I *D sky130_fd_sc_hd__or2_1 +*I *23626:C I *D sky130_fd_sc_hd__or4_1 +*I *23624:Y O *D sky130_fd_sc_hd__o221ai_1 +*CAP +1 *23717:B 0.000146317 +2 *23626:C 0 +3 *23624:Y 0.000452096 +4 *4530:18 0.000598413 +5 *23717:B *23779:C 6.50727e-05 +6 *23177:A *23717:B 0.000168546 +7 *23177:A *4530:18 8.01987e-05 +8 *23416:A *4530:18 7.95065e-05 +9 *23624:A1 *4530:18 0.000337764 +10 *23626:A *4530:18 8.82861e-05 +11 *3907:37 *4530:18 0.00021774 +12 *4001:53 *4530:18 2.1558e-06 +13 *4034:56 *23717:B 3.80883e-05 +14 *4146:60 *23717:B 6.83223e-05 +15 *4146:60 *4530:18 8.92568e-06 +*RES +1 *23624:Y *4530:18 28.5351 +2 *4530:18 *23626:C 13.7491 +3 *4530:18 *23717:B 19.2846 +*END + +*D_NET *4531 0.00862485 +*CONN +*I *23779:B I *D sky130_fd_sc_hd__or4b_2 +*I *23626:D I *D sky130_fd_sc_hd__or4_1 +*I *23625:X O *D sky130_fd_sc_hd__a41o_1 +*CAP +1 *23779:B 0 +2 *23626:D 8.93216e-05 +3 *23625:X 0.00034863 +4 *4531:14 0.0012907 +5 *4531:13 0.00155001 +6 *4531:14 *23674:C1 0.000130483 +7 *4531:14 *23778:B1 0.000137921 +8 *4531:14 *23778:C1 0.000231956 +9 *4531:14 *4681:20 1.93781e-05 +10 *22946:A *4531:14 0.000317375 +11 *23050:A *4531:14 0.00142253 +12 *23051:A3 *4531:14 0.000118485 +13 *23177:A *23626:D 0.000158288 +14 *23177:A *4531:14 5.92192e-05 +15 *23399:B *4531:14 6.07786e-05 +16 *23449:A3 *4531:14 2.26985e-05 +17 *23674:B1 *4531:14 0.00111557 +18 *23777:A *4531:14 6.65016e-05 +19 *23779:A *4531:14 0.000127603 +20 *3829:12 *4531:13 6.08467e-05 +21 *3842:24 *4531:13 0.000200221 +22 *3846:20 *4531:14 9.39114e-06 +23 *3857:5 *4531:13 7.63448e-05 +24 *3858:7 *4531:13 2.18041e-06 +25 *3863:21 *4531:14 3.25867e-05 +26 *3877:20 *4531:13 0.000196638 +27 *3996:26 *4531:14 5.85155e-05 +28 *3996:31 *4531:14 8.68133e-05 +29 *4001:26 *4531:14 1.66771e-05 +30 *4001:53 *23626:D 4.80148e-05 +31 *4001:53 *4531:14 0.000338189 +32 *4034:56 *4531:14 0.000230993 +*RES +1 *23625:X *4531:13 30.462 +2 *4531:13 *4531:14 50.0013 +3 *4531:14 *23626:D 17.135 +4 *4531:14 *23779:B 13.7491 +*END + +*D_NET *4532 0.00131126 +*CONN +*I *23629:B I *D sky130_fd_sc_hd__or4_1 +*I *23626:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23629:B 0.000430773 +2 *23626:X 0.000430773 +3 *23629:B *23718:C 6.08467e-05 +4 *23629:B *23720:B 0.000309083 +5 *23177:A *23629:B 5.20546e-06 +6 *23626:B *23629:B 6.08467e-05 +7 *23718:D *23629:B 1.37289e-05 +*RES +1 *23626:X *23629:B 26.6244 +*END + +*D_NET *4533 0.00224137 +*CONN +*I *23720:C I *D sky130_fd_sc_hd__or4_1 +*I *23629:C I *D sky130_fd_sc_hd__or4_1 +*I *23627:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *23720:C 8.28618e-05 +2 *23629:C 0 +3 *23627:Y 0.000371953 +4 *4533:10 0.000454814 +5 *23720:C *23720:B 0.000247443 +6 *23720:C *4623:11 4.0752e-05 +7 *4533:10 *4534:10 0.000303757 +8 *23627:A *4533:10 0.000203595 +9 *23627:B *4533:10 1.7883e-05 +10 *23627:C *4533:10 7.34948e-06 +11 *23629:A *23720:C 0.000200794 +12 *3831:42 *4533:10 0.000305271 +13 *4034:56 *4533:10 4.89898e-06 +*RES +1 *23627:Y *4533:10 27.8694 +2 *4533:10 *23629:C 9.24915 +3 *4533:10 *23720:C 12.7456 +*END + +*D_NET *4534 0.00350584 +*CONN +*I *23629:D I *D sky130_fd_sc_hd__or4_1 +*I *23628:X O *D sky130_fd_sc_hd__a211o_1 +*CAP +1 *23629:D 0 +2 *23628:X 0.000694771 +3 *4534:10 0.000694771 +4 *4534:10 *4623:11 9.45719e-05 +5 *22925:B *4534:10 2.41274e-06 +6 *23628:A1 *4534:10 6.7671e-06 +7 *3831:42 *4534:10 0.00018809 +8 *3835:7 *4534:10 4.31703e-05 +9 *3849:12 *4534:10 0.000999027 +10 *4450:8 *4534:10 0.000478498 +11 *4533:10 *4534:10 0.000303757 +*RES +1 *23628:X *4534:10 43.231 +2 *4534:10 *23629:D 9.24915 +*END + +*D_NET *4535 0.00578714 +*CONN +*I *23630:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23629:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23630:A 6.185e-05 +2 *23629:X 0.00129283 +3 *4535:16 0.00135468 +4 *4535:16 *23721:A 6.08467e-05 +5 *4535:16 *23771:B1 0.000532409 +6 *4535:16 *23772:A 9.38827e-06 +7 *4535:16 *23824:C 8.55372e-05 +8 *4535:16 *4563:23 0.00115699 +9 *4535:16 *4582:17 0.000620242 +10 *4535:16 *4623:11 6.08467e-05 +11 *23629:A *4535:16 6.24438e-05 +12 *23737:A *4535:16 9.34769e-05 +13 *24088:A1 *23630:A 4.95311e-05 +14 *566:131 *23630:A 1.98996e-05 +15 *1829:83 *4535:16 0.000192517 +16 *3958:27 *23630:A 8.27055e-05 +17 *4512:15 *4535:16 5.09367e-05 +*RES +1 *23629:X *4535:16 47.5864 +2 *4535:16 *23630:A 16.1364 +*END + +*D_NET *4536 0.00787197 +*CONN +*I *23707:A1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23722:B I *D sky130_fd_sc_hd__or2_1 +*I *23631:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23707:A1 0.000167626 +2 *23722:B 0.000112444 +3 *23631:X 0.000302669 +4 *4536:10 0.000945074 +5 *4536:9 0.000967673 +6 *23707:A1 *23707:B1 7.92757e-06 +7 *23707:A1 *23707:C1 6.08467e-05 +8 *23722:B *23707:A2 0.000154145 +9 *23722:B *4873:54 0.000122083 +10 *23722:B *5801:92 0.000118485 +11 *4536:10 *4873:54 0.00226344 +12 *4536:10 *5801:92 0.00227355 +13 *4536:10 *5925:17 0.000221855 +14 *23631:B *4536:9 1.92926e-05 +15 *23631:C *4536:9 0.000107496 +16 *23722:A *23722:B 5.04829e-06 +17 *580:10 *23707:A1 2.23124e-05 +*RES +1 *23631:X *4536:9 20.0427 +2 *4536:9 *4536:10 40.0352 +3 *4536:10 *23722:B 17.829 +4 *4536:10 *23707:A1 17.2697 +*END + +*D_NET *4537 0.00686843 +*CONN +*I *23789:A I *D sky130_fd_sc_hd__or3_1 +*I *23820:B I *D sky130_fd_sc_hd__or4_1 +*I *23659:A I *D sky130_fd_sc_hd__or4b_1 +*I *23737:C I *D sky130_fd_sc_hd__nor4_1 +*I *23632:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23789:A 0.000305684 +2 *23820:B 0.000164671 +3 *23659:A 0.000355976 +4 *23737:C 0 +5 *23632:Y 0.000266896 +6 *4537:17 0.000575419 +7 *4537:12 0.000605186 +8 *4537:5 0.000793651 +9 *23659:A *23737:B 6.08467e-05 +10 *23659:A *23771:C1 4.13393e-05 +11 *23659:A *4691:11 0.000194093 +12 *23789:A *23822:B 1.28832e-05 +13 *23820:B *23823:B 0.000169227 +14 *23820:B *23823:C 0.000154145 +15 *23820:B *4563:23 5.79065e-05 +16 *4537:5 *23822:B 1.21461e-06 +17 *4537:5 *23822:D 4.2273e-06 +18 *4537:12 *23822:C 5.92192e-05 +19 *4537:12 *4562:14 0.000201011 +20 *4537:17 *23737:B 6.50586e-05 +21 *4537:17 *23771:A3 2.16355e-05 +22 *23632:A *4537:5 1.43848e-05 +23 *23659:B *23659:A 2.16355e-05 +24 *23659:C *23659:A 2.16355e-05 +25 *23789:C *23789:A 6.08467e-05 +26 *23820:A *23820:B 8.21566e-05 +27 *23820:C *23820:B 6.08467e-05 +28 *23820:D *23820:B 1.95028e-05 +29 *23822:A *23789:A 2.65831e-05 +30 *580:10 *4537:12 0.000402054 +31 *580:10 *4537:17 0.000213529 +32 *1809:103 *23659:A 0.00011912 +33 *3829:20 *23789:A 0.000311593 +34 *4034:51 *23789:A 0.000294093 +35 *4371:42 *23789:A 8.29362e-05 +36 *4452:10 *23789:A 0.000315206 +37 *4452:10 *4537:12 0.000498084 +38 *4452:10 *4537:17 0.000209946 +39 *4462:7 *4537:5 3.99086e-06 +*RES +1 *23632:Y *4537:5 12.7456 +2 *4537:5 *4537:12 16.3713 +3 *4537:12 *4537:17 11.3501 +4 *4537:17 *23737:C 9.24915 +5 *4537:17 *23659:A 27.6258 +6 *4537:12 *23820:B 19.681 +7 *4537:5 *23789:A 29.1474 +*END + +*D_NET *4538 0.0511377 +*CONN +*I *23636:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23650:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23633:Y O *D sky130_fd_sc_hd__nor2_4 +*CAP +1 *23636:A1 0.000756772 +2 *23650:A1 0 +3 *23633:Y 0.000879078 +4 *4538:61 0.00210149 +5 *4538:51 0.00403774 +6 *4538:41 0.00449362 +7 *4538:31 0.00314646 +8 *4538:22 0.00243104 +9 *4538:20 0.00144248 +10 *4538:15 0.00307866 +11 *4538:14 0.00272137 +12 *4538:12 0.000879078 +13 *23636:A1 *23646:A1 2.07365e-05 +14 *23636:A1 *23646:A2 7.92757e-06 +15 *23636:A1 *23646:A3 8.18491e-05 +16 *23636:A1 *23648:D 0.000166433 +17 *23636:A1 *23650:A3 2.57986e-05 +18 *23636:A1 *23662:A 6.50586e-05 +19 *23636:A1 *4555:8 0.000214194 +20 *23636:A1 *4567:7 1.03403e-05 +21 *4538:12 *22955:A 7.86825e-06 +22 *4538:15 *4550:11 0.000797351 +23 *4538:20 *5868:291 0.000135121 +24 *4538:20 *5868:303 0.000293127 +25 *4538:22 *24278:SET_B 0.000256479 +26 *4538:22 *24425:RESET_B 3.69482e-05 +27 *4538:22 *4700:30 4.55476e-05 +28 *4538:22 *4700:32 4.86242e-05 +29 *4538:22 *5868:213 0.000118568 +30 *4538:22 *5868:222 0.000382589 +31 *4538:22 *5868:237 0.000172679 +32 *4538:22 *5868:280 0.000264795 +33 *4538:22 *5868:291 2.01535e-05 +34 *4538:31 *20985:B1 4.0143e-05 +35 *4538:31 *25205:A 0.000544661 +36 *4538:31 *4873:17 0.000110645 +37 *4538:31 *4932:71 0.000328601 +38 *4538:31 *5858:26 0.000154364 +39 *4538:31 *5858:686 3.25394e-05 +40 *4538:31 *5861:112 0.000408854 +41 *4538:41 *24934:A 0.000140252 +42 *4538:41 *5861:127 4.66492e-05 +43 *4538:41 *5861:131 0.000152164 +44 *4538:41 *5861:138 0.000302884 +45 *4538:51 *23676:B 0.00094964 +46 *4538:51 *23750:B 4.36982e-06 +47 *4538:51 *4547:35 9.94664e-06 +48 *4538:51 *4575:36 0.000779523 +49 *4538:51 *4619:26 0 +50 *4538:51 *5815:64 1.57418e-05 +51 *4538:51 *6001:38 5.54182e-05 +52 *4538:61 *23649:A2 0.000124602 +53 *4538:61 *23733:C 5.00958e-05 +54 *4538:61 *4555:8 5.1708e-05 +55 *4538:61 *4627:24 0.000322534 +56 *4538:61 *4664:33 0.000640769 +57 *4538:61 *4676:27 0.00014285 +58 *4538:61 *4681:20 0.00248257 +59 *4538:61 *5458:13 1.00978e-05 +60 *22927:A *4538:12 8.95762e-05 +61 *22928:A *4538:12 3.14978e-05 +62 *23172:A *4538:51 2.47808e-05 +63 *23194:A *4538:15 3.8122e-05 +64 *23194:B *4538:15 0.000453443 +65 *23195:B *4538:15 6.08467e-05 +66 *23293:B1_N *4538:15 0.000260374 +67 *23412:A *4538:15 0.000207266 +68 *23413:B *4538:15 6.50727e-05 +69 *23544:A *4538:51 0.000242572 +70 *23626:A *4538:61 0.000801828 +71 *23646:B1 *23636:A1 5.86672e-05 +72 *23650:B1 *4538:61 4.76988e-05 +73 *24187:D *4538:20 0.000151741 +74 *24381:D *4538:41 1.15765e-05 +75 *576:7 *4538:15 6.08467e-05 +76 *657:181 *4538:51 0.000109248 +77 *1483:14 *4538:41 0.000294241 +78 *1550:53 *4538:31 0.00033417 +79 *1574:20 *4538:31 1.65872e-05 +80 *1809:160 *4538:20 0 +81 *1829:77 *4538:51 0.000941383 +82 *1947:56 *4538:41 0.000247443 +83 *2044:15 *4538:22 0.00121272 +84 *2045:17 *4538:22 0.000618697 +85 *2079:21 *4538:41 0.00023141 +86 *2079:23 *4538:41 5.41377e-05 +87 *2167:33 *4538:31 1.18938e-05 +88 *2167:44 *4538:22 0.000224015 +89 *2167:44 *4538:31 0.000110784 +90 *2168:14 *4538:22 0 +91 *2168:14 *4538:31 0.000169388 +92 *2168:28 *4538:31 0.000486714 +93 *2655:19 *4538:31 0.000195826 +94 *2765:14 *4538:22 1.32509e-05 +95 *2838:57 *23636:A1 0.000168456 +96 *2838:64 *23636:A1 3.45588e-05 +97 *2838:64 *4538:61 1.10671e-05 +98 *3002:69 *4538:61 3.11366e-05 +99 *3027:8 *4538:31 8.15708e-05 +100 *3089:33 *4538:31 3.75221e-05 +101 *3089:33 *4538:41 0.000514566 +102 *3791:81 *4538:51 6.71634e-05 +103 *3838:7 *4538:12 0.000109503 +104 *3856:39 *4538:12 0.000191556 +105 *3857:18 *4538:20 8.45896e-06 +106 *3857:18 *4538:22 0.00127965 +107 *3868:19 *4538:12 5.92342e-05 +108 *3925:30 *4538:12 0 +109 *3999:124 *4538:51 1.92235e-05 +110 *4001:244 *4538:15 0.000419128 +111 *4003:36 *4538:20 0.000867257 +112 *4007:8 *4538:61 1.23804e-05 +113 *4011:22 *4538:61 1.80692e-05 +114 *4014:10 *4538:12 0.0004041 +115 *4018:39 *4538:61 0.000145551 +116 *4089:27 *4538:61 7.56514e-05 +117 *4089:38 *4538:61 0.000170163 +118 *4103:18 *4538:15 6.08467e-05 +119 *4106:55 *4538:15 0.000154145 +120 *4116:32 *4538:12 0.000340742 +121 *4136:18 *4538:51 0.000415311 +122 *4146:26 *4538:22 1.40384e-05 +123 *4146:35 *4538:22 8.15496e-05 +124 *4302:8 *4538:12 0.000195139 +125 *4374:40 *4538:15 5.04829e-06 +126 *4426:10 *23636:A1 0.000225089 +127 *4494:18 *4538:51 4.60375e-07 +*RES +1 *23633:Y *4538:12 46.9517 +2 *4538:12 *4538:14 4.5 +3 *4538:14 *4538:15 64.5028 +4 *4538:15 *4538:20 20.9757 +5 *4538:20 *4538:22 50.8318 +6 *4538:22 *4538:31 47.4915 +7 *4538:31 *4538:41 44.7416 +8 *4538:41 *4538:51 47.4123 +9 *4538:51 *4538:61 25.8966 +10 *4538:61 *23650:A1 9.24915 +11 *4538:61 *23636:A1 38.0051 +*END + +*D_NET *4539 0.0128562 +*CONN +*I *23641:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23637:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23643:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23636:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23634:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23641:A2 4.64535e-05 +2 *23637:A2 0.000277481 +3 *23643:A2 3.00134e-05 +4 *23636:A2 4.87032e-05 +5 *23634:Y 0.000431912 +6 *4539:37 0.00151284 +7 *4539:20 0.00208723 +8 *4539:14 0.00100704 +9 *4539:12 0.000614836 +10 *23636:A2 *23646:A3 0 +11 *23637:A2 *23644:A 7.13972e-05 +12 *23637:A2 *4549:7 3.99086e-06 +13 *23637:A2 *4570:13 0.000141512 +14 *23637:A2 *4717:25 3.74883e-05 +15 *23637:A2 *5082:99 4.90621e-05 +16 *23641:A2 *23641:A1 6.08467e-05 +17 *23641:A2 *5082:93 0.000107496 +18 *23643:A2 *23643:A3 6.08467e-05 +19 *23643:A2 *5921:26 0.000107496 +20 *4539:12 *4544:22 0.000644623 +21 *4539:12 *4545:8 0.000493957 +22 *4539:12 *4545:22 2.95757e-05 +23 *4539:14 *4544:22 0.000273634 +24 *4539:14 *4545:22 0.000175182 +25 *4539:20 *4548:8 3.04538e-05 +26 *4539:37 *23636:A3 7.54564e-05 +27 *4539:37 *23844:A 7.93338e-05 +28 *4539:37 *23848:A 6.16836e-05 +29 *4539:37 *4618:33 0.00024525 +30 *4539:37 *4646:18 4.3116e-06 +31 *4539:37 *4742:8 0.000487787 +32 *4539:37 *4744:20 7.50872e-05 +33 *23129:B *4539:12 1.21461e-06 +34 *23510:A *4539:12 0.000263219 +35 *23517:C1 *4539:12 0.000173175 +36 *23565:A *4539:14 7.46648e-06 +37 *23565:A *4539:20 9.17127e-05 +38 *23641:B1 *23641:A2 2.15184e-05 +39 *2660:52 *4539:20 0.000100369 +40 *2660:52 *4539:37 0.000700777 +41 *2660:68 *4539:37 0.000122776 +42 *3829:97 *4539:37 6.18759e-05 +43 *4024:48 *23643:A2 1.65872e-05 +44 *4024:70 *4539:37 1.86314e-05 +45 *4027:20 *4539:20 0.000310444 +46 *4045:8 *4539:37 1.19265e-05 +47 *4126:145 *4539:20 5.05252e-05 +48 *4126:161 *4539:20 0.000114869 +49 *4126:161 *4539:37 3.63738e-05 +50 *4138:78 *4539:37 0.000116111 +51 *4138:97 *4539:37 0.000238213 +52 *4143:36 *4539:12 6.23012e-05 +53 *4472:11 *4539:14 0.000101148 +54 *4472:11 *4539:20 0.000862016 +*RES +1 *23634:Y *4539:12 31.7421 +2 *4539:12 *4539:14 5.56926 +3 *4539:14 *4539:20 21.4221 +4 *4539:20 *4539:37 49.2542 +5 *4539:37 *23636:A2 10.4789 +6 *4539:20 *23643:A2 15.0271 +7 *4539:14 *23637:A2 29.4627 +8 *4539:12 *23641:A2 15.6059 +*END + +*D_NET *4540 0.0221766 +*CONN +*I *23651:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23641:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23637:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23646:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23647:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23649:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23650:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23636:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23643:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23635:X O *D sky130_fd_sc_hd__or2_4 +*CAP +1 *23651:A3 0.000145836 +2 *23641:A3 6.41248e-05 +3 *23637:A3 0.000224675 +4 *23646:A3 0.000196817 +5 *23647:A3 7.17423e-05 +6 *23649:A3 0.000240019 +7 *23650:A3 0.000217749 +8 *23636:A3 0.000148367 +9 *23643:A3 0.000101406 +10 *23635:X 0.000792136 +11 *4540:114 0.00089423 +12 *4540:57 0.000722477 +13 *4540:53 0.000754331 +14 *4540:46 0.000901918 +15 *4540:31 0.000913198 +16 *4540:20 0.000723976 +17 *4540:19 0.00189501 +18 *4540:14 0.00208259 +19 *23636:A3 *4541:7 1.03403e-05 +20 *23637:A3 *5082:93 1.03403e-05 +21 *23637:A3 *5082:99 5.04829e-06 +22 *23641:A3 *23644:C 4.8363e-06 +23 *23641:A3 *4544:22 3.7752e-05 +24 *23641:A3 *5082:93 7.50872e-05 +25 *23643:A3 *5921:26 9.95234e-05 +26 *23646:A3 *23646:A1 0 +27 *23646:A3 *23646:A2 1.64789e-05 +28 *23647:A3 *23646:A1 5.04829e-06 +29 *23647:A3 *23646:A2 7.92757e-06 +30 *23647:A3 *23648:D 1.98828e-05 +31 *23647:A3 *23731:D 1.5714e-05 +32 *23647:A3 *4552:7 6.08467e-05 +33 *23649:A3 *23652:A 0.000382765 +34 *23649:A3 *4634:28 0.000100436 +35 *23649:A3 *4745:43 0.000341513 +36 *23650:A3 *23801:A2 3.99338e-05 +37 *23650:A3 *4550:67 2.57465e-06 +38 *23650:A3 *4567:16 0 +39 *23651:A3 *23651:A2 0.000296903 +40 *23651:A3 *23858:A3 0.000108735 +41 *4540:14 *23742:B 0.000445256 +42 *4540:14 *5921:26 0.000158371 +43 *4540:19 *23819:B 6.91851e-05 +44 *4540:19 *23852:A 0.000143328 +45 *4540:46 *23648:A 2.33193e-05 +46 *4540:46 *4646:18 7.14746e-05 +47 *4540:53 *23648:A 1.42957e-05 +48 *4540:53 *23648:D 1.41908e-05 +49 *4540:53 *4570:13 0 +50 *4540:53 *4646:18 4.61962e-05 +51 *4540:53 *4745:43 0.000162932 +52 *4540:57 *23648:D 5.74227e-06 +53 *4540:57 *23652:A 0.00017705 +54 *4540:57 *4745:43 0.000455531 +55 *4540:114 *23644:C 8.80622e-05 +56 *4540:114 *23644:D 0.000201879 +57 *4540:114 *23783:D 0.000143267 +58 *4540:114 *4631:20 0.000250398 +59 *4540:114 *4632:8 0.000305519 +60 *23099:A *23650:A3 0.000110384 +61 *23136:A_N *4540:20 1.5254e-05 +62 *23136:D *4540:20 0.000187498 +63 *23136:D *4540:31 4.0327e-05 +64 *23565:A *23637:A3 6.79007e-05 +65 *23565:A *23641:A3 4.36e-05 +66 *23565:A *4540:114 0.000163862 +67 *23565:B *23637:A3 5.04829e-06 +68 *23565:C *23637:A3 3.30822e-05 +69 *23570:B *23636:A3 0.00011906 +70 *23570:B *4540:31 0.000695611 +71 *23635:A *4540:14 2.16355e-05 +72 *23635:B *4540:14 0.000296893 +73 *23636:A1 *23646:A3 8.18491e-05 +74 *23636:A1 *23650:A3 2.57986e-05 +75 *23636:A2 *23646:A3 0 +76 *23643:A2 *23643:A3 6.08467e-05 +77 *23647:A1 *23650:A3 0.000116854 +78 *657:171 *4540:53 0 +79 *2660:52 *4540:19 8.28144e-05 +80 *2660:68 *4540:53 5.46378e-05 +81 *2838:57 *4540:46 0.000349354 +82 *2838:64 *23650:A3 2.16355e-05 +83 *3829:85 *4540:31 5.64813e-06 +84 *3829:97 *4540:31 8.8927e-06 +85 *3833:73 *4540:19 7.32763e-05 +86 *3833:73 *4540:114 8.62119e-05 +87 *3833:75 *23636:A3 0.000111921 +88 *3833:75 *4540:20 9.43539e-05 +89 *3833:75 *4540:31 0.000917218 +90 *3833:75 *4540:114 1.62909e-05 +91 *3842:141 *4540:31 1.41396e-05 +92 *3868:151 *4540:19 6.71614e-05 +93 *3874:77 *4540:19 6.87972e-05 +94 *3974:107 *4540:19 6.44502e-05 +95 *4007:8 *23650:A3 0 +96 *4007:8 *23651:A3 9.72199e-05 +97 *4007:8 *4540:14 0.000188467 +98 *4018:23 *23651:A3 9.35482e-05 +99 *4018:23 *4540:14 0.000183386 +100 *4021:24 *23647:A3 3.63738e-05 +101 *4021:24 *4540:53 0.000262262 +102 *4024:48 *23643:A3 0.000347849 +103 *4042:20 *4540:20 4.00504e-05 +104 *4042:20 *4540:114 0.000167018 +105 *4352:31 *4540:14 0.000517593 +106 *4413:6 *4540:14 6.56365e-05 +107 *4415:7 *4540:14 1.34424e-05 +108 *4415:29 *23650:A3 8.77951e-05 +109 *4471:10 *23641:A3 0.00017419 +110 *4472:11 *23637:A3 3.82228e-05 +111 *4487:36 *23649:A3 9.38347e-05 +112 *4496:31 *23637:A3 6.36477e-05 +113 *4539:37 *23636:A3 7.54564e-05 +*RES +1 *23635:X *4540:14 43.5796 +2 *4540:14 *4540:19 9.00567 +3 *4540:19 *4540:20 4.94639 +4 *4540:20 *23643:A3 17.8002 +5 *4540:20 *4540:31 18.3444 +6 *4540:31 *23636:A3 18.3836 +7 *4540:31 *4540:46 14.4678 +8 *4540:46 *4540:53 5.25347 +9 *4540:53 *4540:57 0.824764 +10 *4540:57 *23650:A3 23.203 +11 *4540:57 *23649:A3 19.7429 +12 *4540:53 *23647:A3 19.2139 +13 *4540:46 *23646:A3 17.7037 +14 *4540:19 *4540:114 21.9229 +15 *4540:114 *23637:A3 18.9094 +16 *4540:114 *23641:A3 17.2421 +17 *4540:14 *23651:A3 19.2852 +*END + +*D_NET *4541 0.00934803 +*CONN +*I *23648:A I *D sky130_fd_sc_hd__or4_1 +*I *23724:A I *D sky130_fd_sc_hd__or4b_2 +*I *23636:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23648:A 0.000313695 +2 *23724:A 0.000798938 +3 *23636:X 5.38744e-05 +4 *4541:22 0.00194687 +5 *4541:7 0.00151551 +6 *23648:A *23648:C 6.3657e-05 +7 *23648:A *23648:D 0.000161234 +8 *23648:A *4646:18 1.75625e-05 +9 *23724:A *5082:62 0.000159461 +10 *23137:A2 *4541:22 0.000100645 +11 *23140:B1 *4541:22 1.2834e-05 +12 *23569:A1 *23724:A 1.86314e-05 +13 *23569:B2 *23724:A 0.000200794 +14 *23636:A3 *4541:7 1.03403e-05 +15 *23636:B1 *23648:A 0 +16 *23636:B1 *4541:22 0.000132116 +17 *23646:B1 *4541:22 0.000615071 +18 *23745:C1 *23724:A 6.3657e-05 +19 *23801:B1 *4541:22 0.000682327 +20 *1755:67 *23648:A 0.000148998 +21 *2660:68 *23648:A 5.436e-05 +22 *2838:57 *23648:A 0.000115676 +23 *3833:75 *4541:22 0.000169126 +24 *3842:150 *23724:A 0.000502678 +25 *3842:150 *4541:22 6.14682e-06 +26 *3895:26 *4541:22 0.000321455 +27 *3895:27 *23724:A 0.000108865 +28 *4019:32 *23724:A 4.60197e-05 +29 *4045:8 *23648:A 8.37489e-05 +30 *4045:8 *4541:22 0.000421671 +31 *4428:8 *4541:22 0.000323315 +32 *4478:6 *4541:22 0.000141143 +33 *4540:46 *23648:A 2.33193e-05 +34 *4540:53 *23648:A 1.42957e-05 +*RES +1 *23636:X *4541:7 14.4725 +2 *4541:7 *4541:22 38.5207 +3 *4541:22 *23724:A 34.0482 +4 *4541:7 *23648:A 24.3465 +*END + +*D_NET *4542 0.0016121 +*CONN +*I *23644:A I *D sky130_fd_sc_hd__or4_1 +*I *23729:B I *D sky130_fd_sc_hd__or2_1 +*I *23637:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23644:A 9.59748e-05 +2 *23729:B 0.000321414 +3 *23637:X 5.15189e-05 +4 *4542:6 0.000468907 +5 *23644:A *4549:7 3.82228e-05 +6 *23729:B *4549:10 9.75356e-05 +7 *23729:B *4632:8 2.29527e-05 +8 *23729:B *4649:24 0.000137971 +9 *23729:B *4717:25 2.58163e-05 +10 *4542:6 *4649:24 0.000134838 +11 *4542:6 *4717:25 0.000145547 +12 *23637:A2 *23644:A 7.13972e-05 +*RES +1 *23637:X *4542:6 16.4116 +2 *4542:6 *23729:B 21.0173 +3 *4542:6 *23644:A 16.1364 +*END + +*D_NET *4543 0.000456883 +*CONN +*I *23639:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23638:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23639:A3 7.58766e-05 +2 *23638:Y 7.58766e-05 +3 *23127:A1 *23639:A3 6.08467e-05 +4 *3848:30 *23639:A3 0.000116971 +5 *4470:15 *23639:A3 0.000127311 +*RES +1 *23638:Y *23639:A3 30.4689 +*END + +*D_NET *4544 0.00967998 +*CONN +*I *23728:C1 I *D sky130_fd_sc_hd__a211o_1 +*I *23644:B I *D sky130_fd_sc_hd__or4_1 +*I *23639:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23728:C1 9.67943e-05 +2 *23644:B 0 +3 *23639:X 0.000161605 +4 *4544:22 0.00131268 +5 *4544:20 0.00161657 +6 *4544:11 0.000562282 +7 *4544:22 *5082:93 7.14746e-05 +8 *23018:A *4544:22 1.91391e-05 +9 *23127:A1 *4544:11 0.000107496 +10 *23131:B1 *4544:22 5.41227e-05 +11 *23133:A *23728:C1 0.000163465 +12 *23133:A *4544:20 0.000219618 +13 *23517:C1 *4544:22 0.000387082 +14 *23563:A2 *4544:11 0.000107685 +15 *23563:A2 *4544:20 1.58551e-05 +16 *23563:B1 *4544:11 5.51483e-06 +17 *23563:B1 *4544:20 1.41976e-05 +18 *23563:D1 *4544:20 0.000197254 +19 *23563:D1 *4544:22 1.9101e-05 +20 *23565:A *4544:22 0.000152344 +21 *23640:A *4544:22 0.000372706 +22 *23641:A3 *4544:22 3.7752e-05 +23 *23728:A1 *23728:C1 6.22816e-07 +24 *23818:B1 *4544:22 8.40305e-05 +25 *3829:67 *4544:22 0.000121595 +26 *3861:252 *4544:22 0.000157788 +27 *3874:40 *23728:C1 0.000191556 +28 *3874:40 *4544:20 9.75356e-05 +29 *3877:106 *4544:22 8.72115e-06 +30 *3877:120 *4544:22 5.88525e-05 +31 *3891:193 *4544:11 0.000107496 +32 *3922:50 *4544:22 0.000330236 +33 *3922:58 *4544:22 0.000411534 +34 *3927:42 *4544:22 0.00113023 +35 *4026:50 *4544:20 4.2009e-05 +36 *4026:50 *4544:22 3.05462e-05 +37 *4026:54 *4544:22 4.31453e-05 +38 *4032:37 *23728:C1 5.00266e-06 +39 *4033:8 *23728:C1 3.31882e-05 +40 *4040:34 *4544:22 1.35073e-05 +41 *4333:10 *4544:22 0 +42 *4470:15 *4544:11 8.38728e-05 +43 *4471:10 *4544:22 0.000115515 +44 *4539:12 *4544:22 0.000644623 +45 *4539:14 *4544:22 0.000273634 +*RES +1 *23639:X *4544:11 18.5477 +2 *4544:11 *4544:20 17.3739 +3 *4544:20 *4544:22 53.5309 +4 *4544:22 *23644:B 13.7491 +5 *4544:11 *23728:C1 17.9173 +*END + +*D_NET *4545 0.0117063 +*CONN +*I *23641:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23651:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23646:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23640:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23641:A1 3.39494e-05 +2 *23651:A2 0.000357471 +3 *23646:A1 0.000971766 +4 *23640:Y 0.000335273 +5 *4545:22 0.00229672 +6 *4545:8 0.00133671 +7 *23641:A1 *5082:93 1.65872e-05 +8 *23641:A1 *5815:68 1.65872e-05 +9 *23646:A1 *23646:A2 0.000209388 +10 *23646:A1 *23647:A2 4.31603e-06 +11 *23646:A1 *23648:D 4.16917e-05 +12 *23646:A1 *23652:A 1.5714e-05 +13 *23646:A1 *23852:B 0.000606856 +14 *23646:A1 *4552:7 7.92757e-06 +15 *23646:A1 *4567:127 0.000151609 +16 *23646:A1 *4649:15 0.000746239 +17 *23651:A2 *23816:A 6.08467e-05 +18 *23651:A2 *23858:A3 9.94284e-06 +19 *23651:A2 *4556:5 0.000107496 +20 *23651:A2 *4684:5 7.20235e-06 +21 *23651:A2 *4684:34 2.84713e-05 +22 *4545:8 *4649:24 0.000203864 +23 *4545:22 *23852:B 1.5254e-05 +24 *4545:22 *4567:127 0.00025541 +25 *4545:22 *4646:14 9.34919e-05 +26 *4545:22 *4649:20 2.01595e-05 +27 *4545:22 *4649:24 0.00058912 +28 *23510:A *4545:8 1.79271e-05 +29 *23519:C1 *23651:A2 0.000105515 +30 *23565:A *4545:22 1.66771e-05 +31 *23636:A1 *23646:A1 2.07365e-05 +32 *23641:A2 *23641:A1 6.08467e-05 +33 *23641:B1 *23641:A1 6.08467e-05 +34 *23646:A3 *23646:A1 0 +35 *23646:B1 *23646:A1 6.03397e-05 +36 *23647:A1 *23646:A1 0.000252911 +37 *23647:A3 *23646:A1 5.04829e-06 +38 *23651:A3 *23651:A2 0.000296903 +39 *23651:B1 *23651:A2 3.41459e-05 +40 *23661:A *23646:A1 1.56458e-05 +41 *23661:B *23646:A1 1.5714e-05 +42 *23687:A2 *4545:22 0.000250244 +43 *23828:A1 *4545:22 5.91624e-05 +44 *3861:202 *4545:8 7.98171e-06 +45 *4024:27 *23651:A2 0.000156955 +46 *4415:146 *23651:A2 0.000160617 +47 *4424:9 *23651:A2 1.66531e-05 +48 *4424:9 *4545:22 0.000213511 +49 *4472:11 *4545:22 0.000639099 +50 *4539:12 *4545:8 0.000493957 +51 *4539:12 *4545:22 2.95757e-05 +52 *4539:14 *4545:22 0.000175182 +*RES +1 *23640:Y *4545:8 24.3337 +2 *4545:8 *4545:22 37.5953 +3 *4545:22 *23646:A1 43.5381 +4 *4545:22 *23651:A2 23.9249 +5 *4545:8 *23641:A1 15.0271 +*END + +*D_NET *4546 0.00587369 +*CONN +*I *23818:C1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23644:C I *D sky130_fd_sc_hd__or4_1 +*I *23641:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23818:C1 0.000723462 +2 *23644:C 0.000229503 +3 *23641:X 0 +4 *4546:5 0.000952965 +5 *23644:C *4631:20 5.36397e-05 +6 *23818:C1 *4631:20 6.03911e-05 +7 *23516:A1 *23818:C1 1.5714e-05 +8 *23565:B *23644:C 0.000187391 +9 *23641:A3 *23644:C 4.8363e-06 +10 *3829:59 *23818:C1 4.91931e-05 +11 *3829:67 *23818:C1 9.69066e-05 +12 *3862:58 *23818:C1 0.000110809 +13 *3877:106 *23818:C1 0.000333889 +14 *3922:58 *23818:C1 1.67716e-05 +15 *4126:137 *23818:C1 0.00110595 +16 *4333:15 *23818:C1 0.000588803 +17 *4471:10 *23644:C 0.000122098 +18 *4471:10 *23818:C1 0.00113331 +19 *4540:114 *23644:C 8.80622e-05 +*RES +1 *23641:X *4546:5 13.7491 +2 *4546:5 *23644:C 21.151 +3 *4546:5 *23818:C1 47.9708 +*END + +*D_NET *4547 0.0464361 +*CONN +*I *23649:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23643:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23642:Y O *D sky130_fd_sc_hd__nor3_4 +*CAP +1 *23649:A2 0.000636249 +2 *23643:A1 0.000509038 +3 *23642:Y 5.07996e-05 +4 *4547:35 0.00565268 +5 *4547:19 0.00550918 +6 *4547:14 0.00191698 +7 *4547:9 0.00313609 +8 *4547:8 0.00227168 +9 *23643:A1 *4570:13 1.9101e-05 +10 *23643:A1 *5458:13 7.3322e-05 +11 *23643:A1 *5921:26 0.000346367 +12 *23649:A2 *23649:A1 6.01453e-06 +13 *23649:A2 *23652:B 1.03403e-05 +14 *23649:A2 *23733:C 0.000213214 +15 *23649:A2 *4634:28 6.12631e-05 +16 *23649:A2 *4742:8 0.000203595 +17 *4547:14 *6001:8 0.000767585 +18 *4547:14 *6021:14 0.000168181 +19 *4547:19 *21017:B1 0.000438373 +20 *4547:19 *21751:A 0.000623703 +21 *4547:19 *24383:CLK 1.87125e-05 +22 *4547:19 *6001:8 2.57809e-05 +23 *4547:19 *6001:26 2.77564e-05 +24 *4547:35 *20794:A1 1.87269e-05 +25 *4547:35 *24315:CLK 0.000383136 +26 *4547:35 *4550:53 0.0019109 +27 *4547:35 *4602:27 0.000233512 +28 *4547:35 *4602:34 8.74221e-06 +29 *4547:35 *4664:33 0.000651603 +30 *4547:35 *4739:51 1.89753e-05 +31 *4547:35 *5458:13 0.000135087 +32 *4547:35 *5815:64 0 +33 *4547:35 *5861:127 9.22013e-06 +34 *4547:35 *6001:38 0.00339567 +35 *21014:B *4547:35 0.000171764 +36 *21017:B2 *4547:19 0.000381968 +37 *21021:B2 *4547:14 5.1573e-05 +38 *23057:A2 *4547:9 0.000587179 +39 *23101:B *4547:8 7.26748e-05 +40 *23101:B *4547:9 6.3657e-05 +41 *23518:A *23643:A1 1.66741e-05 +42 *23518:B *23643:A1 0.000161172 +43 *24252:D *4547:14 0.000130777 +44 *24254:D *4547:19 0.000119049 +45 *657:181 *4547:35 0 +46 *1483:13 *4547:9 0.000535193 +47 *1483:123 *4547:9 0.000390644 +48 *1521:28 *4547:19 0.000127232 +49 *1521:28 *4547:35 1.21032e-05 +50 *1550:64 *4547:35 0.000127866 +51 *1550:73 *4547:35 0.000233971 +52 *1575:29 *4547:35 1.47014e-05 +53 *1947:56 *4547:35 9.73335e-05 +54 *2174:12 *4547:19 0.000113548 +55 *2174:22 *4547:14 2.27135e-05 +56 *2174:22 *4547:19 0.000601581 +57 *2175:16 *4547:14 0.000166987 +58 *2175:18 *4547:14 3.88655e-06 +59 *2175:18 *4547:19 0.00075528 +60 *2531:30 *4547:35 0.00010436 +61 *2624:33 *4547:35 0.00186742 +62 *3082:31 *4547:9 0.00314106 +63 *3082:39 *4547:9 0.000168923 +64 *3089:33 *4547:19 6.23875e-05 +65 *3843:8 *4547:9 0.000208957 +66 *3857:42 *4547:19 0.000362361 +67 *3857:42 *4547:35 2.49331e-05 +68 *3857:49 *4547:35 0.00118236 +69 *3874:77 *23643:A1 0.000712492 +70 *3874:77 *4547:35 0.000429856 +71 *3874:92 *4547:35 2.49847e-05 +72 *3974:107 *23643:A1 1.5714e-05 +73 *3996:31 *4547:9 0.000439942 +74 *3996:33 *4547:9 6.36477e-05 +75 *3999:35 *4547:35 0.000126239 +76 *4001:75 *4547:35 5.74227e-06 +77 *4007:8 *23649:A2 0.000743364 +78 *4014:10 *4547:8 9.43033e-05 +79 *4018:23 *23649:A2 0.000833625 +80 *4018:39 *23649:A2 1.72799e-05 +81 *4024:48 *23643:A1 5.26257e-05 +82 *4034:34 *4547:14 0.000289103 +83 *4034:43 *4547:14 0.000752795 +84 *4116:38 *4547:8 0 +85 *4352:31 *23649:A2 4.31485e-06 +86 *4487:36 *23649:A2 0.000114426 +87 *4529:25 *4547:35 4.7282e-05 +88 *4538:51 *4547:35 9.94664e-06 +89 *4538:61 *23649:A2 0.000124602 +*RES +1 *23642:Y *4547:8 20.0811 +2 *4547:8 *4547:9 60.6206 +3 *4547:9 *4547:14 39.6621 +4 *4547:14 *4547:19 46.5754 +5 *4547:19 *4547:35 48.321 +6 *4547:35 *23643:A1 22.5918 +7 *4547:35 *23649:A2 49.8737 +*END + +*D_NET *4548 0.0029229 +*CONN +*I *23644:D I *D sky130_fd_sc_hd__or4_1 +*I *23783:A I *D sky130_fd_sc_hd__or4_2 +*I *23643:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23644:D 0.000255908 +2 *23783:A 1.59894e-05 +3 *23643:X 0.000245098 +4 *4548:8 0.000516996 +5 *23644:D *23783:D 0.00017017 +6 *23644:D *4632:8 0.000312631 +7 *4548:8 *23783:D 3.20069e-06 +8 *4548:8 *5921:26 6.36477e-05 +9 *23118:A *23783:A 1.43848e-05 +10 *23565:A *23644:D 0.000138272 +11 *23725:B1 *23783:A 6.50586e-05 +12 *3833:73 *4548:8 6.84784e-06 +13 *3833:75 *4548:8 5.84209e-05 +14 *4027:20 *23644:D 0.000576835 +15 *4027:20 *4548:8 5.05252e-05 +16 *4045:8 *4548:8 6.85742e-05 +17 *4126:145 *4548:8 4.18989e-05 +18 *4126:161 *4548:8 8.61131e-05 +19 *4539:20 *4548:8 3.04538e-05 +20 *4540:114 *23644:D 0.000201879 +*RES +1 *23643:X *4548:8 20.0418 +2 *4548:8 *23783:A 14.4725 +3 *4548:8 *23644:D 26.7929 +*END + +*D_NET *4549 0.00545739 +*CONN +*I *23648:B I *D sky130_fd_sc_hd__or4_1 +*I *23644:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23648:B 0 +2 *23644:X 0.00013158 +3 *4549:10 0.000954014 +4 *4549:7 0.00108559 +5 *4549:10 *23648:C 2.22198e-05 +6 *4549:10 *4646:18 8.20058e-05 +7 *4549:10 *4649:20 0.000864604 +8 *4549:10 *4649:24 9.77382e-05 +9 *4549:10 *4717:25 0.00055272 +10 *23637:A2 *4549:7 3.99086e-06 +11 *23644:A *4549:7 3.82228e-05 +12 *23729:B *4549:10 9.75356e-05 +13 *1755:76 *4549:10 0.000350683 +14 *3872:119 *4549:10 9.83856e-05 +15 *4021:24 *4549:10 0.000712221 +16 *4024:41 *4549:10 0.000248861 +17 *4026:104 *4549:10 5.8362e-05 +18 *4426:10 *4549:10 5.86567e-05 +*RES +1 *23644:X *4549:7 15.5817 +2 *4549:7 *4549:10 41.2132 +3 *4549:10 *23648:B 9.24915 +*END + +*D_NET *4550 0.054348 +*CONN +*I *23649:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23650:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23647:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23646:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23645:Y O *D sky130_fd_sc_hd__nor2_4 +*CAP +1 *23649:A1 0.000205414 +2 *23650:A2 0 +3 *23647:A2 1.09734e-05 +4 *23646:A2 0.00011234 +5 *23645:Y 0.000674772 +6 *4550:67 0.000465853 +7 *4550:58 0.000704755 +8 *4550:53 0.00536001 +9 *4550:40 0.0062628 +10 *4550:25 0.0023769 +11 *4550:19 0.00131541 +12 *4550:11 0.00342919 +13 *4550:10 0.00369504 +14 *23646:A2 *4567:16 0.000345048 +15 *23649:A1 *23652:B 5.20254e-05 +16 *23649:A1 *23733:C 1.49884e-05 +17 *23649:A1 *4555:8 2.23682e-05 +18 *23649:A1 *4556:16 0.000154403 +19 *23649:A1 *4634:28 1.52311e-05 +20 *23649:A1 *4635:18 1.27831e-06 +21 *4550:19 *24426:SET_B 0.000154145 +22 *4550:19 *4665:58 1.561e-05 +23 *4550:25 *4665:58 0.00252639 +24 *4550:40 *21020:A1 0.00032889 +25 *4550:40 *21020:B1 1.00981e-05 +26 *4550:40 *4797:18 6.73186e-05 +27 *4550:40 *5410:8 1.66771e-05 +28 *4550:40 *5856:74 0 +29 *4550:40 *5921:49 0.000751864 +30 *4550:53 *23819:B 4.09474e-05 +31 *4550:53 *23858:A3 0.000132077 +32 *4550:53 *4602:34 0.00443984 +33 *4550:53 *4664:33 0.000410252 +34 *4550:53 *4745:43 5.0182e-05 +35 *4550:53 *4800:14 7.78043e-05 +36 *4550:58 *23801:A2 5.74184e-05 +37 *4550:58 *4745:43 8.49693e-07 +38 *4550:67 *4567:16 0.000111722 +39 *21020:B2 *4550:40 6.08467e-05 +40 *23194:A *4550:11 0.000304791 +41 *23194:B *4550:11 9.31436e-05 +42 *23201:A *4550:10 6.08467e-05 +43 *23201:B *4550:10 0.000107496 +44 *23202:A *4550:10 3.07726e-05 +45 *23412:A *4550:11 7.89747e-05 +46 *23413:B *4550:11 2.65831e-05 +47 *23633:B *4550:10 6.50727e-05 +48 *23636:A1 *23646:A2 7.92757e-06 +49 *23646:A1 *23646:A2 0.000209388 +50 *23646:A1 *23647:A2 4.31603e-06 +51 *23646:A3 *23646:A2 1.64789e-05 +52 *23647:A1 *23647:A2 0 +53 *23647:A1 *4550:67 0.000361371 +54 *23647:A3 *23646:A2 7.92757e-06 +55 *23649:A2 *23649:A1 6.01453e-06 +56 *23650:A3 *4550:67 2.57465e-06 +57 *23651:B1 *4550:53 7.40684e-06 +58 *23655:D *4550:10 3.31733e-05 +59 *24251:D *4550:40 4.31539e-05 +60 *24278:D *4550:25 0.000127179 +61 *495:8 *4550:25 4.55396e-05 +62 *657:171 *4550:53 0.00102501 +63 *657:171 *4550:58 0.000474847 +64 *1482:29 *4550:53 3.10606e-05 +65 *1707:39 *4550:53 0.000525962 +66 *1808:78 *4550:53 0.000547303 +67 *1809:103 *4550:40 5.31119e-05 +68 *1809:142 *4550:40 0.000100551 +69 *1947:45 *4550:40 0.000345446 +70 *2620:57 *4550:53 0.000755488 +71 *2660:18 *4550:40 0.000748267 +72 *2963:57 *4550:53 2.11494e-05 +73 *2963:93 *4550:53 2.50646e-05 +74 *3082:8 *4550:19 0.000890722 +75 *3089:42 *4550:25 0.00229113 +76 *3373:24 *4550:53 0.00125166 +77 *3781:83 *4550:53 0.00134879 +78 *3842:24 *4550:10 0.000542052 +79 *3868:19 *4550:10 1.80994e-05 +80 *3877:20 *4550:10 0.000479204 +81 *3990:46 *4550:10 1.3807e-05 +82 *3999:35 *4550:53 8.00779e-06 +83 *4001:244 *4550:11 0.00182418 +84 *4003:42 *4550:19 0.000118485 +85 *4007:8 *4550:58 1.74249e-05 +86 *4007:8 *4550:67 5.58445e-05 +87 *4146:26 *4550:19 0.00105767 +88 *4301:40 *4550:53 0.000523021 +89 *4397:21 *4550:53 0.00031312 +90 *4415:29 *23649:A1 4.74387e-05 +91 *4415:29 *4550:58 7.39789e-05 +92 *4538:15 *4550:11 0.000797351 +93 *4547:35 *4550:53 0.0019109 +*RES +1 *23645:Y *4550:10 37.4286 +2 *4550:10 *4550:11 70.6034 +3 *4550:11 *4550:19 33.7747 +4 *4550:19 *4550:25 49.1359 +5 *4550:25 *4550:40 46.0724 +6 *4550:40 *4550:53 20.5657 +7 *4550:53 *4550:58 5.3162 +8 *4550:58 *4550:67 12.5156 +9 *4550:67 *23646:A2 13.8789 +10 *4550:67 *23647:A2 9.82786 +11 *4550:58 *23650:A2 13.7491 +12 *4550:53 *23649:A1 23.0905 +*END + +*D_NET *4551 0.00413619 +*CONN +*I *23648:C I *D sky130_fd_sc_hd__or4_1 +*I *23851:D I *D sky130_fd_sc_hd__or4_1 +*I *23646:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23648:C 9.77249e-05 +2 *23851:D 0.000912287 +3 *23646:X 0 +4 *4551:5 0.00101001 +5 *23648:C *4567:16 1.82696e-05 +6 *23648:C *4646:18 0.000161966 +7 *23851:D *4567:16 0.000184446 +8 *23851:D *4646:18 0.000245073 +9 *22968:B *23851:D 6.36477e-05 +10 *23523:A1 *23851:D 5.19466e-05 +11 *23573:A2 *23851:D 1.73713e-05 +12 *23576:A *23851:D 9.54888e-05 +13 *23636:B1 *23851:D 9.6266e-05 +14 *23646:B1 *23851:D 6.84784e-06 +15 *23648:A *23648:C 6.3657e-05 +16 *23851:B *23851:D 9.20782e-05 +17 *1690:86 *23851:D 0.000570453 +18 *2838:57 *23648:C 6.3657e-05 +19 *3842:247 *23851:D 0.000105489 +20 *3891:106 *23851:D 6.08467e-05 +21 *3895:8 *23851:D 5.41768e-05 +22 *4021:24 *23648:C 3.52645e-06 +23 *4430:10 *23851:D 0.000138735 +24 *4549:10 *23648:C 2.22198e-05 +*RES +1 *23646:X *4551:5 13.7491 +2 *4551:5 *23851:D 42.0502 +3 *4551:5 *23648:C 17.5503 +*END + +*D_NET *4552 0.00545794 +*CONN +*I *23648:D I *D sky130_fd_sc_hd__or4_1 +*I *23731:D I *D sky130_fd_sc_hd__or4_1 +*I *23647:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23648:D 0.000444384 +2 *23731:D 0.000886054 +3 *23647:X 8.59243e-05 +4 *4552:7 0.00141636 +5 *23648:D *23652:A 6.22732e-06 +6 *23648:D *23852:B 0.000149909 +7 *23648:D *4570:13 1.66626e-05 +8 *23648:D *4649:15 8.48439e-05 +9 *23648:D *4748:19 0.000108986 +10 *23731:D *23746:A 1.37385e-05 +11 *23731:D *4649:15 6.22114e-05 +12 *23731:D *4748:19 7.06787e-05 +13 *23731:D *5456:41 1.66626e-05 +14 *22968:B *23731:D 2.65667e-05 +15 *23113:A *23731:D 0.000181629 +16 *23576:D *23731:D 1.27347e-05 +17 *23582:B1 *23731:D 0.000446665 +18 *23636:A1 *23648:D 0.000166433 +19 *23646:A1 *23648:D 4.16917e-05 +20 *23646:A1 *4552:7 7.92757e-06 +21 *23646:B1 *23648:D 1.27831e-06 +22 *23647:A3 *23648:D 1.98828e-05 +23 *23647:A3 *23731:D 1.5714e-05 +24 *23647:A3 *4552:7 6.08467e-05 +25 *23647:B1 *23731:D 0.000111972 +26 *23648:A *23648:D 0.000161234 +27 *23731:C *23731:D 6.08467e-05 +28 *1755:67 *23648:D 6.64392e-05 +29 *2838:57 *23648:D 1.34424e-05 +30 *3893:10 *23731:D 0.000311906 +31 *4021:24 *23648:D 3.60585e-05 +32 *4021:24 *23731:D 0.000155684 +33 *4352:21 *23731:D 3.20386e-05 +34 *4415:50 *23731:D 0.000127046 +35 *4426:10 *23648:D 1.05862e-05 +36 *4482:6 *23731:D 6.73739e-06 +37 *4540:53 *23648:D 1.41908e-05 +38 *4540:57 *23648:D 5.74227e-06 +*RES +1 *23647:X *4552:7 15.0271 +2 *4552:7 *23731:D 40.3892 +3 *4552:7 *23648:D 30.2438 +*END + +*D_NET *4553 0.00471962 +*CONN +*I *23652:A I *D sky130_fd_sc_hd__or4_2 +*I *23648:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23652:A 0.00122302 +2 *23648:X 0.00122302 +3 *23652:A *23652:B 0.000293151 +4 *23652:A *23801:A2 2.54359e-05 +5 *23652:A *23852:B 1.9101e-05 +6 *23652:A *4556:16 5.14254e-06 +7 *23652:A *4634:28 8.91472e-05 +8 *23652:A *4745:43 0.000141258 +9 *23652:A *4748:19 5.76913e-05 +10 *23646:A1 *23652:A 1.5714e-05 +11 *23647:A1 *23652:A 0.000325662 +12 *23648:D *23652:A 6.22732e-06 +13 *23649:A3 *23652:A 0.000382765 +14 *23649:B1 *23652:A 1.48605e-05 +15 *2838:57 *23652:A 0.000154145 +16 *4021:24 *23652:A 0 +17 *4415:29 *23652:A 0.000566233 +18 *4540:57 *23652:A 0.00017705 +*RES +1 *23648:X *23652:A 44.7847 +*END + +*D_NET *4554 0.00394622 +*CONN +*I *23652:B I *D sky130_fd_sc_hd__or4_2 +*I *23785:C I *D sky130_fd_sc_hd__or4_4 +*I *23649:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23652:B 0.00028757 +2 *23785:C 0.000705321 +3 *23649:X 0 +4 *4554:4 0.000992891 +5 *23785:C *4634:13 1.03403e-05 +6 *23785:C *4634:28 0.00049884 +7 *23785:C *4647:17 0.000794754 +8 *23649:A1 *23652:B 5.20254e-05 +9 *23649:A2 *23652:B 1.03403e-05 +10 *23652:A *23652:B 0.000293151 +11 *4018:39 *23785:C 1.69305e-05 +12 *4022:22 *23785:C 2.1228e-06 +13 *4326:160 *23785:C 1.02986e-05 +14 *4347:12 *23785:C 5.1493e-06 +15 *4487:36 *23785:C 0.000266488 +*RES +1 *23649:X *4554:4 9.24915 +2 *4554:4 *23785:C 38.3859 +3 *4554:4 *23652:B 16.7966 +*END + +*D_NET *4555 0.00345708 +*CONN +*I *23652:C I *D sky130_fd_sc_hd__or4_2 +*I *23732:D I *D sky130_fd_sc_hd__or4_1 +*I *23650:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23652:C 0 +2 *23732:D 0.000313432 +3 *23650:X 0.000641371 +4 *4555:8 0.000954803 +5 *23732:D *4556:16 3.40268e-05 +6 *23732:D *4635:18 0.000143032 +7 *23732:D *4686:10 1.37925e-05 +8 *23732:D *5904:130 0.000154145 +9 *4555:8 *4556:16 0.000181551 +10 *4555:8 *4635:18 0.000242049 +11 *22971:A *23732:D 0.000158357 +12 *23444:B *23732:D 0.000203065 +13 *23636:A1 *4555:8 0.000214194 +14 *23649:A1 *4555:8 2.23682e-05 +15 *23649:B1 *23732:D 6.90264e-05 +16 *2838:64 *4555:8 5.92124e-06 +17 *2878:44 *23732:D 5.42408e-05 +18 *4538:61 *4555:8 5.1708e-05 +*RES +1 *23650:X *4555:8 26.0059 +2 *4555:8 *23732:D 23.2273 +3 *4555:8 *23652:C 13.7491 +*END + +*D_NET *4556 0.00552766 +*CONN +*I *23816:A I *D sky130_fd_sc_hd__or4_1 +*I *23652:D I *D sky130_fd_sc_hd__or4_2 +*I *23651:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23816:A 0.000512811 +2 *23652:D 0 +3 *23651:X 7.98254e-05 +4 *4556:16 0.000734465 +5 *4556:5 0.0013271 +6 *23816:A *23816:B 4.17531e-06 +7 *23816:A *23816:C 6.19854e-05 +8 *23816:A *23858:A3 5.5525e-06 +9 *4556:16 *23733:C 0.00102446 +10 *4556:16 *4634:28 7.99334e-09 +11 *23649:A1 *4556:16 0.000154403 +12 *23649:B1 *4556:16 9.12416e-06 +13 *23651:A2 *23816:A 6.08467e-05 +14 *23651:A2 *4556:5 0.000107496 +15 *23651:B1 *23816:A 2.16355e-05 +16 *23652:A *4556:16 5.14254e-06 +17 *23732:D *4556:16 3.40268e-05 +18 *2838:64 *4556:16 6.37384e-05 +19 *4089:38 *4556:16 7.2522e-06 +20 *4089:40 *4556:16 0.000110592 +21 *4228:45 *4556:16 0.000658474 +22 *4415:29 *4556:16 0.000312548 +23 *4415:123 *4556:16 5.04427e-05 +24 *4555:8 *4556:16 0.000181551 +*RES +1 *23651:X *4556:5 10.5271 +2 *4556:5 *4556:16 45.9202 +3 *4556:16 *23652:D 9.24915 +4 *4556:5 *23816:A 17.0618 +*END + +*D_NET *4557 0.0182514 +*CONN +*I *23658:A I *D sky130_fd_sc_hd__nor4_1 +*I *23652:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23658:A 0.00178649 +2 *23652:X 0.00072853 +3 *4557:12 0.00309663 +4 *4557:10 0.00203867 +5 *23658:A *23654:B1 0 +6 *23658:A *23658:D 0.000110306 +7 *23658:A *23721:A 3.91944e-05 +8 *23658:A *4619:26 0.00243443 +9 *23658:A *5920:22 0 +10 *4557:10 *4620:26 9.39683e-06 +11 *4557:10 *6001:38 3.74883e-05 +12 *4557:12 *4620:26 0.00220012 +13 *4557:12 *4686:33 3.3239e-06 +14 *4557:12 *4686:35 0 +15 *23286:A *4557:12 0.000135121 +16 *23587:A *4557:12 0.000151672 +17 *23587:C *4557:12 0.000121676 +18 *23623:A *4557:10 2.16355e-05 +19 *23623:C *4557:10 3.82228e-05 +20 *23672:A1 *23658:A 1.43499e-05 +21 *23672:B2 *4557:12 0.0003153 +22 *23787:A *23658:A 0.000110306 +23 *23815:A *4557:12 0.000227105 +24 *3781:66 *23658:A 1.55125e-05 +25 *3846:51 *23658:A 0.00122549 +26 *3846:51 *4557:12 0.000206551 +27 *3846:55 *4557:12 1.94615e-05 +28 *3861:211 *4557:12 0.000172654 +29 *3877:120 *4557:12 1.2819e-05 +30 *3879:71 *4557:10 7.92757e-06 +31 *4126:91 *23658:A 7.3359e-05 +32 *4136:10 *23658:A 3.55968e-05 +33 *4300:11 *4557:12 0.000711537 +34 *4301:40 *23658:A 0.000284145 +35 *4396:23 *23658:A 8.34512e-06 +36 *4490:8 *4557:10 0.000994921 +37 *4490:8 *4557:12 5.4729e-06 +38 *4529:9 *4557:10 0.000857642 +*RES +1 *23652:X *4557:10 37.0505 +2 *4557:10 *4557:12 50.8318 +3 *4557:12 *23658:A 29.8682 +*END + +*D_NET *4558 0.00210819 +*CONN +*I *23654:B1 I *D sky130_fd_sc_hd__a311o_1 +*I *23653:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23654:B1 0.000652535 +2 *23653:Y 0.000652535 +3 *23654:B1 *23675:A 8.29987e-05 +4 *23179:B *23654:B1 0.000107496 +5 *23653:A *23654:B1 5.05252e-05 +6 *23654:A1 *23654:B1 5.64922e-06 +7 *23654:A2 *23654:B1 3.78445e-05 +8 *23654:C1 *23654:B1 0.000139291 +9 *23658:A *23654:B1 0 +10 *3791:66 *23654:B1 1.5714e-05 +11 *4106:95 *23654:B1 0.000247443 +12 *4120:34 *23654:B1 0.000103546 +13 *4199:8 *23654:B1 1.26119e-05 +*RES +1 *23653:Y *23654:B1 43.523 +*END + +*D_NET *4559 0.00310783 +*CONN +*I *23734:B I *D sky130_fd_sc_hd__or2_1 +*I *23658:B I *D sky130_fd_sc_hd__nor4_1 +*I *23654:X O *D sky130_fd_sc_hd__a311o_1 +*CAP +1 *23734:B 0 +2 *23658:B 0.00018922 +3 *23654:X 0.000638049 +4 *4559:11 0.000827269 +5 *23658:B *4563:23 4.45999e-05 +6 *4559:11 *4620:41 0.000153225 +7 *23592:A *23658:B 4.74591e-05 +8 *23734:A *23658:B 8.62625e-06 +9 *23787:A *23658:B 0.000258222 +10 *3791:66 *4559:11 7.13972e-05 +11 *3831:50 *4559:11 0.000149628 +12 *4120:34 *23658:B 2.49225e-05 +13 *4450:45 *4559:11 0.000127536 +14 *4463:15 *4559:11 0.000492473 +15 *4499:13 *23658:B 7.52031e-05 +*RES +1 *23654:X *4559:11 32.3413 +2 *4559:11 *23658:B 24.684 +3 *4559:11 *23734:B 9.24915 +*END + +*D_NET *4560 0.00281489 +*CONN +*I *23656:C_N I *D sky130_fd_sc_hd__or3b_2 +*I *23655:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23656:C_N 0 +2 *23655:X 0.000681637 +3 *4560:23 0.000681637 +4 *22913:A *4560:23 2.16355e-05 +5 *22913:B *4560:23 1.82529e-05 +6 *22914:A *4560:23 2.7971e-05 +7 *23069:A *4560:23 0.000270281 +8 *23184:A *4560:23 0.00011818 +9 *23185:A *4560:23 2.16355e-05 +10 *23185:B *4560:23 5.97411e-05 +11 *3784:22 *4560:23 2.36813e-05 +12 *3852:12 *4560:23 0.000145447 +13 *3976:17 *4560:23 0.000415232 +14 *4092:41 *4560:23 0.000113968 +15 *4092:54 *4560:23 5.08751e-05 +16 *4116:32 *4560:23 2.28508e-05 +17 *4228:16 *4560:23 0.000141864 +*RES +1 *23655:X *4560:23 45.511 +2 *4560:23 *23656:C_N 9.24915 +*END + +*D_NET *4561 0.0174816 +*CONN +*I *23822:D I *D sky130_fd_sc_hd__or4_1 +*I *23658:C I *D sky130_fd_sc_hd__nor4_1 +*I *23787:B I *D sky130_fd_sc_hd__or4_1 +*I *23656:X O *D sky130_fd_sc_hd__or3b_2 +*CAP +1 *23822:D 0.000386499 +2 *23658:C 0.000177772 +3 *23787:B 0.000100459 +4 *23656:X 0.000869611 +5 *4561:44 0.000812854 +6 *4561:28 0.00132826 +7 *4561:27 0.00159034 +8 *4561:14 0.00205281 +9 *23658:C *23721:A 7.21753e-05 +10 *23658:C *23786:C 2.41274e-06 +11 *23787:B *4562:23 3.23927e-05 +12 *23822:D *23822:B 3.75603e-05 +13 *4561:27 *4673:8 0.000107132 +14 *4561:27 *4673:17 0.000320303 +15 *4561:27 *5918:20 0 +16 *4561:44 *4654:16 0.000309778 +17 *22914:A *4561:14 0.000505014 +18 *23297:B1 *4561:14 9.32891e-05 +19 *23298:D_N *4561:27 0.000484901 +20 *23547:B *4561:27 0.000190301 +21 *23597:B1 *4561:27 4.33369e-05 +22 *23597:B1 *4561:28 0.00071644 +23 *23632:A *23822:D 0 +24 *23751:A2 *4561:14 0 +25 *23786:A *4561:44 3.76905e-05 +26 *23820:C *23658:C 1.21461e-06 +27 *23821:A *4561:27 3.65454e-05 +28 *1809:115 *4561:44 0.00013335 +29 *3829:16 *4561:27 0.000480689 +30 *3835:36 *4561:27 0.000266971 +31 *3849:29 *4561:44 0.000269659 +32 *3852:28 *4561:28 2.19131e-05 +33 *3852:28 *4561:44 0.000135548 +34 *3872:20 *4561:28 0.000158153 +35 *3872:20 *4561:44 0.00022213 +36 *3872:26 *23787:B 6.51527e-05 +37 *3872:26 *4561:44 9.17072e-05 +38 *3978:51 *4561:14 0.000322054 +39 *4001:250 *4561:14 2.35364e-05 +40 *4009:116 *4561:28 2.575e-05 +41 *4020:24 *4561:14 0.00116754 +42 *4020:31 *4561:14 6.13196e-06 +43 *4034:51 *4561:28 0.000409197 +44 *4034:51 *4561:44 7.50722e-05 +45 *4099:17 *4561:27 0.0014754 +46 *4118:8 *4561:14 0.00010817 +47 *4120:70 *4561:14 2.762e-05 +48 *4120:83 *4561:14 0.000793432 +49 *4126:91 *4561:44 0.00011641 +50 *4136:10 *23658:C 6.87503e-05 +51 *4136:10 *23787:B 2.55314e-05 +52 *4136:10 *4561:44 1.6843e-05 +53 *4301:40 *4561:27 4.88715e-07 +54 *4301:50 *4561:14 0.000192376 +55 *4357:21 *4561:28 3.55859e-05 +56 *4410:13 *4561:28 7.50722e-05 +57 *4461:9 *4561:28 5.74984e-05 +58 *4462:7 *23822:D 0.000300565 +59 *4537:5 *23822:D 4.2273e-06 +*RES +1 *23656:X *4561:14 48.7081 +2 *4561:14 *4561:27 44.9714 +3 *4561:27 *4561:28 16.5734 +4 *4561:28 *4561:44 20.9331 +5 *4561:44 *23787:B 15.8893 +6 *4561:44 *23658:C 25.9985 +7 *4561:28 *23822:D 20.3079 +*END + +*D_NET *4562 0.00591992 +*CONN +*I *23736:D I *D sky130_fd_sc_hd__or4_1 +*I *23658:D I *D sky130_fd_sc_hd__nor4_1 +*I *23657:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23736:D 2.09079e-05 +2 *23658:D 0.000209422 +3 *23657:X 0.000735547 +4 *4562:36 6.4028e-05 +5 *4562:35 4.31201e-05 +6 *4562:24 0.000265835 +7 *4562:23 0.000255069 +8 *4562:15 0.000272371 +9 *4562:14 0.000809263 +10 *23736:D *23736:C 5.88657e-05 +11 *23736:D *23787:D 3.07848e-05 +12 *4562:14 *23736:B 3.31882e-05 +13 *4562:14 *23822:C 0.000267229 +14 *4562:14 *4673:17 0.0010973 +15 *4562:15 *23736:A 2.41483e-05 +16 *4562:15 *23736:C 9.21974e-05 +17 *4562:23 *23736:C 5.88594e-05 +18 *4562:23 *23787:D 1.54703e-05 +19 *23628:C1 *4562:14 2.23124e-05 +20 *23658:A *23658:D 0.000110306 +21 *23787:A *23658:D 5.35201e-05 +22 *23787:A *4562:23 0.000118638 +23 *23787:B *4562:23 3.23927e-05 +24 *23820:A *4562:14 0.000134323 +25 *580:10 *4562:14 0.000687733 +26 *4410:16 *4562:14 0.000206069 +27 *4537:12 *4562:14 0.000201011 +*RES +1 *23657:X *4562:14 48.8391 +2 *4562:14 *4562:15 1.8326 +3 *4562:15 *4562:23 14.0477 +4 *4562:23 *4562:24 81.1229 +5 *4562:24 *23658:D 22.0188 +6 *4562:15 *4562:35 9.24915 +7 *4562:35 *4562:36 57.9449 +8 *4562:36 *23736:D 19.2217 +*END + +*D_NET *4563 0.00412711 +*CONN +*I *23659:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *23658:Y O *D sky130_fd_sc_hd__nor4_1 +*CAP +1 *23659:D_N 0 +2 *23658:Y 0.000852169 +3 *4563:23 0.000852169 +4 *4563:23 *23823:C 3.79253e-05 +5 *23629:A *4563:23 6.3657e-05 +6 *23658:B *4563:23 4.45999e-05 +7 *23659:C *4563:23 7.92757e-06 +8 *23820:A *4563:23 0.000111722 +9 *23820:B *4563:23 5.79065e-05 +10 *23820:C *4563:23 0.000352281 +11 *23820:D *4563:23 0.000110513 +12 *23823:A *4563:23 0.000115934 +13 *4091:8 *4563:23 0.000133124 +14 *4120:34 *4563:23 7.21243e-05 +15 *4363:19 *4563:23 1.00846e-05 +16 *4499:13 *4563:23 7.3367e-05 +17 *4512:15 *4563:23 7.46215e-05 +18 *4535:16 *4563:23 0.00115699 +*RES +1 *23658:Y *4563:23 45.272 +2 *4563:23 *23659:D_N 9.24915 +*END + +*D_NET *4564 0.00108933 +*CONN +*I *23660:A I *D sky130_fd_sc_hd__inv_2 +*I *23659:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *23660:A 0.000332328 +2 *23659:X 0.000332328 +3 *23660:A *23790:D 3.67708e-05 +4 *23660:A *4625:9 0.000127179 +5 *23659:B *23660:A 0.000107496 +6 *1483:14 *23660:A 0.000153225 +*RES +1 *23659:X *23660:A 34.2118 +*END + +*D_NET *4565 0.00153514 +*CONN +*I *23707:A2 I *D sky130_fd_sc_hd__o211ai_1 +*I *23660:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23707:A2 0.000619628 +2 *23660:Y 0.000619628 +3 *23707:A2 *4691:11 0.000122098 +4 *23722:A *23707:A2 1.96456e-05 +5 *23722:B *23707:A2 0.000154145 +6 *4504:8 *23707:A2 0 +*RES +1 *23660:Y *23707:A2 37.1242 +*END + +*D_NET *4566 0.00748128 +*CONN +*I *23742:B I *D sky130_fd_sc_hd__nor2_1 +*I *23801:A2 I *D sky130_fd_sc_hd__a2111o_2 +*I *23662:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23661:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23742:B 0.000709012 +2 *23801:A2 0.000962724 +3 *23662:A 1.3223e-05 +4 *23661:X 5.0318e-05 +5 *4566:8 0.00123525 +6 *4566:7 0.00101864 +7 *23742:B *23733:B 3.16262e-05 +8 *23742:B *23743:B2 6.08467e-05 +9 *23742:B *23819:B 4.40531e-05 +10 *23742:B *23852:A 0.000150598 +11 *23742:B *4717:26 0.000135644 +12 *23801:A2 *23746:A 7.33633e-05 +13 *23801:A2 *23746:B 3.57169e-05 +14 *23801:A2 *23746:D_N 7.90842e-05 +15 *23801:A2 *4567:16 7.08723e-06 +16 *4566:8 *4567:127 8.21504e-05 +17 *23507:A *4566:8 0.000251408 +18 *23519:C1 *23742:B 6.51637e-05 +19 *23636:A1 *23662:A 6.50586e-05 +20 *23647:A1 *23801:A2 0.000223867 +21 *23647:B1 *23801:A2 5.60559e-05 +22 *23650:A3 *23801:A2 3.99338e-05 +23 *23652:A *23801:A2 2.54359e-05 +24 *23661:C *4566:7 2.16355e-05 +25 *23828:B1 *23742:B 0.000158551 +26 *657:171 *23801:A2 0.00010481 +27 *2838:64 *23662:A 1.6293e-05 +28 *4007:8 *4566:8 0 +29 *4018:23 *23742:B 0.000347824 +30 *4352:21 *23801:A2 1.1718e-05 +31 *4352:31 *23742:B 8.3566e-05 +32 *4413:6 *23742:B 6.22259e-05 +33 *4413:6 *4566:8 1.86178e-05 +34 *4415:29 *23801:A2 0.000218726 +35 *4415:146 *23742:B 6.62968e-05 +36 *4496:42 *23742:B 0.00028493 +37 *4496:42 *4566:8 0.000167158 +38 *4540:14 *23742:B 0.000445256 +39 *4550:58 *23801:A2 5.74184e-05 +*RES +1 *23661:X *4566:7 14.4725 +2 *4566:7 *4566:8 7.64553 +3 *4566:8 *23662:A 14.4725 +4 *4566:8 *23801:A2 33.8389 +5 *4566:7 *23742:B 38.4595 +*END + +*D_NET *4567 0.0276827 +*CONN +*I *23665:A2 I *D sky130_fd_sc_hd__o221a_1 +*I *23671:B I *D sky130_fd_sc_hd__nor2_1 +*I *23665:B2 I *D sky130_fd_sc_hd__o221a_1 +*I *23666:A2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23666:B2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23667:B I *D sky130_fd_sc_hd__nor2_1 +*I *23669:B I *D sky130_fd_sc_hd__nor2_1 +*I *23668:B I *D sky130_fd_sc_hd__nor2_1 +*I *23854:A2 I *D sky130_fd_sc_hd__o211ai_4 +*I *23745:A2 I *D sky130_fd_sc_hd__o2111a_1 +*I *23662:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *23665:A2 0 +2 *23671:B 0.00141947 +3 *23665:B2 1.78357e-05 +4 *23666:A2 6.4541e-05 +5 *23666:B2 0 +6 *23667:B 0 +7 *23669:B 0.000635917 +8 *23668:B 5.9249e-05 +9 *23854:A2 0 +10 *23745:A2 0.000430152 +11 *23662:Y 2.3451e-05 +12 *4567:132 0.00158572 +13 *4567:127 0.00142798 +14 *4567:98 0.000521745 +15 *4567:56 0.00116385 +16 *4567:51 0.000646387 +17 *4567:38 0.000280037 +18 *4567:24 0.000690351 +19 *4567:22 0.000927608 +20 *4567:16 0.00170563 +21 *4567:7 0.0026961 +22 *23665:B2 *4570:7 1.65872e-05 +23 *23666:A2 *5681:25 4.45999e-05 +24 *23669:B *23670:D 0.000256037 +25 *23669:B *6001:49 7.56514e-05 +26 *23669:B *6001:54 1.05272e-06 +27 *23671:B *4570:7 0.000200794 +28 *23671:B *5815:68 5.13677e-05 +29 *4567:16 *23746:A 8.23875e-05 +30 *4567:16 *4748:19 0 +31 *4567:22 *23666:C1 0.000177835 +32 *4567:24 *23666:C1 5.87728e-05 +33 *4567:24 *5681:29 0.000252906 +34 *4567:51 *23670:B 0.00014663 +35 *4567:51 *5680:30 0.000205561 +36 *4567:56 *23670:B 4.58785e-05 +37 *4567:56 *23744:D 1.91391e-05 +38 *4567:56 *4676:27 0.000489933 +39 *4567:98 *23666:C1 9.95234e-05 +40 *4567:98 *5681:25 6.7671e-06 +41 *4567:127 *23746:A 5.28189e-05 +42 *4567:127 *4649:15 9.81897e-05 +43 *22960:B *23671:B 7.89902e-05 +44 *23042:B *23669:B 6.88205e-06 +45 *23171:A *23671:B 0.000903926 +46 *23274:A *23669:B 0.000256037 +47 *23382:A *23669:B 0 +48 *23523:A1 *4567:16 0.000120544 +49 *23532:C1 *23668:B 8.82816e-05 +50 *23536:A1 *23669:B 0.000116286 +51 *23536:A2 *23668:B 6.2007e-05 +52 *23539:A2 *23669:B 9.80958e-05 +53 *23539:A2 *4567:56 3.09392e-05 +54 *23576:B *4567:16 2.7985e-05 +55 *23576:D *4567:16 2.82386e-05 +56 *23576:D *4567:22 1.3023e-05 +57 *23636:A1 *4567:7 1.03403e-05 +58 *23646:A1 *4567:127 0.000151609 +59 *23646:A2 *4567:16 0.000345048 +60 *23647:B1 *4567:127 0 +61 *23648:C *4567:16 1.82696e-05 +62 *23650:A3 *4567:16 0 +63 *23661:A *4567:127 0.000406763 +64 *23665:A1 *23665:B2 6.08467e-05 +65 *23665:A1 *23671:B 3.79808e-05 +66 *23666:B1 *4567:98 0.000188291 +67 *23801:A2 *4567:16 7.08723e-06 +68 *23851:D *4567:16 0.000184446 +69 *1808:69 *4567:56 0 +70 *2838:64 *4567:7 6.08467e-05 +71 *3874:109 *23669:B 0.000150979 +72 *3877:147 *4567:38 6.08467e-05 +73 *3877:147 *4567:51 0.000193182 +74 *3898:37 *23745:A2 0.000418047 +75 *3898:37 *4567:22 0.000304255 +76 *3898:37 *4567:24 3.21785e-05 +77 *3980:147 *4567:22 0.000102553 +78 *3980:147 *4567:24 8.46538e-05 +79 *4008:49 *23669:B 0 +80 *4017:24 *23745:A2 6.13462e-05 +81 *4017:24 *4567:22 4.60375e-07 +82 *4017:24 *4567:24 0.00034625 +83 *4017:28 *23745:A2 0.000180364 +84 *4017:34 *23745:A2 8.01837e-05 +85 *4019:19 *4567:38 0.000107496 +86 *4019:19 *4567:51 4.66492e-05 +87 *4019:26 *4567:38 0.000156946 +88 *4024:20 *4567:127 0.000427986 +89 *4024:27 *4567:127 0.000360103 +90 *4026:93 *4567:127 0.000410566 +91 *4058:28 *4567:51 0.000174206 +92 *4058:28 *4567:56 6.46124e-05 +93 *4077:43 *23671:B 5.74156e-05 +94 *4347:12 *4567:51 1.69932e-05 +95 *4415:146 *4567:127 0.000249182 +96 *4415:148 *4567:132 0.00029226 +97 *4424:9 *4567:127 4.76473e-05 +98 *4424:9 *4567:132 0.000283551 +99 *4426:10 *4567:16 0.000893663 +100 *4430:10 *4567:16 0.000464563 +101 *4430:10 *4567:22 7.85871e-06 +102 *4435:11 *23745:A2 0.000251655 +103 *4482:6 *4567:22 0.000228396 +104 *4487:10 *23668:B 1.91391e-05 +105 *4496:42 *4567:127 0.000676043 +106 *4545:22 *4567:127 0.00025541 +107 *4550:67 *4567:16 0.000111722 +108 *4566:8 *4567:127 8.21504e-05 +*RES +1 *23662:Y *4567:7 14.4725 +2 *4567:7 *4567:16 44.6568 +3 *4567:16 *4567:22 10.4179 +4 *4567:22 *4567:24 7.64553 +5 *4567:24 *23745:A2 25.4845 +6 *4567:24 *4567:38 7.44181 +7 *4567:38 *23854:A2 9.24915 +8 *4567:38 *4567:51 12.2369 +9 *4567:51 *4567:56 5.54567 +10 *4567:56 *23668:B 19.5462 +11 *4567:56 *23669:B 26.7247 +12 *4567:51 *23667:B 13.7491 +13 *4567:22 *4567:98 11.7581 +14 *4567:98 *23666:B2 9.24915 +15 *4567:98 *23666:A2 11.1059 +16 *4567:7 *4567:127 46.3312 +17 *4567:127 *4567:132 14.5693 +18 *4567:132 *23665:B2 9.97254 +19 *4567:132 *23671:B 36.1354 +20 *4567:132 *23665:A2 9.24915 +*END + +*D_NET *4568 0.00711068 +*CONN +*I *23664:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *23663:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23664:A2 0.000210632 +2 *23663:Y 5.99042e-05 +3 *4568:14 0.00117533 +4 *4568:10 0.00102461 +5 *23127:A2 *4568:14 0.000143032 +6 *23236:A *4568:10 3.75221e-05 +7 *23515:A1 *23664:A2 0.000304791 +8 *1809:115 *4568:14 0.000118485 +9 *3782:26 *4568:10 1.85424e-05 +10 *3782:26 *4568:14 0.000858399 +11 *3827:41 *4568:14 0.000602442 +12 *3831:56 *4568:14 9.60366e-05 +13 *3833:34 *4568:14 0.00012681 +14 *3842:84 *4568:14 0.000205993 +15 *3864:28 *4568:14 9.2346e-06 +16 *3909:40 *4568:14 0.00141924 +17 *3909:51 *4568:14 0.000139435 +18 *3991:22 *4568:14 0.000498833 +19 *4010:10 *4568:10 3.32544e-06 +20 *4010:10 *4568:14 4.65469e-05 +21 *4010:12 *4568:14 1.15399e-05 +*RES +1 *23663:Y *4568:10 15.0257 +2 *4568:10 *4568:14 48.8953 +3 *4568:14 *23664:A2 12.7456 +*END + +*D_NET *4569 0.00566422 +*CONN +*I *23740:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *23665:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *23664:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *23740:B1 0.000219516 +2 *23665:C1 0.000569636 +3 *23664:X 0.000346864 +4 *4569:14 0.00113602 +5 *23665:C1 *4643:17 0.000188416 +6 *23740:B1 *23740:A2 2.16355e-05 +7 *23740:B1 *4643:7 0.000111722 +8 *4569:14 *23740:A2 0.000179947 +9 *23516:C1 *23665:C1 0.000322083 +10 *23516:C1 *23740:B1 1.9101e-05 +11 *23516:C1 *4569:14 3.97303e-05 +12 *23665:A1 *23665:C1 0.000537458 +13 *23665:B1 *23665:C1 0.000146645 +14 *23739:A1 *4569:14 1.91246e-05 +15 *23817:A1 *4569:14 1.33184e-05 +16 *3874:40 *4569:14 3.61578e-05 +17 *3877:87 *23740:B1 5.51483e-06 +18 *3909:51 *4569:14 9.36332e-05 +19 *3996:86 *23740:B1 1.00846e-05 +20 *4026:82 *23665:C1 0.000140909 +21 *4026:93 *23665:C1 0.000358004 +22 *4422:8 *4569:14 6.76857e-06 +23 *4496:26 *23665:C1 0.000743206 +24 *4496:26 *4569:14 0.00037263 +25 *4496:31 *23665:C1 2.60978e-05 +*RES +1 *23664:X *4569:14 24.7928 +2 *4569:14 *23665:C1 39.4581 +3 *4569:14 *23740:B1 17.6483 +*END + +*D_NET *4570 0.0144076 +*CONN +*I *23666:C1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23665:X O *D sky130_fd_sc_hd__o221a_1 +*CAP +1 *23666:C1 0.00137156 +2 *23665:X 0.000266736 +3 *4570:13 0.00259435 +4 *4570:7 0.00148953 +5 *23666:C1 *4627:23 0.00155925 +6 *23666:C1 *4745:43 0.000216116 +7 *23666:C1 *5681:25 0.000128736 +8 *23666:C1 *5909:38 0.000374888 +9 *4570:13 *23852:B 2.01297e-05 +10 *4570:13 *4643:17 0.000635243 +11 *4570:13 *4717:25 0.000156233 +12 *4570:13 *5082:99 5.08234e-05 +13 *23519:D1 *4570:13 0.000132464 +14 *23637:A2 *4570:13 0.000141512 +15 *23643:A1 *4570:13 1.9101e-05 +16 *23648:D *4570:13 1.66626e-05 +17 *23665:A1 *4570:7 5.51483e-06 +18 *23665:B1 *4570:7 2.16355e-05 +19 *23665:B2 *4570:7 1.65872e-05 +20 *23666:B1 *23666:C1 6.08467e-05 +21 *23671:B *4570:7 0.000200794 +22 *657:171 *4570:13 0.000268599 +23 *3974:107 *23666:C1 0.000903355 +24 *3974:107 *4570:13 0.000789947 +25 *4001:126 *23666:C1 0.000345029 +26 *4017:24 *23666:C1 0.000272971 +27 *4021:24 *4570:13 6.38879e-06 +28 *4024:41 *4570:13 1.30575e-05 +29 *4026:98 *4570:13 0.000173692 +30 *4026:100 *4570:13 5.56367e-05 +31 *4026:104 *4570:13 0.000341552 +32 *4126:161 *23666:C1 5.37324e-05 +33 *4415:146 *4570:13 0.000428918 +34 *4415:148 *4570:13 8.45896e-06 +35 *4426:10 *4570:13 0.000931372 +36 *4540:53 *4570:13 0 +37 *4567:22 *23666:C1 0.000177835 +38 *4567:24 *23666:C1 5.87728e-05 +39 *4567:98 *23666:C1 9.95234e-05 +*RES +1 *23665:X *4570:7 17.8002 +2 *4570:7 *4570:13 46.0433 +3 *4570:13 *23666:C1 32.3055 +*END + +*D_NET *4571 0.00226334 +*CONN +*I *23670:A I *D sky130_fd_sc_hd__or4_2 +*I *23666:Y O *D sky130_fd_sc_hd__o221ai_1 +*CAP +1 *23670:A 0.000533848 +2 *23666:Y 0.000533848 +3 *23670:A *23670:C 3.31745e-05 +4 *23670:A *23670:D 0.000140898 +5 *23670:A *23854:C1 6.08467e-05 +6 *23670:A *4751:10 0.000133894 +7 *23670:A *5680:30 4.07473e-05 +8 *23274:A *23670:A 7.50179e-05 +9 *3877:147 *23670:A 0.000276356 +10 *4347:12 *23670:A 0.000276356 +11 *4514:47 *23670:A 0.000158357 +*RES +1 *23666:Y *23670:A 43.3827 +*END + +*D_NET *4572 0.00347785 +*CONN +*I *23670:B I *D sky130_fd_sc_hd__or4_2 +*I *23744:D I *D sky130_fd_sc_hd__or4_1 +*I *23667:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23670:B 0.000591582 +2 *23744:D 0.000217871 +3 *23667:Y 0 +4 *4572:5 0.000809453 +5 *23670:B *5680:30 0.000729001 +6 *23531:A *23744:D 0.000196653 +7 *23744:A *23744:D 6.08467e-05 +8 *23744:C *23744:D 3.31745e-05 +9 *4058:28 *23744:D 3.81597e-05 +10 *4347:12 *23670:B 0.000187295 +11 *4347:12 *23744:D 0.000342943 +12 *4437:6 *23744:D 5.92192e-05 +13 *4567:51 *23670:B 0.00014663 +14 *4567:56 *23670:B 4.58785e-05 +15 *4567:56 *23744:D 1.91391e-05 +*RES +1 *23667:Y *4572:5 13.7491 +2 *4572:5 *23744:D 21.9815 +3 *4572:5 *23670:B 25.73 +*END + +*D_NET *4573 0.00194766 +*CONN +*I *23670:C I *D sky130_fd_sc_hd__or4_2 +*I *23803:C I *D sky130_fd_sc_hd__or4_2 +*I *23668:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23670:C 0.000199846 +2 *23803:C 0.000122307 +3 *23668:Y 0 +4 *4573:5 0.000322153 +5 *23670:C *23670:D 6.08467e-05 +6 *23670:C *4751:10 8.62625e-06 +7 *23670:C *5680:30 0.000154145 +8 *23803:C *23803:D 0.000200794 +9 *23161:A *23803:C 0.000251655 +10 *23668:A *23670:C 4.14276e-05 +11 *23670:A *23670:C 3.31745e-05 +12 *3888:11 *23670:C 0.000216709 +13 *3888:11 *23803:C 0.000141634 +14 *4487:10 *23670:C 4.2266e-05 +15 *4487:10 *23803:C 0.000145232 +16 *4487:19 *23670:C 6.84784e-06 +*RES +1 *23668:Y *4573:5 13.7491 +2 *4573:5 *23803:C 19.3535 +3 *4573:5 *23670:C 19.9053 +*END + +*D_NET *4574 0.0027871 +*CONN +*I *23747:D I *D sky130_fd_sc_hd__or4_2 +*I *23670:D I *D sky130_fd_sc_hd__or4_2 +*I *23669:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23747:D 3.26548e-05 +2 *23670:D 0.000182032 +3 *23669:Y 0 +4 *4574:24 9.47611e-05 +5 *4574:23 0.000247529 +6 *4574:4 0.000367455 +7 *23670:D *5680:30 0.000547471 +8 *4574:23 *4600:22 0.000145396 +9 *4574:23 *5680:30 0.000237552 +10 *23274:A *23670:D 7.18638e-05 +11 *23274:A *4574:23 5.61389e-05 +12 *23539:A1 *4574:23 0.000107496 +13 *23539:A2 *4574:23 1.55025e-05 +14 *23539:C1 *23747:D 6.27113e-05 +15 *23539:C1 *4574:23 1.88188e-05 +16 *23669:B *23670:D 0.000256037 +17 *23670:A *23670:D 0.000140898 +18 *23670:C *23670:D 6.08467e-05 +19 *4009:35 *4574:23 0.000141935 +*RES +1 *23669:Y *4574:4 9.24915 +2 *4574:4 *23670:D 17.3753 +3 *4574:4 *4574:23 25.1315 +4 *4574:23 *4574:24 81.1229 +5 *4574:24 *23747:D 19.2217 +*END + +*D_NET *4575 0.0189443 +*CONN +*I *23676:A I *D sky130_fd_sc_hd__or4_1 +*I *23670:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23676:A 0 +2 *23670:X 0.000987804 +3 *4575:36 0.00114677 +4 *4575:26 0.00209298 +5 *4575:19 0.001752 +6 *4575:13 0.00179359 +7 *4575:13 *4600:22 0.000671548 +8 *4575:13 *4665:10 6.85742e-05 +9 *4575:13 *5671:106 0.000707427 +10 *4575:19 *4650:6 0 +11 *4575:26 *4650:6 0.00200719 +12 *4575:26 *4704:24 0.00220907 +13 *4575:26 *4803:10 2.32792e-05 +14 *4575:36 *23750:B 0.000130446 +15 *4575:36 *4636:17 0.000247981 +16 *22972:A *4575:13 6.08467e-05 +17 *23172:A *4575:36 0.000249578 +18 *23274:A *4575:13 0.000547336 +19 *23284:A *4575:36 1.61015e-05 +20 *23386:A *4575:19 6.84784e-06 +21 *23386:B *4575:19 4.95263e-05 +22 *23388:A *4575:19 8.74058e-05 +23 *23487:B *4575:19 0.00039183 +24 *23489:B *4575:19 2.78917e-05 +25 *23489:D *4575:19 0.000614104 +26 *23696:B *4575:19 0.000109354 +27 *1521:61 *4575:19 9.33103e-05 +28 *3368:47 *4575:26 9.34919e-05 +29 *3791:118 *4575:13 1.01851e-05 +30 *3857:78 *4575:19 0.0002646 +31 *4008:43 *4575:13 2.33193e-05 +32 *4009:47 *4575:19 0.000193956 +33 *4136:18 *4575:36 3.50367e-05 +34 *4136:36 *4575:36 4.50175e-05 +35 *4193:14 *4575:36 0.00072405 +36 *4491:28 *4575:19 0.000682375 +37 *4538:51 *4575:36 0.000779523 +*RES +1 *23670:X *4575:13 47.2198 +2 *4575:13 *4575:19 37.4432 +3 *4575:19 *4575:26 48.761 +4 *4575:26 *4575:36 46.1652 +5 *4575:36 *23676:A 13.7491 +*END + +*D_NET *4576 0.00442078 +*CONN +*I *23826:A I *D sky130_fd_sc_hd__or4_1 +*I *23676:B I *D sky130_fd_sc_hd__or4_1 +*I *23671:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23826:A 0.000144127 +2 *23676:B 0.000659741 +3 *23671:Y 0.000157298 +4 *4576:5 0.000961166 +5 *23676:B *23676:D 5.41227e-05 +6 *23676:B *23750:B 0 +7 *23826:A *23750:B 0.000137921 +8 *23826:A *23806:B 6.08467e-05 +9 *23509:A *23676:B 0.000194774 +10 *23509:A *4576:5 0.000111722 +11 *23671:A *4576:5 6.3657e-05 +12 *4074:23 *23826:A 0.000134323 +13 *4136:36 *23676:B 0 +14 *4136:36 *23826:A 0.0001537 +15 *4136:36 *4576:5 6.92705e-05 +16 *4416:10 *4576:5 0.000107496 +17 *4494:18 *23676:B 0.000460979 +18 *4538:51 *23676:B 0.00094964 +*RES +1 *23671:Y *4576:5 13.8548 +2 *4576:5 *23676:B 41.4494 +3 *4576:5 *23826:A 23.4676 +*END + +*D_NET *4577 0.00467906 +*CONN +*I *23676:C I *D sky130_fd_sc_hd__or4_1 +*I *23748:B I *D sky130_fd_sc_hd__or2_1 +*I *23672:Y O *D sky130_fd_sc_hd__o221ai_1 +*CAP +1 *23676:C 0 +2 *23748:B 7.76892e-05 +3 *23672:Y 0.000991746 +4 *4577:17 0.00106944 +5 *23748:B *23805:B 3.79253e-05 +6 *23748:B *5671:136 0.00022468 +7 *4577:17 *23805:B 9.32983e-05 +8 *4577:17 *4580:9 0.000251655 +9 *4577:17 *5671:136 0.000175003 +10 *23285:A *4577:17 0.000107496 +11 *23285:B *4577:17 0.000247443 +12 *23286:A *4577:17 0.000205101 +13 *23289:C_N *4577:17 0 +14 *23672:C1 *4577:17 0 +15 *3869:55 *4577:17 0.000170592 +16 *3872:73 *4577:17 0.000340742 +17 *3980:105 *4577:17 0.000177787 +18 *4077:21 *4577:17 6.08467e-05 +19 *4077:34 *4577:17 0.00044762 +*RES +1 *23672:Y *4577:17 45.9947 +2 *4577:17 *23748:B 12.2151 +3 *4577:17 *23676:C 9.24915 +*END + +*D_NET *4578 0.00191943 +*CONN +*I *23674:C1 I *D sky130_fd_sc_hd__o311a_1 +*I *23778:C1 I *D sky130_fd_sc_hd__o311a_1 +*I *23673:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23674:C1 5.34818e-05 +2 *23778:C1 0.000105469 +3 *23673:Y 0.000209104 +4 *4578:7 0.000368055 +5 *23238:A2 *4578:7 0.000207266 +6 *23778:A1 *23778:C1 6.50727e-05 +7 *23779:A *23674:C1 0.000145385 +8 *23779:A *23778:C1 0.000228344 +9 *3877:58 *23778:C1 6.08467e-05 +10 *4146:37 *4578:7 0.000113968 +11 *4531:14 *23674:C1 0.000130483 +12 *4531:14 *23778:C1 0.000231956 +*RES +1 *23673:Y *4578:7 17.2456 +2 *4578:7 *23778:C1 18.7961 +3 *4578:7 *23674:C1 16.4116 +*END + +*D_NET *4579 0.009184 +*CONN +*I *23675:A I *D sky130_fd_sc_hd__inv_2 +*I *23674:X O *D sky130_fd_sc_hd__o311a_1 +*CAP +1 *23675:A 0.000892809 +2 *23674:X 0.00115356 +3 *4579:14 0.00204636 +4 *23675:A *23788:B 0.000540283 +5 *23675:A *4620:41 2.03363e-06 +6 *4579:14 *4620:41 3.89e-05 +7 *4579:14 *4623:11 0.000182144 +8 *23178:A *23675:A 3.60268e-05 +9 *23179:B *23675:A 0.000170577 +10 *23183:B *4579:14 0.00032235 +11 *23227:A *4579:14 1.47488e-05 +12 *23227:B *4579:14 6.92705e-05 +13 *23227:C *4579:14 1.01791e-05 +14 *23654:A1 *23675:A 0.000413006 +15 *23654:A1 *4579:14 1.2366e-05 +16 *23654:A2 *23675:A 1.5714e-05 +17 *23654:B1 *23675:A 8.29987e-05 +18 *23654:C1 *23675:A 2.15861e-05 +19 *23672:A1 *23675:A 0.000146645 +20 *23674:A3 *4579:14 6.08467e-05 +21 *23749:A *23675:A 0.000128736 +22 *1809:115 *4579:14 1.48603e-05 +23 *3788:11 *4579:14 2.91399e-05 +24 *3831:50 *4579:14 0 +25 *3831:56 *23675:A 4.97617e-05 +26 *3834:21 *23675:A 7.27725e-05 +27 *3846:37 *4579:14 0.000130808 +28 *3846:51 *4579:14 4.61962e-05 +29 *3958:20 *23675:A 0.000538461 +30 *3991:21 *4579:14 0.000154145 +31 *4077:10 *23675:A 6.25038e-05 +32 *4126:91 *4579:14 3.24877e-05 +33 *4136:7 *4579:14 0.000440512 +34 *4146:83 *23675:A 6.36477e-05 +35 *4228:20 *4579:14 0.00118756 +*RES +1 *23674:X *4579:14 49.4319 +2 *4579:14 *23675:A 44.5551 +*END + +*D_NET *4580 0.00381001 +*CONN +*I *23805:B I *D sky130_fd_sc_hd__or3_1 +*I *23676:D I *D sky130_fd_sc_hd__or4_1 +*I *23675:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23805:B 0.000317311 +2 *23676:D 2.04554e-05 +3 *23675:Y 0.00044592 +4 *4580:9 0.000783686 +5 *23805:B *23805:C 3.99086e-06 +6 *23805:B *5671:136 3.85678e-05 +7 *4580:9 *4693:35 0.000712658 +8 *23591:A *4580:9 0.000135699 +9 *23676:B *23676:D 5.41227e-05 +10 *23748:B *23805:B 3.79253e-05 +11 *4081:11 *4580:9 0.000860594 +12 *4494:18 *23676:D 5.41227e-05 +13 *4577:17 *23805:B 9.32983e-05 +14 *4577:17 *4580:9 0.000251655 +*RES +1 *23675:Y *4580:9 37.1415 +2 *4580:9 *23676:D 19.2506 +3 *4580:9 *23805:B 16.0973 +*END + +*D_NET *4581 0.00370201 +*CONN +*I *23680:A I *D sky130_fd_sc_hd__or4_1 +*I *23676:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23680:A 2.3451e-05 +2 *23676:X 0.000997012 +3 *4581:16 0.00102046 +4 *23680:A *23680:D 6.08467e-05 +5 *23680:A *4582:30 1.03403e-05 +6 *4581:16 *23750:D 3.77659e-05 +7 *4581:16 *23754:A1 6.08467e-05 +8 *4581:16 *23754:A2 1.82679e-05 +9 *4581:16 *23771:B1 0 +10 *4581:16 *23830:D 1.88453e-05 +11 *4581:16 *23831:A 0.000261916 +12 *4581:16 *4657:17 6.50586e-05 +13 *4581:16 *4684:47 7.92757e-06 +14 *4581:16 *4723:8 0.000601823 +15 *23289:A *4581:16 0.000211573 +16 *23748:A *4581:16 0.000158451 +17 *23805:A *4581:16 5.73392e-05 +18 *23830:A *4581:16 1.03403e-05 +19 *4136:18 *4581:16 7.97445e-05 +*RES +1 *23676:X *4581:16 48.6663 +2 *4581:16 *23680:A 14.4725 +*END + +*D_NET *4582 0.016471 +*CONN +*I *23830:D I *D sky130_fd_sc_hd__or4_1 +*I *23738:A I *D sky130_fd_sc_hd__inv_2 +*I *23680:B I *D sky130_fd_sc_hd__or4_1 +*I *23677:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *23830:D 0.00055445 +2 *23738:A 1.30233e-05 +3 *23680:B 0 +4 *23677:X 0.00069116 +5 *4582:30 0.000918842 +6 *4582:21 0.000946647 +7 *4582:17 0.00141566 +8 *4582:8 0.00151154 +9 *23738:A *4723:8 5.92192e-05 +10 *23830:D *23754:A1 3.99086e-06 +11 *23830:D *23754:A2 3.82228e-05 +12 *23830:D *23754:B1 2.20583e-05 +13 *23830:D *4657:17 1.03403e-05 +14 *4582:17 *23737:B 0.000711133 +15 *4582:17 *23737:D 2.57505e-05 +16 *4582:17 *23771:A3 9.12137e-05 +17 *4582:17 *23772:A 0.000120294 +18 *4582:17 *4626:8 0.000734627 +19 *4582:21 *23680:C 5.04829e-06 +20 *4582:21 *23771:B1 0.001125 +21 *4582:21 *23809:B2 0.000104733 +22 *4582:21 *4583:10 0.000123582 +23 *4582:21 *4583:14 2.57847e-05 +24 *4582:21 *4723:8 0 +25 *4582:30 *23680:C 2.07503e-05 +26 *4582:30 *23680:D 8.77154e-06 +27 *4582:30 *4584:10 0.000107729 +28 *4582:30 *4723:8 0.000551297 +29 *4582:30 *4873:6 9.15115e-06 +30 *23680:A *4582:30 1.03403e-05 +31 *23737:A *4582:17 1.90335e-05 +32 *23830:A *23830:D 6.38636e-05 +33 *24088:A0 *4582:21 3.69003e-05 +34 *1829:83 *4582:17 5.481e-05 +35 *3829:16 *4582:8 6.89449e-05 +36 *3829:20 *4582:8 0.00170575 +37 *3829:20 *4582:17 1.3813e-05 +38 *3829:26 *4582:17 3.20274e-05 +39 *4001:250 *4582:8 0.000211478 +40 *4009:115 *23738:A 5.92192e-05 +41 *4009:115 *4582:30 0.000433522 +42 *4103:18 *4582:8 0.00182759 +43 *4214:40 *4582:8 3.60268e-05 +44 *4363:14 *4582:8 1.2366e-05 +45 *4363:14 *4582:17 0.000716576 +46 *4363:19 *4582:17 0.000565488 +47 *4504:8 *4582:17 1.41761e-05 +48 *4535:16 *4582:17 0.000620242 +49 *4581:16 *23830:D 1.88453e-05 +*RES +1 *23677:X *4582:8 49.3199 +2 *4582:8 *4582:17 46.8252 +3 *4582:17 *4582:21 25.3265 +4 *4582:21 *23680:B 9.24915 +5 *4582:21 *4582:30 17.0242 +6 *4582:30 *23738:A 14.7506 +7 *4582:30 *23830:D 21.7065 +*END + +*D_NET *4583 0.00377444 +*CONN +*I *23680:C I *D sky130_fd_sc_hd__or4_1 +*I *23752:A I *D sky130_fd_sc_hd__nor2_1 +*I *23830:B I *D sky130_fd_sc_hd__or4_1 +*I *23678:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23680:C 1.19213e-05 +2 *23752:A 7.79938e-05 +3 *23830:B 8.58687e-05 +4 *23678:Y 0.000234897 +5 *4583:14 0.000485445 +6 *4583:10 0.0005684 +7 *23680:C *23680:D 2.29454e-05 +8 *23752:A *23831:A 4.55583e-05 +9 *23752:A *4654:19 6.08467e-05 +10 *23752:A *4655:8 6.50586e-05 +11 *23830:B *23809:C1 0.000216088 +12 *4583:10 *23771:B1 2.19276e-05 +13 *4583:10 *23809:A1 0.000139841 +14 *4583:10 *4698:22 0.000360006 +15 *4583:10 *4723:8 4.58259e-05 +16 *4583:14 *23680:D 6.98337e-06 +17 *4583:14 *23809:C1 0.000418892 +18 *4583:14 *4705:8 9.15115e-06 +19 *23678:A *4583:10 0.000114584 +20 *23808:A1 *23830:B 0.00010623 +21 *3829:54 *4583:14 0.000145584 +22 *4097:50 *23830:B 0.000122083 +23 *4097:50 *4583:14 0.00023315 +24 *4582:21 *23680:C 5.04829e-06 +25 *4582:21 *4583:10 0.000123582 +26 *4582:21 *4583:14 2.57847e-05 +27 *4582:30 *23680:C 2.07503e-05 +*RES +1 *23678:Y *4583:10 27.0417 +2 *4583:10 *4583:14 15.6419 +3 *4583:14 *23830:B 17.6574 +4 *4583:14 *23752:A 16.1605 +5 *4583:10 *23680:C 9.97254 +*END + +*D_NET *4584 0.00816821 +*CONN +*I *23753:D I *D sky130_fd_sc_hd__or4_2 +*I *23680:D I *D sky130_fd_sc_hd__or4_1 +*I *23679:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23753:D 4.17153e-05 +2 *23680:D 0.000137497 +3 *23679:X 0.000207546 +4 *4584:10 0.000972721 +5 *4584:6 0.00108449 +6 *23680:D *4585:7 1.21461e-06 +7 *4584:6 *4691:11 0.000120052 +8 *4584:6 *4873:54 0.000498121 +9 *4584:10 *4691:11 0.00226933 +10 *4584:10 *4723:8 4.69661e-05 +11 *4584:10 *4873:6 0.000200236 +12 *4584:10 *4873:54 0.00227956 +13 *23680:A *23680:D 6.08467e-05 +14 *23680:C *23680:D 2.29454e-05 +15 *23789:C *4584:6 4.55535e-05 +16 *23790:A *4584:6 5.59308e-05 +17 *4504:8 *4584:6 0 +18 *4582:30 *23680:D 8.77154e-06 +19 *4582:30 *4584:10 0.000107729 +20 *4583:14 *23680:D 6.98337e-06 +*RES +1 *23679:X *4584:6 22.6404 +2 *4584:6 *4584:10 48.6877 +3 *4584:10 *23680:D 12.191 +4 *4584:6 *23753:D 14.4725 +*END + +*D_NET *4585 0.00633691 +*CONN +*I *23681:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *23680:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23681:D_N 0 +2 *23680:X 5.70871e-05 +3 *4585:17 0.000814948 +4 *4585:13 0.000953756 +5 *4585:8 0.000222482 +6 *4585:7 0.000140761 +7 *4585:13 *24381:CLK 2.16355e-05 +8 *4585:17 *23707:C1 6.08467e-05 +9 *4585:17 *4656:8 0.000475642 +10 *4585:17 *4656:10 9.83032e-05 +11 *4585:17 *5458:64 0.000205567 +12 *4585:17 *5801:92 0.00227335 +13 *4585:17 *5925:17 0.000118394 +14 *23659:B *4585:17 0.000148129 +15 *23680:D *4585:7 1.21461e-06 +16 *4106:126 *4585:17 0.000586437 +17 *4506:12 *4585:17 0.000158353 +*RES +1 *23680:X *4585:7 19.2217 +2 *4585:7 *4585:8 127.479 +3 *4585:8 *4585:13 15.5817 +4 *4585:13 *4585:17 45.6738 +5 *4585:17 *23681:D_N 9.24915 +*END + +*D_NET *4586 0.000868599 +*CONN +*I *23707:B1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23681:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *23707:B1 0.000269231 +2 *23681:X 0.000269231 +3 *23707:B1 *23707:C1 6.89953e-05 +4 *23681:A *23707:B1 3.82228e-05 +5 *23681:B *23707:B1 0.000107496 +6 *23681:C *23707:B1 0.000107496 +7 *23707:A1 *23707:B1 7.92757e-06 +*RES +1 *23681:X *23707:B1 24.7918 +*END + +*D_NET *4587 0.00570014 +*CONN +*I *23705:A I *D sky130_fd_sc_hd__or4_1 +*I *23799:A I *D sky130_fd_sc_hd__or4_4 +*I *23766:C I *D sky130_fd_sc_hd__nor3_1 +*I *23837:B I *D sky130_fd_sc_hd__or3_1 +*I *23682:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23705:A 0 +2 *23799:A 0.000217065 +3 *23766:C 2.99975e-05 +4 *23837:B 9.65887e-05 +5 *23682:Y 0.000787801 +6 *4587:44 0.000395481 +7 *4587:31 0.00038928 +8 *4587:14 0.00106526 +9 *23766:C *23798:B 7.34948e-06 +10 *23766:C *4668:9 2.65831e-05 +11 *23837:B *23764:C 2.81361e-06 +12 *23837:B *23770:A1 3.20069e-06 +13 *23837:B *4668:9 6.50586e-05 +14 *4587:14 *23764:C 0.000128258 +15 *4587:14 *23840:C 9.46074e-05 +16 *4587:31 *4697:8 1.66771e-05 +17 *4587:31 *5920:28 0.000364933 +18 *4587:44 *23705:D 0.000162937 +19 *4587:44 *23798:B 9.65932e-05 +20 *4587:44 *4670:22 0 +21 *23300:A2 *4587:14 0.000113968 +22 *23492:A *4587:14 7.13655e-06 +23 *23497:D *4587:14 1.32841e-05 +24 *23705:C *23799:A 0.000262918 +25 *23705:C *4587:44 6.50586e-05 +26 *23766:A *4587:44 0.000222511 +27 *23799:B *23799:A 2.20702e-05 +28 *23799:B *4587:44 6.75302e-05 +29 *23837:C *23837:B 2.01653e-05 +30 *23837:C *4587:14 0.000192326 +31 *23838:C *23799:A 0 +32 *4020:20 *23837:B 4.59453e-05 +33 *4020:20 *4587:14 0.000466175 +34 *4020:24 *23837:B 3.31194e-06 +35 *4106:24 *4587:14 0.000227072 +36 *4120:83 *23837:B 2.01855e-05 +*RES +1 *23682:Y *4587:14 44.1258 +2 *4587:14 *23837:B 16.0969 +3 *4587:14 *4587:31 12.125 +4 *4587:31 *23766:C 9.97254 +5 *4587:31 *4587:44 16.8522 +6 *4587:44 *23799:A 15.4221 +7 *4587:44 *23705:A 9.24915 +*END + +*D_NET *4588 0.00100236 +*CONN +*I *23684:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *23683:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23684:A2 0.000436848 +2 *23683:Y 0.000436848 +3 *4241:16 *23684:A2 0.000117741 +4 *4371:51 *23684:A2 1.09267e-05 +*RES +1 *23683:Y *23684:A2 34.3512 +*END + +*D_NET *4589 0.0191393 +*CONN +*I *23758:B I *D sky130_fd_sc_hd__or4b_2 +*I *23689:A I *D sky130_fd_sc_hd__or4_1 +*I *23684:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *23758:B 0.000230154 +2 *23689:A 3.91116e-05 +3 *23684:Y 0.000821117 +4 *4589:20 0.00165346 +5 *4589:19 0.00254725 +6 *4589:8 0.00198417 +7 *23689:A *23689:D 6.36477e-05 +8 *23689:A *23761:A 0.000161234 +9 *23689:A *4594:7 2.57986e-05 +10 *23758:B *4660:10 7.50722e-05 +11 *4589:8 *23836:B 0.000318829 +12 *4589:8 *4591:11 0.00137366 +13 *4589:19 *23836:B 1.91246e-05 +14 *4589:19 *4591:11 8.62625e-06 +15 *4589:19 *4591:15 5.8812e-05 +16 *4589:19 *4591:26 3.74457e-05 +17 *4589:19 *4661:27 0.00128599 +18 *4589:19 *4735:11 6.01588e-05 +19 *4589:20 *23715:C 0.000230896 +20 *4589:20 *23844:D 9.90599e-06 +21 *4589:20 *4592:14 0.000671301 +22 *4589:20 *4614:26 0.0005282 +23 *4589:20 *4617:12 0.000309612 +24 *4589:20 *4618:33 0.000210992 +25 *4589:20 *4695:22 0.000159601 +26 *4589:20 *4695:56 0.000136205 +27 *4589:20 *4712:18 2.25697e-05 +28 *23008:B *4589:20 1.91246e-05 +29 *23023:A2 *4589:20 0.000642981 +30 *23107:B *23758:B 9.31078e-05 +31 *23426:A1 *4589:19 2.02035e-05 +32 *23427:A *4589:19 0.000107496 +33 *23617:A *4589:20 0.00020891 +34 *23618:A *4589:20 0.000202176 +35 *23618:C *4589:20 3.59507e-05 +36 *23684:B1 *4589:8 5.20546e-06 +37 *23708:A1 *4589:8 0.000182647 +38 *23758:D_N *23758:B 7.50722e-05 +39 *3829:67 *4589:19 3.20407e-05 +40 *3840:57 *4589:8 0.000385933 +41 *3840:285 *4589:8 5.04829e-06 +42 *3891:190 *4589:19 0.000733641 +43 *3905:30 *4589:8 0.000300171 +44 *3911:14 *4589:8 0.000479018 +45 *3911:14 *4589:19 3.74593e-05 +46 *3917:38 *4589:20 0.000100364 +47 *3918:13 *4589:20 1.5714e-05 +48 *3922:67 *4589:20 0.000475958 +49 *3928:18 *4589:19 0.000329116 +50 *4251:41 *4589:20 0.000574484 +51 *4519:14 *4589:8 0.000448536 +52 *4519:21 *4589:8 2.01595e-05 +53 *4521:8 *4589:20 0.000287433 +54 *4524:27 *4589:20 0.000278426 +*RES +1 *23684:Y *4589:8 49.5275 +2 *4589:8 *4589:19 40.7091 +3 *4589:19 *4589:20 62.4588 +4 *4589:20 *23689:A 15.5817 +5 *4589:8 *23758:B 27.1077 +*END + +*D_NET *4590 0.000333664 +*CONN +*I *23686:A2 I *D sky130_fd_sc_hd__o211ai_4 +*I *23685:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *23686:A2 5.06054e-05 +2 *23685:X 5.06054e-05 +3 *23685:A2 *23686:A2 0.000116227 +4 *3931:26 *23686:A2 0.000116227 +*RES +1 *23685:X *23686:A2 29.7455 +*END + +*D_NET *4591 0.0177806 +*CONN +*I *23689:B I *D sky130_fd_sc_hd__or4_1 +*I *23836:A I *D sky130_fd_sc_hd__or3_2 +*I *23686:Y O *D sky130_fd_sc_hd__o211ai_4 +*CAP +1 *23689:B 0.000230815 +2 *23836:A 0 +3 *23686:Y 0.000563047 +4 *4591:26 0.0021968 +5 *4591:25 0.0020426 +6 *4591:15 0.000223178 +7 *4591:11 0.000709607 +8 *23689:B *23761:A 0.000133549 +9 *23689:B *4592:23 6.36477e-05 +10 *4591:15 *23836:B 7.12632e-06 +11 *4591:15 *4661:27 6.50586e-05 +12 *4591:25 *23836:C 1.09551e-05 +13 *4591:25 *4661:7 2.41274e-06 +14 *4591:25 *4661:27 1.00981e-05 +15 *4591:26 *23710:B1 0.000148129 +16 *4591:26 *4592:14 0.000860322 +17 *4591:26 *4612:10 0.000135169 +18 *4591:26 *4618:33 9.2346e-06 +19 *4591:26 *4661:27 0.000103165 +20 *4591:26 *4661:28 0.00273369 +21 *4591:26 *4695:22 5.62825e-05 +22 *4591:26 *4712:18 0.000110844 +23 *23023:A2 *4591:26 0 +24 *23107:B *4591:11 4.45999e-05 +25 *23132:A1 *4591:11 1.0906e-05 +26 *23423:D1 *4591:26 8.76418e-05 +27 *23470:A2 *4591:11 4.10997e-05 +28 *23710:C1 *4591:26 0.00043658 +29 *3827:41 *4591:11 2.27135e-05 +30 *3891:133 *4591:26 0.000100364 +31 *3911:14 *4591:26 0.00010623 +32 *3911:20 *4591:26 4.06642e-05 +33 *3922:58 *4591:26 0.000123582 +34 *3922:67 *4591:26 0.000280104 +35 *3928:18 *4591:15 0.000149628 +36 *4251:41 *4591:26 0.00221784 +37 *4367:15 *4591:11 3.58469e-05 +38 *4519:21 *4591:11 0.00116105 +39 *4520:12 *4591:26 0.00102747 +40 *4589:8 *4591:11 0.00137366 +41 *4589:19 *4591:11 8.62625e-06 +42 *4589:19 *4591:15 5.8812e-05 +43 *4589:19 *4591:26 3.74457e-05 +*RES +1 *23686:Y *4591:11 48.698 +2 *4591:11 *4591:15 8.9951 +3 *4591:15 *23836:A 9.24915 +4 *4591:15 *4591:25 6.21204 +5 *4591:25 *4591:26 86.5434 +6 *4591:26 *23689:B 17.9931 +*END + +*D_NET *4592 0.00612729 +*CONN +*I *23689:C I *D sky130_fd_sc_hd__or4_1 +*I *23756:D1 I *D sky130_fd_sc_hd__a2111o_1 +*I *23687:Y O *D sky130_fd_sc_hd__o221ai_4 +*CAP +1 *23689:C 0 +2 *23756:D1 0.000139892 +3 *23687:Y 0.000883011 +4 *4592:23 0.000418449 +5 *4592:14 0.00116157 +6 *23756:D1 *23761:A 0.000110306 +7 *4592:14 *4618:33 0.000212491 +8 *4592:14 *4695:22 7.14746e-05 +9 *4592:14 *4695:56 0.000138767 +10 *4592:14 *4712:18 0.000110844 +11 *4592:23 *23761:A 0.000143848 +12 *4592:23 *4617:12 9.24241e-05 +13 *23618:A *4592:14 0.000202176 +14 *23687:A2 *4592:14 2.41274e-06 +15 *23687:B2 *4592:14 1.41976e-05 +16 *23689:B *4592:23 6.36477e-05 +17 *2838:57 *4592:23 0.000200794 +18 *4251:41 *4592:14 0.000343982 +19 *4365:19 *23756:D1 7.67048e-05 +20 *4365:19 *4592:23 0 +21 *4517:24 *23756:D1 0.000208674 +22 *4517:24 *4592:23 0 +23 *4589:20 *4592:14 0.000671301 +24 *4591:26 *4592:14 0.000860322 +*RES +1 *23687:Y *4592:14 48.7025 +2 *4592:14 *4592:23 15.6305 +3 *4592:23 *23756:D1 23.0201 +4 *4592:23 *23689:C 9.24915 +*END + +*D_NET *4593 0.00415729 +*CONN +*I *23689:D I *D sky130_fd_sc_hd__or4_1 +*I *23794:C I *D sky130_fd_sc_hd__or4_4 +*I *23688:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23689:D 0.000764673 +2 *23794:C 0.000253314 +3 *23688:X 0.000208625 +4 *4593:8 0.00122661 +5 *23689:D *23761:A 0.000130556 +6 *23689:D *23794:D 0 +7 *23689:D *4617:8 1.76791e-05 +8 *23689:D *4617:12 0.000614674 +9 *23689:D *4662:8 0.000283785 +10 *4593:8 *4662:8 0.000176259 +11 *4593:8 *4694:10 0 +12 *4593:8 *4695:22 1.91246e-05 +13 *23241:B2 *23689:D 3.29488e-05 +14 *23241:C1 *23689:D 2.74078e-05 +15 *23521:B *4593:8 5.04829e-06 +16 *23688:A *4593:8 4.87301e-05 +17 *23689:A *23689:D 6.36477e-05 +18 *23756:A2 *23689:D 1.66771e-05 +19 *23756:C1 *23689:D 0 +20 *23794:A *23794:C 4.58114e-05 +21 *3892:23 *23794:C 0.000221714 +22 *4024:70 *23689:D 0 +23 *4024:74 *23689:D 0 +24 *4024:74 *4593:8 0 +25 *4517:24 *23689:D 0 +*RES +1 *23688:X *4593:8 18.5201 +2 *4593:8 *23794:C 17.9147 +3 *4593:8 *23689:D 34.5784 +*END + +*D_NET *4594 0.0111644 +*CONN +*I *23693:A I *D sky130_fd_sc_hd__or4_1 +*I *23689:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23693:A 4.40238e-05 +2 *23689:X 0.000203658 +3 *4594:8 0.00161698 +4 *4594:7 0.00177662 +5 *23693:A *23693:C 1.64789e-05 +6 *23693:A *23693:D 6.36477e-05 +7 *23693:A *4598:13 3.75603e-05 +8 *4594:7 *23761:A 2.0197e-05 +9 *4594:7 *23761:C 1.17192e-05 +10 *4594:7 *23848:A 1.65872e-05 +11 *4594:8 *23693:C 1.07248e-05 +12 *4594:8 *23761:D 1.13142e-05 +13 *4594:8 *4618:20 0.000197342 +14 *4594:8 *4618:33 0.000828944 +15 *4594:8 *4627:23 0.000695717 +16 *4594:8 *4662:16 0.000652487 +17 *4594:8 *4701:18 0.00097248 +18 *23251:A *23693:A 6.08467e-05 +19 *23689:A *4594:7 2.57986e-05 +20 *1690:80 *4594:8 0.000146609 +21 *3829:109 *4594:8 0.000282384 +22 *3900:18 *4594:8 0.00127259 +23 *3980:180 *4594:8 0.00052054 +24 *4022:48 *4594:8 9.97849e-05 +25 *4150:9 *4594:8 1.2366e-05 +26 *4233:44 *4594:8 0.00108865 +27 *4233:64 *4594:8 0.000174729 +28 *4328:32 *4594:8 0.000226271 +29 *4475:12 *4594:8 7.73687e-05 +*RES +1 *23689:X *4594:7 16.691 +2 *4594:7 *4594:8 71.5944 +3 *4594:8 *23693:A 16.1846 +*END + +*D_NET *4595 0.00395667 +*CONN +*I *23693:B I *D sky130_fd_sc_hd__or4_1 +*I *23759:D I *D sky130_fd_sc_hd__or4_2 +*I *23690:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23693:B 0.000675766 +2 *23759:D 2.37562e-05 +3 *23690:X 0.000409422 +4 *4595:8 0.00110894 +5 *23693:B *4617:8 1.91246e-05 +6 *23693:B *4662:7 1.55025e-05 +7 *23251:A *23693:B 7.86066e-05 +8 *23253:A *23759:D 1.51692e-05 +9 *23253:A *4595:8 8.51784e-06 +10 *23474:A *4595:8 9.19632e-06 +11 *1587:80 *4595:8 0.000465218 +12 *3891:15 *23693:B 3.17436e-05 +13 *3937:32 *23693:B 2.02035e-05 +14 *3938:41 *4595:8 0.000195423 +15 *4106:191 *23693:B 0 +16 *4106:191 *4595:8 0.000742154 +17 *4161:8 *23759:D 4.3648e-05 +18 *4161:8 *4595:8 9.42726e-05 +*RES +1 *23690:X *4595:8 30.3576 +2 *4595:8 *23759:D 14.543 +3 *4595:8 *23693:B 24.2843 +*END + +*D_NET *4596 0.00265347 +*CONN +*I *23847:D I *D sky130_fd_sc_hd__or4_2 +*I *23693:C I *D sky130_fd_sc_hd__or4_1 +*I *23691:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23847:D 7.54348e-05 +2 *23693:C 0.000356544 +3 *23691:X 8.59752e-05 +4 *4596:5 0.000517954 +5 *23693:C *23693:D 2.16355e-05 +6 *23847:D *4618:20 0.000268195 +7 *23251:A *23693:C 5.34125e-05 +8 *23691:A *23693:C 5.04829e-06 +9 *23691:A *4596:5 3.31745e-05 +10 *23691:C *23693:C 6.98337e-06 +11 *23693:A *23693:C 1.64789e-05 +12 *3900:18 *23693:C 0.00013312 +13 *3900:18 *23847:D 0.000273277 +14 *4106:214 *23693:C 0.000264583 +15 *4138:16 *23693:C 8.77154e-06 +16 *4328:32 *23693:C 0.000522156 +17 *4594:8 *23693:C 1.07248e-05 +*RES +1 *23691:X *4596:5 10.5271 +2 *4596:5 *23693:C 30.551 +3 *4596:5 *23847:D 22.9879 +*END + +*D_NET *4597 0.00607299 +*CONN +*I *23693:D I *D sky130_fd_sc_hd__or4_1 +*I *23760:D1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23692:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23693:D 0.000229296 +2 *23760:D1 0.000104373 +3 *23692:X 0.000242953 +4 *4597:29 0.00100562 +5 *4597:8 0.00112366 +6 *23693:D *4598:13 3.29867e-05 +7 *4597:29 *5455:12 0.000135121 +8 *4597:29 *5455:19 0.000540918 +9 *4597:29 *5674:15 0.000201463 +10 *23251:A *23693:D 2.72819e-05 +11 *23254:A *23693:D 4.7372e-05 +12 *23254:B *23693:D 6.78549e-05 +13 *23258:A *4597:29 3.69921e-05 +14 *23261:A *4597:29 0.000219662 +15 *23480:B *4597:8 2.77625e-06 +16 *23485:A *23760:D1 0.000167076 +17 *23692:A *4597:8 1.87078e-05 +18 *23692:A *4597:29 2.79974e-05 +19 *23692:C *4597:8 7.34948e-06 +20 *23693:A *23693:D 6.36477e-05 +21 *23693:C *23693:D 2.16355e-05 +22 *23760:B1 *4597:8 0.000127179 +23 *1427:63 *4597:29 0.000300565 +24 *1808:69 *4597:29 3.46002e-05 +25 *3877:161 *4597:8 0.000191526 +26 *3877:161 *4597:29 7.50872e-05 +27 *3895:27 *4597:29 5.9708e-05 +28 *3897:64 *4597:29 0.000200292 +29 *3898:35 *4597:8 3.82228e-05 +30 *3898:35 *4597:29 0.000114376 +31 *3974:146 *4597:29 1.61631e-05 +32 *3974:154 *4597:29 4.77408e-05 +33 *3980:195 *4597:29 0.000148129 +34 *4126:226 *23693:D 0 +35 *4168:6 *4597:29 8.6297e-06 +36 *4169:10 *4597:29 7.84066e-05 +37 *4384:10 *23693:D 0.000298399 +38 *4387:10 *4597:8 9.22013e-06 +*RES +1 *23692:X *4597:8 19.6294 +2 *4597:8 *23760:D1 15.5817 +3 *4597:8 *4597:29 46.1346 +4 *4597:29 *23693:D 20.2115 +*END + +*D_NET *4598 0.00671927 +*CONN +*I *23697:A I *D sky130_fd_sc_hd__or4_4 +*I *23693:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23697:A 0.00040376 +2 *23693:X 0.00118416 +3 *4598:13 0.00158792 +4 *23697:A *23697:C 4.03231e-05 +5 *23697:A *23697:D 0.000205101 +6 *23697:A *4602:8 3.31745e-05 +7 *23697:A *4685:10 5.76501e-05 +8 *23697:A *4870:116 0.000233545 +9 *23251:A *4598:13 1.65872e-05 +10 *23254:A *4598:13 0.000107496 +11 *23254:B *4598:13 6.08467e-05 +12 *23528:B *4598:13 0.000632194 +13 *23534:A *23697:A 0.000160617 +14 *23574:A1 *4598:13 0.000627541 +15 *23574:A2 *4598:13 6.25991e-05 +16 *23574:B1 *4598:13 0.000113968 +17 *23579:A1 *4598:13 0.000438346 +18 *23579:A2 *4598:13 0 +19 *23579:B1 *4598:13 1.34679e-05 +20 *23693:A *4598:13 3.75603e-05 +21 *23693:D *4598:13 3.29867e-05 +22 *3888:11 *23697:A 6.50586e-05 +23 *3888:11 *4598:13 2.70427e-05 +24 *4126:226 *4598:13 0.000308667 +25 *4163:5 *4598:13 0.000107496 +26 *4441:10 *23697:A 0.000161167 +*RES +1 *23693:X *4598:13 40.1382 +2 *4598:13 *23697:A 21.6192 +*END + +*D_NET *4599 0.00429148 +*CONN +*I *23697:B I *D sky130_fd_sc_hd__or4_4 +*I *23792:C I *D sky130_fd_sc_hd__or4_4 +*I *23694:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23697:B 0.000410741 +2 *23792:C 0 +3 *23694:X 0.000463668 +4 *4599:11 0.000874409 +5 *4599:11 *23792:B 0.000247443 +6 *4599:11 *23792:D 0.000172413 +7 *4599:11 *4600:10 6.1438e-05 +8 *23269:A *23697:B 9.07365e-05 +9 *23792:A *23697:B 6.96362e-05 +10 *23792:A *4599:11 5.86729e-05 +11 *1427:83 *23697:B 0.000699996 +12 *2561:38 *23697:B 5.60581e-05 +13 *4288:29 *23697:B 0.00090314 +14 *4288:29 *4599:11 6.1438e-05 +15 *4289:21 *23697:B 7.96078e-05 +16 *4289:21 *4599:11 4.20857e-05 +*RES +1 *23694:X *4599:11 26.4357 +2 *4599:11 *23792:C 9.24915 +3 *4599:11 *23697:B 36.3919 +*END + +*D_NET *4600 0.00963496 +*CONN +*I *23762:D I *D sky130_fd_sc_hd__or4_4 +*I *23697:C I *D sky130_fd_sc_hd__or4_4 +*I *23695:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23762:D 0 +2 *23697:C 7.18304e-06 +3 *23695:X 0.00073308 +4 *4600:22 0.000988242 +5 *4600:10 0.00172851 +6 *23697:C *4870:116 3.75221e-05 +7 *4600:22 *5592:19 1.88014e-05 +8 *23539:A1 *4600:22 0.000139435 +9 *23539:A2 *4600:22 1.5714e-05 +10 *23695:A *4600:10 7.62571e-05 +11 *23695:B *4600:10 3.0577e-05 +12 *23697:A *23697:C 4.03231e-05 +13 *23791:A *4600:10 0.000266884 +14 *1515:78 *4600:10 1.19726e-05 +15 *1699:32 *4600:10 6.08467e-05 +16 *3791:118 *4600:22 0.000427152 +17 *3974:219 *4600:22 0.000107496 +18 *4001:164 *4600:22 0.00119158 +19 *4008:43 *4600:22 8.20492e-06 +20 *4009:35 *4600:22 0.000185886 +21 *4177:8 *4600:10 0.00015261 +22 *4288:29 *4600:10 0.000372121 +23 *4288:29 *4600:22 0.00128172 +24 *4289:28 *4600:10 0.000597779 +25 *4289:28 *4600:22 0.000110182 +26 *4290:19 *4600:22 0.000154145 +27 *4392:12 *4600:22 1.2366e-05 +28 *4574:23 *4600:22 0.000145396 +29 *4575:13 *4600:22 0.000671548 +30 *4599:11 *4600:10 6.1438e-05 +*RES +1 *23695:X *4600:10 36.9722 +2 *4600:10 *23697:C 14.1952 +3 *4600:10 *4600:22 49.2746 +4 *4600:22 *23762:D 9.24915 +*END + +*D_NET *4601 0.0138512 +*CONN +*I *23833:A I *D sky130_fd_sc_hd__or4_1 +*I *23697:D I *D sky130_fd_sc_hd__or4_4 +*I *23696:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23833:A 0 +2 *23697:D 0.000263023 +3 *23696:X 0 +4 *4601:35 0.00081579 +5 *4601:14 0.00101726 +6 *4601:10 0.00128631 +7 *4601:4 0.00134786 +8 *23697:D *4602:8 0.000398814 +9 *23697:D *4870:116 8.89324e-05 +10 *4601:10 *4803:12 4.63475e-05 +11 *4601:14 *4803:12 1.48133e-05 +12 *4601:14 *5680:29 0.000118485 +13 *4601:35 *4704:24 8.28859e-06 +14 *4601:35 *4732:5 1.54054e-05 +15 *4601:35 *4745:43 3.82228e-05 +16 *4601:35 *4803:10 6.46816e-05 +17 *23164:A *4601:14 9.22013e-06 +18 *23277:A *4601:14 8.21849e-06 +19 *23280:B *4601:10 7.50722e-05 +20 *23280:B *4601:35 1.55462e-05 +21 *23696:A *4601:35 0.000233314 +22 *23697:A *23697:D 0.000205101 +23 *24092:A0 *4601:35 6.31931e-05 +24 *24527:D *23697:D 4.31539e-05 +25 *588:19 *4601:35 6.83397e-05 +26 *1506:114 *4601:10 0.000104593 +27 *1506:114 *4601:35 0.000232962 +28 *1521:62 *4601:14 0.00185013 +29 *1587:106 *4601:10 0.00065734 +30 *1587:106 *4601:14 0.000238371 +31 *1690:95 *4601:10 6.08467e-05 +32 *1690:95 *4601:35 0.000113968 +33 *3671:54 *4601:10 0.00102154 +34 *3671:54 *4601:14 0.000164853 +35 *4001:164 *4601:14 1.80234e-05 +36 *4074:8 *4601:35 1.26298e-05 +37 *4074:15 *4601:35 0.000637776 +38 *4136:54 *4601:35 0.000864983 +39 *4182:8 *4601:14 0.00147967 +40 *4187:10 *4601:10 0.000148129 +*RES +1 *23696:X *4601:4 9.24915 +2 *4601:4 *4601:10 27.1463 +3 *4601:10 *4601:14 42.6177 +4 *4601:14 *23697:D 17.737 +5 *4601:4 *4601:35 45.3424 +6 *4601:35 *23833:A 9.24915 +*END + +*D_NET *4602 0.0451516 +*CONN +*I *23703:A I *D sky130_fd_sc_hd__or4_1 +*I *6210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6209:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23697:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23703:A 5.39662e-06 +2 *6210:DIODE 0.000173583 +3 *6209:DIODE 0 +4 *23697:X 0.000253701 +5 *4602:34 0.006479 +6 *4602:27 0.0112114 +7 *4602:8 0.00516505 +8 *6210:DIODE *4696:10 4.11147e-05 +9 *23703:A *4696:10 1.3023e-05 +10 *4602:27 *20158:A1 0 +11 *4602:27 *4693:15 0.00137194 +12 *4602:27 *4704:17 0.000109796 +13 *4602:27 *4739:51 0 +14 *4602:27 *4803:12 0.000104808 +15 *4602:34 *5920:22 0.00387781 +16 *22915:A *4602:34 0.000103329 +17 *23386:A *4602:27 0.000107499 +18 *23497:B *4602:34 5.60804e-05 +19 *23539:A2 *4602:27 0.000231368 +20 *23697:A *4602:8 3.31745e-05 +21 *23697:D *4602:8 0.000398814 +22 *1668:85 *4602:27 0 +23 *2753:19 *4602:27 0.000225428 +24 *3373:24 *4602:27 0.00045569 +25 *3373:24 *4602:34 0.00114255 +26 *3791:34 *4602:34 0.000438024 +27 *3791:42 *4602:34 0.000501637 +28 *3868:38 *4602:34 0.000107678 +29 *3868:93 *4602:34 0.00176972 +30 *4001:164 *4602:27 0.00021689 +31 *4001:244 *4602:34 0.000116169 +32 *4070:36 *4602:27 3.5253e-05 +33 *4097:8 *4602:34 0.000112685 +34 *4097:23 *4602:34 0.000132593 +35 *4106:24 *6210:DIODE 3.6549e-05 +36 *4106:24 *23703:A 1.91391e-05 +37 *4137:106 *6210:DIODE 0.000145601 +38 *4289:28 *4602:8 8.01987e-05 +39 *4289:28 *4602:27 0.00108863 +40 *4301:40 *4602:34 0.000106946 +41 *4301:50 *4602:34 0.0015974 +42 *4392:12 *4602:8 8.37812e-05 +43 *4392:12 *4602:27 0.00136383 +44 *4397:21 *4602:34 0.000956265 +45 *4547:35 *4602:27 0.000233512 +46 *4547:35 *4602:34 8.74221e-06 +47 *4550:53 *4602:34 0.00443984 +*RES +1 *23697:X *4602:8 20.8807 +2 *4602:8 *6209:DIODE 13.7491 +3 *4602:8 *4602:27 39.8912 +4 *4602:27 *4602:34 31.6632 +5 *4602:34 *6210:DIODE 16.9302 +6 *4602:34 *23703:A 14.1278 +*END + +*D_NET *4603 0.000699315 +*CONN +*I *23699:B I *D sky130_fd_sc_hd__or2_1 +*I *23698:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23699:B 7.03113e-05 +2 *23698:Y 7.03113e-05 +3 *23698:A1 *23699:B 0.000251655 +4 *3078:19 *23699:B 0.000307037 +*RES +1 *23698:Y *23699:B 21.9947 +*END + +*D_NET *4604 0.00146243 +*CONN +*I *23703:B I *D sky130_fd_sc_hd__or4_1 +*I *23763:B I *D sky130_fd_sc_hd__or2_1 +*I *23699:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23703:B 0.000204999 +2 *23763:B 8.27912e-05 +3 *23699:X 3.71891e-05 +4 *4604:6 0.000324979 +5 *23763:B *23795:C 6.40861e-05 +6 *23763:B *4606:7 0.000154145 +7 *4604:6 *23795:C 6.85778e-05 +8 *23763:A *23763:B 6.76836e-05 +9 *23763:A *4604:6 6.14505e-05 +10 *4137:106 *23763:B 8.52816e-05 +11 *4364:13 *23703:B 0.000311249 +*RES +1 *23699:X *4604:6 15.1659 +2 *4604:6 *23763:B 16.9985 +3 *4604:6 *23703:B 17.2456 +*END + +*D_NET *4605 0.00639801 +*CONN +*I *23701:A3 I *D sky130_fd_sc_hd__a311o_1 +*I *23700:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *23701:A3 0.000634488 +2 *23700:Y 0.00104774 +3 *4605:10 0.00168223 +4 *23701:A3 *23196:A 6.08467e-05 +5 *23701:A3 *4606:7 5.07314e-05 +6 *23197:A *23701:A3 5.48805e-05 +7 *23700:A *4605:10 0.000109427 +8 *23700:C *4605:10 7.01935e-06 +9 *23701:B1 *23701:A3 0 +10 *2328:13 *4605:10 0.00017203 +11 *3779:119 *23701:A3 0.000107496 +12 *3796:48 *4605:10 0.00108164 +13 *3826:45 *23701:A3 0.000235436 +14 *3982:8 *23701:A3 6.50586e-05 +15 *3982:8 *4605:10 0.00107804 +16 *4137:106 *23701:A3 1.09551e-05 +*RES +1 *23700:Y *4605:10 48.0886 +2 *4605:10 *23701:A3 24.971 +*END + +*D_NET *4606 0.0038052 +*CONN +*I *23703:C I *D sky130_fd_sc_hd__or4_1 +*I *23795:B I *D sky130_fd_sc_hd__or3_2 +*I *23701:X O *D sky130_fd_sc_hd__a311o_1 +*CAP +1 *23703:C 1.76843e-05 +2 *23795:B 0.000273341 +3 *23701:X 0.0003167 +4 *4606:7 0.000607726 +5 *23795:B *23795:C 4.37481e-05 +6 *23795:B *4696:10 0 +7 *23400:A *4606:7 0.000258128 +8 *23400:B *4606:7 2.16355e-05 +9 *23492:C *23795:B 3.99133e-05 +10 *23497:D *23795:B 4.0208e-05 +11 *23701:A3 *4606:7 5.07314e-05 +12 *23701:B1 *4606:7 4.31539e-05 +13 *23763:B *4606:7 0.000154145 +14 *3078:14 *23795:B 1.9101e-05 +15 *4106:11 *23795:B 0 +16 *4137:106 *23703:C 0.00015511 +17 *4137:106 *4606:7 0.000228884 +18 *4230:20 *23795:B 3.1741e-05 +19 *4364:13 *23703:C 0.000167076 +20 *4364:13 *4606:7 0.00118974 +21 *4397:28 *23795:B 0.000146432 +*RES +1 *23701:X *4606:7 24.4163 +2 *4606:7 *23795:B 25.8947 +3 *4606:7 *23703:C 11.0817 +*END + +*D_NET *4607 0.00255864 +*CONN +*I *23838:B I *D sky130_fd_sc_hd__or4_1 +*I *23755:A I *D sky130_fd_sc_hd__inv_2 +*I *23703:D I *D sky130_fd_sc_hd__or4_1 +*I *23702:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23838:B 7.71954e-05 +2 *23755:A 0.000124188 +3 *23703:D 0.000217766 +4 *23702:X 0 +5 *4607:15 0.00050719 +6 *4607:4 0.000523573 +7 *23497:D *23703:D 0.000298418 +8 *23796:C *4607:15 5.601e-06 +9 *23838:C *4607:15 9.80242e-07 +10 *1809:179 *23755:A 0.000186356 +11 *1809:181 *23755:A 1.16596e-05 +12 *4020:20 *23755:A 0.000223351 +13 *4397:28 *23703:D 7.98691e-05 +14 *4402:15 *23838:B 9.32983e-05 +15 *4402:15 *4607:15 0.000205006 +16 *4500:45 *4607:15 4.18358e-06 +*RES +1 *23702:X *4607:4 9.24915 +2 *4607:4 *23703:D 24.6812 +3 *4607:4 *4607:15 4.60562 +4 *4607:15 *23755:A 22.741 +5 *4607:15 *23838:B 10.5271 +*END + +*D_NET *4608 0.00228597 +*CONN +*I *23705:B I *D sky130_fd_sc_hd__or4_1 +*I *23703:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23705:B 0.000625106 +2 *23703:X 0.000625106 +3 *23705:B *23839:B 0 +4 *23705:B *23840:B 4.5539e-05 +5 *23799:B *23705:B 6.92705e-05 +6 *4137:106 *23705:B 0.000661474 +7 *4364:20 *23705:B 0.000259471 +*RES +1 *23703:X *23705:B 40.3391 +*END + +*D_NET *4609 0.00297551 +*CONN +*I *23705:D I *D sky130_fd_sc_hd__or4_1 +*I *23798:A I *D sky130_fd_sc_hd__or3_1 +*I *23769:A I *D sky130_fd_sc_hd__or3_1 +*I *23704:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23705:D 0.000116527 +2 *23798:A 1.71979e-05 +3 *23769:A 0.000115951 +4 *23704:X 0.000435738 +5 *4609:17 0.000238259 +6 *4609:6 0.000656222 +7 *23705:D *4670:22 0.000167996 +8 *23769:A *23770:B1 4.33819e-05 +9 *23769:A *23798:C 0.000169041 +10 *23769:A *4665:82 0.000102633 +11 *23769:A *4671:7 3.51034e-05 +12 *23798:A *23798:C 6.50586e-05 +13 *4609:6 *4673:8 0.000113428 +14 *4609:6 *5452:70 0 +15 *4609:17 *23798:C 3.31736e-05 +16 *4609:17 *4670:22 0.000115508 +17 *4609:17 *4697:8 0 +18 *4609:17 *5452:70 0 +19 *4126:45 *4609:6 0.000195124 +20 *4138:152 *23798:A 2.16355e-05 +21 *4138:165 *4609:6 8.62625e-06 +22 *4138:165 *4609:17 0.000161966 +23 *4587:44 *23705:D 0.000162937 +*RES +1 *23704:X *4609:6 25.5472 +2 *4609:6 *23769:A 17.8243 +3 *4609:6 *4609:17 3.90826 +4 *4609:17 *23798:A 14.4725 +5 *4609:17 *23705:D 18.1049 +*END + +*D_NET *4610 0.000893071 +*CONN +*I *23706:D_N I *D sky130_fd_sc_hd__or4b_2 +*I *23705:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23706:D_N 0.000238387 +2 *23705:X 0.000238387 +3 *23706:D_N *23798:C 8.62625e-06 +4 *23706:D_N *4670:22 5.22071e-05 +5 *23706:D_N *4699:8 4.31703e-05 +6 *23706:D_N *5452:70 0.000122098 +7 *23706:A *23706:D_N 6.50727e-05 +8 *23767:C *23706:D_N 4.0752e-05 +9 *4138:152 *23706:D_N 8.43707e-05 +*RES +1 *23705:X *23706:D_N 35.4604 +*END + +*D_NET *4611 0.0136448 +*CONN +*I *23707:C1 I *D sky130_fd_sc_hd__o211ai_1 +*I *23706:X O *D sky130_fd_sc_hd__or4b_2 +*CAP +1 *23707:C1 0.000118617 +2 *23706:X 0.000700874 +3 *4611:12 0.00188391 +4 *4611:10 0.00246617 +5 *4611:12 *4656:8 0.000143047 +6 *4611:12 *5801:92 0 +7 *4611:12 *5925:17 0.000296061 +8 *23060:B *4611:12 0.000145396 +9 *23301:A *4611:12 0.000351415 +10 *23502:B *4611:10 0.000110411 +11 *23602:B *4611:12 0.000144546 +12 *23681:C *23707:C1 0.000111708 +13 *23706:A *4611:10 1.19721e-05 +14 *23706:C *4611:10 0.000160617 +15 *23707:A1 *23707:C1 6.08467e-05 +16 *23707:B1 *23707:C1 6.89953e-05 +17 *1482:16 *4611:12 0.000488829 +18 *1482:29 *4611:12 0.000421215 +19 *2327:13 *4611:10 8.62625e-06 +20 *3788:45 *4611:12 3.41747e-05 +21 *3788:50 *4611:12 0.00115208 +22 *4209:15 *4611:10 0.000930519 +23 *4209:15 *4611:12 0.000592076 +24 *4319:29 *4611:10 1.4091e-06 +25 *4371:30 *4611:10 0.000185802 +26 *4371:30 *4611:12 0.000599511 +27 *4371:36 *4611:12 6.94319e-05 +28 *4457:10 *4611:12 0.000134765 +29 *4506:12 *4611:12 0.0012607 +30 *4508:8 *4611:12 0.000930242 +31 *4585:17 *23707:C1 6.08467e-05 +*RES +1 *23706:X *4611:10 35.0576 +2 *4611:10 *4611:12 81.4016 +3 *4611:12 *23707:C1 17.2456 +*END + +*D_NET *4612 0.00453322 +*CONN +*I *23710:A2 I *D sky130_fd_sc_hd__a211o_1 +*I *23708:Y O *D sky130_fd_sc_hd__o211ai_1 +*CAP +1 *23710:A2 0 +2 *23708:Y 0.000638528 +3 *4612:10 0.000638528 +4 *4612:10 *23758:A 1.5254e-05 +5 *4612:10 *4660:10 0.00127408 +6 *23708:A2 *4612:10 6.08467e-05 +7 *23708:B1 *4612:10 5.04829e-06 +8 *23835:A1 *4612:10 0.000103806 +9 *3911:14 *4612:10 0.00131761 +10 *3911:20 *4612:10 0.000328499 +11 *3914:7 *4612:10 1.58551e-05 +12 *4591:26 *4612:10 0.000135169 +*RES +1 *23708:Y *4612:10 49.181 +2 *4612:10 *23710:A2 9.24915 +*END + +*D_NET *4613 0.0015094 +*CONN +*I *23710:B1 I *D sky130_fd_sc_hd__a211o_1 +*I *23758:A I *D sky130_fd_sc_hd__or4b_2 +*I *23709:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23710:B1 0.000244344 +2 *23758:A 9.1188e-05 +3 *23709:Y 0 +4 *4613:4 0.000335532 +5 *23710:B1 *4661:27 0.000148129 +6 *23710:B1 *4879:7 9.5562e-05 +7 *23758:A *4660:10 1.07248e-05 +8 *3911:20 *23758:A 0.000187528 +9 *4241:46 *23758:A 0.000233009 +10 *4591:26 *23710:B1 0.000148129 +11 *4612:10 *23758:A 1.5254e-05 +*RES +1 *23709:Y *4613:4 9.24915 +2 *4613:4 *23758:A 22.5727 +3 *4613:4 *23710:B1 23.8535 +*END + +*D_NET *4614 0.00987013 +*CONN +*I *23715:A I *D sky130_fd_sc_hd__or4_2 +*I *23812:D I *D sky130_fd_sc_hd__or4_1 +*I *23710:X O *D sky130_fd_sc_hd__a211o_1 +*CAP +1 *23715:A 0.000561958 +2 *23812:D 8.52916e-05 +3 *23710:X 0.000440137 +4 *4614:27 0.000669078 +5 *4614:26 0.00157199 +6 *4614:22 0.00199029 +7 *23715:A *23780:B 0.000166346 +8 *23715:A *23844:C 5.56367e-05 +9 *23715:A *4661:28 0.000732088 +10 *23715:A *4678:7 3.82228e-05 +11 *23715:A *4735:58 0.000107496 +12 *4614:26 *4735:28 0 +13 *23013:B *4614:26 0.000146777 +14 *23019:A *4614:26 3.77699e-05 +15 *23023:A2 *4614:22 4.60947e-05 +16 *23023:A2 *4614:26 0.0010149 +17 *23023:D1 *4614:26 0 +18 *23426:A2 *4614:22 7.09666e-06 +19 *23426:A2 *4614:26 2.57465e-06 +20 *23427:A *4614:26 9.4205e-05 +21 *23430:A1 *4614:22 6.66367e-05 +22 *23430:A2 *4614:22 0.000113632 +23 *23615:A *4614:26 2.64415e-05 +24 *23618:B *23715:A 0.000415213 +25 *23618:B *23812:D 2.32625e-05 +26 *23725:A1 *4614:26 5.38612e-06 +27 *23812:A *23715:A 4.66492e-05 +28 *23812:A *23812:D 6.36477e-05 +29 *3861:33 *4614:26 0 +30 *3917:38 *4614:26 1.66771e-05 +31 *3917:42 *4614:26 0 +32 *3923:17 *23715:A 6.15059e-05 +33 *3931:46 *4614:22 4.06737e-05 +34 *4241:46 *4614:22 0.000413976 +35 *4519:27 *23812:D 2.99287e-05 +36 *4521:8 *4614:26 0.000250346 +37 *4589:20 *4614:26 0.0005282 +*RES +1 *23710:X *4614:22 26.8008 +2 *4614:22 *4614:26 49.7258 +3 *4614:26 *4614:27 0.578717 +4 *4614:27 *23812:D 11.6364 +5 *4614:27 *23715:A 37.4525 +*END + +*D_NET *4615 0.000942143 +*CONN +*I *23712:A I *D sky130_fd_sc_hd__or4b_1 +*I *23711:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *23712:A 0.000219927 +2 *23711:X 0.000219927 +3 *23712:A *5453:29 0.000254541 +4 *4326:52 *23712:A 0.000122068 +5 *4517:24 *23712:A 0.00012568 +*RES +1 *23711:X *23712:A 32.6874 +*END + +*D_NET *4616 0.00156058 +*CONN +*I *23715:B I *D sky130_fd_sc_hd__or4_2 +*I *23776:C I *D sky130_fd_sc_hd__or4b_1 +*I *23712:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *23715:B 0.000300191 +2 *23776:C 0.000335789 +3 *23712:X 0 +4 *4616:4 0.00063598 +5 *23715:B *23715:C 2.16355e-05 +6 *23715:B *4678:7 1.69394e-06 +7 *23715:B *4735:58 7.45085e-05 +8 *23776:C *4678:7 2.05403e-05 +9 *23712:B *23776:C 6.08467e-05 +10 *23712:C *23776:C 8.53519e-05 +11 *23776:A *23776:C 2.16355e-05 +12 *23776:D_N *23776:C 2.41274e-06 +*RES +1 *23712:X *4616:4 9.24915 +2 *4616:4 *23776:C 16.676 +3 *4616:4 *23715:B 13.8548 +*END + +*D_NET *4617 0.0178368 +*CONN +*I *23715:C I *D sky130_fd_sc_hd__or4_2 +*I *23844:A I *D sky130_fd_sc_hd__or4_1 +*I *23713:Y O *D sky130_fd_sc_hd__o2111ai_4 +*CAP +1 *23715:C 0.000129348 +2 *23844:A 0.000326107 +3 *23713:Y 1.53521e-05 +4 *4617:12 0.00104265 +5 *4617:8 0.00240725 +6 *4617:7 0.00183542 +7 *23715:C *23844:D 0.000213544 +8 *23715:C *4735:58 0.000107496 +9 *23844:A *23844:C 6.64392e-05 +10 *23844:A *23844:D 0.000294093 +11 *4617:8 *23761:D 0.00119874 +12 *4617:8 *4662:8 0.00319141 +13 *4617:8 *4663:19 0.000174135 +14 *4617:12 *23761:D 4.31673e-05 +15 *4617:12 *4695:22 3.89332e-06 +16 *23689:D *4617:8 1.76791e-05 +17 *23689:D *4617:12 0.000614674 +18 *23693:B *4617:8 1.91246e-05 +19 *23713:A2 *4617:7 6.08467e-05 +20 *23715:B *23715:C 2.16355e-05 +21 *23847:B *4617:8 0.000438855 +22 *3891:24 *4617:8 0.00119988 +23 *3936:14 *4617:8 0.000131423 +24 *3937:29 *4617:8 0.000272479 +25 *3937:32 *4617:8 1.78765e-05 +26 *4024:70 *23715:C 3.88333e-06 +27 *4024:70 *23844:A 1.27191e-05 +28 *4024:70 *4617:12 3.12549e-05 +29 *4138:16 *4617:8 0.000109827 +30 *4138:41 *4617:8 0.00254101 +31 *4141:8 *4617:12 0.00013298 +32 *4141:37 *4617:12 0.00036743 +33 *4266:10 *4617:8 6.61215e-06 +34 *4328:32 *4617:8 4.87604e-05 +35 *4329:15 *4617:7 2.65667e-05 +36 *4365:19 *4617:12 0 +37 *4539:37 *23844:A 7.93338e-05 +38 *4589:20 *23715:C 0.000230896 +39 *4589:20 *4617:12 0.000309612 +40 *4592:23 *4617:12 9.24241e-05 +*RES +1 *23713:Y *4617:7 14.4725 +2 *4617:7 *4617:8 86.7511 +3 *4617:8 *4617:12 19.3825 +4 *4617:12 *23844:A 20.2356 +5 *4617:12 *23715:C 19.3507 +*END + +*D_NET *4618 0.0263767 +*CONN +*I *23774:D I *D sky130_fd_sc_hd__or4_2 +*I *23715:D I *D sky130_fd_sc_hd__or4_2 +*I *23714:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23774:D 0.000436008 +2 *23715:D 0 +3 *23714:X 0.000258441 +4 *4618:33 0.000822462 +5 *4618:20 0.00289703 +6 *4618:19 0.00299476 +7 *4618:16 0.00194133 +8 *4618:13 0.000843572 +9 *4618:20 *4627:23 0.000690999 +10 *4618:20 *5563:39 6.61215e-06 +11 *4618:33 *23844:C 3.31733e-05 +12 *4618:33 *23844:D 7.13972e-05 +13 *4618:33 *4661:28 0.00031321 +14 *4618:33 *4662:16 1.97166e-05 +15 *4618:33 *4664:33 2.01653e-05 +16 *4618:33 *4678:7 6.08467e-05 +17 *4618:33 *4695:22 8.20492e-06 +18 *4618:33 *4701:18 0.000426751 +19 *4618:33 *4735:58 6.08467e-05 +20 *4618:33 *4744:20 2.64856e-05 +21 *23033:A *4618:16 0.000169019 +22 *23037:B1 *4618:13 0.000154145 +23 *23038:A1 *4618:16 0.00011837 +24 *23358:A *4618:20 0.000127931 +25 *23480:B *4618:16 0.000417593 +26 *23622:A *23774:D 0.000154145 +27 *23622:B *23774:D 0.000391603 +28 *23622:C *23774:D 3.31745e-05 +29 *23714:D *4618:13 0.000118485 +30 *23774:C *23774:D 4.97617e-05 +31 *23782:B *4618:20 0.000194785 +32 *23847:D *4618:20 0.000268195 +33 *1438:83 *4618:13 3.75371e-05 +34 *1690:80 *4618:20 0.000143068 +35 *1755:51 *4618:19 1.26831e-05 +36 *1783:48 *4618:20 0.000908256 +37 *1786:52 *23774:D 0.000211492 +38 *1786:52 *4618:16 0.000715102 +39 *1786:52 *4618:19 6.08467e-05 +40 *2502:36 *4618:20 0.000358553 +41 *2963:96 *4618:20 0.000118725 +42 *3829:97 *4618:20 4.15236e-05 +43 *3829:97 *4618:33 0.000171951 +44 *3829:109 *4618:20 0.000996399 +45 *3829:137 *4618:20 0.000120722 +46 *3862:15 *4618:19 8.24112e-05 +47 *3874:204 *4618:20 0.000127758 +48 *3877:184 *23774:D 1.41689e-05 +49 *3877:184 *4618:19 4.97617e-05 +50 *3877:194 *23774:D 0.000154145 +51 *3891:8 *4618:20 0.000484528 +52 *3891:15 *4618:20 0.0012561 +53 *3893:48 *23774:D 0.000148114 +54 *3900:18 *4618:20 0.0018353 +55 *4022:48 *4618:20 9.62934e-05 +56 *4024:70 *4618:33 0.000200794 +57 *4163:8 *4618:20 3.31194e-06 +58 *4272:12 *4618:13 5.94319e-06 +59 *4272:12 *4618:16 0.000803076 +60 *4273:14 *4618:16 8.56132e-05 +61 *4384:10 *4618:20 0.00106425 +62 *4464:10 *4618:20 2.15656e-05 +63 *4475:12 *4618:20 7.37562e-05 +64 *4515:21 *23774:D 0.000139435 +65 *4539:37 *4618:33 0.00024525 +66 *4589:20 *4618:33 0.000210992 +67 *4591:26 *4618:33 9.2346e-06 +68 *4592:14 *4618:33 0.000212491 +69 *4594:8 *4618:20 0.000197342 +70 *4594:8 *4618:33 0.000828944 +*RES +1 *23714:X *4618:13 27.977 +2 *4618:13 *4618:16 27.9251 +3 *4618:16 *4618:19 17.4247 +4 *4618:19 *4618:20 93.8104 +5 *4618:20 *4618:33 45.2996 +6 *4618:33 *23715:D 9.24915 +7 *4618:16 *23774:D 30.1229 +*END + +*D_NET *4619 0.0215801 +*CONN +*I *23718:A I *D sky130_fd_sc_hd__or4_1 +*I *23715:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23718:A 0 +2 *23715:X 0.00524617 +3 *4619:26 0.00524617 +4 *4619:26 *23746:A 0 +5 *4619:26 *23844:C 1.91246e-05 +6 *4619:26 *4661:28 2.26985e-05 +7 *4619:26 *4695:56 0.00331983 +8 *4619:26 *5453:21 0.000269689 +9 *4619:26 *5924:20 6.55666e-06 +10 *23626:A *4619:26 5.1823e-05 +11 *23654:A2 *4619:26 0 +12 *23658:A *4619:26 0.00243443 +13 *3002:69 *4619:26 0.000122897 +14 *3833:56 *4619:26 0.000147332 +15 *3834:21 *4619:26 0.000243059 +16 *3842:92 *4619:26 0.00015508 +17 *3842:141 *4619:26 0.000794174 +18 *3846:51 *4619:26 9.85259e-05 +19 *3872:73 *4619:26 6.21488e-06 +20 *3888:49 *4619:26 0.000766557 +21 *3974:107 *4619:26 0.000791551 +22 *4006:40 *4619:26 0.000832675 +23 *4020:34 *4619:26 0.000247528 +24 *4137:47 *4619:26 0.000347607 +25 *4228:29 *4619:26 0.000117561 +26 *4301:40 *4619:26 0.000195206 +27 *4396:23 *4619:26 0 +28 *4495:48 *4619:26 9.76247e-05 +29 *4538:51 *4619:26 0 +*RES +1 *23715:X *4619:26 49.7686 +2 *4619:26 *23718:A 9.24915 +*END + +*D_NET *4620 0.0219227 +*CONN +*I *23718:B I *D sky130_fd_sc_hd__or4_1 +*I *23813:A I *D sky130_fd_sc_hd__or4_1 +*I *23716:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23718:B 6.73546e-05 +2 *23813:A 9.10877e-05 +3 *23716:X 6.1855e-05 +4 *4620:41 0.00115023 +5 *4620:34 0.00171815 +6 *4620:26 0.00168782 +7 *4620:25 0.00105254 +8 *4620:17 0.0011623 +9 *4620:12 0.00113306 +10 *23718:B *23718:C 5.41377e-05 +11 *23813:A *23781:A 9.70812e-05 +12 *23813:A *4713:14 5.481e-05 +13 *4620:17 *23781:A 3.58044e-05 +14 *4620:17 *23841:A2 0.000121846 +15 *4620:17 *4713:14 3.82228e-05 +16 *4620:26 *23841:A2 8.70609e-05 +17 *4620:26 *4665:42 0.000260855 +18 *4620:26 *5815:64 2.01503e-05 +19 *4620:26 *6001:38 0.000125458 +20 *4620:34 *4665:42 2.09442e-05 +21 *23045:B *4620:17 0.00065341 +22 *23046:B *4620:17 0.00010814 +23 *23046:B *4620:26 1.24832e-05 +24 *23290:B *4620:34 6.3082e-06 +25 *23505:B1 *4620:34 0 +26 *23623:A *4620:17 1.55462e-05 +27 *23626:B *23718:B 1.3813e-05 +28 *23654:A2 *4620:41 4.69495e-06 +29 *23654:C1 *4620:41 0.00040157 +30 *23672:A1 *4620:34 1.91246e-05 +31 *23672:B2 *4620:26 0.000320393 +32 *23675:A *4620:41 2.03363e-06 +33 *23810:B *4620:26 0.00123487 +34 *1809:115 *4620:41 0.00042935 +35 *3791:50 *4620:41 0.000260983 +36 *3791:66 *4620:41 0.000114679 +37 *3831:50 *4620:41 0.0010103 +38 *3846:51 *4620:41 0.000100682 +39 *3868:128 *4620:34 0.00104121 +40 *3868:128 *4620:41 0.00015661 +41 *3872:179 *4620:12 4.74462e-05 +42 *3872:179 *4620:17 0.000215314 +43 *3877:134 *4620:17 0.000183501 +44 *3877:138 *4620:12 3.11575e-05 +45 *3877:138 *4620:17 0.00169808 +46 *3881:18 *4620:17 4.18258e-05 +47 *3953:10 *4620:17 0.000140754 +48 *3958:20 *4620:34 1.30377e-05 +49 *3958:20 *4620:41 0.000706817 +50 *4020:34 *23718:B 0.000190042 +51 *4080:24 *4620:34 3.23556e-05 +52 *4080:24 *4620:41 1.5471e-05 +53 *4124:20 *4620:34 0.000221828 +54 *4126:91 *4620:41 6.65016e-05 +55 *4300:45 *4620:34 0.000557087 +56 *4301:40 *4620:26 2.26985e-05 +57 *4351:11 *23813:A 3.12996e-05 +58 *4415:154 *4620:26 9.60366e-05 +59 *4446:16 *4620:17 2.38715e-05 +60 *4497:8 *4620:41 9.60366e-05 +61 *4529:9 *4620:26 3.02881e-05 +62 *4529:26 *23718:B 0.000112657 +63 *4557:10 *4620:26 9.39683e-06 +64 *4557:12 *4620:26 0.00220012 +65 *4559:11 *4620:41 0.000153225 +66 *4579:14 *4620:41 3.89e-05 +*RES +1 *23716:X *4620:12 16.3872 +2 *4620:12 *4620:17 44.5674 +3 *4620:17 *23813:A 12.191 +4 *4620:17 *4620:25 4.5 +5 *4620:25 *4620:26 45.8487 +6 *4620:26 *4620:34 31.185 +7 *4620:34 *4620:41 47.3438 +8 *4620:41 *23718:B 17.2421 +*END + +*D_NET *4621 0.000959758 +*CONN +*I *23718:C I *D sky130_fd_sc_hd__or4_1 +*I *23779:C I *D sky130_fd_sc_hd__or4b_2 +*I *23717:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23718:C 0.000107838 +2 *23779:C 0.00014801 +3 *23717:X 0 +4 *4621:4 0.000255847 +5 *23626:B *23718:C 5.41227e-05 +6 *23629:B *23718:C 6.08467e-05 +7 *23717:A *23779:C 6.50727e-05 +8 *23717:B *23779:C 6.50727e-05 +9 *23718:B *23718:C 5.41377e-05 +10 *23718:D *23718:C 1.03403e-05 +11 *23779:A *23779:C 1.41976e-05 +12 *4020:34 *23718:C 6.28598e-05 +13 *4089:76 *23718:C 6.14128e-05 +*RES +1 *23717:X *4621:4 9.24915 +2 *4621:4 *23779:C 12.7456 +3 *4621:4 *23718:C 22.4655 +*END + +*D_NET *4622 0.00111199 +*CONN +*I *23720:B I *D sky130_fd_sc_hd__or4_1 +*I *23718:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23720:B 0.000245771 +2 *23718:X 0.000245771 +3 *23720:B *4623:11 3.61993e-05 +4 *23629:B *23720:B 0.000309083 +5 *23718:D *23720:B 2.77211e-05 +6 *23720:C *23720:B 0.000247443 +*RES +1 *23718:X *23720:B 25.901 +*END + +*D_NET *4623 0.00419504 +*CONN +*I *23720:D I *D sky130_fd_sc_hd__or4_1 +*I *23719:Y O *D sky130_fd_sc_hd__o221ai_1 +*CAP +1 *23720:D 0 +2 *23719:Y 0.000767731 +3 *4623:11 0.000767731 +4 *23183:B *4623:11 0.000475312 +5 *23629:A *4623:11 0.000154145 +6 *23718:D *4623:11 0.000216512 +7 *23719:A1 *4623:11 4.9482e-06 +8 *23720:B *4623:11 3.61993e-05 +9 *23720:C *4623:11 4.0752e-05 +10 *3784:67 *4623:11 0.000151935 +11 *3784:75 *4623:11 0.000107496 +12 *3831:42 *4623:11 0 +13 *3831:50 *4623:11 4.89469e-06 +14 *3846:37 *4623:11 0.000139435 +15 *3846:51 *4623:11 4.04556e-05 +16 *3849:12 *4623:11 0.000842433 +17 *4450:21 *4623:11 0.000107496 +18 *4534:10 *4623:11 9.45719e-05 +19 *4535:16 *4623:11 6.08467e-05 +20 *4579:14 *4623:11 0.000182144 +*RES +1 *23719:Y *4623:11 46.039 +2 *4623:11 *23720:D 9.24915 +*END + +*D_NET *4624 0.00217491 +*CONN +*I *23721:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23720:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23721:A 0.00047503 +2 *23720:X 0.00047503 +3 *23658:A *23721:A 3.91944e-05 +4 *23658:C *23721:A 7.21753e-05 +5 *23820:C *23721:A 8.98943e-05 +6 *24089:A1 *23721:A 4.2372e-05 +7 *4136:10 *23721:A 0.000225811 +8 *4499:13 *23721:A 0.000694559 +9 *4535:16 *23721:A 6.08467e-05 +*RES +1 *23720:X *23721:A 44.3467 +*END + +*D_NET *4625 0.00601863 +*CONN +*I *23790:D I *D sky130_fd_sc_hd__or4_2 +*I *23824:B I *D sky130_fd_sc_hd__or3_2 +*I *23771:A2 I *D sky130_fd_sc_hd__o311a_1 +*I *23722:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23790:D 0.000607044 +2 *23824:B 0.000311337 +3 *23771:A2 0.000261518 +4 *23722:X 0 +5 *4625:9 0.000961866 +6 *4625:5 0.000996055 +7 *23771:A2 *23771:A3 6.50727e-05 +8 *23771:A2 *23771:B1 6.08467e-05 +9 *23824:B *23824:C 4.31703e-05 +10 *23824:B *4723:7 0.000224381 +11 *23824:B *4873:54 0.000253826 +12 *23824:B *5801:92 0.000253826 +13 *23660:A *23790:D 3.67708e-05 +14 *23660:A *4625:9 0.000127179 +15 *23681:A *23790:D 0.000212506 +16 *23771:A1 *23771:A2 3.31745e-05 +17 *1482:29 *23790:D 0.000534392 +18 *1482:29 *4625:9 0.000560376 +19 *1483:14 *23790:D 0.00012886 +20 *1483:14 *4625:9 0.000194078 +21 *4146:37 *23790:D 0.000152348 +*RES +1 *23722:X *4625:5 13.7491 +2 *4625:5 *4625:9 18.2728 +3 *4625:9 *23771:A2 14.964 +4 *4625:9 *23824:B 28.8662 +5 *4625:5 *23790:D 31.9588 +*END + +*D_NET *4626 0.00466286 +*CONN +*I *23822:B I *D sky130_fd_sc_hd__or4_1 +*I *23737:B I *D sky130_fd_sc_hd__nor4_1 +*I *23723:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23822:B 0.000151341 +2 *23737:B 0.000300323 +3 *23723:X 0.000355926 +4 *4626:8 0.000807589 +5 *23737:B *23737:D 1.07248e-05 +6 *23737:B *23823:D 0.000532846 +7 *23628:C1 *4626:8 5.18899e-06 +8 *23659:A *23737:B 6.08467e-05 +9 *23789:A *23822:B 1.28832e-05 +10 *23789:B *4626:8 1.82679e-05 +11 *23822:A *23822:B 6.23875e-05 +12 *23822:D *23822:B 3.75603e-05 +13 *3829:20 *4626:8 0.000727765 +14 *3829:26 *23737:B 6.64892e-05 +15 *3829:26 *4626:8 6.85861e-07 +16 *4537:5 *23822:B 1.21461e-06 +17 *4537:17 *23737:B 6.50586e-05 +18 *4582:17 *23737:B 0.000711133 +19 *4582:17 *4626:8 0.000734627 +*RES +1 *23723:X *4626:8 28.6256 +2 *4626:8 *23737:B 27.6557 +3 *4626:8 *23822:B 17.2697 +*END + +*D_NET *4627 0.0193247 +*CONN +*I *23852:A I *D sky130_fd_sc_hd__or4_1 +*I *23733:A I *D sky130_fd_sc_hd__or4_2 +*I *23724:X O *D sky130_fd_sc_hd__or4b_2 +*CAP +1 *23852:A 0.000221823 +2 *23733:A 3.61163e-05 +3 *23724:X 0.00323974 +4 *4627:24 0.000674862 +5 *4627:23 0.00365666 +6 *23733:A *23733:C 6.08467e-05 +7 *23733:A *23733:D 0.000107496 +8 *23852:A *23819:B 1.15312e-05 +9 *4627:23 *4664:33 0.000430467 +10 *4627:23 *4676:27 1.83572e-05 +11 *4627:23 *5082:72 4.68957e-05 +12 *4627:23 *5909:38 0 +13 *4627:23 *5919:40 9.22546e-05 +14 *22968:B *4627:23 2.29012e-05 +15 *23387:A *4627:23 0.00157464 +16 *23626:A *4627:23 0.000838304 +17 *23666:C1 *4627:23 0.00155925 +18 *23742:B *23852:A 0.000150598 +19 *657:171 *4627:23 6.85005e-05 +20 *2836:20 *4627:23 0.000133008 +21 *2963:93 *4627:23 0.00239451 +22 *3833:106 *4627:23 1.1409e-06 +23 *3861:91 *4627:23 1.87065e-05 +24 *3874:77 *23852:A 3.3532e-05 +25 *3974:107 *4627:23 2.15344e-05 +26 *4001:126 *4627:23 1.70357e-05 +27 *4007:8 *23852:A 1.00004e-05 +28 *4007:8 *4627:24 0.000855891 +29 *4018:23 *23852:A 0.000145911 +30 *4021:10 *23852:A 1.5714e-05 +31 *4021:10 *4627:24 0.000405901 +32 *4089:38 *4627:24 4.44857e-05 +33 *4126:161 *4627:23 0.000150274 +34 *4352:21 *4627:23 0.000413265 +35 *4538:61 *4627:24 0.000322534 +36 *4540:19 *23852:A 0.000143328 +37 *4594:8 *4627:23 0.000695717 +38 *4618:20 *4627:23 0.000690999 +*RES +1 *23724:X *4627:23 45.2307 +2 *4627:23 *4627:24 16.5734 +3 *4627:24 *23733:A 15.0271 +4 *4627:24 *23852:A 24.0913 +*END + +*D_NET *4628 0.00154589 +*CONN +*I *23726:A I *D sky130_fd_sc_hd__inv_2 +*I *23725:X O *D sky130_fd_sc_hd__o311a_1 +*CAP +1 *23726:A 0.000356107 +2 *23725:X 0.000356107 +3 *23726:A *23783:C 1.61631e-05 +4 *23687:A1 *23726:A 0.000154145 +5 *23687:A2 *23726:A 0.000298318 +6 *23725:A3 *23726:A 7.13972e-05 +7 *23725:B1 *23726:A 1.21461e-06 +8 *3868:157 *23726:A 9.80242e-07 +9 *3923:17 *23726:A 0.000143317 +10 *4520:12 *23726:A 0.000148144 +*RES +1 *23725:X *23726:A 36.599 +*END + +*D_NET *4629 0.00123748 +*CONN +*I *23730:A I *D sky130_fd_sc_hd__or3_1 +*I *23783:C I *D sky130_fd_sc_hd__or4_2 +*I *23726:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23730:A 9.64651e-05 +2 *23783:C 0.0001705 +3 *23726:Y 0 +4 *4629:4 0.000266965 +5 *23730:A *23730:B 6.11872e-05 +6 *23783:C *23783:D 6.08467e-05 +7 *23118:A *23783:C 0.000154145 +8 *23687:A2 *23730:A 9.43616e-05 +9 *23687:A2 *23783:C 1.92172e-05 +10 *23725:B1 *23783:C 3.81056e-05 +11 *23726:A *23783:C 1.61631e-05 +12 *4042:20 *23783:C 0.000127961 +13 *4126:145 *23783:C 0.000131559 +*RES +1 *23726:Y *4629:4 9.24915 +2 *4629:4 *23783:C 24.0464 +3 *4629:4 *23730:A 12.191 +*END + +*D_NET *4630 0.00430322 +*CONN +*I *23728:A2 I *D sky130_fd_sc_hd__a211o_1 +*I *23727:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23728:A2 9.06224e-05 +2 *23727:Y 0.00071402 +3 *4630:10 0.000804643 +4 *23000:A *4630:10 1.41976e-05 +5 *23004:B1 *4630:10 0.00101907 +6 *23124:A1 *4630:10 0.000239605 +7 *23727:B1 *4630:10 7.97098e-06 +8 *23818:A1 *23728:A2 0.000199539 +9 *3862:85 *4630:10 4.69495e-06 +10 *3922:36 *4630:10 0.000388133 +11 *3922:40 *4630:10 0.000228551 +12 *4026:50 *4630:10 0.000122928 +13 *4126:124 *4630:10 7.49251e-05 +14 *4143:36 *4630:10 7.40162e-05 +15 *4333:10 *4630:10 0.000320302 +*RES +1 *23727:Y *4630:10 47.7959 +2 *4630:10 *23728:A2 11.5881 +*END + +*D_NET *4631 0.0119171 +*CONN +*I *23818:D1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23730:B I *D sky130_fd_sc_hd__or3_1 +*I *23728:X O *D sky130_fd_sc_hd__a211o_1 +*CAP +1 *23818:D1 7.38649e-05 +2 *23730:B 0.000119984 +3 *23728:X 0.000568265 +4 *4631:20 0.00113882 +5 *4631:19 0.00113171 +6 *4631:14 0.000190185 +7 *4631:13 0.00019926 +8 *4631:10 0.000764072 +9 *4631:20 *4717:8 6.34651e-06 +10 *23516:A1 *4631:20 1.3023e-05 +11 *23565:B *4631:20 0.000190973 +12 *23644:C *4631:20 5.36397e-05 +13 *23710:A1 *4631:13 3.36437e-05 +14 *23710:A1 *4631:19 4.09932e-05 +15 *23730:A *23730:B 6.11872e-05 +16 *23818:A1 *23818:D1 9.81924e-05 +17 *23818:A1 *4631:10 0.00126556 +18 *23818:A1 *4631:13 6.50727e-05 +19 *23818:A1 *4631:19 2.42138e-05 +20 *23818:C1 *4631:20 6.03911e-05 +21 *3922:50 *4631:10 2.40986e-05 +22 *4026:50 *4631:10 0.000291479 +23 *4037:14 *4631:20 5.66868e-06 +24 *4042:19 *4631:10 0.000824807 +25 *4042:20 *4631:20 0.00274454 +26 *4126:137 *4631:20 0.00111149 +27 *4333:10 *4631:10 2.1558e-06 +28 *4333:15 *4631:20 0.000563104 +29 *4540:114 *4631:20 0.000250398 +*RES +1 *23728:X *4631:10 41.9852 +2 *4631:10 *4631:13 11.0817 +3 *4631:13 *4631:14 104.301 +4 *4631:14 *4631:19 16.1364 +5 *4631:19 *4631:20 50.4165 +6 *4631:20 *23730:B 15.5817 +7 *4631:10 *23818:D1 10.5271 +*END + +*D_NET *4632 0.00207635 +*CONN +*I *23730:C I *D sky130_fd_sc_hd__or3_1 +*I *23783:D I *D sky130_fd_sc_hd__or4_2 +*I *23729:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23730:C 0 +2 *23783:D 0.000180533 +3 *23729:X 0.000268623 +4 *4632:8 0.000449157 +5 *23118:A *23783:D 7.92757e-06 +6 *23644:D *23783:D 0.00017017 +7 *23644:D *4632:8 0.000312631 +8 *23725:B1 *23783:D 0.000111722 +9 *23729:B *4632:8 2.29527e-05 +10 *23783:C *23783:D 6.08467e-05 +11 *3833:73 *23783:D 3.97981e-05 +12 *4540:114 *23783:D 0.000143267 +13 *4540:114 *4632:8 0.000305519 +14 *4548:8 *23783:D 3.20069e-06 +*RES +1 *23729:X *4632:8 21.7056 +2 *4632:8 *23783:D 19.8392 +3 *4632:8 *23730:C 13.7491 +*END + +*D_NET *4633 0.00335605 +*CONN +*I *23733:B I *D sky130_fd_sc_hd__or4_2 +*I *23730:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23733:B 0.00112047 +2 *23730:X 0.00112047 +3 *23733:B *23733:D 1.21461e-06 +4 *23733:B *23819:B 4.87595e-05 +5 *23733:B *4717:25 0.000538733 +6 *23733:B *4717:26 9.2495e-05 +7 *23519:A1 *23733:B 1.03434e-05 +8 *23519:C1 *23733:B 6.83312e-05 +9 *23687:A2 *23733:B 2.59621e-05 +10 *23742:B *23733:B 3.16262e-05 +11 *4352:31 *23733:B 2.40249e-05 +12 *4496:42 *23733:B 0.00027362 +*RES +1 *23730:X *23733:B 47.5596 +*END + +*D_NET *4634 0.00849411 +*CONN +*I *23785:D I *D sky130_fd_sc_hd__or4_4 +*I *23733:C I *D sky130_fd_sc_hd__or4_2 +*I *23731:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23785:D 0 +2 *23733:C 0.000596799 +3 *23731:X 0.00040005 +4 *4634:28 0.00138434 +5 *4634:13 0.00118759 +6 *23733:C *23733:D 1.65872e-05 +7 *4634:28 *4647:17 3.6884e-05 +8 *22968:B *4634:13 4.79321e-06 +9 *23381:B *4634:13 0.000396003 +10 *23649:A1 *23733:C 1.49884e-05 +11 *23649:A1 *4634:28 1.52311e-05 +12 *23649:A2 *23733:C 0.000213214 +13 *23649:A2 *4634:28 6.12631e-05 +14 *23649:A3 *4634:28 0.000100436 +15 *23649:B1 *4634:28 8.15952e-06 +16 *23652:A *4634:28 8.91472e-05 +17 *23733:A *23733:C 6.08467e-05 +18 *23785:C *4634:13 1.03403e-05 +19 *23785:C *4634:28 0.00049884 +20 *3857:78 *4634:28 1.27402e-05 +21 *3857:84 *4634:13 0.000284857 +22 *3857:84 *4634:28 0.000394591 +23 *4022:22 *4634:13 7.13492e-05 +24 *4022:22 *4634:28 0.000343283 +25 *4058:28 *4634:13 6.08467e-05 +26 *4089:27 *23733:C 7.22263e-05 +27 *4089:38 *23733:C 0.000670466 +28 *4290:10 *4634:13 1.55025e-05 +29 *4326:160 *4634:28 0.000206435 +30 *4485:8 *4634:13 7.50722e-05 +31 *4487:36 *4634:28 3.34471e-05 +32 *4488:19 *4634:13 8.32086e-05 +33 *4538:61 *23733:C 5.00958e-05 +34 *4556:16 *23733:C 0.00102446 +35 *4556:16 *4634:28 7.99334e-09 +*RES +1 *23731:X *4634:13 30.2807 +2 *4634:13 *4634:28 29.6622 +3 *4634:28 *23733:C 36.1684 +4 *4634:13 *23785:D 9.24915 +*END + +*D_NET *4635 0.00656065 +*CONN +*I *23816:C I *D sky130_fd_sc_hd__or4_1 +*I *23733:D I *D sky130_fd_sc_hd__or4_2 +*I *23732:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23816:C 0.000217541 +2 *23733:D 0.000278577 +3 *23732:X 0.000390531 +4 *4635:18 0.00134147 +5 *4635:13 0.00123588 +6 *23733:D *4636:7 1.58551e-05 +7 *23816:C *23858:A3 0.000156955 +8 *4635:13 *4686:10 3.58734e-05 +9 *4635:18 *4676:27 1.5714e-05 +10 *4635:18 *4681:20 1.1246e-05 +11 *4635:18 *4686:10 0.00020238 +12 *4635:18 *4686:14 0.00036523 +13 *4635:18 *5924:20 9.50684e-05 +14 *23047:B1_N *23816:C 0.000162131 +15 *23047:B1_N *4635:18 0.000148702 +16 *23623:C *4635:13 5.41227e-05 +17 *23649:A1 *4635:18 1.27831e-06 +18 *23732:A *4635:13 3.58044e-05 +19 *23732:D *4635:18 0.000143032 +20 *23733:A *23733:D 0.000107496 +21 *23733:B *23733:D 1.21461e-06 +22 *23733:C *23733:D 1.65872e-05 +23 *23816:A *23816:C 6.19854e-05 +24 *2660:80 *4635:13 0.000110297 +25 *3857:78 *4635:13 0.000156946 +26 *3872:104 *4635:18 0.000136768 +27 *3874:77 *23733:D 3.16019e-05 +28 *3874:77 *4635:18 2.57465e-06 +29 *3980:124 *23733:D 1.41976e-05 +30 *3980:124 *23816:C 0.000127911 +31 *3980:126 *4635:13 0.000168752 +32 *4001:87 *4635:18 0.000285703 +33 *4070:36 *4635:13 1.07248e-05 +34 *4228:45 *23733:D 1.01029e-05 +35 *4228:45 *23816:C 6.31631e-06 +36 *4228:45 *4635:18 4.17069e-06 +37 *4352:31 *23733:D 6.08467e-05 +38 *4415:29 *4635:18 0 +39 *4415:123 *4635:18 3.39376e-05 +40 *4529:25 *4635:18 6.30741e-05 +41 *4555:8 *4635:18 0.000242049 +*RES +1 *23732:X *4635:13 30.462 +2 *4635:13 *4635:18 27.0036 +3 *4635:18 *23733:D 20.0205 +4 *4635:18 *23816:C 20.5554 +*END + +*D_NET *4636 0.0150533 +*CONN +*I *23736:A I *D sky130_fd_sc_hd__or4_1 +*I *23733:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23736:A 0.000558012 +2 *23733:X 0.00127151 +3 *4636:23 0.00109338 +4 *4636:17 0.00168316 +5 *4636:7 0.00241931 +6 *23736:A *23736:B 1.12605e-05 +7 *23736:A *23736:C 1.61631e-05 +8 *23736:A *23787:D 5.06066e-05 +9 *23736:A *4637:8 6.46135e-05 +10 *4636:17 *23806:B 0.000830024 +11 *4636:17 *23858:A3 5.49916e-05 +12 *4636:17 *4684:47 0.000151341 +13 *4636:23 *4698:22 0.000160617 +14 *23284:A *4636:17 4.24168e-05 +15 *23504:A *23736:A 0.000143032 +16 *23733:D *4636:7 1.58551e-05 +17 *23749:B *23736:A 7.86847e-05 +18 *24089:A0 *23736:A 9.2346e-06 +19 *24089:A1 *23736:A 0.000122068 +20 *566:136 *23736:A 0.000179041 +21 *566:136 *4636:23 0.00129879 +22 *580:10 *23736:A 6.23541e-05 +23 *584:8 *4636:23 0.00129381 +24 *3874:77 *4636:7 0.000107496 +25 *3874:92 *4636:7 7.68891e-05 +26 *3980:124 *4636:7 9.98615e-06 +27 *4074:23 *4636:17 0.000130385 +28 *4106:89 *23736:A 0.000213544 +29 *4106:157 *4636:17 0.000439847 +30 *4189:6 *4636:17 0.000769687 +31 *4190:15 *4636:7 9.19632e-06 +32 *4193:14 *4636:17 0.00014436 +33 *4410:18 *23736:A 0.00126946 +34 *4452:10 *23736:A 0 +35 *4562:15 *23736:A 2.41483e-05 +36 *4575:36 *4636:17 0.000247981 +*RES +1 *23733:X *4636:7 37.2113 +2 *4636:7 *4636:17 49.1507 +3 *4636:17 *4636:23 34.2577 +4 *4636:23 *23736:A 39.4462 +*END + +*D_NET *4637 0.0029052 +*CONN +*I *23824:A I *D sky130_fd_sc_hd__or3_2 +*I *23736:B I *D sky130_fd_sc_hd__or4_1 +*I *23787:D I *D sky130_fd_sc_hd__or4_1 +*I *23734:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23824:A 0.00019639 +2 *23736:B 4.47268e-05 +3 *23787:D 0.00028221 +4 *23734:X 0.000414022 +5 *4637:8 0.000385837 +6 *4637:5 0.000669312 +7 *23787:D *23736:C 2.62513e-05 +8 *23787:D *4688:10 0.000156955 +9 *23824:A *23824:C 6.50586e-05 +10 *23736:A *23736:B 1.12605e-05 +11 *23736:A *23787:D 5.06066e-05 +12 *23736:A *4637:8 6.46135e-05 +13 *23736:D *23787:D 3.07848e-05 +14 *23787:A *23787:D 0.000160617 +15 *580:10 *23736:B 0.000132291 +16 *580:10 *4637:8 0.000149628 +17 *4410:16 *23736:B 7.77309e-06 +18 *4410:18 *23736:B 8.20492e-06 +19 *4562:14 *23736:B 3.31882e-05 +20 *4562:23 *23787:D 1.54703e-05 +*RES +1 *23734:X *4637:5 17.1824 +2 *4637:5 *4637:8 7.1625 +3 *4637:8 *23787:D 20.2115 +4 *4637:8 *23736:B 15.9964 +5 *4637:5 *23824:A 13.3002 +*END + +*D_NET *4638 0.0045853 +*CONN +*I *23823:B I *D sky130_fd_sc_hd__or4_1 +*I *23736:C I *D sky130_fd_sc_hd__or4_1 +*I *23786:C I *D sky130_fd_sc_hd__or3_1 +*I *23735:Y O *D sky130_fd_sc_hd__o22ai_2 +*CAP +1 *23823:B 0.000356766 +2 *23736:C 0.000266385 +3 *23786:C 0.000284285 +4 *23735:Y 0 +5 *4638:22 0.000777071 +6 *4638:4 0.000438205 +7 *23736:C *4697:22 0.00038814 +8 *23823:B *23823:C 0.000294093 +9 *4638:22 *4697:22 0.000103954 +10 *23658:C *23786:C 2.41274e-06 +11 *23735:A1 *23786:C 7.92757e-06 +12 *23735:A1 *4638:22 3.31745e-05 +13 *23736:A *23736:C 1.61631e-05 +14 *23736:D *23736:C 5.88657e-05 +15 *23786:B *23736:C 0.000215332 +16 *23787:D *23736:C 2.62513e-05 +17 *23820:A *23786:C 1.41976e-05 +18 *23820:A *4638:22 6.63489e-05 +19 *23820:B *23823:B 0.000169227 +20 *3849:21 *23786:C 0.000107496 +21 *3872:26 *23786:C 0.000323549 +22 *3872:26 *4638:22 6.08467e-05 +23 *4009:116 *23786:C 0.000214468 +24 *4136:10 *23786:C 4.16013e-05 +25 *4462:10 *23736:C 1.55462e-05 +26 *4462:10 *4638:22 4.17751e-05 +27 *4495:26 *23736:C 0.000110158 +28 *4562:15 *23736:C 9.21974e-05 +29 *4562:23 *23736:C 5.88594e-05 +*RES +1 *23735:Y *4638:4 9.24915 +2 *4638:4 *23786:C 27.3684 +3 *4638:4 *4638:22 9.13445 +4 *4638:22 *23736:C 23.2273 +5 *4638:22 *23823:B 19.464 +*END + +*D_NET *4639 0.000665374 +*CONN +*I *23737:D I *D sky130_fd_sc_hd__nor4_1 +*I *23736:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23737:D 0.000211622 +2 *23736:X 0.000211622 +3 *23737:D *23771:A3 6.51527e-05 +4 *23737:B *23737:D 1.07248e-05 +5 *3829:26 *23737:D 0.000140502 +6 *4582:17 *23737:D 2.57505e-05 +*RES +1 *23736:X *23737:D 31.9934 +*END + +*D_NET *4640 0.00063984 +*CONN +*I *23771:A3 I *D sky130_fd_sc_hd__o311a_1 +*I *23737:Y O *D sky130_fd_sc_hd__nor4_1 +*CAP +1 *23771:A3 0.000188796 +2 *23737:Y 0.000188796 +3 *23737:D *23771:A3 6.51527e-05 +4 *23771:A1 *23771:A3 7.92757e-06 +5 *23771:A2 *23771:A3 6.50727e-05 +6 *3829:26 *23771:A3 1.1246e-05 +7 *4537:17 *23771:A3 2.16355e-05 +8 *4582:17 *23771:A3 9.12137e-05 +*RES +1 *23737:Y *23771:A3 31.8863 +*END + +*D_NET *4641 0.000438196 +*CONN +*I *23754:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23738:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23754:A1 0.000107453 +2 *23738:Y 0.000107453 +3 *23754:A1 *23754:B1 0.000158451 +4 *23830:D *23754:A1 3.99086e-06 +5 *4581:16 *23754:A1 6.08467e-05 +*RES +1 *23738:Y *23754:A1 21.4642 +*END + +*D_NET *4642 0.00135782 +*CONN +*I *23740:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *23739:X O *D sky130_fd_sc_hd__o311a_1 +*CAP +1 *23740:A2 0.000295939 +2 *23739:X 0.000295939 +3 *23423:D1 *23740:A2 6.08467e-05 +4 *23516:C1 *23740:A2 0.000176349 +5 *23739:A1 *23740:A2 3.17436e-05 +6 *23739:A3 *23740:A2 6.50586e-05 +7 *23739:C1 *23740:A2 0.000131104 +8 *23740:B1 *23740:A2 2.16355e-05 +9 *3874:40 *23740:A2 2.26985e-05 +10 *3877:87 *23740:A2 6.08467e-05 +11 *4496:26 *23740:A2 1.5714e-05 +12 *4569:14 *23740:A2 0.000179947 +*RES +1 *23739:X *23740:A2 39.8388 +*END + +*D_NET *4643 0.0133273 +*CONN +*I *23746:A I *D sky130_fd_sc_hd__or4b_2 +*I *23827:A I *D sky130_fd_sc_hd__inv_2 +*I *23740:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *23746:A 0.00151919 +2 *23827:A 0 +3 *23740:Y 3.07412e-05 +4 *4643:17 0.00251418 +5 *4643:7 0.00102573 +6 *23746:A *23746:D_N 6.36477e-05 +7 *23746:A *4646:14 0.000156955 +8 *23746:A *4649:15 0.000329955 +9 *23746:A *5457:13 0.000315968 +10 *23746:A *5924:20 8.00779e-06 +11 *4643:17 *4646:14 1.65872e-05 +12 *23108:A *23746:A 0.00182408 +13 *23516:C1 *4643:17 0.00035712 +14 *23517:B2 *4643:17 0.000107843 +15 *23647:A1 *23746:A 0.000114104 +16 *23647:B1 *23746:A 0.000160146 +17 *23665:B1 *4643:17 0.000139435 +18 *23665:C1 *4643:17 0.000188416 +19 *23731:D *23746:A 1.37385e-05 +20 *23740:A1 *4643:7 2.65831e-05 +21 *23740:B1 *4643:7 0.000111722 +22 *23743:B1 *4643:17 0 +23 *23801:A2 *23746:A 7.33633e-05 +24 *657:171 *23746:A 5.20365e-05 +25 *1829:73 *4643:7 2.77625e-06 +26 *2660:52 *23746:A 0.000370985 +27 *3842:141 *23746:A 2.90154e-05 +28 *3848:34 *4643:17 0.00104103 +29 *3877:87 *4643:7 5.04829e-06 +30 *4026:82 *4643:17 0.000151269 +31 *4026:93 *4643:17 0.000354406 +32 *4026:98 *23746:A 4.97617e-05 +33 *4026:98 *4643:17 0.000121693 +34 *4137:28 *23746:A 0.000335961 +35 *4415:146 *23746:A 1.9101e-05 +36 *4415:148 *4643:17 0.000921559 +37 *4424:9 *23746:A 4.69495e-06 +38 *4496:31 *4643:17 0 +39 *4567:16 *23746:A 8.23875e-05 +40 *4567:127 *23746:A 5.28189e-05 +41 *4570:13 *4643:17 0.000635243 +42 *4619:26 *23746:A 0 +*RES +1 *23740:Y *4643:7 15.0271 +2 *4643:7 *4643:17 46.9975 +3 *4643:17 *23827:A 9.24915 +4 *4643:17 *23746:A 40.3835 +*END + +*D_NET *4644 0.00226748 +*CONN +*I *23743:A2 I *D sky130_fd_sc_hd__o22ai_2 +*I *23828:A2 I *D sky130_fd_sc_hd__o221ai_4 +*I *23741:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23743:A2 1.47608e-05 +2 *23828:A2 0.000244682 +3 *23741:X 0.000183848 +4 *4644:8 0.000443291 +5 *23743:A2 *23743:B2 6.08467e-05 +6 *23828:A2 *23828:B2 6.08467e-05 +7 *23828:A2 *4727:19 1.47978e-05 +8 *23171:A *4644:8 4.31703e-05 +9 *23743:A1 *23743:A2 6.08467e-05 +10 *23828:A1 *23828:A2 9.56964e-05 +11 *23828:B1 *23828:A2 0.000134294 +12 *23828:B1 *4644:8 0.00033508 +13 *3868:151 *23828:A2 0.000107496 +14 *4496:42 *23828:A2 0.000137755 +15 *4496:42 *4644:8 0.000330066 +*RES +1 *23741:X *4644:8 21.0117 +2 *4644:8 *23828:A2 21.0414 +3 *4644:8 *23743:A2 14.4725 +*END + +*D_NET *4645 0.00122711 +*CONN +*I *23828:B2 I *D sky130_fd_sc_hd__o221ai_4 +*I *23743:B2 I *D sky130_fd_sc_hd__o22ai_2 +*I *23742:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23828:B2 9.69032e-05 +2 *23743:B2 0.000241315 +3 *23742:Y 0 +4 *4645:4 0.000338218 +5 *23743:B2 *4646:14 6.07125e-05 +6 *23742:B *23743:B2 6.08467e-05 +7 *23743:A1 *23743:B2 3.31745e-05 +8 *23743:A2 *23743:B2 6.08467e-05 +9 *23828:A1 *23828:B2 6.50727e-05 +10 *23828:A2 *23828:B2 6.08467e-05 +11 *3868:151 *23828:B2 4.78118e-05 +12 *4007:8 *23828:B2 3.07835e-05 +13 *4018:23 *23828:B2 0.000130579 +*RES +1 *23742:Y *4645:4 9.24915 +2 *4645:4 *23743:B2 14.4335 +3 *4645:4 *23828:B2 21.635 +*END + +*D_NET *4646 0.00757636 +*CONN +*I *23746:B I *D sky130_fd_sc_hd__or4b_2 +*I *23801:D1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23743:Y O *D sky130_fd_sc_hd__o22ai_2 +*CAP +1 *23746:B 0.000500956 +2 *23801:D1 7.98809e-05 +3 *23743:Y 0.000574239 +4 *4646:18 0.00154175 +5 *4646:14 0.00153515 +6 *23746:B *23746:D_N 6.02881e-05 +7 *23801:D1 *23801:C1 6.50586e-05 +8 *4646:14 *23828:C1 0.000107496 +9 *4646:14 *4649:20 0.000465654 +10 *4646:18 *4649:20 7.22106e-05 +11 *4646:18 *4745:43 1.49935e-05 +12 *4646:18 *5453:29 0.000120378 +13 *23118:A *4646:14 5.01835e-05 +14 *23134:A *4646:14 1.91246e-05 +15 *23636:B1 *4646:18 0.000214168 +16 *23643:B1 *4646:18 0.000140436 +17 *23648:A *4646:18 1.75625e-05 +18 *23648:C *4646:18 0.000161966 +19 *23743:A1 *4646:14 0 +20 *23743:B1 *4646:14 3.58602e-05 +21 *23743:B2 *4646:14 6.07125e-05 +22 *23746:A *4646:14 0.000156955 +23 *23801:A1 *23746:B 4.15216e-06 +24 *23801:A2 *23746:B 3.57169e-05 +25 *23801:B1 *23746:B 5.04829e-06 +26 *23801:B1 *23801:D1 1.65872e-05 +27 *23851:D *4646:18 0.000245073 +28 *1755:76 *4646:18 0.000136337 +29 *2660:52 *4646:18 0.000152566 +30 *2660:68 *4646:18 0 +31 *4026:93 *4646:14 7.26028e-05 +32 *4026:98 *4646:14 1.37189e-05 +33 *4043:20 *4646:14 8.95237e-05 +34 *4352:21 *23746:B 6.08467e-05 +35 *4472:11 *4646:14 8.81052e-05 +36 *4472:11 *4646:18 0.000346998 +37 *4539:37 *4646:18 4.3116e-06 +38 *4540:46 *4646:18 7.14746e-05 +39 *4540:53 *4646:18 4.61962e-05 +40 *4545:22 *4646:14 9.34919e-05 +41 *4549:10 *4646:18 8.20058e-05 +42 *4643:17 *4646:14 1.65872e-05 +*RES +1 *23743:Y *4646:14 31.0321 +2 *4646:14 *4646:18 32.6761 +3 *4646:18 *23801:D1 10.5271 +4 *4646:18 *23746:B 16.6278 +*END + +*D_NET *4647 0.00932973 +*CONN +*I *23746:C I *D sky130_fd_sc_hd__or4b_2 +*I *23803:D I *D sky130_fd_sc_hd__or4_2 +*I *23744:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23746:C 0.000113243 +2 *23803:D 0.000269226 +3 *23744:X 0.000232707 +4 *4647:17 0.00123072 +5 *4647:8 0.00161941 +6 *23803:D *4648:12 6.3657e-05 +7 *4647:17 *4648:12 0.000330703 +8 *4647:17 *4648:29 0.000105062 +9 *23161:A *23803:D 0.000212999 +10 *23444:A *23746:C 6.08467e-05 +11 *23532:C1 *23803:D 0.00012965 +12 *23666:A1 *4647:17 0.000222494 +13 *23744:A *4647:8 3.31745e-05 +14 *23744:C *4647:8 0.000110297 +15 *23785:C *4647:17 0.000794754 +16 *23803:C *23803:D 0.000200794 +17 *3791:118 *4647:17 6.34651e-06 +18 *3893:26 *4647:8 5.79544e-05 +19 *3893:26 *4647:17 2.15801e-05 +20 *4011:22 *4647:17 0.000133622 +21 *4022:22 *4647:17 0.000608034 +22 *4169:50 *4647:8 3.31882e-05 +23 *4347:12 *4647:8 0.00017419 +24 *4347:12 *4647:17 0.00241668 +25 *4352:21 *23746:C 9.43616e-05 +26 *4485:8 *4647:17 1.71442e-05 +27 *4634:28 *4647:17 3.6884e-05 +*RES +1 *23744:X *4647:8 20.3233 +2 *4647:8 *23803:D 22.237 +3 *4647:8 *4647:17 46.6792 +4 *4647:17 *23746:C 16.691 +*END + +*D_NET *4648 0.0109926 +*CONN +*I *23746:D_N I *D sky130_fd_sc_hd__or4b_2 +*I *23854:C1 I *D sky130_fd_sc_hd__o211ai_4 +*I *23745:X O *D sky130_fd_sc_hd__o2111a_1 +*CAP +1 *23746:D_N 0.000593595 +2 *23854:C1 1.98947e-05 +3 *23745:X 0.000673815 +4 *4648:29 0.00142585 +5 *4648:12 0.00152596 +6 *23854:C1 *5680:30 2.16355e-05 +7 *4648:12 *23854:B1 0.000637687 +8 *23108:A *23746:D_N 0.000536246 +9 *23161:A *4648:12 4.10274e-05 +10 *23381:B *4648:29 0.000168434 +11 *23531:A *4648:12 0.000107496 +12 *23532:C1 *4648:12 6.08467e-05 +13 *23647:B1 *23746:D_N 0.000913592 +14 *23647:B1 *4648:29 2.08155e-05 +15 *23670:A *23854:C1 6.08467e-05 +16 *23745:A1 *4648:12 0.000164829 +17 *23745:B1 *4648:12 7.68538e-06 +18 *23745:C1 *4648:12 4.97617e-05 +19 *23745:D1 *4648:12 6.08467e-05 +20 *23746:A *23746:D_N 6.36477e-05 +21 *23746:B *23746:D_N 6.02881e-05 +22 *23801:A2 *23746:D_N 7.90842e-05 +23 *23803:D *4648:12 6.3657e-05 +24 *23853:A1 *4648:12 8.41713e-05 +25 *1587:91 *4648:29 0.000294093 +26 *3893:26 *4648:12 0.000325844 +27 *3893:26 *4648:29 0.000112159 +28 *4017:9 *4648:29 6.99799e-05 +29 *4019:8 *4648:29 1.79629e-05 +30 *4058:28 *4648:29 0.000807383 +31 *4352:21 *23746:D_N 0.000107496 +32 *4415:29 *23746:D_N 0.000106558 +33 *4435:11 *4648:12 0.000246043 +34 *4435:25 *4648:12 0.000205101 +35 *4487:36 *23746:D_N 1.86389e-05 +36 *4487:36 *4648:29 6.56617e-05 +37 *4515:21 *4648:29 0.000738176 +38 *4647:17 *4648:12 0.000330703 +39 *4647:17 *4648:29 0.000105062 +*RES +1 *23745:X *4648:12 37.004 +2 *4648:12 *23854:C1 14.4725 +3 *4648:12 *4648:29 35.9337 +4 *4648:29 *23746:D_N 35.0889 +*END + +*D_NET *4649 0.0142036 +*CONN +*I *23750:A I *D sky130_fd_sc_hd__nor4_1 +*I *23746:X O *D sky130_fd_sc_hd__or4b_2 +*CAP +1 *23750:A 0.00180766 +2 *23746:X 0.000756008 +3 *4649:24 0.00250931 +4 *4649:20 0.00117045 +5 *4649:15 0.0012248 +6 *23750:A *23750:C 0.000112361 +7 *23750:A *23750:D 8.43687e-05 +8 *4649:15 *4664:33 6.81976e-06 +9 *4649:24 *4717:25 0.000348651 +10 *23233:A *23750:A 0.000124038 +11 *23233:B *23750:A 2.16355e-05 +12 *23510:A *4649:24 0.000294858 +13 *23516:A2 *4649:24 0.000169684 +14 *23517:A1 *4649:24 1.10793e-05 +15 *23517:C1 *4649:24 0.000137056 +16 *23565:A *4649:24 1.66771e-05 +17 *23643:B1 *4649:20 0.000140436 +18 *23646:A1 *4649:15 0.000746239 +19 *23648:D *4649:15 8.48439e-05 +20 *23661:A *4649:15 0.000364787 +21 *23729:B *4649:24 0.000137971 +22 *23731:D *4649:15 6.22114e-05 +23 *23746:A *4649:15 0.000329955 +24 *3833:207 *4649:24 0.000262279 +25 *3872:119 *4649:15 0.000350015 +26 *4021:24 *4649:15 0.000128736 +27 *4026:72 *23750:A 3.82228e-05 +28 *4026:72 *4649:24 7.28994e-06 +29 *4142:8 *23750:A 2.58616e-05 +30 *4143:36 *4649:24 0.000121494 +31 *4496:26 *4649:24 2.2766e-06 +32 *4496:31 *4649:24 5.91182e-05 +33 *4542:6 *4649:24 0.000134838 +34 *4545:8 *4649:24 0.000203864 +35 *4545:22 *4649:20 2.01595e-05 +36 *4545:22 *4649:24 0.00058912 +37 *4549:10 *4649:20 0.000864604 +38 *4549:10 *4649:24 9.77382e-05 +39 *4567:127 *4649:15 9.81897e-05 +40 *4646:14 *4649:20 0.000465654 +41 *4646:18 *4649:20 7.22106e-05 +*RES +1 *23746:X *4649:15 48.923 +2 *4649:15 *4649:20 22.0139 +3 *4649:20 *4649:24 34.1539 +4 *4649:24 *23750:A 44.9126 +*END + +*D_NET *4650 0.014772 +*CONN +*I *23826:C I *D sky130_fd_sc_hd__or4_1 +*I *23750:B I *D sky130_fd_sc_hd__nor4_1 +*I *23747:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23826:C 0 +2 *23750:B 0.000508134 +3 *23747:X 0 +4 *4650:9 0.000581929 +5 *4650:6 0.00225177 +6 *4650:5 0.00217797 +7 *23750:B *4704:24 5.56367e-05 +8 *4650:6 *23833:B 0.00024841 +9 *4650:6 *23841:A2 3.77568e-05 +10 *4650:6 *4665:37 0.000315905 +11 *4650:6 *4704:24 2.93146e-05 +12 *4650:6 *4803:10 4.69204e-06 +13 *4650:6 *4803:12 0.00031807 +14 *4650:6 *5671:100 0.000247679 +15 *4650:9 *23806:B 2.16355e-05 +16 *4650:9 *23826:B 5.0715e-05 +17 *4650:9 *5082:101 3.61993e-05 +18 *23172:A *23750:B 0.000316024 +19 *23384:A *4650:6 0.000495003 +20 *23386:A *4650:6 0.00068654 +21 *23386:B *4650:6 8.92568e-06 +22 *23388:A *4650:6 0.000430573 +23 *23489:D *4650:6 0 +24 *23509:A *23750:B 3.35386e-05 +25 *23676:B *23750:B 0 +26 *23826:A *23750:B 0.000137921 +27 *588:19 *4650:6 5.74949e-05 +28 *1455:99 *4650:6 7.21753e-05 +29 *1521:61 *4650:6 0.000213009 +30 *2838:64 *4650:6 2.652e-05 +31 *3368:47 *4650:6 0.00129549 +32 *4074:23 *23750:B 2.67664e-05 +33 *4136:36 *23750:B 0.000841692 +34 *4136:36 *4650:6 6.28168e-05 +35 *4136:40 *4650:6 0.0007541 +36 *4297:9 *4650:6 3.94435e-05 +37 *4394:10 *4650:6 0.000116971 +38 *4491:28 *4650:6 8.21849e-06 +39 *4494:13 *4650:6 0.000120985 +40 *4494:18 *23750:B 0 +41 *4538:51 *23750:B 4.36982e-06 +42 *4575:19 *4650:6 0 +43 *4575:26 *4650:6 0.00200719 +44 *4575:36 *23750:B 0.000130446 +*RES +1 *23747:X *4650:5 13.7491 +2 *4650:5 *4650:6 88.6197 +3 *4650:6 *4650:9 6.88721 +4 *4650:9 *23750:B 37.5217 +5 *4650:9 *23826:C 9.24915 +*END + +*D_NET *4651 0.00111756 +*CONN +*I *23805:C I *D sky130_fd_sc_hd__or3_1 +*I *23750:C I *D sky130_fd_sc_hd__nor4_1 +*I *23748:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23805:C 8.36863e-05 +2 *23750:C 0.000207816 +3 *23748:X 0 +4 *4651:4 0.000291502 +5 *23750:C *23750:D 1.1718e-05 +6 *23750:C *23754:A2 1.97376e-05 +7 *23805:C *5671:136 4.83435e-05 +8 *23750:A *23750:C 0.000112361 +9 *23805:B *23805:C 3.99086e-06 +10 *4193:14 *23750:C 0.000171009 +11 *4495:48 *23750:C 0.000167396 +*RES +1 *23748:X *4651:4 9.24915 +2 *4651:4 *23750:C 24.8233 +3 *4651:4 *23805:C 10.9612 +*END + +*D_NET *4652 0.00274592 +*CONN +*I *23804:C I *D sky130_fd_sc_hd__or3_1 +*I *23750:D I *D sky130_fd_sc_hd__nor4_1 +*I *23749:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23804:C 0.000154101 +2 *23750:D 0.000551512 +3 *23749:Y 0 +4 *4652:4 0.000705613 +5 *23750:D *23754:A2 0.000206696 +6 *23750:D *23788:A 6.28743e-05 +7 *23750:D *4654:16 0.000325947 +8 *23748:A *23750:D 0.000148129 +9 *23749:A *23804:C 6.92016e-06 +10 *23749:B *23750:D 2.57986e-05 +11 *23749:B *23804:C 6.98337e-06 +12 *23750:A *23750:D 8.43687e-05 +13 *23750:C *23750:D 1.1718e-05 +14 *23804:B *23804:C 1.95688e-05 +15 *4136:18 *23750:D 2.64638e-05 +16 *4136:36 *23750:D 0.000111096 +17 *4193:14 *23750:D 6.33211e-05 +18 *4495:48 *23750:D 0.000197046 +19 *4581:16 *23750:D 3.77659e-05 +*RES +1 *23749:Y *4652:4 9.24915 +2 *4652:4 *23750:D 37.0344 +3 *4652:4 *23804:C 12.6612 +*END + +*D_NET *4653 0.00296306 +*CONN +*I *23754:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23750:Y O *D sky130_fd_sc_hd__nor4_1 +*CAP +1 *23754:A2 0.00089284 +2 *23750:Y 0.00089284 +3 *23754:A2 *23808:A2 0.000136093 +4 *23754:A2 *23808:B1 0.000142362 +5 *23754:A2 *23808:C1 0.000205659 +6 *23754:A2 *23831:A 4.72245e-05 +7 *23754:A2 *23831:C 7.92757e-06 +8 *23754:A2 *4655:8 2.13165e-05 +9 *23754:A2 *4861:19 0.00032369 +10 *23750:C *23754:A2 1.97376e-05 +11 *23750:D *23754:A2 0.000206696 +12 *23830:D *23754:A2 3.82228e-05 +13 *1482:32 *23754:A2 1.01851e-05 +14 *4581:16 *23754:A2 1.82679e-05 +*RES +1 *23750:Y *23754:A2 48.3419 +*END + +*D_NET *4654 0.0167066 +*CONN +*I *23831:A I *D sky130_fd_sc_hd__or4_2 +*I *23752:B I *D sky130_fd_sc_hd__nor2_1 +*I *23751:X O *D sky130_fd_sc_hd__a211o_1 +*CAP +1 *23831:A 0.000462412 +2 *23752:B 0 +3 *23751:X 0.000242306 +4 *4654:19 0.000758173 +5 *4654:16 0.00136899 +6 *4654:10 0.00208034 +7 *4654:7 0.00124942 +8 *23831:A *23771:B1 2.21765e-05 +9 *23831:A *23807:A 6.08467e-05 +10 *23831:A *23808:C1 1.55915e-05 +11 *23831:A *23831:C 0.000263175 +12 *23831:A *4655:8 4.95157e-05 +13 *23831:A *4665:50 0.000309159 +14 *23831:A *4723:8 2.15656e-05 +15 *4654:10 *4697:16 0.001137 +16 *4654:10 *4697:22 0.000511084 +17 *4654:16 *23787:C 0.000119186 +18 *4654:16 *23788:A 0.000542134 +19 *4654:16 *4688:10 0.00123982 +20 *4654:16 *4697:22 0.00122853 +21 *4654:16 *4698:22 9.85369e-05 +22 *4654:19 *4665:50 0.000270059 +23 *23657:A *4654:10 0.000143032 +24 *23749:A *4654:16 9.22013e-06 +25 *23749:B *4654:16 1.1573e-05 +26 *23750:D *4654:16 0.000325947 +27 *23751:A1 *4654:7 6.87482e-05 +28 *23751:B1 *4654:7 3.99086e-06 +29 *23751:C1 *4654:7 2.41274e-06 +30 *23752:A *23831:A 4.55583e-05 +31 *23752:A *4654:19 6.08467e-05 +32 *23754:A2 *23831:A 4.72245e-05 +33 *23786:A *4654:16 1.91391e-05 +34 *657:181 *23831:A 0.000248576 +35 *1482:32 *23831:A 0.000392938 +36 *3849:29 *4654:16 3.90202e-05 +37 *3872:12 *4654:10 0.00124964 +38 *3872:20 *4654:10 0.00112372 +39 *3872:20 *4654:16 5.41377e-05 +40 *3872:26 *4654:16 2.67046e-06 +41 *3978:51 *4654:7 2.38934e-06 +42 *4495:26 *4654:16 8.11686e-05 +43 *4495:48 *4654:16 0.000152941 +44 *4561:44 *4654:16 0.000309778 +45 *4581:16 *23831:A 0.000261916 +*RES +1 *23751:X *4654:7 17.2456 +2 *4654:7 *4654:10 45.3358 +3 *4654:10 *4654:16 45.5068 +4 *4654:16 *4654:19 12.4332 +5 *4654:19 *23752:B 9.24915 +6 *4654:19 *23831:A 36.3971 +*END + +*D_NET *4655 0.00130079 +*CONN +*I *23754:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23808:B1 I *D sky130_fd_sc_hd__o211ai_2 +*I *23752:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23754:A3 0 +2 *23808:B1 0.000151214 +3 *23752:Y 0.000204519 +4 *4655:8 0.000355733 +5 *4655:8 *23771:B1 5.65463e-05 +6 *4655:8 *4861:19 2.2599e-05 +7 *23752:A *4655:8 6.50586e-05 +8 *23754:A2 *23808:B1 0.000142362 +9 *23754:A2 *4655:8 2.13165e-05 +10 *23808:A1 *23808:B1 3.82228e-05 +11 *23831:A *4655:8 4.95157e-05 +12 *1482:32 *23808:B1 0.000148994 +13 *1482:32 *4655:8 4.47123e-05 +*RES +1 *23752:Y *4655:8 18.2442 +2 *4655:8 *23808:B1 18.8471 +3 *4655:8 *23754:A3 13.7491 +*END + +*D_NET *4656 0.00944194 +*CONN +*I *23754:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23831:C I *D sky130_fd_sc_hd__or4_2 +*I *23807:A I *D sky130_fd_sc_hd__inv_2 +*I *23753:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23754:B1 0.000204561 +2 *23831:C 0.000217472 +3 *23807:A 3.99019e-05 +4 *23753:X 0 +5 *4656:15 0.0006366 +6 *4656:10 0.000824917 +7 *4656:8 0.00123015 +8 *4656:5 0.000989021 +9 *23807:A *23808:C1 2.16355e-05 +10 *4656:8 *4799:6 0.000301337 +11 *4656:8 *5458:64 0.000202142 +12 *4656:8 *5925:17 0.000272221 +13 *4656:10 *4799:6 7.8756e-07 +14 *4656:10 *5801:92 0.00022197 +15 *4656:15 *5801:92 0.000103734 +16 *23659:B *4656:8 0.000144531 +17 *23754:A1 *23754:B1 0.000158451 +18 *23754:A2 *23831:C 7.92757e-06 +19 *23830:D *23754:B1 2.20583e-05 +20 *23831:A *23807:A 6.08467e-05 +21 *23831:A *23831:C 0.000263175 +22 *24381:D *4656:10 0.000104731 +23 *24384:D *4656:15 0.000124251 +24 *1438:159 *4656:10 8.52802e-05 +25 *1438:159 *4656:15 0.000346742 +26 *1482:29 *4656:8 0.000384351 +27 *3089:30 *4656:10 0.000183917 +28 *4106:79 *4656:8 0.000663433 +29 *4106:126 *4656:8 0.000582839 +30 *4506:12 *4656:8 0.000325962 +31 *4585:17 *4656:8 0.000475642 +32 *4585:17 *4656:10 9.83032e-05 +33 *4611:12 *4656:8 0.000143047 +*RES +1 *23753:X *4656:5 13.7491 +2 *4656:5 *4656:8 42.6366 +3 *4656:8 *4656:10 10.7599 +4 *4656:10 *4656:15 15.5054 +5 *4656:15 *23807:A 10.5271 +6 *4656:15 *23831:C 14.8675 +7 *4656:10 *23754:B1 18.3548 +*END + +*D_NET *4657 0.00548788 +*CONN +*I *23771:B1 I *D sky130_fd_sc_hd__o311a_1 +*I *23754:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23771:B1 0.000881638 +2 *23754:X 0.000299649 +3 *4657:17 0.00118129 +4 *23771:B1 *23824:C 0.000170592 +5 *23771:B1 *4691:11 0 +6 *23771:B1 *4698:22 5.41377e-05 +7 *23771:B1 *4723:8 0 +8 *23771:B1 *4861:19 0.000301667 +9 *4657:17 *23809:C1 2.27135e-05 +10 *4657:17 *4739:51 2.25236e-05 +11 *4657:17 *4861:19 1.5714e-05 +12 *23771:A1 *23771:B1 6.08467e-05 +13 *23771:A2 *23771:B1 6.08467e-05 +14 *23830:D *4657:17 1.03403e-05 +15 *23831:A *23771:B1 2.21765e-05 +16 *1482:29 *23771:B1 0.000117561 +17 *1829:83 *23771:B1 0.00046525 +18 *4009:115 *4657:17 0 +19 *4535:16 *23771:B1 0.000532409 +20 *4581:16 *23771:B1 0 +21 *4581:16 *4657:17 6.50586e-05 +22 *4582:21 *23771:B1 0.001125 +23 *4583:10 *23771:B1 2.19276e-05 +24 *4655:8 *23771:B1 5.65463e-05 +*RES +1 *23754:X *4657:17 22.1175 +2 *4657:17 *23771:B1 49.7323 +*END + +*D_NET *4658 0.00106581 +*CONN +*I *23770:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23755:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23770:A1 0.000284663 +2 *23755:Y 0.000284663 +3 *23770:A1 *23770:B1 0 +4 *23770:A1 *4668:9 6.08467e-05 +5 *23837:B *23770:A1 3.20069e-06 +6 *4020:24 *23770:A1 9.24241e-05 +7 *4034:23 *23770:A1 0.000253916 +8 *4120:83 *23770:A1 0 +9 *4138:165 *23770:A1 8.60992e-05 +*RES +1 *23755:Y *23770:A1 35.238 +*END + +*D_NET *4659 0.00452255 +*CONN +*I *23761:A I *D sky130_fd_sc_hd__or4_4 +*I *23794:D I *D sky130_fd_sc_hd__or4_4 +*I *23756:X O *D sky130_fd_sc_hd__a2111o_1 +*CAP +1 *23761:A 0.000312981 +2 *23794:D 0.00046392 +3 *23756:X 0 +4 *4659:5 0.000776901 +5 *23761:A *23761:C 3.29586e-05 +6 *23761:A *23848:A 0.000111802 +7 *23241:B2 *23794:D 0 +8 *23459:B1 *23794:D 0.000215692 +9 *23473:A *23794:D 0.000626127 +10 *23689:A *23761:A 0.000161234 +11 *23689:B *23761:A 0.000133549 +12 *23689:D *23761:A 0.000130556 +13 *23689:D *23794:D 0 +14 *23756:D1 *23761:A 0.000110306 +15 *23782:C_N *23794:D 6.3657e-05 +16 *3861:76 *23794:D 2.28928e-05 +17 *3892:23 *23794:D 6.08467e-05 +18 *4024:70 *23794:D 6.2485e-06 +19 *4024:74 *23794:D 0.000403837 +20 *4326:60 *23761:A 0.00015567 +21 *4326:60 *23794:D 0.000229217 +22 *4365:19 *23761:A 0.00016258 +23 *4365:19 *23794:D 0.000121388 +24 *4517:24 *23761:A 5.61389e-05 +25 *4592:23 *23761:A 0.000143848 +26 *4594:7 *23761:A 2.0197e-05 +*RES +1 *23756:X *4659:5 13.7491 +2 *4659:5 *23794:D 32.0841 +3 *4659:5 *23761:A 26.0623 +*END + +*D_NET *4660 0.00474454 +*CONN +*I *23758:C I *D sky130_fd_sc_hd__or4b_2 +*I *23757:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *23758:C 2.59355e-05 +2 *23757:Y 0.000724483 +3 *4660:10 0.000750419 +4 *23758:C *4661:7 6.36477e-05 +5 *23686:C1 *4660:10 0.00015321 +6 *23708:A1 *4660:10 1.91246e-05 +7 *23757:B *4660:10 2.99733e-05 +8 *23758:A *4660:10 1.07248e-05 +9 *23758:B *4660:10 7.50722e-05 +10 *23758:D_N *4660:10 3.02434e-05 +11 *23835:A1 *4660:10 0.000108597 +12 *3911:14 *4660:10 6.53649e-05 +13 *4241:46 *4660:10 1.74601e-05 +14 *4376:8 *4660:10 0.00139621 +15 *4612:10 *4660:10 0.00127408 +*RES +1 *23757:Y *4660:10 49.3204 +2 *4660:10 *23758:C 9.97254 +*END + +*D_NET *4661 0.0135685 +*CONN +*I *23761:B I *D sky130_fd_sc_hd__or4_4 +*I *23836:C I *D sky130_fd_sc_hd__or3_2 +*I *23758:X O *D sky130_fd_sc_hd__or4b_2 +*CAP +1 *23761:B 0 +2 *23836:C 1.67365e-05 +3 *23758:X 0.000176252 +4 *4661:28 0.00101835 +5 *4661:27 0.00200365 +6 *4661:7 0.00117829 +7 *4661:28 *23844:C 5.65223e-05 +8 *23710:B1 *4661:27 0.000148129 +9 *23710:C1 *4661:27 0.000443707 +10 *23715:A *4661:28 0.000732088 +11 *23758:C *4661:7 6.36477e-05 +12 *23758:D_N *4661:7 1.55025e-05 +13 *3829:67 *4661:27 2.02848e-05 +14 *3891:133 *4661:28 0.000100693 +15 *3911:20 *4661:7 0.000107496 +16 *3923:17 *4661:28 4.8878e-06 +17 *4006:40 *4661:27 0 +18 *4466:11 *4661:27 0.000447014 +19 *4520:12 *4661:27 0.000975597 +20 *4520:12 *4661:28 0.00151234 +21 *4589:19 *4661:27 0.00128599 +22 *4591:15 *4661:27 6.50586e-05 +23 *4591:25 *23836:C 1.09551e-05 +24 *4591:25 *4661:7 2.41274e-06 +25 *4591:25 *4661:27 1.00981e-05 +26 *4591:26 *4661:27 0.000103165 +27 *4591:26 *4661:28 0.00273369 +28 *4618:33 *4661:28 0.00031321 +29 *4619:26 *4661:28 2.26985e-05 +*RES +1 *23758:X *4661:7 13.3243 +2 *4661:7 *23836:C 9.82786 +3 *4661:7 *4661:27 46.1514 +4 *4661:27 *4661:28 50.2089 +5 *4661:28 *23761:B 13.7491 +*END + +*D_NET *4662 0.013441 +*CONN +*I *23848:A I *D sky130_fd_sc_hd__or4_1 +*I *23761:C I *D sky130_fd_sc_hd__or4_4 +*I *23759:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23848:A 0.000407227 +2 *23761:C 1.07763e-05 +3 *23759:X 0.000191807 +4 *4662:16 0.000907053 +5 *4662:8 0.00169163 +6 *4662:7 0.00139439 +7 *4662:8 *4663:19 0.000308239 +8 *4662:8 *4694:10 0 +9 *4662:16 *23761:D 4.56384e-05 +10 *4662:16 *4695:22 0.000403342 +11 *23232:A *4662:16 0.000107496 +12 *23244:C *4662:8 0.000197764 +13 *23251:A *4662:7 9.95542e-06 +14 *23251:B *4662:7 1.15856e-05 +15 *23557:A2_N *4662:16 3.31745e-05 +16 *23570:B *23848:A 0.00018109 +17 *23689:D *4662:8 0.000283785 +18 *23693:B *4662:7 1.55025e-05 +19 *23761:A *23761:C 3.29586e-05 +20 *23761:A *23848:A 0.000111802 +21 *1755:67 *23848:A 8.59083e-05 +22 *2838:57 *23848:A 0.000121198 +23 *3899:29 *4662:8 0.000707201 +24 *3900:9 *4662:8 4.96175e-05 +25 *3937:32 *4662:8 0.00127004 +26 *4001:92 *23848:A 0.00018109 +27 *4106:191 *4662:7 6.3657e-05 +28 *4150:9 *4662:16 0.000169108 +29 *4151:21 *4662:8 0.000313199 +30 *4384:10 *4662:7 4.91182e-06 +31 *4539:37 *23848:A 6.16836e-05 +32 *4593:8 *4662:8 0.000176259 +33 *4594:7 *23761:C 1.17192e-05 +34 *4594:7 *23848:A 1.65872e-05 +35 *4594:8 *4662:16 0.000652487 +36 *4617:8 *4662:8 0.00319141 +37 *4618:33 *4662:16 1.97166e-05 +*RES +1 *23759:X *4662:7 16.691 +2 *4662:7 *4662:8 58.3063 +3 *4662:8 *4662:16 29.7615 +4 *4662:16 *23761:C 9.64099 +5 *4662:16 *23848:A 29.9406 +*END + +*D_NET *4663 0.0225468 +*CONN +*I *23792:D I *D sky130_fd_sc_hd__or4_4 +*I *23761:D I *D sky130_fd_sc_hd__or4_4 +*I *23760:X O *D sky130_fd_sc_hd__a2111o_2 +*CAP +1 *23792:D 0.00050346 +2 *23761:D 0.000858407 +3 *23760:X 7.41758e-05 +4 *4663:41 0.000568183 +5 *4663:40 0.000690256 +6 *4663:19 0.00444782 +7 *4663:8 0.00428912 +8 *23761:D *4695:22 0.000384817 +9 *23761:D *4701:18 7.28994e-06 +10 *23261:B *4663:40 6.08467e-05 +11 *23262:A2 *4663:40 0.000202525 +12 *23262:B1 *4663:40 9.54448e-05 +13 *23263:A2 *4663:40 6.36477e-05 +14 *23263:B1 *4663:40 6.21558e-05 +15 *23265:B *23792:D 1.5613e-05 +16 *23267:A *23792:D 9.25603e-05 +17 *23267:B *23792:D 0.000141642 +18 *23480:A *4663:8 5.09103e-05 +19 *23480:A *4663:40 6.00827e-05 +20 *23482:A1 *23792:D 5.88657e-05 +21 *23482:A1 *4663:40 5.07756e-05 +22 *23482:B1 *23792:D 0.000275686 +23 *23485:A *4663:8 6.92705e-05 +24 *23694:A *23792:D 0.000158357 +25 *23694:B *23792:D 0.000347214 +26 *23792:A *23792:D 0.000253916 +27 *1587:63 *4663:19 1.30345e-05 +28 *2281:13 *4663:19 0.00119154 +29 *2502:36 *4663:19 7.11374e-06 +30 *2963:96 *4663:19 0.000114157 +31 *3002:69 *4663:19 0.000892137 +32 *3877:161 *4663:40 0.000207266 +33 *3883:18 *4663:19 0 +34 *3891:24 *4663:19 0.000150552 +35 *3897:64 *4663:8 6.1096e-05 +36 *3897:64 *4663:40 3.3238e-05 +37 *3937:32 *4663:19 0.000330024 +38 *4013:13 *4663:40 7.08193e-05 +39 *4138:41 *4663:19 3.17436e-05 +40 *4141:8 *23761:D 0.000134191 +41 *4141:37 *23761:D 0.000382367 +42 *4150:9 *23761:D 0.00114286 +43 *4172:16 *4663:40 0.000134959 +44 *4233:44 *4663:19 0.000157671 +45 *4233:64 *4663:19 0.00113791 +46 *4236:61 *4663:19 0.000180499 +47 *4289:21 *23792:D 0.000258114 +48 *4328:32 *23761:D 7.88448e-05 +49 *4594:8 *23761:D 1.13142e-05 +50 *4599:11 *23792:D 0.000172413 +51 *4617:8 *23761:D 0.00119874 +52 *4617:8 *4663:19 0.000174135 +53 *4617:12 *23761:D 4.31673e-05 +54 *4662:8 *4663:19 0.000308239 +55 *4662:16 *23761:D 4.56384e-05 +*RES +1 *23760:X *4663:8 15.6817 +2 *4663:8 *4663:19 17.2117 +3 *4663:19 *23761:D 48.5937 +4 *4663:8 *4663:40 30.4818 +5 *4663:40 *4663:41 81.1229 +6 *4663:41 *23792:D 34.7988 +*END + +*D_NET *4664 0.0451181 +*CONN +*I *23764:A I *D sky130_fd_sc_hd__nor4_1 +*I *23761:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23764:A 0.00124856 +2 *23761:X 0.00708928 +3 *4664:40 0.00287022 +4 *4664:39 0.00230399 +5 *4664:33 0.0077716 +6 *23764:A *23764:B 0.000965045 +7 *23764:A *4670:17 9.48997e-05 +8 *4664:33 *23858:A3 0.000135645 +9 *4664:33 *4676:27 0.000107709 +10 *4664:33 *4695:56 0.00047184 +11 *4664:33 *4739:51 0.00274099 +12 *4664:33 *4744:20 0.000528661 +13 *4664:33 *4745:43 1.50142e-05 +14 *4664:33 *4800:14 0 +15 *4664:33 *5458:13 0 +16 *4664:33 *5923:17 1.41861e-05 +17 *4664:33 *5924:20 0.000226098 +18 *4664:39 *4862:19 0.00028327 +19 *4664:39 *5671:142 0.00166498 +20 *4664:40 *21041:A 0.000175689 +21 *23409:B *4664:40 0.000143032 +22 *23626:A *4664:33 0.000988202 +23 *23651:B1 *4664:33 0.000296086 +24 *23767:A *23764:A 0.00011818 +25 *566:124 *4664:40 0.000502594 +26 *576:11 *4664:40 1.79338e-05 +27 *657:171 *4664:33 0.000186591 +28 *657:224 *4664:40 4.20462e-05 +29 *657:228 *4664:40 7.9335e-05 +30 *1482:8 *4664:40 0.0024315 +31 *1482:29 *4664:33 9.05647e-05 +32 *1707:39 *4664:33 0 +33 *2523:35 *4664:40 0.00132371 +34 *2523:38 *4664:39 0.000307409 +35 *3788:66 *4664:40 0.000225666 +36 *3842:141 *4664:33 3.70027e-06 +37 *3862:58 *4664:33 0.00045202 +38 *3874:92 *4664:33 0.000321292 +39 *3958:28 *4664:40 0.000438049 +40 *3971:12 *4664:40 0 +41 *3974:107 *4664:33 1.30034e-05 +42 *3999:35 *4664:33 7.82101e-05 +43 *4001:75 *4664:33 7.40684e-06 +44 *4011:22 *4664:33 7.33213e-06 +45 *4034:43 *4664:39 0.000158357 +46 *4089:27 *4664:33 0.000157671 +47 *4097:50 *4664:33 0.00156394 +48 *4126:91 *4664:33 0 +49 *4126:161 *4664:33 0.000443903 +50 *4137:28 *4664:33 0.000129656 +51 *4137:47 *4664:33 0.000293777 +52 *4137:83 *4664:40 0.000359312 +53 *4209:40 *4664:40 0.000131524 +54 *4211:11 *4664:40 0 +55 *4301:40 *4664:33 0.00114012 +56 *4320:12 *4664:40 0.00102274 +57 *4352:21 *4664:33 3.47492e-05 +58 *4396:23 *4664:33 0.000111938 +59 *4397:21 *4664:33 0.000628798 +60 *4538:61 *4664:33 0.000640769 +61 *4547:35 *4664:33 0.000651603 +62 *4550:53 *4664:33 0.000410252 +63 *4618:33 *4664:33 2.01653e-05 +64 *4627:23 *4664:33 0.000430467 +65 *4649:15 *4664:33 6.81976e-06 +*RES +1 *23761:X *4664:33 46.9287 +2 *4664:33 *4664:39 39.8636 +3 *4664:39 *4664:40 80.3147 +4 *4664:40 *23764:A 43.7942 +*END + +*D_NET *4665 0.054198 +*CONN +*I *23764:B I *D sky130_fd_sc_hd__nor4_1 +*I *23833:C I *D sky130_fd_sc_hd__or4_1 +*I *23762:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23764:B 0.000235921 +2 *23833:C 0 +3 *23762:X 0.00106189 +4 *4665:82 0.00131042 +5 *4665:68 0.00200758 +6 *4665:58 0.00278205 +7 *4665:57 0.0022863 +8 *4665:50 0.00165261 +9 *4665:45 0.00202884 +10 *4665:42 0.00169142 +11 *4665:37 0.0019241 +12 *4665:25 0.00150714 +13 *4665:10 0.00152279 +14 *23764:B *23770:A2 0.000561793 +15 *4665:10 *4704:17 0.000108015 +16 *4665:10 *5592:19 4.77168e-06 +17 *4665:10 *5671:106 0.000140276 +18 *4665:25 *5671:106 0.000380883 +19 *4665:37 *23833:B 0.00024841 +20 *4665:37 *23841:A2 4.40272e-05 +21 *4665:42 *5815:64 1.66626e-05 +22 *4665:50 *5861:112 3.3344e-06 +23 *4665:50 *5861:127 0.000117201 +24 *4665:57 *4873:11 0.000222819 +25 *4665:57 *4932:127 0 +26 *4665:57 *5861:112 0 +27 *4665:58 *5401:11 0.000114083 +28 *4665:58 *5856:74 6.67464e-05 +29 *4665:68 *20225:C 0.00022778 +30 *4665:68 *20226:A1 0.000116454 +31 *4665:68 *22078:A 0.000252342 +32 *4665:68 *23964:A1 0.000383136 +33 *4665:68 *5671:189 0.000878043 +34 *4665:68 *5671:212 1.26681e-05 +35 *4665:68 *6012:12 9.22013e-06 +36 *4665:68 *6012:17 8.744e-05 +37 *4665:68 *6014:9 3.96364e-05 +38 *4665:82 *23929:B 0.000251669 +39 *4665:82 *24942:A 0.000136381 +40 *4665:82 *4671:7 0.000467498 +41 *4665:82 *4881:5 0.000318456 +42 *4665:82 *5452:70 0.000114456 +43 *4665:82 *6001:206 0.000160617 +44 *20934:B2 *4665:50 6.3657e-05 +45 *20984:B2 *4665:58 0.000350296 +46 *23281:A *4665:37 0.000489302 +47 *23283:A *4665:37 5.51483e-06 +48 *23283:B *4665:37 0.000154145 +49 *23289:C_N *4665:42 3.88655e-06 +50 *23290:B *4665:42 6.01588e-05 +51 *23488:B *4665:25 0.00029916 +52 *23490:A *4665:45 6.08467e-05 +53 *23502:A *4665:82 0.000124658 +54 *23672:A1 *4665:42 4.90233e-06 +55 *23672:B2 *4665:42 0.000746333 +56 *23762:A *4665:10 9.60627e-05 +57 *23762:B *4665:10 1.33419e-05 +58 *23764:A *23764:B 0.000965045 +59 *23768:A *4665:82 6.08467e-05 +60 *23768:B *4665:82 5.73392e-05 +61 *23769:A *4665:82 0.000102633 +62 *23810:B *4665:42 0.000174175 +63 *23825:C *4665:42 0.000256347 +64 *23831:A *4665:50 0.000309159 +65 *23832:A *4665:37 3.30925e-05 +66 *23929:A *4665:82 0.000213725 +67 *495:8 *4665:58 0.000112906 +68 *823:20 *4665:57 0 +69 *1574:12 *4665:57 0 +70 *1729:51 *4665:57 0.000515095 +71 *1809:35 *4665:10 0.000274928 +72 *1947:22 *4665:58 0.000191526 +73 *1947:45 *4665:58 0.00014082 +74 *2173:9 *4665:57 0.000137221 +75 *2615:8 *4665:58 0.000118847 +76 *2767:11 *4665:58 3.38973e-05 +77 *3082:8 *4665:58 0.000385815 +78 *3082:8 *4665:68 0.000652209 +79 *3085:8 *4665:82 5.04829e-06 +80 *3089:36 *4665:57 0.000178366 +81 *3089:42 *4665:58 2.93736e-05 +82 *3089:54 *4665:58 0.000442221 +83 *3089:54 *4665:68 0.000812618 +84 *3791:106 *4665:42 0.000177927 +85 *3791:108 *4665:10 0.00118426 +86 *3791:108 *4665:25 2.6506e-05 +87 *3791:118 *4665:10 0.000309435 +88 *3846:51 *4665:42 0 +89 *3868:128 *4665:42 0.000738848 +90 *3879:25 *4665:10 0.000202476 +91 *3958:20 *4665:45 2.41274e-06 +92 *3974:39 *4665:82 2.25013e-05 +93 *3999:35 *4665:10 0.000568261 +94 *4003:42 *4665:58 0.00444404 +95 *4003:54 *4665:57 2.58052e-05 +96 *4003:57 *4665:45 6.86756e-06 +97 *4003:57 *4665:50 0.00346689 +98 *4003:61 *4665:45 1.48894e-05 +99 *4077:34 *4665:42 0.000162888 +100 *4080:18 *4665:42 0.000482107 +101 *4124:35 *4665:45 0.000172132 +102 *4136:40 *4665:37 0.000403069 +103 *4138:152 *4665:82 0.00191479 +104 *4190:15 *4665:25 6.39593e-05 +105 *4192:7 *4665:37 6.08467e-05 +106 *4290:19 *4665:10 0.000221593 +107 *4297:9 *4665:37 0.00103192 +108 *4300:45 *4665:45 6.08467e-05 +109 *4301:40 *4665:42 1.91246e-05 +110 *4409:7 *4665:82 9.4711e-05 +111 *4415:154 *4665:42 9.24241e-05 +112 *4494:13 *4665:37 0.000115874 +113 *4494:18 *4665:37 0.000460821 +114 *4550:19 *4665:58 1.561e-05 +115 *4550:25 *4665:58 0.00252639 +116 *4575:13 *4665:10 6.85742e-05 +117 *4620:26 *4665:42 0.000260855 +118 *4620:34 *4665:42 2.09442e-05 +119 *4650:6 *4665:37 0.000315905 +120 *4654:19 *4665:50 0.000270059 +*RES +1 *23762:X *4665:10 47.982 +2 *4665:10 *4665:25 17.6474 +3 *4665:25 *23833:C 9.24915 +4 *4665:25 *4665:37 46.8953 +5 *4665:37 *4665:42 45.2979 +6 *4665:42 *4665:45 12.5148 +7 *4665:45 *4665:50 45.333 +8 *4665:50 *4665:57 24.4366 +9 *4665:57 *4665:58 88.4121 +10 *4665:58 *4665:68 47.6252 +11 *4665:68 *4665:82 49.9248 +12 *4665:82 *23764:B 20.51 +*END + +*D_NET *4666 0.00447776 +*CONN +*I *23795:C I *D sky130_fd_sc_hd__or3_2 +*I *23764:C I *D sky130_fd_sc_hd__nor4_1 +*I *23763:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23795:C 0.000290723 +2 *23764:C 0.000750232 +3 *23763:X 0 +4 *4666:5 0.00104096 +5 *23795:C *5458:114 6.99486e-05 +6 *23763:A *23795:C 3.97491e-05 +7 *23763:B *23795:C 6.40861e-05 +8 *23795:B *23795:C 4.37481e-05 +9 *23837:B *23764:C 2.81361e-06 +10 *23837:C *23764:C 3.17266e-05 +11 *3842:336 *23795:C 0.000167076 +12 *3996:17 *23764:C 1.79672e-05 +13 *3996:17 *23795:C 3.90689e-06 +14 *4106:11 *23795:C 8.62625e-06 +15 *4106:24 *23764:C 0.000282357 +16 *4120:83 *23764:C 0.000398644 +17 *4146:21 *23764:C 0.000510762 +18 *4228:69 *23764:C 0.000144546 +19 *4397:28 *23764:C 3.00073e-05 +20 *4397:28 *23795:C 8.72775e-05 +21 *4398:8 *23795:C 6.42805e-05 +22 *4500:35 *23764:C 2.57071e-05 +23 *4500:45 *23764:C 0.000205785 +24 *4587:14 *23764:C 0.000128258 +25 *4604:6 *23795:C 6.85778e-05 +*RES +1 *23763:X *4666:5 13.7491 +2 *4666:5 *23764:C 45.0008 +3 *4666:5 *23795:C 23.2273 +*END + +*D_NET *4667 0.00160566 +*CONN +*I *23770:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23764:Y O *D sky130_fd_sc_hd__nor4_1 +*CAP +1 *23770:A2 0.000417799 +2 *23764:Y 0.000417799 +3 *23770:A2 *4673:8 0.000131793 +4 *23403:B *23770:A2 0 +5 *23764:B *23770:A2 0.000561793 +6 *4034:33 *23770:A2 6.71169e-05 +7 *4138:165 *23770:A2 9.35753e-06 +*RES +1 *23764:Y *23770:A2 39.5033 +*END + +*D_NET *4668 0.00378501 +*CONN +*I *23798:B I *D sky130_fd_sc_hd__or3_1 +*I *23766:B I *D sky130_fd_sc_hd__nor3_1 +*I *23765:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *23798:B 0.000101092 +2 *23766:B 0 +3 *23765:X 0.000945563 +4 *4668:9 0.00104665 +5 *23798:B *23770:A3 6.50586e-05 +6 *23798:B *23798:C 7.34948e-06 +7 *4668:9 *23838:D 2.27055e-05 +8 *23319:B *4668:9 0.000608395 +9 *23766:C *23798:B 7.34948e-06 +10 *23766:C *4668:9 2.65831e-05 +11 *23770:A1 *4668:9 6.08467e-05 +12 *23837:B *4668:9 6.50586e-05 +13 *23837:C *4668:9 4.31703e-05 +14 *4034:23 *4668:9 0.000154145 +15 *4116:20 *4668:9 4.27003e-05 +16 *4225:20 *4668:9 0.000104731 +17 *4228:5 *4668:9 0.000251655 +18 *4228:69 *4668:9 0.000135364 +19 *4587:44 *23798:B 9.65932e-05 +*RES +1 *23765:X *4668:9 42.9887 +2 *4668:9 *23766:B 9.24915 +3 *4668:9 *23798:B 12.7697 +*END + +*D_NET *4669 0.000389626 +*CONN +*I *23770:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23766:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *23770:A3 0.00012712 +2 *23766:Y 0.00012712 +3 *23770:A3 *4697:8 1.62054e-05 +4 *23798:B *23770:A3 6.50586e-05 +5 *4034:33 *23770:A3 5.41227e-05 +6 *4138:165 *23770:A3 0 +*RES +1 *23766:Y *23770:A3 30.4689 +*END + +*D_NET *4670 0.00465413 +*CONN +*I *23769:B I *D sky130_fd_sc_hd__or3_1 +*I *23839:B I *D sky130_fd_sc_hd__or3_1 +*I *23799:C I *D sky130_fd_sc_hd__or4_4 +*I *23767:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23769:B 0 +2 *23839:B 0.000374883 +3 *23799:C 0.000185157 +4 *23767:X 0.000415059 +5 *4670:22 0.00090969 +6 *4670:17 0.000764708 +7 *23839:B *23840:B 4.90264e-05 +8 *23839:B *5452:70 9.37698e-05 +9 *4670:17 *23770:B1 0.000205985 +10 *4670:17 *4671:7 3.58044e-05 +11 *4670:22 *23770:B1 0.000156686 +12 *4670:22 *23798:C 0.000136768 +13 *4670:22 *5452:70 2.29151e-05 +14 *23498:A *23839:B 0.0001305 +15 *23705:B *23839:B 0 +16 *23705:D *4670:22 0.000167996 +17 *23706:A *4670:17 0.000209961 +18 *23706:D_N *4670:22 5.22071e-05 +19 *23764:A *4670:17 9.48997e-05 +20 *23766:A *4670:22 0 +21 *23767:A *4670:17 7.34948e-06 +22 *23767:C *4670:17 0.000213544 +23 *23799:B *23799:C 0.000291154 +24 *4137:106 *23839:B 6.11359e-06 +25 *4364:20 *23839:B 0 +26 *4364:20 *4670:22 0 +27 *4371:24 *23839:B 1.44467e-05 +28 *4587:44 *4670:22 0 +29 *4609:17 *4670:22 0.000115508 +*RES +1 *23767:X *4670:17 29.2226 +2 *4670:17 *4670:22 13.7022 +3 *4670:22 *23799:C 16.9683 +4 *4670:22 *23839:B 22.9514 +5 *4670:17 *23769:B 9.24915 +*END + +*D_NET *4671 0.00221487 +*CONN +*I *23769:C I *D sky130_fd_sc_hd__or3_1 +*I *23798:C I *D sky130_fd_sc_hd__or3_1 +*I *23768:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23769:C 0 +2 *23798:C 0.00023733 +3 *23768:X 0.000146883 +4 *4671:7 0.000384213 +5 *23798:C *23770:B1 9.84752e-05 +6 *23798:C *4699:8 5.04829e-06 +7 *23798:C *5452:70 7.66319e-05 +8 *4671:7 *23770:B1 0.000226955 +9 *23706:D_N *23798:C 8.62625e-06 +10 *23769:A *23798:C 0.000169041 +11 *23769:A *4671:7 3.51034e-05 +12 *23798:A *23798:C 6.50586e-05 +13 *23798:B *23798:C 7.34948e-06 +14 *4138:152 *23798:C 1.58551e-05 +15 *4138:152 *4671:7 6.50586e-05 +16 *4609:17 *23798:C 3.31736e-05 +17 *4665:82 *4671:7 0.000467498 +18 *4670:17 *4671:7 3.58044e-05 +19 *4670:22 *23798:C 0.000136768 +*RES +1 *23768:X *4671:7 16.0973 +2 *4671:7 *23798:C 26.3772 +3 *4671:7 *23769:C 9.24915 +*END + +*D_NET *4672 0.001054 +*CONN +*I *23770:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23769:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23770:B1 0.000147977 +2 *23769:X 0.000147977 +3 *23769:A *23770:B1 4.33819e-05 +4 *23770:A1 *23770:B1 0 +5 *23798:C *23770:B1 9.84752e-05 +6 *4138:165 *23770:B1 2.65667e-05 +7 *4670:17 *23770:B1 0.000205985 +8 *4670:22 *23770:B1 0.000156686 +9 *4671:7 *23770:B1 0.000226955 +*RES +1 *23769:X *23770:B1 25.5152 +*END + +*D_NET *4673 0.0157235 +*CONN +*I *23771:C1 I *D sky130_fd_sc_hd__o311a_1 +*I *23770:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23771:C1 0.000240921 +2 *23770:X 0.000140768 +3 *4673:17 0.00130304 +4 *4673:8 0.00260284 +5 *4673:7 0.00168149 +6 *23771:C1 *4691:11 9.12192e-05 +7 *4673:8 *4697:16 0.00039818 +8 *4673:17 *4697:16 0 +9 *23059:C *4673:8 0.000125876 +10 *23305:B *4673:8 1.27831e-06 +11 *23407:A *4673:8 0.000254343 +12 *23547:A *4673:17 1.32531e-05 +13 *23595:A *4673:17 3.73929e-05 +14 *23596:A *4673:17 0.000118485 +15 *23597:B1 *4673:17 0.000373663 +16 *23659:A *23771:C1 4.13393e-05 +17 *23704:A *4673:8 0.001943 +18 *23770:A2 *4673:8 0.000131793 +19 *23771:A1 *23771:C1 0.000425072 +20 *23820:A *4673:17 5.04829e-06 +21 *23821:A *4673:17 2.03996e-05 +22 *1809:103 *23771:C1 0.000140397 +23 *3835:36 *4673:8 0.000618831 +24 *3872:10 *4673:8 0 +25 *3872:12 *4673:8 0 +26 *3978:24 *4673:8 0.000599368 +27 *3978:29 *4673:8 0.000191541 +28 *4034:33 *4673:8 0.00013632 +29 *4119:8 *4673:8 0.000139435 +30 *4119:12 *4673:8 0.000367038 +31 *4126:45 *4673:8 5.12519e-05 +32 *4138:165 *4673:7 0.000211478 +33 *4214:8 *4673:8 0.000466915 +34 *4410:16 *4673:17 0.00103294 +35 *4452:10 *4673:17 0 +36 *4495:26 *4673:17 0.000118939 +37 *4504:8 *23771:C1 6.14273e-05 +38 *4561:27 *4673:8 0.000107132 +39 *4561:27 *4673:17 0.000320303 +40 *4562:14 *4673:17 0.0010973 +41 *4609:6 *4673:8 0.000113428 +*RES +1 *23770:X *4673:7 16.1364 +2 *4673:7 *4673:8 65.9885 +3 *4673:8 *4673:17 47.5348 +4 *4673:17 *23771:C1 23.8862 +*END + +*D_NET *4674 0.000732942 +*CONN +*I *23772:A I *D sky130_fd_sc_hd__inv_2 +*I *23771:X O *D sky130_fd_sc_hd__o311a_1 +*CAP +1 *23772:A 0.000123021 +2 *23771:X 0.000123021 +3 *1829:83 *23772:A 1.50513e-05 +4 *3829:26 *23772:A 0.000342168 +5 *4535:16 *23772:A 9.38827e-06 +6 *4582:17 *23772:A 0.000120294 +*RES +1 *23771:X *23772:A 33.4828 +*END + +*D_NET *4675 0.000704169 +*CONN +*I *23774:B I *D sky130_fd_sc_hd__or4_2 +*I *23773:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23774:B 0.00017055 +2 *23773:X 0.00017055 +3 *3877:194 *23774:B 0.000209312 +4 *3888:24 *23774:B 7.50722e-05 +5 *4282:11 *23774:B 7.86847e-05 +*RES +1 *23773:X *23774:B 31.3022 +*END + +*D_NET *4676 0.0216602 +*CONN +*I *23813:C I *D sky130_fd_sc_hd__or4_1 +*I *23780:A I *D sky130_fd_sc_hd__or4_1 +*I *23774:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23813:C 0.000110035 +2 *23780:A 0 +3 *23774:X 0 +4 *4676:27 0.00288824 +5 *4676:6 0.00439511 +6 *4676:5 0.00161691 +7 *23813:C *23781:A 3.31745e-05 +8 *23813:C *23813:D 0.000144254 +9 *4676:27 *23813:D 6.36477e-05 +10 *4676:27 *4681:20 2.64469e-05 +11 *4676:27 *5458:13 2.84346e-06 +12 *4676:27 *5920:19 3.17436e-05 +13 *22974:A *4676:6 1.91246e-05 +14 *22974:B *4676:6 0.000151147 +15 *23108:A *4676:27 2.05082e-05 +16 *23154:B1 *4676:6 6.28334e-05 +17 *23579:A3 *4676:6 0.000815576 +18 *23579:B1 *4676:6 0.000254405 +19 *23626:A *4676:27 4.47494e-06 +20 *1455:99 *4676:6 0.000301498 +21 *1757:106 *4676:27 3.25697e-05 +22 *1809:35 *4676:27 0.000120642 +23 *2406:14 *4676:6 7.50872e-05 +24 *2612:62 *4676:6 0.000589246 +25 *2836:20 *4676:27 0.00104032 +26 *2963:93 *4676:27 0.00115325 +27 *3002:69 *4676:27 0.000269889 +28 *3874:124 *4676:6 1.2819e-05 +29 *3886:8 *4676:6 0 +30 *3888:24 *4676:6 0.000491781 +31 *3889:24 *4676:6 0.000174164 +32 *3889:26 *4676:6 2.98609e-05 +33 *4001:87 *4676:27 5.04829e-06 +34 *4011:22 *4676:27 0.00141201 +35 *4012:10 *4676:6 0.000242531 +36 *4012:12 *4676:6 8.45896e-06 +37 *4012:20 *4676:6 0.000416877 +38 *4012:25 *4676:6 0.000131793 +39 *4013:42 *4676:6 0.000279715 +40 *4089:27 *4676:27 0.000234486 +41 *4351:11 *23813:C 5.04829e-06 +42 *4415:123 *4676:27 4.69495e-06 +43 *4486:13 *4676:6 0.000404728 +44 *4486:14 *4676:6 0.00090039 +45 *4528:17 *4676:27 0.00190826 +46 *4538:61 *4676:27 0.00014285 +47 *4567:56 *4676:27 0.000489933 +48 *4627:23 *4676:27 1.83572e-05 +49 *4635:18 *4676:27 1.5714e-05 +50 *4664:33 *4676:27 0.000107709 +*RES +1 *23774:X *4676:5 13.7491 +2 *4676:5 *4676:6 63.4969 +3 *4676:6 *4676:27 24.2379 +4 *4676:27 *23780:A 9.24915 +5 *4676:27 *23813:C 12.191 +*END + +*D_NET *4677 0.0116911 +*CONN +*I *23776:B I *D sky130_fd_sc_hd__or4b_1 +*I *23775:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23776:B 0.00100246 +2 *23775:X 0.000795428 +3 *4677:27 0.00160393 +4 *4677:11 0.00139691 +5 *23776:B *5454:56 0.00031917 +6 *23776:B *5456:106 5.04829e-06 +7 *23776:B *5593:20 8.43942e-05 +8 *23776:B *5792:11 8.65358e-05 +9 *4677:11 *23918:B 1.82679e-05 +10 *4677:11 *25208:A 0.000101489 +11 *4677:11 *5456:50 1.32746e-05 +12 *4677:11 *5927:43 2.82597e-05 +13 *4677:27 *24138:CLK 0.000693629 +14 *4677:27 *5456:50 0.000238628 +15 irq[0] *4677:11 6.11074e-05 +16 irq[2] *4677:11 0.000113077 +17 *22985:A *4677:27 5.40779e-05 +18 *23344:A *4677:11 2.19276e-05 +19 *23776:D_N *23776:B 8.97566e-06 +20 *25185:A *4677:27 2.02035e-05 +21 *25186:A *4677:27 3.67708e-05 +22 *657:171 *4677:11 0.00123492 +23 *2838:45 *23776:B 3.81137e-05 +24 *3791:142 *4677:11 0.000538667 +25 *3860:101 *23776:B 0.00113022 +26 *3860:123 *4677:11 0.00033347 +27 *3860:123 *4677:27 0.000983928 +28 *3868:180 *23776:B 0.000706644 +29 *3903:20 *23776:B 2.16006e-05 +*RES +1 *23775:X *4677:11 48.3912 +2 *4677:11 *4677:27 36.9674 +3 *4677:27 *23776:B 45.1667 +*END + +*D_NET *4678 0.0077507 +*CONN +*I *23780:B I *D sky130_fd_sc_hd__or4_1 +*I *23844:C I *D sky130_fd_sc_hd__or4_1 +*I *23776:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *23780:B 0.00181196 +2 *23844:C 0.000150123 +3 *23776:X 0.00082151 +4 *4678:7 0.00278359 +5 *23780:B *5453:29 4.78069e-06 +6 *23844:C *4741:18 4.19683e-05 +7 *4678:7 *4735:58 0.000176715 +8 *23712:C *4678:7 3.80324e-05 +9 *23715:A *23780:B 0.000166346 +10 *23715:A *23844:C 5.56367e-05 +11 *23715:A *4678:7 3.82228e-05 +12 *23715:B *4678:7 1.69394e-06 +13 *23776:C *4678:7 2.05403e-05 +14 *23844:A *23844:C 6.64392e-05 +15 *3829:97 *23780:B 0.000240429 +16 *3829:97 *23844:C 8.83947e-05 +17 *3923:17 *23780:B 7.72722e-05 +18 *3929:15 *4678:7 0.000110297 +19 *4001:87 *23780:B 0.000627744 +20 *4001:91 *23780:B 0.000233537 +21 *4024:70 *23844:C 2.57986e-05 +22 *4618:33 *23844:C 3.31733e-05 +23 *4618:33 *4678:7 6.08467e-05 +24 *4619:26 *23844:C 1.91246e-05 +25 *4661:28 *23844:C 5.65223e-05 +*RES +1 *23776:X *4678:7 26.1192 +2 *4678:7 *23844:C 19.2113 +3 *4678:7 *23780:B 42.3681 +*END + +*D_NET *4679 0.000553221 +*CONN +*I *23778:B1 I *D sky130_fd_sc_hd__o311a_1 +*I *23777:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23778:B1 7.78432e-05 +2 *23777:X 7.78432e-05 +3 *23778:A1 *23778:B1 6.08467e-05 +4 *3877:58 *23778:B1 6.08467e-05 +5 *4001:53 *23778:B1 0.000137921 +6 *4531:14 *23778:B1 0.000137921 +*RES +1 *23777:X *23778:B1 30.8842 +*END + +*D_NET *4680 0.00109621 +*CONN +*I *23779:D_N I *D sky130_fd_sc_hd__or4b_2 +*I *23778:X O *D sky130_fd_sc_hd__o311a_1 +*CAP +1 *23779:D_N 0.000148949 +2 *23778:X 0.000148949 +3 *23126:B *23779:D_N 0.000154145 +4 *4015:8 *23779:D_N 0.000323885 +5 *4127:34 *23779:D_N 0.000320287 +*RES +1 *23778:X *23779:D_N 34.9002 +*END + +*D_NET *4681 0.0163903 +*CONN +*I *23780:C I *D sky130_fd_sc_hd__or4_1 +*I *23779:X O *D sky130_fd_sc_hd__or4b_2 +*CAP +1 *23780:C 0 +2 *23779:X 0.00285024 +3 *4681:20 0.00285024 +4 *4681:20 *5458:13 2.2397e-05 +5 *4681:20 *5918:14 0.00143232 +6 *23626:A *4681:20 0.000192784 +7 *23777:A *4681:20 2.56038e-06 +8 *3870:47 *4681:20 0.00182279 +9 *4001:53 *4681:20 4.47123e-05 +10 *4001:75 *4681:20 0.000102893 +11 *4001:87 *4681:20 4.04447e-05 +12 *4011:22 *4681:20 0.00229874 +13 *4089:40 *4681:20 0.000225465 +14 *4353:19 *4681:20 0.00116953 +15 *4396:35 *4681:20 0.000741902 +16 *4415:123 *4681:20 5.35941e-05 +17 *4531:14 *4681:20 1.93781e-05 +18 *4538:61 *4681:20 0.00248257 +19 *4635:18 *4681:20 1.1246e-05 +20 *4676:27 *4681:20 2.64469e-05 +*RES +1 *23779:X *4681:20 42.2585 +2 *4681:20 *23780:C 9.24915 +*END + +*D_NET *4682 0.00369596 +*CONN +*I *23781:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23780:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23781:A 0.00143897 +2 *23780:X 0.00143897 +3 *23781:A *23813:D 6.08467e-05 +4 *23781:A *23814:A 0.000111722 +5 *23781:A *23841:A2 8.19252e-05 +6 *23781:A *4713:14 2.77625e-06 +7 *23781:A *4740:10 3.2184e-05 +8 *23443:A *23781:A 3.03403e-05 +9 *23443:B *23781:A 0.000156955 +10 *23585:B *23781:A 0.000154145 +11 *23813:A *23781:A 9.70812e-05 +12 *23813:C *23781:A 3.31745e-05 +13 *23832:B *23781:A 1.6286e-05 +14 *4351:11 *23781:A 4.79321e-06 +15 *4620:17 *23781:A 3.58044e-05 +*RES +1 *23780:X *23781:A 43.8895 +*END + +*D_NET *4683 0.00703322 +*CONN +*I *23783:B I *D sky130_fd_sc_hd__or4_2 +*I *23782:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *23783:B 0.000157151 +2 *23782:X 0 +3 *4683:6 0.0012911 +4 *4683:5 0.00113395 +5 *4683:6 *5082:80 0.00229453 +6 *4683:6 *5082:93 4.70498e-05 +7 *23118:A *23783:B 0.000154145 +8 *23118:B *4683:6 0.000122098 +9 *23136:A_N *4683:6 2.5386e-05 +10 *23136:D *4683:6 6.77948e-06 +11 *23725:B1 *23783:B 3.99086e-06 +12 *3829:85 *4683:6 5.75713e-05 +13 *4001:92 *4683:6 0.000359655 +14 *4024:48 *4683:6 0.000196223 +15 *4024:70 *4683:6 0.000420372 +16 *4126:145 *4683:6 0.000239497 +17 *4138:78 *4683:6 0.000523716 +*RES +1 *23782:X *4683:5 13.7491 +2 *4683:5 *4683:6 46.6792 +3 *4683:6 *23783:B 16.1364 +*END + +*D_NET *4684 0.0157181 +*CONN +*I *23788:A I *D sky130_fd_sc_hd__nor4_2 +*I *23852:D I *D sky130_fd_sc_hd__or4_1 +*I *23783:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23788:A 0.0004523 +2 *23852:D 3.75502e-05 +3 *23783:X 0.000370798 +4 *4684:47 0.00139518 +5 *4684:40 0.00185067 +6 *4684:34 0.00170318 +7 *4684:5 0.00120374 +8 *23788:A *23788:B 4.25388e-05 +9 *4684:5 *23852:B 0.000294093 +10 *4684:34 *23852:B 1.41976e-05 +11 *4684:34 *23858:A3 0.000301115 +12 *4684:34 *4686:33 7.22263e-05 +13 *4684:34 *4727:19 0.000190541 +14 *4684:34 *5457:13 0.000236744 +15 *4684:40 *23816:B 0.000107496 +16 *4684:40 *4727:25 0.000593074 +17 *4684:47 *23806:B 0.000946185 +18 *22965:A *4684:34 4.9073e-05 +19 *23118:A *4684:5 0.000205101 +20 *23134:A *4684:5 5.97411e-05 +21 *23134:B *4684:5 5.51483e-06 +22 *23586:A1 *4684:34 2.16355e-05 +23 *23586:B1 *4684:34 7.13655e-06 +24 *23651:A2 *4684:5 7.20235e-06 +25 *23651:A2 *4684:34 2.84713e-05 +26 *23725:B1 *4684:5 3.7516e-05 +27 *23749:A *23788:A 0.000200794 +28 *23750:D *23788:A 6.28743e-05 +29 *23804:A *23788:A 4.15236e-05 +30 *23805:A *4684:47 0.00056225 +31 *566:136 *4684:40 6.06269e-06 +32 *566:136 *4684:47 0.00202726 +33 *566:144 *4684:40 0.000105475 +34 *3842:99 *4684:34 0.000137566 +35 *3842:105 *4684:34 0.000138599 +36 *3849:29 *23788:A 5.04829e-06 +37 *3869:12 *4684:34 1.9101e-05 +38 *3872:26 *23788:A 4.82555e-05 +39 *3872:87 *4684:34 0.000256726 +40 *3980:124 *4684:34 1.77537e-06 +41 *3999:16 *4684:34 4.84097e-05 +42 *4024:27 *4684:5 0.000112278 +43 *4089:40 *4684:34 0.000150481 +44 *4136:18 *23788:A 0.00024172 +45 *4189:6 *4684:40 0.000101148 +46 *4189:6 *4684:47 3.64684e-05 +47 *4228:45 *4684:34 0.000147005 +48 *4424:9 *4684:5 0.000198807 +49 *4495:48 *4684:47 0.000134056 +50 *4581:16 *4684:47 7.92757e-06 +51 *4636:17 *4684:47 0.000151341 +52 *4654:16 *23788:A 0.000542134 +*RES +1 *23783:X *4684:5 19.9554 +2 *4684:5 *23852:D 10.2378 +3 *4684:5 *4684:34 41.6574 +4 *4684:34 *4684:40 26.0973 +5 *4684:40 *4684:47 49.9035 +6 *4684:47 *23788:A 29.3436 +*END + +*D_NET *4685 0.00946398 +*CONN +*I *23785:B I *D sky130_fd_sc_hd__or4_4 +*I *23784:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23785:B 0.000177096 +2 *23784:X 0.000473556 +3 *4685:12 0.00136394 +4 *4685:10 0.0016604 +5 *23785:B *4686:10 6.50727e-05 +6 *23274:A *4685:12 0.000386813 +7 *23534:A *4685:10 6.73186e-05 +8 *23534:B *4685:10 3.31882e-05 +9 *23697:A *4685:10 5.76501e-05 +10 *23785:A *23785:B 6.08467e-05 +11 *1587:106 *4685:12 7.50872e-05 +12 *3879:16 *4685:12 7.18816e-05 +13 *3879:41 *4685:12 5.71585e-05 +14 *3886:8 *4685:10 4.51808e-05 +15 *3886:8 *4685:12 6.06269e-06 +16 *3888:11 *4685:12 0.0018225 +17 *3888:49 *4685:12 1.91246e-05 +18 *3980:134 *23785:B 0.000107496 +19 *3980:134 *4685:12 2.95757e-05 +20 *4070:36 *4685:12 0.00100095 +21 *4290:10 *4685:12 0.000158865 +22 *4514:29 *4685:10 2.2599e-05 +23 *4514:29 *4685:12 0.0016821 +24 *4514:47 *4685:12 1.9515e-05 +*RES +1 *23784:X *4685:10 21.8211 +2 *4685:10 *4685:12 53.5309 +3 *4685:12 *23785:B 17.8002 +*END + +*D_NET *4686 0.0177089 +*CONN +*I *23788:B I *D sky130_fd_sc_hd__nor4_2 +*I *23816:D I *D sky130_fd_sc_hd__or4_1 +*I *23785:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23788:B 0.00065842 +2 *23816:D 0 +3 *23785:X 0.000587049 +4 *4686:35 0.00178663 +5 *4686:33 0.00158156 +6 *4686:14 0.0012335 +7 *4686:10 0.0013672 +8 *4686:14 *5924:20 9.05141e-05 +9 *4686:33 *23819:B 0.000244283 +10 *4686:33 *23858:A3 0.000244283 +11 *22965:A *4686:14 0.000107627 +12 *22965:A *4686:33 1.19624e-05 +13 *23047:B1_N *4686:14 6.18934e-05 +14 *23444:B *4686:10 0.000818363 +15 *23505:A1 *4686:35 2.96971e-05 +16 *23587:C *4686:33 0.000100748 +17 *23623:C *4686:10 0.000167579 +18 *23675:A *23788:B 0.000540283 +19 *23732:D *4686:10 1.37925e-05 +20 *23749:A *23788:B 7.0483e-05 +21 *23785:B *4686:10 6.50727e-05 +22 *23788:A *23788:B 4.25388e-05 +23 *23810:D_N *4686:14 0.00012791 +24 *23815:A *4686:33 0.000106797 +25 *2660:32 *4686:35 1.2366e-05 +26 *3846:51 *4686:35 7.24103e-06 +27 *3872:77 *4686:35 0.000255172 +28 *3872:104 *4686:14 5.29076e-05 +29 *3872:104 *4686:33 5.94811e-05 +30 *3872:164 *4686:10 3.59723e-05 +31 *3872:164 *4686:14 0.000818385 +32 *3874:92 *4686:14 4.25398e-05 +33 *3875:6 *4686:14 0.000128264 +34 *3958:8 *4686:35 0.00159497 +35 *3980:124 *4686:14 2.25583e-07 +36 *3980:126 *4686:14 8.51012e-06 +37 *3999:16 *4686:33 2.54245e-05 +38 *3999:35 *4686:33 6.1974e-05 +39 *4070:36 *4686:10 0.000697291 +40 *4123:8 *4686:35 0.000104494 +41 *4146:83 *23788:B 0.000109329 +42 *4146:86 *4686:35 1.37925e-05 +43 *4228:29 *4686:35 0.00013952 +44 *4529:25 *4686:14 5.49916e-05 +45 *4529:26 *4686:33 4.36742e-06 +46 *4529:26 *4686:35 0.00274449 +47 *4557:12 *4686:33 3.3239e-06 +48 *4557:12 *4686:35 0 +49 *4635:13 *4686:10 3.58734e-05 +50 *4635:18 *4686:10 0.00020238 +51 *4635:18 *4686:14 0.00036523 +52 *4684:34 *4686:33 7.22263e-05 +*RES +1 *23785:X *4686:10 35.5161 +2 *4686:10 *4686:14 26.1731 +3 *4686:14 *23816:D 13.7491 +4 *4686:14 *4686:33 17.1083 +5 *4686:33 *4686:35 50.4165 +6 *4686:35 *23788:B 28.9163 +*END + +*D_NET *4687 0.000746771 +*CONN +*I *23787:C I *D sky130_fd_sc_hd__or4_1 +*I *23786:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23787:C 0.000241844 +2 *23786:X 0.000241844 +3 *23786:B *23787:C 2.47107e-05 +4 *3849:29 *23787:C 0.000119186 +5 *4654:16 *23787:C 0.000119186 +*RES +1 *23786:X *23787:C 34.3029 +*END + +*D_NET *4688 0.00363522 +*CONN +*I *23788:C I *D sky130_fd_sc_hd__nor4_2 +*I *23787:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23788:C 0 +2 *23787:X 0.000505437 +3 *4688:10 0.000505437 +4 *23787:D *4688:10 0.000156955 +5 *3849:29 *4688:10 0.00121895 +6 *3872:26 *4688:10 8.6297e-06 +7 *4654:16 *4688:10 0.00123982 +*RES +1 *23787:X *4688:10 42.2611 +2 *4688:10 *23788:C 9.24915 +*END + +*D_NET *4689 0.00160387 +*CONN +*I *23809:A1 I *D sky130_fd_sc_hd__o221ai_4 +*I *23788:Y O *D sky130_fd_sc_hd__nor4_2 +*CAP +1 *23809:A1 0.000529889 +2 *23788:Y 0.000529889 +3 *23809:A1 *23809:B2 6.3657e-05 +4 *23809:A1 *4691:11 3.25906e-05 +5 *23809:A1 *4698:22 2.35405e-05 +6 *23678:A *23809:A1 0.000114584 +7 *23678:B *23809:A1 6.23875e-05 +8 *4411:7 *23809:A1 0.000107496 +9 *4583:10 *23809:A1 0.000139841 +*RES +1 *23788:Y *23809:A1 30.8925 +*END + +*D_NET *4690 0.000441689 +*CONN +*I *23790:C I *D sky130_fd_sc_hd__or4_2 +*I *23789:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23790:C 9.97861e-05 +2 *23789:X 9.97861e-05 +3 *23790:A *23790:C 0.000122083 +4 *4034:51 *23790:C 6.08467e-05 +5 *4504:6 *23790:C 3.34802e-05 +6 *4504:8 *23790:C 2.57071e-05 +*RES +1 *23789:X *23790:C 30.4689 +*END + +*D_NET *4691 0.00598126 +*CONN +*I *23809:A2 I *D sky130_fd_sc_hd__o221ai_4 +*I *23790:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23809:A2 0 +2 *23790:X 0 +3 *4691:11 0.00103077 +4 *4691:5 0.00103077 +5 *4691:11 *23824:C 0 +6 *4691:11 *4723:8 0.0010575 +7 *4691:11 *4873:11 1.41976e-05 +8 *23659:A *4691:11 0.000194093 +9 *23707:A2 *4691:11 0.000122098 +10 *23771:A1 *4691:11 0 +11 *23771:B1 *4691:11 0 +12 *23771:C1 *4691:11 9.12192e-05 +13 *23809:A1 *4691:11 3.25906e-05 +14 *1809:103 *4691:11 1.86362e-05 +15 *4504:8 *4691:11 0 +16 *4584:6 *4691:11 0.000120052 +17 *4584:10 *4691:11 0.00226933 +*RES +1 *23790:X *4691:5 13.7491 +2 *4691:5 *4691:11 48.8834 +3 *4691:11 *23809:A2 9.24915 +*END + +*D_NET *4692 0.00151446 +*CONN +*I *23792:B I *D sky130_fd_sc_hd__or4_4 +*I *23791:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23792:B 0.000242708 +2 *23791:X 0.000242708 +3 *23792:B *6001:62 1.93614e-05 +4 *23695:A *23792:B 1.84664e-05 +5 *23791:A *23792:B 1.27966e-05 +6 *23792:A *23792:B 0.000483804 +7 *1515:78 *23792:B 7.86847e-05 +8 *1744:131 *23792:B 7.50722e-05 +9 *4392:12 *23792:B 9.34145e-05 +10 *4599:11 *23792:B 0.000247443 +*RES +1 *23791:X *23792:B 36.7033 +*END + +*D_NET *4693 0.0239205 +*CONN +*I *23797:A I *D sky130_fd_sc_hd__nor4_2 +*I *23833:D I *D sky130_fd_sc_hd__or4_1 +*I *23792:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23797:A 4.5661e-05 +2 *23833:D 0 +3 *23792:X 0 +4 *4693:35 0.00155502 +5 *4693:33 0.002053 +6 *4693:18 0.000562772 +7 *4693:15 0.00261353 +8 *4693:5 0.0025944 +9 *23797:A *23797:B 2.16355e-05 +10 *4693:15 *5671:100 0.000504353 +11 *4693:33 *4713:14 8.50356e-05 +12 *4693:35 *23819:B 1.5714e-05 +13 *4693:35 *5671:112 0 +14 *23159:A1 *4693:15 5.84166e-05 +15 *23163:A *4693:15 0.000571627 +16 *23166:A2 *4693:35 0.000235577 +17 *23284:B *4693:35 0.000202366 +18 *23392:A *4693:35 0.000661801 +19 *23484:A *4693:15 9.07931e-05 +20 *23489:D *4693:18 1.91391e-05 +21 *23542:C *4693:35 1.76936e-05 +22 *23585:B *4693:33 8.20942e-05 +23 *23591:A *4693:35 0.000221185 +24 *23832:A *4693:33 0.000176921 +25 *23832:A *4693:35 0.000765801 +26 *23832:C *4693:18 3.12828e-05 +27 *23832:C *4693:33 0.000371155 +28 *1427:83 *4693:15 0.000214531 +29 *1668:85 *4693:15 4.20548e-05 +30 *1699:32 *4693:15 0.000654572 +31 *1699:36 *4693:15 0.000405737 +32 *1808:78 *4693:15 1.79334e-05 +33 *2753:19 *4693:15 0.000595227 +34 *2963:93 *4693:15 5.83513e-05 +35 *3373:24 *4693:15 0.00366411 +36 *3791:81 *4693:35 0.000785823 +37 *3791:106 *4693:35 4.43396e-05 +38 *3791:108 *4693:33 0.000160911 +39 *3791:108 *4693:35 3.37621e-05 +40 *3999:124 *4693:35 1.5714e-05 +41 *4008:66 *4693:15 9.24241e-05 +42 *4070:36 *4693:15 2.68045e-05 +43 *4077:34 *4693:35 5.4384e-05 +44 *4077:36 *4693:35 6.27836e-05 +45 *4077:43 *4693:35 6.95981e-05 +46 *4081:11 *4693:35 0.000241685 +47 *4120:39 *23797:A 2.16355e-05 +48 *4190:15 *4693:35 1.55561e-05 +49 *4297:9 *4693:18 4.12977e-05 +50 *4297:9 *4693:33 0.000275845 +51 *4412:11 *4693:35 0.000362665 +52 *4416:14 *4693:35 0.000102359 +53 *4444:6 *4693:15 1.91246e-05 +54 *4494:18 *4693:35 0.000199723 +55 *4580:9 *4693:35 0.000712658 +56 *4602:27 *4693:15 0.00137194 +*RES +1 *23792:X *4693:5 13.7491 +2 *4693:5 *4693:15 49.233 +3 *4693:15 *4693:18 4.16265 +4 *4693:18 *23833:D 13.7491 +5 *4693:18 *4693:33 26.5095 +6 *4693:33 *4693:35 58.9292 +7 *4693:35 *23797:A 15.0513 +*END + +*D_NET *4694 0.00428888 +*CONN +*I *23794:B I *D sky130_fd_sc_hd__or4_4 +*I *23793:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23794:B 0 +2 *23793:X 0.00118946 +3 *4694:10 0.00118946 +4 *22981:A *4694:10 0.000113109 +5 *23230:A *4694:10 0.000341035 +6 *23243:A *4694:10 1.59782e-05 +7 *23244:C *4694:10 0 +8 *3861:76 *4694:10 5.47392e-05 +9 *3861:91 *4694:10 1.77894e-05 +10 *4024:74 *4694:10 0.000418393 +11 *4024:76 *4694:10 0.000135426 +12 *4106:180 *4694:10 0.000562454 +13 *4126:161 *4694:10 0 +14 *4126:184 *4694:10 0.000163428 +15 *4151:21 *4694:10 0 +16 *4152:10 *4694:10 8.76081e-05 +17 *4593:8 *4694:10 0 +18 *4662:8 *4694:10 0 +*RES +1 *23793:X *4694:10 49.7356 +2 *4694:10 *23794:B 9.24915 +*END + +*D_NET *4695 0.0264581 +*CONN +*I *23797:B I *D sky130_fd_sc_hd__nor4_2 +*I *23848:D I *D sky130_fd_sc_hd__or4_1 +*I *23794:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23797:B 0.000218845 +2 *23848:D 6.6842e-05 +3 *23794:X 0.00118458 +4 *4695:73 0.000275891 +5 *4695:72 0.00058066 +6 *4695:68 0.00108389 +7 *4695:56 0.00346805 +8 *4695:22 0.0041592 +9 *23848:D *23848:C 0.000290813 +10 *4695:22 *5852:117 1.16089e-05 +11 *4695:22 *5924:20 3.67363e-05 +12 *4695:56 *4741:18 0.000108986 +13 *4695:56 *5924:20 1.60156e-05 +14 *23102:A *4695:56 6.00063e-05 +15 *23387:A *4695:22 0.000202101 +16 *23513:A *4695:68 0.000200794 +17 *23515:A1 *4695:68 7.6719e-06 +18 *23517:B2 *4695:56 6.61582e-05 +19 *23591:A *23797:B 8.01837e-05 +20 *23739:A1 *4695:68 5.7022e-05 +21 *23739:C1 *4695:68 3.52699e-05 +22 *23761:D *4695:22 0.000384817 +23 *23797:A *23797:B 2.16355e-05 +24 *23817:A1 *4695:68 0.000362691 +25 *23817:A1 *4695:72 0.000174641 +26 *657:171 *4695:56 6.91425e-05 +27 *1755:67 *23848:D 0.000290813 +28 *1809:35 *4695:22 0.000206344 +29 *3002:69 *4695:22 0.000745317 +30 *3002:69 *4695:56 4.68088e-06 +31 *3791:81 *23797:B 8.16827e-05 +32 *3829:54 *4695:68 3.01683e-06 +33 *3829:54 *4695:72 0.00058824 +34 *3829:59 *4695:68 0.000835919 +35 *3829:59 *4695:72 4.69276e-05 +36 *3831:56 *4695:68 0.000131685 +37 *3833:56 *4695:56 0.000202101 +38 *3833:63 *4695:56 0.00190932 +39 *3848:34 *4695:56 0.000434869 +40 *3861:271 *4695:68 8.48815e-05 +41 *3862:58 *4695:56 0 +42 *3868:93 *4695:72 4.19833e-05 +43 *3872:73 *4695:56 6.06525e-06 +44 *3909:51 *4695:68 0.000197511 +45 *3996:75 *4695:68 4.0919e-05 +46 *4006:40 *4695:56 1.2856e-05 +47 *4010:12 *4695:68 3.29488e-05 +48 *4020:54 *4695:56 9.01349e-05 +49 *4020:59 *4695:56 0.000267336 +50 *4120:39 *23797:B 7.5208e-05 +51 *4120:39 *4695:72 0.000107496 +52 *4126:161 *4695:56 1.06728e-05 +53 *4137:47 *4695:56 0.000775853 +54 *4326:14 *4695:68 0.000420869 +55 *4470:16 *4695:56 2.6244e-05 +56 *4470:16 *4695:68 0.000730314 +57 *4524:27 *4695:56 4.30584e-05 +58 *4589:20 *4695:22 0.000159601 +59 *4589:20 *4695:56 0.000136205 +60 *4591:26 *4695:22 5.62825e-05 +61 *4592:14 *4695:22 7.14746e-05 +62 *4592:14 *4695:56 0.000138767 +63 *4593:8 *4695:22 1.91246e-05 +64 *4617:12 *4695:22 3.89332e-06 +65 *4618:33 *4695:22 8.20492e-06 +66 *4619:26 *4695:56 0.00331983 +67 *4662:16 *4695:22 0.000403342 +68 *4664:33 *4695:56 0.00047184 +*RES +1 *23794:X *4695:22 36.2457 +2 *4695:22 *23848:D 17.1792 +3 *4695:22 *4695:56 41.7195 +4 *4695:56 *4695:68 35.738 +5 *4695:68 *4695:72 23.8376 +6 *4695:72 *4695:73 81.1229 +7 *4695:73 *23797:B 32.0256 +*END + +*D_NET *4696 0.00361224 +*CONN +*I *23840:C I *D sky130_fd_sc_hd__nor3_4 +*I *23796:D I *D sky130_fd_sc_hd__or4_4 +*I *23795:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23840:C 0.000367187 +2 *23796:D 9.77405e-05 +3 *23795:X 0.000331291 +4 *4696:10 0.000796218 +5 *23840:C *23840:A 0.00013978 +6 *6108:DIODE *4696:10 1.91391e-05 +7 *6210:DIODE *4696:10 4.11147e-05 +8 *23492:C *4696:10 2.55536e-05 +9 *23497:D *23796:D 6.66393e-05 +10 *23497:D *4696:10 0.000329064 +11 *23703:A *4696:10 1.3023e-05 +12 *23795:B *4696:10 0 +13 *23796:C *23796:D 2.91559e-06 +14 *4106:24 *23796:D 0.000148129 +15 *4106:24 *4696:10 0.000209209 +16 *4146:21 *23840:C 0.000927616 +17 *4228:69 *23796:D 0 +18 *4403:7 *23796:D 3.01683e-06 +19 *4587:14 *23840:C 9.46074e-05 +*RES +1 *23795:X *4696:10 23.9595 +2 *4696:10 *23796:D 17.4003 +3 *4696:10 *23840:C 27.3008 +*END + +*D_NET *4697 0.0200135 +*CONN +*I *23797:C I *D sky130_fd_sc_hd__nor4_2 +*I *23796:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23797:C 0.000638039 +2 *23796:X 1.77893e-05 +3 *4697:22 0.0018866 +4 *4697:16 0.00211193 +5 *4697:8 0.00197692 +6 *4697:7 0.00113134 +7 *23797:C *5458:56 0.000108735 +8 *4697:8 *23838:D 9.60366e-05 +9 *23059:C *4697:16 0.000129458 +10 *23295:B *4697:8 0.000104731 +11 *23296:B1 *4697:16 0.000122068 +12 *23544:A *23797:C 0.000344322 +13 *23595:A *4697:22 0.000914446 +14 *23597:B1 *4697:16 1.71886e-05 +15 *23597:B1 *4697:22 5.05432e-05 +16 *23657:A *4697:22 0.000139435 +17 *23736:C *4697:22 0.00038814 +18 *23766:A *4697:8 0 +19 *23770:A3 *4697:8 1.62054e-05 +20 *23838:A *4697:7 0.000113968 +21 *1809:160 *4697:8 0.000376528 +22 *1809:179 *4697:8 0.000944885 +23 *3791:66 *23797:C 0 +24 *3849:66 *4697:16 0.000164829 +25 *3872:8 *4697:8 0.00034878 +26 *3872:10 *4697:8 0.000167125 +27 *3872:12 *4697:8 0.000903092 +28 *3872:12 *4697:16 9.0823e-05 +29 *3978:51 *4697:16 0.000283886 +30 *4020:24 *4697:8 0.000428229 +31 *4034:33 *4697:8 0.000326932 +32 *4119:8 *4697:16 0.000132292 +33 *4119:12 *4697:16 0.000362994 +34 *4126:45 *4697:8 7.50722e-05 +35 *4136:18 *23797:C 0.000809302 +36 *4301:50 *4697:8 0.000139376 +37 *4402:15 *4697:7 0.000113968 +38 *4462:10 *4697:22 0.00034165 +39 *4495:26 *4697:22 0.000300411 +40 *4587:31 *4697:8 1.66771e-05 +41 *4609:17 *4697:8 0 +42 *4638:22 *4697:22 0.000103954 +43 *4654:10 *4697:16 0.001137 +44 *4654:10 *4697:22 0.000511084 +45 *4654:16 *4697:22 0.00122853 +46 *4673:8 *4697:16 0.00039818 +47 *4673:17 *4697:16 0 +*RES +1 *23796:X *4697:7 15.0271 +2 *4697:7 *4697:8 46.264 +3 *4697:8 *4697:16 43.4788 +4 *4697:16 *4697:22 49.2074 +5 *4697:22 *23797:C 40.9504 +*END + +*D_NET *4698 0.00216554 +*CONN +*I *23809:B1 I *D sky130_fd_sc_hd__o221ai_4 +*I *23797:Y O *D sky130_fd_sc_hd__nor4_2 +*CAP +1 *23809:B1 0 +2 *23797:Y 0.000489697 +3 *4698:22 0.000489697 +4 *23678:B *4698:22 0.000160617 +5 *23749:A *4698:22 0.000155771 +6 *23749:B *4698:22 6.98337e-06 +7 *23771:B1 *4698:22 5.41377e-05 +8 *23809:A1 *4698:22 2.35405e-05 +9 *584:7 *4698:22 0.000111802 +10 *1829:83 *4698:22 5.41377e-05 +11 *4583:10 *4698:22 0.000360006 +12 *4636:23 *4698:22 0.000160617 +13 *4654:16 *4698:22 9.85369e-05 +*RES +1 *23797:Y *4698:22 41.1747 +2 *4698:22 *23809:B1 9.24915 +*END + +*D_NET *4699 0.00156933 +*CONN +*I *23840:A I *D sky130_fd_sc_hd__nor3_4 +*I *23799:D I *D sky130_fd_sc_hd__or4_4 +*I *23798:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23840:A 0.000277675 +2 *23799:D 0 +3 *23798:X 0.00017877 +4 *4699:8 0.000456445 +5 *23840:A *5452:70 0.000179303 +6 *4699:8 *5452:70 0.000122098 +7 *23705:C *23840:A 3.31733e-05 +8 *23705:C *4699:8 5.77352e-05 +9 *23706:D_N *4699:8 4.31703e-05 +10 *23798:C *4699:8 5.04829e-06 +11 *23840:C *23840:A 0.00013978 +12 *3974:39 *23840:A 3.94229e-05 +13 *3974:39 *4699:8 1.43055e-05 +14 *4138:152 *4699:8 1.75637e-06 +15 *4146:21 *23840:A 2.06478e-05 +*RES +1 *23798:X *4699:8 17.829 +2 *4699:8 *23799:D 13.7491 +3 *4699:8 *23840:A 22.4265 +*END + +*D_NET *4700 0.0294711 +*CONN +*I *23809:B2 I *D sky130_fd_sc_hd__o221ai_4 +*I *23799:X O *D sky130_fd_sc_hd__or4_4 +*CAP +1 *23809:B2 0.000112928 +2 *23799:X 0.00116972 +3 *4700:41 0.00162754 +4 *4700:32 0.00259918 +5 *4700:30 0.00187973 +6 *4700:16 0.00198211 +7 *4700:15 0.00211381 +8 *4700:11 0.00209658 +9 *23809:B2 *4723:8 9.77871e-05 +10 *4700:11 *24176:CLK 0.000205261 +11 *4700:11 *6011:8 7.96261e-06 +12 *4700:15 *4788:7 0.000158371 +13 *4700:16 *5815:18 0.000662644 +14 *4700:16 *5868:303 0 +15 *4700:16 *5868:333 6.03493e-05 +16 *4700:16 *5868:349 6.34651e-06 +17 *4700:30 *24425:RESET_B 9.64718e-05 +18 *4700:30 *5036:8 0.000101148 +19 *4700:30 *5037:8 0.000119182 +20 *4700:30 *5868:237 0.00024001 +21 *4700:30 *5868:280 0.000600784 +22 *4700:30 *5868:291 0.000191556 +23 *4700:30 *5868:303 2.01653e-05 +24 *4700:32 *24276:CLK 0.000348494 +25 *4700:32 *24278:SET_B 0.000256479 +26 *4700:32 *4932:66 0.000448357 +27 *4700:32 *4932:147 0.00012741 +28 *4700:32 *4932:156 0.000369428 +29 *4700:32 *4932:163 0.000735545 +30 *4700:32 *5858:26 0.000271502 +31 *4700:32 *5868:213 0.000123597 +32 *4700:32 *5868:222 0.000389731 +33 *4700:32 *5868:237 0.000118203 +34 *4700:41 *21496:A 9.21475e-05 +35 *4700:41 *4932:66 2.27795e-05 +36 *4700:41 *4932:71 2.16355e-05 +37 *21154:B2 *4700:16 9.17602e-05 +38 *23502:B *4700:11 0.000353686 +39 *23705:C *4700:11 6.50586e-05 +40 *23809:A1 *23809:B2 6.3657e-05 +41 *23926:A *4700:15 0.00027329 +42 *24279:D *4700:32 0.00018353 +43 *24280:D *4700:32 0.00011158 +44 *657:189 *4700:41 3.08618e-05 +45 *1582:43 *4700:32 2.07325e-05 +46 *1619:44 *4700:30 5.8969e-05 +47 *1619:51 *4700:30 6.76842e-05 +48 *1619:51 *4700:32 0.000139068 +49 *1809:142 *4700:30 0.000426766 +50 *1809:153 *4700:30 0.000806329 +51 *1809:160 *4700:16 0.0015194 +52 *1829:112 *4700:16 0.000616019 +53 *1947:45 *4700:41 0.00102176 +54 *2045:17 *4700:30 0.000439501 +55 *2167:33 *4700:32 0.000165481 +56 *2167:44 *4700:32 0.000598692 +57 *2233:26 *4700:16 9.14201e-05 +58 *2553:11 *4700:41 0.000261909 +59 *2624:27 *4700:41 0.00058327 +60 *2767:11 *4700:41 0.00092846 +61 *2767:14 *4700:32 6.75696e-05 +62 *4374:40 *4700:16 0.00080574 +63 *4374:40 *4700:30 5.08071e-06 +64 *4538:22 *4700:30 4.55476e-05 +65 *4538:22 *4700:32 4.86242e-05 +66 *4582:21 *23809:B2 0.000104733 +*RES +1 *23799:X *4700:11 31.2645 +2 *4700:11 *4700:15 24.0799 +3 *4700:15 *4700:16 53.1156 +4 *4700:16 *4700:30 36.1269 +5 *4700:30 *4700:32 48.5479 +6 *4700:32 *4700:41 49.0038 +7 *4700:41 *23809:B2 18.3808 +*END + +*D_NET *4701 0.00635973 +*CONN +*I *23801:C1 I *D sky130_fd_sc_hd__a2111o_2 +*I *23800:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23801:C1 0.00043618 +2 *23800:X 0.00105745 +3 *4701:18 0.00149363 +4 *4701:18 *5456:41 3.42244e-05 +5 *4701:18 *5920:19 1.97764e-05 +6 *23241:B2 *4701:18 2.7413e-07 +7 *23761:D *4701:18 7.28994e-06 +8 *23800:A *4701:18 1.65872e-05 +9 *23801:A1 *23801:C1 6.9205e-05 +10 *23801:B1 *23801:C1 0.000160617 +11 *23801:D1 *23801:C1 6.50586e-05 +12 *3829:97 *4701:18 0.000423276 +13 *4150:9 *4701:18 0.00106233 +14 *4474:7 *4701:18 0.000114594 +15 *4594:8 *4701:18 0.00097248 +16 *4618:33 *4701:18 0.000426751 +*RES +1 *23800:X *4701:18 49.5405 +2 *4701:18 *23801:C1 22.7916 +*END + +*D_NET *4702 0.021258 +*CONN +*I *23806:A I *D sky130_fd_sc_hd__or4_1 +*I *23857:A3 I *D sky130_fd_sc_hd__o311a_1 +*I *23801:X O *D sky130_fd_sc_hd__a2111o_2 +*CAP +1 *23806:A 0.000640068 +2 *23857:A3 0.000134969 +3 *23801:X 0.000120236 +4 *4702:28 0.00174468 +5 *4702:21 0.0019143 +6 *4702:16 0.00331126 +7 *4702:8 0.00248683 +8 *23806:A *23857:B1 0 +9 *23806:A *23857:C1 1.90335e-05 +10 *23806:A *4725:10 0.000216272 +11 *23806:A *4740:10 4.07151e-05 +12 *23806:A *4861:19 0.00172071 +13 *23857:A3 *23857:B1 6.50727e-05 +14 *23857:A3 *23857:C1 9.98091e-06 +15 *4702:16 *4803:10 0.000220062 +16 *4702:21 *5904:114 2.05741e-05 +17 *4702:28 *24421:RESET_B 0.000845067 +18 *4702:28 *4740:10 0.000287305 +19 *4702:28 *4861:19 0.000287147 +20 *4702:28 *5852:117 0.000831055 +21 *20723:B2 *4702:28 0.000111802 +22 *20724:B2 *4702:28 7.25176e-05 +23 *23231:A *4702:16 0.00120596 +24 *23388:A *4702:16 0.000110297 +25 *23646:B1 *4702:16 0.000453417 +26 *23801:B1 *4702:8 0.000239191 +27 *24090:A0 *23806:A 0 +28 *586:13 *23857:A3 0.000111708 +29 *1438:98 *4702:21 0.000357993 +30 *1485:170 *4702:28 2.24817e-05 +31 *1506:125 *4702:21 3.37106e-05 +32 *1763:59 *4702:28 8.27991e-05 +33 *2049:16 *4702:28 0.000122776 +34 *2049:18 *4702:28 0.00017088 +35 *2620:76 *23806:A 0.0001184 +36 *3368:47 *4702:16 0.000218426 +37 *3373:24 *23806:A 0.00103727 +38 *3671:43 *4702:28 0.000718085 +39 *3781:83 *4702:16 3.31745e-05 +40 *3781:97 *4702:16 0.000791881 +41 *3857:59 *23857:A3 6.15226e-05 +42 *4045:8 *4702:8 0.000242602 +43 *4294:7 *4702:16 2.5798e-05 +*RES +1 *23801:X *4702:8 22.9879 +2 *4702:8 *4702:16 46.483 +3 *4702:16 *4702:21 22.4793 +4 *4702:21 *4702:28 47.7196 +5 *4702:28 *23857:A3 17.8484 +6 *4702:28 *23806:A 44.9569 +*END + +*D_NET *4703 0.00187341 +*CONN +*I *23803:B I *D sky130_fd_sc_hd__or4_2 +*I *23802:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23803:B 0.000409597 +2 *23802:X 0.000409597 +3 *23803:B *4870:8 0.000236126 +4 *23155:B *23803:B 3.31745e-05 +5 *23580:B *23803:B 9.60216e-05 +6 *23802:A *23803:B 0.000118166 +7 *3886:27 *23803:B 0.000526927 +8 *4441:10 *23803:B 4.37999e-05 +*RES +1 *23802:X *23803:B 41.2683 +*END + +*D_NET *4704 0.0193911 +*CONN +*I *23806:B I *D sky130_fd_sc_hd__or4_1 +*I *23826:D I *D sky130_fd_sc_hd__or4_1 +*I *23803:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23806:B 0.000750454 +2 *23826:D 0 +3 *23803:X 0.00207389 +4 *4704:24 0.00182599 +5 *4704:17 0.00314943 +6 *23806:B *23806:C 4.47179e-05 +7 *23806:B *4725:10 2.29552e-05 +8 *23806:B *5082:101 0.000391697 +9 *4704:17 *4745:43 0.000363219 +10 *4704:17 *5852:117 0.0010642 +11 *4704:17 *6001:49 0.000118501 +12 *4704:17 *6001:54 7.12632e-06 +13 *4704:24 *23841:A1 1.3813e-05 +14 *4704:24 *4802:18 9.94364e-05 +15 *4704:24 *4803:10 0.000272162 +16 *23042:B *4704:17 3.07243e-05 +17 *23382:A *4704:17 6.23101e-05 +18 *23539:A2 *4704:17 2.06215e-05 +19 *23750:B *4704:24 5.56367e-05 +20 *23826:A *23806:B 6.08467e-05 +21 *1786:65 *4704:17 0 +22 *1808:78 *4704:17 4.76967e-05 +23 *2784:42 *4704:17 0.00134828 +24 *2785:63 *4704:17 0.000294923 +25 *3373:24 *4704:17 0.00101063 +26 *3874:109 *4704:17 1.05601e-05 +27 *3999:35 *4704:17 8.07154e-05 +28 *4070:36 *4704:17 0.00070116 +29 *4074:23 *4704:24 0.000201599 +30 *4106:157 *4704:24 0.000900631 +31 *4136:36 *4704:24 0 +32 *4136:54 *4704:24 3.43411e-06 +33 *4193:14 *23806:B 6.60511e-06 +34 *4495:48 *23806:B 9.48082e-05 +35 *4575:26 *4704:24 0.00220907 +36 *4601:35 *4704:24 8.28859e-06 +37 *4602:27 *4704:17 0.000109796 +38 *4636:17 *23806:B 0.000830024 +39 *4650:6 *4704:24 2.93146e-05 +40 *4650:9 *23806:B 2.16355e-05 +41 *4665:10 *4704:17 0.000108015 +42 *4684:47 *23806:B 0.000946185 +*RES +1 *23803:X *4704:17 30.119 +2 *4704:17 *4704:24 48.9686 +3 *4704:24 *23826:D 9.24915 +4 *4704:24 *23806:B 44.1021 +*END + +*D_NET *4705 0.00321015 +*CONN +*I *23830:C I *D sky130_fd_sc_hd__or4_1 +*I *23806:C I *D sky130_fd_sc_hd__or4_1 +*I *23804:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23830:C 0 +2 *23806:C 0.000267314 +3 *23804:X 0.000343604 +4 *4705:8 0.000610918 +5 *23806:C *23806:D 0.000129386 +6 *23806:C *4706:8 0.000179985 +7 *23806:B *23806:C 4.47179e-05 +8 *23808:A1 *23806:C 0.000124289 +9 *23808:A1 *4705:8 6.28168e-05 +10 *584:8 *23806:C 0.000535588 +11 *584:8 *4705:8 0.000489119 +12 *3829:54 *4705:8 6.51527e-05 +13 *4097:50 *4705:8 0.000348105 +14 *4583:14 *4705:8 9.15115e-06 +*RES +1 *23804:X *4705:8 24.8883 +2 *4705:8 *23806:C 25.3035 +3 *4705:8 *23830:C 13.7491 +*END + +*D_NET *4706 0.00130648 +*CONN +*I *23831:D I *D sky130_fd_sc_hd__or4_2 +*I *23806:D I *D sky130_fd_sc_hd__or4_1 +*I *23805:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23831:D 0 +2 *23806:D 0.00013557 +3 *23805:X 0.00018154 +4 *4706:8 0.000317111 +5 *23806:D *23831:B 1.63807e-05 +6 *4706:8 *23808:C1 0.000124145 +7 *4706:8 *23831:B 8.53106e-06 +8 *23806:C *23806:D 0.000129386 +9 *23806:C *4706:8 0.000179985 +10 *23808:A1 *4706:8 2.60537e-05 +11 *584:8 *23806:D 8.36429e-06 +12 *3373:24 *23806:D 0.000179415 +*RES +1 *23805:X *4706:8 19.0748 +2 *4706:8 *23806:D 18.9764 +3 *4706:8 *23831:D 13.7491 +*END + +*D_NET *4707 0.000812463 +*CONN +*I *23808:A2 I *D sky130_fd_sc_hd__o211ai_2 +*I *23806:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23808:A2 0.000141062 +2 *23806:X 0.000141062 +3 *23808:A2 *4730:6 7.60183e-05 +4 *23808:A2 *4861:19 2.8256e-05 +5 *23754:A2 *23808:A2 0.000136093 +6 *1482:32 *23808:A2 0.00028997 +*RES +1 *23806:X *23808:A2 33.0676 +*END + +*D_NET *4708 0.00106337 +*CONN +*I *23808:C1 I *D sky130_fd_sc_hd__o211ai_2 +*I *23807:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23808:C1 0.000314387 +2 *23807:Y 0.000314387 +3 *23808:C1 *23831:B 3.62787e-05 +4 *23754:A2 *23808:C1 0.000205659 +5 *23807:A *23808:C1 2.16355e-05 +6 *23808:A1 *23808:C1 3.12828e-05 +7 *23831:A *23808:C1 1.55915e-05 +8 *4706:8 *23808:C1 0.000124145 +*RES +1 *23807:Y *23808:C1 33.7966 +*END + +*D_NET *4709 0.00219517 +*CONN +*I *23809:C1 I *D sky130_fd_sc_hd__o221ai_4 +*I *23808:Y O *D sky130_fd_sc_hd__o211ai_2 +*CAP +1 *23809:C1 0.000371945 +2 *23808:Y 0.000371945 +3 *23809:C1 *23831:B 7.86847e-05 +4 *23809:C1 *4861:19 0.000139523 +5 *23808:A1 *23809:C1 4.03921e-05 +6 *23830:B *23809:C1 0.000216088 +7 *1829:83 *23809:C1 0.000432713 +8 *3829:54 *23809:C1 0.000102274 +9 *4583:14 *23809:C1 0.000418892 +10 *4657:17 *23809:C1 2.27135e-05 +*RES +1 *23808:Y *23809:C1 43.4489 +*END + +*D_NET *4710 0.000593791 +*CONN +*I *23813:B I *D sky130_fd_sc_hd__or4_1 +*I *23810:X O *D sky130_fd_sc_hd__or4b_1 +*CAP +1 *23813:B 0.000142945 +2 *23810:X 0.000142945 +3 *23810:B *23813:B 0.000154145 +4 *23810:C *23813:B 7.86847e-05 +5 *4490:8 *23813:B 7.50722e-05 +*RES +1 *23810:X *23813:B 30.7476 +*END + +*D_NET *4711 0.00525061 +*CONN +*I *23812:B I *D sky130_fd_sc_hd__or4_1 +*I *23811:Y O *D sky130_fd_sc_hd__o211ai_1 +*CAP +1 *23812:B 0.000111559 +2 *23811:Y 0.000733523 +3 *4711:6 0.000845082 +4 *4711:6 *4735:28 0.000566754 +5 *23023:C1 *4711:6 2.5053e-05 +6 *23024:D1 *4711:6 0.000264568 +7 *23615:A *4711:6 0.000285141 +8 *23618:B *23812:B 9.95922e-06 +9 *3899:29 *4711:6 0.000101594 +10 *3914:14 *4711:6 0.000612623 +11 *3922:96 *4711:6 1.5714e-05 +12 *3922:98 *4711:6 0.000648118 +13 *3922:100 *4711:6 6.51527e-05 +14 *3922:106 *4711:6 0.000467947 +15 *3929:15 *4711:6 9.92046e-06 +16 *4326:31 *4711:6 0.000280013 +17 *4519:27 *23812:B 0.000207892 +*RES +1 *23811:Y *4711:6 46.7251 +2 *4711:6 *23812:B 16.7151 +*END + +*D_NET *4712 0.00961582 +*CONN +*I *23813:D I *D sky130_fd_sc_hd__or4_1 +*I *23844:D I *D sky130_fd_sc_hd__or4_1 +*I *23812:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23813:D 0.001712 +2 *23844:D 0.000347942 +3 *23812:X 0.000655331 +4 *4712:18 0.00271528 +5 *23813:D *5453:29 0.0011467 +6 *23844:D *23848:C 0 +7 *4712:18 *4735:28 0 +8 *4712:18 *5921:26 0.000247443 +9 *23617:A *4712:18 6.27458e-05 +10 *23618:B *4712:18 0.000154145 +11 *23618:C *4712:18 0.000140607 +12 *23715:C *23844:D 0.000213544 +13 *23781:A *23813:D 6.08467e-05 +14 *23813:C *23813:D 0.000144254 +15 *23844:A *23844:D 0.000294093 +16 *3872:119 *4712:18 2.95884e-05 +17 *4001:87 *23813:D 0.000121956 +18 *4001:91 *23813:D 0.00074054 +19 *4024:70 *23844:D 3.15179e-05 +20 *4228:45 *23813:D 6.50586e-05 +21 *4326:52 *4712:18 0 +22 *4365:19 *23844:D 0 +23 *4524:27 *23844:D 0.000160373 +24 *4524:27 *4712:18 0.000182654 +25 *4589:20 *23844:D 9.90599e-06 +26 *4589:20 *4712:18 2.25697e-05 +27 *4591:26 *4712:18 0.000110844 +28 *4592:14 *4712:18 0.000110844 +29 *4618:33 *23844:D 7.13972e-05 +30 *4676:27 *23813:D 6.36477e-05 +*RES +1 *23812:X *4712:18 38.7354 +2 *4712:18 *23844:D 24.1999 +3 *4712:18 *23813:D 47.3871 +*END + +*D_NET *4713 0.0039664 +*CONN +*I *23814:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23813:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23814:A 3.87244e-05 +2 *23813:X 0.00116682 +3 *4713:14 0.00120555 +4 *23814:A *4740:10 1.82679e-05 +5 *4713:14 *4755:11 0.000136768 +6 *23443:A *4713:14 1.65872e-05 +7 *23443:B *4713:14 1.58551e-05 +8 *23781:A *23814:A 0.000111722 +9 *23781:A *4713:14 2.77625e-06 +10 *23813:A *4713:14 5.481e-05 +11 *23832:B *4713:14 0.00025456 +12 *2963:93 *4713:14 0.000136768 +13 *3791:108 *4713:14 8.86481e-05 +14 *4351:11 *4713:14 0.000484995 +15 *4492:8 *4713:14 0.000110297 +16 *4620:17 *4713:14 3.82228e-05 +17 *4693:33 *4713:14 8.50356e-05 +*RES +1 *23813:X *4713:14 45.8831 +2 *4713:14 *23814:A 15.0271 +*END + +*D_NET *4714 0.00171056 +*CONN +*I *23816:B I *D sky130_fd_sc_hd__or4_1 +*I *23815:X O *D sky130_fd_sc_hd__or3b_1 +*CAP +1 *23816:B 0.00035286 +2 *23815:X 0.00035286 +3 *23816:B *4715:9 0.000200794 +4 *23587:A *23816:B 3.58208e-05 +5 *23587:C *23816:B 6.08467e-05 +6 *23810:B *23816:B 0.000297853 +7 *23816:A *23816:B 4.17531e-06 +8 *4009:95 *23816:B 0.000297853 +9 *4684:40 *23816:B 0.000107496 +*RES +1 *23815:X *23816:B 38.8306 +*END + +*D_NET *4715 0.00315342 +*CONN +*I *23849:A I *D sky130_fd_sc_hd__inv_2 +*I *23819:A I *D sky130_fd_sc_hd__nor2_1 +*I *23816:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23849:A 0.000196328 +2 *23819:A 1.76235e-05 +3 *23816:X 0.000518641 +4 *4715:9 0.000732593 +5 *23819:A *23819:B 0 +6 *23819:A *23858:A1 0 +7 *23849:A *23842:A1 0.000447316 +8 *23849:A *23858:A1 3.8973e-05 +9 *23849:A *23858:A3 3.82228e-05 +10 *23849:A *4755:11 6.7671e-06 +11 *4715:9 *23819:B 0.000336825 +12 *4715:9 *23842:A1 3.81056e-05 +13 *23390:B *4715:9 4.23858e-05 +14 *23587:B *4715:9 0.000387391 +15 *23816:B *4715:9 0.000200794 +16 *3791:106 *4715:9 5.36804e-05 +17 *4297:9 *4715:9 7.54269e-06 +18 *4494:13 *4715:9 9.02336e-05 +*RES +1 *23816:X *4715:9 24.0305 +2 *4715:9 *23819:A 9.82786 +3 *4715:9 *23849:A 15.9767 +*END + +*D_NET *4716 0.000140293 +*CONN +*I *23818:A2 I *D sky130_fd_sc_hd__a2111o_2 +*I *23817:Y O *D sky130_fd_sc_hd__a21oi_1 +*CAP +1 *23818:A2 5.10893e-05 +2 *23817:Y 5.10893e-05 +3 *23817:B1 *23818:A2 2.16355e-05 +4 *23818:A1 *23818:A2 1.64789e-05 +*RES +1 *23817:Y *23818:A2 19.8004 +*END + +*D_NET *4717 0.015723 +*CONN +*I *23852:C I *D sky130_fd_sc_hd__or4_1 +*I *23819:B I *D sky130_fd_sc_hd__nor2_1 +*I *23818:X O *D sky130_fd_sc_hd__a2111o_2 +*CAP +1 *23852:C 0 +2 *23819:B 0.00141633 +3 *23818:X 0.000623962 +4 *4717:26 0.00150896 +5 *4717:25 0.00123 +6 *4717:8 0.00176134 +7 *23819:B *23858:A3 0.000234511 +8 *4717:25 *5082:99 5.18718e-05 +9 *23637:A2 *4717:25 3.74883e-05 +10 *23651:B1 *23819:B 0.00120491 +11 *23687:A2 *4717:25 7.92757e-06 +12 *23729:B *4717:25 2.58163e-05 +13 *23733:B *23819:B 4.87595e-05 +14 *23733:B *4717:25 0.000538733 +15 *23733:B *4717:26 9.2495e-05 +16 *23742:B *23819:B 4.40531e-05 +17 *23742:B *4717:26 0.000135644 +18 *23819:A *23819:B 0 +19 *23828:A1 *4717:25 0.000539459 +20 *23852:A *23819:B 1.15312e-05 +21 *3781:83 *23819:B 6.03237e-05 +22 *3791:106 *23819:B 1.5714e-05 +23 *3842:105 *23819:B 2.75226e-05 +24 *3868:151 *23819:B 6.91851e-05 +25 *3931:65 *4717:8 7.93919e-05 +26 *3931:74 *4717:8 0.000128871 +27 *4001:75 *23819:B 1.28462e-05 +28 *4026:98 *4717:25 0.00017379 +29 *4026:100 *4717:25 4.84944e-05 +30 *4026:104 *4717:25 3.31882e-05 +31 *4037:14 *4717:8 0.000829651 +32 *4042:20 *4717:8 0.00163833 +33 *4138:110 *4717:8 0.000325369 +34 *4233:11 *4717:25 0.000242198 +35 *4297:9 *23819:B 0.000156946 +36 *4338:17 *4717:8 0.000100693 +37 *4494:13 *23819:B 3.31745e-05 +38 *4496:31 *4717:25 0.000310079 +39 *4496:42 *4717:26 7.02358e-06 +40 *4540:19 *23819:B 6.91851e-05 +41 *4542:6 *4717:25 0.000145547 +42 *4549:10 *4717:25 0.00055272 +43 *4550:53 *23819:B 4.09474e-05 +44 *4570:13 *4717:25 0.000156233 +45 *4631:20 *4717:8 6.34651e-06 +46 *4649:24 *4717:25 0.000348651 +47 *4686:33 *23819:B 0.000244283 +48 *4693:35 *23819:B 1.5714e-05 +49 *4715:9 *23819:B 0.000336825 +*RES +1 *23818:X *4717:8 48.3183 +2 *4717:8 *4717:25 49.1981 +3 *4717:25 *4717:26 2.6625 +4 *4717:26 *23819:B 30.5717 +5 *4717:26 *23852:C 13.7491 +*END + +*D_NET *4718 0.00277191 +*CONN +*I *23842:A1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23819:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23842:A1 0.000972226 +2 *23819:Y 0.000972226 +3 *23842:A1 *23856:A 5.9009e-05 +4 *23842:A1 *23857:C1 6.50586e-05 +5 *23842:A1 *23858:A1 5.18899e-06 +6 *23842:A1 *24422:CLK 6.61904e-06 +7 *23842:A1 *4798:33 9.39891e-06 +8 *23842:A1 *4934:19 7.36639e-05 +9 *23849:A *23842:A1 0.000447316 +10 *1482:32 *23842:A1 0.000123103 +11 *4715:9 *23842:A1 3.81056e-05 +*RES +1 *23819:Y *23842:A1 45.3133 +*END + +*D_NET *4719 0.000755864 +*CONN +*I *23823:C I *D sky130_fd_sc_hd__or4_1 +*I *23820:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23823:C 0.000134851 +2 *23820:X 0.000134851 +3 *23820:B *23823:C 0.000154145 +4 *23823:B *23823:C 0.000294093 +5 *4563:23 *23823:C 3.79253e-05 +*RES +1 *23820:X *23823:C 23.128 +*END + +*D_NET *4720 0.0016694 +*CONN +*I *23822:C I *D sky130_fd_sc_hd__or4_1 +*I *23821:X O *D sky130_fd_sc_hd__or2_1 +*CAP +1 *23822:C 0.000324528 +2 *23821:X 0.000324528 +3 *23628:C1 *23822:C 1.03403e-05 +4 *4452:10 *23822:C 0.000683558 +5 *4537:12 *23822:C 5.92192e-05 +6 *4562:14 *23822:C 0.000267229 +*RES +1 *23821:X *23822:C 40.435 +*END + +*D_NET *4721 0.00135866 +*CONN +*I *23823:D I *D sky130_fd_sc_hd__or4_1 +*I *23822:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23823:D 0.000146482 +2 *23822:X 0.000146482 +3 *23737:B *23823:D 0.000532846 +4 *3829:26 *23823:D 0.000532846 +*RES +1 *23822:X *23823:D 36.8048 +*END + +*D_NET *4722 0.00147314 +*CONN +*I *23824:C I *D sky130_fd_sc_hd__or3_2 +*I *23823:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23824:C 0.000332385 +2 *23823:X 0.000332385 +3 *23737:A *23824:C 9.70894e-05 +4 *23771:A1 *23824:C 0.000346919 +5 *23771:B1 *23824:C 0.000170592 +6 *23824:A *23824:C 6.50586e-05 +7 *23824:B *23824:C 4.31703e-05 +8 *4535:16 *23824:C 8.55372e-05 +9 *4691:11 *23824:C 0 +*RES +1 *23823:X *23824:C 38.7768 +*END + +*D_NET *4723 0.0106751 +*CONN +*I *23850:A I *D sky130_fd_sc_hd__inv_2 +*I *23842:A2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23824:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23850:A 3.89552e-05 +2 *23842:A2 0.000168624 +3 *23824:X 0.000130697 +4 *4723:8 0.00191713 +5 *4723:7 0.00184025 +6 *23842:A2 *4798:33 8.74949e-05 +7 *23842:A2 *4934:19 8.74949e-05 +8 *23850:A *23858:A2 2.16355e-05 +9 *23850:A *4728:17 6.56531e-05 +10 *4723:8 *4798:33 0.000549152 +11 *4723:8 *4934:19 0.000943674 +12 *4723:8 *5801:92 0 +13 *20726:B2 *4723:8 0.00010062 +14 *23738:A *4723:8 5.92192e-05 +15 *23771:B1 *4723:8 0 +16 *23809:B2 *4723:8 9.77871e-05 +17 *23824:B *4723:7 0.000224381 +18 *23831:A *4723:8 2.15656e-05 +19 *24088:A0 *4723:8 0.000137982 +20 *24423:D *4723:8 0.000130777 +21 *657:181 *4723:8 0.00108096 +22 *1482:32 *4723:8 3.54949e-06 +23 *3857:59 *23842:A2 0.000154145 +24 *4009:115 *4723:8 0.000509927 +25 *4581:16 *4723:8 0.000601823 +26 *4582:21 *4723:8 0 +27 *4582:30 *4723:8 0.000551297 +28 *4583:10 *4723:8 4.58259e-05 +29 *4584:10 *4723:8 4.69661e-05 +30 *4691:11 *4723:8 0.0010575 +*RES +1 *23824:X *4723:7 16.1364 +2 *4723:7 *4723:8 71.5944 +3 *4723:8 *23842:A2 17.4137 +4 *4723:8 *23850:A 15.0513 +*END + +*D_NET *4724 0.000202496 +*CONN +*I *23826:B I *D sky130_fd_sc_hd__or4_1 +*I *23825:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23826:B 7.58906e-05 +2 *23825:X 7.58906e-05 +3 *4650:9 *23826:B 5.0715e-05 +*RES +1 *23825:X *23826:B 19.7763 +*END + +*D_NET *4725 0.00223515 +*CONN +*I *23855:A I *D sky130_fd_sc_hd__inv_2 +*I *23829:A I *D sky130_fd_sc_hd__nor2_1 +*I *23826:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23855:A 0 +2 *23829:A 6.06388e-05 +3 *23826:X 0.000647299 +4 *4725:10 0.000707938 +5 *23829:A *4727:25 4.99167e-06 +6 *23829:A *4728:17 0.00023527 +7 *4725:10 *5082:101 1.49006e-05 +8 *23806:A *4725:10 0.000216272 +9 *23806:B *4725:10 2.29552e-05 +10 *584:8 *4725:10 1.32509e-05 +11 *3373:24 *4725:10 5.74949e-05 +12 *4009:95 *23829:A 4.30635e-05 +13 *4009:103 *4725:10 0.000211069 +*RES +1 *23826:X *4725:10 33.2705 +2 *4725:10 *23829:A 12.2512 +3 *4725:10 *23855:A 9.24915 +*END + +*D_NET *4726 0.000565342 +*CONN +*I *23828:C1 I *D sky130_fd_sc_hd__o221ai_4 +*I *23827:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23828:C1 0.000148051 +2 *23827:Y 0.000148051 +3 *4415:146 *23828:C1 0.000115746 +4 *4424:9 *23828:C1 4.59975e-05 +5 *4646:14 *23828:C1 0.000107496 +*RES +1 *23827:Y *23828:C1 31.0235 +*END + +*D_NET *4727 0.00546552 +*CONN +*I *23829:B I *D sky130_fd_sc_hd__nor2_1 +*I *23857:A1 I *D sky130_fd_sc_hd__o311a_1 +*I *23828:Y O *D sky130_fd_sc_hd__o221ai_4 +*CAP +1 *23829:B 0 +2 *23857:A1 7.58089e-05 +3 *23828:Y 0.000431906 +4 *4727:25 0.000675297 +5 *4727:20 0.000664211 +6 *4727:19 0.000496629 +7 *23857:A1 *23857:A2 6.50586e-05 +8 *23047:B1_N *4727:19 6.51527e-05 +9 *23586:A1 *4727:19 0 +10 *23815:B *4727:25 9.34626e-05 +11 *23815:C_N *4727:19 2.03457e-05 +12 *23815:C_N *4727:25 6.17774e-05 +13 *23828:A2 *4727:19 1.47978e-05 +14 *23829:A *4727:25 4.99167e-06 +15 *24090:A0 *23857:A1 2.5386e-05 +16 *566:144 *23857:A1 0.000182869 +17 *584:8 *23857:A1 4.46284e-06 +18 *2963:93 *23857:A1 0.000114171 +19 *3868:151 *4727:19 0.000110306 +20 *3980:124 *4727:19 0.00027578 +21 *4009:95 *4727:25 0.00129949 +22 *4684:34 *4727:19 0.000190541 +23 *4684:40 *4727:25 0.000593074 +*RES +1 *23828:Y *4727:19 37.4993 +2 *4727:19 *4727:20 81.1229 +3 *4727:20 *4727:25 30.517 +4 *4727:25 *23857:A1 22.0503 +5 *4727:25 *23829:B 9.24915 +*END + +*D_NET *4728 0.00371981 +*CONN +*I *23842:B1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23829:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *23842:B1 0 +2 *23829:Y 0.00142622 +3 *4728:17 0.00142622 +4 *4728:17 *23857:B1 0.000224395 +5 *4728:17 *23857:C1 7.97304e-06 +6 *4728:17 *23858:A2 3.50779e-05 +7 *4728:17 *4799:6 8.98943e-05 +8 *4728:17 *5868:57 8.98943e-05 +9 *23829:A *4728:17 0.00023527 +10 *23850:A *4728:17 6.56531e-05 +11 *1438:152 *4728:17 2.61955e-05 +12 *2785:75 *4728:17 2.91506e-05 +13 *3857:59 *4728:17 5.26835e-05 +14 *4009:95 *4728:17 1.11884e-05 +*RES +1 *23829:Y *4728:17 45.0383 +2 *4728:17 *23842:B1 9.24915 +*END + +*D_NET *4729 0.0010028 +*CONN +*I *23831:B I *D sky130_fd_sc_hd__or4_2 +*I *23830:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23831:B 0.000197612 +2 *23830:X 0.000197612 +3 *23831:B *4861:19 0.000356602 +4 *23806:D *23831:B 1.63807e-05 +5 *23808:A1 *23831:B 7.15926e-05 +6 *23808:C1 *23831:B 3.62787e-05 +7 *23809:C1 *23831:B 7.86847e-05 +8 *3373:24 *23831:B 3.95077e-05 +9 *4706:8 *23831:B 8.53106e-06 +*RES +1 *23830:X *23831:B 34.3133 +*END + +*D_NET *4730 0.00518888 +*CONN +*I *23842:B2 I *D sky130_fd_sc_hd__o221ai_1 +*I *23856:A I *D sky130_fd_sc_hd__inv_2 +*I *23831:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23842:B2 0 +2 *23856:A 0.000219013 +3 *23831:X 0.00059558 +4 *4730:6 0.000814593 +5 *23856:A *23858:A2 0.000140749 +6 *23856:A *4861:19 0.000193255 +7 *4730:6 *4798:16 0.000869812 +8 *4730:6 *4861:19 0.00180406 +9 *23808:A2 *4730:6 7.60183e-05 +10 *23842:A1 *23856:A 5.9009e-05 +11 *1482:32 *23856:A 2.38642e-05 +12 *1482:32 *4730:6 0.000392931 +*RES +1 *23831:X *4730:6 45.8946 +2 *4730:6 *23856:A 20.0446 +3 *4730:6 *23842:B2 13.7491 +*END + +*D_NET *4731 0.000709223 +*CONN +*I *23833:B I *D sky130_fd_sc_hd__or4_1 +*I *23832:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23833:B 0.000106201 +2 *23832:X 0.000106201 +3 *4650:6 *23833:B 0.00024841 +4 *4665:37 *23833:B 0.00024841 +*RES +1 *23832:X *23833:B 32.2371 +*END + +*D_NET *4732 0.0020167 +*CONN +*I *23846:A I *D sky130_fd_sc_hd__inv_2 +*I *23841:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23833:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23846:A 0.000274633 +2 *23841:A1 0.000132243 +3 *23833:X 7.90012e-05 +4 *4732:5 0.000485877 +5 *23841:A1 *4802:18 9.0819e-05 +6 *24092:A0 *23846:A 0.000235492 +7 *24092:A0 *4732:5 0.0001163 +8 *24092:S *23846:A 6.08467e-05 +9 *4106:157 *23841:A1 0.000196753 +10 *4136:54 *23841:A1 0.000315517 +11 *4601:35 *4732:5 1.54054e-05 +12 *4704:24 *23841:A1 1.3813e-05 +*RES +1 *23833:X *4732:5 11.0817 +2 *4732:5 *23841:A1 24.2337 +3 *4732:5 *23846:A 15.5186 +*END + +*D_NET *4733 0.000684421 +*CONN +*I *23835:A2 I *D sky130_fd_sc_hd__o211ai_1 +*I *23834:X O *D sky130_fd_sc_hd__o22a_1 +*CAP +1 *23835:A2 0.00013571 +2 *23834:X 0.00013571 +3 *23835:A2 *23836:B 4.17531e-06 +4 *23834:A2 *23835:A2 4.57412e-05 +5 *23835:A1 *23835:A2 0.000205101 +6 *23835:B1 *23835:A2 0.000107496 +7 *4336:20 *23835:A2 5.04879e-05 +*RES +1 *23834:X *23835:A2 32.1327 +*END + +*D_NET *4734 0.00233886 +*CONN +*I *23836:B I *D sky130_fd_sc_hd__or3_2 +*I *23835:Y O *D sky130_fd_sc_hd__o211ai_1 +*CAP +1 *23836:B 0.000768643 +2 *23835:Y 0.000768643 +3 *23836:B *4735:11 2.18732e-05 +4 *23836:B *5458:55 2.09446e-05 +5 *23834:B2 *23836:B 8.45459e-06 +6 *23835:A1 *23836:B 2.95031e-05 +7 *23835:A2 *23836:B 4.17531e-06 +8 *3911:14 *23836:B 0.00032394 +9 *4241:46 *23836:B 4.76072e-05 +10 *4589:8 *23836:B 0.000318829 +11 *4589:19 *23836:B 1.91246e-05 +12 *4591:15 *23836:B 7.12632e-06 +*RES +1 *23835:Y *23836:B 47.0003 +*END + +*D_NET *4735 0.0243521 +*CONN +*I *23841:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *23848:C I *D sky130_fd_sc_hd__or4_1 +*I *23836:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *23841:A2 0.00107505 +2 *23848:C 0.000716321 +3 *23836:X 0.000984542 +4 *4735:58 0.00306009 +5 *4735:28 0.00367748 +6 *4735:12 0.00185969 +7 *4735:11 0.00186811 +8 *23841:A2 *5458:13 0.000108407 +9 *23841:A2 *6001:38 0.000128673 +10 *23848:C *5918:14 8.5176e-06 +11 *4735:11 *5458:55 0 +12 *4735:12 *5458:37 0.000130501 +13 *23024:C1 *4735:12 0.000253758 +14 *23045:A *4735:58 6.08467e-05 +15 *23045:B *23841:A2 5.13937e-05 +16 *23046:B *23841:A2 2.89907e-05 +17 *23329:A1 *4735:28 4.97617e-05 +18 *23341:A *4735:28 6.36477e-05 +19 *23430:B1 *4735:12 0.00011711 +20 *23615:A *4735:28 0.00013689 +21 *23635:B *4735:58 3.72251e-05 +22 *23661:A *4735:58 6.50727e-05 +23 *23661:B *4735:58 6.08467e-05 +24 *23661:C *4735:58 0.000102153 +25 *23715:A *4735:58 0.000107496 +26 *23715:B *4735:58 7.45085e-05 +27 *23715:C *4735:58 0.000107496 +28 *23781:A *23841:A2 8.19252e-05 +29 *23836:B *4735:11 2.18732e-05 +30 *23844:D *23848:C 0 +31 *23848:D *23848:C 0.000290813 +32 *1755:67 *23848:C 0.000133804 +33 *2838:57 *23848:C 0.000640606 +34 *3002:69 *23841:A2 0.000113792 +35 *3861:18 *4735:12 0.000782458 +36 *3861:38 *4735:12 1.21985e-05 +37 *3917:38 *4735:28 0 +38 *3917:42 *4735:28 0.000274078 +39 *3922:106 *4735:28 0.000356531 +40 *3923:17 *4735:28 0.000156946 +41 *3928:18 *4735:11 6.01588e-05 +42 *3934:21 *4735:12 6.56617e-05 +43 *4006:40 *4735:12 0.000275703 +44 *4024:70 *23848:C 0.000209559 +45 *4237:29 *4735:12 0.000693155 +46 *4241:46 *4735:11 1.10565e-05 +47 *4246:8 *4735:12 0.00297027 +48 *4326:52 *4735:28 0.000382931 +49 *4365:19 *23848:C 4.81532e-05 +50 *4365:19 *4735:28 0.000176866 +51 *4415:7 *4735:58 0.000247443 +52 *4524:27 *23848:C 0.000256414 +53 *4589:19 *4735:11 6.01588e-05 +54 *4614:26 *4735:28 0 +55 *4618:33 *4735:58 6.08467e-05 +56 *4620:17 *23841:A2 0.000121846 +57 *4620:26 *23841:A2 8.70609e-05 +58 *4650:6 *23841:A2 3.77568e-05 +59 *4665:37 *23841:A2 4.40272e-05 +60 *4678:7 *4735:58 0.000176715 +61 *4711:6 *4735:28 0.000566754 +62 *4712:18 *4735:28 0 +*RES +1 *23836:X *4735:11 23.4285 +2 *4735:11 *4735:12 51.4546 +3 *4735:12 *4735:28 47.4136 +4 *4735:28 *23848:C 33.7229 +5 *4735:28 *4735:58 46.0953 +6 *4735:58 *23841:A2 36.8161 +*END + +*D_NET *4736 0.00055739 +*CONN +*I *23838:D I *D sky130_fd_sc_hd__or4_1 +*I *23837:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23838:D 0.000173112 +2 *23837:X 0.000173112 +3 *1809:179 *23838:D 9.24241e-05 +4 *4668:9 *23838:D 2.27055e-05 +5 *4697:8 *23838:D 9.60366e-05 +*RES +1 *23837:X *23838:D 31.1629 +*END + +*D_NET *4737 0.000796023 +*CONN +*I *23839:C I *D sky130_fd_sc_hd__or3_1 +*I *23838:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23839:C 0.000193335 +2 *23838:X 0.000193335 +3 *23799:B *23839:C 1.41976e-05 +4 *23838:C *23839:C 7.34948e-06 +5 *4364:20 *23839:C 0.000304983 +6 *4402:15 *23839:C 8.28236e-05 +*RES +1 *23838:X *23839:C 33.791 +*END + +*D_NET *4738 0.000243831 +*CONN +*I *23840:B I *D sky130_fd_sc_hd__nor3_4 +*I *23839:X O *D sky130_fd_sc_hd__or3_1 +*CAP +1 *23840:B 7.4633e-05 +2 *23839:X 7.4633e-05 +3 *23705:B *23840:B 4.5539e-05 +4 *23839:B *23840:B 4.90264e-05 +*RES +1 *23839:X *23840:B 29.7455 +*END + +*D_NET *4739 0.0588004 +*CONN +*I *23841:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *23859:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23840:Y O *D sky130_fd_sc_hd__nor3_4 +*CAP +1 *23841:B1 0 +2 *23859:A2 0.000295848 +3 *23840:Y 0 +4 *4739:52 0.000332941 +5 *4739:51 0.00501214 +6 *4739:36 0.00593083 +7 *4739:30 0.00180391 +8 *4739:22 0.00182758 +9 *4739:18 0.00196618 +10 *4739:5 0.00542656 +11 *4739:4 0.00443983 +12 *23859:A2 *4745:43 7.34948e-06 +13 *4739:5 *21153:B1 0.000160617 +14 *4739:5 *24188:SET_B 0.000110084 +15 *4739:5 *4838:37 0.000417544 +16 *4739:5 *4839:64 0.000513008 +17 *4739:5 *4884:114 6.23875e-05 +18 *4739:5 *5181:10 1.47567e-06 +19 *4739:18 *20480:A1 0.000101133 +20 *4739:18 *21821:A 0.000263114 +21 *4739:18 *4839:64 0.00100383 +22 *4739:18 *4839:69 5.86258e-05 +23 *4739:18 *4842:28 4.3116e-06 +24 *4739:22 *24401:CLK 0.000186445 +25 *4739:22 *4889:28 0.000136902 +26 *4739:22 *4889:112 0.000651726 +27 *4739:22 *4889:121 0.000201734 +28 *4739:22 *5855:10 0.000243648 +29 *4739:22 *5855:12 2.01186e-05 +30 *4739:30 *4889:51 0.00038465 +31 *4739:30 *4889:53 0.000556128 +32 *4739:30 *4889:55 0.000392799 +33 *4739:36 *22026:A 7.56859e-06 +34 *4739:36 *24453:CLK 2.04806e-05 +35 *4739:36 *5858:8 0.000322335 +36 *4739:51 *20158:A1 0.00328496 +37 *4739:51 *4800:14 3.31435e-06 +38 *4739:51 *5458:13 6.88661e-06 +39 *4739:51 *5671:136 0.00194747 +40 *4739:51 *5815:64 0.00134735 +41 *4739:51 *5856:88 3.74181e-06 +42 *4739:51 *6001:26 7.20648e-06 +43 *20473:A *4739:18 0.000104731 +44 *20491:B *4739:30 0.00041513 +45 *21144:A *4739:36 0.000210107 +46 *21144:B *4739:36 0.000260388 +47 *23499:A *4739:5 5.0715e-05 +48 *24091:A1 *23859:A2 7.21868e-05 +49 *24092:A1 *23859:A2 6.51628e-05 +50 *24578:D *4739:22 5.69514e-05 +51 *24590:D *4739:18 7.50872e-05 +52 *1418:205 *4739:36 0.000429442 +53 *1482:29 *4739:51 8.57455e-06 +54 *1569:9 *4739:36 0 +55 *1569:23 *4739:51 0.000722668 +56 *1594:43 *4739:51 7.56315e-05 +57 *1658:73 *4739:51 0.000147945 +58 *1724:18 *4739:22 0.00105876 +59 *1724:18 *4739:30 0.000561846 +60 *1724:61 *4739:51 4.0336e-05 +61 *1829:117 *4739:5 0.00317494 +62 *1856:8 *4739:18 0.00141871 +63 *1856:8 *4739:22 0 +64 *1856:8 *4739:30 0.000213548 +65 *1884:201 *4739:22 0.000410472 +66 *1947:70 *4739:51 0.000186601 +67 *1970:23 *4739:18 0.000200749 +68 *1970:36 *4739:18 0.000116971 +69 *1976:18 *4739:30 0.000155083 +70 *2167:6 *4739:51 0.000302563 +71 *2468:8 *4739:36 0.000452017 +72 *2655:19 *4739:51 7.09115e-05 +73 *2685:8 *4739:18 0 +74 *2685:8 *4739:22 6.88243e-05 +75 *2685:25 *4739:30 0.000439177 +76 *2807:8 *4739:51 0.00121247 +77 *3002:69 *4739:51 6.44644e-05 +78 *3035:13 *4739:36 0.00128742 +79 *3035:40 *4739:36 0.000619141 +80 *3040:10 *4739:36 0.000680291 +81 *3373:15 *4739:51 7.37637e-05 +82 *4074:15 *23859:A2 0.000262435 +83 *4074:23 *23859:A2 0.000252454 +84 *4074:23 *4739:52 5.395e-05 +85 *4136:54 *23859:A2 0.000195588 +86 *4146:21 *4739:5 1.61631e-05 +87 *4146:25 *4739:5 0.000178167 +88 *4189:6 *23859:A2 6.9663e-05 +89 *4189:6 *4739:52 2.09053e-05 +90 *4547:35 *4739:51 1.89753e-05 +91 *4602:27 *4739:51 0 +92 *4657:17 *4739:51 2.25236e-05 +93 *4664:33 *4739:51 0.00274099 +*RES +1 *23840:Y *4739:4 9.24915 +2 *4739:4 *4739:5 72.2673 +3 *4739:5 *4739:18 47.6984 +4 *4739:18 *4739:22 38.8383 +5 *4739:22 *4739:30 41.9093 +6 *4739:30 *4739:36 49.4232 +7 *4739:36 *4739:51 48.9634 +8 *4739:51 *4739:52 1.20912 +9 *4739:52 *23859:A2 24.773 +10 *4739:52 *23841:B1 13.7491 +*END + +*D_NET *4740 0.00328724 +*CONN +*I *23842:C1 I *D sky130_fd_sc_hd__o221ai_1 +*I *23841:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *23842:C1 0 +2 *23841:Y 0.000914423 +3 *4740:10 0.000914423 +4 *4740:10 *23857:C1 0.000119505 +5 *4740:10 *4751:27 0.000690099 +6 *4740:10 *4861:19 0.000245956 +7 *4740:10 *4934:22 2.4362e-05 +8 *23781:A *4740:10 3.2184e-05 +9 *23806:A *4740:10 4.07151e-05 +10 *23814:A *4740:10 1.82679e-05 +11 *4702:28 *4740:10 0.000287305 +*RES +1 *23841:Y *4740:10 41.5784 +2 *4740:10 *23842:C1 9.24915 +*END + +*D_NET *4741 0.0210758 +*CONN +*I *23844:B I *D sky130_fd_sc_hd__or4_1 +*I *23843:Y O *D sky130_fd_sc_hd__o2111ai_4 +*CAP +1 *23844:B 0 +2 *23843:Y 0.00363813 +3 *4741:18 0.00363813 +4 *4741:18 *5923:20 0.000549906 +5 *4741:18 *5929:28 0.00248326 +6 *4741:18 *5929:38 1.22026e-05 +7 *23028:A *4741:18 0.000328502 +8 *23360:A *4741:18 0.000324698 +9 *23844:C *4741:18 4.19683e-05 +10 *657:171 *4741:18 1.33241e-05 +11 *1587:63 *4741:18 0.000120279 +12 *1783:48 *4741:18 0.000919575 +13 *2963:96 *4741:18 0.00121303 +14 *3829:97 *4741:18 3.73224e-05 +15 *4338:17 *4741:18 0.0076465 +16 *4695:56 *4741:18 0.000108986 +*RES +1 *23843:Y *4741:18 46.2019 +2 *4741:18 *23844:B 13.7491 +*END + +*D_NET *4742 0.00742363 +*CONN +*I *23845:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23844:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23845:A 0.000340593 +2 *23844:X 0.00245068 +3 *4742:8 0.00279128 +4 *23845:A *4745:43 0.000351506 +5 *4742:8 *5671:106 0.000103002 +6 *22970:A *4742:8 6.08467e-05 +7 *22970:B *4742:8 0.000107496 +8 *23507:A *4742:8 6.38842e-05 +9 *23507:B *4742:8 0.000137149 +10 *23647:A1 *4742:8 2.57309e-06 +11 *23649:A2 *4742:8 0.000203595 +12 *24092:A1 *23845:A 0.000107496 +13 *2660:68 *4742:8 1.88014e-05 +14 *2838:64 *23845:A 6.74651e-05 +15 *4018:23 *4742:8 2.29454e-05 +16 *4396:23 *4742:8 0.000106529 +17 *4539:37 *4742:8 0.000487787 +*RES +1 *23844:X *4742:8 49.996 +2 *4742:8 *23845:A 22.237 +*END + +*D_NET *4743 0.00196816 +*CONN +*I *23859:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23846:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23859:A1 0.000693502 +2 *23846:Y 0.000693502 +3 *23859:A1 *4755:11 6.36477e-05 +4 *24092:A1 *23859:A1 2.57986e-05 +5 *1482:34 *23859:A1 0.000143079 +6 *1763:59 *23859:A1 0.000143079 +7 *3368:27 *23859:A1 0.000200033 +8 *3671:43 *23859:A1 5.51483e-06 +*RES +1 *23846:Y *23859:A1 43.2543 +*END + +*D_NET *4744 0.0160685 +*CONN +*I *23848:B I *D sky130_fd_sc_hd__or4_1 +*I *23847:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *23848:B 0 +2 *23847:X 0.00346364 +3 *4744:20 0.00346364 +4 *4744:20 *5919:40 4.59195e-06 +5 *4744:20 *5923:17 7.40684e-06 +6 *23257:A *4744:20 0.000297357 +7 *23257:B *4744:20 3.89332e-06 +8 *23478:A *4744:20 2.33397e-05 +9 *23528:B *4744:20 0.00011462 +10 *1427:57 *4744:20 3.82228e-05 +11 *1783:48 *4744:20 0 +12 *2502:36 *4744:20 0.000182662 +13 *2660:68 *4744:20 0.00020476 +14 *2784:42 *4744:20 0 +15 *2963:93 *4744:20 0.000367275 +16 *3829:97 *4744:20 0.000147259 +17 *3833:106 *4744:20 3.46309e-05 +18 *3861:96 *4744:20 0.000232423 +19 *3862:58 *4744:20 0.00436747 +20 *3891:133 *4744:20 2.28363e-05 +21 *3895:27 *4744:20 0.000569302 +22 *3980:195 *4744:20 1.2687e-05 +23 *4001:126 *4744:20 0 +24 *4019:32 *4744:20 0.000115863 +25 *4126:161 *4744:20 0.0017482 +26 *4138:78 *4744:20 1.61821e-05 +27 *4539:37 *4744:20 7.50872e-05 +28 *4618:33 *4744:20 2.64856e-05 +29 *4664:33 *4744:20 0.000528661 +*RES +1 *23847:X *4744:20 47.8851 +2 *4744:20 *23848:B 13.7491 +*END + +*D_NET *4745 0.0113486 +*CONN +*I *23859:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23848:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23859:A3 0 +2 *23848:X 0.0030556 +3 *4745:43 0.0030556 +4 *4745:43 *5852:117 6.42311e-06 +5 *4745:43 *5919:40 0.00149044 +6 *23489:D *4745:43 0.000108103 +7 *23649:A3 *4745:43 0.000341513 +8 *23652:A *4745:43 0.000141258 +9 *23666:C1 *4745:43 0.000216116 +10 *23845:A *4745:43 0.000351506 +11 *23859:A2 *4745:43 7.34948e-06 +12 *24092:A1 *4745:43 6.50246e-05 +13 *657:171 *4745:43 5.76799e-05 +14 *1755:67 *4745:43 0.000445425 +15 *1808:78 *4745:43 0.000114369 +16 *2660:68 *4745:43 9.5793e-06 +17 *2838:64 *4745:43 3.82228e-05 +18 *3872:164 *4745:43 2.6224e-05 +19 *3974:107 *4745:43 5.63702e-06 +20 *4126:161 *4745:43 0.000559131 +21 *4297:9 *4745:43 0.000104505 +22 *4415:29 *4745:43 4.79165e-05 +23 *4540:53 *4745:43 0.000162932 +24 *4540:57 *4745:43 0.000455531 +25 *4550:53 *4745:43 5.0182e-05 +26 *4550:58 *4745:43 8.49693e-07 +27 *4601:35 *4745:43 3.82228e-05 +28 *4646:18 *4745:43 1.49935e-05 +29 *4664:33 *4745:43 1.50142e-05 +30 *4704:17 *4745:43 0.000363219 +*RES +1 *23848:X *4745:43 47.2888 +2 *4745:43 *23859:A3 9.24915 +*END + +*D_NET *4746 0.000336709 +*CONN +*I *23858:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *23849:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23858:A1 0.000139175 +2 *23849:Y 0.000139175 +3 *23858:A1 *4755:11 1.41976e-05 +4 *23819:A *23858:A1 0 +5 *23842:A1 *23858:A1 5.18899e-06 +6 *23849:A *23858:A1 3.8973e-05 +*RES +1 *23849:Y *23858:A1 21.4642 +*END + +*D_NET *4747 0.00223516 +*CONN +*I *23858:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23850:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23858:A2 0.000492054 +2 *23850:Y 0.000492054 +3 *23858:A2 *4751:27 0.000491006 +4 *23858:A2 *4934:19 0.000130812 +5 *23858:A2 *5457:13 5.1835e-05 +6 *23850:A *23858:A2 2.16355e-05 +7 *23856:A *23858:A2 0.000140749 +8 *566:144 *23858:A2 1.91246e-05 +9 *1482:32 *23858:A2 0.000137324 +10 *3857:59 *23858:A2 0.000200794 +11 *4189:6 *23858:A2 2.26985e-05 +12 *4728:17 *23858:A2 3.50779e-05 +*RES +1 *23850:Y *23858:A2 40.9161 +*END + +*D_NET *4748 0.00918778 +*CONN +*I *23852:B I *D sky130_fd_sc_hd__or4_1 +*I *23851:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23852:B 0.0010212 +2 *23851:X 0.000961664 +3 *4748:19 0.00198286 +4 *4748:19 *5456:41 2.02035e-05 +5 *4748:19 *5920:19 2.68045e-05 +6 *4748:19 *5924:20 0.000781987 +7 *23113:A *4748:19 0.000121374 +8 *23576:D *4748:19 0.000116102 +9 *23582:B1 *4748:19 0.000441788 +10 *23646:A1 *23852:B 0.000606856 +11 *23647:A1 *23852:B 0.000265937 +12 *23648:D *23852:B 0.000149909 +13 *23648:D *4748:19 0.000108986 +14 *23652:A *23852:B 1.9101e-05 +15 *23652:A *4748:19 5.76913e-05 +16 *23661:B *23852:B 1.91391e-05 +17 *23731:C *4748:19 2.65831e-05 +18 *23731:D *4748:19 7.06787e-05 +19 *657:171 *4748:19 4.5014e-06 +20 *2963:93 *4748:19 0.00011195 +21 *3974:107 *23852:B 0.001 +22 *4137:28 *4748:19 0.000759963 +23 *4415:146 *23852:B 5.07097e-05 +24 *4424:9 *23852:B 5.15155e-05 +25 *4426:10 *4748:19 6.66e-05 +26 *4545:22 *23852:B 1.5254e-05 +27 *4567:16 *4748:19 0 +28 *4570:13 *23852:B 2.01297e-05 +29 *4684:5 *23852:B 0.000294093 +30 *4684:34 *23852:B 1.41976e-05 +*RES +1 *23851:X *4748:19 38.5548 +2 *4748:19 *23852:B 44.6888 +*END + +*D_NET *4749 0.0054627 +*CONN +*I *23858:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *23852:X O *D sky130_fd_sc_hd__or4_1 +*CAP +1 *23858:A3 0.00176519 +2 *23852:X 0.00176519 +3 *23858:A3 *4751:27 7.40684e-06 +4 *23858:A3 *4755:11 3.82228e-05 +5 *23858:A3 *5457:13 4.16078e-05 +6 *23858:A3 *5458:13 0 +7 *22965:A *23858:A3 4.51619e-05 +8 *23651:A2 *23858:A3 9.94284e-06 +9 *23651:A3 *23858:A3 0.000108735 +10 *23651:B1 *23858:A3 2.57847e-05 +11 *23816:A *23858:A3 5.5525e-06 +12 *23816:C *23858:A3 0.000156955 +13 *23819:B *23858:A3 0.000234511 +14 *23849:A *23858:A3 3.82228e-05 +15 *3781:83 *23858:A3 5.60804e-05 +16 *3842:99 *23858:A3 3.10336e-05 +17 *3872:104 *23858:A3 8.78565e-06 +18 *4074:23 *23858:A3 7.00991e-05 +19 *4106:157 *23858:A3 1.37658e-05 +20 *4495:48 *23858:A3 0.000172334 +21 *4550:53 *23858:A3 0.000132077 +22 *4636:17 *23858:A3 5.49916e-05 +23 *4664:33 *23858:A3 0.000135645 +24 *4684:34 *23858:A3 0.000301115 +25 *4686:33 *23858:A3 0.000244283 +*RES +1 *23852:X *23858:A3 47.985 +*END + +*D_NET *4750 0.00245654 +*CONN +*I *23854:B1 I *D sky130_fd_sc_hd__o211ai_4 +*I *23853:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *23854:B1 0.000595605 +2 *23853:X 0.000595605 +3 *4058:28 *23854:B1 0.00031301 +4 *4515:21 *23854:B1 0.000314631 +5 *4648:12 *23854:B1 0.000637687 +*RES +1 *23853:X *23854:B1 40.8614 +*END + +*D_NET *4751 0.0170657 +*CONN +*I *23857:A2 I *D sky130_fd_sc_hd__o311a_1 +*I *23854:Y O *D sky130_fd_sc_hd__o211ai_4 +*CAP +1 *23857:A2 0.000160164 +2 *23854:Y 0.000169822 +3 *4751:27 0.00160409 +4 *4751:19 0.00196916 +5 *4751:13 0.00140731 +6 *4751:10 0.00105191 +7 *23857:A2 *23858:B1 2.16355e-05 +8 *4751:10 *5680:30 0.000353561 +9 *4751:13 *5671:105 0.000500062 +10 *4751:19 *24531:CLK 3.99086e-06 +11 *4751:19 *5456:31 0.000107496 +12 *4751:27 *4861:19 8.49249e-05 +13 *4751:27 *4934:22 0.00197691 +14 *4751:27 *5457:13 4.16709e-05 +15 *22980:B *4751:13 1.65872e-05 +16 *23668:A *4751:10 0.000161452 +17 *23670:A *4751:10 0.000133894 +18 *23670:C *4751:10 8.62625e-06 +19 *23857:A1 *23857:A2 6.50586e-05 +20 *23858:A2 *4751:27 0.000491006 +21 *23858:A3 *4751:27 7.40684e-06 +22 *24531:D *4751:19 2.65831e-05 +23 *566:144 *23857:A2 0.000102079 +24 *1438:98 *4751:27 0.000555732 +25 *1483:26 *4751:19 0.000236397 +26 *1485:142 *4751:19 5.00217e-05 +27 *1485:155 *4751:19 0.0012077 +28 *1485:155 *4751:27 0.00032139 +29 *1485:170 *4751:27 0.000234763 +30 *1521:61 *4751:13 0.00040884 +31 *1587:91 *4751:13 0.000200794 +32 *1587:106 *4751:13 0.000257523 +33 *2603:69 *4751:19 0.000838547 +34 *3671:43 *4751:27 0.000480019 +35 *3857:59 *23857:A2 5.04829e-06 +36 *3872:185 *4751:13 0.000581085 +37 *3888:11 *4751:10 1.98097e-05 +38 *4008:43 *4751:13 0.000302686 +39 *4106:157 *4751:27 8.20799e-06 +40 *4189:6 *23857:A2 0.00010562 +41 *4514:47 *4751:10 9.60216e-05 +42 *4740:10 *4751:27 0.000690099 +*RES +1 *23854:Y *4751:10 25.3779 +2 *4751:10 *4751:13 29.626 +3 *4751:13 *4751:19 34.9489 +4 *4751:19 *4751:27 47.058 +5 *4751:27 *23857:A2 21.5448 +*END + +*D_NET *4752 0.000878288 +*CONN +*I *23857:B1 I *D sky130_fd_sc_hd__o311a_1 +*I *23855:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23857:B1 0.000223135 +2 *23855:Y 0.000223135 +3 *23857:B1 *23857:C1 1.82832e-05 +4 *23806:A *23857:B1 0 +5 *23857:A3 *23857:B1 6.50727e-05 +6 *24090:A0 *23857:B1 0.000124267 +7 *4728:17 *23857:B1 0.000224395 +*RES +1 *23855:Y *23857:B1 32.8561 +*END + +*D_NET *4753 0.000747782 +*CONN +*I *23857:C1 I *D sky130_fd_sc_hd__o311a_1 +*I *23856:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23857:C1 0.000216745 +2 *23856:Y 0.000216745 +3 *23857:C1 *4934:22 6.01329e-05 +4 *23806:A *23857:C1 1.90335e-05 +5 *23842:A1 *23857:C1 6.50586e-05 +6 *23857:A3 *23857:C1 9.98091e-06 +7 *23857:B1 *23857:C1 1.82832e-05 +8 *24090:A0 *23857:C1 2.0456e-06 +9 *586:13 *23857:C1 1.22788e-05 +10 *4728:17 *23857:C1 7.97304e-06 +11 *4740:10 *23857:C1 0.000119505 +*RES +1 *23856:Y *23857:C1 32.7576 +*END + +*D_NET *4754 0.000466811 +*CONN +*I *23858:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23857:X O *D sky130_fd_sc_hd__o311a_1 +*CAP +1 *23858:B1 0.000112115 +2 *23857:X 0.000112115 +3 *23857:A2 *23858:B1 2.16355e-05 +4 *566:144 *23858:B1 0.000110473 +5 *2963:93 *23858:B1 0.000110473 +*RES +1 *23857:X *23858:B1 30.4689 +*END + +*D_NET *4755 0.00351377 +*CONN +*I *23859:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *23858:X O *D sky130_fd_sc_hd__a31o_1 +*CAP +1 *23859:B1 0 +2 *23858:X 0.000746086 +3 *4755:11 0.000746086 +4 *23849:A *4755:11 6.7671e-06 +5 *23858:A1 *4755:11 1.41976e-05 +6 *23858:A3 *4755:11 3.82228e-05 +7 *23859:A1 *4755:11 6.36477e-05 +8 *24090:A1 *4755:11 7.21868e-05 +9 *24092:A1 *4755:11 7.50872e-05 +10 *24092:S *4755:11 0.000190846 +11 *566:144 *4755:11 0.000838972 +12 *2963:93 *4755:11 0.000291385 +13 *3671:43 *4755:11 5.04829e-06 +14 *4106:162 *4755:11 0.000240158 +15 *4189:6 *4755:11 4.83126e-05 +16 *4713:14 *4755:11 0.000136768 +*RES +1 *23858:X *4755:11 43.9544 +2 *4755:11 *23859:B1 9.24915 +*END + +*D_NET *4756 0.000673754 +*CONN +*I *23861:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23860:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23861:A 0.000184192 +2 *23860:X 0.000184192 +3 *23861:A *4912:29 0.000154424 +4 *74:12 *23861:A 0.000150947 +*RES +1 *23860:X *23861:A 33.1026 +*END + +*D_NET *4757 0.000630203 +*CONN +*I *23863:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23862:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23863:A 0.000124765 +2 *23862:X 0.000124765 +3 *23863:A *23862:A 1.65872e-05 +4 *23863:A *5527:7 6.31828e-05 +5 *23863:A *5874:46 0.000152123 +6 *2446:68 *23863:A 0.000148779 +*RES +1 *23862:X *23863:A 31.4388 +*END + +*D_NET *4758 0.000895969 +*CONN +*I *23865:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23864:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23865:A 0.000324696 +2 *23864:X 0.000324696 +3 *23865:A *23864:A 2.16355e-05 +4 *23865:A *25247:A 3.95681e-05 +5 *23865:A *4821:125 1.0758e-05 +6 *2257:85 *23865:A 0.000114483 +7 *2291:61 *23865:A 6.01329e-05 +*RES +1 *23864:X *23865:A 33.242 +*END + +*D_NET *4759 0.000804295 +*CONN +*I *23867:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23866:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23867:A 0.000143434 +2 *23866:X 0.000143434 +3 *23867:A *23866:A 0.000107496 +4 *23867:A *5475:112 0.000203254 +5 *23867:A *5898:201 0.000206679 +*RES +1 *23866:X *23867:A 32.6845 +*END + +*D_NET *4760 0.00086638 +*CONN +*I *23869:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23868:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23869:A 0.000109023 +2 *23868:X 0.000109023 +3 *23869:A *19562:S 1.65159e-05 +4 *23869:A *25212:A 0.000261844 +5 *2320:56 *23869:A 3.04443e-05 +6 *2433:106 *23869:A 0.000339531 +*RES +1 *23868:X *23869:A 33.4828 +*END + +*D_NET *4761 0.00141566 +*CONN +*I *23871:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23870:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23871:A 0.000172038 +2 *23870:X 0.000172038 +3 *23871:A *5529:11 0.000143175 +4 *1608:98 *23871:A 0.000146519 +5 *2391:89 *23871:A 0.000343543 +6 *2421:91 *23871:A 0.000438346 +*RES +1 *23870:X *23871:A 35.321 +*END + +*D_NET *4762 0.0013069 +*CONN +*I *23873:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23872:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23873:A 0.000262614 +2 *23872:X 0.000262614 +3 *23873:A *25214:A 0.000114523 +4 *23873:A *5898:220 0.000130777 +5 *2266:33 *23873:A 0.000253916 +6 *2467:49 *23873:A 3.88655e-06 +7 *2692:12 *23873:A 0.000113107 +8 *2729:54 *23873:A 0.000165459 +*RES +1 *23872:X *23873:A 34.52 +*END + +*D_NET *4763 0.00076753 +*CONN +*I *23875:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23874:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23875:A 0.000330017 +2 *23874:X 0.000330017 +3 *23875:A *23874:A 0.000107496 +*RES +1 *23874:X *23875:A 25.3706 +*END + +*D_NET *4764 0.000475359 +*CONN +*I *23877:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23876:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23877:A 0.000155262 +2 *23876:X 0.000155262 +3 *23877:A *23876:A 0.000107496 +4 *2320:35 *23877:A 5.73392e-05 +*RES +1 *23876:X *23877:A 22.5975 +*END + +*D_NET *4765 0.000430018 +*CONN +*I *23879:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23878:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23879:A 0.000188499 +2 *23878:X 0.000188499 +3 *23879:A *23878:A 3.88212e-05 +4 *23879:A *5495:11 1.41976e-05 +*RES +1 *23878:X *23879:A 22.5975 +*END + +*D_NET *4766 0.000494958 +*CONN +*I *23881:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23880:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23881:A 0.000163553 +2 *23880:X 0.000163553 +3 *23881:A *24110:TE_B 0.000107496 +4 *23881:A *4818:116 6.0356e-05 +*RES +1 *23880:X *23881:A 22.5975 +*END + +*D_NET *4767 0.000457695 +*CONN +*I *23883:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23882:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23883:A 0.000155169 +2 *23882:X 0.000155169 +3 *23883:A *23882:A 1.53125e-05 +4 *23883:A *25219:A 2.99929e-05 +5 *23883:A *5884:11 6.80719e-05 +6 *2372:30 *23883:A 9.71323e-06 +7 *2372:32 *23883:A 2.42661e-05 +*RES +1 *23882:X *23883:A 31.4388 +*END + +*D_NET *4768 0.000475359 +*CONN +*I *23885:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23884:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23885:A 0.000155262 +2 *23884:X 0.000155262 +3 *23885:A *23884:A 0.000107496 +4 *23885:A *4829:179 5.73392e-05 +*RES +1 *23884:X *23885:A 22.5975 +*END + +*D_NET *4769 0.000758459 +*CONN +*I *23887:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23886:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23887:A 0.000294958 +2 *23886:X 0.000294958 +3 *23887:A *23886:A 1.61631e-05 +4 *23887:A *24113:A 0 +5 *23887:A *25253:A 5.22654e-06 +6 *23887:A *5906:10 5.52842e-05 +7 *23887:A *5906:14 4.41414e-05 +8 *25146:A *23887:A 4.77281e-05 +*RES +1 *23886:X *23887:A 34.7608 +*END + +*D_NET *4770 0.000747405 +*CONN +*I *23889:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23888:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23889:A 0.000192743 +2 *23888:X 0.000192743 +3 *23889:A *25223:A 4.66876e-05 +4 *23889:A *5715:10 7.50722e-05 +5 *23889:A *5918:51 0.000123188 +6 mgmt_gpio_out[20] *23889:A 0.000116971 +*RES +1 *23888:X *23889:A 33.7966 +*END + +*D_NET *4771 0.000591334 +*CONN +*I *23891:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23890:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23891:A 8.11634e-05 +2 *23890:X 8.11634e-05 +3 *23891:A *23890:A 4.80635e-06 +4 *23891:A *23892:A 6.65788e-05 +5 *23891:A *23893:A 4.82966e-05 +6 *23891:A *4824:33 0.000236856 +7 *23891:A *4824:55 6.23759e-05 +8 *131:11 *23891:A 1.00937e-05 +*RES +1 *23890:X *23891:A 22.5975 +*END + +*D_NET *4772 0.000778262 +*CONN +*I *23893:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23892:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23893:A 0.000125287 +2 *23892:X 0.000125287 +3 *23893:A *23890:A 9.84923e-05 +4 *23893:A *23892:A 6.78549e-05 +5 *23893:A *4824:55 9.90203e-05 +6 mgmt_gpio_out[22] *23893:A 1.41976e-05 +7 *23891:A *23893:A 4.82966e-05 +8 *131:11 *23893:A 0.000199826 +*RES +1 *23892:X *23893:A 24.816 +*END + +*D_NET *4773 0.000196074 +*CONN +*I *23895:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23894:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23895:A 4.46213e-05 +2 *23894:X 4.46213e-05 +3 *23895:A *23890:A 0.000106831 +*RES +1 *23894:X *23895:A 20.2103 +*END + +*D_NET *4774 0.00183021 +*CONN +*I *23897:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23896:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23897:A 0.000553076 +2 *23896:X 0.000553076 +3 *23897:A *4841:302 1.77537e-06 +4 *23897:A *4841:322 6.55199e-05 +5 *23897:A *5888:8 0 +6 *23897:A *5918:56 0.000111722 +7 mgmt_gpio_out[24] *23897:A 8.01687e-05 +8 *2006:77 *23897:A 0.0002817 +9 *2006:83 *23897:A 0.00018317 +*RES +1 *23896:X *23897:A 39.921 +*END + +*D_NET *4775 0.00165107 +*CONN +*I *23899:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23898:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23899:A 0.00046779 +2 *23898:X 0.00046779 +3 *23899:A *24713:CLK 0.000197086 +4 *23899:A *25228:A 0.000139764 +5 *23899:A *5716:8 2.22198e-05 +6 *23899:A *5918:56 0.000324151 +7 *2813:8 *23899:A 3.22726e-05 +*RES +1 *23898:X *23899:A 38.8174 +*END + +*D_NET *4776 0.000474556 +*CONN +*I *23901:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23900:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23901:A 0.000101078 +2 *23900:X 0.000101078 +3 *23901:A *21797:A 5.22654e-06 +4 *23901:A *5715:18 0.00011818 +5 *23901:A *5716:11 0.00011818 +6 *23901:A *5891:14 0 +7 mgmt_gpio_out[25] *23901:A 3.08133e-05 +*RES +1 *23900:X *23901:A 31.0235 +*END + +*D_NET *4777 0.000550882 +*CONN +*I *23903:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23902:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23903:A 0.00021705 +2 *23902:X 0.00021705 +3 *23903:A *20291:A1 0 +4 *23903:A *25230:A 7.0957e-05 +5 *23903:A *5511:8 0 +6 *1911:51 *23903:A 4.58259e-05 +7 *2687:10 *23903:A 0 +*RES +1 *23902:X *23903:A 33.4828 +*END + +*D_NET *4778 0.000175249 +*CONN +*I *23905:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23904:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23905:A 5.29894e-05 +2 *23904:X 5.29894e-05 +3 *23905:A *5918:78 6.92705e-05 +*RES +1 *23904:X *23905:A 20.2103 +*END + +*D_NET *4779 0.00121363 +*CONN +*I *23907:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23906:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23907:A 0.00012185 +2 *23906:X 0.00012185 +3 *23907:A *5715:18 0.000423922 +4 *23907:A *5716:11 0.000423922 +5 *23907:A *5896:9 0 +6 mgmt_gpio_out[28] *23907:A 0.000122083 +*RES +1 *23906:X *23907:A 34.3512 +*END + +*D_NET *4780 0.000960889 +*CONN +*I *23909:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23908:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23909:A 0.000412086 +2 *23908:X 0.000412086 +3 *23909:A *21249:A 0 +4 *23909:A *21310:A 8.62625e-06 +5 *23909:A *23947:A1 0 +6 *23909:A *4899:59 0.000128091 +7 *23909:A *5935:21 0 +8 mgmt_gpio_out[29] *23909:A 0 +*RES +1 *23908:X *23909:A 36.0094 +*END + +*D_NET *4781 0.00183509 +*CONN +*I *23911:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23910:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23911:A 0.000493452 +2 *23910:X 0.000493452 +3 *23911:A *23947:A0 0.000148144 +4 *23911:A *4899:59 8.15039e-05 +5 *23911:A *5727:10 0.000148144 +6 *23911:A *5728:10 0.000470393 +*RES +1 *23910:X *23911:A 37.5394 +*END + +*D_NET *4782 0.000788655 +*CONN +*I *23913:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23912:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23913:A 0.000269262 +2 *23912:X 0.000269262 +3 *23913:A *23912:A 6.08467e-05 +4 *23913:A *23915:A 0 +5 *23913:A *25236:A 6.92705e-05 +6 *23913:A *4835:17 2.08409e-05 +7 *23913:A *5728:13 9.91731e-05 +*RES +1 *23912:X *23913:A 25.9252 +*END + +*D_NET *4783 0.000695373 +*CONN +*I *23915:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23914:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23915:A 0.000180241 +2 *23914:X 0.000180241 +3 *23915:A *23914:A 0.000111722 +4 *23915:A *24128:A 5.07314e-05 +5 *23915:A *25237:A 0.000122378 +6 *23915:A *5728:13 5.00593e-05 +7 *23913:A *23915:A 0 +*RES +1 *23914:X *23915:A 24.2613 +*END + +*D_NET *4784 0.000535519 +*CONN +*I *23917:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23916:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23917:A 0.00023029 +2 *23916:X 0.00023029 +3 *23917:A *23916:A 5.56461e-05 +4 *23917:A *25238:A 1.92926e-05 +*RES +1 *23916:X *23917:A 24.2613 +*END + +*D_NET *4785 0.000422681 +*CONN +*I *23919:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23918:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23919:A 7.80426e-05 +2 *23918:X 7.80426e-05 +3 *23919:A *5455:24 0.000120681 +4 *23344:B *23919:A 0.000124279 +5 *1690:80 *23919:A 2.16355e-05 +*RES +1 *23918:X *23919:A 30.4689 +*END + +*D_NET *4786 0.000294127 +*CONN +*I *23921:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23920:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23921:A 6.79546e-05 +2 *23920:X 6.79546e-05 +3 *23921:A *5904:130 0.000158217 +*RES +1 *23920:X *23921:A 20.3309 +*END + +*D_NET *4787 0.000866963 +*CONN +*I *23923:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23922:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23923:A 0.000209822 +2 *23922:X 0.000209822 +3 *23923:A *23922:A 5.2538e-05 +4 *23923:A *25207:A 0.000214194 +5 *23923:A *5456:61 0.000154145 +6 *23476:B *23923:A 2.64419e-05 +*RES +1 *23922:X *23923:A 24.2372 +*END + +*D_NET *4788 0.00478277 +*CONN +*I *23927:B I *D sky130_fd_sc_hd__nor2_1 +*I *23930:B I *D sky130_fd_sc_hd__nor2_1 +*I *23929:B I *D sky130_fd_sc_hd__nor2_1 +*I *23928:B I *D sky130_fd_sc_hd__nor2_1 +*I *23926:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23927:B 1.83713e-05 +2 *23930:B 9.01674e-05 +3 *23929:B 0.000110935 +4 *23928:B 6.13219e-05 +5 *23926:Y 0.000111168 +6 *4788:27 0.000417842 +7 *4788:8 0.000313063 +8 *4788:7 0.000561277 +9 *23928:B *22118:A 5.19081e-05 +10 *23928:B *4837:8 0.000179271 +11 *23928:B *6001:211 8.62625e-06 +12 *23930:B *5458:108 0.000166477 +13 *4788:8 *4837:8 0.00030982 +14 *4788:8 *6001:211 0.000301194 +15 *4788:27 *4837:8 0.000802587 +16 *4788:27 *5458:108 1.34424e-05 +17 *4788:27 *6001:211 0.000792462 +18 *23927:A *23930:B 5.77469e-05 +19 *2197:14 *4788:27 5.04829e-06 +20 *4665:82 *23929:B 0.000251669 +21 *4700:15 *4788:7 0.000158371 +*RES +1 *23926:Y *4788:7 15.5817 +2 *4788:7 *4788:8 5.98452 +3 *4788:8 *23928:B 16.8269 +4 *4788:8 *23929:B 16.691 +5 *4788:7 *4788:27 20.0676 +6 *4788:27 *23930:B 13.9512 +7 *4788:27 *23927:B 9.97254 +*END + +*D_NET *4789 0.0015143 +*CONN +*I *20195:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24078:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20195:A0 0.000603888 +2 *24078:X 0.000603888 +3 *20195:A0 *24085:A1 0 +4 *20195:A0 *4796:9 0 +5 *20195:A0 *5906:50 0.000228593 +6 *566:62 *20195:A0 0 +7 *568:31 *20195:A0 4.69495e-06 +8 *574:17 *20195:A0 7.32344e-05 +*RES +1 *24078:X *20195:A0 41.2683 +*END + +*D_NET *4790 0.0021967 +*CONN +*I *20193:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24079:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20193:A0 0.000891834 +2 *24079:X 0.000891834 +3 *20193:A0 *20191:A0 5.9708e-05 +4 *20193:A0 *20193:A1 1.31657e-05 +5 *20193:A0 *21047:B 0 +6 *20193:A0 *21048:A 0.000123176 +7 *20193:A0 *5907:63 3.93679e-06 +8 *20188:A *20193:A0 0.000122083 +9 *20191:S *20193:A0 0 +10 *20193:S *20193:A0 2.32834e-05 +11 *21052:C *20193:A0 2.81957e-05 +12 *1872:60 *20193:A0 3.948e-05 +13 *2194:12 *20193:A0 0 +*RES +1 *24079:X *20193:A0 46.3004 +*END + +*D_NET *4791 0.00254229 +*CONN +*I *20191:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24080:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20191:A0 0.000968969 +2 *24080:X 0.000968969 +3 *20191:A0 *21047:B 0 +4 *20191:A0 *21048:B 8.36326e-05 +5 *20191:A0 *21048:C_N 5.39463e-05 +6 *20191:A0 *5635:23 0.000154539 +7 *20191:A0 *5934:9 0.000145905 +8 *20191:A0 *6004:9 6.92705e-05 +9 *20193:A0 *20191:A0 5.9708e-05 +10 *24780:D *20191:A0 3.73472e-05 +*RES +1 *24080:X *20191:A0 48.5723 +*END + +*D_NET *4792 0.00298663 +*CONN +*I *20189:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24081:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20189:A0 0 +2 *24081:X 0.00116422 +3 *4792:11 0.00116422 +4 *4792:11 *20185:A0 0 +5 *4792:11 *20185:A1 0.000182915 +6 *4792:11 *23961:A1 0.000170577 +7 *4792:11 *24780:RESET_B 0 +8 *4792:11 *5671:340 0 +9 *4792:11 *5932:13 0.000181711 +10 *20186:A *4792:11 0 +11 *20189:S *4792:11 9.63981e-05 +12 *24079:S *4792:11 2.65831e-05 +13 *566:20 *4792:11 0 +*RES +1 *24081:X *4792:11 48.263 +2 *4792:11 *20189:A0 9.24915 +*END + +*D_NET *4793 0.00294455 +*CONN +*I *20187:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24082:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20187:A0 0.000714404 +2 *24082:X 0.000714404 +3 *20187:A0 *21048:A 0 +4 *20187:A0 *24081:A1 0.000277488 +5 *20187:A0 *24780:RESET_B 0 +6 *20187:A0 *6006:10 7.50872e-05 +7 *20187:S *20187:A0 5.20546e-06 +8 *24079:A0 *20187:A0 0.000865882 +9 *568:31 *20187:A0 1.67988e-05 +10 *570:15 *20187:A0 0.000274061 +11 *1872:60 *20187:A0 1.21461e-06 +*RES +1 *24082:X *20187:A0 49.2341 +*END + +*D_NET *4794 0.00118972 +*CONN +*I *20185:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24083:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20185:A0 0.000368861 +2 *24083:X 0.000368861 +3 *20185:A0 *20185:A1 2.16355e-05 +4 *566:15 *20185:A0 0 +5 *1872:43 *20185:A0 0.000261325 +6 *1872:47 *20185:A0 0.000169041 +7 *4792:11 *20185:A0 0 +*RES +1 *24083:X *20185:A0 38.0884 +*END + +*D_NET *4795 0.00271949 +*CONN +*I *20183:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24084:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20183:A0 0.000648422 +2 *24084:X 0.000648422 +3 *20183:A0 *24082:A1 7.50722e-05 +4 *20183:A0 *24083:A1 7.95938e-05 +5 *20183:A0 *4796:9 0 +6 *24080:A0 *20183:A0 0.000111722 +7 *24082:A0 *20183:A0 1.45551e-05 +8 *24084:S *20183:A0 0 +9 *566:15 *20183:A0 0.000727423 +10 *566:20 *20183:A0 0 +11 *566:62 *20183:A0 0 +12 *1872:20 *20183:A0 6.28168e-05 +13 *1872:31 *20183:A0 0.000141001 +14 *1872:43 *20183:A0 0.00021046 +*RES +1 *24084:X *20183:A0 48.0489 +*END + +*D_NET *4796 0.0029343 +*CONN +*I *20181:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24085:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *20181:A0 0 +2 *24085:X 0.00109792 +3 *4796:9 0.00109792 +4 *4796:9 *20183:A1 0 +5 *4796:9 *23962:A0 2.54335e-05 +6 *4796:9 *24781:RESET_B 0.000103493 +7 *4796:9 *4841:22 0.00011818 +8 *4796:9 *4844:13 6.60196e-05 +9 *4796:9 *5671:310 0.000324378 +10 *4796:9 *5671:319 2.99929e-05 +11 *4796:9 *6001:223 1.79807e-05 +12 *4796:9 *6001:229 0 +13 *20183:A0 *4796:9 0 +14 *20195:A0 *4796:9 0 +15 *20196:A *4796:9 0 +16 *1872:43 *4796:9 5.29763e-05 +*RES +1 *24085:X *4796:9 47.52 +2 *4796:9 *20181:A0 9.24915 +*END + +*D_NET *4797 0.0394019 +*CONN +*I *20161:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24086:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *20161:A1 0.000197978 +2 *24086:X 0.000759695 +3 *4797:45 0.00125193 +4 *4797:38 0.00162197 +5 *4797:30 0.0015692 +6 *4797:29 0.00110408 +7 *4797:24 0.00159463 +8 *4797:22 0.00236571 +9 *4797:18 0.00213605 +10 *4797:6 0.00202177 +11 *20161:A1 *4855:14 0.000173305 +12 *4797:6 *4798:8 0.00164286 +13 *4797:6 *4838:92 0.00017218 +14 *4797:6 *6021:14 0.000262604 +15 *4797:18 *5458:65 0.000599715 +16 *4797:18 *5671:140 0.000140801 +17 *4797:22 *19866:A 7.81379e-05 +18 *4797:22 *20726:A1 0.000166426 +19 *4797:22 *24381:RESET_B 0.000708081 +20 *4797:22 *5671:140 5.43977e-05 +21 *4797:22 *5868:77 0.000298437 +22 *4797:22 *5868:86 0.000137956 +23 *4797:22 *5868:100 0.000507375 +24 *4797:22 *5868:103 0.00014257 +25 *4797:24 *21510:A 0.000143047 +26 *4797:24 *5335:11 9.49244e-05 +27 *4797:24 *5336:8 0.000174175 +28 *4797:24 *5854:45 0.000886487 +29 *4797:24 *5856:134 0 +30 *4797:24 *5856:136 0 +31 *4797:24 *5858:80 2.02249e-05 +32 *4797:24 *5868:77 2.72058e-05 +33 *4797:29 *5456:31 5.23916e-05 +34 *4797:38 *20572:A1 0.000275401 +35 *4797:45 *5858:571 0.000627109 +36 *20526:B2 *4797:24 0.000421996 +37 *20573:A2 *20161:A1 3.88655e-06 +38 *20790:A *4797:18 0.000219627 +39 *20790:A *4797:22 1.41341e-05 +40 *20792:A2 *4797:22 0.000345318 +41 *20793:B2 *4797:18 0.000531264 +42 *1448:222 *4797:45 1.3813e-05 +43 *1448:232 *4797:38 0.000116821 +44 *1448:232 *4797:45 8.60849e-05 +45 *1482:48 *20161:A1 6.25887e-05 +46 *1483:14 *4797:18 0.00015178 +47 *1483:14 *4797:22 9.22316e-06 +48 *1732:70 *4797:30 5.09329e-05 +49 *1732:74 *4797:45 0.00141851 +50 *1740:110 *4797:30 0.00105607 +51 *1784:55 *4797:30 0.00138703 +52 *1784:58 *4797:24 0.00220752 +53 *1784:71 *4797:24 0 +54 *1947:89 *4797:22 0.000114279 +55 *1947:89 *4797:24 0.00033589 +56 *1947:102 *4797:24 0.000360687 +57 *1986:8 *4797:24 0.000131906 +58 *1986:10 *4797:24 0.000527252 +59 *2079:21 *4797:22 0.000304983 +60 *2079:23 *4797:22 0.0004041 +61 *2080:36 *4797:18 7.65861e-05 +62 *2660:18 *4797:6 0.000908665 +63 *2750:10 *4797:29 0.00011818 +64 *2789:12 *20161:A1 0.000276651 +65 *2852:8 *4797:30 1.54643e-05 +66 *2852:19 *4797:30 0.000880565 +67 *2963:71 *4797:24 2.61129e-05 +68 *3002:46 *4797:38 0.000387391 +69 *3089:12 *4797:45 0.000975976 +70 *3089:18 *4797:38 0.000560222 +71 *3089:18 *4797:45 0.000209899 +72 *3411:42 *4797:30 0.0016782 +73 *3411:57 *4797:24 0.000361921 +74 *3958:28 *4797:18 0.000196594 +75 *4138:124 *4797:22 0.000377595 +76 *4550:40 *4797:18 6.73186e-05 +*RES +1 *24086:X *4797:6 49.2166 +2 *4797:6 *4797:18 44.5647 +3 *4797:18 *4797:22 38.2765 +4 *4797:22 *4797:24 61.8359 +5 *4797:24 *4797:29 11.9418 +6 *4797:29 *4797:30 47.925 +7 *4797:30 *4797:38 24.6831 +8 *4797:38 *4797:45 45.8249 +9 *4797:45 *20161:A1 20.784 +*END + +*D_NET *4798 0.0466422 +*CONN +*I *20160:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *6211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *24087:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *20160:A1 1.59384e-05 +2 *6211:DIODE 0.000103545 +3 *24087:X 2.86212e-05 +4 *4798:61 0.000313592 +5 *4798:56 0.00118943 +6 *4798:53 0.00182829 +7 *4798:46 0.00190806 +8 *4798:42 0.001947 +9 *4798:39 0.0016263 +10 *4798:33 0.001494 +11 *4798:16 0.00232855 +12 *4798:8 0.0033269 +13 *4798:7 0.00176659 +14 *6211:DIODE *20160:B1 3.31745e-05 +15 *4798:7 *24935:A 6.08467e-05 +16 *4798:8 *24253:CLK 1.9101e-05 +17 *4798:8 *24383:CLK 4.46284e-06 +18 *4798:8 *4838:92 0.000396973 +19 *4798:8 *4838:101 0.00033611 +20 *4798:8 *4869:67 8.64803e-05 +21 *4798:8 *4935:24 7.46648e-06 +22 *4798:8 *4935:26 0.000105832 +23 *4798:8 *4935:43 0.000239502 +24 *4798:8 *4935:45 0.000106878 +25 *4798:8 *5868:123 0.000775454 +26 *4798:33 *4934:19 2.57465e-06 +27 *4798:33 *5457:13 0 +28 *4798:33 *5458:13 0 +29 *4798:39 *24421:RESET_B 5.32162e-05 +30 *4798:39 *24421:CLK 0.000480368 +31 *4798:39 *5861:163 0.000205101 +32 *4798:46 *4916:99 0.000141935 +33 *4798:46 *4916:114 0.00109294 +34 *4798:46 *5861:179 8.47196e-05 +35 *4798:53 *20573:A1 3.24705e-06 +36 *4798:53 *24479:CLK 0.000107855 +37 *4798:53 *5674:34 0.000619503 +38 *4798:53 *5861:179 4.04447e-05 +39 *4798:56 *4936:8 1.19381e-05 +40 *4798:56 *5674:45 9.34985e-06 +41 *4798:56 *5854:140 0.00088514 +42 *4798:56 *5854:142 0.000411153 +43 *4798:56 *5854:148 0.000135659 +44 *4798:56 *5869:201 0.000811595 +45 *4798:56 *5869:212 0.000483269 +46 *4798:61 *20159:A1 1.00846e-05 +47 *20159:B2 *6211:DIODE 7.92757e-06 +48 *20159:B2 *20160:A1 0 +49 *20159:B2 *4798:61 5.51483e-06 +50 *20160:A2 *20160:A1 1.08355e-05 +51 *20160:A2 *4798:61 0.000401062 +52 *20160:B2 *6211:DIODE 6.50586e-05 +53 *20564:B2 *4798:42 0.000242164 +54 *20726:B2 *4798:33 2.24484e-05 +55 *22428:B1 *4798:8 0.000114141 +56 *23842:A1 *4798:33 9.39891e-06 +57 *23842:A2 *4798:33 8.74949e-05 +58 *24422:D *4798:33 0.000107154 +59 *24422:D *4798:39 6.16319e-05 +60 *24423:D *4798:33 5.04829e-06 +61 *24525:D *4798:46 4.51931e-05 +62 *1066:22 *4798:42 9.69694e-05 +63 *1066:22 *4798:46 7.8756e-07 +64 *1301:13 *4798:61 6.50586e-05 +65 *1438:119 *4798:39 0.000710674 +66 *1438:152 *4798:39 7.54541e-05 +67 *1482:32 *4798:16 0.000862617 +68 *1482:32 *4798:33 3.16717e-05 +69 *1482:34 *4798:33 0 +70 *1482:34 *4798:42 0.000104046 +71 *1482:48 *4798:46 3.14931e-05 +72 *1482:48 *4798:53 8.1243e-05 +73 *1483:77 *20160:A1 0 +74 *1485:170 *4798:39 0.000553036 +75 *1506:125 *4798:39 0.000207892 +76 *1521:46 *4798:39 0.000157793 +77 *1550:73 *4798:8 0.000327065 +78 *1581:10 *4798:8 0.0011156 +79 *1763:14 *4798:53 1.58247e-05 +80 *1763:14 *4798:56 0.000968285 +81 *1763:43 *4798:42 0.00036986 +82 *1763:43 *4798:46 0.00236989 +83 *1763:43 *4798:53 0.00028511 +84 *1763:59 *4798:42 0.000357634 +85 *1795:44 *4798:46 0.00016992 +86 *1947:45 *4798:8 7.42734e-05 +87 *1947:56 *4798:8 0.000718208 +88 *1947:70 *4798:8 5.25994e-05 +89 *1998:20 *4798:42 0.000144531 +90 *1998:24 *4798:42 0.00053399 +91 *1998:44 *4798:42 0.000281349 +92 *1998:44 *4798:46 1.27071e-05 +93 *2049:16 *4798:33 0.000742589 +94 *2563:20 *4798:53 0.00124807 +95 *2563:20 *4798:56 0.000934196 +96 *2603:20 *4798:56 9.13616e-06 +97 *2603:29 *4798:61 0.000113968 +98 *2603:59 *4798:56 3.30201e-05 +99 *2624:27 *4798:8 0.000850784 +100 *2660:18 *4798:8 0.000222313 +101 *2878:50 *4798:39 0.000687273 +102 *3671:43 *4798:39 9.42653e-05 +103 *3857:42 *4798:8 1.87838e-05 +104 *4138:112 *4798:16 0.00102607 +105 *4723:8 *4798:33 0.000549152 +106 *4730:6 *4798:16 0.000869812 +107 *4797:6 *4798:8 0.00164286 +*RES +1 *24087:X *4798:7 14.4725 +2 *4798:7 *4798:8 80.7299 +3 *4798:8 *4798:16 48.6152 +4 *4798:16 *4798:33 31.2418 +5 *4798:33 *4798:39 42.0792 +6 *4798:39 *4798:42 29.9714 +7 *4798:42 *4798:46 44.2976 +8 *4798:46 *4798:53 38.4785 +9 *4798:53 *4798:56 49.5182 +10 *4798:56 *4798:61 6.46234 +11 *4798:61 *6211:DIODE 11.6364 +12 *4798:61 *20160:A1 9.82786 +*END + +*D_NET *4799 0.0368696 +*CONN +*I *20159:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24088:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *20159:A1 0.000390579 +2 *24088:X 0 +3 *4799:22 0.00292332 +4 *4799:21 0.00309483 +5 *4799:10 0.00182943 +6 *4799:8 0.00129847 +7 *4799:6 0.00211568 +8 *4799:5 0.00208455 +9 *20159:A1 *5869:68 0.000591158 +10 *20159:A1 *5869:163 4.88955e-05 +11 *4799:6 *21824:A 2.51125e-05 +12 *4799:6 *24422:CLK 0.000287386 +13 *4799:6 *5868:49 0.0003045 +14 *4799:6 *5868:51 1.12605e-05 +15 *4799:6 *5868:57 0.000215593 +16 *4799:6 *5925:17 0.0001128 +17 *4799:10 *19965:A 0.000445136 +18 *4799:10 *20566:A1 0.000142631 +19 *4799:10 *5925:17 0.000117093 +20 *4799:21 *25378:A 0.000214537 +21 *4799:21 *5869:485 0.000559341 +22 *4799:21 *5869:510 8.26897e-05 +23 *4799:22 *20449:A1 0.000152229 +24 *4799:22 *20450:B1 6.51527e-05 +25 *4799:22 *20571:B1 3.77568e-05 +26 *4799:22 *21674:A 3.68178e-05 +27 *4799:22 *21721:A 8.01987e-05 +28 *4799:22 *21957:A 0.0001454 +29 *4799:22 *4905:98 0.000634178 +30 *4799:22 *4905:109 5.73456e-05 +31 *4799:22 *5851:171 0.000770487 +32 *4799:22 *5854:126 0.000159619 +33 *4799:22 *5854:133 0.000451362 +34 *4799:22 *5856:204 0.000696569 +35 *4799:22 *5869:73 0.000317219 +36 *20160:A2 *20159:A1 2.65831e-05 +37 *20450:A2 *4799:22 1.5714e-05 +38 *20726:A2 *4799:6 0.000231926 +39 *20792:B2 *4799:6 0.000379862 +40 *24385:D *4799:6 0.000235335 +41 *24556:D *4799:10 0.000146645 +42 *1438:119 *4799:6 0.000353897 +43 *1438:119 *4799:10 0.000226852 +44 *1483:14 *4799:6 5.2504e-06 +45 *1506:125 *4799:10 9.60216e-05 +46 *1731:93 *4799:21 3.99287e-05 +47 *1732:67 *4799:10 0.00110637 +48 *1753:16 *4799:22 0.000787245 +49 *1784:32 *4799:22 0.000299787 +50 *1795:44 *4799:6 0.000233007 +51 *2048:10 *4799:6 0.000148144 +52 *2048:14 *4799:6 0.000895919 +53 *2080:12 *4799:6 0.000682492 +54 *2080:14 *4799:6 0.0003658 +55 *2510:36 *4799:22 0.00018542 +56 *2510:54 *4799:22 0.000175164 +57 *2603:29 *20159:A1 1.28832e-05 +58 *2620:57 *4799:6 6.7089e-05 +59 *2660:26 *4799:6 7.14746e-05 +60 *2810:40 *4799:10 1.27831e-06 +61 *2810:40 *4799:21 0.000900819 +62 *2852:8 *4799:10 0.000892779 +63 *2852:8 *4799:21 6.69861e-06 +64 *2852:19 *4799:10 0.000486603 +65 *2993:33 *4799:22 0.00203337 +66 *2993:42 *4799:22 0.000348054 +67 *3089:18 *4799:21 2.91383e-06 +68 *3089:22 *4799:10 0.000191858 +69 *3089:24 *4799:6 0.000466035 +70 *3089:24 *4799:10 0.000684945 +71 *3089:30 *4799:6 0.00149801 +72 *3411:32 *4799:22 5.47601e-05 +73 *4106:79 *4799:6 0.00022927 +74 *4106:126 *4799:6 6.9561e-05 +75 *4106:131 *4799:6 0.0013124 +76 *4656:8 *4799:6 0.000301337 +77 *4656:10 *4799:6 7.8756e-07 +78 *4728:17 *4799:6 8.98943e-05 +79 *4798:61 *20159:A1 1.00846e-05 +*RES +1 *24088:X *4799:5 13.7491 +2 *4799:5 *4799:6 93.3951 +3 *4799:6 *4799:8 0.732798 +4 *4799:8 *4799:10 51.8699 +5 *4799:10 *4799:21 30.0416 +6 *4799:21 *4799:22 87.7892 +7 *4799:22 *20159:A1 23.3462 +*END + +*D_NET *4800 0.034407 +*CONN +*I *20158:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24089:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *20158:A1 0.0116278 +2 *24089:X 0.00173727 +3 *4800:14 0.0133651 +4 *20158:A1 *5475:59 2.22475e-05 +5 *20158:A1 *5674:34 0 +6 *20158:A1 *5994:8 0.000244529 +7 *23277:A *20158:A1 0.000110809 +8 *1482:29 *4800:14 0.000137216 +9 *1521:68 *20158:A1 3.64497e-06 +10 *1707:43 *20158:A1 0 +11 *1744:119 *20158:A1 0.000233305 +12 *1829:83 *4800:14 0.000240514 +13 *2620:76 *20158:A1 0 +14 *2620:76 *4800:14 0 +15 *2624:45 *20158:A1 0.00229783 +16 *2753:19 *20158:A1 0 +17 *2955:43 *20158:A1 6.00033e-05 +18 *3368:53 *20158:A1 0.000115876 +19 *3368:70 *20158:A1 0.000102893 +20 *3829:26 *4800:14 0.000240514 +21 *3999:53 *20158:A1 0.000110809 +22 *4009:115 *4800:14 0.000266135 +23 *4074:8 *20158:A1 0.000115876 +24 *4097:50 *4800:14 8.61767e-06 +25 *4550:53 *4800:14 7.78043e-05 +26 *4602:27 *20158:A1 0 +27 *4664:33 *4800:14 0 +28 *4739:51 *20158:A1 0.00328496 +29 *4739:51 *4800:14 3.31435e-06 +*RES +1 *24089:X *4800:14 26.4515 +2 *4800:14 *20158:A1 49.3266 +*END + +*D_NET *4801 0.0197835 +*CONN +*I *20157:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24090:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *20157:A1 5.22104e-05 +2 *24090:X 0.000722237 +3 *4801:22 0.00181114 +4 *4801:20 0.0026246 +5 *4801:17 0.00158791 +6 *4801:17 *4934:22 2.26635e-05 +7 *4801:22 *20161:B1 8.98279e-05 +8 *4801:22 *20570:A1 0.000139435 +9 *4801:22 *5994:8 0.000836857 +10 *20157:A2 *20157:A1 6.08467e-05 +11 *20573:B2 *4801:22 0.000268195 +12 *23276:B *4801:20 4.49767e-05 +13 *23584:A *4801:20 0.000122098 +14 *24526:D *4801:22 2.03049e-05 +15 *586:13 *4801:17 3.82228e-05 +16 *1483:26 *4801:17 0.00025936 +17 *1483:26 *4801:20 1.64597e-05 +18 *1483:37 *4801:22 7.48876e-06 +19 *1483:38 *4801:22 0.00101329 +20 *1483:48 *4801:22 0.000650865 +21 *1485:155 *4801:17 0.000413319 +22 *1506:99 *4801:20 0.0010305 +23 *1506:99 *4801:22 0.000513948 +24 *1521:55 *4801:17 0.000154145 +25 *1690:95 *4801:17 0.000158371 +26 *1731:93 *4801:22 5.9367e-05 +27 *2001:27 *4801:22 0.000677886 +28 *2623:18 *4801:22 4.9e-05 +29 *2623:26 *20157:A1 2.07365e-05 +30 *2623:26 *4801:22 0.000162194 +31 *2963:93 *4801:17 0.000101812 +32 *3368:53 *4801:20 0.000160083 +33 *3368:53 *4801:22 0.000957402 +34 *3368:65 *4801:22 0.00147397 +35 *3671:51 *4801:20 0.000195154 +36 *3857:70 *4801:17 0.000627447 +37 *3999:44 *4801:20 0.000205666 +38 *3999:53 *4801:20 0.000707985 +39 *4074:8 *4801:20 5.5815e-05 +40 *4106:162 *4801:17 0.000587633 +41 *4106:162 *4801:20 0.000864358 +42 *4106:169 *4801:20 0.000217782 +*RES +1 *24090:X *4801:17 49.3581 +2 *4801:17 *4801:20 39.6077 +3 *4801:20 *4801:22 74.8309 +4 *4801:22 *20157:A1 15.0271 +*END + +*D_NET *4802 0.0288158 +*CONN +*I *20156:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24091:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *20156:A1 5.7789e-05 +2 *24091:X 0.00724838 +3 *4802:18 0.00730617 +4 *20156:A1 *20156:B1 3.15947e-05 +5 *4802:18 *24059:A1 1.91246e-05 +6 *4802:18 *5563:45 0 +7 *4802:18 *5671:65 1.91246e-05 +8 *4802:18 *5852:117 1.49896e-05 +9 *4802:18 *5924:37 0.00103275 +10 *4802:18 *6001:49 0.000225412 +11 *19956:A1 *4802:18 0 +12 *23042:B *4802:18 9.46442e-05 +13 *23841:A1 *4802:18 9.0819e-05 +14 *1483:77 *20156:A1 0.000117455 +15 *1515:60 *4802:18 0 +16 *1668:69 *4802:18 0.00133832 +17 *1725:33 *4802:18 0.00034034 +18 *1786:65 *4802:18 0.00252505 +19 *1808:78 *4802:18 0.00294397 +20 *2429:25 *4802:18 0.000101251 +21 *2704:53 *4802:18 0.000109466 +22 *2704:54 *4802:18 0.0001184 +23 *2784:42 *4802:18 0.00062465 +24 *2785:63 *4802:18 0.00213641 +25 *2839:27 *4802:18 6.73971e-05 +26 *2878:44 *4802:18 0 +27 *2963:93 *4802:18 0.00104855 +28 *3874:92 *4802:18 7.19754e-05 +29 *3999:35 *4802:18 0.00102979 +30 *4136:54 *4802:18 2.57465e-06 +31 *4704:24 *4802:18 9.94364e-05 +*RES +1 *24091:X *4802:18 48.6227 +2 *4802:18 *20156:A1 15.6059 +*END + +*D_NET *4803 0.0202753 +*CONN +*I *20155:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24092:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *20155:A1 7.52997e-05 +2 *24092:X 0.000714051 +3 *4803:12 0.00308987 +4 *4803:10 0.00372862 +5 *20155:A1 *20155:B1 1.04383e-05 +6 *20155:A1 *4864:7 6.1431e-07 +7 *4803:12 *5475:59 0.000753046 +8 *4803:12 *5671:100 0.000135736 +9 *4803:12 *5997:10 0.000446014 +10 *20155:A2 *20155:A1 5.04829e-06 +11 *23162:A *4803:12 0.000477849 +12 *23164:A *4803:12 0.0003014 +13 *23270:A *4803:12 8.03951e-06 +14 *23273:A *4803:12 0.000137561 +15 *23280:B *4803:10 9.03296e-05 +16 *23280:B *4803:12 6.04912e-06 +17 *23696:A *4803:10 8.92568e-06 +18 *24092:A0 *4803:10 2.05191e-05 +19 *24523:D *4803:12 0.00012568 +20 *24527:D *4803:12 0.000143032 +21 *588:19 *4803:10 1.91246e-05 +22 *1455:99 *4803:12 0.000478728 +23 *1459:51 *20155:A1 1.05631e-05 +24 *1459:52 *4803:12 0.00267582 +25 *1482:69 *4803:12 1.05862e-05 +26 *1483:52 *4803:12 0.000345624 +27 *1483:60 *4803:12 2.02035e-05 +28 *1515:78 *4803:12 0.000287792 +29 *1521:62 *4803:12 0 +30 *1744:125 *4803:12 9.10232e-06 +31 *1808:40 *4803:12 0.000233174 +32 *2001:22 *4803:12 3.92935e-05 +33 *3368:47 *4803:10 0.000291262 +34 *3368:47 *4803:12 0.000806527 +35 *3671:54 *4803:12 0.00141051 +36 *4001:164 *4803:12 0.000173182 +37 *4008:75 *4803:12 0.000839367 +38 *4008:81 *4803:12 0.000108488 +39 *4009:11 *4803:12 0.000188982 +40 *4009:35 *4803:12 0.000249635 +41 *4136:54 *4803:10 0.000159154 +42 *4182:8 *4803:12 0.000459228 +43 *4394:10 *4803:10 3.88655e-06 +44 *4394:10 *4803:12 0.00010801 +45 *4575:26 *4803:10 2.32792e-05 +46 *4601:10 *4803:12 4.63475e-05 +47 *4601:14 *4803:12 1.48133e-05 +48 *4601:35 *4803:10 6.46816e-05 +49 *4602:27 *4803:12 0.000104808 +50 *4650:6 *4803:10 4.69204e-06 +51 *4650:6 *4803:12 0.00031807 +52 *4702:16 *4803:10 0.000220062 +53 *4704:24 *4803:10 0.000272162 +*RES +1 *24092:X *4803:10 35.1316 +2 *4803:10 *4803:12 128.276 +3 *4803:12 *20155:A1 15.2683 +*END + +*D_NET *4804 0.0542606 +*CONN +*I *23860:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24096:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *21641:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22298:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22638:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21640:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *23860:A 0.000363472 +2 *24096:TE_B 0 +3 *21641:B1 0.000250274 +4 *22298:A1 0 +5 *22638:A1 3.29888e-05 +6 *21640:Y 0.000121416 +7 *4804:118 0.00172268 +8 *4804:109 0.00246467 +9 *4804:108 0.00110546 +10 *4804:96 0.00234239 +11 *4804:62 0.00344626 +12 *4804:46 0.00248315 +13 *4804:37 0.00233773 +14 *4804:24 0.00180251 +15 *4804:21 0.00262299 +16 *4804:5 0.00218361 +17 *23860:A *24096:A 0.000194473 +18 *23860:A *25233:A 1.07248e-05 +19 *23860:A *5874:64 0.000115309 +20 *4804:5 *24701:CLK 2.65831e-05 +21 *4804:5 *4911:100 3.31745e-05 +22 *4804:21 *20170:A1 6.95745e-05 +23 *4804:21 *20170:B1 9.71203e-06 +24 *4804:21 *22140:A 7.54269e-06 +25 *4804:21 *22140:B 1.61631e-05 +26 *4804:21 *4911:100 8.51503e-05 +27 *4804:21 *5857:189 0 +28 *4804:24 *4830:71 7.43667e-05 +29 *4804:24 *5949:74 0.000271296 +30 *4804:37 *4856:30 0.000290927 +31 *4804:37 *5950:81 0.000200794 +32 *4804:46 *4807:58 0.000132077 +33 *4804:62 *4807:58 0.000115313 +34 *4804:62 *4824:154 3.59315e-05 +35 *4804:96 *22301:A1 6.70627e-06 +36 *4804:96 *4811:29 0.000704438 +37 *4804:96 *4817:120 5.39598e-05 +38 *4804:96 *4824:153 0.000188071 +39 *4804:96 *4824:154 6.39153e-06 +40 *4804:96 *4827:79 0.000261081 +41 *4804:96 *5909:38 3.73639e-05 +42 *4804:109 *20169:A1 0.000175689 +43 *4804:109 *20169:B1 4.52469e-05 +44 *4804:109 *24170:SET_B 0.000582811 +45 *4804:109 *4821:59 0.0020107 +46 *4804:109 *5853:379 2.64856e-05 +47 *4804:118 *24096:A 5.07314e-05 +48 *4804:118 *4866:52 0.000909817 +49 *4804:118 *5855:341 0.000124513 +50 *4804:118 *5855:343 6.81792e-05 +51 *20164:A *4804:21 1.00846e-05 +52 *20170:B2 *4804:21 4.65954e-06 +53 *21321:B1 *4804:46 0.000526399 +54 *21352:A1 *4804:46 0.000254036 +55 *21641:B2 *21641:B1 0.000159756 +56 *21642:A2 *4804:96 3.75221e-05 +57 *21642:B1 *21641:B1 1.09237e-05 +58 *21642:B1 *4804:96 4.5635e-05 +59 *21642:C1 *21641:B1 0.000537218 +60 *21642:C1 *4804:96 0 +61 *21746:C *4804:96 1.30575e-05 +62 *22113:B *4804:21 0.000462037 +63 *22188:B *4804:24 6.3609e-05 +64 *22198:A *4804:37 0.000250405 +65 *22298:A2 *4804:62 0.000221384 +66 *22298:B2 *4804:62 0.000140069 +67 *22334:A2 *4804:96 0.000305811 +68 *22591:D *4804:62 7.19128e-05 +69 *22653:B *4804:62 0.000486765 +70 *22696:A1 *4804:96 0.000228255 +71 *24170:D *4804:118 1.82679e-05 +72 *74:12 *23860:A 0.000153225 +73 *324:11 *4804:118 0.000117906 +74 *541:28 *4804:37 5.60804e-05 +75 *1419:196 *4804:37 0.00144032 +76 *1556:20 *4804:109 0.00304155 +77 *1557:89 *4804:21 0.000207266 +78 *1557:90 *4804:109 2.69685e-05 +79 *1564:62 *4804:96 0.00126375 +80 *1608:43 *4804:96 4.95264e-05 +81 *1625:83 *21641:B1 0.000250254 +82 *1631:57 *4804:96 0.000143215 +83 *1636:68 *4804:37 6.23101e-05 +84 *1709:78 *4804:21 5.4737e-05 +85 *1802:143 *4804:96 0.000228255 +86 *1865:5 *4804:21 0.000143891 +87 *1865:17 *4804:21 0.000158451 +88 *2093:10 *4804:37 0.000282788 +89 *2111:23 *4804:37 0.000164503 +90 *2111:25 *4804:37 1.65872e-05 +91 *2115:110 *4804:37 1.07038e-05 +92 *2122:34 *4804:37 0.000109427 +93 *2122:43 *4804:37 0.000142909 +94 *2123:25 *4804:24 0.000649526 +95 *2278:28 *4804:109 1.57964e-05 +96 *2380:84 *4804:46 5.41006e-05 +97 *2384:99 *4804:118 0.000162994 +98 *2389:33 *4804:118 5.82569e-05 +99 *2394:17 *4804:21 3.65193e-05 +100 *2422:14 *4804:118 8.16827e-05 +101 *2422:27 *4804:118 1.03403e-05 +102 *2572:37 *4804:96 0.000727488 +103 *2682:19 *21641:B1 0.00025456 +104 *2682:19 *4804:96 0.000247443 +105 *2696:11 *4804:96 1.41976e-05 +106 *2738:14 *4804:109 0.000117093 +107 *2924:80 *4804:21 2.37827e-05 +108 *3091:20 *4804:21 1.90076e-05 +109 *3091:28 *4804:21 0.000395712 +110 *3091:28 *4804:24 0.00119641 +111 *3108:39 *4804:37 1.56049e-05 +112 *3111:94 *4804:62 0.000260661 +113 *3117:132 *4804:46 0.000132077 +114 *3117:132 *4804:62 0.00051414 +115 *3124:174 *4804:96 0.000110949 +116 *3136:149 *4804:62 0.00028423 +117 *3136:178 *4804:96 0.000158469 +118 *3137:6 *4804:24 0 +119 *3139:10 *4804:37 0.00139902 +120 *3142:21 *4804:46 0.000878967 +121 *3144:152 *4804:96 2.40371e-05 +122 *3151:176 *4804:96 0.000301181 +123 *3168:5 *4804:37 9.95922e-06 +124 *3168:7 *4804:37 0.000300324 +125 *3179:134 *4804:46 0.00174778 +126 *3179:134 *4804:62 8.96465e-06 +127 *3179:136 *4804:62 6.54392e-05 +128 *3179:136 *4804:96 8.80635e-05 +129 *3204:122 *4804:62 7.43725e-05 +130 *3238:15 *4804:96 0.000103002 +131 *3496:142 *4804:96 0.00124738 +132 *3507:19 *4804:96 3.92964e-05 +133 *3511:17 *4804:62 0.000151072 +134 *3519:24 *4804:62 2.75454e-05 +135 *3527:16 *22638:A1 2.16355e-05 +136 *3527:16 *4804:62 3.82228e-05 +*RES +1 *21640:Y *4804:5 11.0817 +2 *4804:5 *4804:21 48.6054 +3 *4804:21 *4804:24 27.5099 +4 *4804:24 *4804:37 47.609 +5 *4804:37 *4804:46 15.2993 +6 *4804:46 *22638:A1 9.97254 +7 *4804:46 *4804:62 40.8057 +8 *4804:62 *22298:A1 13.7491 +9 *4804:62 *4804:96 45.8617 +10 *4804:96 *21641:B1 19.6299 +11 *4804:5 *4804:108 4.5 +12 *4804:108 *4804:109 55.3995 +13 *4804:109 *4804:118 46.3037 +14 *4804:118 *24096:TE_B 9.24915 +15 *4804:118 *23860:A 28.2903 +*END + +*D_NET *4805 0.0813314 +*CONN +*I *22312:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *24097:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22822:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22637:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21611:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21607:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *22312:B1 0.00020846 +2 *24097:TE_B 6.28263e-05 +3 *22822:A 0.000325276 +4 *22637:B1 0 +5 *21611:B1 0.000272119 +6 *21607:Y 0.000430083 +7 *4805:96 0.00114763 +8 *4805:92 0.00229573 +9 *4805:91 0.00283711 +10 *4805:85 0.0035265 +11 *4805:73 0.00183799 +12 *4805:56 0.00498033 +13 *4805:52 0.00301626 +14 *4805:47 0.00285967 +15 *4805:36 0.00464015 +16 *4805:35 0.00576082 +17 *4805:32 0.00376949 +18 *4805:14 0.00238241 +19 *22312:B1 *22312:A1 0.000107496 +20 *22822:A *24018:A0 0.000158357 +21 *24097:TE_B *5725:13 1.58551e-05 +22 *24097:TE_B *5855:329 3.82228e-05 +23 *4805:35 *5884:45 8.13542e-05 +24 *4805:36 *21271:A 0.000167264 +25 *4805:36 *24223:SET_B 7.83643e-05 +26 *4805:36 *24464:SET_B 0.000165171 +27 *4805:36 *5052:11 0.000106427 +28 *4805:47 *21075:A1 8.79845e-05 +29 *4805:47 *21075:B1 5.51483e-06 +30 *4805:47 *24224:SET_B 0.00010836 +31 *4805:47 *24228:RESET_B 3.98617e-05 +32 *4805:47 *4817:108 2.16355e-05 +33 *4805:47 *5367:7 0.000286177 +34 *4805:47 *5853:260 0.000352624 +35 *4805:47 *5862:247 0.000536601 +36 *4805:52 *22312:A1 6.60927e-05 +37 *4805:52 *4811:21 0.00198296 +38 *4805:52 *4817:108 4.78069e-06 +39 *4805:52 *4908:181 7.49811e-05 +40 *4805:52 *5909:30 0.000507186 +41 *4805:56 *19994:A 7.6125e-05 +42 *4805:56 *22312:A1 2.43314e-05 +43 *4805:56 *4823:106 0.000156243 +44 *4805:56 *5909:26 0.000322271 +45 *4805:56 *5909:30 0.000231005 +46 *4805:73 *22640:A1 0.000141441 +47 *4805:73 *4806:11 0.000388259 +48 *4805:73 *4806:24 0.000203595 +49 *4805:85 *20619:B1 0.000738383 +50 *4805:85 *20899:B1 0.000188843 +51 *4805:85 *4908:98 0.000140404 +52 *4805:85 *5853:278 0.00135782 +53 *4805:91 *6041:DIODE 5.0715e-05 +54 *4805:91 *5526:32 3.92275e-05 +55 *4805:91 *5859:210 6.19881e-05 +56 *4805:92 *19564:S 0.000108729 +57 *4805:92 *23862:A 6.67989e-05 +58 *4805:92 *24099:A 0 +59 *4805:92 *4807:8 0.000249152 +60 *4805:92 *4807:10 6.03248e-05 +61 *4805:92 *4808:135 3.55968e-05 +62 *4805:92 *4828:100 0.00021812 +63 *4805:92 *4910:9 0.000120344 +64 *4805:92 *5855:318 6.25467e-05 +65 *4805:92 *5864:332 5.76282e-05 +66 *4805:92 *5864:459 0.000156309 +67 *4805:92 *5864:461 0.000119941 +68 *4805:92 *5864:490 0.000321532 +69 *4805:96 *20256:A1 0.000291934 +70 *4805:96 *24037:A0 0.00033614 +71 *4805:96 *5864:332 1.3023e-05 +72 *4805:96 *5864:334 0.000499688 +73 *4805:96 *5864:336 0.00064132 +74 *4805:96 *5864:344 0.00028916 +75 *20313:B1 *4805:92 0.000235077 +76 *20313:B1 *4805:96 2.7694e-05 +77 *20613:B *4805:73 0.000169911 +78 *20614:A *4805:56 4.6012e-05 +79 *20654:B2 *4805:36 4.78118e-05 +80 *20963:B2 *4805:36 0.000139074 +81 *21069:A2 *4805:36 0.000203265 +82 *21611:A2 *21611:B1 2.49891e-06 +83 *21611:B2 *21611:B1 0.000110844 +84 *21611:C1 *21611:B1 1.47046e-05 +85 *22312:A2 *22312:B1 2.57847e-05 +86 *22312:B2 *22312:B1 4.09478e-05 +87 *22312:C1 *22312:B1 3.2193e-05 +88 *22315:C *4805:56 0.000131305 +89 *22316:C *4805:56 8.60109e-05 +90 *22340:B2 *4805:14 0.000102851 +91 *22384:B2 *4805:14 0.000135363 +92 *22524:C *4805:73 9.54357e-06 +93 *22524:D *4805:73 0.000239158 +94 *22591:A *4805:73 3.54024e-05 +95 *22591:C *4805:73 1.17054e-05 +96 *22591:D *4805:73 6.08467e-05 +97 *22593:C *4805:73 0.000504385 +98 *22637:A2 *4805:73 6.37248e-05 +99 *22637:B2 *4805:73 8.3453e-06 +100 *22653:A *4805:73 1.37492e-05 +101 *22823:A *22822:A 0.000118451 +102 *24019:S *4805:92 0.000308621 +103 *24228:D *4805:47 6.13051e-05 +104 *24493:D *4805:85 0.00014067 +105 *24702:D *4805:92 1.06181e-05 +106 *482:40 *4805:91 0.000266452 +107 *482:127 *4805:96 6.59852e-05 +108 *482:129 *4805:96 0.000539975 +109 *482:133 *22822:A 0.000169078 +110 *482:133 *4805:96 0.000763156 +111 *500:60 *4805:92 0 +112 *1439:54 *4805:36 0.000387291 +113 *1439:59 *4805:36 0.000489027 +114 *1555:38 *4805:36 9.84452e-05 +115 *1556:30 *4805:36 0.00116908 +116 *1557:96 *4805:47 3.03814e-05 +117 *1596:134 *4805:32 2.1576e-05 +118 *1658:119 *4805:32 3.29488e-05 +119 *1679:18 *4805:36 0.000277261 +120 *1679:20 *4805:92 0.00319632 +121 *1679:61 *4805:36 9.35953e-05 +122 *1709:54 *4805:92 0 +123 *1742:76 *4805:47 6.96605e-05 +124 *1884:24 *4805:56 0.000445191 +125 *1884:45 *4805:56 4.12833e-05 +126 *1917:53 *4805:92 0.000133124 +127 *1917:64 *4805:96 3.11933e-06 +128 *1918:48 *4805:96 1.37925e-05 +129 *2028:16 *4805:36 1.19737e-05 +130 *2091:124 *4805:73 0.000158451 +131 *2162:8 *4805:36 0.000416278 +132 *2162:10 *4805:36 5.62368e-05 +133 *2162:12 *4805:36 0.000526225 +134 *2162:14 *4805:36 0.000568488 +135 *2162:76 *4805:36 0.000108798 +136 *2202:8 *4805:36 0.000137921 +137 *2202:12 *4805:36 3.40382e-05 +138 *2202:74 *4805:36 0.000346432 +139 *2256:62 *4805:73 2.18034e-05 +140 *2257:17 *4805:14 0.000420269 +141 *2263:77 *4805:14 0.000163023 +142 *2267:63 *4805:47 0.000343543 +143 *2295:78 *4805:47 7.09666e-06 +144 *2301:17 *22822:A 0.000165495 +145 *2301:17 *4805:96 0.000771782 +146 *2305:8 *4805:32 0.000172136 +147 *2333:38 *4805:91 3.82884e-05 +148 *2373:14 *4805:35 0.00079078 +149 *2404:60 *4805:36 0.000388231 +150 *2415:26 *4805:47 0.000280724 +151 *2425:40 *4805:47 3.11741e-05 +152 *2433:20 *4805:36 0.0015189 +153 *2440:55 *4805:52 4.95198e-05 +154 *2440:55 *4805:56 0.000136794 +155 *2448:81 *4805:35 7.76105e-06 +156 *2471:35 *24097:TE_B 0.000213725 +157 *2473:39 *4805:36 0.000442757 +158 *2473:45 *4805:36 1.46723e-05 +159 *2481:13 *4805:52 0.000221541 +160 *2488:14 *21611:B1 0.000118054 +161 *2520:68 *4805:36 0.000265885 +162 *2572:10 *4805:36 0.000108408 +163 *2595:11 *4805:73 0.000200794 +164 *2595:11 *4805:85 0.000644346 +165 *2610:42 *4805:36 1.02764e-05 +166 *2610:46 *4805:36 0.00111109 +167 *2610:48 *4805:36 0.00100214 +168 *2659:17 *4805:14 3.65454e-05 +169 *2820:9 *4805:35 9.45565e-05 +170 *2821:10 *4805:32 7.50872e-05 +171 *2821:11 *21611:B1 0.000304777 +172 *2821:11 *4805:32 0.00227444 +173 *2870:21 *4805:36 5.97738e-05 +174 *2989:5 *4805:14 6.08467e-05 +175 *3050:13 *4805:47 2.16355e-05 +176 *3157:239 *4805:14 3.59934e-05 +177 *3179:134 *4805:73 2.76002e-05 +178 *3192:36 *4805:56 8.50666e-05 +179 *3192:57 *4805:52 1.39725e-05 +180 *3192:57 *4805:56 0.000309311 +181 *3192:69 *4805:52 1.25875e-05 +182 *3263:23 *4805:35 0.00115319 +183 *3320:10 *4805:14 6.50586e-05 +184 *3471:6 *4805:73 0.000112673 +185 *3471:108 *22312:B1 2.77625e-06 +*RES +1 *21607:Y *4805:14 30.4286 +2 *4805:14 *21611:B1 24.1676 +3 *4805:14 *4805:32 38.7282 +4 *4805:32 *4805:35 37.3904 +5 *4805:35 *4805:36 130.975 +6 *4805:36 *4805:47 44.5025 +7 *4805:47 *4805:52 40.7328 +8 *4805:52 *4805:56 32.9081 +9 *4805:56 *4805:73 42.7792 +10 *4805:73 *22637:B1 9.24915 +11 *4805:56 *4805:85 49.23 +12 *4805:85 *4805:91 29.2971 +13 *4805:91 *4805:92 64.7427 +14 *4805:92 *4805:96 40.7069 +15 *4805:96 *22822:A 22.7346 +16 *4805:96 *24097:TE_B 16.1364 +17 *4805:52 *22312:B1 18.62 +*END + +*D_NET *4806 0.0450309 +*CONN +*I *22820:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24098:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22643:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *21658:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22310:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21654:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22820:A 0.000601449 +2 *24098:TE_B 2.06324e-05 +3 *22643:A1 0.00156293 +4 *21658:A1 0.00177658 +5 *22310:A1 0 +6 *21654:Y 0.000287502 +7 *4806:84 0.00222595 +8 *4806:81 0.00308529 +9 *4806:80 0.00172967 +10 *4806:24 0.00325577 +11 *4806:11 0.00499116 +12 *4806:5 0.00248479 +13 *21658:A1 *22311:A 4.98101e-05 +14 *22643:A1 *22640:A1 3.43169e-05 +15 *22643:A1 *5944:90 0.000127807 +16 *22820:A *24098:A 6.19502e-05 +17 *22820:A *5874:50 1.9101e-05 +18 *22820:A *5874:54 4.82917e-05 +19 *4806:11 *21208:A 0.000162663 +20 *4806:11 *5862:118 0.000349354 +21 *4806:24 *5944:102 0.000326004 +22 *4806:80 *5862:662 0.000331486 +23 *4806:80 *5862:663 0.000107496 +24 *4806:81 *4844:267 4.33979e-05 +25 *4806:81 *4844:274 0.000429599 +26 *4806:81 *5391:10 1.26094e-05 +27 *4806:84 *24098:A 1.99131e-05 +28 *21659:A2 *21658:A1 0.00135658 +29 *22310:C1 *21658:A1 0.00011884 +30 *22312:C1 *21658:A1 7.21868e-05 +31 *22524:C *4806:24 6.98337e-06 +32 *22544:A *4806:24 0.000248392 +33 *22588:A *4806:24 5.2811e-05 +34 *22591:D *22643:A1 6.97711e-05 +35 *22643:A2 *22643:A1 9.95922e-06 +36 *22643:B1 *22643:A1 4.13565e-05 +37 *22643:C1 *22643:A1 7.24818e-05 +38 *22653:C *22643:A1 0.000131362 +39 *22689:B *21658:A1 5.19452e-05 +40 *531:41 *4806:81 0.000336601 +41 *1443:60 *21658:A1 0.000320432 +42 *1450:136 *4806:81 0.000223106 +43 *1556:20 *4806:81 0.00364934 +44 *1557:96 *4806:80 0.000356455 +45 *1557:96 *4806:81 0.000855737 +46 *1564:62 *21658:A1 1.5714e-05 +47 *1564:84 *21658:A1 7.5909e-06 +48 *1573:90 *4806:84 0.00158307 +49 *1576:16 *4806:11 2.81262e-05 +50 *1631:57 *21658:A1 0.000103246 +51 *2100:72 *4806:24 7.26959e-06 +52 *2100:76 *4806:24 7.39223e-06 +53 *2256:14 *4806:81 2.81627e-06 +54 *2256:62 *4806:11 0.000391697 +55 *2274:95 *22643:A1 0.00136828 +56 *2275:39 *4806:11 0.000892447 +57 *2295:39 *22820:A 0.000127164 +58 *2300:70 *22643:A1 0.000314404 +59 *2313:25 *22643:A1 0.000127807 +60 *2397:63 *4806:5 6.19637e-05 +61 *2397:63 *4806:11 0.000225285 +62 *2415:22 *4806:80 7.01586e-06 +63 *2425:21 *4806:81 0.000474324 +64 *2546:5 *4806:11 0.000236353 +65 *2636:14 *4806:81 6.85778e-05 +66 *2676:29 *4806:24 5.19897e-05 +67 *2738:14 *4806:81 0.000101025 +68 *2813:47 *21658:A1 0.00193415 +69 *3111:94 *21658:A1 1.02256e-05 +70 *3111:94 *4806:24 7.94966e-05 +71 *3111:125 *21658:A1 0.000224903 +72 *3126:10 *4806:24 2.96264e-05 +73 *3126:12 *4806:24 0.000116646 +74 *3148:46 *22643:A1 0.000148311 +75 *3177:250 *4806:24 0.000309765 +76 *3198:23 *22643:A1 5.22053e-05 +77 *3206:173 *21658:A1 0.000205934 +78 *3474:12 *4806:11 3.79135e-05 +79 *3474:12 *4806:24 3.37255e-05 +80 *3491:17 *22643:A1 5.51483e-06 +81 *3491:27 *22643:A1 3.56199e-05 +82 *3491:140 *22643:A1 0.000231479 +83 *3493:8 *21658:A1 7.55015e-05 +84 *3493:8 *4806:24 8.55668e-05 +85 *3496:142 *22643:A1 1.08989e-05 +86 *3519:24 *22643:A1 0.000606029 +87 *3521:14 *22643:A1 7.95598e-05 +88 *3529:13 *22643:A1 0.000155403 +89 *3537:14 *4806:24 0.000375379 +90 *3539:12 *4806:24 0.000546476 +91 *3543:33 *21658:A1 0.00015904 +92 *3653:8 *21658:A1 0.00056627 +93 *4805:73 *4806:11 0.000388259 +94 *4805:73 *4806:24 0.000203595 +*RES +1 *21654:Y *4806:5 13.3002 +2 *4806:5 *4806:11 41.4024 +3 *4806:11 *4806:24 49.1136 +4 *4806:24 *22310:A1 13.7491 +5 *4806:24 *21658:A1 49.1857 +6 *4806:11 *22643:A1 36.3525 +7 *4806:5 *4806:80 21.593 +8 *4806:80 *4806:81 66.6113 +9 *4806:81 *4806:84 29.626 +10 *4806:84 *24098:TE_B 9.82786 +11 *4806:84 *22820:A 27.875 +*END + +*D_NET *4807 0.0495229 +*CONN +*I *24099:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22639:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22299:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21702:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *23862:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21697:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *24099:TE_B 0 +2 *22639:B1 1.90605e-05 +3 *22299:B1 0 +4 *21702:A1 0.00336353 +5 *23862:A 0.000768239 +6 *21697:Y 0.00077739 +7 *4807:107 0.00143316 +8 *4807:101 0.00201031 +9 *4807:82 0.00128056 +10 *4807:63 0.000767425 +11 *4807:62 0.000133203 +12 *4807:58 0.00066922 +13 *4807:54 0.00179042 +14 *4807:28 0.0088644 +15 *4807:10 0.00512557 +16 *4807:8 0.000843294 +17 *23862:A *24099:A 7.02493e-05 +18 *23862:A *4910:72 8.20996e-05 +19 *23862:A *5527:7 0.000157107 +20 *4807:8 *20137:A1 3.7516e-05 +21 *4807:8 *24099:A 1.2693e-05 +22 *4807:8 *4843:457 0 +23 *4807:8 *5855:318 0.000160462 +24 *4807:10 *24099:A 5.18515e-05 +25 *4807:28 *20172:B1 0.000148632 +26 *4807:28 *21657:A1_N 0.00028986 +27 *4807:28 *4833:70 1.35642e-05 +28 *4807:28 *5853:365 9.98068e-05 +29 *4807:101 *4819:72 0.000147462 +30 *4807:107 *4818:73 0.000718241 +31 *4807:107 *5944:102 0 +32 *21193:A1 *4807:101 2.07772e-05 +33 *21212:B1 *4807:82 5.04829e-06 +34 *22146:B *4807:54 7.98343e-05 +35 *22167:A *4807:54 0.000240732 +36 *22332:A2 *4807:101 1.41181e-05 +37 *22560:A *4807:54 0.000112952 +38 *22592:A *4807:107 2.08198e-05 +39 *22639:A2 *22639:B1 4.80635e-06 +40 *22639:A2 *4807:58 2.23259e-05 +41 *22639:B2 *4807:58 1.65872e-05 +42 *22657:B2 *22639:B1 0 +43 *22657:B2 *4807:58 5.04829e-06 +44 *22657:B2 *4807:62 6.92201e-05 +45 *22657:B2 *4807:82 0.000202248 +46 *22661:B1 *4807:101 6.24179e-05 +47 *22661:C1 *4807:82 2.53145e-06 +48 *22671:A *4807:101 0.000152445 +49 *22783:B1 *21702:A1 1.82679e-05 +50 *23863:A *23862:A 1.65872e-05 +51 *500:60 *4807:8 0 +52 *1443:49 *21702:A1 0.000164473 +53 *1443:49 *4807:28 0.000211482 +54 *1588:41 *21702:A1 0.000107496 +55 *1636:68 *21702:A1 0.000409181 +56 *1663:15 *4807:28 0 +57 *1788:46 *4807:82 7.22263e-05 +58 *2088:113 *4807:54 3.06917e-06 +59 *2274:71 *4807:82 0.000129091 +60 *2278:46 *4807:54 0.000400291 +61 *2300:44 *4807:8 5.66868e-06 +62 *2403:18 *4807:82 0.000259166 +63 *2511:9 *4807:58 0.000581333 +64 *2511:9 *4807:62 0.000200794 +65 *2520:91 *4807:82 0.000133323 +66 *2546:28 *4807:82 7.08288e-05 +67 *2546:28 *4807:101 0.000209003 +68 *2595:15 *4807:107 0.000706782 +69 *2630:9 *4807:58 9.79796e-06 +70 *2630:9 *4807:82 0.000735626 +71 *2690:23 *4807:54 0.0004052 +72 *2690:34 *4807:54 8.99906e-05 +73 *2800:25 *21702:A1 0.00105166 +74 *2800:25 *4807:28 0.000867186 +75 *2800:38 *21702:A1 0.00130164 +76 *2916:20 *21702:A1 0.000129788 +77 *2923:16 *21702:A1 0.000602062 +78 *3106:11 *4807:54 2.55661e-06 +79 *3108:79 *4807:54 8.56016e-05 +80 *3108:96 *4807:54 4.63742e-05 +81 *3109:17 *4807:54 0.000326423 +82 *3114:15 *21702:A1 0.0005726 +83 *3114:15 *4807:28 0.00110159 +84 *3117:15 *4807:54 0.000113173 +85 *3117:34 *4807:58 7.48065e-05 +86 *3117:132 *4807:58 6.25848e-05 +87 *3124:127 *4807:82 0.000255705 +88 *3124:131 *4807:107 7.09623e-05 +89 *3136:149 *4807:54 1.59109e-05 +90 *3136:153 *4807:107 0.000457714 +91 *3151:141 *4807:107 6.31996e-05 +92 *3151:153 *4807:107 2.21587e-05 +93 *3175:19 *21702:A1 0.000157177 +94 *3175:19 *4807:28 0.000416444 +95 *3175:267 *4807:107 1.8882e-05 +96 *3179:27 *21702:A1 0.000526685 +97 *3179:136 *4807:107 4.37709e-05 +98 *3204:122 *4807:58 5.05093e-05 +99 *3466:47 *4807:101 0.000144832 +100 *3469:24 *4807:54 0.000287935 +101 *3491:27 *4807:82 0.000476843 +102 *3496:29 *21702:A1 1.93857e-05 +103 *3496:125 *4807:82 0.000105036 +104 *3502:21 *21702:A1 0.00241599 +105 *3502:124 *4807:101 0.000298399 +106 *3511:17 *4807:58 0.000137813 +107 *3513:26 *4807:101 4.71698e-05 +108 *3529:7 *4807:58 0.00011306 +109 *3737:12 *21702:A1 0.000608688 +110 *4804:46 *4807:58 0.000132077 +111 *4804:62 *4807:58 0.000115313 +112 *4805:92 *23862:A 6.67989e-05 +113 *4805:92 *4807:8 0.000249152 +114 *4805:92 *4807:10 6.03248e-05 +*RES +1 *21697:Y *4807:8 30.295 +2 *4807:8 *4807:10 2.45487 +3 *4807:10 *23862:A 28.5071 +4 *4807:10 *4807:28 14.1273 +5 *4807:28 *21702:A1 40.6899 +6 *4807:28 *4807:54 43.1719 +7 *4807:54 *4807:58 21.8763 +8 *4807:58 *4807:62 11.6364 +9 *4807:62 *4807:63 81.1229 +10 *4807:63 *4807:82 48.4646 +11 *4807:82 *4807:101 39.6929 +12 *4807:101 *4807:107 44.812 +13 *4807:107 *22299:B1 9.24915 +14 *4807:58 *22639:B1 9.82786 +15 *4807:8 *24099:TE_B 13.7491 +*END + +*D_NET *4808 0.0485182 +*CONN +*I *22818:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24100:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22298:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21617:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22642:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21613:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22818:A 0 +2 *24100:TE_B 2.3451e-05 +3 *22298:B1 0 +4 *21617:B1 0 +5 *22642:A1 0 +6 *21613:Y 8.96847e-05 +7 *4808:158 0.000941924 +8 *4808:135 0.00252494 +9 *4808:121 0.000934482 +10 *4808:102 0.000984267 +11 *4808:101 0.000558022 +12 *4808:90 0.000997324 +13 *4808:76 0.003984 +14 *4808:62 0.00306941 +15 *4808:61 8.27348e-05 +16 *4808:60 0.000508238 +17 *4808:58 0.00123594 +18 *4808:29 0.00132038 +19 *4808:27 0.00122534 +20 *4808:12 0.00300699 +21 *4808:6 0.00351534 +22 *24100:TE_B *5380:13 6.08467e-05 +23 *4808:12 *21029:B1 0.000151131 +24 *4808:58 *22647:B1 2.46707e-05 +25 *4808:76 *22646:A1 7.09666e-06 +26 *4808:90 *21696:B1 5.3487e-05 +27 *4808:101 *22299:A1 6.12989e-05 +28 *4808:101 *22647:A1 5.64232e-05 +29 *4808:102 *4811:50 4.29906e-05 +30 *4808:121 *22299:A1 0.000107496 +31 *4808:121 *22647:A1 0.000152158 +32 *4808:121 *22649:B1 0.000161243 +33 *4808:121 *4810:49 1.37531e-05 +34 *4808:121 *4814:83 0.000178229 +35 *4808:135 *21414:A 0.000300569 +36 *4808:135 *5864:490 3.55968e-05 +37 *4808:158 *5380:13 0.000190903 +38 *6167:DIODE *4808:58 0.000154145 +39 *19897:A *4808:76 9.29815e-06 +40 *20022:A1 *4808:90 0.000318127 +41 *20050:B1 *4808:76 0.000566014 +42 *21022:A *4808:12 4.58529e-05 +43 *21023:A *4808:12 0.000495694 +44 *21213:C *4808:58 2.764e-05 +45 *21467:B2 *4808:90 8.86481e-05 +46 *21813:B2 *4808:90 0.000312581 +47 *22246:A2 *4808:76 0.00136878 +48 *22299:C1 *4808:121 0.000107496 +49 *22329:A2 *4808:58 0.000387391 +50 *22335:A1 *4808:76 0.000426125 +51 *22572:A *4808:27 0.000353642 +52 *22580:A *4808:27 2.77564e-05 +53 *22583:B *4808:27 1.74129e-05 +54 *22642:A2 *4808:29 5.04829e-06 +55 *22642:A2 *4808:58 3.29457e-05 +56 *22642:B2 *4808:58 3.07848e-05 +57 *22645:A2 *4808:121 7.13655e-06 +58 *22646:A2 *4808:101 0.000107496 +59 *22646:B1 *4808:76 2.57465e-06 +60 *22647:B2 *4808:58 6.41495e-05 +61 *22647:C1 *4808:101 0.000217944 +62 *22652:A *4808:121 0.000349336 +63 *22652:B *4808:121 0.000105509 +64 *22819:A *4808:158 0.000107496 +65 *23925:B *4808:76 0.00075194 +66 *476:122 *4808:158 4.31539e-05 +67 *476:123 *4808:158 0.000390875 +68 *529:41 *4808:135 1.88084e-05 +69 *531:16 *4808:27 0.000163816 +70 *531:23 *4808:27 6.6146e-05 +71 *1439:128 *4808:12 3.99086e-06 +72 *1443:49 *4808:135 0.000160624 +73 *1535:56 *4808:76 8.17829e-06 +74 *1535:56 *4808:90 0.000764656 +75 *1573:113 *4808:12 0.000142905 +76 *1584:141 *4808:58 0.000141895 +77 *1608:30 *4808:76 0.00229365 +78 *1652:34 *4808:135 0 +79 *1679:20 *4808:158 0.000111201 +80 *1691:105 *4808:58 0.000210556 +81 *1750:45 *4808:101 0.000152164 +82 *1750:45 *4808:121 3.45034e-05 +83 *1751:131 *4808:90 2.55661e-06 +84 *1771:70 *4808:90 0.000478962 +85 *1784:169 *4808:90 0.00014794 +86 *1798:62 *4808:76 8.27686e-05 +87 *1798:62 *4808:90 1.17393e-05 +88 *1800:47 *4808:76 0.000243855 +89 *1917:36 *4808:158 1.47875e-05 +90 *2300:53 *4808:158 0.000173101 +91 *2300:60 *4808:6 0.000179855 +92 *2300:60 *4808:135 7.7353e-05 +93 *2313:25 *4808:12 0.000111947 +94 *2368:34 *4808:121 8.08437e-05 +95 *2380:84 *4808:135 0.000831544 +96 *2403:18 *4808:58 0.000652924 +97 *2425:24 *4808:6 0.000204402 +98 *2425:24 *4808:135 7.99851e-05 +99 *2511:9 *4808:27 0.00141071 +100 *2520:91 *4808:135 0 +101 *2524:8 *4808:76 0.000202172 +102 *2629:37 *4808:76 1.93296e-05 +103 *2649:64 *4808:90 0.000107496 +104 *2690:34 *4808:27 0.000132548 +105 *2690:39 *4808:27 1.75155e-06 +106 *2726:22 *4808:90 7.5909e-06 +107 *2896:45 *4808:6 1.2693e-05 +108 *2896:49 *24100:TE_B 1.03403e-05 +109 *2896:49 *4808:158 2.99859e-05 +110 *3122:39 *4808:58 0.000261935 +111 *3124:127 *4808:58 0.000267064 +112 *3136:149 *4808:27 0.000230448 +113 *3190:10 *4808:12 0.000108485 +114 *3196:23 *4808:27 4.51619e-05 +115 *3204:122 *4808:27 8.56434e-05 +116 *3206:146 *4808:76 4.0356e-05 +117 *3469:46 *4808:27 3.24041e-05 +118 *3481:136 *4808:121 0.000130501 +119 *3507:34 *4808:76 0.00221329 +120 *3509:24 *4808:121 1.34721e-05 +121 *3511:17 *4808:27 5.19758e-05 +122 *3511:28 *4808:27 0.000258829 +123 *3511:28 *4808:29 9.89774e-05 +124 *3511:28 *4808:58 1.02955e-05 +125 *3513:26 *4808:58 0.000263262 +126 *3513:32 *4808:62 5.79874e-05 +127 *3517:15 *4808:27 0.000179613 +128 *3517:53 *4808:76 5.33397e-05 +129 *3519:24 *4808:27 0.000160893 +130 *3519:24 *4808:29 5.33185e-05 +131 *3519:24 *4808:58 5.19843e-06 +132 *3519:30 *4808:58 3.08636e-06 +133 *3521:14 *4808:27 5.04829e-06 +134 *3523:276 *4808:76 6.17774e-05 +135 *3527:54 *4808:76 6.03237e-05 +136 *3529:7 *4808:27 9.85247e-05 +137 *3529:13 *4808:121 0.000110297 +138 *3537:58 *4808:90 0.000101503 +139 *3582:10 *4808:121 0.000130501 +140 *3598:11 *4808:27 2.65465e-05 +141 *3598:11 *4808:29 0.000156946 +142 *4805:92 *4808:135 3.55968e-05 +*RES +1 *21613:Y *4808:6 17.6574 +2 *4808:6 *4808:12 48.0199 +3 *4808:12 *4808:27 49.6981 +4 *4808:27 *4808:29 3.49641 +5 *4808:29 *22642:A1 9.24915 +6 *4808:29 *4808:58 49.0271 +7 *4808:58 *4808:60 4.5 +8 *4808:60 *4808:61 9.24915 +9 *4808:61 *4808:62 127.479 +10 *4808:62 *4808:76 35.6115 +11 *4808:76 *4808:90 43.0151 +12 *4808:90 *21617:B1 9.24915 +13 *4808:60 *4808:101 20.1483 +14 *4808:101 *4808:102 81.1229 +15 *4808:102 *4808:121 49.6356 +16 *4808:121 *22298:B1 9.24915 +17 *4808:6 *4808:135 17.4537 +18 *4808:135 *24100:TE_B 9.97254 +19 *4808:135 *4808:158 42.7252 +20 *4808:158 *22818:A 9.24915 +*END + +*D_NET *4809 0.0307284 +*CONN +*I *22816:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24101:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22307:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21653:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22651:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21649:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22816:A 0.000413668 +2 *24101:TE_B 0 +3 *22307:B1 0 +4 *21653:B1 0.000265554 +5 *22651:A1 0.000224163 +6 *21649:Y 0.000529058 +7 *4809:52 0.00119199 +8 *4809:40 0.001141 +9 *4809:17 0.000860485 +10 *4809:13 0.00173698 +11 *4809:7 0.00434609 +12 *4809:5 0.00542826 +13 *22651:A1 *21696:B1 3.57291e-06 +14 *22651:A1 *22650:A1 0.000182837 +15 *22816:A *4813:17 5.04829e-06 +16 *22816:A *5527:36 0.000310934 +17 *4809:7 *21032:B1 6.7671e-06 +18 *4809:7 *4817:97 0.00039997 +19 *4809:7 *4817:104 0.00088405 +20 *4809:40 *22304:A1 6.50465e-05 +21 *4809:40 *4813:56 0.000101489 +22 *4809:40 *4819:53 0.000161252 +23 *4809:52 *4821:125 2.12108e-05 +24 *4809:52 *5527:36 4.2372e-05 +25 *4809:52 *5864:503 0.000271548 +26 *4809:52 *5864:520 1.11594e-05 +27 *4809:52 *5864:524 0.000628905 +28 *4809:52 *5864:539 0.000253371 +29 *20902:A2 *4809:52 3.55731e-06 +30 *21653:A2 *21653:B1 3.68178e-05 +31 *22305:C1 *4809:40 0.000108612 +32 *22307:B2 *4809:40 0.00037101 +33 *22401:B1 *4809:40 5.94887e-05 +34 *22651:B2 *22651:A1 6.08467e-05 +35 *22674:A1 *4809:17 0.00025175 +36 *22711:C1 *4809:40 0.00010914 +37 *22817:A *22816:A 3.82228e-05 +38 *24271:D *4809:5 0.000250024 +39 *24271:D *4809:7 8.79845e-05 +40 *535:25 *4809:52 0.000435128 +41 *1439:104 *4809:52 0.000136072 +42 *1576:28 *4809:40 2.41274e-06 +43 *1652:41 *4809:7 0.000347849 +44 *1709:54 *4809:52 1.3813e-05 +45 *2117:55 *4809:13 0.000142682 +46 *2295:42 *22816:A 2.97007e-05 +47 *2300:70 *4809:40 0.000136357 +48 *2423:78 *4809:52 7.28994e-06 +49 *2459:56 *4809:13 6.22259e-05 +50 *2471:56 *22816:A 0.000200236 +51 *2481:13 *4809:13 0.000486927 +52 *2481:17 *4809:40 2.99943e-05 +53 *2492:7 *4809:7 0.000821408 +54 *2572:33 *4809:7 0.000680167 +55 *2676:45 *4809:40 6.72573e-05 +56 *2772:38 *21653:B1 0.000210357 +57 *2772:38 *22651:A1 7.94462e-05 +58 *2887:11 *4809:13 0.000531645 +59 *2887:11 *4809:17 0.0011073 +60 *2896:58 *4809:52 0.000222322 +61 *2900:84 *4809:52 0.000741711 +62 *3126:25 *4809:13 7.31946e-06 +63 *3142:233 *4809:40 0.000334188 +64 *3151:153 *4809:17 0.000343703 +65 *3153:70 *4809:40 0.000489302 +66 *3190:15 *4809:40 0.000161414 +67 *3471:139 *4809:13 6.03172e-05 +68 *3491:140 *4809:40 0.000778714 +69 *3498:179 *4809:40 0.000304121 +70 *3537:14 *4809:40 0.000200794 +71 *3537:19 *22651:A1 6.36477e-05 +72 *3539:27 *22651:A1 3.55731e-06 +73 *3543:16 *22651:A1 0.000186247 +74 *3543:33 *21653:B1 0.0003164 +75 *3543:33 *22651:A1 0.000122149 +*RES +1 *21649:Y *4809:5 17.1824 +2 *4809:5 *4809:7 63.9482 +3 *4809:7 *4809:13 18.3472 +4 *4809:13 *4809:17 17.4247 +5 *4809:17 *22651:A1 20.8723 +6 *4809:17 *21653:B1 20.9794 +7 *4809:13 *4809:40 45.0924 +8 *4809:40 *22307:B1 9.24915 +9 *4809:5 *4809:52 39.2649 +10 *4809:52 *24101:TE_B 9.24915 +11 *4809:52 *22816:A 29.2896 +*END + +*D_NET *4810 0.0341867 +*CONN +*I *23864:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24102:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22308:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22649:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21642:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21637:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *23864:A 0.00063594 +2 *24102:TE_B 0 +3 *22308:A1 0 +4 *22649:A1 0 +5 *21642:A1 0 +6 *21637:Y 0.000283834 +7 *4810:82 0.00147179 +8 *4810:66 0.00171886 +9 *4810:53 0.00176787 +10 *4810:50 9.97393e-05 +11 *4810:49 0.00124643 +12 *4810:29 0.00277846 +13 *4810:22 0.00268501 +14 *4810:8 0.00222193 +15 *23864:A *4821:125 2.16355e-05 +16 *23864:A *4829:127 0.000693897 +17 *4810:8 *4844:231 0.000152775 +18 *4810:22 *4844:231 5.06534e-05 +19 *4810:22 *4844:235 0.000838409 +20 *4810:22 *5368:9 0.000115534 +21 *4810:22 *5593:57 0.000431232 +22 *4810:22 *5862:202 0.000314302 +23 *4810:22 *5862:227 0.000108465 +24 *4810:29 *24492:RESET_B 0.000683882 +25 *4810:29 *24492:CLK 0.000127471 +26 *4810:29 *4813:37 0.00127781 +27 *4810:49 *22299:A1 0.000634128 +28 *4810:49 *22304:B1 0.000107496 +29 *4810:49 *22649:B1 0.000203119 +30 *4810:49 *4819:53 0.000259077 +31 *4810:53 *22649:B1 0.000198807 +32 *4810:66 *21636:B1 5.80995e-05 +33 *4810:82 *24102:A 0.000154145 +34 *4810:82 *5363:10 8.14286e-06 +35 *21193:A1 *4810:66 0.000442357 +36 *21635:B1 *4810:66 0.000670508 +37 *21636:A2 *4810:66 3.06917e-06 +38 *21636:B2 *4810:66 8.27624e-05 +39 *21636:C1 *4810:66 0.000158778 +40 *22308:A2 *4810:29 0.000383972 +41 *22308:A2 *4810:49 0.000107496 +42 *22314:B1 *4810:66 0.000210633 +43 *22646:B1 *4810:66 0.000336357 +44 *22652:C *4810:66 1.5714e-05 +45 *23865:A *23864:A 2.16355e-05 +46 *24492:D *4810:29 0.00021218 +47 *324:19 *4810:8 0.000156492 +48 *324:19 *4810:22 0.000239162 +49 *476:142 *23864:A 0.00014172 +50 *476:142 *4810:82 7.98171e-06 +51 *504:14 *4810:29 0.000115772 +52 *1592:70 *4810:66 0.000287019 +53 *1592:93 *4810:66 7.09666e-06 +54 *1608:43 *4810:66 0.000232388 +55 *1645:69 *4810:66 0.000105591 +56 *1688:91 *4810:22 0.000347505 +57 *1742:76 *4810:22 0.000116894 +58 *1750:15 *4810:49 0.000130517 +59 *2266:71 *4810:29 0.00170292 +60 *2266:71 *4810:49 0.00117035 +61 *2267:63 *4810:8 0.000300565 +62 *2282:68 *23864:A 5.04829e-06 +63 *2301:45 *4810:82 0.000107496 +64 *2411:26 *4810:66 4.18944e-06 +65 *2415:26 *4810:22 0.000838952 +66 *2471:56 *23864:A 0.000678375 +67 *2629:37 *4810:66 4.4007e-05 +68 *2694:38 *4810:66 5.65354e-05 +69 *2772:48 *4810:66 7.47353e-05 +70 *2950:92 *4810:29 0.000112159 +71 *3021:13 *4810:22 0.000113468 +72 *3142:233 *4810:49 7.13972e-05 +73 *3144:152 *4810:66 0 +74 *3257:67 *23864:A 9.32983e-05 +75 *3257:67 *4810:82 0.000671592 +76 *3507:19 *4810:66 0.000746473 +77 *3507:34 *4810:66 0.00033307 +78 *3527:54 *4810:66 0.000826735 +79 *3529:13 *4810:53 0.000203595 +80 *3529:39 *4810:66 0.00012551 +81 *3568:8 *4810:66 9.97045e-06 +82 *4808:121 *4810:49 1.37531e-05 +*RES +1 *21637:Y *4810:8 20.3233 +2 *4810:8 *4810:22 46.6276 +3 *4810:22 *4810:29 49.2979 +4 *4810:29 *4810:49 40.1382 +5 *4810:49 *4810:50 57.9449 +6 *4810:50 *4810:53 11.6364 +7 *4810:53 *4810:66 47.3597 +8 *4810:66 *21642:A1 13.7491 +9 *4810:53 *22649:A1 9.24915 +10 *4810:29 *22308:A1 9.24915 +11 *4810:8 *4810:82 23.5253 +12 *4810:82 *24102:TE_B 9.24915 +13 *4810:82 *23864:A 38.4492 +*END + +*D_NET *4811 0.0351231 +*CONN +*I *24103:TE_B I *D sky130_fd_sc_hd__ebufn_2 +*I *23866:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21636:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22299:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22647:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21631:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *24103:TE_B 0.00011792 +2 *23866:A 0.000530044 +3 *21636:A1 0.000216929 +4 *22299:A1 0.000913741 +5 *22647:A1 5.09386e-05 +6 *21631:Y 0.000157087 +7 *4811:83 0.00205655 +8 *4811:50 0.000967449 +9 *4811:49 5.37076e-05 +10 *4811:42 0.00109169 +11 *4811:29 0.00176093 +12 *4811:21 0.00192651 +13 *4811:20 0.00142325 +14 *4811:18 0.00152438 +15 *4811:6 0.00309005 +16 *22299:A1 *22649:B1 7.09666e-06 +17 *23866:A *4817:85 0.000105895 +18 *23866:A *5402:11 0.000388086 +19 *23866:A *5864:585 0.000107176 +20 *23866:A *5864:607 0.000219208 +21 *24103:TE_B *24103:A 0.000154145 +22 *24103:TE_B *4817:85 0.000196653 +23 *24103:TE_B *5864:607 0.000186945 +24 *4811:6 *4826:84 7.22663e-05 +25 *4811:6 *5862:329 0.000119354 +26 *4811:18 *21076:A1 2.91222e-05 +27 *4811:18 *4824:130 1.40966e-05 +28 *4811:18 *4826:84 5.1768e-05 +29 *4811:18 *4845:270 0.000373433 +30 *4811:18 *4845:281 0.000131559 +31 *4811:18 *5592:87 5.75265e-05 +32 *4811:18 *5593:57 0.000445701 +33 *4811:18 *5861:434 0.000865623 +34 *4811:18 *5862:259 0.000110766 +35 *4811:18 *5862:267 3.30186e-05 +36 *4811:18 *5862:319 3.20754e-05 +37 *4811:21 *4908:181 0.000159016 +38 *4811:29 *4815:98 6.1578e-06 +39 *4811:29 *4827:79 0.000129091 +40 *4811:29 *5909:38 0.000864512 +41 *4811:83 *5592:102 0.000974045 +42 *4811:83 *5861:408 0.000504332 +43 *4811:83 *5902:33 3.39313e-05 +44 *22298:B2 *22299:A1 6.08467e-05 +45 *22299:C1 *22299:A1 6.76778e-05 +46 *22313:B2 *4811:42 4.99006e-05 +47 *22649:A2 *22299:A1 5.481e-05 +48 *22649:B2 *22299:A1 7.54269e-06 +49 *22652:B *22299:A1 2.38934e-06 +50 *23867:A *23866:A 0.000107496 +51 *24223:D *4811:18 0.000276732 +52 *1439:75 *24103:TE_B 2.61955e-05 +53 *1450:164 *4811:18 9.3512e-05 +54 *1551:83 *4811:18 0.000122784 +55 *1645:94 *21636:A1 6.08467e-05 +56 *1750:15 *22299:A1 6.53098e-05 +57 *1750:45 *22299:A1 0.000492103 +58 *2203:50 *4811:18 1.00521e-05 +59 *2275:43 *4811:21 0.000247443 +60 *2280:6 *21636:A1 7.22263e-05 +61 *2280:6 *4811:42 0.000634331 +62 *2304:58 *4811:21 0.000154145 +63 *2368:49 *4811:42 0.000211103 +64 *2459:56 *4811:21 0.00063206 +65 *2486:106 *4811:18 0.000338862 +66 *2503:61 *4811:42 0.000171825 +67 *2642:14 *4811:18 0.000184948 +68 *2678:17 *21636:A1 3.82228e-05 +69 *2679:41 *4811:6 0.000125898 +70 *2679:41 *4811:18 0.000142017 +71 *2690:40 *22299:A1 7.86728e-05 +72 *2813:47 *4811:18 0.000145682 +73 *2887:11 *4811:21 0.00111572 +74 *2906:56 *4811:18 0.000180443 +75 *3117:150 *4811:21 0.000681642 +76 *3117:245 *4811:21 0.000298399 +77 *3124:146 *4811:21 0.000584056 +78 *3124:146 *4811:29 5.35941e-05 +79 *3208:150 *21636:A1 6.80946e-05 +80 *3208:150 *4811:42 0.000207107 +81 *3257:61 *23866:A 0.000132526 +82 *3483:149 *4811:42 5.89592e-05 +83 *3529:13 *22299:A1 1.42855e-05 +84 *3533:38 *4811:42 0.000572012 +85 *3539:27 *21636:A1 0.000101738 +86 *3582:10 *22299:A1 4.0605e-06 +87 *3587:8 *4811:42 0.000303415 +88 *3636:11 *4811:29 7.57527e-05 +89 *4804:96 *4811:29 0.000704438 +90 *4805:52 *4811:21 0.00198296 +91 *4808:101 *22299:A1 6.12989e-05 +92 *4808:101 *22647:A1 5.64232e-05 +93 *4808:102 *4811:50 4.29906e-05 +94 *4808:121 *22299:A1 0.000107496 +95 *4808:121 *22647:A1 0.000152158 +96 *4810:49 *22299:A1 0.000634128 +*RES +1 *21631:Y *4811:6 19.3184 +2 *4811:6 *4811:18 48.5093 +3 *4811:18 *4811:20 4.5 +4 *4811:20 *4811:21 51.7469 +5 *4811:21 *4811:29 14.2122 +6 *4811:29 *4811:42 41.7011 +7 *4811:42 *22647:A1 11.0817 +8 *4811:42 *4811:49 9.24915 +9 *4811:49 *4811:50 81.1229 +10 *4811:50 *22299:A1 47.2464 +11 *4811:29 *21636:A1 19.4245 +12 *4811:6 *4811:83 42.445 +13 *4811:83 *23866:A 28.7314 +14 *4811:83 *24103:TE_B 19.0748 +*END + +*D_NET *4812 0.0727065 +*CONN +*I *6110:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23868:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24104:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *21610:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22648:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22305:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21609:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *6110:DIODE 0 +2 *23868:A 0.000160904 +3 *24104:TE_B 5.72554e-05 +4 *21610:B1 0.000112301 +5 *22648:A1 0 +6 *22305:A1 0.0014544 +7 *21609:Y 0.000825242 +8 *4812:105 0.000825446 +9 *4812:90 0.00174041 +10 *4812:87 0.00136547 +11 *4812:80 0.00140471 +12 *4812:38 0.0075356 +13 *4812:18 0.00893018 +14 *4812:14 0.00461091 +15 *4812:7 0.00164161 +16 *21610:B1 *21611:A1 2.99978e-05 +17 *22305:A1 *4819:40 1.9101e-05 +18 *22305:A1 *4824:153 0.000205101 +19 *23868:A *5529:29 1.19726e-05 +20 *24104:TE_B *24104:A 3.82228e-05 +21 *4812:7 *5884:29 0.000193653 +22 *4812:18 *20214:A1 6.21488e-06 +23 *4812:18 *4826:73 0.000203002 +24 *4812:18 *4902:71 6.57652e-05 +25 *4812:38 *4815:98 6.46729e-05 +26 *4812:38 *4827:51 0.000842978 +27 *4812:38 *4831:25 0.0042399 +28 *4812:80 *25002:A 3.61993e-05 +29 *4812:80 *4814:28 0.000538325 +30 *4812:80 *4815:35 0.000487347 +31 *4812:80 *4941:7 5.02752e-05 +32 *4812:80 *5417:5 6.39068e-05 +33 *4812:80 *5528:8 1.26179e-05 +34 *4812:80 *5862:559 2.1203e-06 +35 *4812:80 *5862:568 4.33186e-05 +36 *4812:80 *5862:585 0.000149499 +37 *4812:87 *4817:54 0.000123946 +38 *4812:87 *5042:8 0.00010801 +39 *4812:87 *5528:8 0.000557224 +40 *4812:87 *5864:747 7.40684e-06 +41 *4812:90 *4818:13 0.00012107 +42 *4812:90 *4819:111 0.000173664 +43 *4812:90 *5041:10 8.39931e-05 +44 *4812:90 *5529:16 0.000116665 +45 *4812:90 *5864:724 0.000493549 +46 *4812:90 *5864:747 1.10793e-05 +47 *4812:105 *24104:A 7.12415e-05 +48 *4812:105 *5529:29 9.00916e-06 +49 *4812:105 *5904:38 0.000453632 +50 mgmt_gpio_oeb[10] *23868:A 7.50319e-05 +51 *20727:B *4812:18 1.79334e-05 +52 *21262:D *4812:38 0.000350393 +53 *21658:B2 *4812:38 0.00030524 +54 *22296:B1 *4812:18 2.87136e-06 +55 *22296:B1 *4812:38 1.81572e-05 +56 *22306:D *22305:A1 0.000107496 +57 *22308:B2 *22305:A1 5.46134e-05 +58 *22308:C1 *22305:A1 0.000123103 +59 *22330:A2 *22305:A1 0.00238798 +60 *22330:A2 *4812:38 6.23101e-05 +61 *22378:A1 *4812:38 0.0015131 +62 *476:172 *4812:14 0 +63 *476:174 *4812:14 0 +64 *1418:108 *4812:18 0.000160069 +65 *1551:154 *4812:18 3.93689e-05 +66 *1564:62 *4812:38 6.75269e-05 +67 *1576:28 *22305:A1 6.61544e-05 +68 *1577:103 *4812:38 0.000693329 +69 *1610:115 *4812:38 0.000252934 +70 *1610:181 *4812:18 4.91394e-06 +71 *1610:181 *4812:38 1.23518e-05 +72 *1627:131 *21610:B1 5.04829e-06 +73 *1658:119 *4812:18 0.00237125 +74 *2293:38 *4812:38 4.70237e-05 +75 *2294:30 *4812:14 0.00144763 +76 *2297:39 *4812:7 7.16984e-05 +77 *2298:30 *4812:38 0.00026629 +78 *2319:17 *4812:7 9.29156e-05 +79 *2319:26 *4812:14 0.000368196 +80 *2321:36 *4812:18 0.000446884 +81 *2337:22 *4812:18 0.000678202 +82 *2337:22 *4812:38 0.00160578 +83 *2362:20 *4812:38 0 +84 *2372:60 *4812:18 0.000872283 +85 *2372:60 *4812:80 0.000145644 +86 *2373:17 *4812:18 3.57523e-05 +87 *2380:62 *4812:90 0.00241075 +88 *2416:53 *4812:38 0.00101982 +89 *2451:48 *4812:38 0.000351564 +90 *2452:14 *4812:18 4.09553e-05 +91 *2452:14 *4812:38 6.34222e-05 +92 *2503:31 *4812:90 0.000813621 +93 *2570:66 *4812:105 0.000450035 +94 *2584:30 *4812:80 0.000255157 +95 *2584:32 *4812:80 0.0001302 +96 *2584:32 *4812:87 3.91695e-05 +97 *2584:77 *22305:A1 0.00229196 +98 *2629:37 *4812:38 6.22732e-06 +99 *2635:35 *4812:80 0.000706106 +100 *2676:29 *22305:A1 0.000442653 +101 *2679:37 *4812:87 5.59187e-05 +102 *2729:47 *4812:18 1.7794e-05 +103 *2882:74 *4812:38 9.29815e-06 +104 *3011:24 *4812:14 0.00162023 +105 *3111:94 *22305:A1 7.16607e-05 +106 *3124:242 *4812:38 9.58249e-05 +107 *3148:72 *4812:38 0.000848435 +108 *3148:91 *4812:38 0.00104471 +109 *3192:25 *22305:A1 3.17436e-05 +110 *3206:173 *4812:38 0.000988281 +111 *3212:19 *4812:87 3.1597e-05 +112 *3263:23 *4812:18 0.000461572 +113 *3263:23 *4812:80 0.000146041 +114 *3481:136 *22305:A1 1.91391e-05 +115 *3481:136 *4812:38 7.08288e-05 +116 *3493:8 *22305:A1 0 +117 *3533:30 *4812:38 3.17436e-05 +118 *3541:29 *4812:38 0.00142191 +119 *3541:56 *4812:38 0.00156388 +120 *3598:11 *22305:A1 2.27135e-05 +121 *3598:11 *4812:38 6.29131e-05 +*RES +1 *21609:Y *4812:7 26.1192 +2 *4812:7 *4812:14 40.3629 +3 *4812:14 *4812:18 12.8231 +4 *4812:18 *4812:38 36.3617 +5 *4812:38 *22305:A1 36.6166 +6 *4812:38 *22648:A1 13.7491 +7 *4812:18 *21610:B1 16.1605 +8 *4812:14 *4812:80 41.5991 +9 *4812:80 *4812:87 17.154 +10 *4812:87 *4812:90 48.8953 +11 *4812:90 *24104:TE_B 10.5271 +12 *4812:90 *4812:105 24.6323 +13 *4812:105 *23868:A 12.7938 +14 *4812:105 *6110:DIODE 9.24915 +*END + +*D_NET *4813 0.0845004 +*CONN +*I *23870:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24105:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *21629:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *6212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22304:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22639:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21628:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *23870:A 0.000287927 +2 *24105:TE_B 0 +3 *21629:B1 0 +4 *6212:DIODE 6.90692e-05 +5 *22304:A1 4.3597e-05 +6 *22639:A1 0 +7 *21628:Y 0.000895661 +8 *4813:125 0.00140821 +9 *4813:110 0.00738737 +10 *4813:103 0.00949041 +11 *4813:82 0.00245427 +12 *4813:81 0.00225432 +13 *4813:56 0.00196836 +14 *4813:39 0.00201195 +15 *4813:37 0.0017825 +16 *4813:31 0.00248558 +17 *4813:20 0.00175244 +18 *4813:17 0.00109314 +19 *23870:A *24105:A 9.43616e-05 +20 *23870:A *5041:10 0.000146813 +21 *23870:A *5904:35 5.04829e-06 +22 *4813:17 *20900:A1 4.66032e-05 +23 *4813:17 *21177:A 0.00014342 +24 *4813:17 *4975:9 6.08467e-05 +25 *4813:17 *5527:36 0.000327331 +26 *4813:20 *5859:209 5.61454e-05 +27 *4813:31 *24337:CLK 5.04829e-06 +28 *4813:31 *4829:108 0.00145097 +29 *4813:31 *5857:168 0.000113374 +30 *4813:37 *21892:A 6.08467e-05 +31 *4813:37 *4819:40 0.00157582 +32 *4813:56 *22581:B 5.1493e-06 +33 *4813:56 *4827:51 0.000162552 +34 *4813:56 *5948:128 1.16596e-05 +35 *4813:81 *5859:205 0.000736839 +36 *4813:81 *5859:209 0.000211029 +37 *4813:82 *19564:A0 0.000307271 +38 *4813:82 *5528:12 0.00105188 +39 *4813:82 *5864:539 0.000253722 +40 *4813:103 *4823:85 0.000323379 +41 *4813:103 *4827:99 8.86215e-06 +42 *4813:103 *4904:110 0.000367873 +43 *4813:110 *21998:A1 0.000489381 +44 *4813:110 *4904:110 0.000235723 +45 *4813:125 *20658:A1 5.481e-05 +46 *4813:125 *20692:B1 8.56016e-05 +47 *4813:125 *24105:A 3.82228e-05 +48 *4813:125 *4819:12 4.36958e-05 +49 *4813:125 *4819:14 2.9884e-05 +50 *4813:125 *4819:111 3.14546e-05 +51 *4813:125 *4827:100 0.00173447 +52 *20697:B2 *4813:125 8.16827e-05 +53 *21235:B1 *4813:110 7.56459e-05 +54 *21511:A1 *4813:110 0.000250775 +55 *21511:B2 *4813:110 0.000148972 +56 *21512:A2 *4813:110 0.000106365 +57 *21630:C1 *6212:DIODE 3.82228e-05 +58 *22054:B2 *4813:110 0.000293974 +59 *22305:C1 *22304:A1 5.79498e-05 +60 *22305:C1 *4813:56 7.09666e-06 +61 *22308:A2 *4813:37 0.000123688 +62 *22399:A *4813:110 0.000258601 +63 *22587:A *4813:56 0 +64 *22587:B *4813:56 1.03079e-05 +65 *22593:C *4813:56 2.34497e-05 +66 *22724:D *4813:110 9.5305e-05 +67 *22816:A *4813:17 5.04829e-06 +68 *24269:D *4813:82 0.000109954 +69 *24273:D *4813:82 0.000120544 +70 *476:161 *4813:103 0.000320705 +71 *500:22 *4813:125 1.5254e-05 +72 *500:41 *4813:103 9.73471e-05 +73 *500:41 *4813:110 3.17436e-05 +74 *500:41 *4813:125 0.000445858 +75 *500:48 *4813:20 0.000555295 +76 *500:48 *4813:81 0.000478332 +77 *508:20 *4813:103 8.0505e-07 +78 *508:28 *4813:103 7.34176e-05 +79 *1439:104 *4813:81 0.000269148 +80 *1439:104 *4813:82 1.41911e-05 +81 *1545:54 *4813:56 0.00148344 +82 *1602:45 *4813:110 0.000236025 +83 *1608:98 *23870:A 0.000148237 +84 *1612:24 *23870:A 6.98337e-06 +85 *1614:75 *4813:110 1.67867e-05 +86 *1643:28 *4813:110 1.17108e-05 +87 *1652:41 *4813:81 1.76791e-05 +88 *1652:65 *4813:110 0.0031333 +89 *1679:61 *4813:110 0.0034679 +90 *1750:15 *4813:37 0.00101106 +91 *2040:8 *4813:125 0.000165982 +92 *2040:10 *4813:125 0.00036666 +93 *2040:12 *4813:125 0.00046294 +94 *2091:83 *4813:56 1.34031e-05 +95 *2091:99 *4813:56 0.000104166 +96 *2091:106 *4813:56 0.000229246 +97 *2091:124 *4813:56 6.37466e-05 +98 *2093:10 *4813:56 2.27135e-05 +99 *2093:136 *4813:110 2.49093e-05 +100 *2098:49 *4813:56 1.34986e-05 +101 *2266:63 *4813:31 0.00149912 +102 *2266:63 *4813:37 0.000237675 +103 *2266:71 *4813:37 0.000322699 +104 *2287:82 *23870:A 4.6535e-05 +105 *2288:24 *4813:110 0.000866471 +106 *2380:62 *4813:82 0.00301365 +107 *2384:32 *4813:103 6.69933e-05 +108 *2423:78 *4813:81 0.000207883 +109 *2446:145 *4813:110 2.08003e-05 +110 *2467:87 *4813:110 4.16959e-05 +111 *2471:54 *4813:17 0.00017216 +112 *2486:84 *4813:103 0.000325412 +113 *2486:96 *4813:103 2.56241e-05 +114 *2503:31 *4813:103 4.17596e-05 +115 *2520:87 *4813:82 0.000685411 +116 *2584:45 *4813:103 0 +117 *2589:53 *4813:110 0.000928257 +118 *2613:29 *4813:110 0.00206322 +119 *2636:28 *4813:56 9.59407e-05 +120 *2642:39 *4813:56 6.67624e-05 +121 *2777:28 *4813:110 9.55657e-05 +122 *2847:8 *4813:110 4.51619e-05 +123 *2908:12 *4813:37 7.13972e-05 +124 *2908:63 *4813:37 7.13972e-05 +125 *2924:15 *4813:110 0.00513357 +126 *2924:57 *4813:20 0.000398395 +127 *2949:60 *4813:31 0.000116986 +128 *2949:71 *4813:110 8.83308e-05 +129 *2990:36 *4813:37 7.27261e-05 +130 *3020:56 *4813:110 4.16959e-05 +131 *3026:35 *4813:110 0.000787501 +132 *3031:18 *6212:DIODE 6.26522e-05 +133 *3076:23 *4813:110 0.0019758 +134 *3120:163 *4813:110 3.31713e-05 +135 *3190:144 *4813:110 4.54865e-05 +136 *3202:14 *4813:110 0.000437583 +137 *3333:33 *4813:110 5.60804e-05 +138 *3467:47 *4813:56 0.000134877 +139 *3467:61 *4813:56 4.09154e-05 +140 *3469:52 *4813:56 0.000208279 +141 *3472:82 *4813:56 0.000181847 +142 *3472:89 *4813:56 2.27118e-06 +143 *3491:140 *4813:56 3.98345e-05 +144 *3498:163 *4813:56 5.33881e-06 +145 *3498:179 *4813:56 2.57465e-06 +146 *3531:11 *4813:56 0.00076704 +147 *3543:75 *4813:110 5.60804e-05 +148 *3546:13 *4813:110 0.000177764 +149 *3632:19 *4813:110 1.08989e-05 +150 *3688:22 *4813:110 0.000401837 +151 *4809:40 *22304:A1 6.50465e-05 +152 *4809:40 *4813:56 0.000101489 +153 *4810:29 *4813:37 0.00127781 +*RES +1 *21628:Y *4813:17 43.1292 +2 *4813:17 *4813:20 10.4546 +3 *4813:20 *4813:31 37.7385 +4 *4813:31 *4813:37 47.3583 +5 *4813:37 *4813:39 4.5 +6 *4813:39 *4813:56 45.0616 +7 *4813:56 *22639:A1 9.24915 +8 *4813:39 *22304:A1 15.1659 +9 *4813:20 *4813:81 35.5163 +10 *4813:81 *4813:82 57.2682 +11 *4813:82 *4813:103 18.9444 +12 *4813:103 *4813:110 29.9768 +13 *4813:110 *6212:DIODE 11.0817 +14 *4813:110 *21629:B1 9.24915 +15 *4813:103 *4813:125 48.1551 +16 *4813:125 *24105:TE_B 9.24915 +17 *4813:125 *23870:A 26.2407 +*END + +*D_NET *4814 0.080268 +*CONN +*I *24106:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *6136:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23872:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22301:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22645:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21624:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *6111:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21619:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *24106:TE_B 0 +2 *6136:DIODE 0 +3 *23872:A 0.000131652 +4 *22301:B1 9.20389e-06 +5 *22645:A1 0 +6 *21624:A1 0.000924873 +7 *6111:DIODE 0 +8 *21619:Y 0.00117303 +9 *4814:107 0.000258993 +10 *4814:88 0.00105828 +11 *4814:83 0.000497896 +12 *4814:77 0.00162865 +13 *4814:64 0.00825371 +14 *4814:37 0.00721541 +15 *4814:31 0.000520881 +16 *4814:28 0.00152356 +17 *4814:26 0.00184723 +18 *4814:19 0.00130284 +19 *4814:9 0.00292745 +20 *4814:7 0.00355288 +21 *21624:A1 *21622:A 0.000149989 +22 *4814:7 *24484:RESET_B 0.000207609 +23 *4814:7 *24484:CLK 1.65872e-05 +24 *4814:7 *5300:11 1.11381e-05 +25 *4814:9 *21997:A 2.16355e-05 +26 *4814:19 *24014:A0 0.000179271 +27 *4814:19 *24106:A 2.16355e-05 +28 *4814:19 *4819:121 0.00138069 +29 *4814:19 *4820:87 0.000197984 +30 *4814:19 *4820:91 0.000455331 +31 *4814:26 *24106:A 4.58003e-05 +32 *4814:26 *4820:87 0.000509236 +33 *4814:28 *20271:A1 9.24241e-05 +34 *4814:28 *20328:A1 0.000252344 +35 *4814:28 *4819:117 0.000192776 +36 *4814:28 *4820:87 0.00130258 +37 *4814:28 *5528:8 0.000627422 +38 *4814:64 *4816:124 3.17436e-05 +39 *4814:64 *4817:85 0.000271591 +40 *4814:64 *4826:117 1.8224e-05 +41 *4814:64 *4828:80 0.000443887 +42 *4814:64 *5493:51 0.000124228 +43 *4814:64 *5592:102 0.000873557 +44 *4814:64 *5898:220 4.15201e-05 +45 *4814:64 *5904:38 0.000109645 +46 *4814:64 *5908:87 1.51338e-05 +47 *4814:64 *5919:72 0.000198603 +48 *4814:77 *22645:B1 0.0025373 +49 *4814:77 *5874:133 4.72882e-05 +50 *20324:B1 *4814:19 0.000701362 +51 *20326:B1 *4814:26 0.000588083 +52 *20626:A *4814:7 0.000111708 +53 *21412:C1 *4814:64 0.00137147 +54 *21623:B1 *21624:A1 0.000158451 +55 *22301:A2 *4814:77 9.7112e-06 +56 *22301:B2 *4814:77 2.55312e-06 +57 *22310:B2 *4814:77 6.28669e-05 +58 *22645:A2 *4814:88 3.5534e-06 +59 *22645:B2 *4814:83 2.53145e-06 +60 *22652:A *4814:83 0.000133894 +61 *24728:D *4814:26 6.48791e-05 +62 *24728:D *4814:28 2.33629e-05 +63 *24729:D *4814:26 0.000153225 +64 *490:105 *4814:64 0.000960107 +65 *1573:155 *4814:64 1.50066e-05 +66 *1595:13 *4814:7 1.45649e-05 +67 *1629:18 *4814:19 5.08751e-05 +68 *1629:18 *4814:26 0.000113968 +69 *1641:109 *21624:A1 0.00181766 +70 *1655:11 *21624:A1 1.04818e-05 +71 *1655:29 *21624:A1 4.82506e-05 +72 *1709:40 *4814:64 0.000404154 +73 *1741:40 *4814:64 0.000214287 +74 *1884:45 *4814:64 0.00285805 +75 *1904:42 *4814:26 8.67441e-05 +76 *1904:49 *4814:26 5.59443e-05 +77 *2244:19 *4814:77 0.00130465 +78 *2244:36 *21624:A1 0.00176602 +79 *2244:36 *4814:77 3.54099e-05 +80 *2256:114 *4814:64 0.000397957 +81 *2257:69 *4814:64 3.00134e-05 +82 *2266:33 *23872:A 0.000209232 +83 *2266:33 *4814:31 4.68978e-05 +84 *2266:33 *4814:37 1.17519e-05 +85 *2266:33 *4814:107 1.48984e-05 +86 *2274:95 *4814:64 0.00535131 +87 *2291:61 *4814:64 0.000432086 +88 *2295:82 *4814:64 0.000233079 +89 *2301:57 *4814:64 8.64171e-05 +90 *2321:13 *4814:9 0.0011223 +91 *2360:43 *4814:64 2.93245e-06 +92 *2368:34 *4814:88 0.00013115 +93 *2379:63 *4814:64 2.68993e-05 +94 *2448:146 *4814:64 0.00293334 +95 *2451:36 *4814:19 0.000204949 +96 *2459:29 *4814:64 0.00052491 +97 *2460:40 *4814:64 0.00181307 +98 *2467:49 *4814:64 0.000364746 +99 *2492:32 *4814:64 0.00108149 +100 *2494:13 *4814:28 7.14746e-05 +101 *2584:26 *4814:26 0.000972613 +102 *2584:26 *4814:28 9.71323e-06 +103 *2584:30 *4814:28 0.000790776 +104 *2584:62 *4814:64 8.3051e-06 +105 *2690:40 *4814:77 7.19237e-05 +106 *2692:12 *4814:64 4.15201e-05 +107 *2692:31 *4814:64 0.000117561 +108 *2908:29 *4814:64 3.24092e-05 +109 *2989:47 *4814:64 0.000872263 +110 *3011:10 *4814:7 6.44964e-06 +111 *3011:10 *4814:9 0.000213725 +112 *3050:28 *4814:64 8.04172e-05 +113 *3072:20 *4814:64 0.00135086 +114 *3114:102 *22301:B1 1.44237e-05 +115 *3114:102 *4814:77 5.22859e-06 +116 *3114:102 *4814:83 0.00111293 +117 *3128:46 *4814:77 0.00027161 +118 *3144:116 *21624:A1 0.00110869 +119 *3148:183 *21624:A1 0.000107496 +120 *3204:150 *4814:77 2.2279e-05 +121 *3204:150 *4814:83 2.95884e-05 +122 *3204:299 *4814:88 0.000110505 +123 *3206:146 *21624:A1 0.000168086 +124 *3348:21 *4814:64 5.93953e-05 +125 *3466:172 *4814:64 2.84928e-05 +126 *3485:163 *21624:A1 0.000503488 +127 *3509:42 *4814:88 2.27118e-06 +128 *4808:121 *4814:83 0.000178229 +129 *4812:80 *4814:28 0.000538325 +*RES +1 *21619:Y *4814:7 26.7553 +2 *4814:7 *4814:9 50.6377 +3 *4814:9 *4814:19 38.6201 +4 *4814:19 *4814:26 37.1784 +5 *4814:26 *4814:28 47.7418 +6 *4814:28 *4814:31 10.2148 +7 *4814:31 *6111:DIODE 9.24915 +8 *4814:31 *4814:37 1.278 +9 *4814:37 *4814:64 48.0834 +10 *4814:64 *4814:77 14.5188 +11 *4814:77 *4814:83 14.0821 +12 *4814:83 *4814:88 12.0778 +13 *4814:88 *21624:A1 49.7834 +14 *4814:83 *22645:A1 9.24915 +15 *4814:77 *22301:B1 9.9002 +16 *4814:37 *4814:107 1.8326 +17 *4814:107 *23872:A 13.0349 +18 *4814:107 *6136:DIODE 9.24915 +19 *4814:19 *24106:TE_B 9.24915 +*END + +*D_NET *4815 0.0822873 +*CONN +*I *24107:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *23874:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22636:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21599:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22296:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21595:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *24107:TE_B 0 +2 *23874:A 0.000642944 +3 *22636:B1 9.62522e-05 +4 *21599:B1 0.000952724 +5 *22296:A1 0.000143244 +6 *21595:Y 0.000837867 +7 *4815:122 0.00187333 +8 *4815:116 0.00172658 +9 *4815:98 0.00277978 +10 *4815:91 0.00382974 +11 *4815:68 0.00361196 +12 *4815:67 0.00199317 +13 *4815:51 0.00153663 +14 *4815:35 0.00447917 +15 *4815:30 0.00373919 +16 *4815:28 0.00152583 +17 *4815:26 0.00244609 +18 *4815:19 0.00259277 +19 *4815:11 0.00216653 +20 *22296:A1 *22297:B1 1.60561e-05 +21 *22636:B1 *22313:A1 6.08467e-05 +22 *22636:B1 *22641:A1 5.41227e-05 +23 *22636:B1 *4827:79 0 +24 *23874:A *5855:201 8.65358e-05 +25 *4815:11 *24355:CLK 9.40969e-05 +26 *4815:11 *24706:CLK 6.6641e-05 +27 *4815:11 *4838:306 0.000662624 +28 *4815:11 *4838:308 9.88755e-05 +29 *4815:11 *4838:310 6.15896e-05 +30 *4815:11 *4898:84 0 +31 *4815:11 *4898:99 0 +32 *4815:11 *5518:7 5.04829e-06 +33 *4815:19 *24706:RESET_B 0.000105916 +34 *4815:19 *4835:27 4.31539e-05 +35 *4815:19 *5518:7 0.000116024 +36 *4815:26 *19567:A0 0.000208946 +37 *4815:26 *20266:A1 0.000226328 +38 *4815:26 *24691:RESET_B 0.000123147 +39 *4815:26 *24731:CLK 0.00073255 +40 *4815:26 *5497:6 6.46124e-05 +41 *4815:26 *5535:8 0.00012568 +42 *4815:26 *5865:511 0 +43 *4815:26 *5865:640 7.46648e-06 +44 *4815:28 *20267:A1 8.03995e-05 +45 *4815:28 *23965:A0 0.000281281 +46 *4815:28 *23965:A1 0.000312862 +47 *4815:28 *5497:6 5.11593e-05 +48 *4815:30 *20269:B1 0 +49 *4815:30 *20272:A1 0.000129067 +50 *4815:30 *24041:A1 0.000196658 +51 *4815:30 *4903:222 2.01535e-05 +52 *4815:35 *22297:B1 2.87885e-05 +53 *4815:35 *24041:A1 0.000393329 +54 *4815:35 *4816:80 0.000934863 +55 *4815:35 *4832:60 0.000662748 +56 *4815:35 *4903:214 9.24187e-05 +57 *4815:35 *5091:9 0.000220713 +58 *4815:35 *5862:559 3.09435e-05 +59 *4815:35 *5862:585 4.60375e-07 +60 *4815:51 *20213:A1 1.79503e-05 +61 *4815:51 *21634:A 4.97617e-05 +62 *4815:51 *22297:B1 0.000711875 +63 *4815:51 *24766:CLK 4.51063e-05 +64 *4815:51 *5090:21 0.00010088 +65 *4815:67 *4845:229 0 +66 *4815:67 *5853:156 1.16182e-05 +67 *4815:68 *20209:B1 0 +68 *4815:68 *21998:A1 3.80436e-07 +69 *4815:68 *24770:CLK 9.38347e-05 +70 *4815:91 *21998:A1 1.31711e-05 +71 *4815:98 *4827:79 6.00033e-05 +72 *4815:98 *5909:38 5.60804e-05 +73 *4815:116 *21696:B1 0.000396795 +74 *4815:116 *4827:79 0.000109333 +75 *19797:A *4815:91 5.49916e-05 +76 *19801:A2 *4815:91 0.00271817 +77 *20215:B2 *4815:51 0.000833108 +78 *20302:B2 *4815:11 6.67958e-05 +79 *20322:B1 *4815:26 0.00117449 +80 *20689:B *4815:68 0.000140365 +81 *20935:B *4815:68 0.000979186 +82 *20940:B2 *4815:68 0.00015514 +83 *21259:A1 *4815:91 7.23857e-05 +84 *21259:A2 *4815:68 0.000505013 +85 *21259:A2 *4815:91 4.42234e-05 +86 *21487:B2 *4815:122 2.77419e-05 +87 *21599:B2 *21599:B1 2.27985e-05 +88 *21635:A1 *4815:116 2.01653e-05 +89 *21635:A1 *4815:122 0.000207911 +90 *21658:B2 *4815:98 0.00169372 +91 *21800:B1 *4815:122 2.1203e-06 +92 *21800:B2 *21599:B1 0.00025175 +93 *21801:A2 *4815:122 0.000207901 +94 *21801:C1 *21599:B1 5.92708e-05 +95 *22028:B2 *4815:91 3.94057e-05 +96 *22296:B1 *22296:A1 0.000294093 +97 *22297:B2 *22296:A1 2.05562e-05 +98 *22297:C1 *22296:A1 0.000200794 +99 *22313:B2 *4815:116 3.88358e-05 +100 *22314:B1 *4815:116 0.000113478 +101 *22383:A1 *4815:35 5.67305e-05 +102 *22383:A1 *4815:51 7.64175e-05 +103 *22384:A2 *22296:A1 3.31745e-05 +104 *22651:C1 *4815:98 3.54474e-05 +105 *22651:C1 *4815:116 7.08288e-05 +106 *22664:B2 *21599:B1 0.000820152 +107 *22714:A2 *4815:98 0.000139455 +108 *23875:A *23874:A 0.000107496 +109 *24041:S *4815:28 0 +110 *24041:S *4815:30 0 +111 *24312:D *4815:68 9.83892e-05 +112 *24687:D *4815:35 0.000122068 +113 *24727:D *4815:30 2.44976e-05 +114 *24764:D *4815:51 0.000356473 +115 *24766:D *4815:67 5.99155e-05 +116 *144:7 *4815:19 0.000115632 +117 *1554:113 *4815:91 0.000708632 +118 *1564:62 *4815:98 0.000448364 +119 *1598:58 *21599:B1 4.23858e-05 +120 *1608:65 *4815:91 0.00105671 +121 *1608:98 *4815:91 0.00140543 +122 *1610:74 *4815:91 0.000375376 +123 *1641:109 *4815:122 0.0003012 +124 *1645:94 *4815:98 0.00150361 +125 *1655:61 *4815:122 1.97133e-05 +126 *1658:27 *21599:B1 0.000399718 +127 *1658:188 *4815:11 0.000521445 +128 *1658:215 *21599:B1 9.28335e-05 +129 *1663:27 *4815:68 0.00150304 +130 *1688:91 *4815:116 0.000462848 +131 *1741:40 *4815:35 0.000234993 +132 *1802:136 *4815:116 2.05082e-05 +133 *1802:143 *4815:116 5.94319e-06 +134 *1886:49 *4815:51 3.52699e-05 +135 *1905:16 *4815:26 0.000191541 +136 *1905:20 *4815:26 0.000153742 +137 *1905:26 *4815:26 5.70129e-05 +138 *1905:26 *4815:28 0.0001377 +139 *1905:28 *4815:28 0.000158836 +140 *1905:34 *4815:28 0.000778248 +141 *1905:39 *4815:28 0.000408665 +142 *1905:39 *4815:30 9.96342e-05 +143 *1912:10 *4815:68 0.000230727 +144 *1913:11 *4815:11 0.00020988 +145 *1914:10 *4815:11 0.000247383 +146 *1919:14 *4815:30 2.7961e-05 +147 *1919:14 *4815:35 3.97254e-05 +148 *1919:72 *4815:28 0 +149 *2093:51 *4815:116 8.3051e-06 +150 *2262:78 *4815:68 4.69495e-06 +151 *2262:85 *4815:68 3.48438e-05 +152 *2266:24 *4815:67 8.92051e-05 +153 *2287:94 *4815:91 2.53145e-06 +154 *2287:112 *4815:91 0.000423402 +155 *2294:25 *4815:11 4.63772e-05 +156 *2297:26 *4815:26 0 +157 *2297:60 *4815:68 0.000575533 +158 *2312:26 *4815:91 0.000207266 +159 *2322:7 *4815:91 3.43458e-05 +160 *2357:51 *4815:67 0.000107496 +161 *2394:47 *4815:116 0.000328017 +162 *2416:53 *4815:98 1.15125e-05 +163 *2462:8 *4815:91 0.000222603 +164 *2473:57 *4815:91 3.64904e-05 +165 *2486:11 *4815:11 0.000304998 +166 *2486:70 *4815:67 0.000110297 +167 *2495:10 *4815:51 0.000189742 +168 *2503:99 *4815:122 0.000718241 +169 *2545:55 *22296:A1 7.54269e-06 +170 *2570:40 *4815:28 0 +171 *2620:20 *4815:26 0.000334375 +172 *2620:20 *4815:28 0 +173 *2675:99 *4815:122 0.00281666 +174 *2676:17 *4815:51 2.14052e-05 +175 *2676:17 *4815:67 0.00028042 +176 *2676:17 *4815:68 6.62968e-05 +177 *2687:23 *4815:19 5.2374e-05 +178 *2690:40 *22636:B1 0.000113374 +179 *2690:40 *4815:98 0.000451185 +180 *2772:48 *4815:122 0.000134041 +181 *2812:18 *4815:122 1.84334e-05 +182 *2813:25 *4815:19 0.000270438 +183 *2906:52 *4815:68 0.000283481 +184 *2989:5 *4815:51 0.000111722 +185 *2989:32 *4815:35 0.000323597 +186 *2989:32 *4815:51 0.000259124 +187 *3021:13 *4815:116 0.00055214 +188 *3124:146 *4815:98 5.46889e-05 +189 *3136:178 *4815:98 4.69495e-06 +190 *3148:72 *4815:98 8.3051e-06 +191 *3204:150 *22636:B1 2.57465e-06 +192 *3208:118 *4815:122 3.33281e-05 +193 *3257:20 *4815:67 0 +194 *3305:8 *4815:51 0.000193325 +195 *3333:33 *4815:98 0.000398736 +196 *3483:135 *4815:98 3.77568e-05 +197 *3483:149 *4815:122 0.000407617 +198 *3483:163 *4815:122 0.000474215 +199 *3496:142 *4815:98 0.000206449 +200 *3496:155 *4815:98 0.00101237 +201 *3509:151 *4815:122 1.69337e-05 +202 *3529:39 *4815:116 0.000167672 +203 *3632:19 *4815:91 0.0001646 +204 *3636:11 *4815:98 1.65305e-05 +205 *4811:29 *4815:98 6.1578e-06 +206 *4812:38 *4815:98 6.46729e-05 +207 *4812:80 *4815:35 0.000487347 +*RES +1 *21595:Y *4815:11 49.771 +2 *4815:11 *4815:19 33.1465 +3 *4815:19 *4815:26 48.9387 +4 *4815:26 *4815:28 39.4123 +5 *4815:28 *4815:30 9.30653 +6 *4815:30 *4815:35 31.6954 +7 *4815:35 *4815:51 48.9094 +8 *4815:51 *22296:A1 14.4335 +9 *4815:35 *4815:67 21.4999 +10 *4815:67 *4815:68 55.8148 +11 *4815:68 *4815:91 43.1591 +12 *4815:91 *4815:98 20.6716 +13 *4815:98 *4815:116 18.9659 +14 *4815:116 *4815:122 48.1564 +15 *4815:122 *21599:B1 30.7943 +16 *4815:98 *22636:B1 16.7198 +17 *4815:30 *23874:A 26.6738 +18 *4815:28 *24107:TE_B 13.7491 +*END + +*D_NET *4816 0.0847178 +*CONN +*I *24108:TE_B I *D sky130_fd_sc_hd__ebufn_2 +*I *23876:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22650:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21636:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22302:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21632:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *24108:TE_B 0.00010897 +2 *23876:A 6.242e-05 +3 *22650:B1 0.000846168 +4 *21636:B1 0.00122656 +5 *22302:B1 0.000150272 +6 *21632:Y 0.00219233 +7 *4816:125 0.00349044 +8 *4816:124 0.00432359 +9 *4816:96 0.00418536 +10 *4816:89 0.00278226 +11 *4816:80 0.0028259 +12 *4816:55 0.00504317 +13 *4816:53 0.00679532 +14 *4816:49 0.00117935 +15 *4816:38 0.00100165 +16 *4816:29 0.00118942 +17 *4816:22 0.00385589 +18 *4816:9 0.00530695 +19 *21636:B1 *5593:57 0.00258584 +20 *22650:B1 *22650:A1 2.16355e-05 +21 *24108:TE_B *4828:49 4.44033e-05 +22 *4816:9 *20730:B1 1.33184e-05 +23 *4816:9 *20784:A1 1.61631e-05 +24 *4816:9 *24462:RESET_B 0.000203397 +25 *4816:9 *24462:CLK 4.31703e-05 +26 *4816:9 *24486:RESET_B 0.000452788 +27 *4816:9 *4897:25 0.000149018 +28 *4816:9 *5014:11 0.000111708 +29 *4816:9 *5317:10 6.36373e-06 +30 *4816:9 *5714:26 0.000493448 +31 *4816:22 *19567:S 0.000127179 +32 *4816:22 *20321:A1 0.000151382 +33 *4816:22 *20730:B1 6.46333e-05 +34 *4816:22 *21255:A 1.22896e-05 +35 *4816:22 *24905:RESET_B 0.000132292 +36 *4816:22 *4827:106 1.12605e-05 +37 *4816:22 *4830:42 0 +38 *4816:22 *4845:183 0.000124862 +39 *4816:22 *4901:43 3.98548e-05 +40 *4816:22 *4901:53 3.89332e-06 +41 *4816:22 *5865:342 0.000226296 +42 *4816:29 *20270:A1 0.000224488 +43 *4816:29 *24040:A1 3.93179e-05 +44 *4816:29 *4828:49 2.41274e-06 +45 *4816:29 *5857:540 8.56657e-05 +46 *4816:38 *20326:A1 0.000272616 +47 *4816:38 *4817:47 0.00060974 +48 *4816:38 *5898:224 6.84784e-06 +49 *4816:38 *5898:228 4.51619e-05 +50 *4816:49 *24020:A1 8.76478e-05 +51 *4816:49 *4819:117 6.89084e-05 +52 *4816:49 *4819:121 0.000485821 +53 *4816:49 *4839:161 1.61631e-05 +54 *4816:53 *4820:86 1.35371e-05 +55 *4816:55 *24296:RESET_B 0.000331873 +56 *4816:55 *24763:CLK 0.00024736 +57 *4816:55 *4820:86 5.63639e-06 +58 *4816:55 *4835:49 0.00161602 +59 *4816:55 *5865:100 1.43084e-05 +60 *4816:80 *24437:SET_B 2.20663e-05 +61 *4816:80 *4827:100 0.000208925 +62 *4816:80 *5034:13 7.21868e-05 +63 *4816:80 *5855:202 0.000148925 +64 *4816:80 *5862:537 0.0002552 +65 *4816:80 *5862:559 5.76615e-05 +66 *4816:89 *4827:100 0 +67 *4816:89 *4843:759 9.22013e-06 +68 *4816:89 *4903:54 0.000686689 +69 *4816:89 *5424:13 0.000317417 +70 *4816:96 *24464:CLK 1.82034e-05 +71 *4816:96 *24470:CLK 2.2011e-05 +72 *4816:96 *4824:122 1.55561e-05 +73 *4816:96 *5424:13 8.42687e-05 +74 *4816:96 *5429:15 2.98286e-05 +75 *4816:96 *5859:167 0.000972626 +76 *4816:96 *5859:172 0.000384066 +77 *4816:96 *5862:399 6.34651e-06 +78 *4816:96 *5862:441 5.36301e-05 +79 *4816:96 *5924:40 0.00210325 +80 *4816:124 *20938:B1 0.000433307 +81 *4816:124 *21269:A 0.000120681 +82 *4816:124 *24310:CLK 5.39929e-05 +83 *4816:124 *4843:345 0.000100015 +84 *4816:124 *4906:103 6.50878e-05 +85 *4816:124 *5857:125 3.80436e-07 +86 *4816:124 *5919:72 0.00113681 +87 *4816:125 *21025:A1 0.000239955 +88 *4816:125 *5395:11 0.000513541 +89 *4816:125 *5593:57 0.00303645 +90 *20270:B2 *4816:29 0.000413252 +91 *20327:B2 *4816:80 5.73302e-05 +92 *20328:B1 *4816:80 0.000302234 +93 *20730:A2 *4816:22 6.34536e-06 +94 *21604:A1 *21636:B1 0.000685112 +95 *21636:A2 *21636:B1 6.01877e-06 +96 *21658:B1 *4816:124 3.47489e-06 +97 *22302:B2 *22302:B1 1.273e-05 +98 *22314:B1 *21636:B1 7.30103e-05 +99 *22342:A1 *22650:B1 3.93714e-05 +100 *22650:A2 *22650:B1 0.000620637 +101 *22674:A1 *22650:B1 2.97017e-05 +102 *22675:B1 *22650:B1 0.000158567 +103 *22675:B2 *22650:B1 2.1203e-06 +104 *22675:C1 *22650:B1 2.27901e-06 +105 *22678:A2 *21636:B1 0.00077956 +106 *23877:A *23876:A 0.000107496 +107 *24040:S *4816:29 8.15516e-05 +108 *24311:D *4816:124 0.000101998 +109 *24417:D *4816:22 5.73105e-05 +110 *24418:D *4816:22 0.000274815 +111 *24470:D *4816:96 0.000103189 +112 *24486:D *4816:9 9.13394e-06 +113 *24687:D *4816:80 0.000122068 +114 *24693:D *4816:22 4.70005e-05 +115 *24730:D *4816:49 0.000190042 +116 *500:22 *4816:80 5.12248e-05 +117 *500:22 *4816:89 0.000537317 +118 *598:8 *4816:49 0.00020502 +119 *1418:79 *4816:124 0 +120 *1545:66 *4816:124 0.000327867 +121 *1608:98 *4816:124 0.000116391 +122 *1610:195 *22302:B1 0.000198847 +123 *1617:8 *4816:89 0.000158885 +124 *1631:57 *4816:124 0.000148867 +125 *1717:68 *4816:125 0.000537358 +126 *1725:192 *4816:55 0.00124649 +127 *1899:36 *4816:80 3.67911e-05 +128 *1919:14 *4816:80 9.6019e-05 +129 *1919:16 *4816:80 4.36701e-05 +130 *1920:34 *4816:38 0.000498369 +131 *1920:40 *4816:38 8.31639e-05 +132 *2052:13 *4816:9 4.3564e-06 +133 *2052:17 *4816:9 2.16355e-05 +134 *2155:37 *4816:124 5.57532e-05 +135 *2156:10 *4816:124 8.03951e-06 +136 *2258:32 *22302:B1 0.000157541 +137 *2262:34 *4816:22 0.000460979 +138 *2262:40 *4816:22 5.97597e-05 +139 *2274:95 *4816:124 3.32122e-05 +140 *2282:53 *4816:96 1.91391e-05 +141 *2297:52 *4816:55 0.000286696 +142 *2301:57 *4816:124 0.000921996 +143 *2319:55 *4816:124 0.000107659 +144 *2320:35 *23876:A 0.000200794 +145 *2320:35 *4816:49 0.000307023 +146 *2379:63 *4816:124 0.000197597 +147 *2384:16 *4816:53 0.000814259 +148 *2415:40 *4816:124 7.5863e-05 +149 *2423:93 *4816:124 7.47344e-06 +150 *2433:19 *4816:22 0 +151 *2440:67 *22650:B1 3.63593e-05 +152 *2451:36 *4816:49 0.000136198 +153 *2459:29 *4816:125 6.03122e-05 +154 *2467:87 *4816:89 0.000227059 +155 *2468:54 *4816:89 0.000185171 +156 *2486:76 *4816:80 0.000172075 +157 *2486:83 *4816:80 4.72325e-05 +158 *2486:83 *4816:89 2.08838e-05 +159 *2530:44 *4816:22 8.62625e-06 +160 *2570:40 *4816:53 0.000814259 +161 *2610:29 *4816:49 0.000822928 +162 *2772:38 *21636:B1 0.000703961 +163 *2813:47 *21636:B1 9.90205e-05 +164 *2813:47 *4816:125 0.000483622 +165 *2906:56 *4816:96 4.69495e-06 +166 *2906:56 *4816:124 2.25583e-07 +167 *2924:8 *4816:96 5.48102e-05 +168 *3011:10 *4816:22 2.0139e-05 +169 *3041:118 *4816:96 6.1661e-06 +170 *3041:118 *4816:124 0.000154004 +171 *3117:245 *22650:B1 3.4548e-05 +172 *3481:215 *22650:B1 0.000208956 +173 *3483:206 *22650:B1 0.000102079 +174 *3531:43 *22650:B1 3.43356e-05 +175 *4810:66 *21636:B1 5.80995e-05 +176 *4814:64 *4816:124 3.17436e-05 +177 *4815:35 *4816:80 0.000934863 +*RES +1 *21632:Y *4816:9 45.7806 +2 *4816:9 *4816:22 47.6263 +3 *4816:22 *4816:29 23.5735 +4 *4816:29 *4816:38 25.8544 +5 *4816:38 *4816:49 37.5795 +6 *4816:49 *4816:53 23.2558 +7 *4816:53 *4816:55 75.0403 +8 *4816:55 *22302:B1 22.5727 +9 *4816:53 *4816:80 49.9945 +10 *4816:80 *4816:89 46.7131 +11 *4816:89 *4816:96 45.2014 +12 *4816:96 *4816:124 46.1854 +13 *4816:124 *4816:125 4.60105 +14 *4816:125 *21636:B1 22.2779 +15 *4816:125 *22650:B1 38.0511 +16 *4816:38 *23876:A 11.6364 +17 *4816:29 *24108:TE_B 11.6364 +*END + +*D_NET *4817 0.0750802 +*CONN +*I *23878:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21605:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22651:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22311:A I *D sky130_fd_sc_hd__or2_1 +*I *24109:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *21600:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *23878:A 6.61859e-05 +2 *21605:A1 0.000302381 +3 *22651:B1 0.000336201 +4 *22311:A 0.000231714 +5 *24109:TE_B 7.99206e-05 +6 *21600:Y 0.00202816 +7 *4817:161 0.00197585 +8 *4817:145 0.00309366 +9 *4817:120 0.00342969 +10 *4817:108 0.0029402 +11 *4817:104 0.00141169 +12 *4817:97 0.00166241 +13 *4817:85 0.00297277 +14 *4817:64 0.00259004 +15 *4817:54 0.00187779 +16 *4817:48 0.00210263 +17 *4817:47 0.00221503 +18 *4817:41 0.00169023 +19 *4817:23 0.00097726 +20 *4817:10 0.000604603 +21 *4817:7 0.00224499 +22 *21605:A1 *5454:15 0.000154145 +23 *23878:A *5495:11 1.61631e-05 +24 *4817:7 *20268:A1 4.84204e-05 +25 *4817:7 *20734:A1 4.30017e-06 +26 *4817:7 *4842:240 0.000163225 +27 *4817:7 *5533:9 1.80887e-05 +28 *4817:10 *4819:121 0.000225533 +29 *4817:10 *4825:61 8.67676e-05 +30 *4817:23 *4828:44 0.000619063 +31 *4817:23 *5495:11 0.000211478 +32 *4817:41 *5556:10 0.00053177 +33 *4817:41 *5898:228 0.000770359 +34 *4817:47 *20271:A1 0.000154145 +35 *4817:47 *24730:CLK 7.92757e-06 +36 *4817:47 *5553:9 0.000205332 +37 *4817:47 *5898:224 0.00147832 +38 *4817:48 *4903:202 0.000118485 +39 *4817:48 *4903:208 0.000192463 +40 *4817:54 *21437:A 0.000129223 +41 *4817:54 *24441:RESET_B 3.10341e-05 +42 *4817:54 *5042:8 0.000333393 +43 *4817:54 *5528:8 3.3409e-05 +44 *4817:64 *5043:11 0.000251655 +45 *4817:64 *5529:16 8.15723e-05 +46 *4817:64 *5898:205 0.00122399 +47 *4817:64 *5904:38 2.97587e-05 +48 *4817:85 *19563:A1 3.82228e-05 +49 *4817:85 *19564:S 5.60804e-05 +50 *4817:85 *4828:80 0.0002639 +51 *4817:85 *5864:607 6.73338e-05 +52 *4817:85 *5874:36 2.6825e-05 +53 *4817:85 *5908:87 0.0017967 +54 *4817:97 *4843:407 0.000140694 +55 *4817:97 *4909:109 1.82679e-05 +56 *4817:97 *5405:11 3.10304e-06 +57 *4817:97 *5861:451 0.000300738 +58 *4817:104 *21032:B1 3.40423e-05 +59 *4817:104 *21071:A1 8.91927e-05 +60 *4817:104 *21432:A 9.19575e-05 +61 *4817:104 *5861:451 0.000106907 +62 *4817:108 *4908:181 9.99525e-05 +63 *4817:120 *21696:B1 0.000166405 +64 *4817:120 *4827:79 0.000107861 +65 *4817:161 *24914:A 3.49654e-05 +66 *20268:A2 *4817:7 1.43848e-05 +67 *20268:B2 *4817:7 4.41404e-05 +68 *20327:B2 *4817:48 0.000118485 +69 *20996:B2 *4817:97 8.54663e-05 +70 *21443:A *4817:145 0.000107496 +71 *21443:C *4817:145 0.000143008 +72 *21443:D *4817:145 0.000107496 +73 *21605:A2 *21605:A1 4.31603e-06 +74 *21658:A1 *22311:A 4.98101e-05 +75 *21658:C1 *22651:B1 6.75121e-06 +76 *21658:C1 *4817:145 2.27901e-06 +77 *21746:B *4817:161 5.75139e-05 +78 *21746:C *21605:A1 2.37827e-05 +79 *21746:C *4817:161 0.000353727 +80 *22209:A1 *4817:161 6.78364e-06 +81 *22209:B2 *4817:161 0.000705986 +82 *22254:A1 *4817:145 0.00059669 +83 *22254:A2 *4817:145 1.20598e-05 +84 *22304:B2 *4817:120 0.000114262 +85 *22364:A1 *22651:B1 0.000249484 +86 *22364:A1 *4817:145 4.89765e-05 +87 *22365:B1 *22651:B1 0.000130713 +88 *22365:B1 *4817:145 0.000237071 +89 *22651:A2 *22651:B1 4.31603e-06 +90 *22669:C1 *4817:161 0.000110306 +91 *22675:B1 *4817:120 0.000207599 +92 *22689:B *22311:A 5.54585e-05 +93 *22689:B *4817:108 3.14713e-05 +94 *22692:B2 *22651:B1 6.08467e-05 +95 *23866:A *4817:85 0.000105895 +96 *23879:A *23878:A 3.88212e-05 +97 *24014:S *4817:10 0.000141016 +98 *24103:TE_B *4817:85 0.000196653 +99 *24441:D *4817:54 0.000285563 +100 *24731:D *4817:7 9.80242e-07 +101 *25141:A *4817:47 0.000108118 +102 *480:134 *4817:10 2.95757e-05 +103 *480:137 *24109:TE_B 0.000207266 +104 *480:137 *4817:23 6.08467e-05 +105 *490:105 *4817:64 2.57465e-06 +106 *490:105 *4817:85 0.000132201 +107 *512:47 *4817:108 0.000480689 +108 *1443:60 *4817:120 4.02278e-05 +109 *1539:18 *4817:145 0.000280153 +110 *1539:18 *4817:161 0.000114584 +111 *1539:25 *4817:161 3.57578e-05 +112 *1556:30 *4817:104 4.2372e-05 +113 *1620:35 *4817:161 5.01835e-05 +114 *1625:83 *4817:145 0.00033524 +115 *1629:12 *4817:10 3.93207e-05 +116 *1629:12 *4817:23 0.000622661 +117 *1635:42 *21605:A1 0.000154333 +118 *1652:41 *4817:97 0.00025456 +119 *1679:20 *4817:97 0.000248473 +120 *1688:91 *4817:120 0.000111923 +121 *1904:26 *4817:48 0.00049301 +122 *1905:20 *4817:7 2.85274e-05 +123 *1920:40 *4817:47 0.000151398 +124 *1920:42 *4817:47 9.22013e-06 +125 *2203:50 *4817:104 0.000158016 +126 *2267:59 *4817:85 0.00033063 +127 *2286:36 *4817:161 1.49935e-05 +128 *2291:61 *4817:85 0.000220036 +129 *2295:59 *4817:85 2.65815e-05 +130 *2354:55 *4817:145 0.000487194 +131 *2357:50 *4817:41 0 +132 *2357:50 *4817:47 0.000118725 +133 *2380:62 *4817:97 0.000245468 +134 *2383:25 *4817:120 6.36463e-05 +135 *2384:16 *4817:48 0.00158981 +136 *2394:47 *22651:B1 4.0919e-05 +137 *2417:53 *4817:54 7.48506e-05 +138 *2433:85 *4817:64 0.000273292 +139 *2471:56 *4817:85 0.000255576 +140 *2481:13 *4817:108 0.000294093 +141 *2503:19 *4817:64 5.481e-05 +142 *2545:35 *4817:48 0.000534115 +143 *2564:68 *4817:161 1.315e-05 +144 *2570:66 *4817:85 0.000152469 +145 *2584:30 *4817:48 0.000495936 +146 *2584:32 *4817:48 0.000476129 +147 *2584:32 *4817:54 0.00193512 +148 *2584:45 *4817:64 0.00155384 +149 *2631:70 *4817:145 5.11466e-05 +150 *2686:40 *4817:145 0.000975794 +151 *2687:68 *4817:85 3.68962e-05 +152 *2692:12 *4817:41 0.000365208 +153 *2692:31 *4817:64 0 +154 *2729:54 *4817:54 2.23124e-05 +155 *2791:50 *4817:85 1.60578e-06 +156 *2887:11 *4817:108 0.00226126 +157 *2887:23 *4817:120 0.000131362 +158 *2924:51 *4817:97 0.000144119 +159 *2978:47 *4817:85 0.000124083 +160 *3041:71 *4817:145 3.03403e-05 +161 *3117:204 *4817:161 0.000191032 +162 *3136:178 *4817:120 0.000783156 +163 *3136:208 *4817:161 1.65872e-05 +164 *3243:30 *4817:145 1.28732e-05 +165 *3257:44 *4817:54 0.000505792 +166 *3257:61 *4817:85 0.000726658 +167 *3263:24 *4817:48 0 +168 *3322:11 *4817:145 7.96277e-05 +169 *3471:139 *4817:120 2.06825e-05 +170 *3483:135 *4817:120 0.000301059 +171 *3483:206 *4817:120 0.000810081 +172 *3485:197 *22651:B1 5.1493e-06 +173 *3487:214 *22651:B1 2.43314e-05 +174 *3487:233 *22651:B1 0.000424149 +175 *3493:8 *22311:A 0.000269066 +176 *3493:8 *4817:108 3.51505e-05 +177 *3500:105 *4817:145 4.967e-05 +178 *3502:143 *4817:145 0.000236166 +179 *3531:43 *4817:120 7.10539e-05 +180 *3537:35 *22651:B1 0.000190033 +181 *3537:58 *21605:A1 0.00036072 +182 *3537:58 *4817:161 4.79321e-06 +183 *3539:60 *4817:161 0.000149783 +184 *4804:96 *4817:120 5.39598e-05 +185 *4805:47 *4817:108 2.16355e-05 +186 *4805:52 *4817:108 4.78069e-06 +187 *4809:7 *4817:97 0.00039997 +188 *4809:7 *4817:104 0.00088405 +189 *4812:87 *4817:54 0.000123946 +190 *4814:64 *4817:85 0.000271591 +191 *4816:38 *4817:47 0.00060974 +*RES +1 *21600:Y *4817:7 42.7573 +2 *4817:7 *4817:10 13.3913 +3 *4817:10 *24109:TE_B 11.6364 +4 *4817:10 *4817:23 23.7721 +5 *4817:23 *4817:41 29.7458 +6 *4817:41 *4817:47 43.1968 +7 *4817:47 *4817:48 47.925 +8 *4817:48 *4817:54 46.9912 +9 *4817:54 *4817:64 48.8928 +10 *4817:64 *4817:85 47.7564 +11 *4817:85 *4817:97 44.7639 +12 *4817:97 *4817:104 22.6447 +13 *4817:104 *4817:108 35.4113 +14 *4817:108 *22311:A 19.526 +15 *4817:108 *4817:120 10.8414 +16 *4817:120 *22651:B1 25.4043 +17 *4817:120 *4817:145 48.5508 +18 *4817:145 *4817:161 47.3238 +19 *4817:161 *21605:A1 18.3157 +20 *4817:23 *23878:A 11.1059 +*END + +*D_NET *4818 0.0880547 +*CONN +*I *23880:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24110:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22307:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22640:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21630:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21626:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *23880:A 0 +2 *24110:TE_B 0.000497184 +3 *22307:A1 0 +4 *22640:B1 8.69659e-05 +5 *21630:B1 0.00539982 +6 *21626:Y 0 +7 *4818:116 0.00113782 +8 *4818:110 0.00590657 +9 *4818:80 0.000151689 +10 *4818:79 0.000313044 +11 *4818:73 0.00169379 +12 *4818:56 0.00228779 +13 *4818:50 0.00229016 +14 *4818:48 0.00260158 +15 *4818:23 0.00675523 +16 *4818:22 0.00126842 +17 *4818:16 0.00202641 +18 *4818:13 0.0020825 +19 *4818:4 0.00638876 +20 *21630:B1 *5457:13 5.61895e-06 +21 *24110:TE_B *24678:RESET_B 0.000107496 +22 *4818:13 *4819:111 0.000332303 +23 *4818:13 *5855:219 4.00664e-05 +24 *4818:13 *5864:747 5.60804e-05 +25 *4818:16 *24469:RESET_B 0.000235154 +26 *4818:16 *4844:207 0.00034898 +27 *4818:16 *4844:214 0.000834381 +28 *4818:16 *5417:22 0.000792618 +29 *4818:16 *5417:26 2.10564e-05 +30 *4818:16 *5855:219 0.000697066 +31 *4818:16 *5855:223 0.00165424 +32 *4818:16 *5862:411 7.58517e-05 +33 *4818:22 *5863:23 0.000451209 +34 *4818:22 *5864:677 0.000207266 +35 *4818:48 *20938:B1 1.5714e-05 +36 *4818:48 *24491:CLK 6.69939e-06 +37 *4818:50 *4824:142 0.000336323 +38 *4818:50 *4825:118 1.01851e-05 +39 *4818:56 *4824:153 0.000883944 +40 *4818:73 *22589:C 9.17739e-05 +41 *4818:73 *22593:D 0.000133375 +42 *4818:73 *5948:182 0.000260999 +43 *4818:110 *4828:50 0.000355034 +44 *4818:110 *5592:112 0.000830526 +45 *4818:110 *5898:220 6.20642e-05 +46 *4818:116 *4821:151 7.55816e-05 +47 mgmt_gpio_oeb[15] *4818:110 3.17436e-05 +48 mgmt_gpio_out[15] *4818:116 0.000221938 +49 *6091:DIODE *21630:B1 0.000536634 +50 *20613:B *4818:73 0.000331622 +51 *20615:A *4818:56 5.04829e-06 +52 *21194:A1 *22640:B1 0.000114916 +53 *21194:A1 *4818:79 2.03542e-05 +54 *21235:A1 *21630:B1 0.000128973 +55 *21235:B2 *21630:B1 3.85719e-06 +56 *21235:C1 *21630:B1 0.00213221 +57 *21236:D *21630:B1 8.02889e-06 +58 *21259:B2 *21630:B1 2.04181e-05 +59 *21309:B2 *21630:B1 0.000104754 +60 *21549:B1 *21630:B1 0.000138125 +61 *21630:B2 *21630:B1 5.0391e-05 +62 *22054:A1 *21630:B1 6.03122e-05 +63 *22210:A1 *21630:B1 8.14918e-06 +64 *22270:A2 *21630:B1 8.90777e-05 +65 *22315:A *4818:56 7.50872e-05 +66 *22324:A1 *21630:B1 0.000144592 +67 *22325:A1 *21630:B1 0.000555935 +68 *22325:A2 *21630:B1 7.37861e-05 +69 *22325:B1 *21630:B1 0.000863356 +70 *22379:B2 *21630:B1 0.000133626 +71 *22525:A *4818:73 6.08467e-05 +72 *22589:A *4818:73 0.000163504 +73 *22593:A *4818:73 0.00035152 +74 *22593:C *4818:73 2.07365e-05 +75 *22619:B1 *21630:B1 0.00107504 +76 *22640:A2 *4818:79 0.000154591 +77 *23881:A *24110:TE_B 0.000107496 +78 *23881:A *4818:116 6.0356e-05 +79 *24314:D *4818:48 0.000145165 +80 *25143:A *4818:116 0.0001438 +81 *478:64 *4818:22 0.00083805 +82 *490:83 *4818:13 0.000200794 +83 *490:83 *4818:110 0.000221151 +84 *500:13 *4818:13 0.0003553 +85 *1555:58 *4818:13 9.70896e-05 +86 *1564:114 *21630:B1 0.000844673 +87 *1564:134 *21630:B1 0.00122721 +88 *1584:69 *21630:B1 3.47602e-05 +89 *1584:95 *21630:B1 7.2712e-05 +90 *1592:115 *21630:B1 1.72375e-05 +91 *1631:58 *4818:22 7.90587e-05 +92 *1666:12 *21630:B1 0.000197793 +93 *1708:95 *21630:B1 0 +94 *1741:40 *4818:110 0 +95 *1750:15 *4818:56 8.5985e-05 +96 *2015:55 *4818:50 5.56367e-05 +97 *2015:78 *4818:50 0.0017955 +98 *2015:91 *4818:50 0.000434306 +99 *2093:15 *22640:B1 3.41459e-05 +100 *2093:15 *4818:79 2.77625e-06 +101 *2093:29 *22640:B1 1.88014e-05 +102 *2093:29 *4818:79 0.000154145 +103 *2093:136 *21630:B1 0.0004614 +104 *2155:26 *4818:22 0.000198756 +105 *2155:28 *4818:22 0.00041303 +106 *2256:101 *4818:48 1.66741e-05 +107 *2256:101 *4818:50 0.00187666 +108 *2256:114 *4818:48 5.81031e-05 +109 *2262:102 *4818:48 0.000130282 +110 *2274:95 *21630:B1 0.00285091 +111 *2275:56 *4818:48 0.000238377 +112 *2275:56 *4818:50 8.17829e-06 +113 *2287:62 *4818:13 0.000182279 +114 *2319:55 *4818:22 8.11083e-05 +115 *2320:59 *4818:22 0.000363153 +116 *2320:68 *4818:22 0.000886117 +117 *2321:43 *21630:B1 0.000108986 +118 *2332:13 *4818:48 0.000110505 +119 *2357:50 *4818:110 0.000485108 +120 *2360:43 *4818:110 0.00047744 +121 *2367:79 *21630:B1 4.00225e-05 +122 *2372:32 *4818:116 0.00172377 +123 *2380:62 *4818:13 7.84457e-06 +124 *2384:32 *4818:13 1.73011e-05 +125 *2389:96 *21630:B1 0.000295192 +126 *2404:60 *4818:23 4.23427e-05 +127 *2415:64 *21630:B1 6.99493e-06 +128 *2417:28 *4818:116 0.000501152 +129 *2417:43 *4818:110 0 +130 *2417:53 *4818:13 0 +131 *2440:55 *4818:56 0.00028577 +132 *2440:55 *4818:73 5.84074e-05 +133 *2451:16 *24110:TE_B 0.000544508 +134 *2451:36 *4818:110 0 +135 *2452:29 *4818:22 0.00030401 +136 *2460:40 *4818:110 0.000101509 +137 *2467:49 *4818:110 2.01853e-05 +138 *2473:57 *21630:B1 0.000806764 +139 *2473:57 *4818:23 0.000368031 +140 *2541:36 *21630:B1 6.03237e-05 +141 *2595:11 *4818:73 5.2856e-05 +142 *2595:15 *4818:73 7.54269e-06 +143 *2613:47 *21630:B1 0.000144426 +144 *2676:22 *4818:48 0.00147522 +145 *2676:29 *4818:56 7.6719e-06 +146 *2679:37 *4818:13 0.000694319 +147 *2687:30 *4818:110 0.000110463 +148 *2692:12 *24110:TE_B 0.000535829 +149 *2692:31 *4818:110 0.000113478 +150 *2888:17 *4818:22 0.000993045 +151 *2888:19 *4818:22 7.82353e-05 +152 *2949:71 *21630:B1 2.40527e-05 +153 *2949:71 *4818:23 7.43006e-06 +154 *2989:47 *4818:110 0.000131084 +155 *3034:6 *4818:110 0.000137129 +156 *3054:18 *21630:B1 6.03237e-05 +157 *3064:35 *21630:B1 0.000209297 +158 *3134:142 *21630:B1 9.98366e-05 +159 *3146:157 *21630:B1 2.09544e-05 +160 *3153:112 *4818:48 0.00034551 +161 *3173:23 *21630:B1 0.000175818 +162 *3179:134 *4818:79 1.55025e-05 +163 *3204:168 *21630:B1 0.000106597 +164 *3209:6 *21630:B1 0.000200439 +165 *3212:19 *4818:13 0 +166 *3466:21 *4818:73 0.000301209 +167 *3471:6 *4818:73 8.35594e-05 +168 *3471:108 *4818:56 4.40086e-05 +169 *3471:108 *4818:73 0.000403095 +170 *3474:18 *4818:73 0.000540238 +171 *3507:156 *21630:B1 5.99047e-05 +172 *3523:127 *21630:B1 0.00103469 +173 *3598:11 *4818:79 0.000158016 +174 *4807:107 *4818:73 0.000718241 +175 *4812:90 *4818:13 0.00012107 +*RES +1 *21626:Y *4818:4 9.24915 +2 *4818:4 *4818:13 22.3473 +3 *4818:13 *4818:16 48.4801 +4 *4818:16 *4818:22 49.4372 +5 *4818:22 *4818:23 0.585316 +6 *4818:23 *21630:B1 37.723 +7 *4818:23 *4818:48 46.6277 +8 *4818:48 *4818:50 48.3402 +9 *4818:50 *4818:56 25.6908 +10 *4818:56 *4818:73 49.5425 +11 *4818:73 *4818:79 20.184 +12 *4818:79 *4818:80 81.1229 +13 *4818:80 *22640:B1 20.9096 +14 *4818:56 *22307:A1 13.7491 +15 *4818:4 *4818:110 29.6842 +16 *4818:110 *4818:116 36.9122 +17 *4818:116 *24110:TE_B 35.1031 +18 *4818:116 *23880:A 9.24915 +*END + +*D_NET *4819 0.0854814 +*CONN +*I *23882:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6112:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *24111:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22308:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22646:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21731:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6137:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21727:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *23882:A 0.000139532 +2 *6112:DIODE 0 +3 *24111:TE_B 0.000138291 +4 *22308:B1 0 +5 *22646:A1 0.000387153 +6 *21731:B1 0 +7 *6137:DIODE 5.94077e-05 +8 *21727:Y 2.3034e-05 +9 *4819:137 0.000471183 +10 *4819:121 0.00303266 +11 *4819:119 0.00260522 +12 *4819:117 0.000901455 +13 *4819:111 0.00197708 +14 *4819:72 0.00614988 +15 *4819:57 0.00734067 +16 *4819:53 0.00132313 +17 *4819:40 0.00156196 +18 *4819:28 0.00405252 +19 *4819:14 0.00450117 +20 *4819:12 0.00215244 +21 *4819:5 0.00174306 +22 *24111:TE_B *5865:548 9.51975e-05 +23 *24111:TE_B *5865:556 6.27718e-05 +24 *24111:TE_B *5865:575 2.86829e-05 +25 *4819:12 *20658:A1 0.00025456 +26 *4819:14 *20692:B1 3.83377e-05 +27 *4819:14 *21293:A 7.5909e-06 +28 *4819:14 *24443:CLK 0.000162888 +29 *4819:14 *24444:RESET_B 0.000139074 +30 *4819:14 *4827:99 0.000836419 +31 *4819:14 *4904:114 5.01835e-05 +32 *4819:14 *4904:167 0.000835265 +33 *4819:28 *21692:A 0.00012509 +34 *4819:28 *4828:169 0.000408258 +35 *4819:40 *22645:B1 8.15703e-05 +36 *4819:72 *4856:18 0.000897697 +37 *4819:72 *5563:45 0.000115387 +38 *4819:111 *24105:A 0.000250254 +39 *4819:111 *5041:10 8.42687e-05 +40 *4819:111 *5494:10 0.00132321 +41 *4819:111 *5864:724 0.000490073 +42 *4819:111 *5864:747 1.66771e-05 +43 *4819:117 *20328:A1 0.000259486 +44 *4819:117 *4820:87 0.00130766 +45 *4819:117 *4941:7 0.000111708 +46 *4819:117 *5528:8 0.00017416 +47 *4819:121 *24014:A0 0.000372364 +48 *4819:121 *24106:A 7.77309e-06 +49 *4819:121 *24678:RESET_B 0.000448936 +50 *4819:121 *4820:87 0.000706793 +51 *4819:121 *4820:91 0.000376185 +52 *4819:121 *4825:61 0 +53 *4819:121 *4828:44 0 +54 *4819:121 *5531:10 0.000151741 +55 *4819:121 *5865:548 0.000729198 +56 *4819:137 *4828:44 4.42938e-06 +57 *4819:137 *5500:8 0.000112367 +58 *19787:B *4819:72 1.54795e-05 +59 *21193:A1 *22646:A1 4.83562e-06 +60 *21193:A1 *4819:72 7.8756e-07 +61 *21193:B1 *4819:72 0 +62 *21476:A2 *4819:72 1.82433e-05 +63 *21589:B1 *4819:72 0.000804224 +64 *21731:A2 *6137:DIODE 1.22436e-05 +65 *21731:C1 *6137:DIODE 5.01163e-05 +66 *22298:B2 *4819:57 0.000203595 +67 *22305:A1 *4819:40 1.9101e-05 +68 *22305:A2 *4819:53 0.000157541 +69 *22334:A2 *4819:40 8.20833e-07 +70 *22646:B1 *22646:A1 4.49767e-05 +71 *22647:C1 *22646:A1 3.57572e-05 +72 *22648:A2 *4819:57 0.000227782 +73 *22649:C1 *4819:57 2.29454e-05 +74 *23883:A *23882:A 1.53125e-05 +75 *24039:S *4819:117 0 +76 *24688:D *4819:111 0.000139435 +77 *24689:D *4819:121 0.000121494 +78 *24690:D *4819:117 0.000120842 +79 *24730:D *4819:117 0.000456756 +80 *480:123 *4819:121 5.22555e-05 +81 *480:134 *4819:121 0.000179462 +82 *494:58 *4819:72 0.000304953 +83 *500:22 *4819:12 0.000128719 +84 *500:22 *4819:14 0.000489084 +85 *500:41 *4819:14 0.000198079 +86 *1576:28 *4819:40 4.69495e-06 +87 *1608:30 *22646:A1 8.41511e-06 +88 *1652:52 *4819:14 0.000213174 +89 *1652:65 *4819:14 0.00138208 +90 *1680:111 *4819:72 0.000521643 +91 *1680:137 *22646:A1 1.16164e-05 +92 *1680:137 *4819:72 6.93584e-05 +93 *1709:27 *4819:117 2.90718e-05 +94 *1735:100 *4819:72 8.24154e-05 +95 *1750:15 *4819:53 0.000556701 +96 *1750:45 *22646:A1 8.03915e-05 +97 *1920:20 *4819:121 0.000319726 +98 *1920:24 *4819:121 0.00047206 +99 *2040:8 *4819:12 0.000222133 +100 *2040:10 *4819:12 0.000361786 +101 *2040:12 *4819:12 9.47958e-05 +102 *2040:12 *4819:14 1.09168e-05 +103 *2040:30 *4819:14 5.1493e-06 +104 *2171:33 *4819:14 0.000148743 +105 *2171:49 *4819:14 0.000197253 +106 *2177:82 *4819:40 5.01835e-05 +107 *2266:90 *4819:57 0.00101753 +108 *2274:95 *4819:28 0.00123322 +109 *2287:62 *4819:111 0.000595827 +110 *2304:19 *4819:28 4.87385e-05 +111 *2338:41 *4819:72 1.22858e-05 +112 *2380:60 *4819:111 0.000177005 +113 *2380:60 *4819:117 0.00122682 +114 *2380:62 *4819:111 1.23804e-05 +115 *2384:38 *4819:28 0.00124197 +116 *2397:50 *4819:28 0.000133726 +117 *2429:25 *4819:72 0.00345989 +118 *2534:10 *4819:12 0.000359803 +119 *2545:67 *4819:28 0.00230979 +120 *2610:29 *4819:117 1.18802e-05 +121 *2610:29 *4819:121 0.000297041 +122 *2629:37 *4819:40 0.000945386 +123 *2635:35 *4819:117 9.19632e-06 +124 *2642:21 *4819:28 8.81117e-05 +125 *2749:41 *6137:DIODE 0.000164829 +126 *2809:24 *4819:28 0.000557815 +127 *2813:30 *4819:14 0 +128 *2813:42 *4819:14 4.60375e-07 +129 *2906:56 *4819:28 0.000365547 +130 *2906:63 *4819:28 0.000124337 +131 *2949:64 *4819:14 0.000234747 +132 *2975:50 *4819:72 0.000439134 +133 *2990:36 *4819:40 0.000890494 +134 *3021:13 *4819:28 0.000382678 +135 *3122:39 *4819:72 0.000181996 +136 *3136:169 *4819:57 0.000240362 +137 *3142:233 *4819:53 7.09666e-06 +138 *3153:70 *4819:53 6.17421e-05 +139 *3175:257 *22646:A1 2.43314e-05 +140 *3175:257 *4819:57 6.08467e-05 +141 *3175:257 *4819:72 0.000278598 +142 *3177:250 *4819:40 5.35941e-05 +143 *3190:15 *4819:53 0.00040228 +144 *3190:15 *4819:57 0.000561006 +145 *3485:19 *4819:72 2.09832e-05 +146 *3485:90 *4819:72 0.000701187 +147 *3487:30 *4819:72 0.000821761 +148 *3491:140 *4819:53 4.46342e-05 +149 *3502:105 *4819:72 0.000572501 +150 *3513:26 *22646:A1 0.000134305 +151 *3513:26 *4819:72 0.000622126 +152 *3519:47 *4819:72 0.000265872 +153 *3523:276 *22646:A1 0.000118985 +154 *3527:24 *4819:57 0.000487796 +155 *3531:11 *4819:53 1.27127e-05 +156 *3531:11 *4819:57 5.73392e-05 +157 *4807:101 *4819:72 0.000147462 +158 *4808:76 *22646:A1 7.09666e-06 +159 *4809:40 *4819:53 0.000161252 +160 *4810:49 *4819:53 0.000259077 +161 *4812:90 *4819:111 0.000173664 +162 *4813:37 *4819:40 0.00157582 +163 *4813:125 *4819:12 4.36958e-05 +164 *4813:125 *4819:14 2.9884e-05 +165 *4813:125 *4819:111 3.14546e-05 +166 *4814:19 *4819:121 0.00138069 +167 *4814:28 *4819:117 0.000192776 +168 *4816:49 *4819:117 6.89084e-05 +169 *4816:49 *4819:121 0.000485821 +170 *4817:10 *4819:121 0.000225533 +171 *4818:13 *4819:111 0.000332303 +*RES +1 *21727:Y *4819:5 9.82786 +2 *4819:5 *4819:12 23.7838 +3 *4819:12 *4819:14 58.3063 +4 *4819:14 *4819:28 36.9615 +5 *4819:28 *4819:40 36.6681 +6 *4819:40 *4819:53 25.2489 +7 *4819:53 *4819:57 34.0628 +8 *4819:57 *4819:72 43.4678 +9 *4819:72 *6137:DIODE 11.6605 +10 *4819:72 *21731:B1 9.24915 +11 *4819:57 *22646:A1 22.0031 +12 *4819:40 *22308:B1 9.24915 +13 *4819:5 *4819:111 46.9352 +14 *4819:111 *4819:117 49.7147 +15 *4819:117 *4819:119 0.732798 +16 *4819:119 *4819:121 101.468 +17 *4819:121 *24111:TE_B 17.482 +18 *4819:121 *4819:137 11.8953 +19 *4819:137 *6112:DIODE 9.24915 +20 *4819:137 *23882:A 12.2151 +*END + +*D_NET *4820 0.0828484 +*CONN +*I *23884:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24112:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22310:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21696:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22636:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21692:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *23884:A 0.000305848 +2 *24112:TE_B 7.44713e-05 +3 *22310:B1 8.59306e-05 +4 *21696:B1 0.00435628 +5 *22636:A1 0 +6 *21692:Y 0 +7 *4820:91 0.00262719 +8 *4820:89 0.00228747 +9 *4820:87 0.00129893 +10 *4820:86 0.00276255 +11 *4820:83 0.0023083 +12 *4820:78 0.00201469 +13 *4820:71 0.00276176 +14 *4820:70 0.00159752 +15 *4820:67 0.00119824 +16 *4820:64 0.00138457 +17 *4820:18 0.00481201 +18 *4820:14 0.00143385 +19 *4820:4 0.00112489 +20 *21696:B1 *21730:A1 0.00282166 +21 *21696:B1 *22313:A1 3.55859e-05 +22 *21696:B1 *22650:A1 0.000259514 +23 *23884:A *4829:179 0.000718994 +24 *23884:A *5501:10 4.84944e-05 +25 *23884:A *5714:38 0.000102979 +26 *23884:A *5865:605 0.000128093 +27 *4820:64 *21070:A1 3.07561e-05 +28 *4820:64 *4844:244 1.65872e-05 +29 *4820:64 *5368:9 0.000294093 +30 *4820:67 *4845:281 4.63475e-05 +31 *4820:70 *20617:B1 3.31745e-05 +32 *4820:70 *4845:281 0.000113968 +33 *4820:71 *19921:A 6.97183e-05 +34 *4820:71 *5853:260 0.000755491 +35 *4820:78 *4844:183 5.20045e-05 +36 *4820:78 *4844:187 3.54155e-05 +37 *4820:78 *5859:155 0.000605448 +38 *4820:78 *5859:167 0.000588856 +39 *4820:83 *20961:B1 1.32841e-05 +40 *4820:83 *21311:A 0.000144156 +41 *4820:83 *5418:10 0.000122834 +42 *4820:83 *5855:178 0.00026201 +43 *4820:83 *5903:6 3.31194e-06 +44 *4820:86 *24296:RESET_B 0.000205176 +45 *4820:91 *20342:A1 0.000223478 +46 *4820:91 *20343:A1 0.000226267 +47 *4820:91 *24014:A0 8.18934e-05 +48 *4820:91 *24678:RESET_B 0.000152032 +49 *4820:91 *5499:8 0.000127179 +50 *4820:91 *5500:8 0.00011815 +51 *4820:91 *5501:10 7.50872e-05 +52 *4820:91 *5557:10 0.000143032 +53 *4820:91 *5714:38 0.00173663 +54 *4820:91 *5865:548 9.64219e-05 +55 *4820:91 *5865:575 0.000497636 +56 *4820:91 *5865:584 0.000138415 +57 *4820:91 *5865:595 2.39535e-05 +58 *4820:91 *5865:605 3.25394e-05 +59 *20321:A2 *4820:91 2.652e-05 +60 *20324:B1 *4820:91 0.000706459 +61 *20326:B1 *4820:87 0.000584486 +62 *20960:A2 *4820:78 5.92342e-05 +63 *21070:A2 *4820:64 0.000111722 +64 *21636:B2 *21696:B1 2.3014e-05 +65 *22310:A2 *22310:B1 0.000175048 +66 *22310:A2 *4820:18 5.19758e-05 +67 *22310:C1 *22310:B1 6.37909e-05 +68 *22316:A *4820:14 0.00198339 +69 *22316:B *4820:14 0 +70 *22316:C *4820:14 0.000269761 +71 *22636:A2 *4820:18 1.91391e-05 +72 *22651:A1 *21696:B1 3.57291e-06 +73 *22664:B2 *21696:B1 0.00138295 +74 *23885:A *23884:A 0.000107496 +75 *24229:D *4820:64 7.92757e-06 +76 *24298:D *4820:83 1.47773e-05 +77 *24469:D *4820:78 0.000162334 +78 *24689:D *4820:87 0.000121494 +79 *24691:D *4820:91 3.77804e-05 +80 *504:11 *4820:14 0.000285521 +81 *539:21 *4820:70 0.000210077 +82 *1439:15 *4820:83 0.00138774 +83 *1439:46 *4820:78 2.74464e-05 +84 *1556:20 *4820:67 0.001025 +85 *1557:96 *4820:67 0.00206423 +86 *1583:35 *4820:18 0.00127083 +87 *1610:37 *4820:14 0.00116676 +88 *1688:91 *21696:B1 0.00483411 +89 *1721:66 *21696:B1 0.000182008 +90 *1731:107 *21696:B1 0.000418136 +91 *1742:76 *4820:71 1.37367e-05 +92 *1742:80 *4820:71 0.0030477 +93 *1742:80 *4820:78 1.6267e-05 +94 *1742:86 *4820:78 0.0019601 +95 *1742:86 *4820:83 3.54844e-05 +96 *1742:93 *4820:83 0.000101755 +97 *1751:131 *21696:B1 6.30741e-05 +98 *1762:119 *21696:B1 0.000194953 +99 *1771:70 *21696:B1 0.000163147 +100 *1904:49 *4820:87 0 +101 *1904:49 *4820:91 0 +102 *2027:46 *4820:71 3.01812e-05 +103 *2319:23 *4820:86 0.000504384 +104 *2319:42 *4820:71 0.000194238 +105 *2319:42 *4820:78 0.000230366 +106 *2323:10 *4820:71 0.000171187 +107 *2357:32 *4820:91 0.000572294 +108 *2397:5 *4820:14 0.00030118 +109 *2397:5 *4820:64 0.000427811 +110 *2397:10 *4820:14 0.000604038 +111 *2431:23 *21696:B1 0.000108997 +112 *2451:36 *4820:87 0.000242249 +113 *2451:36 *4820:91 0.000102431 +114 *2473:45 *4820:71 0 +115 *2572:10 *4820:67 0.00113241 +116 *2610:56 *4820:67 8.97218e-06 +117 *2631:11 *4820:83 2.652e-05 +118 *2729:54 *4820:78 3.6455e-05 +119 *2772:48 *21696:B1 0.000805667 +120 *2812:18 *21696:B1 5.99779e-05 +121 *2887:23 *21696:B1 3.83698e-05 +122 *2888:17 *4820:71 0.000940781 +123 *3117:204 *21696:B1 6.77459e-05 +124 *3126:12 *4820:14 0.000138961 +125 *3177:288 *21696:B1 6.34651e-06 +126 *3177:288 *4820:18 6.07314e-05 +127 *3185:107 *21696:B1 0.000177764 +128 *3274:27 *22310:B1 5.41918e-05 +129 *3274:27 *4820:18 0.00142797 +130 *3278:17 *21696:B1 5.76913e-05 +131 *3483:135 *21696:B1 0.00030368 +132 *3483:206 *21696:B1 2.43368e-05 +133 *3509:60 *21696:B1 1.07838e-05 +134 *3537:14 *4820:14 0.000135958 +135 *3539:12 *21696:B1 0.000159261 +136 *3539:27 *21696:B1 0.000158774 +137 *3543:16 *21696:B1 3.89332e-06 +138 *3543:16 *4820:18 3.404e-05 +139 *4808:90 *21696:B1 5.3487e-05 +140 *4814:19 *4820:87 0.000197984 +141 *4814:19 *4820:91 0.000455331 +142 *4814:26 *4820:87 0.000509236 +143 *4814:28 *4820:87 0.00130258 +144 *4815:116 *21696:B1 0.000396795 +145 *4816:53 *4820:86 1.35371e-05 +146 *4816:55 *4820:86 5.63639e-06 +147 *4817:120 *21696:B1 0.000166405 +148 *4819:117 *4820:87 0.00130766 +149 *4819:121 *4820:87 0.000706793 +150 *4819:121 *4820:91 0.000376185 +*RES +1 *21692:Y *4820:4 9.24915 +2 *4820:4 *4820:14 46.8249 +3 *4820:14 *4820:18 24.6606 +4 *4820:18 *22636:A1 13.7491 +5 *4820:18 *21696:B1 45.0985 +6 *4820:14 *22310:B1 12.9626 +7 *4820:4 *4820:64 12.4332 +8 *4820:64 *4820:67 47.8572 +9 *4820:67 *4820:70 6.88721 +10 *4820:70 *4820:71 58.9292 +11 *4820:71 *4820:78 46.0625 +12 *4820:78 *4820:83 36.9929 +13 *4820:83 *4820:86 26.2983 +14 *4820:86 *4820:87 57.2682 +15 *4820:87 *4820:89 0.732798 +16 *4820:89 *4820:91 95.8866 +17 *4820:91 *24112:TE_B 15.0513 +18 *4820:91 *23884:A 26.4211 +*END + +*D_NET *4821 0.137264 +*CONN +*I *24113:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *23886:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22314:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *6213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22644:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21724:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21722:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *24113:TE_B 0.000359459 +2 *23886:A 0.000109318 +3 *22314:A1 0.000116056 +4 *6213:DIODE 0 +5 *22644:B1 0.00062498 +6 *21724:A1 0 +7 *21722:Y 0.000685843 +8 *4821:158 0.000700993 +9 *4821:155 0.0022334 +10 *4821:153 0.00202916 +11 *4821:151 0.00181376 +12 *4821:150 0.00192004 +13 *4821:145 0.00123925 +14 *4821:143 0.00272018 +15 *4821:125 0.00344801 +16 *4821:79 0.00092886 +17 *4821:76 0.00411284 +18 *4821:64 0.00552573 +19 *4821:62 0.00218287 +20 *4821:61 0.00218287 +21 *4821:59 0.00095164 +22 *4821:55 0.00652829 +23 *4821:41 0.00151088 +24 *4821:28 0.00217997 +25 *4821:17 0.00693158 +26 *22644:B1 *5874:133 0 +27 *24113:TE_B *21638:A 0.000101148 +28 *24113:TE_B *24113:A 9.90116e-05 +29 *24113:TE_B *5906:14 0.000101148 +30 *4821:17 *21722:A 6.08467e-05 +31 *4821:17 *5908:9 0.00031436 +32 *4821:55 *23942:A0 0.00101004 +33 *4821:55 *4845:359 0.000186451 +34 *4821:55 *5864:68 0.000212539 +35 *4821:55 *5908:9 0.00839124 +36 *4821:59 *20169:B1 0.00172077 +37 *4821:59 *24790:CLK 0.000154145 +38 *4821:59 *4911:7 0.00036437 +39 *4821:59 *5238:10 6.08467e-05 +40 *4821:59 *5944:11 0.000118363 +41 *4821:76 *4827:51 0.000109796 +42 *4821:76 *4830:111 0.000584149 +43 *4821:76 *5874:133 0.00012421 +44 *4821:125 *25247:A 5.04829e-06 +45 *4821:125 *5475:112 0.000119289 +46 *4821:125 *5853:277 6.23101e-05 +47 *4821:125 *5874:36 0.000137362 +48 *4821:143 *21998:A1 0.00039863 +49 *4821:143 *4823:85 7.03957e-05 +50 *4821:143 *5913:17 1.87227e-05 +51 *4821:145 *5902:18 0.00456863 +52 *4821:151 *4833:172 0.000170592 +53 *4821:155 *5884:11 0.00218821 +54 *4821:155 *5902:16 2.04806e-05 +55 *4821:155 *5907:10 0 +56 *4821:155 *5907:17 0 +57 mgmt_gpio_oeb[15] *4821:151 1.25923e-05 +58 mgmt_gpio_out[12] *4821:151 0.00161287 +59 mgmt_gpio_out[18] *4821:155 0.000302615 +60 mgmt_gpio_out[8] *4821:143 2.09734e-05 +61 *6176:DIODE *4821:55 0.000104441 +62 *19956:A2 *4821:41 0.000212734 +63 *19980:B1 *4821:41 0.000114217 +64 *19980:B2 *4821:41 6.22259e-05 +65 *19981:B *4821:41 0.000169885 +66 *19993:A *4821:17 4.93206e-05 +67 *20052:B *4821:41 0.000103378 +68 *20902:A2 *4821:125 0.000150038 +69 *21194:B1 *4821:125 0.000374788 +70 *21410:B2 *4821:28 3.65454e-05 +71 *21724:A2 *4821:41 0.000206405 +72 *21725:C1 *4821:41 0.000260453 +73 *22314:A2 *22314:A1 4.83435e-05 +74 *22314:C1 *22314:A1 4.0744e-05 +75 *22314:C1 *4821:79 0.000132886 +76 *22621:A2 *22644:B1 5.04829e-06 +77 *22636:A2 *4821:76 0.00170797 +78 *22644:B2 *22644:B1 3.9036e-05 +79 *22645:C1 *22644:B1 0.000110306 +80 *23864:A *4821:125 2.16355e-05 +81 *23865:A *4821:125 1.0758e-05 +82 *23887:A *23886:A 1.61631e-05 +83 *24271:D *4821:143 0.000154145 +84 *25142:A *4821:151 1.93781e-05 +85 *121:14 *4821:151 0.0016271 +86 *500:48 *4821:125 0.000307689 +87 *502:26 *4821:62 0.00604704 +88 *520:27 *4821:76 0.00719921 +89 *520:27 *4821:125 0.000253696 +90 *527:44 *4821:143 4.27414e-05 +91 *549:23 *4821:55 0.000197372 +92 *1419:37 *4821:55 0.000742912 +93 *1419:41 *4821:55 0.000466547 +94 *1439:104 *4821:125 3.82228e-05 +95 *1461:88 *4821:28 8.19081e-05 +96 *1511:23 *4821:28 0.000160617 +97 *1541:38 *4821:41 0.000730007 +98 *1564:11 *4821:41 0.000104166 +99 *1576:35 *22644:B1 4.31485e-06 +100 *1608:30 *22644:B1 0.000439471 +101 *1688:76 *4821:143 0.000428309 +102 *1710:26 *4821:41 0.000119925 +103 *1729:80 *4821:41 1.91391e-05 +104 *1742:76 *4821:62 0.00606683 +105 *1766:11 *4821:28 0.000382811 +106 *1769:6 *4821:28 0.000502818 +107 *1769:6 *4821:41 6.86191e-05 +108 *1790:19 *4821:41 4.38083e-05 +109 *1917:29 *4821:125 0.000156946 +110 *1982:43 *4821:55 1.18955e-05 +111 *2257:85 *4821:143 0.000269932 +112 *2278:28 *4821:59 6.30598e-05 +113 *2301:36 *4821:125 0.000340742 +114 *2313:33 *4821:76 8.08437e-05 +115 *2368:34 *22314:A1 1.02986e-05 +116 *2372:32 *4821:151 0 +117 *2384:19 *4821:150 3.73717e-06 +118 *2389:16 *4821:55 0 +119 *2391:34 *4821:143 0.00202463 +120 *2391:77 *4821:143 0.000953431 +121 *2417:28 *4821:151 0.000584141 +122 *2417:28 *4821:155 0.000774591 +123 *2421:91 *4821:143 9.14386e-06 +124 *2421:103 *4821:143 0.000240255 +125 *2423:78 *4821:125 0.000157344 +126 *2433:106 *4821:143 5.31569e-06 +127 *2433:106 *4821:145 5.71166e-05 +128 *2433:113 *4821:145 2.54757e-05 +129 *2441:72 *4821:17 0 +130 *2441:72 *4821:55 0 +131 *2446:101 *4821:143 3.51785e-06 +132 *2446:113 *4821:143 0.000170771 +133 *2456:30 *4821:55 3.25624e-05 +134 *2457:16 *4821:55 0.000153832 +135 *2466:16 *4821:17 0.000496331 +136 *2466:29 *4821:17 1.41396e-05 +137 *2466:29 *4821:28 0.000712901 +138 *2503:12 *4821:145 0.00175061 +139 *2503:12 *4821:151 0.000123223 +140 *2503:19 *4821:145 1.26298e-05 +141 *2570:138 *22644:B1 0.000368562 +142 *2587:18 *4821:28 3.60982e-05 +143 *2629:37 *4821:143 0.00328988 +144 *2679:7 *4821:158 7.48633e-05 +145 *2679:10 *4821:155 0.0020626 +146 *2679:12 *4821:155 0.000693099 +147 *2679:18 *4821:151 0.00178937 +148 *2687:24 *4821:151 0.000750268 +149 *2687:24 *4821:155 0.00115039 +150 *2692:53 *4821:143 2.97988e-05 +151 *2692:53 *4821:145 0.000263578 +152 *2726:22 *4821:41 7.56369e-05 +153 *2729:62 *4821:145 0.00346207 +154 *2729:77 *4821:143 0.000272421 +155 *2738:14 *4821:59 0.000117093 +156 *2744:23 *4821:28 1.38872e-05 +157 *2744:23 *4821:41 0.000425382 +158 *2791:24 *4821:151 0.000530389 +159 *2791:50 *4821:143 0 +160 *2809:24 *4821:62 0.000125365 +161 *2809:31 *4821:28 0.000164815 +162 *2814:24 *4821:76 0.000201054 +163 *2858:23 *4821:17 0.00103714 +164 *2858:27 *4821:41 1.27831e-06 +165 *2858:34 *4821:41 2.44924e-05 +166 *2882:74 *4821:143 5.04829e-06 +167 *2896:72 *4821:76 0.0001621 +168 *2896:72 *4821:125 0.00180969 +169 *2900:84 *4821:125 0.000623256 +170 *2936:16 *4821:17 0.000512415 +171 *2938:26 *4821:151 0.000100948 +172 *2989:80 *4821:125 0.000119289 +173 *3126:12 *4821:76 8.05301e-05 +174 *3144:103 *4821:76 0.000449701 +175 *3198:123 *4821:76 0.000138325 +176 *3204:299 *22314:A1 7.85551e-05 +177 *3204:299 *22644:B1 3.29942e-05 +178 *3204:299 *4821:76 5.01835e-05 +179 *3274:8 *22314:A1 4.63742e-05 +180 *3274:8 *4821:76 5.01835e-05 +181 *3568:8 *22644:B1 1.41307e-05 +182 *4804:109 *4821:59 0.0020107 +183 *4809:52 *4821:125 2.12108e-05 +184 *4818:116 *4821:151 7.55816e-05 +*RES +1 *21722:Y *4821:17 44.8653 +2 *4821:17 *4821:28 35.8311 +3 *4821:28 *4821:41 45.9497 +4 *4821:41 *21724:A1 9.24915 +5 *4821:17 *4821:55 32.2754 +6 *4821:55 *4821:59 47.1356 +7 *4821:59 *4821:61 4.5 +8 *4821:61 *4821:62 110.42 +9 *4821:62 *4821:64 3.36879 +10 *4821:64 *4821:76 24.0789 +11 *4821:76 *4821:79 9.10562 +12 *4821:79 *22644:B1 32.7695 +13 *4821:79 *6213:DIODE 9.24915 +14 *4821:76 *22314:A1 16.8833 +15 *4821:64 *4821:125 45.6135 +16 *4821:125 *4821:143 32.4644 +17 *4821:143 *4821:145 87.9968 +18 *4821:145 *4821:150 11.3872 +19 *4821:150 *4821:151 88.4609 +20 *4821:151 *4821:153 0.732798 +21 *4821:153 *4821:155 95.8378 +22 *4821:155 *4821:158 9.66022 +23 *4821:158 *23886:A 11.6605 +24 *4821:158 *24113:TE_B 27.4839 +*END + +*D_NET *4822 0.0753407 +*CONN +*I *22295:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21730:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22644:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *23888:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24114:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *21728:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *22295:B1 3.57562e-05 +2 *21730:A1 0.0021304 +3 *22644:A1 0.000704346 +4 *23888:A 9.92952e-05 +5 *24114:TE_B 0 +6 *21728:Y 0 +7 *4822:80 0.00683766 +8 *4822:71 0.00434702 +9 *4822:66 0.0018109 +10 *4822:56 0.00313021 +11 *4822:50 0.00272279 +12 *4822:27 0.00136716 +13 *4822:22 0.00146269 +14 *4822:14 0.00148086 +15 *4822:12 0.00279218 +16 *4822:4 0.00260127 +17 *23888:A *20596:A1 0.000109033 +18 *23888:A *24114:A 1.44611e-05 +19 *23888:A *5918:32 0 +20 *4822:12 *24481:CLK 6.61971e-05 +21 *4822:12 *4888:55 8.8567e-05 +22 *4822:14 *20747:B1 3.31736e-05 +23 *4822:22 *20747:B1 0 +24 *4822:22 *24404:CLK 5.04829e-06 +25 *4822:27 *19898:A 4.4403e-05 +26 *4822:27 *20747:B1 9.11845e-05 +27 *4822:27 *4838:274 0 +28 *4822:27 *4840:325 0.000466387 +29 *4822:27 *4844:88 0.000422932 +30 *4822:27 *4895:109 0 +31 *4822:50 *21544:A 3.39633e-05 +32 *4822:50 *24456:SET_B 4.40531e-05 +33 *4822:50 *5105:9 1.92336e-05 +34 *4822:50 *5223:6 9.60366e-05 +35 *4822:56 *5853:119 7.41047e-05 +36 *4822:56 *5859:84 0.000193706 +37 *4822:66 *24210:SET_B 0.000105884 +38 *4822:66 *24431:RESET_B 0.000261567 +39 *4822:66 *4890:8 1.42919e-05 +40 *4822:66 *4890:51 1.24322e-05 +41 *4822:66 *4890:62 3.58626e-05 +42 *4822:66 *5865:70 0.000194108 +43 *4822:71 *5865:70 1.62321e-05 +44 *4822:80 *5865:88 3.03785e-05 +45 mgmt_gpio_out[20] *23888:A 0.000174175 +46 mgmt_gpio_out[20] *4822:27 3.64685e-05 +47 *20431:B2 *4822:14 4.15236e-05 +48 *20544:A2 *4822:12 8.01687e-05 +49 *20592:A2 *4822:27 0.000567535 +50 *20595:A2 *4822:27 0.000188519 +51 *20596:A2 *4822:27 0 +52 *20704:A2 *4822:66 0.000121676 +53 *20704:B2 *4822:66 2.82537e-05 +54 *20708:B2 *4822:66 8.47466e-05 +55 *20744:A2 *4822:14 0.000331841 +56 *20747:A2 *4822:14 0.000526291 +57 *20747:B2 *4822:14 0.000228928 +58 *21095:A2 *4822:66 5.36085e-05 +59 *21279:B1 *4822:80 3.86256e-05 +60 *21309:B2 *4822:80 0.00195898 +61 *21611:B2 *4822:80 6.91182e-06 +62 *21636:B2 *21730:A1 0.00167475 +63 *21696:B1 *21730:A1 0.00282166 +64 *22296:C1 *22295:B1 0.000110306 +65 *22540:C1 *21730:A1 9.54888e-05 +66 *22646:B1 *22644:A1 4.36257e-05 +67 *24212:D *4822:66 9.03922e-05 +68 *24407:D *4822:14 8.5985e-05 +69 *24433:D *4822:50 2.37478e-05 +70 *24459:D *4822:56 0.000154288 +71 *24507:D *4822:27 6.22557e-05 +72 *520:27 *22644:A1 1.86819e-05 +73 *719:16 *4822:66 6.15659e-05 +74 *1450:188 *4822:80 0.000177247 +75 *1551:172 *4822:66 0.000658437 +76 *1561:154 *21730:A1 0.000361847 +77 *1588:107 *21730:A1 6.08703e-05 +78 *1592:115 *4822:80 0.00255894 +79 *1607:18 *4822:12 2.44103e-05 +80 *1608:30 *22644:A1 0.000410364 +81 *1616:42 *22644:A1 0.000611832 +82 *1616:42 *4822:80 0.00018248 +83 *1616:71 *4822:80 0.000896746 +84 *1631:90 *4822:66 8.03699e-06 +85 *1641:109 *22644:A1 0.000264611 +86 *1655:61 *21730:A1 0.000435682 +87 *1656:12 *4822:12 9.2346e-06 +88 *1656:12 *4822:50 0.00026184 +89 *1658:99 *4822:66 0.000123628 +90 *1662:87 *21730:A1 3.29488e-05 +91 *1708:104 *4822:80 0.00132712 +92 *1725:181 *4822:66 0.000663845 +93 *1784:96 *4822:80 1.48603e-05 +94 *1789:23 *4822:12 0.00110923 +95 *1790:76 *4822:80 0.00170176 +96 *1790:93 *4822:80 0.00149683 +97 *1790:144 *4822:80 0.00125594 +98 *1800:95 *4822:80 0.000641563 +99 *1800:151 *4822:80 3.55968e-05 +100 *1800:183 *4822:56 0.000281688 +101 *1979:35 *4822:14 0.000101133 +102 *1979:35 *4822:22 4.65435e-05 +103 *2007:32 *4822:27 0 +104 *2031:36 *4822:50 0.000144531 +105 *2042:70 *4822:56 0.000192472 +106 *2054:54 *4822:14 0.000180913 +107 *2093:98 *4822:80 0.000112652 +108 *2208:41 *4822:71 0.000219426 +109 *2209:28 *4822:66 0.00122913 +110 *2209:30 *4822:66 0.000251921 +111 *2343:25 *4822:80 0.00132238 +112 *2347:26 *4822:50 5.47736e-05 +113 *2369:17 *4822:12 0.000227892 +114 *2404:25 *4822:80 0.000187264 +115 *2539:35 *21730:A1 6.1578e-06 +116 *2570:10 *4822:27 0.000454089 +117 *2589:10 *4822:14 0.000232406 +118 *2589:12 *4822:14 0.000393036 +119 *2635:20 *22295:B1 1.65872e-05 +120 *2635:20 *4822:71 0.00020904 +121 *2675:95 *21730:A1 0.000118828 +122 *2675:99 *21730:A1 9.95542e-06 +123 *2680:57 *21730:A1 3.99086e-06 +124 *2751:7 *21730:A1 4.45999e-05 +125 *2770:18 *4822:66 3.98697e-05 +126 *2773:41 *21730:A1 2.53145e-06 +127 *2774:8 *21730:A1 0.000327066 +128 *2806:8 *4822:66 1.2532e-05 +129 *2806:8 *4822:71 0.00010605 +130 *2809:6 *4822:12 0.000362186 +131 *2809:14 *4822:50 0.00146935 +132 *2809:18 *4822:50 0.0003617 +133 *2812:18 *21730:A1 0.000523521 +134 *2814:24 *22644:A1 0.000104754 +135 *2826:10 *4822:50 0.000248546 +136 *2869:26 *4822:56 0.000288631 +137 *2869:26 *4822:66 0.000161809 +138 *2990:62 *4822:80 0.000101285 +139 *2996:24 *4822:14 0.000299288 +140 *2996:26 *4822:14 0.00177509 +141 *2996:45 *4822:56 0 +142 *2996:45 *4822:66 8.85439e-05 +143 *3015:28 *21730:A1 8.28675e-06 +144 *3036:10 *4822:14 3.47066e-05 +145 *3036:10 *4822:22 0.00025922 +146 *3036:10 *4822:27 9.92046e-06 +147 *3036:16 *4822:56 0.000713384 +148 *3117:204 *21730:A1 6.03237e-05 +149 *3139:192 *4822:80 1.14957e-05 +150 *3168:190 *22295:B1 0.000142758 +151 *3168:190 *4822:71 0.000548787 +152 *3185:107 *21730:A1 0.000182008 +153 *3208:118 *21730:A1 0.00044694 +154 *3527:54 *22644:A1 0.000585633 +155 *3527:54 *4822:80 0.000990448 +*RES +1 *21728:Y *4822:4 9.24915 +2 *4822:4 *4822:12 42.8462 +3 *4822:12 *4822:14 53.7385 +4 *4822:14 *4822:22 15.5419 +5 *4822:22 *4822:27 45.3353 +6 *4822:27 *24114:TE_B 9.24915 +7 *4822:27 *23888:A 22.5727 +8 *4822:4 *4822:50 47.8047 +9 *4822:50 *4822:56 21.3695 +10 *4822:56 *4822:66 48.8519 +11 *4822:66 *4822:71 16.1311 +12 *4822:71 *4822:80 28.1145 +13 *4822:80 *22644:A1 28.1802 +14 *4822:80 *21730:A1 46.3932 +15 *4822:71 *22295:B1 11.0817 +*END + +*D_NET *4823 0.121675 +*CONN +*I *23890:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24115:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *21689:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22647:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *22295:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21688:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *23890:A 0.000473528 +2 *24115:TE_B 0 +3 *21689:B1 0.00181331 +4 *22647:B1 0.000263105 +5 *22295:A1 0.000354427 +6 *21688:Y 0.000143243 +7 *4823:156 0.00128605 +8 *4823:142 0.00258682 +9 *4823:124 0.00219139 +10 *4823:123 0.00189165 +11 *4823:109 0.00279745 +12 *4823:106 0.0029509 +13 *4823:99 0.00333611 +14 *4823:94 0.00486787 +15 *4823:85 0.00453073 +16 *4823:69 0.00269087 +17 *4823:63 0.00154126 +18 *4823:47 0.00355422 +19 *4823:45 0.0045856 +20 *4823:24 0.00346465 +21 *4823:12 0.002961 +22 *4823:10 0.00187807 +23 *4823:8 0.0028929 +24 *4823:7 0.00285601 +25 *4823:5 0.000955762 +26 *21689:B1 *21370:A1_N 9.85672e-06 +27 *21689:B1 *23941:S 0.00280659 +28 *21689:B1 *5563:45 0.00202154 +29 *21689:B1 *5674:45 6.9945e-05 +30 *21689:B1 *5859:258 6.42324e-05 +31 *23890:A *24115:A 1.2693e-05 +32 *23890:A *24116:A 0 +33 *23890:A *24116:TE_B 6.86328e-05 +34 *23890:A *24117:A 0 +35 *23890:A *24117:TE_B 0.000271044 +36 *23890:A *4825:181 0 +37 *23890:A *5918:51 8.62625e-06 +38 *4823:5 *4841:232 3.34011e-05 +39 *4823:8 *20428:B1 0.000120264 +40 *4823:8 *20504:B1 0.000123597 +41 *4823:8 *21276:A 0 +42 *4823:8 *24392:CLK 0.000218517 +43 *4823:8 *24548:RESET_B 0.000109158 +44 *4823:8 *24624:CLK 0.000225425 +45 *4823:8 *4824:70 0 +46 *4823:8 *4825:181 1.29348e-05 +47 *4823:8 *4842:192 3.63538e-05 +48 *4823:8 *4843:131 0.000478656 +49 *4823:8 *4844:96 0 +50 *4823:8 *4845:80 0 +51 *4823:8 *4895:8 0 +52 *4823:8 *4895:69 6.05448e-05 +53 *4823:8 *5109:8 2.20056e-05 +54 *4823:8 *5148:10 1.75625e-05 +55 *4823:8 *5867:432 0.000165481 +56 *4823:8 *5867:446 0.000243701 +57 *4823:12 *24548:RESET_B 9.30205e-05 +58 *4823:12 *5109:8 9.4622e-05 +59 *4823:12 *5250:32 0.000118858 +60 *4823:12 *5867:416 2.39535e-05 +61 *4823:63 *5493:43 4.28856e-07 +62 *4823:69 *5902:18 0.00178631 +63 *4823:85 *21998:A1 3.87699e-06 +64 *4823:85 *4829:145 0.000525779 +65 *4823:85 *5913:18 0.0019009 +66 *4823:94 *4825:95 0.00100261 +67 *4823:94 *4828:80 0.00308613 +68 *4823:94 *4828:100 0.00063383 +69 *4823:94 *4832:60 0.000611399 +70 *4823:99 *20903:A1 7.92757e-06 +71 *4823:99 *4908:14 0.000208082 +72 *4823:99 *5364:8 3.00965e-05 +73 *4823:99 *5861:463 0.000205006 +74 *4823:106 *5909:26 0.000152833 +75 *4823:123 *5067:14 0.000143032 +76 *4823:142 *20850:A 0 +77 *4823:142 *4829:63 0 +78 *4823:142 *5950:18 0.000341221 +79 *4823:142 *5952:25 0.000222102 +80 *4823:156 *24115:A 0.000119659 +81 *4823:156 *24117:A 0 +82 *4823:156 *4824:70 0 +83 *4823:156 *4825:181 0 +84 *4823:156 *4841:232 1.93033e-05 +85 *4823:156 *4842:192 0.000285547 +86 *4823:156 *4895:8 2.71542e-05 +87 *4823:156 *4895:20 0.000114141 +88 mgmt_gpio_out[12] *4823:63 0.000390484 +89 mgmt_gpio_out[22] *23890:A 0.000357484 +90 *20327:B2 *4823:47 0.000307037 +91 *20328:A2 *4823:47 0.000883983 +92 *20428:A2 *4823:8 0 +93 *20438:B2 *4823:12 0.000127179 +94 *20502:A2 *4823:8 2.21765e-05 +95 *20840:A *4823:142 0.000529246 +96 *20850:C *4823:142 5.12982e-05 +97 *20903:A2 *4823:99 0.000109519 +98 *20903:B2 *4823:99 2.77625e-06 +99 *21289:A1 *4823:24 0.000300818 +100 *21308:B2 *4823:12 2.02035e-05 +101 *21315:A2 *4823:24 1.66771e-05 +102 *21412:C1 *4823:85 2.19531e-05 +103 *21611:B2 *22295:A1 0.000160012 +104 *21611:B2 *4823:45 0.000187436 +105 *21893:B1 *4823:142 0.000346909 +106 *22211:B *4823:124 0.000213871 +107 *22295:A2 *22295:A1 6.50727e-05 +108 *22296:C1 *22295:A1 6.08467e-05 +109 *22384:A1 *4823:45 0.000425846 +110 *22384:B2 *4823:45 9.2386e-05 +111 *22647:B2 *22647:B1 0.000349827 +112 *23891:A *23890:A 4.80635e-06 +113 *23893:A *23890:A 9.84923e-05 +114 *23895:A *23890:A 0.000106831 +115 *24572:D *4823:156 9.96342e-05 +116 *24574:D *4823:8 0.000124579 +117 *24687:D *4823:47 6.08467e-05 +118 *25136:A *4823:85 4.72178e-05 +119 *131:11 *23890:A 0.000169078 +120 *131:11 *4823:156 1.07248e-05 +121 *482:20 *4823:124 6.5389e-05 +122 *518:46 *4823:123 4.42987e-06 +123 *518:46 *4823:124 0.003063 +124 *529:41 *4823:94 0.000396137 +125 *537:29 *4823:94 0.00311653 +126 *545:23 *21689:B1 0.000194195 +127 *1418:8 *4823:124 0.00131575 +128 *1418:29 *4823:99 0.000521753 +129 *1418:29 *4823:106 6.08467e-05 +130 *1418:29 *4823:123 0.000767533 +131 *1418:29 *4823:124 0.000459813 +132 *1418:181 *4823:12 0.000158097 +133 *1439:113 *4823:94 8.28776e-05 +134 *1439:145 *21689:B1 0.000105601 +135 *1555:69 *4823:63 1.83795e-06 +136 *1555:70 *4823:63 0.000432739 +137 *1555:70 *4823:69 0.000133775 +138 *1576:16 *4823:123 0.000484652 +139 *1577:183 *4823:142 0.000499967 +140 *1584:141 *4823:109 0.00359896 +141 *1592:189 *4823:45 0.000201096 +142 *1592:189 *4823:47 0.00082871 +143 *1610:155 *22295:A1 0.000153427 +144 *1620:113 *4823:24 0.000160855 +145 *1620:124 *4823:24 9.99123e-05 +146 *1620:136 *4823:24 5.27278e-05 +147 *1652:34 *4823:94 7.81133e-05 +148 *1679:37 *4823:142 2.07881e-05 +149 *1688:75 *4823:85 2.37478e-05 +150 *1688:76 *4823:85 9.1927e-06 +151 *1709:40 *4823:85 2.84859e-05 +152 *1741:55 *4823:85 0.000628648 +153 *1771:206 *4823:8 0.00011158 +154 *1789:40 *4823:12 0.00157181 +155 *2015:18 *4823:123 4.97353e-05 +156 *2015:29 *4823:123 5.95249e-06 +157 *2108:8 *4823:142 5.30622e-05 +158 *2108:29 *21689:B1 0.000958949 +159 *2112:22 *4823:142 0.00010072 +160 *2122:15 *4823:142 0.000293759 +161 *2136:29 *4823:8 2.26334e-05 +162 *2141:21 *4823:99 5.99527e-05 +163 *2141:31 *4823:99 0.000156863 +164 *2161:17 *4823:47 0.000174089 +165 *2161:88 *4823:47 0.000253916 +166 *2244:19 *4823:94 6.23101e-05 +167 *2245:23 *4823:47 6.81788e-05 +168 *2257:69 *4823:85 1.62137e-05 +169 *2266:13 *4823:45 6.86274e-05 +170 *2266:33 *4823:63 5.04829e-06 +171 *2282:78 *4823:94 1.43499e-05 +172 *2291:61 *4823:85 0.00054467 +173 *2294:8 *4823:8 8.36326e-05 +174 *2294:44 *4823:45 1.49935e-05 +175 *2306:38 *4823:45 5.36085e-05 +176 *2331:8 *4823:24 0.000746382 +177 *2339:8 *22295:A1 0.000221601 +178 *2340:15 *4823:12 0.000321918 +179 *2340:24 *4823:24 0.000235173 +180 *2348:8 *4823:12 0.000273174 +181 *2362:20 *4823:45 2.69709e-05 +182 *2368:34 *22647:B1 5.21758e-06 +183 *2373:14 *4823:47 0.000144065 +184 *2373:17 *22295:A1 0.000210799 +185 *2373:17 *4823:45 0.0019591 +186 *2373:32 *22295:A1 0.000143328 +187 *2374:14 *4823:8 0 +188 *2374:18 *4823:12 0.000772173 +189 *2374:26 *4823:12 0.000138388 +190 *2377:13 *22295:A1 0.000226319 +191 *2384:19 *4823:47 9.75115e-05 +192 *2384:32 *4823:94 0.000379262 +193 *2403:18 *22647:B1 3.80436e-07 +194 *2416:16 *4823:24 5.7551e-05 +195 *2421:91 *4823:85 0.000167294 +196 *2421:103 *4823:85 0.000123224 +197 *2424:8 *21689:B1 3.73224e-05 +198 *2448:146 *4823:85 2.72284e-06 +199 *2452:14 *22295:A1 0.000100087 +200 *2459:29 *4823:99 0.000309756 +201 *2486:96 *4823:85 0.000237224 +202 *2494:13 *4823:47 0.00082665 +203 *2503:31 *4823:85 0 +204 *2530:11 *4823:8 0 +205 *2530:16 *4823:12 0.00116528 +206 *2570:46 *4823:63 0.00053071 +207 *2584:45 *4823:85 2.62812e-05 +208 *2629:21 *4823:85 6.66005e-05 +209 *2635:87 *4823:123 4.69808e-06 +210 *2642:27 *4823:99 0.000441157 +211 *2642:27 *4823:106 0.000788586 +212 *2679:37 *4823:94 0.000113478 +213 *2687:30 *4823:63 0.000354901 +214 *2687:68 *4823:85 6.56128e-05 +215 *2692:31 *4823:69 1.61631e-05 +216 *2692:53 *4823:85 0.000177392 +217 *2729:61 *4823:63 0 +218 *2820:27 *4823:45 0.00165849 +219 *2881:10 *4823:8 0 +220 *2881:17 *4823:8 9.54807e-05 +221 *2881:17 *4823:12 4.37999e-05 +222 *2900:111 *4823:85 0.000112924 +223 *2938:30 *4823:69 4.90549e-05 +224 *2989:55 *4823:69 0.00153941 +225 *3092:7 *4823:142 7.98171e-06 +226 *3092:23 *4823:124 0.000753107 +227 *3108:30 *4823:142 0.000347264 +228 *3122:11 *4823:124 4.25526e-05 +229 *3131:206 *4823:24 0.000504384 +230 *3485:19 *21689:B1 3.54507e-05 +231 *3509:24 *22647:B1 0.00031064 +232 *4805:56 *4823:106 0.000156243 +233 *4808:58 *22647:B1 2.46707e-05 +234 *4813:103 *4823:85 0.000323379 +235 *4821:143 *4823:85 7.03957e-05 +*RES +1 *21688:Y *4823:5 12.191 +2 *4823:5 *4823:7 4.5 +3 *4823:7 *4823:8 77.9819 +4 *4823:8 *4823:10 0.732798 +5 *4823:10 *4823:12 71.8508 +6 *4823:12 *4823:24 43.8715 +7 *4823:24 *22295:A1 23.2637 +8 *4823:24 *4823:45 34.182 +9 *4823:45 *4823:47 62.2844 +10 *4823:47 *4823:63 44.4606 +11 *4823:63 *4823:69 41.8716 +12 *4823:69 *4823:85 38.3634 +13 *4823:85 *4823:94 22.1246 +14 *4823:94 *4823:99 42.5116 +15 *4823:99 *4823:106 21.1202 +16 *4823:106 *4823:109 46.2641 +17 *4823:109 *22647:B1 21.8099 +18 *4823:99 *4823:123 29.8981 +19 *4823:123 *4823:124 58.9292 +20 *4823:124 *4823:142 44.8469 +21 *4823:142 *21689:B1 24.6163 +22 *4823:5 *4823:156 26.4357 +23 *4823:156 *24115:TE_B 13.7491 +24 *4823:156 *23890:A 30.4239 +*END + +*D_NET *4824 0.106949 +*CONN +*I *22301:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21725:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22637:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *24116:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *23892:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21720:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *22301:A1 0.000129231 +2 *21725:A1 0.000776932 +3 *22637:A1 0.000182049 +4 *24116:TE_B 0.000109069 +5 *23892:A 6.50908e-06 +6 *21720:Y 0.000834779 +7 *4824:180 0.00454972 +8 *4824:154 0.00449283 +9 *4824:153 0.00215237 +10 *4824:142 0.00305249 +11 *4824:130 0.00286668 +12 *4824:127 0.00164455 +13 *4824:122 0.00335093 +14 *4824:120 0.00332705 +15 *4824:116 0.00130648 +16 *4824:112 0.00181786 +17 *4824:101 0.00352621 +18 *4824:97 0.00293743 +19 *4824:90 0.00152604 +20 *4824:87 0.001989 +21 *4824:84 0.00156044 +22 *4824:70 0.00254912 +23 *4824:55 0.00247495 +24 *4824:36 0.000676819 +25 *4824:34 5.07295e-05 +26 *4824:33 0.000461644 +27 *4824:25 0.00124569 +28 *21725:A1 *21849:A 6.08467e-05 +29 *24116:TE_B *24116:A 3.67528e-06 +30 *24116:TE_B *4825:181 0 +31 *4824:25 *20596:A1 0.00011818 +32 *4824:25 *23951:A1 0.000534191 +33 *4824:25 *24595:RESET_B 0 +34 *4824:25 *24595:CLK 4.58003e-05 +35 *4824:25 *5130:10 7.16893e-05 +36 *4824:25 *5594:8 2.19131e-05 +37 *4824:25 *5715:10 0.00032726 +38 *4824:55 *4825:181 0 +39 *4824:55 *4840:341 0 +40 *4824:55 *4895:117 0.000265436 +41 *4824:55 *5890:14 6.67769e-06 +42 *4824:55 *5892:10 0.000151673 +43 *4824:70 *24117:A 0.000275068 +44 *4824:70 *4844:752 4.09467e-05 +45 *4824:70 *4895:8 0 +46 *4824:70 *4895:20 0 +47 *4824:70 *4895:117 0.000165605 +48 *4824:70 *5888:8 0.000493565 +49 *4824:84 *20742:A1 0 +50 *4824:84 *20742:B1 0.000250405 +51 *4824:84 *21287:A 0 +52 *4824:84 *4895:69 0 +53 *4824:84 *5866:619 6.51527e-05 +54 *4824:84 *5866:630 0.000248619 +55 *4824:84 *5888:8 0.000964234 +56 *4824:84 *5898:254 0 +57 *4824:87 *20741:A1 6.08467e-05 +58 *4824:87 *21357:A 0.000264586 +59 *4824:87 *24954:A 2.77625e-06 +60 *4824:90 *24389:RESET_B 0.000337717 +61 *4824:90 *4843:161 0.000181333 +62 *4824:90 *4845:102 5.41227e-05 +63 *4824:90 *4845:106 1.3807e-05 +64 *4824:90 *4872:127 0.00107713 +65 *4824:90 *4872:136 0.00143057 +66 *4824:97 *24458:CLK 0.000237023 +67 *4824:97 *4872:127 0 +68 *4824:101 *20629:A1 0.000308989 +69 *4824:101 *21255:A 2.41483e-05 +70 *4824:101 *4845:205 0.000251669 +71 *4824:101 *5302:9 0.000130481 +72 *4824:101 *5714:26 0.000109765 +73 *4824:101 *5855:589 8.43707e-05 +74 *4824:112 *19599:A0 0.000381135 +75 *4824:112 *4901:79 6.48631e-05 +76 *4824:112 *5714:35 0.000224381 +77 *4824:112 *5857:537 0.000112657 +78 *4824:112 *5924:46 0.000171187 +79 *4824:116 *5857:88 0.00196111 +80 *4824:116 *5857:537 9.81953e-05 +81 *4824:116 *5861:330 2.54844e-05 +82 *4824:120 *20962:A1 9.32891e-05 +83 *4824:120 *20962:B1 3.77568e-05 +84 *4824:120 *5861:330 0.000108126 +85 *4824:122 *20656:B1 5.84166e-05 +86 *4824:122 *20957:B1 0.00052016 +87 *4824:122 *24464:CLK 0.00062391 +88 *4824:122 *24470:CLK 0.000157519 +89 *4824:122 *4845:250 0.000251201 +90 *4824:122 *5859:167 8.63353e-06 +91 *4824:122 *5861:330 0.0013428 +92 *4824:122 *5861:336 0.000333411 +93 *4824:122 *5861:338 6.34564e-05 +94 *4824:122 *5861:340 0.000640669 +95 *4824:122 *5861:363 0.000955265 +96 *4824:122 *5863:23 0.000790099 +97 *4824:130 *5861:434 5.22082e-05 +98 *4824:142 *24229:CLK 0.000110297 +99 *4824:142 *4825:118 0.000117869 +100 *4824:180 *22642:B1 0.000104754 +101 *4824:180 *5859:251 0.000300613 +102 mgmt_gpio_out[22] *24116:TE_B 6.50586e-05 +103 *20596:A2 *4824:33 0.000589689 +104 *20596:B2 *4824:25 2.57236e-05 +105 *20596:B2 *4824:33 4.0752e-05 +106 *20596:B2 *4824:55 0 +107 *20629:B2 *4824:101 4.31703e-05 +108 *20669:A2 *4824:97 0.000113968 +109 *20669:A2 *4824:101 4.97617e-05 +110 *20741:B2 *4824:87 2.16355e-05 +111 *20959:B2 *4824:122 3.03563e-05 +112 *21027:B2 *4824:142 0.000438346 +113 *21352:B2 *4824:180 0.000132085 +114 *21900:B *21725:A1 0.000193499 +115 *22298:B2 *4824:154 0.00014348 +116 *22301:A2 *22301:A1 0.000130886 +117 *22301:B2 *22301:A1 0.000146012 +118 *22304:B2 *4824:153 5.60804e-05 +119 *22305:A1 *4824:153 0.000205101 +120 *22306:D *4824:153 0.000337916 +121 *22334:A2 *4824:153 0.00031519 +122 *22637:A2 *22637:A1 0.000113592 +123 *22764:A2 *4824:180 0.000107496 +124 *23890:A *24116:TE_B 6.86328e-05 +125 *23891:A *23892:A 6.65788e-05 +126 *23891:A *4824:33 0.000236856 +127 *23891:A *4824:55 6.23759e-05 +128 *23893:A *23892:A 6.78549e-05 +129 *23893:A *4824:55 9.90203e-05 +130 *24228:D *4824:130 2.97803e-05 +131 *24228:D *4824:142 1.94776e-05 +132 *24409:D *4824:84 0.000166071 +133 *24470:D *4824:122 3.72692e-05 +134 *24513:D *4824:70 0.000301207 +135 *24595:D *4824:25 1.07248e-05 +136 *131:11 *4824:33 1.75637e-06 +137 *489:8 *4824:90 0 +138 *502:14 *4824:122 1.97826e-05 +139 *502:18 *4824:122 0.00029304 +140 *512:47 *4824:142 5.52569e-05 +141 *527:22 *4824:130 0.000394406 +142 *545:23 *4824:180 0.00135481 +143 *547:21 *4824:180 0.000151483 +144 *549:23 *4824:180 0.000441659 +145 *1551:83 *4824:142 8.64501e-05 +146 *1553:36 *21725:A1 0.000175826 +147 *1576:28 *4824:153 0.000353642 +148 *1636:68 *4824:180 0.000216902 +149 *1669:146 *4824:180 0.000158357 +150 *1671:126 *21725:A1 0.000344146 +151 *1717:45 *4824:180 4.73449e-05 +152 *1735:100 *4824:180 0.000542032 +153 *1771:24 *21725:A1 1.47978e-05 +154 *2007:52 *4824:70 5.41227e-05 +155 *2053:20 *4824:90 0 +156 *2053:20 *4824:97 0.000136647 +157 *2055:34 *4824:84 0.000258128 +158 *2136:8 *4824:90 5.77352e-05 +159 *2136:18 *4824:90 0.000255795 +160 *2177:90 *4824:142 0.000725358 +161 *2202:12 *4824:130 0.000235947 +162 *2202:12 *4824:142 1.58656e-05 +163 *2202:14 *4824:142 0.000564675 +164 *2245:24 *4824:122 0.000342653 +165 *2262:102 *4824:122 0.00142104 +166 *2313:33 *4824:153 7.48886e-05 +167 *2320:10 *4824:101 0.00135759 +168 *2320:68 *4824:122 9.59822e-06 +169 *2321:14 *4824:112 0.000346988 +170 *2339:8 *4824:84 0.000137421 +171 *2384:45 *4824:127 0.000493589 +172 *2415:19 *4824:180 0.00155871 +173 *2415:32 *4824:122 0.000209223 +174 *2417:14 *4824:87 0.000146699 +175 *2433:11 *4824:101 3.18775e-05 +176 *2456:30 *4824:180 0 +177 *2486:106 *4824:130 9.52476e-05 +178 *2499:23 *4824:101 2.1801e-05 +179 *2499:26 *4824:112 0.00124813 +180 *2499:28 *4824:112 1.62525e-05 +181 *2499:28 *4824:116 0.00233446 +182 *2499:28 *4824:120 0.000123438 +183 *2499:28 *4824:122 0.000240835 +184 *2499:39 *4824:122 8.83704e-05 +185 *2577:36 *4824:180 1.58517e-05 +186 *2610:48 *4824:130 0.00126935 +187 *2629:37 *4824:153 0.000287299 +188 *2631:28 *4824:122 6.09999e-05 +189 *2642:21 *4824:142 0.000181114 +190 *2650:27 *21725:A1 0.000966478 +191 *2676:29 *4824:153 0.00043205 +192 *2679:41 *4824:130 0.000716092 +193 *2690:40 *22637:A1 5.33358e-06 +194 *2690:40 *4824:154 7.40766e-05 +195 *2772:12 *4824:142 6.12987e-05 +196 *2814:24 *4824:142 0.000200384 +197 *2906:56 *4824:122 0.0012537 +198 *2924:8 *4824:122 1.70219e-05 +199 *3011:17 *4824:112 0.000119538 +200 *3111:28 *4824:180 8.3051e-06 +201 *3117:132 *22637:A1 0.000183853 +202 *3117:132 *4824:154 0.000289939 +203 *3126:12 *4824:153 7.83643e-05 +204 *3128:26 *4824:180 0.00275267 +205 *3144:23 *21725:A1 0.000167617 +206 *3148:46 *4824:153 6.21462e-05 +207 *3148:46 *4824:180 0.00188414 +208 *3185:25 *4824:180 0.00011864 +209 *3192:57 *4824:153 0.000110297 +210 *3204:150 *22301:A1 4.60518e-05 +211 *3204:150 *4824:154 0.000369625 +212 *3471:15 *4824:180 0.000104754 +213 *3474:18 *22637:A1 0.000100727 +214 *3485:90 *4824:180 0.000317566 +215 *3496:142 *4824:180 0.00044443 +216 *3500:18 *4824:180 0.000584766 +217 *3500:33 *21725:A1 0.00166817 +218 *3500:33 *4824:180 0.00017608 +219 *3500:38 *21725:A1 1.65872e-05 +220 *3507:19 *4824:153 0.000608996 +221 *4804:62 *4824:154 3.59315e-05 +222 *4804:96 *22301:A1 6.70627e-06 +223 *4804:96 *4824:153 0.000188071 +224 *4804:96 *4824:154 6.39153e-06 +225 *4811:18 *4824:130 1.40966e-05 +226 *4816:96 *4824:122 1.55561e-05 +227 *4818:50 *4824:142 0.000336323 +228 *4818:56 *4824:153 0.000883944 +229 *4823:8 *4824:70 0 +230 *4823:156 *4824:70 0 +*RES +1 *21720:Y *4824:25 43.4844 +2 *4824:25 *4824:33 20.1483 +3 *4824:33 *4824:34 57.9449 +4 *4824:34 *4824:36 9.24915 +5 *4824:36 *23892:A 9.97254 +6 *4824:36 *4824:55 23.2159 +7 *4824:55 *24116:TE_B 21.2198 +8 *4824:55 *4824:70 49.6904 +9 *4824:70 *4824:84 42.0775 +10 *4824:84 *4824:87 15.7609 +11 *4824:87 *4824:90 48.0593 +12 *4824:90 *4824:97 12.5489 +13 *4824:97 *4824:101 47.3733 +14 *4824:101 *4824:112 41.6395 +15 *4824:112 *4824:116 44.2976 +16 *4824:116 *4824:120 8.37832 +17 *4824:120 *4824:122 110.213 +18 *4824:122 *4824:127 14.7148 +19 *4824:127 *4824:130 38.533 +20 *4824:130 *4824:142 49.6237 +21 *4824:142 *4824:153 36.1883 +22 *4824:153 *4824:154 13.4591 +23 *4824:154 *22637:A1 18.8537 +24 *4824:154 *4824:180 48.6702 +25 *4824:180 *21725:A1 44.0801 +26 *4824:153 *22301:A1 17.9483 +*END + +*D_NET *4825 0.111473 +*CONN +*I *24117:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *23894:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22300:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22641:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21707:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21705:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *24117:TE_B 0.000335007 +2 *23894:A 0 +3 *22300:A1 0 +4 *22641:B1 2.0864e-05 +5 *21707:A1 0.000541982 +6 *21705:Y 0.00136248 +7 *4825:181 0.00170616 +8 *4825:180 0.00252695 +9 *4825:146 0.00245096 +10 *4825:133 0.00233473 +11 *4825:127 0.00207926 +12 *4825:118 0.00213946 +13 *4825:112 0.00193234 +14 *4825:102 0.00274777 +15 *4825:95 0.00473099 +16 *4825:72 0.00397259 +17 *4825:70 0.000682772 +18 *4825:64 0.000697471 +19 *4825:63 0.00053681 +20 *4825:61 0.00180716 +21 *4825:49 0.00451307 +22 *4825:42 0.00342054 +23 *4825:39 0.00236216 +24 *4825:28 0.00238734 +25 *4825:12 0.00325809 +26 *24117:TE_B *24116:A 5.41227e-05 +27 *24117:TE_B *25226:A 0 +28 *24117:TE_B *5506:22 7.68538e-06 +29 *24117:TE_B *5715:13 0.000370829 +30 *24117:TE_B *5918:51 0.000148261 +31 *4825:12 *24403:CLK 2.78496e-05 +32 *4825:12 *5333:7 0.000184939 +33 *4825:12 *5857:14 0.000517234 +34 *4825:12 *5866:340 0.000253916 +35 *4825:28 *20428:A1 0.00040074 +36 *4825:28 *20428:B1 9.80067e-06 +37 *4825:28 *20746:B1 2.65904e-05 +38 *4825:28 *4826:28 4.69495e-06 +39 *4825:28 *5888:8 0.000110819 +40 *4825:28 *5923:23 0.000812433 +41 *4825:39 *20805:B1 8.89405e-05 +42 *4825:39 *24374:CLK 0.000342873 +43 *4825:39 *24409:CLK 0.000110997 +44 *4825:39 *4896:32 1.19856e-05 +45 *4825:42 *4830:32 0.000124267 +46 *4825:42 *4830:36 0.000131446 +47 *4825:42 *5857:60 0.000141792 +48 *4825:42 *5867:645 0.000658966 +49 *4825:42 *5893:8 3.58499e-05 +50 *4825:49 *24351:RESET_B 7.64959e-06 +51 *4825:49 *24411:SET_B 0.000616278 +52 *4825:49 *24414:RESET_B 0.000178289 +53 *4825:49 *24414:CLK 0.000104244 +54 *4825:49 *4988:5 3.37007e-06 +55 *4825:61 *4828:44 7.87047e-05 +56 *4825:61 *5533:9 0.000101148 +57 *4825:64 *5493:33 1.3813e-05 +58 *4825:70 *5493:33 6.94919e-05 +59 *4825:72 *4829:147 0.00186182 +60 *4825:72 *4833:155 0.000290672 +61 *4825:72 *5904:24 0.00353688 +62 *4825:95 *20997:B1 0.000794199 +63 *4825:95 *4827:99 0.000142477 +64 *4825:95 *4828:61 1.47137e-05 +65 *4825:95 *4832:60 0.00415225 +66 *4825:95 *4833:155 0.000241744 +67 *4825:95 *5855:244 0.000149263 +68 *4825:102 *4845:303 1.3706e-05 +69 *4825:102 *5407:10 0.000137403 +70 *4825:102 *5417:30 0.001172 +71 *4825:102 *5855:244 9.28183e-05 +72 *4825:112 *21243:A 0.000168288 +73 *4825:112 *5857:151 0.000253916 +74 *4825:133 *22641:A1 0.000298399 +75 *4825:146 *21622:A 0.000398786 +76 *4825:146 *21695:A1 0.0019976 +77 *4825:180 *20746:B1 1.14975e-05 +78 *4825:180 *4844:96 0 +79 *4825:180 *4844:100 0 +80 *4825:180 *5338:10 4.63668e-05 +81 *4825:180 *5866:359 0.000761556 +82 *4825:180 *5866:365 0.000273277 +83 *4825:180 *5866:369 8.32995e-05 +84 *4825:180 *5890:14 0.000140478 +85 *4825:180 *5907:33 0.000629908 +86 *4825:181 *20504:B1 0.000142672 +87 *4825:181 *24115:A 0 +88 *4825:181 *24116:A 3.67708e-05 +89 *4825:181 *4842:192 0 +90 *4825:181 *4843:131 0.000211407 +91 *4825:181 *4844:92 0.000121121 +92 *4825:181 *5892:10 0 +93 mgmt_gpio_out[11] *4825:95 3.52699e-05 +94 mgmt_gpio_out[22] *24117:TE_B 0 +95 mgmt_gpio_out[22] *4825:181 0 +96 mgmt_gpio_out[23] *24117:TE_B 9.63981e-05 +97 *20265:B2 *4825:49 4.80635e-06 +98 *20265:B2 *4825:61 0.000670127 +99 *20274:A *4825:49 6.73351e-05 +100 *20428:A2 *4825:28 9.81123e-06 +101 *20428:B2 *4825:28 0.000325422 +102 *20503:B2 *4825:180 0 +103 *20504:B2 *4825:181 0.000121257 +104 *20805:A2 *4825:42 0.000143032 +105 *21730:B1 *21707:A1 4.78961e-06 +106 *21758:A2 *21707:A1 6.08467e-05 +107 *22300:B2 *4825:133 9.95922e-06 +108 *22316:A *4825:127 0.00024825 +109 *22316:B *4825:127 0.000361079 +110 *22316:C *4825:127 0.000924229 +111 *22327:A2 *4825:146 7.86728e-05 +112 *22328:B *4825:146 0.000140882 +113 *22539:B1 *21707:A1 1.88014e-05 +114 *22540:C1 *21707:A1 0.00026094 +115 *23890:A *24117:TE_B 0.000271044 +116 *23890:A *4825:181 0 +117 *24116:TE_B *4825:181 0 +118 *24403:D *4825:12 0.00049015 +119 *24410:D *4825:39 0.000160617 +120 *24414:D *4825:49 2.65831e-05 +121 *24734:D *4825:49 0.000107329 +122 *24734:D *4825:61 0.00042169 +123 *482:40 *4825:102 0.000180044 +124 *482:40 *4825:112 0.000438628 +125 *490:45 *4825:28 0.00134987 +126 *512:47 *4825:118 4.93462e-05 +127 *520:17 *4825:146 1.61821e-05 +128 *1537:101 *21707:A1 5.04829e-06 +129 *1555:58 *4825:95 0.00206021 +130 *1555:69 *4825:95 0.000192886 +131 *1555:70 *4825:64 0.00335797 +132 *1555:70 *4825:70 1.23804e-05 +133 *1583:21 *4825:118 0.00150333 +134 *1592:61 *4825:146 1.10054e-05 +135 *1610:33 *4825:133 4.50665e-05 +136 *1610:37 *4825:127 0.000211762 +137 *1629:12 *4825:61 0.000197691 +138 *1641:109 *4825:146 3.45034e-05 +139 *1648:47 *4825:146 7.09666e-06 +140 *1662:80 *4825:146 4.69495e-06 +141 *1688:75 *4825:95 0 +142 *1741:40 *4825:95 0.000175971 +143 *1741:44 *4825:95 9.39114e-06 +144 *1788:77 *4825:146 0.0013295 +145 *1788:80 *21707:A1 0.000292111 +146 *1800:75 *21707:A1 0.000118293 +147 *1904:72 *4825:61 8.54974e-05 +148 *1907:8 *4825:49 0.000122378 +149 *1979:38 *4825:180 0.000103087 +150 *1980:79 *4825:181 7.94508e-05 +151 *2054:46 *4825:12 0.000746376 +152 *2055:34 *4825:12 0.000206549 +153 *2055:34 *4825:28 1.44611e-05 +154 *2055:34 *4825:180 1.27159e-05 +155 *2055:40 *4825:12 1.41689e-05 +156 *2171:20 *4825:102 0.000322905 +157 *2171:30 *4825:102 0.000112619 +158 *2177:90 *4825:118 0.000103499 +159 *2203:41 *4825:112 8.3506e-05 +160 *2256:88 *4825:118 0.000294093 +161 *2256:101 *4825:118 2.77419e-05 +162 *2266:36 *4825:95 0.000332525 +163 *2287:12 *4825:28 1.91391e-05 +164 *2310:10 *4825:112 0.000239799 +165 *2320:56 *4825:95 0.000144573 +166 *2339:8 *4825:28 4.3116e-06 +167 *2372:60 *4825:72 0.00145852 +168 *2384:32 *4825:95 0 +169 *2417:43 *4825:72 0.000119431 +170 *2417:43 *4825:95 2.2557e-05 +171 *2417:53 *4825:95 0.000679621 +172 *2448:146 *4825:95 0.00135285 +173 *2467:49 *4825:95 0.000360359 +174 *2467:104 *4825:95 5.26866e-05 +175 *2468:107 *4825:102 0.000969669 +176 *2468:107 *4825:112 9.39114e-06 +177 *2468:111 *4825:112 0.000405666 +178 *2473:7 *4825:39 0.000164829 +179 *2486:106 *4825:102 0.000169093 +180 *2545:18 *4825:64 0.00337045 +181 *2606:8 *4825:146 3.27606e-06 +182 *2642:21 *4825:118 0.000642112 +183 *2676:45 *4825:127 0.0003652 +184 *2679:37 *4825:95 0.000133251 +185 *2751:7 *21707:A1 0.000309938 +186 *2772:12 *4825:118 0.000110306 +187 *2773:41 *21707:A1 0.000114343 +188 *2791:24 *4825:70 9.1043e-05 +189 *2813:42 *4825:102 2.31559e-05 +190 *2813:47 *4825:102 0.000194604 +191 *2894:60 *4825:146 0.000133323 +192 *2908:12 *4825:112 8.6931e-05 +193 *2938:30 *4825:70 0.000188997 +194 *2938:30 *4825:72 9.27638e-05 +195 *3020:14 *4825:95 0 +196 *3022:6 *4825:42 0.00156532 +197 *3052:77 *4825:146 0.000177888 +198 *3148:226 *4825:146 0.000426056 +199 *3179:139 *4825:127 0.000198857 +200 *3179:139 *4825:133 0.00013533 +201 *3179:167 *4825:133 7.27261e-05 +202 *3206:146 *4825:146 0.00114886 +203 *3257:80 *4825:112 1.47488e-05 +204 *3284:21 *4825:146 2.83519e-05 +205 *3485:163 *4825:146 0.000445444 +206 *3487:137 *4825:146 0.000110297 +207 *3487:214 *4825:133 1.55025e-05 +208 *3487:214 *4825:146 0.00123508 +209 *3502:130 *22641:B1 9.95922e-06 +210 *3502:130 *4825:133 2.29454e-05 +211 *3502:130 *4825:146 8.93134e-05 +212 *3543:15 *4825:127 0.000651425 +213 *3543:15 *4825:133 6.64392e-05 +214 *4817:10 *4825:61 8.67676e-05 +215 *4818:50 *4825:118 1.01851e-05 +216 *4819:121 *4825:61 0 +217 *4823:8 *4825:181 1.29348e-05 +218 *4823:94 *4825:95 0.00100261 +219 *4823:156 *4825:181 0 +220 *4824:55 *4825:181 0 +221 *4824:142 *4825:118 0.000117869 +*RES +1 *21705:Y *4825:12 43.1356 +2 *4825:12 *4825:28 40.6123 +3 *4825:28 *4825:39 32.7848 +4 *4825:39 *4825:42 35.8149 +5 *4825:42 *4825:49 48.4916 +6 *4825:49 *4825:61 49.7583 +7 *4825:61 *4825:63 4.5 +8 *4825:63 *4825:64 55.1919 +9 *4825:64 *4825:70 5.58148 +10 *4825:70 *4825:72 57.0605 +11 *4825:72 *4825:95 46.4446 +12 *4825:95 *4825:102 44.1633 +13 *4825:102 *4825:112 41.5165 +14 *4825:112 *4825:118 29.4393 +15 *4825:118 *4825:127 35.4946 +16 *4825:127 *4825:133 10.3446 +17 *4825:133 *4825:146 47.0304 +18 *4825:146 *21707:A1 23.3071 +19 *4825:133 *22641:B1 9.82786 +20 *4825:127 *22300:A1 9.24915 +21 *4825:12 *4825:180 40.9102 +22 *4825:180 *4825:181 35.8827 +23 *4825:181 *23894:A 13.7491 +24 *4825:181 *24117:TE_B 32.5755 +*END + +*D_NET *4826 0.116141 +*CONN +*I *22309:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22641:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21695:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24118:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *23896:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21693:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *22309:A1 0 +2 *22641:A1 0.00037495 +3 *21695:A1 0.00416078 +4 *24118:TE_B 0 +5 *23896:A 0.000619447 +6 *21693:Y 3.5247e-05 +7 *4826:141 0.00555077 +8 *4826:126 0.0011573 +9 *4826:118 0.00021328 +10 *4826:117 0.00354672 +11 *4826:84 0.00491475 +12 *4826:74 0.00315345 +13 *4826:73 0.00177913 +14 *4826:68 0.00130351 +15 *4826:67 0.0014972 +16 *4826:61 0.00199364 +17 *4826:59 0.004267 +18 *4826:31 0.000810873 +19 *4826:28 0.00234135 +20 *4826:27 0.00214992 +21 *4826:25 0.00387208 +22 *4826:23 0.00477388 +23 *4826:18 0.00215457 +24 *4826:12 0.00181351 +25 *4826:5 0.00312778 +26 *21695:A1 *22313:A1 0.000260294 +27 *23896:A *20593:A1 0.000148106 +28 *23896:A *4840:341 0.0011386 +29 *23896:A *4843:898 0.00016337 +30 *4826:12 *21693:A 4.30017e-06 +31 *4826:12 *4888:126 0.00032387 +32 *4826:12 *5853:71 2.15293e-05 +33 *4826:18 *20783:A1 9.04083e-05 +34 *4826:18 *21494:A 0.000271793 +35 *4826:18 *5008:10 0.000146645 +36 *4826:23 *21196:A 0.000118166 +37 *4826:23 *5251:31 5.04829e-06 +38 *4826:25 *21312:A 0.000402007 +39 *4826:25 *21485:A 2.16355e-05 +40 *4826:25 *21877:A 2.16355e-05 +41 *4826:25 *24349:CLK 6.99486e-05 +42 *4826:25 *5251:31 1.06276e-05 +43 *4826:28 *20780:B1 0.000192854 +44 *4826:28 *21197:A 0 +45 *4826:28 *24119:TE_B 0 +46 *4826:28 *24512:RESET_B 0.000414293 +47 *4826:28 *4896:25 0 +48 *4826:28 *5291:8 0 +49 *4826:28 *5866:664 0.000362216 +50 *4826:31 *24118:A 4.31539e-05 +51 *4826:31 *24119:TE_B 0.000132235 +52 *4826:59 *24432:CLK 7.16754e-05 +53 *4826:59 *5853:71 4.65517e-05 +54 *4826:59 *5853:81 2.63908e-05 +55 *4826:61 *20922:B1 0.000326215 +56 *4826:61 *21602:A 6.08467e-05 +57 *4826:61 *24952:A 0.000217937 +58 *4826:61 *5857:82 0.00029261 +59 *4826:67 *5857:82 0.000185702 +60 *4826:68 *24326:RESET_B 0.000461249 +61 *4826:68 *5855:187 0 +62 *4826:68 *5857:89 0.000316742 +63 *4826:68 *5857:93 0.00021398 +64 *4826:68 *5865:301 0.000759608 +65 *4826:68 *5865:310 8.11327e-05 +66 *4826:68 *5865:382 6.71756e-05 +67 *4826:74 *5861:330 1.86942e-05 +68 *4826:84 *20654:B1 5.13902e-05 +69 *4826:84 *20660:A1 5.60364e-06 +70 *4826:84 *4845:262 4.31889e-05 +71 *4826:84 *4845:270 0.000220538 +72 *4826:84 *5592:87 1.5714e-05 +73 *4826:84 *5861:363 0.000222766 +74 *4826:84 *5862:319 0.000210332 +75 *4826:84 *5862:329 0.000362573 +76 *4826:84 *5862:335 0.000350807 +77 *4826:84 *5862:347 0.000342498 +78 *4826:84 *5862:365 0.000907806 +79 *4826:117 *4833:130 6.10649e-05 +80 *4826:117 *5592:87 0.000134607 +81 *4826:117 *5924:37 3.12734e-06 +82 *4826:141 *22313:A1 0.000237552 +83 *20396:A *4826:23 0.000570342 +84 *20461:A *4826:23 5.73392e-05 +85 *20548:A *4826:23 0.000141473 +86 *20588:A *23896:A 4.80635e-06 +87 *20593:B2 *23896:A 6.65878e-05 +88 *20705:A2 *4826:61 0.000406794 +89 *20706:A2 *4826:59 6.63489e-05 +90 *20741:B2 *4826:28 0 +91 *20778:A *4826:12 3.9199e-05 +92 *20778:A *4826:18 0.000521322 +93 *20922:A2 *4826:61 6.50586e-05 +94 *20922:B2 *4826:61 6.50727e-05 +95 *20963:A2 *4826:74 4.15661e-05 +96 *21467:A2 *21695:A1 0.000324461 +97 *22309:B2 *4826:141 1.49644e-05 +98 *22310:C1 *4826:141 0.000166473 +99 *22323:A1 *21695:A1 0.000871006 +100 *22636:B1 *22641:A1 5.41227e-05 +101 *22636:B2 *4826:141 0.000125663 +102 *22710:A1 *4826:141 4.0744e-05 +103 *22710:B1 *4826:141 5.49895e-05 +104 *22711:A1 *4826:126 0.000105509 +105 *22711:C1 *4826:141 0.000402387 +106 *23952:A0 *23896:A 0.000735176 +107 *24301:D *4826:74 0.000172431 +108 *24349:D *4826:25 0.000114449 +109 *24432:D *4826:59 3.9573e-05 +110 *24433:D *4826:59 0.000446985 +111 *24464:D *4826:84 0.000286187 +112 *24491:D *4826:117 4.23622e-05 +113 *135:11 *23896:A 2.23124e-05 +114 *477:15 *4826:68 9.86432e-05 +115 *478:54 *4826:68 4.89349e-05 +116 *480:12 *4826:68 0.000703923 +117 *480:14 *4826:68 3.58315e-06 +118 *480:14 *4826:74 0.00298888 +119 *480:20 *4826:74 0 +120 *480:20 *4826:84 3.57645e-05 +121 *480:56 *4826:68 0.000128203 +122 *480:183 *4826:68 1.05862e-05 +123 *490:42 *4826:28 1.94916e-05 +124 *502:14 *4826:74 0.0011928 +125 *502:14 *4826:84 0.000109136 +126 *502:18 *4826:84 7.90479e-06 +127 *512:38 *4826:117 0.000556124 +128 *1435:182 *21695:A1 6.2146e-05 +129 *1443:60 *4826:117 0.000149572 +130 *1443:88 *4826:68 1.9101e-05 +131 *1444:6 *4826:68 0.000308775 +132 *1496:130 *21695:A1 0.000329167 +133 *1551:109 *4826:117 0.000587899 +134 *1558:24 *4826:117 1.66325e-05 +135 *1573:141 *4826:117 3.33546e-05 +136 *1573:155 *4826:117 0.000890891 +137 *1583:35 *22641:A1 0.000154145 +138 *1584:49 *21695:A1 0.000208754 +139 *1584:156 *4826:117 5.91586e-05 +140 *1595:19 *4826:61 0.00193053 +141 *1595:28 *4826:61 0.000740756 +142 *1598:46 *21695:A1 0.000129091 +143 *1601:8 *4826:12 0.000405303 +144 *1601:8 *4826:18 0.00043221 +145 *1610:33 *22641:A1 6.64243e-05 +146 *1610:37 *22641:A1 5.13162e-05 +147 *1610:54 *4826:117 2.45148e-05 +148 *1688:91 *4826:117 5.61636e-05 +149 *1707:16 *4826:18 7.00933e-05 +150 *1723:62 *21695:A1 0.000226438 +151 *1751:131 *21695:A1 0.000252386 +152 *1788:77 *21695:A1 0.00088292 +153 *1911:62 *23896:A 0 +154 *2006:15 *4826:28 1.69932e-05 +155 *2006:61 *4826:28 6.60341e-05 +156 *2006:71 *23896:A 0.000152878 +157 *2006:71 *4826:28 0.00015455 +158 *2006:77 *23896:A 0.000255759 +159 *2006:83 *23896:A 8.82917e-05 +160 *2007:5 *23896:A 0.000171288 +161 *2007:104 *23896:A 0.000119686 +162 *2042:70 *4826:61 0.000253916 +163 *2042:76 *4826:61 0.000357964 +164 *2054:89 *4826:28 0.000334475 +165 *2055:8 *4826:28 0 +166 *2117:55 *4826:126 0.000148576 +167 *2161:17 *4826:74 0.000108288 +168 *2161:34 *4826:74 0.000542532 +169 *2245:17 *4826:68 8.80519e-05 +170 *2245:24 *4826:74 0.000437871 +171 *2262:102 *4826:117 0.0014549 +172 *2264:6 *4826:28 0 +173 *2274:95 *4826:117 0.000326516 +174 *2275:71 *4826:117 2.7257e-05 +175 *2287:12 *4826:28 0.000401607 +176 *2310:37 *4826:117 0.00117062 +177 *2312:22 *4826:117 1.68266e-05 +178 *2313:33 *4826:141 0.000734222 +179 *2319:17 *4826:68 0.000525232 +180 *2333:60 *4826:117 6.03122e-05 +181 *2349:10 *4826:28 0.000435638 +182 *2362:7 *4826:25 1.47695e-05 +183 *2369:8 *4826:18 0.000283662 +184 *2380:8 *4826:28 0 +185 *2411:34 *21695:A1 4.12977e-05 +186 *2448:69 *4826:61 0.000138643 +187 *2451:7 *4826:31 0.000131072 +188 *2459:29 *4826:117 0.000207345 +189 *2467:104 *4826:117 0.000299498 +190 *2467:114 *4826:117 5.40362e-05 +191 *2503:48 *4826:117 6.03122e-05 +192 *2503:124 *21695:A1 1.43499e-05 +193 *2523:8 *4826:18 0.000100557 +194 *2523:84 *21695:A1 0.0014375 +195 *2570:103 *21695:A1 4.90578e-05 +196 *2570:138 *21695:A1 2.73878e-05 +197 *2584:16 *4826:59 1.45447e-05 +198 *2584:17 *4826:61 0.00767898 +199 *2584:17 *4826:67 8.7742e-05 +200 *2635:7 *4826:61 1.58551e-05 +201 *2687:68 *4826:117 5.25535e-05 +202 *2690:40 *22641:A1 6.08194e-05 +203 *2734:24 *21695:A1 0.000107315 +204 *2813:8 *4826:28 0 +205 *2814:24 *21695:A1 0.0015499 +206 *2894:60 *21695:A1 0.000285418 +207 *2908:29 *4826:117 0.000113478 +208 *2963:20 *4826:18 0.000885543 +209 *2963:22 *4826:18 0.000361993 +210 *3122:88 *4826:117 0.000191352 +211 *3148:226 *21695:A1 0.00139271 +212 *3179:167 *22641:A1 0.000110306 +213 *3192:57 *4826:117 0.000135375 +214 *3198:154 *4826:126 0.000141038 +215 *3204:150 *21695:A1 0.000654648 +216 *3204:150 *22641:A1 0.000218856 +217 *3204:165 *21695:A1 6.43804e-05 +218 *3263:23 *4826:73 7.50228e-05 +219 *3263:33 *4826:117 0.000299228 +220 *3274:27 *22641:A1 0.000156955 +221 *3471:116 *4826:141 1.37531e-05 +222 *3471:139 *4826:126 6.34651e-06 +223 *3481:136 *22641:A1 0.000143732 +224 *3487:151 *21695:A1 7.27023e-06 +225 *3491:146 *4826:141 0.000200618 +226 *3493:8 *4826:117 9.78397e-06 +227 *3509:42 *21695:A1 7.61846e-06 +228 *3509:60 *21695:A1 0.000107789 +229 *3517:151 *21695:A1 0.000181317 +230 *3519:332 *21695:A1 0.000327144 +231 *3582:10 *22641:A1 0.000140307 +232 *3597:18 *21695:A1 3.77568e-05 +233 *4811:6 *4826:84 7.22663e-05 +234 *4811:18 *4826:84 5.1768e-05 +235 *4812:18 *4826:73 0.000203002 +236 *4814:64 *4826:117 1.8224e-05 +237 *4825:28 *4826:28 4.69495e-06 +238 *4825:133 *22641:A1 0.000298399 +239 *4825:146 *21695:A1 0.0019976 +*RES +1 *21693:Y *4826:5 10.2378 +2 *4826:5 *4826:12 17.9703 +3 *4826:12 *4826:18 49.4517 +4 *4826:18 *4826:23 27.1423 +5 *4826:23 *4826:25 56.1838 +6 *4826:25 *4826:27 4.5 +7 *4826:27 *4826:28 65.3656 +8 *4826:28 *4826:31 11.324 +9 *4826:31 *23896:A 48.925 +10 *4826:31 *24118:TE_B 9.24915 +11 *4826:5 *4826:59 36.0975 +12 *4826:59 *4826:61 88.6281 +13 *4826:61 *4826:67 10.9262 +14 *4826:67 *4826:68 47.3021 +15 *4826:68 *4826:73 7.01693 +16 *4826:73 *4826:74 62.4588 +17 *4826:74 *4826:84 48.3626 +18 *4826:84 *4826:117 47.3395 +19 *4826:117 *4826:118 81.1229 +20 *4826:118 *4826:126 22.6049 +21 *4826:126 *4826:141 25.4394 +22 *4826:141 *21695:A1 48.197 +23 *4826:141 *22641:A1 40.3947 +24 *4826:126 *22309:A1 9.24915 +*END + +*D_NET *4827 0.111457 +*CONN +*I *23898:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24119:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22313:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22638:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21742:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21740:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *23898:A 0.000379646 +2 *24119:TE_B 0.000511727 +3 *22313:B1 0.000258964 +4 *22638:B1 0 +5 *21742:A1 0.000307902 +6 *21740:Y 0 +7 *4827:137 0.0011788 +8 *4827:135 0.00135751 +9 *4827:124 0.00196125 +10 *4827:121 0.00224146 +11 *4827:118 0.00226655 +12 *4827:115 0.00154615 +13 *4827:106 0.00269825 +14 *4827:105 0.00221926 +15 *4827:100 0.00219681 +16 *4827:99 0.00295381 +17 *4827:89 0.00179499 +18 *4827:79 0.00564195 +19 *4827:51 0.00662555 +20 *4827:32 0.00314165 +21 *4827:29 0.00301915 +22 *4827:24 0.00282424 +23 *4827:20 0.0016066 +24 *4827:4 0.000579491 +25 *21742:A1 *4844:356 5.316e-05 +26 *21742:A1 *5170:10 0.000161178 +27 *22313:B1 *22313:A1 0.000436359 +28 *23898:A *4828:21 0.000166904 +29 *23898:A *5891:14 0.00046268 +30 *24119:TE_B *24118:A 2.90773e-05 +31 *4827:20 *4865:6 0.000196263 +32 *4827:20 *4866:32 0.000177049 +33 *4827:20 *4914:70 7.28129e-05 +34 *4827:20 *5854:424 0.000457669 +35 *4827:24 *20514:A1 1.84167e-05 +36 *4827:24 *20516:A1 9.32891e-05 +37 *4827:24 *4843:509 0.000927739 +38 *4827:24 *4843:516 0.000101987 +39 *4827:24 *4865:6 0.00071005 +40 *4827:24 *4914:70 0.000174491 +41 *4827:24 *5853:410 0.000137971 +42 *4827:29 *20514:A1 3.77568e-05 +43 *4827:29 *21317:A 3.88655e-06 +44 *4827:29 *4843:509 2.77191e-05 +45 *4827:29 *4865:6 0 +46 *4827:29 *4865:24 0 +47 *4827:29 *4866:110 0.000200794 +48 *4827:79 *19564:S 9.0791e-05 +49 *4827:79 *4833:130 0.000636681 +50 *4827:79 *5593:57 0.000114282 +51 *4827:79 *5909:38 0.000209119 +52 *4827:89 *5592:102 0.00043404 +53 *4827:89 *5859:183 8.98169e-05 +54 *4827:89 *5859:197 0.000463921 +55 *4827:89 *5859:205 0.000326638 +56 *4827:89 *5902:33 0.000436841 +57 *4827:100 *20692:B1 1.58128e-05 +58 *4827:100 *4903:44 0.000306333 +59 *4827:100 *4903:48 3.02795e-05 +60 *4827:100 *4941:8 5.95249e-06 +61 *4827:100 *5855:202 0.000307548 +62 *4827:105 *4872:90 0.000107496 +63 *4827:106 *19567:S 3.25751e-05 +64 *4827:106 *20321:A1 4.3116e-06 +65 *4827:106 *24692:RESET_B 9.37534e-05 +66 *4827:106 *24905:RESET_B 0.000137921 +67 *4827:106 *4901:43 0.000163997 +68 *4827:106 *4901:53 0.00022117 +69 *4827:106 *5865:342 0.000817838 +70 *4827:106 *5884:29 0.00143787 +71 *4827:115 *4901:53 0.000632614 +72 *4827:115 *5865:640 3.99086e-06 +73 *4827:118 *20276:A1 0 +74 *4827:118 *24033:A1 0 +75 *4827:118 *4845:734 0 +76 *4827:118 *5865:478 0.00067683 +77 *4827:118 *5865:489 0.000127774 +78 *4827:118 *5903:6 0 +79 *4827:121 *4836:46 0.000328702 +80 *4827:124 *4832:32 0 +81 *4827:124 *4832:34 0.0017565 +82 *4827:135 *20294:B1 6.08467e-05 +83 *4827:135 *24118:A 3.07561e-05 +84 *4827:135 *24717:CLK 0.000107496 +85 *4827:135 *4832:32 0.000163115 +86 *4827:135 *5508:9 0.000309363 +87 *20293:B2 *23898:A 2.95757e-05 +88 *20294:B2 *24119:TE_B 6.08467e-05 +89 *20294:B2 *4827:135 0.000312816 +90 *20294:B2 *4827:137 0.000427293 +91 *20514:B2 *4827:24 0.000332829 +92 *20994:B2 *4827:89 9.10636e-05 +93 *21333:B1 *4827:29 0.000124313 +94 *21352:A1 *4827:51 0.000193427 +95 *21742:B2 *21742:A1 0.000538127 +96 *22179:A *4827:32 0.000825332 +97 *22180:A *4827:29 0.000225382 +98 *22186:A *4827:29 6.08467e-05 +99 *22186:B *4827:29 6.08467e-05 +100 *22187:A *4827:29 0.000135958 +101 *22190:B *4827:32 0.000573171 +102 *22193:A *4827:32 4.64457e-05 +103 *22196:D *4827:32 6.87762e-05 +104 *22202:B *4827:32 0.000328442 +105 *22203:A *4827:32 1.66995e-05 +106 *22241:A *4827:29 0.000127179 +107 *22242:A *4827:29 5.87127e-05 +108 *22243:A *4827:29 0.00013001 +109 *22244:C *4827:29 0.000189194 +110 *22313:B2 *22313:B1 3.15947e-05 +111 *22636:B1 *4827:79 0 +112 *22638:A2 *4827:32 6.35825e-05 +113 *22651:C1 *4827:79 1.53148e-05 +114 *22653:C *4827:51 6.69933e-05 +115 *22675:B1 *4827:79 4.52321e-05 +116 *22693:A1 *4827:79 0.000210208 +117 *24046:S *4827:115 6.50586e-05 +118 *24565:D *4827:24 3.0496e-05 +119 *24711:D *4827:135 8.39059e-05 +120 *24713:D *23898:A 9.31248e-05 +121 *24717:D *4827:135 7.97944e-05 +122 *477:47 *4827:121 0.0012774 +123 *478:8 *4827:118 0.000588203 +124 *478:12 *4827:118 8.97014e-05 +125 *478:44 *4827:118 0 +126 *490:62 *4827:106 0.00289604 +127 *500:41 *4827:100 0.000460701 +128 *500:48 *4827:79 0.000481528 +129 *500:48 *4827:89 0.000799204 +130 *506:22 *4827:32 6.13339e-05 +131 *506:27 *4827:29 0.000582308 +132 *506:27 *4827:32 1.81527e-05 +133 *506:36 *4827:24 8.21849e-06 +134 *547:36 *4827:29 0.000108799 +135 *1428:85 *21742:A1 2.30919e-05 +136 *1443:60 *4827:79 0.00348279 +137 *1542:201 *4827:32 5.46889e-05 +138 *1545:54 *4827:51 1.00773e-05 +139 *1555:58 *4827:100 4.11147e-05 +140 *1564:62 *4827:51 0.00123241 +141 *1658:242 *4827:79 0.00133983 +142 *1696:96 *21742:A1 2.86829e-05 +143 *1884:282 *21742:A1 9.57498e-05 +144 *1910:9 *4827:124 3.52626e-05 +145 *1910:9 *4827:135 0.000427301 +146 *1911:62 *23898:A 0.000945205 +147 *1919:14 *4827:106 0.000281382 +148 *1919:49 *4827:106 0.000702355 +149 *1919:69 *4827:106 0.000327514 +150 *2040:8 *4827:100 9.53955e-05 +151 *2040:80 *4827:100 0.000446157 +152 *2093:10 *4827:32 1.51757e-05 +153 *2093:10 *4827:51 2.2357e-05 +154 *2122:34 *4827:29 0.000103752 +155 *2123:47 *4827:32 0.000131356 +156 *2263:22 *4827:118 0.000730937 +157 *2287:62 *4827:105 0.00017958 +158 *2287:90 *4827:100 0.000317789 +159 *2291:61 *4827:99 0.000153427 +160 *2310:37 *4827:79 5.1415e-05 +161 *2316:8 *4827:124 0.00226024 +162 *2316:8 *4827:135 0.000221092 +163 *2367:16 *4827:118 2.652e-05 +164 *2378:33 *4827:29 6.71978e-06 +165 *2380:84 *4827:32 2.1203e-06 +166 *2380:84 *4827:51 2.57465e-06 +167 *2388:8 *21742:A1 3.27695e-05 +168 *2392:24 *4827:29 0.000565232 +169 *2398:100 *4827:29 0 +170 *2423:78 *4827:79 0.000476921 +171 *2451:7 *4827:135 0.000114099 +172 *2467:21 *4827:135 0.000628689 +173 *2467:87 *4827:100 0.000764543 +174 *2467:104 *4827:100 0.00031656 +175 *2486:76 *4827:100 6.4982e-05 +176 *2486:83 *4827:100 0.000452197 +177 *2486:96 *4827:99 2.28575e-05 +178 *2503:31 *4827:99 5.60804e-05 +179 *2570:103 *4827:79 1.16182e-05 +180 *2629:37 *4827:79 5.49128e-05 +181 *2636:28 *4827:51 9.29815e-06 +182 *2637:21 *4827:32 0.000280038 +183 *2759:27 *21742:A1 0.000555179 +184 *2791:50 *4827:79 2.03239e-05 +185 *2794:8 *4827:100 0.00018985 +186 *2813:8 *24119:TE_B 4.44553e-05 +187 *2813:26 *4827:106 0.000767898 +188 *2813:30 *4827:106 0.000694939 +189 *2814:24 *4827:51 0.000107413 +190 *2900:89 *4827:79 1.60578e-06 +191 *2924:49 *4827:100 6.46333e-05 +192 *2949:64 *4827:99 0.000828402 +193 *2949:64 *4827:100 2.86037e-05 +194 *2989:33 *4827:105 6.71044e-05 +195 *3091:73 *4827:32 0.000305742 +196 *3111:16 *4827:32 1.5714e-05 +197 *3111:28 *4827:32 2.50127e-05 +198 *3111:28 *4827:51 4.12192e-05 +199 *3132:29 *4827:32 7.56514e-05 +200 *3136:178 *4827:79 8.46743e-05 +201 *3148:72 *4827:79 0.000516457 +202 *3151:37 *4827:32 0.00054146 +203 *3151:141 *4827:32 1.15929e-05 +204 *3151:141 *4827:51 5.5241e-05 +205 *3204:19 *4827:29 1.63547e-05 +206 *3204:150 *4827:51 6.69861e-06 +207 *3204:150 *4827:79 2.07864e-05 +208 *3206:146 *22313:B1 5.481e-05 +209 *3206:154 *22313:B1 0.000175113 +210 *3206:173 *4827:79 1.9101e-05 +211 *3208:8 *4827:29 0.000104696 +212 *3263:24 *4827:89 0.000870072 +213 *3263:33 *4827:79 0.00385533 +214 *3471:139 *4827:79 5.60804e-05 +215 *3481:9 *4827:29 2.81262e-05 +216 *3481:136 *4827:51 0.000544896 +217 *3481:136 *4827:79 1.90192e-05 +218 *3481:140 *4827:79 0.000266443 +219 *3483:124 *4827:51 0.00122633 +220 *3483:135 *4827:79 2.9617e-06 +221 *3498:163 *4827:51 2.63743e-05 +222 *3509:24 *4827:32 8.58536e-06 +223 *3529:13 *4827:51 1.66771e-05 +224 *3531:43 *4827:79 0.000657101 +225 *3582:10 *4827:51 4.69495e-06 +226 *3636:11 *4827:79 0.000174806 +227 *4804:96 *4827:79 0.000261081 +228 *4811:29 *4827:79 0.000129091 +229 *4812:38 *4827:51 0.000842978 +230 *4813:56 *4827:51 0.000162552 +231 *4813:103 *4827:99 8.86215e-06 +232 *4813:125 *4827:100 0.00173447 +233 *4815:98 *4827:79 6.00033e-05 +234 *4815:116 *4827:79 0.000109333 +235 *4816:22 *4827:106 1.12605e-05 +236 *4816:80 *4827:100 0.000208925 +237 *4816:89 *4827:100 0 +238 *4817:120 *4827:79 0.000107861 +239 *4819:14 *4827:99 0.000836419 +240 *4821:76 *4827:51 0.000109796 +241 *4825:95 *4827:99 0.000142477 +242 *4826:28 *24119:TE_B 0 +243 *4826:31 *24119:TE_B 0.000132235 +*RES +1 *21740:Y *4827:4 9.24915 +2 *4827:4 *21742:A1 30.9183 +3 *4827:4 *4827:20 14.3868 +4 *4827:20 *4827:24 41.4519 +5 *4827:24 *4827:29 46.2995 +6 *4827:29 *4827:32 48.2725 +7 *4827:32 *22638:B1 13.7491 +8 *4827:32 *4827:51 18.5719 +9 *4827:51 *22313:B1 21.7065 +10 *4827:51 *4827:79 47.35 +11 *4827:79 *4827:89 43.2645 +12 *4827:89 *4827:99 23.8403 +13 *4827:99 *4827:100 73.463 +14 *4827:100 *4827:105 14.1602 +15 *4827:105 *4827:106 94.018 +16 *4827:106 *4827:115 21.563 +17 *4827:115 *4827:118 39.1369 +18 *4827:118 *4827:121 27.4075 +19 *4827:121 *4827:124 41.9527 +20 *4827:124 *4827:135 45.7397 +21 *4827:135 *4827:137 5.16022 +22 *4827:137 *24119:TE_B 27.5963 +23 *4827:137 *23898:A 35.8607 +*END + +*D_NET *4828 0.227417 +*CONN +*I *22650:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22313:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21682:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *24120:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *23900:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21680:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *22650:A1 0.000215019 +2 *22313:A1 0.000373566 +3 *21682:A1 0.000173994 +4 *6214:DIODE 4.45933e-05 +5 *24120:TE_B 0 +6 *23900:A 2.95839e-05 +7 *21680:Y 0.000873421 +8 *4828:169 0.0043075 +9 *4828:142 0.000233177 +10 *4828:139 0.0106035 +11 *4828:129 0.0234896 +12 *4828:116 0.013683 +13 *4828:115 0.0014701 +14 *4828:107 0.0016497 +15 *4828:100 0.00478103 +16 *4828:80 0.0103796 +17 *4828:62 0.00375026 +18 *4828:61 0.00219034 +19 *4828:50 0.0026009 +20 *4828:49 0.00154089 +21 *4828:44 0.00353107 +22 *4828:43 0.00393033 +23 *4828:35 0.00400235 +24 *4828:21 0.0003867 +25 *4828:15 0.00902405 +26 *4828:13 0.00636693 +27 *4828:7 0.00195486 +28 *23900:A *24120:A 6.66012e-05 +29 *23900:A *5918:78 5.16895e-05 +30 *4828:7 *4838:186 0.00077856 +31 *4828:7 *4869:127 0.000413252 +32 *4828:7 *4869:135 7.64392e-05 +33 *4828:13 *19950:A 0.000126609 +34 *4828:13 *20145:A1 0 +35 *4828:13 *20557:B1 5.05252e-05 +36 *4828:13 *20558:A1 0.000242997 +37 *4828:13 *20558:B1 0.000260521 +38 *4828:13 *21802:A 0.000175485 +39 *4828:13 *21936:A 0.000231956 +40 *4828:13 *24534:SET_B 1.2693e-05 +41 *4828:13 *4832:10 0 +42 *4828:13 *4832:17 0.00162607 +43 *4828:13 *4839:248 0.000306512 +44 *4828:13 *4840:40 2.04806e-05 +45 *4828:13 *4842:24 0.000191086 +46 *4828:13 *4885:137 0 +47 *4828:13 *5272:8 8.89094e-05 +48 *4828:15 *20465:B1 0.000160617 +49 *4828:15 *20466:B1 0.000224395 +50 *4828:15 *4832:17 0.000196486 +51 *4828:15 *4844:88 0.00209692 +52 *4828:15 *5594:11 0.00101967 +53 *4828:15 *5594:13 0.00147167 +54 *4828:21 *24120:A 2.65667e-05 +55 *4828:21 *5891:14 0.000659812 +56 *4828:35 *4843:935 5.32821e-05 +57 *4828:35 *4900:79 1.61631e-05 +58 *4828:35 *5594:13 0.00425246 +59 *4828:43 *4829:182 0.00182136 +60 *4828:43 *5903:6 0.000118485 +61 *4828:44 *20340:A1 0 +62 *4828:44 *24678:RESET_B 0 +63 *4828:44 *24681:RESET_B 0 +64 *4828:44 *5533:9 0.000101148 +65 *4828:44 *5865:575 0 +66 *4828:44 *5865:584 0 +67 *4828:44 *5865:595 0 +68 *4828:44 *5865:605 0 +69 *4828:44 *5898:228 0.00415259 +70 *4828:44 *5906:14 0.000270335 +71 *4828:50 *21434:A 0.000303742 +72 *4828:50 *25215:A 0.000127179 +73 *4828:50 *25216:A 0.000338258 +74 *4828:61 *4833:155 0.00178954 +75 *4828:61 *5592:112 0.000401865 +76 *4828:62 *19562:S 0.00107164 +77 *4828:62 *24104:A 6.9555e-05 +78 *4828:62 *25213:A 0.000141677 +79 *4828:62 *4833:155 0.00053475 +80 *4828:62 *5493:51 0.000437535 +81 *4828:62 *5898:220 5.68225e-06 +82 *4828:80 *4833:130 0.000228754 +83 *4828:80 *5592:102 0.000674043 +84 *4828:100 *19564:S 0.00325519 +85 *4828:100 *4843:469 5.74984e-05 +86 *4828:100 *4910:12 4.26659e-05 +87 *4828:100 *5874:133 8.7628e-06 +88 *4828:100 *5908:29 0 +89 *4828:100 *5908:55 0 +90 *4828:107 *5874:54 6.39389e-05 +91 *4828:107 *5874:64 0.000432398 +92 *4828:107 *5908:23 0.00162286 +93 *4828:115 *5874:64 0.00063216 +94 *4828:115 *5898:158 0.000123799 +95 *4828:116 *5886:8 0.00188464 +96 *4828:116 *5898:158 0.000749009 +97 *4828:116 *6022:8 0 +98 *4828:116 *6022:39 0 +99 *4828:129 *20237:B1 8.64786e-05 +100 *4828:129 *4922:38 8.4443e-05 +101 *4828:129 *4925:31 0.00119452 +102 *4828:129 *5860:433 7.48362e-06 +103 *4828:129 *5860:445 0.000155972 +104 *4828:139 *4870:26 0.000113538 +105 *4828:139 *4937:8 0.000117573 +106 *4828:139 *5869:92 0 +107 *4828:139 *5869:108 0 +108 *4828:169 *5919:72 0.00032032 +109 mgmt_gpio_oeb[12] *4828:61 0.000154082 +110 mgmt_gpio_out[24] *23900:A 7.97944e-05 +111 mgmt_gpio_out[24] *4828:21 1.92172e-05 +112 mgmt_gpio_out[2] *4828:115 3.46002e-05 +113 mgmt_gpio_out[32] *4828:43 0 +114 mgmt_gpio_out[9] *4828:80 1.33349e-05 +115 *20314:B1 *4828:107 0.000217937 +116 *20314:B2 *4828:107 1.65872e-05 +117 *20337:B2 *4828:35 8.23079e-05 +118 *20340:A2 *4828:35 9.57814e-06 +119 *20465:A2 *4828:15 0.000677784 +120 *20466:A2 *4828:15 3.35925e-05 +121 *21194:B1 *4828:169 6.43572e-05 +122 *21530:A1 *4828:129 0.00107973 +123 *21682:A2 *21682:A1 1.41853e-05 +124 *21695:A1 *22313:A1 0.000260294 +125 *21696:B1 *22313:A1 3.55859e-05 +126 *21696:B1 *22650:A1 0.000259514 +127 *22313:B1 *22313:A1 0.000436359 +128 *22401:B1 *4828:169 0.000619128 +129 *22636:B1 *22313:A1 6.08467e-05 +130 *22636:B2 *22313:A1 4.87439e-05 +131 *22650:B1 *22650:A1 2.16355e-05 +132 *22651:A1 *22650:A1 0.000182837 +133 *23898:A *4828:21 0.000166904 +134 *23952:A0 *4828:21 0 +135 *24108:TE_B *4828:49 4.44033e-05 +136 *24533:D *4828:13 9.82896e-06 +137 *24699:D *4828:107 6.50727e-05 +138 *24713:D *4828:21 8.96998e-05 +139 *25147:A *4828:129 3.87823e-05 +140 *490:105 *4828:80 4.17052e-05 +141 *508:28 *4828:100 0.00381786 +142 *510:71 *4828:129 0 +143 *512:56 *4828:129 0.000663194 +144 *514:28 *21682:A1 0.000142922 +145 *520:27 *4828:169 9.18667e-05 +146 *520:28 *4828:116 0.00291475 +147 *529:41 *4828:80 0.00195311 +148 *529:41 *4828:100 0.000624295 +149 *529:62 *4828:115 0.000309516 +150 *529:62 *4828:116 6.56619e-05 +151 *535:19 *4828:100 0.000119683 +152 *1439:104 *4828:100 0.000240804 +153 *1501:42 *21682:A1 1.37385e-05 +154 *1501:42 *4828:142 7.13655e-06 +155 *1515:60 *4828:139 5.60304e-06 +156 *1522:40 *4828:139 0.000530988 +157 *1522:42 *4828:139 0.000443736 +158 *1573:84 *4828:100 0.00086157 +159 *1629:12 *4828:44 0.00068929 +160 *1688:75 *4828:80 0 +161 *1688:91 *4828:169 0 +162 *1689:57 *6214:DIODE 6.08467e-05 +163 *1689:57 *21682:A1 0.000311235 +164 *1699:20 *4828:139 0.00014514 +165 *1707:43 *4828:139 0 +166 *1725:33 *4828:139 7.96382e-05 +167 *1735:175 *21682:A1 0.000172555 +168 *1741:81 *4828:107 0.000158451 +169 *1755:110 *4828:139 0.000745467 +170 *1786:25 *4828:129 0 +171 *1845:81 *4828:139 8.25923e-05 +172 *1845:92 *4828:139 0.000876939 +173 *1911:62 *4828:21 5.66868e-06 +174 *1917:64 *4828:100 0.00025741 +175 *1917:68 *4828:100 0.000174761 +176 *1920:34 *4828:44 0.00039841 +177 *1924:96 *4828:43 0.000676652 +178 *1925:68 *4828:35 2.86013e-06 +179 *1925:76 *4828:35 4.6468e-06 +180 *1931:28 *4828:129 1.02901e-05 +181 *1968:23 *4828:15 2.48346e-05 +182 *1995:26 *4828:13 0 +183 *2257:69 *4828:80 3.29776e-05 +184 *2295:13 *4828:129 0.00840856 +185 *2360:43 *4828:61 0.000211799 +186 *2380:84 *4828:100 0.00140383 +187 *2384:32 *4828:61 0 +188 *2384:32 *4828:80 0.0006023 +189 *2389:49 *4828:107 0.00102921 +190 *2391:77 *4828:62 0.00065252 +191 *2421:125 *4828:100 9.96725e-06 +192 *2433:141 *4828:115 0.000266747 +193 *2437:23 *4828:129 0 +194 *2448:146 *4828:62 0.000111693 +195 *2448:146 *4828:80 0.000408804 +196 *2451:16 *4828:44 0.00102836 +197 *2460:40 *4828:61 4.91867e-06 +198 *2467:28 *4828:50 0.00024912 +199 *2467:34 *4828:50 0.000877624 +200 *2467:49 *4828:50 0.000527111 +201 *2467:49 *4828:61 7.40684e-06 +202 *2503:48 *4828:169 6.23101e-05 +203 *2570:66 *4828:62 0.000363496 +204 *2584:62 *4828:80 0.000603507 +205 *2687:30 *4828:50 0.00437659 +206 *2687:42 *4828:61 0.000328272 +207 *2687:42 *4828:62 9.3665e-05 +208 *2687:68 *4828:80 0.00155365 +209 *2692:31 *4828:61 0.00024935 +210 *2692:31 *4828:62 0.00113429 +211 *2692:53 *4828:80 7.40436e-06 +212 *2715:38 *4828:139 0.00769452 +213 *2753:19 *4828:129 0.0025233 +214 *2784:42 *4828:139 0 +215 *2803:48 *4828:139 0.000107445 +216 *2812:11 *4828:129 0.00130729 +217 *2814:24 *4828:169 0.00355795 +218 *2878:44 *4828:139 0.00145842 +219 *2882:74 *4828:80 0 +220 *2896:58 *4828:100 0.000207944 +221 *2963:102 *4828:139 3.6842e-05 +222 *2989:47 *4828:50 0.000427722 +223 *2994:29 *21682:A1 4.15661e-05 +224 *2994:29 *4828:142 1.5714e-05 +225 *3014:39 *4828:129 0.000134029 +226 *3021:13 *4828:169 9.48378e-05 +227 *3034:25 *4828:50 0.000547895 +228 *3041:61 *4828:169 0.00266353 +229 *3177:77 *4828:129 0.00154758 +230 *3481:215 *22650:A1 6.08467e-05 +231 *3539:12 *4828:169 0.00137612 +232 *3543:16 *22313:A1 1.48603e-05 +233 *3543:16 *22650:A1 2.94057e-05 +234 *3582:10 *22313:A1 9.19632e-06 +235 *4805:92 *4828:100 0.00021812 +236 *4814:64 *4828:80 0.000443887 +237 *4816:29 *4828:49 2.41274e-06 +238 *4817:23 *4828:44 0.000619063 +239 *4817:85 *4828:80 0.0002639 +240 *4818:110 *4828:50 0.000355034 +241 *4819:28 *4828:169 0.000408258 +242 *4819:121 *4828:44 0 +243 *4819:137 *4828:44 4.42938e-06 +244 *4823:94 *4828:80 0.00308613 +245 *4823:94 *4828:100 0.00063383 +246 *4825:61 *4828:44 7.87047e-05 +247 *4825:95 *4828:61 1.47137e-05 +248 *4826:141 *22313:A1 0.000237552 +*RES +1 *21680:Y *4828:7 28.8922 +2 *4828:7 *4828:13 47.5157 +3 *4828:13 *4828:15 90.5692 +4 *4828:15 *4828:21 21.9367 +5 *4828:21 *23900:A 11.6605 +6 *4828:21 *24120:TE_B 9.24915 +7 *4828:15 *4828:35 57.293 +8 *4828:35 *4828:43 40.8732 +9 *4828:43 *4828:44 125.577 +10 *4828:44 *4828:49 13.051 +11 *4828:49 *4828:50 75.9545 +12 *4828:50 *4828:61 11.8394 +13 *4828:61 *4828:62 46.6792 +14 *4828:62 *4828:80 17.127 +15 *4828:80 *4828:100 42.4375 +16 *4828:100 *4828:107 47.174 +17 *4828:107 *4828:115 39.3123 +18 *4828:115 *4828:116 50.2089 +19 *4828:116 *4828:129 47.7529 +20 *4828:129 *4828:139 47.3403 +21 *4828:139 *4828:142 3.7474 +22 *4828:142 *6214:DIODE 14.4725 +23 *4828:142 *21682:A1 20.4896 +24 *4828:80 *4828:169 14.755 +25 *4828:169 *22313:A1 25.4422 +26 *4828:169 *22650:A1 20.2494 +*END + +*D_NET *4829 0.14581 +*CONN +*I *23902:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24121:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22304:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22642:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21718:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21715:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *23902:A 0.000218514 +2 *24121:TE_B 2.40021e-05 +3 *22304:B1 0.000340022 +4 *22642:B1 0.00125372 +5 *21718:B1 0.000232596 +6 *21715:Y 0.000443922 +7 *4829:187 0.000499533 +8 *4829:186 0.00328264 +9 *4829:182 0.00364843 +10 *4829:179 0.00146967 +11 *4829:171 0.0025975 +12 *4829:170 0.00251848 +13 *4829:161 0.00132639 +14 *4829:147 0.0010731 +15 *4829:145 0.00104214 +16 *4829:142 0.00129079 +17 *4829:127 0.00176036 +18 *4829:125 0.00181071 +19 *4829:108 0.0024034 +20 *4829:75 0.00315509 +21 *4829:72 0.00315121 +22 *4829:70 0.00266097 +23 *4829:63 0.00530769 +24 *4829:57 0.00497879 +25 *4829:50 0.00366019 +26 *4829:45 0.00244635 +27 *4829:23 0.00120335 +28 *4829:20 0.0016921 +29 *4829:14 0.00260191 +30 *4829:10 0.00120625 +31 *23902:A *20291:A1 0.000212491 +32 *23902:A *20291:B1 0.000163982 +33 *23902:A *25230:A 5.302e-05 +34 *23902:A *5511:8 4.70104e-05 +35 *23902:A *5715:18 0.000164829 +36 *23902:A *5716:11 0.000164829 +37 *24121:TE_B *24121:A 5.04829e-06 +38 *24121:TE_B *4840:359 3.99086e-06 +39 *4829:10 *20362:A1 4.8878e-06 +40 *4829:10 *4843:531 0 +41 *4829:10 *4843:545 0.000144026 +42 *4829:10 *4844:382 0 +43 *4829:10 *4845:396 1.70852e-05 +44 *4829:14 *24668:CLK 0.000190028 +45 *4829:14 *4844:382 0 +46 *4829:14 *4845:396 0 +47 *4829:14 *4915:114 0.000369219 +48 *4829:14 *4915:123 0.000586641 +49 *4829:20 *20233:A1 0.000164829 +50 *4829:20 *4844:372 0.000231954 +51 *4829:20 *4866:12 5.82465e-05 +52 *4829:23 *20237:B1 0.000241933 +53 *4829:23 *20953:A1 0.000147265 +54 *4829:23 *21878:A 0.000113968 +55 *4829:23 *5858:459 0.000164103 +56 *4829:45 *24286:RESET_B 1.29348e-05 +57 *4829:45 *24286:CLK 0.000115934 +58 *4829:45 *24755:RESET_B 0.000160617 +59 *4829:45 *4875:11 0.000483488 +60 *4829:45 *4875:82 4.88955e-05 +61 *4829:45 *4878:111 0.000116986 +62 *4829:45 *4952:8 2.65667e-05 +63 *4829:45 *5972:8 0.000143032 +64 *4829:50 *23975:S 9.63599e-05 +65 *4829:50 *4875:6 0.00176993 +66 *4829:50 *6027:207 4.98393e-05 +67 *4829:57 *20818:A1 0.000566317 +68 *4829:57 *22519:A 5.1573e-05 +69 *4829:57 *22530:B 0.000113374 +70 *4829:57 *4833:51 0.000341373 +71 *4829:57 *5857:263 6.60095e-05 +72 *4829:57 *5864:136 3.21e-05 +73 *4829:57 *5947:37 6.80172e-05 +74 *4829:70 *4833:77 0.000137913 +75 *4829:70 *5476:69 0 +76 *4829:108 *4909:7 0.000290588 +77 *4829:125 *5874:36 0.000175778 +78 *4829:127 *5898:194 4.41851e-05 +79 *4829:127 *5898:201 0.00157082 +80 *4829:142 *5863:11 3.99086e-06 +81 *4829:145 *5913:18 7.05606e-05 +82 *4829:147 *4833:155 0.000253893 +83 *4829:147 *5904:24 0.000140089 +84 *4829:147 *5904:35 0.000771675 +85 *4829:161 *5493:33 3.60995e-05 +86 *4829:161 *5904:24 0.000249633 +87 *4829:170 *5884:23 0 +88 *4829:171 *4833:173 0.00384854 +89 *4829:171 *5904:16 0 +90 *4829:179 *24681:RESET_B 0.000553264 +91 *4829:179 *5714:38 0.00093637 +92 *4829:179 *5865:605 0.000359663 +93 *4829:182 *5867:784 0.000430352 +94 *4829:186 *4830:17 0.000579046 +95 *4829:186 *4830:25 9.97706e-05 +96 *4829:186 *4843:906 2.86013e-06 +97 *4829:186 *5867:784 0.000116964 +98 *4829:187 *5505:15 5.53934e-05 +99 *4829:187 *5511:8 9.24241e-05 +100 *4829:187 *5893:8 0 +101 mgmt_gpio_out[27] *23902:A 2.30271e-05 +102 *6109:DIODE *4829:23 0.000160617 +103 *20167:B2 *4829:70 0.00039305 +104 *20230:B2 *4829:14 6.91859e-05 +105 *20291:A2 *24121:TE_B 6.08467e-05 +106 *20357:A *4829:23 2.42726e-05 +107 *20357:B *4829:23 0.000553213 +108 *20819:A2 *4829:57 3.28906e-05 +109 *20826:B *4829:57 7.26119e-05 +110 *20953:B2 *4829:23 0.000160462 +111 *20975:B1 *4829:45 3.31745e-05 +112 *20977:B1 *4829:50 0 +113 *21224:A2 *4829:14 0.000242943 +114 *21530:B2 *21718:B1 0.000179579 +115 *21530:B2 *4829:23 3.58602e-05 +116 *21893:B1 *4829:63 0 +117 *21967:A2_N *4829:10 1.9101e-05 +118 *23864:A *4829:127 0.000693897 +119 *23884:A *4829:179 0.000718994 +120 *23885:A *4829:179 5.73392e-05 +121 *23977:A0 *4829:45 0.000253916 +122 *23983:A0 *4829:10 0.00020502 +123 *23998:S *4829:50 5.73794e-05 +124 *24285:D *4829:50 0.000122083 +125 *24714:D *23902:A 0.00017419 +126 *24714:D *4829:187 0.000116971 +127 *25175:A *4829:127 4.87595e-05 +128 *460:84 *4829:70 0.00199122 +129 *497:143 *4829:50 9.02963e-06 +130 *504:24 *4829:50 1.9101e-05 +131 *505:15 *4829:50 3.74433e-05 +132 *508:28 *4829:50 3.93117e-06 +133 *512:56 *4829:63 0.00239306 +134 *517:15 *4829:10 2.41483e-05 +135 *520:27 *4829:125 0.000153427 +136 *524:6 *4829:50 0 +137 *524:6 *4829:57 0.000314188 +138 *524:43 *4829:20 0.000139435 +139 *534:8 *4829:23 1.92172e-05 +140 *534:8 *4829:45 0.00016006 +141 *535:57 *4829:50 2.72058e-05 +142 *541:46 *4829:57 0.000142509 +143 *547:49 *4829:10 0.000154145 +144 *1418:311 *4829:63 0.000495712 +145 *1439:128 *4829:70 0.000732706 +146 *1555:74 *4829:171 0.000870913 +147 *1556:20 *4829:108 0.000396579 +148 *1557:96 *4829:108 0.000396579 +149 *1573:49 *4829:23 0.00373676 +150 *1663:21 *4829:70 0.00274507 +151 *1669:31 *21718:B1 0.000300565 +152 *1671:38 *4829:23 0.000182192 +153 *1679:37 *4829:63 2.11668e-05 +154 *1688:75 *4829:142 9.83256e-05 +155 *1688:75 *4829:145 2.02035e-05 +156 *1711:38 *21718:B1 4.31703e-05 +157 *1741:55 *4829:142 1.59852e-05 +158 *1741:55 *4829:145 0.000628648 +159 *1744:31 *4829:45 1.87469e-05 +160 *1744:38 *4829:23 9.05137e-05 +161 *1750:15 *22304:B1 3.82228e-05 +162 *1865:50 *4829:70 0.000390004 +163 *1865:59 *4829:70 0.000385391 +164 *1865:70 *4829:70 0.000525299 +165 *1865:79 *4829:70 0.000117018 +166 *1884:262 *4829:57 0 +167 *1884:271 *4829:57 0 +168 *1910:59 *4829:187 4.19592e-05 +169 *1924:96 *4829:182 6.44576e-05 +170 *1933:62 *4829:10 4.69495e-06 +171 *1933:70 *4829:10 0.000513714 +172 *2063:23 *4829:57 0 +173 *2266:63 *4829:108 0.000446237 +174 *2266:90 *22304:B1 0.000156396 +175 *2267:59 *4829:125 0.000230443 +176 *2282:59 *4829:127 0.000787774 +177 *2291:61 *4829:125 0.000155698 +178 *2295:59 *4829:125 0.000157879 +179 *2320:36 *4829:161 0.00110941 +180 *2357:50 *4829:170 4.01848e-05 +181 *2367:20 *4829:170 2.04806e-05 +182 *2372:41 *4829:170 5.89592e-05 +183 *2372:49 *4829:170 0.0018043 +184 *2378:12 *4829:45 5.47736e-05 +185 *2381:26 *4829:70 4.03188e-05 +186 *2384:19 *4829:161 6.06525e-06 +187 *2391:49 *4829:63 0 +188 *2404:67 *4829:142 0.000203595 +189 *2415:19 *4829:70 0.000108645 +190 *2417:43 *4829:147 2.01653e-05 +191 *2417:43 *4829:171 0.000184011 +192 *2418:28 *22304:B1 0.000198432 +193 *2418:28 *22642:B1 0.000271328 +194 *2421:91 *4829:145 0.00040976 +195 *2421:103 *4829:142 0.000237959 +196 *2421:103 *4829:145 7.11521e-05 +197 *2426:89 *4829:57 0.00153525 +198 *2428:16 *4829:10 5.4678e-05 +199 *2428:30 *4829:10 4.3116e-06 +200 *2451:13 *4829:179 0.000632154 +201 *2460:40 *4829:161 0.000263119 +202 *2460:40 *4829:170 0.000288577 +203 *2471:56 *4829:125 0.000605968 +204 *2471:56 *4829:127 6.33818e-05 +205 *2528:28 *4829:50 0 +206 *2528:28 *4829:57 0 +207 *2545:18 *4829:171 0.00410241 +208 *2545:67 *4829:75 0.00373891 +209 *2545:67 *4829:108 0.000981273 +210 *2570:66 *4829:127 0.00127642 +211 *2584:62 *4829:125 0.000769068 +212 *2584:77 *4829:75 0.00279475 +213 *2584:77 *4829:108 0.000558808 +214 *2585:29 *4829:45 0.000279061 +215 *2585:31 *4829:20 3.21413e-05 +216 *2585:31 *4829:45 0.000148007 +217 *2629:10 *4829:145 2.92903e-05 +218 *2629:21 *4829:147 0 +219 *2635:67 *4829:125 8.12259e-06 +220 *2642:39 *4829:75 0.000518233 +221 *2759:27 *4829:57 0.000118485 +222 *2791:24 *4829:161 6.61878e-05 +223 *2791:24 *4829:170 0 +224 *2791:26 *4829:161 0.00163397 +225 *2809:31 *4829:57 0 +226 *2924:57 *4829:108 0.000247443 +227 *2938:30 *4829:145 0 +228 *2938:30 *4829:147 0.00299913 +229 *2989:80 *4829:125 3.30369e-05 +230 *2989:80 *4829:127 0.00120938 +231 *2989:91 *4829:125 0.000566197 +232 *3014:7 *21718:B1 9.82896e-06 +233 *3014:7 *4829:23 0.000375013 +234 *3111:94 *22642:B1 0.00064532 +235 *3124:127 *22642:B1 0.000345903 +236 *3212:34 *4829:142 8.3458e-05 +237 *3212:34 *4829:145 0.00241221 +238 *3212:34 *4829:147 0 +239 *3212:38 *4829:142 0.000237959 +240 *3466:21 *22642:B1 0.00161938 +241 *3471:15 *22642:B1 0.00015073 +242 *3474:38 *22642:B1 0.000177764 +243 *3498:163 *22642:B1 0.000104754 +244 *3500:99 *22642:B1 0.000341245 +245 *3531:43 *22304:B1 0.000130579 +246 *3533:12 *22304:B1 9.06916e-05 +247 *3533:12 *22642:B1 0.000266721 +248 *3541:13 *22304:B1 1.0452e-05 +249 *4810:49 *22304:B1 0.000107496 +250 *4813:31 *4829:108 0.00145097 +251 *4823:85 *4829:145 0.000525779 +252 *4823:142 *4829:63 0 +253 *4824:180 *22642:B1 0.000104754 +254 *4825:72 *4829:147 0.00186182 +255 *4828:43 *4829:182 0.00182136 +*RES +1 *21715:Y *4829:10 28.3374 +2 *4829:10 *4829:14 28.548 +3 *4829:14 *4829:20 21.8142 +4 *4829:20 *4829:23 47.7441 +5 *4829:23 *21718:B1 16.6519 +6 *4829:14 *4829:45 47.2641 +7 *4829:45 *4829:50 41.4697 +8 *4829:50 *4829:57 48.4255 +9 *4829:57 *4829:63 10.9399 +10 *4829:63 *4829:70 49.9395 +11 *4829:70 *4829:72 0.376635 +12 *4829:72 *4829:75 8.96421 +13 *4829:75 *22642:B1 35.9915 +14 *4829:75 *22304:B1 23.3688 +15 *4829:72 *4829:108 47.583 +16 *4829:108 *4829:125 25.9018 +17 *4829:125 *4829:127 52.7004 +18 *4829:127 *4829:142 37.2443 +19 *4829:142 *4829:145 40.4382 +20 *4829:145 *4829:147 48.9631 +21 *4829:147 *4829:161 46.2593 +22 *4829:161 *4829:170 41.8422 +23 *4829:170 *4829:171 105.645 +24 *4829:171 *4829:179 47.7819 +25 *4829:179 *4829:182 24.3061 +26 *4829:182 *4829:186 48.4825 +27 *4829:186 *4829:187 7.23027 +28 *4829:187 *24121:TE_B 14.4725 +29 *4829:187 *23902:A 22.812 +*END + +*D_NET *4830 0.124799 +*CONN +*I *23904:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22300:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22649:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21743:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *24122:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *21739:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *23904:A 0.000328336 +2 *22300:B1 0 +3 *22649:B1 0.000415146 +4 *21743:B1 0.000541824 +5 *24122:TE_B 0 +6 *21739:Y 0.00129793 +7 *4830:111 0.0023894 +8 *4830:90 0.00169747 +9 *4830:84 0.00251317 +10 *4830:79 0.00260824 +11 *4830:71 0.00567634 +12 *4830:55 0.016027 +13 *4830:49 0.0104805 +14 *4830:42 0.00344995 +15 *4830:36 0.00381908 +16 *4830:32 0.0022722 +17 *4830:28 0.00196844 +18 *4830:25 0.00127792 +19 *4830:17 0.00273232 +20 *4830:16 0.00278651 +21 *4830:11 0.00138293 +22 *21743:B1 *20912:B1 0.00114709 +23 *21743:B1 *4922:74 1.85244e-05 +24 *23904:A *24716:RESET_B 0.00012568 +25 *23904:A *4831:77 0 +26 *23904:A *4843:906 4.70005e-05 +27 *23904:A *5896:9 0.000608369 +28 *4830:11 *20468:A1 2.41483e-05 +29 *4830:11 *20469:B1 0.000785018 +30 *4830:11 *24507:CLK 6.78727e-06 +31 *4830:11 *4840:325 0.000315549 +32 *4830:11 *4841:203 6.73186e-05 +33 *4830:11 *5934:25 0.000842323 +34 *4830:16 *5918:32 0.000290644 +35 *4830:17 *4841:217 0.00022289 +36 *4830:17 *4843:898 0.000228804 +37 *4830:17 *4843:906 9.88757e-05 +38 *4830:17 *5505:15 0.0003569 +39 *4830:25 *4843:906 1.53125e-05 +40 *4830:28 *4831:77 0 +41 *4830:28 *4842:200 0 +42 *4830:28 *5508:9 0.000169093 +43 *4830:28 *5896:9 0.000435792 +44 *4830:32 *20800:A1 3.88832e-05 +45 *4830:32 *4839:339 6.58467e-05 +46 *4830:32 *4839:343 0 +47 *4830:32 *4999:11 5.39608e-05 +48 *4830:32 *5893:8 0 +49 *4830:32 *5898:242 9.88666e-05 +50 *4830:36 *21253:B1 0.000338549 +51 *4830:36 *21781:A 0.000106661 +52 *4830:36 *4836:43 0 +53 *4830:36 *4845:171 0 +54 *4830:36 *5857:60 5.52321e-05 +55 *4830:36 *5867:636 0 +56 *4830:36 *5867:645 6.84219e-05 +57 *4830:42 *4845:183 1.679e-05 +58 *4830:42 *4872:60 0.000271976 +59 *4830:42 *4872:65 0.000500775 +60 *4830:42 *4901:79 0.000414131 +61 *4830:49 *21405:A 0.00014928 +62 *4830:49 *4835:49 0.000288458 +63 *4830:49 *4872:65 0.00118138 +64 *4830:49 *5924:42 1.71478e-05 +65 *4830:55 *5874:133 0.000641704 +66 *4830:71 *22228:B 0.000331088 +67 *4830:71 *23941:S 5.75936e-05 +68 *4830:71 *5476:69 0.00141763 +69 *4830:71 *5859:251 2.63027e-05 +70 *4830:71 *5949:87 0.000560243 +71 *4830:71 *5949:108 0.000360183 +72 *4830:79 *5949:41 9.78496e-06 +73 *4830:79 *5952:14 1.09898e-05 +74 *4830:79 *5952:23 8.72221e-06 +75 *4830:84 *24900:RESET_B 1.5714e-05 +76 *4830:84 *4844:356 0 +77 *4830:84 *4868:18 0.00126111 +78 *4830:111 *5874:133 0.00404199 +79 mgmt_gpio_out[28] *23904:A 0 +80 *20468:A2 *4830:11 6.50586e-05 +81 *20468:B2 *4830:11 7.68538e-06 +82 *20469:A2 *4830:11 0.000171273 +83 *20469:B2 *4830:11 3.31745e-05 +84 *20800:B2 *4830:32 0.000341268 +85 *20805:A2 *4830:32 0.000139435 +86 *20851:A1 *4830:79 4.15661e-05 +87 *21169:C *4830:79 0.00033678 +88 *21253:B2 *4830:42 0 +89 *21397:B *4830:84 0.000265329 +90 *21563:A2 *4830:28 2.32676e-05 +91 *21737:C1 *21743:B1 0.000100333 +92 *21742:A2 *4830:90 0.000816532 +93 *21743:A1 *21743:B1 1.43848e-05 +94 *21743:B2 *21743:B1 6.08467e-05 +95 *21743:C1 *21743:B1 0.00185413 +96 *21915:B1 *4830:79 0.00344455 +97 *21926:A1 *4830:79 0.00390105 +98 *22156:A *4830:71 1.79629e-05 +99 *22188:B *4830:71 0.000298704 +100 *22189:A *4830:71 0.000461951 +101 *22299:A1 *22649:B1 7.09666e-06 +102 *22301:C1 *22649:B1 0.000121386 +103 *22649:A2 *22649:B1 1.65872e-05 +104 *22649:B2 *22649:B1 0.000111802 +105 *22652:B *22649:B1 0.000110306 +106 *22652:C *22649:B1 0.000156946 +107 *24417:D *4830:42 2.84346e-06 +108 *24418:D *4830:42 0 +109 *24507:D *4830:11 1.03403e-05 +110 *24715:D *4830:28 4.87805e-05 +111 *490:46 *4830:16 0.000294226 +112 *512:56 *4830:71 0 +113 *518:57 *4830:71 2.66468e-05 +114 *520:27 *4830:111 7.61852e-05 +115 *527:80 *4830:90 0.000258142 +116 *535:19 *4830:55 0.000642508 +117 *1419:239 *4830:71 0.00386235 +118 *1419:239 *4830:111 9.51234e-05 +119 *1545:66 *4830:71 0.000424579 +120 *1545:66 *4830:111 0.000113478 +121 *1557:83 *4830:79 0.000425945 +122 *1619:7 *4830:17 0.000164829 +123 *1663:21 *4830:55 0.00255419 +124 *1709:87 *4830:71 0.000179188 +125 *1741:6 *4830:11 0.000139435 +126 *1967:74 *4830:11 0.0002646 +127 *2063:82 *4830:79 5.11321e-05 +128 *2083:8 *4830:32 0 +129 *2083:10 *4830:32 0 +130 *2083:14 *4830:32 0 +131 *2083:14 *4830:36 0 +132 *2083:66 *4830:32 0.000271793 +133 *2109:8 *4830:79 0.000209943 +134 *2123:25 *4830:71 0.000250701 +135 *2181:24 *4830:90 1.55192e-05 +136 *2256:13 *4830:79 0.000103048 +137 *2273:6 *4830:84 0.00126297 +138 *2300:70 *4830:111 5.31198e-05 +139 *2379:17 *4830:71 0.000417274 +140 *2380:60 *4830:49 1.71813e-05 +141 *2385:14 *4830:84 0.000857216 +142 *2388:8 *4830:84 3.3239e-06 +143 *2393:22 *4830:84 0.000519594 +144 *2415:19 *4830:71 0 +145 *2433:19 *4830:42 0.000461754 +146 *2440:54 *4830:71 0.000101295 +147 *2450:14 *21743:B1 1.5714e-05 +148 *2485:8 *4830:36 0.00169945 +149 *2499:26 *4830:42 0.000530479 +150 *2499:28 *4830:42 0.000522462 +151 *2499:28 *4830:49 0.000560741 +152 *2620:10 *4830:28 2.1558e-06 +153 *2620:10 *4830:32 0.00163128 +154 *2676:45 *4830:111 0.000509002 +155 *2690:40 *22649:B1 6.17009e-05 +156 *2690:40 *4830:111 2.08648e-05 +157 *2729:121 *4830:71 0.000222817 +158 *2737:6 *4830:11 0.000143032 +159 *2759:27 *4830:90 0.000513023 +160 *2760:10 *4830:90 0.00270737 +161 *2797:11 *4830:36 0.00041631 +162 *2797:11 *4830:42 0 +163 *2950:76 *4830:49 0.000119815 +164 *2950:76 *4830:55 0.00159054 +165 *3041:31 *4830:71 0.000871208 +166 *3122:11 *4830:71 3.72997e-05 +167 *3136:24 *4830:71 0.000359753 +168 *3139:156 *4830:111 0.000276924 +169 *3198:123 *4830:111 0.00051414 +170 *3204:150 *22649:B1 0.000219994 +171 *3204:150 *4830:111 5.40718e-05 +172 *3529:13 *22649:B1 3.27457e-05 +173 *3582:10 *22649:B1 3.0724e-05 +174 *4804:24 *4830:71 7.43667e-05 +175 *4808:121 *22649:B1 0.000161243 +176 *4810:49 *22649:B1 0.000203119 +177 *4810:53 *22649:B1 0.000198807 +178 *4816:22 *4830:42 0 +179 *4821:76 *4830:111 0.000584149 +180 *4825:42 *4830:32 0.000124267 +181 *4825:42 *4830:36 0.000131446 +182 *4829:186 *4830:17 0.000579046 +183 *4829:186 *4830:25 9.97706e-05 +*RES +1 *21739:Y *4830:11 47.8702 +2 *4830:11 *4830:16 14.154 +3 *4830:16 *4830:17 66.1666 +4 *4830:17 *24122:TE_B 9.24915 +5 *4830:17 *4830:25 5.778 +6 *4830:25 *4830:28 24.5121 +7 *4830:28 *4830:32 39.107 +8 *4830:32 *4830:36 48.387 +9 *4830:36 *4830:42 39.4767 +10 *4830:42 *4830:49 33.9792 +11 *4830:49 *4830:55 25.7753 +12 *4830:55 *4830:71 46.785 +13 *4830:71 *4830:79 28.9696 +14 *4830:79 *4830:84 49.4517 +15 *4830:84 *4830:90 47.5364 +16 *4830:90 *21743:B1 24.1628 +17 *4830:55 *4830:111 11.2114 +18 *4830:111 *22649:B1 27.5517 +19 *4830:111 *22300:B1 13.7491 +20 *4830:25 *23904:A 25.6205 +*END + +*D_NET *4831 0.0772627 +*CONN +*I *24123:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *23906:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22297:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *22648:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21690:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21685:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *24123:TE_B 0.000249509 +2 *23906:A 0 +3 *22297:A1 1.2835e-05 +4 *22648:B1 5.83191e-05 +5 *21690:A1 0.00333696 +6 *21685:Y 0.000408829 +7 *4831:77 0.00136822 +8 *4831:74 0.0020509 +9 *4831:71 0.00200325 +10 *4831:67 0.00225697 +11 *4831:63 0.00218551 +12 *4831:25 0.00921669 +13 *4831:14 0.00591716 +14 *4831:8 0.00206255 +15 *4831:7 0.00197964 +16 *4831:5 0.00140842 +17 *21690:A1 *21064:B1 9.50524e-05 +18 *21690:A1 *5674:45 3.60207e-05 +19 *22297:A1 *22297:B1 6.3657e-05 +20 *24123:TE_B *5897:12 8.01687e-05 +21 *24123:TE_B *5918:78 0.000205521 +22 *4831:8 *21611:A1 0.000129105 +23 *4831:8 *4835:50 0.000120195 +24 *4831:8 *5855:134 1.97947e-05 +25 *4831:25 *5865:88 0.00119552 +26 *4831:63 *20665:B1 0.000142672 +27 *4831:63 *4845:210 3.77804e-05 +28 *4831:63 *5317:10 0.00051246 +29 *4831:71 *20294:B1 8.44237e-05 +30 *4831:71 *21413:A 0.000232898 +31 *4831:71 *4838:286 0.000123974 +32 *4831:71 *4872:167 0 +33 *4831:71 *4896:62 0 +34 *4831:71 *5339:9 5.54078e-05 +35 *4831:71 *5341:8 8.5976e-05 +36 *4831:71 *5891:22 0 +37 *4831:74 *5907:31 0.000536763 +38 *4831:77 *5897:12 0 +39 mgmt_gpio_out[28] *4831:77 0.000569664 +40 mgmt_gpio_out[29] *24123:TE_B 0 +41 *20651:B *4831:25 0.000718368 +42 *20663:A *4831:5 6.08467e-05 +43 *21187:B1 *4831:8 3.52645e-06 +44 *21303:A1 *4831:25 0.000994055 +45 *21352:A1 *21690:A1 0.00445388 +46 *21352:A1 *4831:25 0.00389707 +47 *21563:A2 *4831:77 0 +48 *21652:A2 *21690:A1 0.000404911 +49 *21658:B2 *4831:25 0.000252934 +50 *22297:A2 *22297:A1 6.08467e-05 +51 *22297:B2 *4831:25 2.68313e-05 +52 *22303:B2 *4831:8 1.88638e-05 +53 *22330:A2 *21690:A1 0.000109796 +54 *22330:A2 *4831:25 0.00012888 +55 *22346:A1 *4831:8 0.000344935 +56 *22347:B1 *4831:8 1.47773e-05 +57 *22347:B2 *4831:8 1.9031e-05 +58 *22372:A *4831:25 0.000511488 +59 *22383:A1 *4831:25 4.00504e-05 +60 *22390:A1 *4831:8 5.97855e-05 +61 *22391:B1 *4831:8 5.35941e-05 +62 *22699:A1 *4831:25 0.000696186 +63 *23904:A *4831:77 0 +64 *24216:D *4831:8 0.000217385 +65 *24351:D *4831:67 0.000104731 +66 *24715:D *4831:77 4.87805e-05 +67 *480:196 *4831:8 4.79289e-05 +68 *491:8 *4831:8 0.000106369 +69 *545:23 *21690:A1 0.000769944 +70 *549:23 *21690:A1 0 +71 *1502:204 *21690:A1 4.1946e-06 +72 *1602:161 *4831:8 1.19934e-05 +73 *1602:161 *4831:14 4.21361e-06 +74 *1627:128 *4831:8 4.90132e-05 +75 *1627:128 *4831:25 0 +76 *1679:37 *21690:A1 7.40684e-06 +77 *1715:151 *21690:A1 0.000195133 +78 *1717:45 *21690:A1 0.000634907 +79 *1717:68 *21690:A1 0.000225239 +80 *1730:48 *21690:A1 2.31718e-05 +81 *1750:45 *21690:A1 6.03237e-05 +82 *1911:16 *4831:77 0.000560984 +83 *1911:25 *4831:77 0.000574777 +84 *1911:39 *4831:77 0.000325416 +85 *2030:16 *4831:5 8.28759e-06 +86 *2030:16 *4831:63 2.8182e-06 +87 *2053:16 *4831:8 0.000735192 +88 *2135:8 *4831:67 0.000331044 +89 *2135:20 *4831:67 0.000906325 +90 *2250:55 *4831:14 7.8756e-07 +91 *2250:55 *4831:25 3.98514e-05 +92 *2253:10 *4831:8 0.00107353 +93 *2258:22 *4831:8 0.000224472 +94 *2258:62 *4831:25 7.09806e-06 +95 *2287:22 *4831:8 5.23737e-05 +96 *2293:38 *4831:25 0.000459006 +97 *2298:30 *4831:25 0 +98 *2306:8 *4831:67 9.96342e-05 +99 *2354:24 *4831:25 0.00149091 +100 *2362:20 *4831:25 0.000856008 +101 *2362:22 *4831:25 0.000353613 +102 *2396:23 *21690:A1 1.00391e-05 +103 *2409:22 *4831:25 0.000212059 +104 *2411:26 *22648:B1 2.8654e-05 +105 *2416:53 *4831:25 0.000460447 +106 *2431:23 *21690:A1 0 +107 *2464:51 *4831:25 9.55685e-05 +108 *2473:8 *4831:63 0.00101851 +109 *2473:8 *4831:67 0.00040506 +110 *2473:8 *4831:71 0.000174175 +111 *2487:13 *4831:71 9.634e-05 +112 *2487:14 *4831:63 0 +113 *2487:14 *4831:67 0.00107549 +114 *2487:14 *4831:71 0 +115 *2487:20 *4831:8 1.53745e-05 +116 *2493:8 *4831:8 0.00200972 +117 *2554:21 *4831:25 0 +118 *2629:37 *21690:A1 1.31782e-05 +119 *2629:37 *4831:25 1.24546e-05 +120 *2687:10 *4831:71 0.000393329 +121 *2694:36 *21690:A1 0.000446583 +122 *3022:20 *4831:8 0.000347829 +123 *3142:21 *21690:A1 0.000175229 +124 *3144:103 *21690:A1 0.00292168 +125 *3146:245 *4831:8 4.33147e-05 +126 *3162:181 *4831:25 0.000385085 +127 *3164:188 *4831:25 0.000119595 +128 *3496:29 *21690:A1 5.35941e-05 +129 *3533:12 *22648:B1 1.07248e-05 +130 *3533:30 *22648:B1 6.77212e-05 +131 *3533:30 *4831:25 0.000104754 +132 *4812:38 *4831:25 0.0042399 +133 *4830:28 *4831:77 0 +*RES +1 *21685:Y *4831:5 14.964 +2 *4831:5 *4831:7 4.5 +3 *4831:7 *4831:8 67.2342 +4 *4831:8 *4831:14 1.84421 +5 *4831:14 *4831:25 31.2254 +6 *4831:25 *21690:A1 35.664 +7 *4831:25 *22648:B1 18.7423 +8 *4831:14 *22297:A1 14.4725 +9 *4831:5 *4831:63 31.2684 +10 *4831:63 *4831:67 47.7662 +11 *4831:67 *4831:71 36.2302 +12 *4831:71 *4831:74 19.6431 +13 *4831:74 *4831:77 40.3827 +14 *4831:77 *23906:A 9.24915 +15 *4831:77 *24123:TE_B 23.5963 +*END + +*D_NET *4832 0.190733 +*CONN +*I *23908:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24124:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22645:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21683:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *6215:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22297:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21679:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *23908:A 0.000440377 +2 *24124:TE_B 0 +3 *22645:B1 0.0045393 +4 *21683:B1 0.000163167 +5 *6215:DIODE 0 +6 *22297:B1 0.000998204 +7 *21679:Y 3.90345e-05 +8 *4832:158 0.000526651 +9 *4832:127 0.000917366 +10 *4832:118 0.00258256 +11 *4832:116 0.00319054 +12 *4832:109 0.0107848 +13 *4832:104 0.0103022 +14 *4832:100 0.00146055 +15 *4832:84 0.0034005 +16 *4832:82 0.00306882 +17 *4832:77 0.00111176 +18 *4832:60 0.011583 +19 *4832:40 0.00760496 +20 *4832:34 0.00375589 +21 *4832:32 0.00428908 +22 *4832:27 0.00109707 +23 *4832:23 0.00106024 +24 *4832:21 0.00144078 +25 *4832:17 0.00192457 +26 *4832:10 0.00266468 +27 *4832:7 0.00121181 +28 *22645:B1 *21074:B1 0.000148867 +29 *22645:B1 *5857:164 0.00205596 +30 *22645:B1 *5874:133 4.48365e-05 +31 *23908:A *21249:A 1.44611e-05 +32 *23908:A *23910:A 3.00654e-05 +33 *23908:A *23946:A1 0.000142826 +34 *23908:A *5594:13 0.000156367 +35 *4832:10 *20145:A1 0.000189481 +36 *4832:10 *21646:A 0.000141533 +37 *4832:10 *24586:CLK 3.20407e-05 +38 *4832:10 *4839:30 0.00138653 +39 *4832:10 *4840:12 0 +40 *4832:10 *4840:40 0 +41 *4832:10 *4885:137 0.00118235 +42 *4832:10 *5868:494 0 +43 *4832:17 *20466:B1 2.42273e-05 +44 *4832:17 *20558:B1 7.85867e-05 +45 *4832:21 *20465:B1 7.92757e-06 +46 *4832:21 *4844:88 7.68538e-06 +47 *4832:21 *5594:11 0.000720301 +48 *4832:23 *5507:15 0.00424798 +49 *4832:23 *5594:11 0.00272704 +50 *4832:23 *5594:13 0.00173101 +51 *4832:27 *5594:13 1.00846e-05 +52 *4832:32 *20287:A1 1.85012e-05 +53 *4832:32 *24124:A 0.000191541 +54 *4832:32 *4899:76 0 +55 *4832:32 *4899:82 0 +56 *4832:32 *5507:12 0 +57 *4832:34 *20733:B1 5.61863e-05 +58 *4832:34 *5857:61 5.31997e-05 +59 *4832:60 *5855:166 0.000509761 +60 *4832:77 *4909:10 0.000199453 +61 *4832:77 *4909:12 0.000158885 +62 *4832:77 *4909:16 0.000699181 +63 *4832:77 *5857:168 0.000347027 +64 *4832:82 *21414:A 2.27135e-05 +65 *4832:82 *4938:8 1.8906e-05 +66 *4832:82 *5857:168 0.000349219 +67 *4832:84 *22038:A 0.000238537 +68 *4832:84 *24019:A0 0.000568474 +69 *4832:84 *24698:CLK 7.14746e-05 +70 *4832:84 *24736:CLK 5.79544e-05 +71 *4832:84 *24739:CLK 2.3939e-05 +72 *4832:84 *4843:469 0.000414706 +73 *4832:84 *4843:474 0.000863922 +74 *4832:84 *4866:70 6.39576e-05 +75 *4832:84 *4911:39 5.05252e-05 +76 *4832:84 *4911:43 0.000913072 +77 *4832:84 *4938:8 0 +78 *4832:84 *5376:8 3.10924e-05 +79 *4832:84 *5379:11 0.00047392 +80 *4832:84 *5530:9 9.60216e-05 +81 *4832:84 *5551:8 0.000137936 +82 *4832:84 *5853:444 9.80652e-05 +83 *4832:84 *5857:199 0.000557032 +84 *4832:84 *5859:408 0.000385694 +85 *4832:84 *5864:323 0.00028037 +86 *4832:84 *5864:441 5.92342e-05 +87 *4832:100 *4866:55 0.000143057 +88 *4832:100 *4866:70 3.75405e-05 +89 *4832:100 *6029:8 9.7457e-05 +90 *4832:104 *5695:9 0.000576006 +91 *4832:104 *6029:75 0.000205006 +92 *4832:109 *20237:B1 0 +93 *4832:109 *5860:433 0 +94 *4832:109 *5874:75 8.89569e-05 +95 *4832:109 *5874:79 0.00133001 +96 *4832:116 *20345:A1 0.000120584 +97 *4832:116 *24677:CLK 0.000197218 +98 *4832:116 *4863:18 3.17862e-05 +99 *4832:116 *4863:20 0.000256376 +100 *4832:116 *5858:213 0.000386255 +101 *4832:116 *5858:223 0 +102 *4832:116 *5917:465 8.93276e-05 +103 *4832:118 *5858:494 0.000200116 +104 *4832:118 *5917:465 0.000192181 +105 *4832:127 *5153:10 0.000146388 +106 *4832:127 *5854:606 0.000174958 +107 *4832:158 *5594:13 3.21548e-05 +108 *19706:B *4832:118 0.000667909 +109 *19747:A *4832:118 6.99765e-05 +110 *19747:B *4832:118 0.000223644 +111 *20135:B2 *4832:82 6.86112e-05 +112 *20285:A *4832:32 0.000141249 +113 *20285:A *4832:34 0 +114 *20289:A2 *4832:32 0 +115 *20453:B *4832:109 0 +116 *20465:A2 *4832:17 5.46046e-05 +117 *20465:A2 *4832:21 6.08467e-05 +118 *20465:B2 *4832:17 0.000454408 +119 *20466:B2 *4832:17 2.15184e-05 +120 *20976:A1 *4832:109 0.000372907 +121 *21683:B2 *21683:B1 6.17304e-05 +122 *21684:B *4832:127 6.1708e-05 +123 *22296:A1 *22297:B1 1.60561e-05 +124 *22297:A1 *22297:B1 6.3657e-05 +125 *22297:A2 *22297:B1 7.12801e-05 +126 *22297:B2 *22297:B1 6.26935e-05 +127 *22334:A2 *22645:B1 0.00339937 +128 *22383:A1 *22297:B1 0.00201108 +129 *22476:B1 *21683:B1 9.08445e-05 +130 *22476:B1 *4832:127 1.00846e-05 +131 *24376:D *4832:34 0 +132 *24418:D *4832:34 3.53287e-05 +133 *24504:D *4832:116 5.32449e-05 +134 *24506:D *4832:116 0.000102058 +135 *24598:D *4832:17 0.000366617 +136 *24718:D *4832:32 0.000119659 +137 *24737:D *4832:84 0.000172691 +138 *476:82 *4832:100 0.000298734 +139 *477:22 *4832:34 0.000153227 +140 *490:26 *4832:34 9.90599e-06 +141 *490:28 *4832:34 0.00399386 +142 *510:71 *4832:109 0.000700782 +143 *524:35 *4832:109 0.00066447 +144 *535:19 *22645:B1 0.000289999 +145 *535:45 *4832:104 0.00167566 +146 *537:29 *4832:60 0.00222239 +147 *537:29 *4832:77 0.000511089 +148 *543:21 *4832:109 5.65213e-05 +149 *545:37 *4832:109 0.00350171 +150 *1419:70 *4832:109 0.00126899 +151 *1424:56 *4832:118 1.91391e-05 +152 *1448:213 *4832:118 5.38612e-06 +153 *1466:58 *4832:118 0.000529409 +154 *1466:62 *4832:118 1.94854e-05 +155 *1520:17 *4832:116 0.000220988 +156 *1520:17 *4832:118 0.000314744 +157 *1521:78 *4832:118 0.000282119 +158 *1555:58 *4832:60 0.000173629 +159 *1556:20 *4832:104 0.00126053 +160 *1573:33 *4832:109 0.000114222 +161 *1573:46 *4832:109 0 +162 *1608:107 *4832:60 6.90299e-05 +163 *1614:105 *22297:B1 1.66626e-05 +164 *1634:22 *4832:34 0.00304703 +165 *1634:22 *4832:40 9.4247e-05 +166 *1634:30 *4832:40 0.000125318 +167 *1677:139 *4832:118 0.000377761 +168 *1697:14 *4832:116 0 +169 *1707:7 *4832:17 0.000576786 +170 *1756:101 *4832:116 1.81897e-05 +171 *1764:128 *4832:109 0.000317822 +172 *1786:25 *4832:109 0 +173 *1854:37 *4832:84 0.000187114 +174 *1854:61 *4832:82 0.00010801 +175 *1854:61 *4832:84 0.000167926 +176 *1857:17 *4832:10 0 +177 *1884:307 *4832:109 0.000253207 +178 *1901:40 *4832:84 5.85155e-05 +179 *1901:42 *4832:84 0.000147925 +180 *1901:47 *4832:84 5.60697e-05 +181 *1909:12 *4832:34 0 +182 *1910:9 *4832:32 0.000550183 +183 *1910:97 *4832:32 0.000546586 +184 *1914:49 *23908:A 0.000178233 +185 *1947:215 *4832:118 0 +186 *1968:23 *4832:17 0.000423936 +187 *2051:23 *4832:34 0.000391973 +188 *2051:35 *4832:34 0.00032577 +189 *2141:31 *4832:77 0.000111722 +190 *2142:5 *4832:77 3.31745e-05 +191 *2142:9 *4832:77 1.41976e-05 +192 *2244:36 *22645:B1 0.000630376 +193 *2266:13 *22297:B1 0.00174672 +194 *2282:78 *4832:77 4.31122e-06 +195 *2300:33 *4832:84 0.00226007 +196 *2300:44 *4832:84 0.000472075 +197 *2316:8 *4832:32 0 +198 *2316:8 *4832:34 0.000790758 +199 *2333:37 *4832:100 0.000241489 +200 *2397:69 *4832:82 8.45896e-06 +201 *2397:69 *4832:84 0.000220421 +202 *2398:74 *4832:84 0.000181333 +203 *2398:74 *4832:100 0.000503668 +204 *2403:18 *22645:B1 4.09154e-05 +205 *2426:78 *4832:104 0.0012518 +206 *2437:23 *4832:109 4.80975e-05 +207 *2441:66 *4832:60 0.00974766 +208 *2441:66 *4832:77 0.000619542 +209 *2441:66 *4832:84 0.000134726 +210 *2445:14 *4832:116 0.000200861 +211 *2467:9 *4832:21 0.00137921 +212 *2467:9 *4832:23 0.000942692 +213 *2474:14 *22645:B1 0 +214 *2495:10 *22297:B1 2.01503e-05 +215 *2514:37 *4832:127 0.000796016 +216 *2545:35 *4832:60 0.000254526 +217 *2545:48 *4832:60 2.48636e-05 +218 *2545:55 *22297:B1 0.00010069 +219 *2554:8 *4832:34 0.00275931 +220 *2554:21 *4832:34 9.39114e-06 +221 *2554:21 *4832:40 0.000638966 +222 *2623:8 *4832:116 0.000445592 +223 *2629:37 *22645:B1 0.00108173 +224 *2635:47 *4832:60 0 +225 *2675:28 *4832:109 0.00011982 +226 *2679:37 *4832:60 6.10898e-05 +227 *2703:43 *4832:127 0.000111722 +228 *2729:47 *4832:40 0.000220421 +229 *2758:22 *4832:116 0.00036585 +230 *2820:26 *22297:B1 5.51851e-05 +231 *2820:26 *4832:60 9.13788e-05 +232 *2896:49 *4832:77 0.000203595 +233 *2949:60 *4832:77 0.00137273 +234 *2949:60 *4832:84 0.0006755 +235 *2950:92 *22645:B1 0.000752916 +236 *2971:24 *4832:118 0.00252604 +237 *2978:29 *4832:60 0 +238 *2991:25 *4832:109 0 +239 *2994:29 *4832:127 0.000799629 +240 *3014:39 *4832:109 0 +241 *3041:31 *22645:B1 1.28462e-05 +242 *3128:46 *22645:B1 0.000209167 +243 *3177:168 *4832:127 0.000148823 +244 *3204:299 *22645:B1 1.62258e-05 +245 *3509:42 *22645:B1 3.29488e-05 +246 *4814:77 *22645:B1 0.0025373 +247 *4815:35 *22297:B1 2.87885e-05 +248 *4815:35 *4832:60 0.000662748 +249 *4815:51 *22297:B1 0.000711875 +250 *4819:40 *22645:B1 8.15703e-05 +251 *4823:94 *4832:60 0.000611399 +252 *4825:95 *4832:60 0.00415225 +253 *4827:124 *4832:32 0 +254 *4827:124 *4832:34 0.0017565 +255 *4827:135 *4832:32 0.000163115 +256 *4828:13 *4832:10 0 +257 *4828:13 *4832:17 0.00162607 +258 *4828:15 *4832:17 0.000196486 +*RES +1 *21679:Y *4832:7 14.4725 +2 *4832:7 *4832:10 47.442 +3 *4832:10 *4832:17 47.5271 +4 *4832:17 *4832:21 20.7132 +5 *4832:21 *4832:23 73.3765 +6 *4832:23 *4832:27 1.30211 +7 *4832:27 *4832:32 35.3142 +8 *4832:32 *4832:34 143.714 +9 *4832:34 *4832:40 16.9378 +10 *4832:40 *22297:B1 30.9566 +11 *4832:40 *4832:60 27.3586 +12 *4832:60 *4832:77 47.5427 +13 *4832:77 *4832:82 12.6707 +14 *4832:82 *4832:84 119.886 +15 *4832:84 *4832:100 34.0067 +16 *4832:100 *4832:104 46.7428 +17 *4832:104 *4832:109 33.1766 +18 *4832:109 *4832:116 41.5496 +19 *4832:116 *4832:118 63.2038 +20 *4832:118 *4832:127 38.8012 +21 *4832:127 *6215:DIODE 9.24915 +22 *4832:127 *21683:B1 12.7938 +23 *4832:60 *22645:B1 31.1139 +24 *4832:27 *4832:158 2.41132 +25 *4832:158 *24124:TE_B 9.24915 +26 *4832:158 *23908:A 32.4372 +*END + +*D_NET *4833 0.135832 +*CONN +*I *24125:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *23910:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22312:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22640:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21737:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *21733:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *24125:TE_B 0 +2 *23910:A 0.000660913 +3 *22312:A1 0.000411814 +4 *22640:A1 0.00174867 +5 *21737:B1 0.001143 +6 *21733:Y 0 +7 *4833:188 0.00184573 +8 *4833:181 0.00227622 +9 *4833:178 0.00193734 +10 *4833:173 0.00168342 +11 *4833:172 0.00156494 +12 *4833:155 0.00271337 +13 *4833:138 0.00300255 +14 *4833:130 0.00620117 +15 *4833:98 0.00647118 +16 *4833:77 0.00507261 +17 *4833:70 0.00354741 +18 *4833:55 0.00232129 +19 *4833:54 0.00177745 +20 *4833:51 0.00115305 +21 *4833:21 0.00306583 +22 *4833:5 0.00252143 +23 *21737:B1 *4922:74 8.53106e-06 +24 *23910:A *20287:A1 2.16355e-05 +25 *23910:A *20299:B1 0.000113015 +26 *23910:A *21249:A 3.31882e-05 +27 *23910:A *23946:A0 0 +28 *23910:A *24125:A 1.65872e-05 +29 *23910:A *4843:935 1.2693e-05 +30 *23910:A *4844:775 2.54369e-05 +31 *23910:A *5516:8 5.56367e-05 +32 *23910:A *5906:23 0.000207892 +33 *4833:21 *21039:A1 7.92757e-06 +34 *4833:21 *4866:49 2.81147e-06 +35 *4833:21 *5854:466 0.000226707 +36 *4833:21 *5857:338 0.000131854 +37 *4833:51 *5947:37 4.15661e-05 +38 *4833:54 *20823:A3 5.73392e-05 +39 *4833:54 *24170:CLK 0.000156367 +40 *4833:54 *6028:78 0.000201198 +41 *4833:70 *20172:B1 0.000117073 +42 *4833:70 *21784:A 0 +43 *4833:70 *5853:365 0 +44 *4833:70 *5862:47 0.000221983 +45 *4833:77 *5475:83 0.00168084 +46 *4833:77 *5862:47 0.000185786 +47 *4833:77 *5862:57 0.000377867 +48 *4833:130 *19562:S 0.000604764 +49 *4833:130 *4873:32 0.000172057 +50 *4833:138 *19854:A 2.95884e-05 +51 *4833:138 *25213:A 2.16355e-05 +52 *4833:155 *5592:112 8.00779e-06 +53 *4833:172 *24039:A0 0.00022778 +54 *4833:172 *5532:6 0.000473619 +55 *4833:173 *5493:33 0.000472372 +56 *4833:181 *20336:A1 0.000245732 +57 *4833:181 *24725:CLK 1.50262e-05 +58 *4833:181 *5504:6 0.000190042 +59 *4833:181 *5504:17 0.000441823 +60 *4833:181 *5507:11 2.75427e-05 +61 *4833:181 *5903:6 0.000910285 +62 *4833:188 *20299:B1 0 +63 *4833:188 *24718:RESET_B 0.000520083 +64 *4833:188 *24725:CLK 2.65831e-05 +65 *4833:188 *4834:25 7.41247e-05 +66 *4833:188 *4844:775 4.15559e-05 +67 *4833:188 *5727:10 1.49697e-05 +68 *4833:188 *5906:20 2.3794e-05 +69 *4833:188 *5906:23 0.000150019 +70 mgmt_gpio_oeb[11] *4833:138 1.91391e-05 +71 mgmt_gpio_oeb[13] *4833:155 0.000113077 +72 mgmt_gpio_out[10] *4833:138 7.00732e-05 +73 mgmt_gpio_out[11] *4833:155 0.000139765 +74 mgmt_gpio_out[12] *4833:155 0.000488793 +75 mgmt_gpio_out[12] *4833:172 5.1565e-05 +76 mgmt_gpio_out[30] *23910:A 0.000569634 +77 *20167:B2 *4833:77 0.000315181 +78 *20339:B2 *4833:181 0 +79 *21039:A2 *4833:21 0.000119727 +80 *21194:B1 *22640:A1 0.00294527 +81 *21364:A1 *4833:51 0.000763411 +82 *21524:A1 *4833:21 6.75137e-05 +83 *21737:B2 *21737:B1 9.89336e-05 +84 *22312:B1 *22312:A1 0.000107496 +85 *22643:A1 *22640:A1 3.43169e-05 +86 *23908:A *23910:A 3.00654e-05 +87 *24709:D *23910:A 9.96342e-05 +88 *24723:D *4833:181 4.78554e-05 +89 *25136:A *4833:130 0.00018297 +90 *121:14 *4833:172 0.000220386 +91 *143:7 *4833:188 0.000171273 +92 *460:84 *4833:55 0.00334595 +93 *490:105 *4833:130 1.39895e-05 +94 *508:28 *4833:130 0.00304598 +95 *512:56 *4833:77 0.000343128 +96 *518:44 *4833:130 0.000104754 +97 *529:41 *4833:130 0.0013607 +98 *545:32 *4833:51 9.37344e-06 +99 *545:37 *4833:21 2.5034e-05 +100 *545:37 *4833:51 8.45628e-06 +101 *746:13 *4833:21 6.08467e-05 +102 *1443:60 *4833:130 0.00260761 +103 *1450:126 *4833:70 0.000383791 +104 *1488:8 *21737:B1 5.61289e-05 +105 *1492:35 *21737:B1 8.62625e-06 +106 *1551:75 *4833:77 0.000179353 +107 *1573:120 *22640:A1 0.000115087 +108 *1573:120 *4833:77 0.000121187 +109 *1573:120 *4833:98 0.000147164 +110 *1573:141 *4833:98 0.00185041 +111 *1573:141 *4833:130 0.000344583 +112 *1669:94 *4833:21 0.000298399 +113 *1669:113 *4833:21 0.000846053 +114 *1688:91 *4833:130 0.00040397 +115 *1691:63 *21737:B1 2.57465e-06 +116 *1701:39 *4833:21 0.00103492 +117 *1701:54 *21737:B1 0.00101309 +118 *1701:54 *4833:21 0.000354302 +119 *1709:78 *4833:70 0 +120 *1741:44 *4833:138 0.000211966 +121 *1864:22 *4833:70 0.000668403 +122 *1864:27 *4833:70 0.000321709 +123 *1865:70 *4833:70 3.12838e-05 +124 *1884:262 *4833:51 0 +125 *1884:271 *4833:21 0.00165743 +126 *1914:37 *23910:A 0.000414278 +127 *1914:49 *23910:A 0.000984879 +128 *1924:16 *4833:181 0 +129 *1925:40 *4833:181 0 +130 *1982:25 *4833:21 0.000647725 +131 *2093:15 *22640:A1 2.03454e-05 +132 *2257:73 *4833:130 8.36973e-06 +133 *2266:36 *4833:138 0.000638747 +134 *2266:38 *4833:138 0.00144437 +135 *2300:70 *22640:A1 8.043e-05 +136 *2320:56 *4833:138 4.15201e-05 +137 *2357:32 *4833:173 1.97947e-05 +138 *2357:32 *4833:178 0.00154212 +139 *2357:34 *4833:173 3.80436e-07 +140 *2360:43 *4833:155 0.000810704 +141 *2367:19 *4833:178 0.0014194 +142 *2367:20 *4833:173 0.00545831 +143 *2372:60 *4833:155 8.85718e-05 +144 *2379:58 *4833:130 3.5572e-05 +145 *2381:26 *4833:55 0.00335106 +146 *2384:32 *4833:130 0.00113368 +147 *2384:32 *4833:155 0 +148 *2384:103 *4833:54 0.00121567 +149 *2389:19 *4833:54 0.00261009 +150 *2404:73 *4833:130 0.000289972 +151 *2404:101 *4833:77 0.00169439 +152 *2417:43 *4833:155 0.00273932 +153 *2417:43 *4833:173 0.000454621 +154 *2433:106 *4833:130 1.41181e-05 +155 *2433:106 *4833:138 0.000861263 +156 *2440:55 *22312:A1 9.50308e-05 +157 *2448:146 *4833:130 1.14175e-05 +158 *2448:146 *4833:138 2.34172e-05 +159 *2450:29 *21737:B1 8.98279e-05 +160 *2459:10 *4833:77 0.000445091 +161 *2459:29 *4833:130 6.95863e-06 +162 *2467:114 *4833:77 0.00012445 +163 *2467:114 *4833:98 0.000680734 +164 *2467:114 *4833:130 0.000172972 +165 *2468:107 *4833:130 4.42313e-05 +166 *2545:18 *4833:173 0.000572314 +167 *2545:28 *4833:172 1.70475e-05 +168 *2570:57 *4833:138 0.000246544 +169 *2576:40 *4833:21 0.00199672 +170 *2584:62 *4833:130 0.000255006 +171 *2620:19 *4833:178 0.000566451 +172 *2629:37 *4833:98 0.00033162 +173 *2635:47 *4833:130 6.87503e-05 +174 *2645:34 *4833:21 0.000105517 +175 *2645:34 *4833:51 4.70104e-05 +176 *2679:17 *4833:172 0.000154145 +177 *2687:30 *4833:155 0.000166959 +178 *2687:30 *4833:172 0.000869199 +179 *2687:42 *4833:155 0.000542402 +180 *2690:40 *22640:A1 3.74542e-05 +181 *2692:53 *4833:130 1.4452e-05 +182 *2882:74 *4833:130 0.00105705 +183 *2900:89 *4833:130 8.12259e-06 +184 *2924:70 *4833:70 0.000298399 +185 *2938:29 *4833:172 8.91412e-05 +186 *2938:30 *4833:155 0.000214407 +187 *2950:76 *4833:130 6.79533e-05 +188 *3021:13 *22312:A1 0.000138769 +189 *3041:61 *22312:A1 0.000504996 +190 *3117:132 *22640:A1 3.29488e-05 +191 *3120:41 *4833:77 0.000114991 +192 *3179:134 *22640:A1 3.80571e-05 +193 *3198:23 *22640:A1 0.00137155 +194 *3491:140 *22640:A1 6.22482e-05 +195 *4805:52 *22312:A1 6.60927e-05 +196 *4805:56 *22312:A1 2.43314e-05 +197 *4805:73 *22640:A1 0.000141441 +198 *4807:28 *4833:70 1.35642e-05 +199 *4821:151 *4833:172 0.000170592 +200 *4825:72 *4833:155 0.000290672 +201 *4825:95 *4833:155 0.000241744 +202 *4826:117 *4833:130 6.10649e-05 +203 *4827:79 *4833:130 0.000636681 +204 *4828:61 *4833:155 0.00178954 +205 *4828:62 *4833:155 0.00053475 +206 *4828:80 *4833:130 0.000228754 +207 *4829:57 *4833:51 0.000341373 +208 *4829:70 *4833:77 0.000137913 +209 *4829:147 *4833:155 0.000253893 +210 *4829:171 *4833:173 0.00384854 +*RES +1 *21733:Y *4833:5 13.7491 +2 *4833:5 *4833:21 44.6624 +3 *4833:21 *21737:B1 39.1185 +4 *4833:5 *4833:51 29.8044 +5 *4833:51 *4833:54 34.6174 +6 *4833:54 *4833:55 61.6283 +7 *4833:55 *4833:70 43.6977 +8 *4833:70 *4833:77 32.0503 +9 *4833:77 *22640:A1 27.4827 +10 *4833:77 *4833:98 4.50828 +11 *4833:98 *22312:A1 21.5887 +12 *4833:98 *4833:130 43.3722 +13 *4833:130 *4833:138 46.5804 +14 *4833:138 *4833:155 38.8344 +15 *4833:155 *4833:172 45.2497 +16 *4833:172 *4833:173 88.6197 +17 *4833:173 *4833:178 47.4364 +18 *4833:178 *4833:181 42.0437 +19 *4833:181 *4833:188 29.4715 +20 *4833:188 *23910:A 47.7987 +21 *4833:188 *24125:TE_B 9.24915 +*END + +*D_NET *4834 0.06795 +*CONN +*I *23912:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *21712:B1 I *D sky130_fd_sc_hd__o22a_4 +*I *22302:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24126:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *21711:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *23912:A 2.3451e-05 +2 *21712:B1 0.000477675 +3 *22302:A1 0.000316703 +4 *24126:TE_B 0.0001157 +5 *21711:Y 0.0003185 +6 *4834:69 0.0028367 +7 *4834:46 0.00411358 +8 *4834:39 0.00214767 +9 *4834:30 0.00209906 +10 *4834:28 0.00141802 +11 *4834:26 0.00200518 +12 *4834:25 0.00213359 +13 *4834:16 0.00101252 +14 *4834:12 0.00106336 +15 *4834:9 0.00469054 +16 *4834:8 0.00470876 +17 *23912:A *4835:17 1.03403e-05 +18 *24126:TE_B *24718:RESET_B 0.000134832 +19 *4834:8 *5662:8 4.44689e-05 +20 *4834:8 *5672:8 0.000123132 +21 *4834:9 *5936:11 0.00735771 +22 *4834:12 *25236:A 0 +23 *4834:16 *24710:RESET_B 0.000515526 +24 *4834:16 *4836:55 0 +25 *4834:16 *5522:9 0 +26 *4834:16 *5728:10 0.000122098 +27 *4834:16 *5867:735 5.56367e-05 +28 *4834:16 *5867:744 0.000370912 +29 *4834:16 *5867:765 0.00038109 +30 *4834:25 *24718:RESET_B 2.16355e-05 +31 *4834:25 *5906:20 8.65358e-05 +32 *4834:26 *24127:A 0.000122098 +33 *4834:26 *24706:RESET_B 0.000494494 +34 *4834:26 *24734:CLK 0.00022118 +35 *4834:26 *4835:18 0.000513238 +36 *4834:26 *4836:49 0.000735673 +37 *4834:26 *4836:55 0.000527467 +38 *4834:26 *5027:10 3.58457e-05 +39 *4834:26 *5921:99 0 +40 *4834:26 *5921:109 0 +41 *4834:30 *21609:A 0.000297797 +42 *4834:30 *24734:CLK 0.000135288 +43 *4834:30 *4901:69 5.71944e-05 +44 *4834:30 *5921:99 0 +45 *4834:39 *5880:17 0.00291188 +46 *4834:46 *21090:A1 5.99082e-05 +47 *4834:46 *21420:A 4.80867e-05 +48 *4834:46 *4843:251 9.57557e-06 +49 *4834:69 *21094:A1 0.000107496 +50 *4834:69 *5350:11 4.47134e-05 +51 mgmt_gpio_out[31] *4834:12 0.000501735 +52 mgmt_gpio_out[31] *4834:16 0.00169917 +53 *19602:S *4834:30 0.000225191 +54 *21701:A2 *21712:B1 7.74853e-06 +55 *21701:B1 *21712:B1 0.000247443 +56 *21701:B2 *21712:B1 1.65872e-05 +57 *21712:B2 *21712:B1 0.000107496 +58 *22024:A2 *4834:69 6.93186e-06 +59 *22024:B2 *4834:69 7.10616e-05 +60 *22034:B1 *21712:B1 0.000161262 +61 *22034:B2 *4834:69 7.26959e-06 +62 *22302:B2 *22302:A1 6.50586e-05 +63 *22303:C1 *22302:A1 0.000105733 +64 *23913:A *23912:A 6.08467e-05 +65 *24707:D *4834:16 3.01634e-05 +66 *25102:A *4834:8 0 +67 *25112:A *4834:8 0.000148114 +68 *25163:A *4834:12 0 +69 *489:78 *21712:B1 2.40736e-05 +70 *1439:421 *4834:46 1.83318e-05 +71 *1444:6 *4834:30 0.00019554 +72 *1444:17 *4834:30 0.000219148 +73 *1608:148 *22302:A1 6.57526e-05 +74 *1627:148 *4834:69 0.00184071 +75 *1627:160 *21712:B1 0.000205101 +76 *1629:18 *4834:30 0.000452595 +77 *1631:90 *4834:46 0.00249251 +78 *1631:106 *21712:B1 5.39109e-05 +79 *1644:7 *21712:B1 0.000627109 +80 *1742:93 *4834:69 0.00169702 +81 *1913:46 *4834:16 0 +82 *1924:8 *4834:26 0 +83 *1924:8 *4834:30 0.000189689 +84 *2258:32 *22302:A1 0.000491387 +85 *2263:33 *4834:39 0.00275135 +86 *2287:39 *4834:46 0.000114166 +87 *2320:10 *4834:30 0.00016791 +88 *2360:13 *4834:30 4.5539e-05 +89 *2362:20 *22302:A1 8.23984e-05 +90 *2362:20 *4834:46 0.000144816 +91 *2363:20 *4834:39 0.000111708 +92 *2372:16 *4834:12 0 +93 *2372:16 *4834:16 0 +94 *2433:20 *4834:30 0.0024767 +95 *2480:8 *4834:46 6.26215e-05 +96 *2485:15 *4834:46 0.000813262 +97 *2485:24 *4834:46 0.00020034 +98 *2488:14 *22302:A1 1.61547e-05 +99 *2488:14 *4834:46 2.54485e-05 +100 *2493:36 *4834:46 1.07529e-05 +101 *2493:41 *4834:46 0.000157253 +102 *2545:14 *4834:26 0.000651151 +103 *2570:32 *4834:26 0.000108012 +104 *2635:8 *4834:69 1.5714e-05 +105 *2729:20 *21712:B1 2.1801e-05 +106 *2729:38 *4834:46 0.000543487 +107 *2737:29 *21712:B1 4.07355e-05 +108 *2738:13 *4834:69 0.000393742 +109 *2813:25 *4834:26 2.33103e-06 +110 *2886:8 *4834:69 1.5714e-05 +111 *3022:6 *4834:46 0.000127194 +112 *3134:194 *4834:69 0.000586521 +113 *3134:228 *4834:46 0.00102187 +114 *3146:233 *4834:69 0.000105652 +115 *4833:188 *4834:25 7.41247e-05 +*RES +1 *21711:Y *4834:8 27.1404 +2 *4834:8 *4834:9 130.501 +3 *4834:9 *4834:12 13.8065 +4 *4834:12 *4834:16 38.3064 +5 *4834:16 *24126:TE_B 12.7456 +6 *4834:16 *4834:25 9.10562 +7 *4834:25 *4834:26 68.4311 +8 *4834:26 *4834:28 0.732798 +9 *4834:28 *4834:30 58.5628 +10 *4834:30 *4834:39 44.8563 +11 *4834:39 *4834:46 33.8256 +12 *4834:46 *22302:A1 23.5385 +13 *4834:46 *4834:69 47.0279 +14 *4834:69 *21712:B1 28.9163 +15 *4834:12 *23912:A 14.4725 +*END + +*D_NET *4835 0.045601 +*CONN +*I *23914:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *22303:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *21611:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *24127:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *21606:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *23914:A 0.000117712 +2 *22303:B1 3.81431e-05 +3 *21611:A1 0.000457429 +4 *24127:TE_B 0 +5 *21606:Y 0.00191141 +6 *4835:50 0.000560736 +7 *4835:49 0.00149713 +8 *4835:37 0.0023209 +9 *4835:28 0.00225327 +10 *4835:27 0.00139494 +11 *4835:20 3.06047e-05 +12 *4835:18 0.00226537 +13 *4835:17 0.00385574 +14 *4835:7 0.00338407 +15 *23914:A *5506:21 1.93378e-05 +16 *23914:A *5728:13 0.000108071 +17 *4835:7 *24714:RESET_B 0.00047271 +18 *4835:7 *24714:CLK 0.000242981 +19 *4835:7 *4840:341 0.000315461 +20 *4835:7 *4843:906 0.000184611 +21 *4835:7 *4843:916 4.0752e-05 +22 *4835:7 *5511:8 1.75155e-06 +23 *4835:17 *20289:A1 9.60216e-05 +24 *4835:17 *23946:S 0.000114584 +25 *4835:17 *23947:A0 3.5957e-05 +26 *4835:17 *23947:A1 0.000369269 +27 *4835:17 *24123:A 0.000186445 +28 *4835:17 *4840:359 4.55235e-05 +29 *4835:17 *5728:13 0.000532369 +30 *4835:17 *5897:12 5.36686e-05 +31 *4835:17 *5918:78 0.000288326 +32 *4835:18 *24684:RESET_B 0.000264613 +33 *4835:18 *24705:RESET_B 0.00016062 +34 *4835:18 *4836:55 0 +35 *4835:18 *5506:8 3.87866e-05 +36 *4835:18 *5506:21 0.000402936 +37 *4835:18 *5867:823 0.000267254 +38 *4835:28 *21924:A 0.000119575 +39 *4835:37 *24326:RESET_B 2.40589e-05 +40 *4835:37 *4964:5 9.11862e-05 +41 *4835:49 *21405:A 1.1468e-05 +42 *4835:49 *24763:CLK 6.08467e-05 +43 *4835:49 *4902:59 2.09495e-05 +44 *4835:49 *4902:71 7.9849e-05 +45 *4835:49 *5086:7 3.59525e-05 +46 *4835:49 *5859:132 0.000738316 +47 *4835:49 *5924:42 0 +48 mgmt_gpio_out[32] *4835:18 0 +49 *19603:A *4835:28 0.000331029 +50 *20273:A *4835:37 4.17052e-05 +51 *20289:A2 *4835:17 1.93378e-05 +52 *20337:B2 *4835:18 2.93532e-05 +53 *20727:B *4835:49 0.000104411 +54 *21136:A *4835:28 0.00030002 +55 *21610:B1 *21611:A1 2.99978e-05 +56 *21611:A2 *21611:A1 1.47978e-05 +57 *21611:C1 *21611:A1 1.99131e-05 +58 *22303:B2 *21611:A1 2.95757e-05 +59 *22303:B2 *22303:B1 9.36386e-05 +60 *22303:B2 *4835:50 0.000116175 +61 *22303:C1 *22303:B1 1.616e-05 +62 *23912:A *4835:17 1.03403e-05 +63 *23913:A *4835:17 2.08409e-05 +64 *23915:A *23914:A 0.000111722 +65 *24905:D *4835:28 9.96342e-05 +66 *135:11 *4835:7 1.87015e-05 +67 *478:8 *4835:28 0.000148226 +68 *478:12 *4835:18 0.00026758 +69 *491:8 *21611:A1 3.52278e-05 +70 *1627:131 *21611:A1 0.000213725 +71 *1631:126 *4835:37 0.000371099 +72 *1631:133 *4835:37 0.000195446 +73 *1742:93 *4835:49 0.00258742 +74 *1919:7 *4835:37 5.04829e-06 +75 *1924:8 *4835:18 0.000584304 +76 *1924:8 *4835:28 0.00371946 +77 *1924:8 *4835:37 8.30969e-05 +78 *1925:40 *4835:18 0 +79 *2263:22 *4835:28 0.00101865 +80 *2263:30 *4835:28 0.000356857 +81 *2263:30 *4835:37 0.000657338 +82 *2287:43 *4835:37 0.000338075 +83 *2297:52 *4835:49 0.00103817 +84 *2319:23 *4835:37 0.00112125 +85 *2372:16 *23914:A 7.15574e-05 +86 *2372:16 *4835:18 0.00132976 +87 *2499:28 *4835:49 0.000474769 +88 *2545:14 *4835:18 0.000273267 +89 *2570:32 *4835:18 0.000242155 +90 *2659:17 *21611:A1 6.08467e-05 +91 *2738:13 *4835:49 0.000668528 +92 *2813:25 *4835:27 0.000107496 +93 *3022:20 *21611:A1 2.41274e-06 +94 *4815:19 *4835:27 4.31539e-05 +95 *4816:55 *4835:49 0.00161602 +96 *4830:49 *4835:49 0.000288458 +97 *4831:8 *21611:A1 0.000129105 +98 *4831:8 *4835:50 0.000120195 +99 *4834:26 *4835:18 0.000513238 +*RES +1 *21606:Y *4835:7 44.4211 +2 *4835:7 *4835:17 44.3222 +3 *4835:17 *4835:18 81.9757 +4 *4835:18 *4835:20 4.5 +5 *4835:20 *24127:TE_B 9.24915 +6 *4835:20 *4835:27 5.778 +7 *4835:27 *4835:28 66.4037 +8 *4835:28 *4835:37 43.9324 +9 *4835:37 *4835:49 49.1994 +10 *4835:49 *4835:50 2.45487 +11 *4835:50 *21611:A1 24.7789 +12 *4835:50 *22303:B1 15.3828 +13 *4835:17 *23914:A 18.1077 +*END + +*D_NET *4836 0.0580264 +*CONN +*I *23916:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *24128:TE_B I *D sky130_fd_sc_hd__ebufn_8 +*I *22309:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *21659:A1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21644:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *23916:A 7.68742e-05 +2 *24128:TE_B 0.000135926 +3 *22309:B1 0.000738962 +4 *21659:A1 0.000494089 +5 *21644:Y 0 +6 *4836:56 0.000663943 +7 *4836:55 0.00182083 +8 *4836:49 0.00227662 +9 *4836:46 0.00187729 +10 *4836:43 0.00161171 +11 *4836:21 0.011865 +12 *4836:5 0.0112733 +13 *24128:TE_B *5903:6 0 +14 *4836:43 *20799:A1 0.000183432 +15 *4836:43 *20799:B1 1.5714e-05 +16 *4836:43 *4845:171 9.84343e-05 +17 *4836:43 *5867:636 1.71577e-05 +18 *4836:46 *20303:A1 4.44802e-05 +19 *4836:46 *20303:B1 0.000119727 +20 *4836:49 *5594:16 0.000225765 +21 *4836:49 *5867:815 0.000113348 +22 *4836:49 *5867:820 4.56563e-05 +23 *4836:49 *5921:109 0.000218546 +24 *4836:55 *24684:RESET_B 7.08276e-05 +25 *4836:55 *24710:RESET_B 0 +26 *4836:55 *5522:9 3.77659e-05 +27 *4836:55 *5594:16 0 +28 *4836:55 *5906:20 5.01375e-05 +29 *4836:56 *24707:RESET_B 0.000152676 +30 *20303:A2 *4836:46 0.000216458 +31 *20337:B2 *4836:55 0.000324984 +32 *21260:B2 *4836:21 0.00013009 +33 *21328:C *4836:21 0.000227899 +34 *21436:B1 *4836:21 0.00052711 +35 *21658:B1 *4836:21 0.000434751 +36 *22679:A1 *22309:B1 0.000115313 +37 *22679:C1 *22309:B1 0.000118724 +38 *22689:D *22309:B1 4.4196e-06 +39 *22711:B1 *22309:B1 3.75064e-05 +40 *23917:A *23916:A 5.56461e-05 +41 *24683:D *4836:55 4.44553e-05 +42 *24684:D *4836:55 7.50872e-05 +43 *24707:D *4836:55 0 +44 *144:8 *24128:TE_B 0 +45 *477:47 *4836:46 0.000776327 +46 *480:207 *4836:21 0.000136834 +47 *1443:60 *21659:A1 0.00105033 +48 *1443:60 *4836:21 0.000387494 +49 *1557:126 *4836:21 0.00044433 +50 *1564:106 *4836:21 0.00035323 +51 *1592:151 *4836:21 8.49037e-05 +52 *1595:16 *4836:21 0.000131302 +53 *1596:134 *4836:21 0.000151746 +54 *1631:57 *21659:A1 0.0010312 +55 *1631:57 *4836:21 0.000423684 +56 *1771:173 *4836:21 0.000175646 +57 *1884:45 *4836:21 0.000887848 +58 *1884:64 *4836:21 4.41823e-05 +59 *1884:102 *4836:21 0.00016402 +60 *1884:102 *4836:43 6.04731e-05 +61 *2081:6 *4836:21 0.000194747 +62 *2081:6 *4836:43 0.00087958 +63 *2083:14 *4836:43 5.33945e-05 +64 *2262:78 *4836:21 0 +65 *2321:36 *4836:21 0.000413572 +66 *2321:43 *4836:21 0.0048456 +67 *2361:29 *22309:B1 0.000245379 +68 *2372:16 *4836:55 0.000172455 +69 *2459:56 *22309:B1 4.70758e-05 +70 *2499:10 *4836:21 7.13655e-06 +71 *2499:10 *4836:43 0.000471946 +72 *2570:25 *4836:43 0.000174175 +73 *2613:29 *4836:21 0.00181735 +74 *2687:68 *4836:21 0.000962637 +75 *2797:11 *4836:21 0.000124982 +76 *3126:12 *22309:B1 9.12416e-06 +77 *3126:25 *22309:B1 0.000554455 +78 *3139:176 *21659:A1 2.02035e-05 +79 *3196:152 *4836:21 0.001572 +80 *3196:243 *4836:21 0.000400098 +81 *3198:154 *4836:21 0.00014165 +82 *3491:146 *22309:B1 2.98509e-05 +83 *3491:178 *22309:B1 1.34507e-05 +84 *3537:14 *22309:B1 9.96222e-05 +85 *3547:26 *4836:21 0.000186408 +86 *3620:30 *22309:B1 0.000129702 +87 *3621:10 *21659:A1 2.37478e-05 +88 *4827:121 *4836:46 0.000328702 +89 *4830:36 *4836:43 0 +90 *4834:16 *4836:55 0 +91 *4834:26 *4836:49 0.000735673 +92 *4834:26 *4836:55 0.000527467 +93 *4835:18 *4836:55 0 +*RES +1 *21644:Y *4836:5 13.7491 +2 *4836:5 *4836:21 38.0098 +3 *4836:21 *21659:A1 19.0762 +4 *4836:21 *22309:B1 36.5981 +5 *4836:5 *4836:43 30.4166 +6 *4836:43 *4836:46 32.399 +7 *4836:46 *4836:49 31.4981 +8 *4836:49 *4836:55 44.9871 +9 *4836:55 *4836:56 10.7063 +10 *4836:56 *24128:TE_B 21.3269 +11 *4836:56 *23916:A 11.6605 +*END + +*D_NET *4837 0.0101551 +*CONN +*I *19669:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *24077:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *19669:B1 3.24531e-05 +2 *24077:X 0.000464388 +3 *4837:8 0.00157503 +4 *4837:7 0.00200696 +5 *4837:8 *19668:A 0.000365316 +6 *4837:8 *22118:A 0 +7 *4837:8 *4881:125 6.03391e-06 +8 *4837:8 *4881:132 2.04806e-05 +9 *4837:8 *4881:135 0.000157702 +10 *4837:8 *6001:211 0.00120131 +11 *19666:A *4837:8 9.83703e-05 +12 *19669:B2 *4837:8 8.98943e-05 +13 *20178:B1 *4837:8 0 +14 *20305:B1 *4837:8 0.000136483 +15 *23928:B *4837:8 0.000179271 +16 *24179:D *4837:8 0.000331044 +17 *1477:8 *4837:8 0.000134676 +18 *1477:12 *4837:8 0.00201276 +19 *1483:137 *19669:B1 9.48555e-05 +20 *4138:143 *4837:8 0.000135702 +21 *4788:8 *4837:8 0.00030982 +22 *4788:27 *4837:8 0.000802587 +*RES +1 *24077:X *4837:7 20.0186 +2 *4837:7 *4837:8 68.2723 +3 *4837:8 *19669:B1 14.7498 +*END + +*D_NET *4838 0.116127 +*CONN +*I *20558:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20471:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20294:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20303:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20283:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24050:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20737:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21135:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20806:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20596:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20748:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20509:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20634:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20884:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20433:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20405:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20148:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20482:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25372:A I *D sky130_fd_sc_hd__buf_12 +*I *20756:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21154:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20718:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21021:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20988:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20650:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23956:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *20558:B1 0.000700073 +2 *20471:B1 8.61872e-05 +3 *20294:B1 0.000697026 +4 *20303:B1 0.000156105 +5 *20283:B1 0 +6 *24050:A1 0.000541325 +7 *20737:B1 0 +8 *21135:A0 0 +9 *20806:B1 6.29469e-05 +10 *20596:B1 4.31909e-05 +11 *20748:B1 0.000309425 +12 *20509:B1 0.000298349 +13 *20634:B1 0.000831261 +14 *20884:B1 7.45252e-05 +15 *20433:B1 5.50832e-05 +16 *20405:B1 0.000321784 +17 *20148:B1 0 +18 *20482:B1 0 +19 *25372:A 0.000101943 +20 *20756:B1 0 +21 *21154:B1 0.000344956 +22 *20718:B1 0 +23 *21021:B1 0.000130694 +24 *20988:B1 4.23029e-05 +25 *20650:B1 0.00022371 +26 *23956:X 0.000623474 +27 *4838:348 0.00257916 +28 *4838:331 0.00152808 +29 *4838:321 0.00250841 +30 *4838:310 0.00144412 +31 *4838:308 0.00266651 +32 *4838:306 0.00126282 +33 *4838:303 0.00153431 +34 *4838:289 0.000909244 +35 *4838:286 0.00112266 +36 *4838:283 0.00120306 +37 *4838:274 0.00165047 +38 *4838:273 0.00160728 +39 *4838:271 0.00111771 +40 *4838:259 0.000775115 +41 *4838:243 0.000864521 +42 *4838:225 0.00217229 +43 *4838:210 0.00180448 +44 *4838:205 0.00131139 +45 *4838:190 0.00128982 +46 *4838:186 0.00129743 +47 *4838:114 0.000269206 +48 *4838:113 0.000312224 +49 *4838:101 0.00136038 +50 *4838:92 0.00197425 +51 *4838:86 0.00208087 +52 *4838:68 0.00198622 +53 *4838:52 0.00136527 +54 *4838:47 0.000545711 +55 *4838:40 0.00115179 +56 *4838:37 0.00104294 +57 *4838:30 0.00146316 +58 *4838:24 0.00130056 +59 *4838:22 0.00156929 +60 *4838:13 0.00168895 +61 *4838:11 0.000981265 +62 *20294:B1 *24118:A 6.08467e-05 +63 *20294:B1 *4872:180 0.000470044 +64 *20294:B1 *4872:214 0.000158368 +65 *20294:B1 *5866:696 3.30201e-05 +66 *20294:B1 *5866:717 4.82882e-05 +67 *20405:B1 *20405:A1 0.000411006 +68 *20433:B1 *20433:A1 2.65667e-05 +69 *20433:B1 *21852:A 0.000169041 +70 *20471:B1 *24948:A 0.000370815 +71 *20509:B1 *20033:A 0.000167047 +72 *20509:B1 *20464:A1 4.58666e-05 +73 *20509:B1 *20509:A1 0.000244147 +74 *20509:B1 *21195:A 9.75356e-05 +75 *20509:B1 *24600:RESET_B 0.000116986 +76 *20509:B1 *5866:422 3.67708e-05 +77 *20558:B1 *4841:175 0.00026821 +78 *20558:B1 *4843:21 9.75243e-05 +79 *20558:B1 *5672:11 3.82228e-05 +80 *20634:B1 *19792:A 0.000711486 +81 *20634:B1 *20882:A1 4.77678e-05 +82 *20634:B1 *20882:B1 1.58551e-05 +83 *20650:B1 *21791:A 7.01509e-05 +84 *20748:B1 *5918:30 0.000197 +85 *20806:B1 *20800:A1 0.000118485 +86 *20806:B1 *20806:A1 6.08467e-05 +87 *20806:B1 *24373:CLK 2.65667e-05 +88 *20884:B1 *20882:B1 4.87343e-05 +89 *20884:B1 *4840:101 2.22198e-05 +90 *21154:B1 *21154:A1 0.000347214 +91 *24050:A1 *20283:A1 0.000725452 +92 *24050:A1 *5537:9 2.15348e-05 +93 *25372:A *4881:169 4.82656e-05 +94 *4838:11 *20183:A1 0 +95 *4838:11 *23956:A0 0 +96 *4838:11 *23956:A1 0 +97 *4838:11 *24776:RESET_B 0.000310589 +98 *4838:11 *5932:13 5.84069e-06 +99 *4838:11 *6002:7 8.86849e-05 +100 *4838:13 *20176:A 4.56667e-05 +101 *4838:13 *20176:B 0.000238032 +102 *4838:13 *4843:21 0.00148187 +103 *4838:13 *5672:11 0.00061991 +104 *4838:13 *5932:13 3.91975e-05 +105 *4838:13 *6002:7 5.0715e-05 +106 *4838:22 *20147:A1 0.000174206 +107 *4838:22 *4839:15 1.03403e-05 +108 *4838:22 *4839:21 1.58551e-05 +109 *4838:22 *4841:175 0.000351749 +110 *4838:22 *4885:79 0.000478656 +111 *4838:24 *20147:A1 0.000114955 +112 *4838:30 *20147:A1 5.92342e-05 +113 *4838:30 *20479:A1 6.59061e-05 +114 *4838:30 *21679:A 4.95259e-05 +115 *4838:30 *24800:CLK 1.3813e-05 +116 *4838:30 *4839:32 0 +117 *4838:30 *4839:36 0 +118 *4838:30 *4841:36 0.000353452 +119 *4838:30 *4841:40 0.000331663 +120 *4838:30 *4845:55 0 +121 *4838:30 *5242:8 4.80148e-05 +122 *4838:37 *19967:A 2.66039e-05 +123 *4838:37 *4841:40 5.82465e-05 +124 *4838:37 *5242:8 8.92568e-06 +125 *4838:40 *5181:10 4.01437e-05 +126 *4838:40 *5868:397 0 +127 *4838:47 *20756:A1 6.50727e-05 +128 *4838:47 *5921:70 5.51483e-06 +129 *4838:52 *5921:70 0.000109747 +130 *4838:68 *21154:A1 7.65861e-05 +131 *4838:68 *24399:CLK 9.5562e-05 +132 *4838:68 *5815:24 0.00091652 +133 *4838:68 *5856:33 3.69429e-05 +134 *4838:86 *20714:B1 8.01837e-05 +135 *4838:86 *24424:RESET_B 7.37441e-06 +136 *4838:86 *24425:RESET_B 2.32766e-05 +137 *4838:86 *24475:CLK 0.000218878 +138 *4838:86 *4841:70 0.000169958 +139 *4838:86 *4935:93 0.000322971 +140 *4838:86 *5046:10 0.000111708 +141 *4838:86 *5815:24 6.22785e-05 +142 *4838:92 *20718:A1 9.95922e-06 +143 *4838:92 *4935:24 0.000233425 +144 *4838:92 *5921:51 0 +145 *4838:101 *21867:A 6.08467e-05 +146 *4838:101 *24252:SET_B 0.000111802 +147 *4838:101 *24252:CLK 6.50727e-05 +148 *4838:101 *4935:24 0.000332528 +149 *4838:101 *5868:206 0.000307312 +150 *4838:113 *21791:A 0.000203019 +151 *4838:186 *20400:A1 1.62258e-05 +152 *4838:186 *20400:B1 6.92705e-05 +153 *4838:186 *4869:135 0.00157668 +154 *4838:186 *5907:47 0.000182453 +155 *4838:190 *5203:10 0 +156 *4838:205 *20405:A1 0.000224395 +157 *4838:225 *21852:A 9.55447e-05 +158 *4838:271 *5898:254 0.000258128 +159 *4838:274 *20596:A1 0.000130009 +160 *4838:274 *20747:B1 0.000261241 +161 *4838:274 *22022:A 0.000237053 +162 *4838:274 *24507:CLK 0 +163 *4838:274 *24509:RESET_B 0 +164 *4838:274 *4839:293 0.000298272 +165 *4838:274 *4839:295 3.88655e-06 +166 *4838:274 *4842:176 4.04556e-05 +167 *4838:274 *5119:10 0.000148129 +168 *4838:274 *5866:499 0 +169 *4838:283 *5898:254 0.00200153 +170 *4838:289 *4872:173 0.000164843 +171 *4838:289 *4872:222 0.000806799 +172 *4838:289 *4896:7 0.000477044 +173 *4838:303 *4872:222 0.00158313 +174 *4838:306 *21595:A 0.000142896 +175 *4838:306 *5001:8 0.000155466 +176 *4838:306 *5003:9 0 +177 *4838:306 *5867:682 7.93542e-05 +178 *4838:306 *5867:688 4.76606e-05 +179 *4838:306 *5867:717 6.80025e-05 +180 *4838:310 *20737:A1 3.67708e-05 +181 *4838:310 *4898:84 0 +182 *4838:310 *5857:61 5.15257e-05 +183 *4838:321 *19876:A 0.000141533 +184 *4838:321 *20737:A1 0.000214058 +185 *4838:321 *24416:RESET_B 6.28175e-05 +186 *4838:321 *24416:CLK 0.000258128 +187 *4838:321 *5857:61 8.92568e-06 +188 *4838:321 *5921:98 0.000510789 +189 *4838:331 *20921:A1 2.972e-05 +190 *4838:331 *20921:B1 2.87072e-05 +191 *4838:331 *24325:RESET_B 1.91114e-05 +192 *4838:331 *24325:CLK 0 +193 *4838:331 *24416:CLK 0 +194 *4838:331 *4901:80 0 +195 *4838:331 *4901:82 0 +196 *4838:331 *4901:84 0 +197 *4838:331 *4963:7 0.000279719 +198 *4838:331 *5865:391 8.60168e-05 +199 *4838:331 *5924:46 0.000294464 +200 mgmt_gpio_out[21] *4838:274 0 +201 *20143:A *20558:B1 6.08467e-05 +202 *20146:A2 *20558:B1 2.09495e-05 +203 *20148:B2 *4838:22 2.71397e-05 +204 *20177:A *4838:13 0.000207266 +205 *20293:A2 *20294:B1 3.31358e-05 +206 *20400:A2 *4838:186 0.000602357 +207 *20400:B2 *4838:186 5.74286e-05 +208 *20424:A *4838:225 6.76155e-05 +209 *20430:A2 *4838:210 0.000152136 +210 *20471:B2 *20471:B1 6.08467e-05 +211 *20509:B2 *20509:B1 6.3657e-05 +212 *20646:B2 *4838:86 1.41976e-05 +213 *20718:B2 *4838:92 2.66419e-05 +214 *20737:A2 *4838:321 0 +215 *20748:B2 *20748:B1 6.08467e-05 +216 *20756:B2 *4838:52 6.50586e-05 +217 *20800:B2 *20806:B1 0.000122083 +218 *20882:B2 *20634:B1 1.65872e-05 +219 *20884:A2 *20634:B1 0.000517117 +220 *20988:A2 *20988:B1 1.64789e-05 +221 *20988:B2 *4838:101 0.000298318 +222 *21021:B2 *21021:B1 0.000180598 +223 *21135:S *4838:331 0.000350108 +224 *24250:D *21021:B1 0.000160617 +225 *24398:D *4838:47 0.000149628 +226 *24402:D *4838:40 0.000301415 +227 *24424:D *4838:86 0.000107496 +228 *24471:D *20650:B1 6.50586e-05 +229 *24475:D *4838:86 0.000251669 +230 *24507:D *4838:274 8.50282e-05 +231 *24511:D *4838:274 0.000101133 +232 *24533:D *20558:B1 6.92705e-05 +233 *24567:D *20509:B1 0.000144675 +234 *24585:D *4838:30 0 +235 *24593:D *20471:B1 3.42853e-05 +236 *24593:D *4838:190 6.66538e-05 +237 *24619:D *20433:B1 2.20702e-05 +238 *24619:D *4838:225 1.03403e-05 +239 *24642:D *4838:186 0.000610533 +240 *24711:D *20294:B1 0.000177787 +241 *24801:D *4838:22 0.000158357 +242 *144:8 *4838:348 2.02035e-05 +243 *489:22 *4838:321 0.000212075 +244 *490:58 *4838:331 0.000595394 +245 *490:61 *4838:331 0.000194602 +246 *657:218 *21154:B1 1.98996e-05 +247 *657:218 *4838:68 0.000154145 +248 *1619:8 *4838:186 0 +249 *1619:8 *4838:190 0 +250 *1619:8 *4838:205 0.000102632 +251 *1634:16 *4838:321 0.00100049 +252 *1656:8 *20509:B1 0 +253 *1656:8 *4838:225 0 +254 *1741:6 *4838:210 0 +255 *1770:8 *4838:205 2.82635e-05 +256 *1800:184 *20884:B1 0.000169093 +257 *1857:6 *4838:30 7.85335e-05 +258 *1857:13 *4838:22 7.03198e-05 +259 *1857:13 *4838:24 5.0459e-05 +260 *1857:13 *4838:30 1.2693e-05 +261 *1857:17 *4838:22 2.00987e-05 +262 *1857:33 *20558:B1 0.000243648 +263 *1858:6 *4838:22 2.72267e-05 +264 *1858:17 *4838:22 8.12748e-05 +265 *1858:19 *4838:22 0.000226333 +266 *1907:27 *24050:A1 1.19721e-05 +267 *1913:11 *4838:310 0.000213492 +268 *1914:8 *4838:306 0.000102984 +269 *1914:10 *4838:306 7.89743e-05 +270 *1924:52 *4838:348 4.67464e-05 +271 *1947:22 *20650:B1 0.000167478 +272 *1955:42 *4838:210 1.44611e-05 +273 *1967:10 *4838:205 0.000164815 +274 *2077:9 *20634:B1 1.40978e-05 +275 *2077:9 *4838:225 6.36823e-05 +276 *2135:88 *20634:B1 4.0752e-05 +277 *2287:9 *20405:B1 1.41291e-05 +278 *2287:9 *4838:205 0.00120211 +279 *2287:9 *4838:243 0.000515939 +280 *2287:9 *4838:259 0.000946644 +281 *2287:9 *4838:271 0.000343701 +282 *2287:9 *4838:283 0.00300011 +283 *2288:14 *4838:40 0.000244213 +284 *2297:11 *4838:283 0.00213927 +285 *2316:8 *4838:306 0 +286 *2316:8 *4838:308 0 +287 *2316:8 *4838:310 0 +288 *2321:10 *4838:310 0.000343668 +289 *2321:10 *4838:321 0.000712164 +290 *2468:8 *4838:52 0.000149312 +291 *2468:8 *4838:68 0.000387341 +292 *2487:13 *20294:B1 0.000463351 +293 *2487:14 *20294:B1 0.000229317 +294 *2487:14 *4838:286 0.000123974 +295 *2535:8 *4838:113 0.000292742 +296 *2566:15 *20748:B1 0.000446569 +297 *2589:10 *4838:274 0.000306482 +298 *2592:6 *4838:40 0.00190034 +299 *2592:6 *4838:47 5.12009e-05 +300 *2624:6 *4838:52 0.00035117 +301 *2624:6 *4838:68 0.000102632 +302 *2654:17 *4838:113 0.000285532 +303 *2660:18 *4838:92 0 +304 *2687:10 *20294:B1 0 +305 *2687:20 *4838:348 2.02035e-05 +306 *2783:8 *4838:68 0.000388247 +307 *2807:7 *20650:B1 1.97124e-05 +308 *2809:6 *4838:210 0.000986831 +309 *2809:6 *4838:225 0.00189297 +310 *2813:25 *4838:348 0 +311 *2869:14 *4838:274 0.000543718 +312 *2883:7 *20988:B1 1.65872e-05 +313 *2883:7 *4838:101 0.000313725 +314 *2883:7 *4838:113 6.80959e-05 +315 *2954:8 *4838:40 0.000392089 +316 *2954:12 *4838:40 0.000114157 +317 *2954:12 *4838:47 0.000337639 +318 *2963:8 *4838:186 0.000111358 +319 *2963:8 *4838:190 0.000675346 +320 *2984:8 *20509:B1 1.65078e-05 +321 *2996:24 *20748:B1 7.05891e-05 +322 *3033:16 *4838:186 0.000553213 +323 *3860:27 *25372:A 9.5562e-05 +324 *4034:34 *4838:92 6.43407e-05 +325 *4034:43 *21021:B1 0.000207266 +326 *4034:43 *4838:92 0 +327 *4137:77 *4838:86 0.000166346 +328 *4138:137 *4838:68 0.000349029 +329 *4138:137 *4838:86 0.000248549 +330 *4739:5 *4838:37 0.000417544 +331 *4797:6 *4838:92 0.00017218 +332 *4798:8 *4838:92 0.000396973 +333 *4798:8 *4838:101 0.00033611 +334 *4815:11 *4838:306 0.000662624 +335 *4815:11 *4838:308 9.88755e-05 +336 *4815:11 *4838:310 6.15896e-05 +337 *4822:27 *4838:274 0 +338 *4827:135 *20294:B1 6.08467e-05 +339 *4828:7 *4838:186 0.00077856 +340 *4828:13 *20558:B1 0.000260521 +341 *4831:71 *20294:B1 8.44237e-05 +342 *4831:71 *4838:286 0.000123974 +343 *4832:17 *20558:B1 7.85867e-05 +344 *4836:46 *20303:B1 0.000119727 +*RES +1 *23956:X *4838:11 29.1477 +2 *4838:11 *4838:13 22.3529 +3 *4838:13 *4838:22 35.6067 +4 *4838:22 *4838:24 2.24725 +5 *4838:24 *4838:30 39.0093 +6 *4838:30 *4838:37 16.2681 +7 *4838:37 *4838:40 35.101 +8 *4838:40 *4838:47 12.3412 +9 *4838:47 *4838:52 14.9508 +10 *4838:52 *4838:68 47.8972 +11 *4838:68 *4838:86 42.7384 +12 *4838:86 *4838:92 27.02 +13 *4838:92 *4838:101 35.8034 +14 *4838:101 *4838:113 27.0925 +15 *4838:113 *4838:114 57.9449 +16 *4838:114 *20650:B1 24.8401 +17 *4838:101 *20988:B1 10.5513 +18 *4838:92 *21021:B1 19.3675 +19 *4838:86 *20718:B1 9.24915 +20 *4838:52 *21154:B1 19.4881 +21 *4838:47 *20756:B1 9.24915 +22 *4838:40 *25372:A 16.691 +23 *4838:30 *20482:B1 13.7491 +24 *4838:24 *20148:B1 13.7491 +25 *4838:22 *4838:186 46.3561 +26 *4838:186 *4838:190 16.298 +27 *4838:190 *20405:B1 14.9881 +28 *4838:190 *4838:205 27.2531 +29 *4838:205 *4838:210 26.6116 +30 *4838:210 *20433:B1 11.6605 +31 *4838:210 *4838:225 48.69 +32 *4838:225 *20884:B1 21.3269 +33 *4838:225 *20634:B1 30.3723 +34 *4838:205 *4838:243 5.71483 +35 *4838:243 *20509:B1 29.6034 +36 *4838:243 *4838:259 10.7063 +37 *4838:259 *20748:B1 29.2517 +38 *4838:259 *4838:271 4.05102 +39 *4838:271 *4838:273 4.5 +40 *4838:273 *4838:274 49.586 +41 *4838:274 *20596:B1 14.4819 +42 *4838:271 *4838:283 51.7469 +43 *4838:283 *4838:286 10.0693 +44 *4838:286 *4838:289 15.2063 +45 *4838:289 *20806:B1 21.2198 +46 *4838:289 *4838:303 21.8615 +47 *4838:303 *4838:306 29.5562 +48 *4838:306 *4838:308 4.53113 +49 *4838:308 *4838:310 13.6667 +50 *4838:310 *4838:321 47.764 +51 *4838:321 *4838:331 46.6242 +52 *4838:331 *21135:A0 9.24915 +53 *4838:310 *20737:B1 13.7491 +54 *4838:308 *4838:348 15.873 +55 *4838:348 *24050:A1 18.8703 +56 *4838:348 *20283:B1 9.24915 +57 *4838:306 *20303:B1 17.2456 +58 *4838:286 *20294:B1 39.6319 +59 *4838:186 *20471:B1 17.8002 +60 *4838:13 *20558:B1 39.409 +*END + +*D_NET *4839 0.127055 +*CONN +*I *20557:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20508:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20302:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24049:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20282:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20736:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20805:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20293:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20595:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20747:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20470:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20404:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25370:A I *D sky130_fd_sc_hd__buf_12 +*I *20481:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20786:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20755:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25369:A I *D sky130_fd_sc_hd__buf_12 +*I *20717:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20393:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24020:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20271:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19602:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20923:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20497:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21153:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20147:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23957:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *20557:B1 5.80427e-05 +2 *20508:B1 0 +3 *20302:B1 0 +4 *24049:A1 0.000526479 +5 *20282:B1 0 +6 *20736:B1 0.000671701 +7 *20805:B1 0.000296116 +8 *20293:B1 9.51961e-05 +9 *20595:B1 0 +10 *20747:B1 0.000470912 +11 *20470:B1 0.000125652 +12 *20404:B1 2.06324e-05 +13 *25370:A 9.34923e-06 +14 *20481:B1 0 +15 *20786:B1 0.000217363 +16 *20755:B1 1.06924e-05 +17 *25369:A 0 +18 *20717:B1 0.0010823 +19 *20393:B1 6.64262e-05 +20 *24020:A1 0.000245593 +21 *20271:B1 3.95444e-05 +22 *19602:A0 0 +23 *20923:B1 2.06324e-05 +24 *20497:B1 0.000555182 +25 *21153:B1 0.000888615 +26 *20147:B1 0 +27 *23957:X 0.000141584 +28 *4839:382 0.000594079 +29 *4839:377 0.00111748 +30 *4839:367 0.0014815 +31 *4839:357 0.00170032 +32 *4839:343 0.00153056 +33 *4839:339 0.00154756 +34 *4839:320 0.00282523 +35 *4839:295 0.000481889 +36 *4839:293 0.00241433 +37 *4839:282 0.00225553 +38 *4839:267 0.00197948 +39 *4839:257 0.0011916 +40 *4839:248 0.00134512 +41 *4839:199 0.00148086 +42 *4839:194 0.00150771 +43 *4839:161 0.00106337 +44 *4839:153 0.00075638 +45 *4839:148 0.00110402 +46 *4839:139 0.00196464 +47 *4839:123 0.00109827 +48 *4839:119 0.00346318 +49 *4839:105 0.00570359 +50 *4839:93 0.00319471 +51 *4839:78 0.00162036 +52 *4839:74 0.00192904 +53 *4839:69 0.00084996 +54 *4839:64 0.00130352 +55 *4839:36 0.00232468 +56 *4839:32 0.00046838 +57 *4839:30 0.00101727 +58 *4839:21 0.00144637 +59 *4839:15 0.0013756 +60 *4839:9 0.00424785 +61 *4839:7 0.00327211 +62 *20293:B1 *4896:62 5.33266e-05 +63 *20293:B1 *5891:14 5.33266e-05 +64 *20393:B1 *5861:66 5.99527e-05 +65 *20470:B1 *20470:A1 3.85049e-05 +66 *20497:B1 *20497:A1 5.04829e-06 +67 *20497:B1 *21700:A 0 +68 *20497:B1 *4889:20 0.00013168 +69 *20557:B1 *20145:A1 0 +70 *20557:B1 *24534:SET_B 2.16355e-05 +71 *20717:B1 *21557:A 1.67522e-05 +72 *20717:B1 *4935:90 0 +73 *20717:B1 *5458:88 0 +74 *20717:B1 *5918:20 0.000204425 +75 *20736:B1 *4845:183 3.60123e-05 +76 *20747:B1 *22031:A 0.000110567 +77 *20755:B1 *20755:A1 3.75603e-05 +78 *20805:B1 *5893:8 0 +79 *21153:B1 *19967:A 3.67708e-05 +80 *21153:B1 *21153:A1 0.000343373 +81 *21153:B1 *24592:CLK 9.0014e-05 +82 *21153:B1 *4841:40 0 +83 *21153:B1 *4844:41 0.000149273 +84 *21153:B1 *4884:144 0.00011818 +85 *24020:A1 *20271:A1 0.000251655 +86 *24020:A1 *5553:9 4.66492e-05 +87 *24049:A1 *20282:A1 6.22703e-05 +88 *24049:A1 *24049:A0 0.000301926 +89 *24049:A1 *5898:236 7.92757e-06 +90 *25370:A *4841:112 6.50727e-05 +91 *4839:7 *23957:A1 1.94997e-06 +92 *4839:9 *23957:A1 5.07314e-05 +93 *4839:9 *4842:9 0.00355811 +94 *4839:15 *4841:22 0.000523693 +95 *4839:15 *5898:273 0.000160617 +96 *4839:15 *6018:38 0.000466949 +97 *4839:15 *6018:49 4.52324e-05 +98 *4839:15 *6019:38 0.000355591 +99 *4839:15 *6020:70 5.05252e-05 +100 *4839:30 *20479:A1 0 +101 *4839:30 *24586:CLK 4.62703e-05 +102 *4839:30 *4841:112 6.99486e-05 +103 *4839:30 *4845:26 0.000192697 +104 *4839:30 *4845:55 0.000416047 +105 *4839:32 *4845:26 2.55661e-06 +106 *4839:36 *24592:CLK 0.000143032 +107 *4839:36 *5242:8 0 +108 *4839:64 *20399:B1 0.000119727 +109 *4839:64 *4842:28 0.000982212 +110 *4839:64 *5249:8 1.1246e-05 +111 *4839:64 *5458:107 0.000213263 +112 *4839:64 *5861:25 0.000273307 +113 *4839:69 *21821:A 0.000207266 +114 *4839:74 *24578:RESET_B 0.000188997 +115 *4839:74 *24590:CLK 0.000144546 +116 *4839:74 *4889:127 0.000232462 +117 *4839:74 *4889:136 0.000564898 +118 *4839:78 *24575:RESET_B 0.000281971 +119 *4839:78 *24578:RESET_B 0.00027586 +120 *4839:78 *4889:127 0.000335095 +121 *4839:93 *4889:20 1.88014e-05 +122 *4839:93 *5861:66 0.000239568 +123 *4839:105 *24617:CLK 6.23875e-05 +124 *4839:105 *4860:38 0.00148913 +125 *4839:119 *21178:A 1.96759e-05 +126 *4839:119 *24217:RESET_B 0.000215593 +127 *4839:119 *24217:CLK 0.000128736 +128 *4839:119 *5853:137 0 +129 *4839:123 *21996:A 4.0752e-05 +130 *4839:139 *21996:A 2.65667e-05 +131 *4839:139 *4960:11 0.00014651 +132 *4839:148 *5880:16 0.000143047 +133 *4839:148 *5903:6 0.000178211 +134 *4839:148 *5993:20 0.00046358 +135 *4839:153 *21924:A 2.65667e-05 +136 *4839:153 *4847:11 9.80747e-05 +137 *4839:153 *5903:6 0.00188421 +138 *4839:153 *5993:20 8.21849e-06 +139 *4839:194 *20755:A1 0.000168019 +140 *4839:194 *22051:A 0.000220183 +141 *4839:194 *24401:RESET_B 3.83429e-05 +142 *4839:194 *4841:50 0.000271044 +143 *4839:194 *5855:5 0.000513023 +144 *4839:194 *5855:530 0.000233246 +145 *4839:248 *20145:A1 0 +146 *4839:248 *21646:A 0 +147 *4839:248 *4840:40 0.000239074 +148 *4839:257 *20552:A1 0.000188911 +149 *4839:257 *21307:A 0 +150 *4839:257 *24594:SET_B 0 +151 *4839:257 *24594:CLK 0.000142393 +152 *4839:257 *4842:128 3.6455e-05 +153 *4839:257 *4886:8 2.77625e-06 +154 *4839:257 *4886:68 0 +155 *4839:257 *5887:13 0.000164829 +156 *4839:267 *20404:A1 0.000228812 +157 *4839:267 *4842:128 0.000112225 +158 *4839:267 *5887:13 0.00103542 +159 *4839:282 *20465:A1 4.36638e-05 +160 *4839:282 *21313:A 1.65351e-05 +161 *4839:293 *20508:A1 4.2372e-05 +162 *4839:293 *21313:A 0.00083573 +163 *4839:293 *21509:A 4.84374e-05 +164 *4839:293 *4842:176 2.15184e-05 +165 *4839:293 *4842:182 0.000118284 +166 *4839:320 *4843:131 0.000159169 +167 *4839:320 *4844:752 0.00183351 +168 *4839:320 *5285:7 0.000151854 +169 *4839:320 *5866:369 4.75721e-06 +170 *4839:339 *4844:752 0.000284385 +171 *4839:339 *4845:794 3.30707e-05 +172 *4839:339 *5896:9 2.65831e-05 +173 *4839:343 *20800:A1 0.000763348 +174 *4839:343 *5898:242 0.000363106 +175 *4839:357 *24380:RESET_B 2.01653e-05 +176 *4839:357 *24380:CLK 0.000177298 +177 *4839:357 *5867:577 1.21729e-05 +178 *4839:357 *5867:579 4.33948e-05 +179 *4839:357 *5867:581 0.000277398 +180 *4839:357 *5867:622 3.60268e-05 +181 *4839:367 *4845:171 0.000113968 +182 *4839:367 *4845:731 0.000882151 +183 *4839:367 *5867:622 0.00011818 +184 *4839:377 *6048:DIODE 9.19886e-06 +185 *4839:377 *20302:A1 2.71542e-05 +186 *4839:377 *4845:731 0.000169041 +187 *4839:377 *5594:16 0 +188 *4839:377 *5924:50 0 +189 *6085:DIODE *4839:139 5.20546e-06 +190 *19598:A *4839:139 0.00036013 +191 *19598:B *4839:139 3.75603e-05 +192 *19602:S *4839:153 7.70447e-05 +193 *20147:A2 *4839:21 9.06436e-05 +194 *20147:B2 *4839:15 6.50727e-05 +195 *20282:A2 *24049:A1 6.08467e-05 +196 *20284:A *20736:B1 0.000156578 +197 *20302:A2 *4839:367 0.000145669 +198 *20302:A2 *4839:377 9.31721e-05 +199 *20302:B2 *4839:367 0.000461987 +200 *20336:A2 *4839:377 0.000364356 +201 *20336:B1 *4839:377 6.56499e-05 +202 *20336:B2 *4839:377 1.57489e-05 +203 *20393:A2 *20393:B1 2.28186e-05 +204 *20393:B2 *20393:B1 6.50727e-05 +205 *20393:B2 *4839:93 0.000234742 +206 *20473:A *4839:69 1.07248e-05 +207 *20497:A2 *20497:B1 5.14966e-06 +208 *20508:B2 *4839:282 6.53312e-05 +209 *20557:A2 *4839:257 0.000172515 +210 *20557:B2 *20557:B1 6.08467e-05 +211 *20557:B2 *4839:257 0.000519467 +212 *20592:A2 *4839:293 0.000221698 +213 *20592:B2 *4839:293 6.08467e-05 +214 *20595:A2 *20747:B1 3.5534e-06 +215 *20595:A2 *4839:293 7.73098e-05 +216 *20595:A2 *4839:295 7.12632e-06 +217 *20714:B2 *20717:B1 7.58067e-06 +218 *20717:A2 *20717:B1 7.71503e-05 +219 *20717:B2 *20717:B1 6.75063e-06 +220 *20718:A2 *20717:B1 8.04172e-05 +221 *20747:A2 *20747:B1 0.000401917 +222 *20747:B2 *20747:B1 0.000111722 +223 *20755:B2 *20755:B1 4.80635e-06 +224 *20755:B2 *4839:194 9.80242e-07 +225 *20755:B2 *4839:199 1.82679e-05 +226 *20778:A *4839:105 2.01503e-05 +227 *20786:B2 *20786:B1 0.00011818 +228 *20800:A2 *4839:343 0.000366136 +229 *20923:A2 *4839:123 6.50727e-05 +230 *20923:A2 *4839:139 9.55447e-05 +231 *20923:B2 *20923:B1 0 +232 *20923:B2 *4839:123 6.50727e-05 +233 *21153:A2 *21153:B1 0.000160617 +234 *21153:B2 *21153:B1 1.49045e-05 +235 *21563:A2 *4839:339 0.000169093 +236 *21563:B2 *4839:339 5.17988e-05 +237 *24049:S *24049:A1 6.69545e-05 +238 *24093:A0 *4839:139 0.000500092 +239 *24093:A0 *4839:148 0.000149628 +240 *24093:S *4839:148 2.97007e-05 +241 *24188:D *21153:B1 2.16355e-05 +242 *24378:D *4839:357 5.04734e-05 +243 *24412:D *20736:B1 0.000130777 +244 *24425:D *20717:B1 5.29543e-05 +245 *24576:D *20497:B1 7.92757e-06 +246 *24585:D *4839:36 3.1218e-05 +247 *24586:D *4839:30 0.000123582 +248 *24590:D *4839:64 7.50872e-05 +249 *24594:D *20470:B1 9.05286e-05 +250 *24599:D *4839:282 6.08467e-05 +251 *24685:D *4839:377 0.000286109 +252 *24692:D *4839:148 0.000101133 +253 *24801:D *4839:15 7.34935e-05 +254 *24801:D *4839:21 7.8082e-07 +255 *144:8 *4839:382 0.000221185 +256 *477:15 *4839:139 0.000140275 +257 *477:73 *24049:A1 0.000197436 +258 *480:183 *4839:123 8.20285e-05 +259 *480:183 *4839:139 0.000285594 +260 *480:207 *4839:119 0.000150556 +261 *485:12 *4839:15 7.02999e-05 +262 *489:29 *4839:123 0.00045571 +263 *598:8 *24020:A1 6.11359e-06 +264 *657:212 *4839:194 9.75356e-05 +265 *1443:88 *4839:139 4.22136e-05 +266 *1595:8 *20805:B1 0.000164912 +267 *1601:8 *4839:105 5.38612e-06 +268 *1619:44 *20717:B1 0.000115722 +269 *1629:55 *4839:105 0.000653478 +270 *1658:168 *20736:B1 0.000402262 +271 *1688:11 *20717:B1 9.10636e-05 +272 *1688:11 *4839:199 0.000966 +273 *1724:7 *21153:B1 1.31764e-05 +274 *1784:124 *4839:119 1.48603e-05 +275 *1800:183 *4839:105 0.000195919 +276 *1856:8 *4839:30 0 +277 *1857:6 *4839:30 0 +278 *1857:13 *4839:30 0 +279 *1857:17 *4839:30 0 +280 *1907:47 *24049:A1 0.000134637 +281 *1909:12 *20736:B1 4.3116e-06 +282 *1909:12 *4839:357 4.3116e-06 +283 *1913:11 *4839:367 0.000560262 +284 *1943:12 *4839:93 0.000163414 +285 *1946:8 *4839:257 2.24484e-05 +286 *1946:10 *4839:257 0.000216103 +287 *1967:42 *20470:B1 3.64684e-05 +288 *1967:42 *4839:282 7.2603e-05 +289 *1968:32 *20470:B1 7.38225e-05 +290 *1968:32 *4839:282 0.000110752 +291 *1968:34 *20470:B1 7.12632e-06 +292 *1968:38 *20470:B1 3.5534e-06 +293 *1970:89 *25370:A 6.50727e-05 +294 *1970:89 *4839:32 5.93547e-06 +295 *1971:16 *4839:32 0.000127164 +296 *1971:16 *4839:36 2.4562e-05 +297 *1971:18 *4839:36 0.000356558 +298 *1971:20 *4839:36 0.000269747 +299 *1971:22 *4839:36 9.24241e-05 +300 *1979:35 *20747:B1 0.000215415 +301 *1979:38 *4839:320 5.39635e-06 +302 *2045:17 *20717:B1 0.000674388 +303 *2045:41 *20717:B1 1.02986e-05 +304 *2046:26 *20717:B1 2.33103e-06 +305 *2082:6 *20736:B1 0 +306 *2082:6 *4839:357 0 +307 *2082:87 *4839:343 0.000108714 +308 *2083:8 *4839:343 0.000234637 +309 *2083:51 *4839:343 0.000106293 +310 *2083:62 *4839:343 0.000315532 +311 *2148:38 *4839:123 2.33193e-05 +312 *2297:17 *20805:B1 0.000317693 +313 *2297:17 *4839:357 0.000612779 +314 *2297:25 *24049:A1 0.000258128 +315 *2297:26 *24049:A1 2.95757e-05 +316 *2306:21 *4839:119 0.000256037 +317 *2320:16 *4839:153 0.00020294 +318 *2320:20 *4839:153 0.00137978 +319 *2374:18 *4839:119 3.55968e-05 +320 *2384:16 *20271:B1 0.000127194 +321 *2417:25 *4839:377 0.00186732 +322 *2468:8 *20717:B1 9.10636e-05 +323 *2468:8 *4839:199 0.000958873 +324 *2486:39 *4839:123 0.000526214 +325 *2498:12 *4839:119 6.39035e-05 +326 *2499:8 *4839:339 0 +327 *2499:8 *4839:343 0 +328 *2499:10 *20736:B1 0 +329 *2545:17 *4839:377 7.75722e-05 +330 *2564:8 *4839:74 0.000314524 +331 *2564:8 *4839:78 0.000251375 +332 *2570:40 *20271:B1 0.000130777 +333 *2589:10 *20747:B1 0.000174175 +334 *2589:20 *4839:105 0.000109069 +335 *2592:6 *4839:194 0.000101133 +336 *2620:10 *4839:339 0.000113968 +337 *2620:16 *20805:B1 0.000271044 +338 *2620:16 *4839:357 0.000600828 +339 *2620:16 *4839:377 3.77804e-05 +340 *2620:37 *4839:105 0.000628661 +341 *2620:37 *4839:119 0.000980723 +342 *2620:40 *20497:B1 0.00021415 +343 *2685:8 *4839:64 2.65549e-05 +344 *2685:8 *4839:69 6.46075e-05 +345 *2687:20 *4839:382 0.000221185 +346 *2764:11 *4839:119 0.000123474 +347 *2796:8 *20497:B1 0.000356404 +348 *2797:30 *4839:119 0 +349 *2837:7 *20786:B1 9.55447e-05 +350 *2837:7 *4839:69 6.50727e-05 +351 *2853:22 *20786:B1 3.99086e-06 +352 *2869:11 *4839:293 6.404e-05 +353 *2918:6 *4839:78 0.00035434 +354 *2986:17 *4839:105 1.43499e-05 +355 *3034:25 *4839:119 0 +356 *3047:14 *4839:105 4.75721e-06 +357 *4106:62 *20497:B1 6.77593e-05 +358 *4739:5 *21153:B1 0.000160617 +359 *4739:5 *4839:64 0.000513008 +360 *4739:18 *4839:64 0.00100383 +361 *4739:18 *4839:69 5.86258e-05 +362 *4816:49 *24020:A1 8.76478e-05 +363 *4816:49 *4839:161 1.61631e-05 +364 *4822:14 *20747:B1 3.31736e-05 +365 *4822:22 *20747:B1 0 +366 *4822:27 *20747:B1 9.11845e-05 +367 *4825:39 *20805:B1 8.89405e-05 +368 *4828:13 *20557:B1 5.05252e-05 +369 *4828:13 *4839:248 0.000306512 +370 *4830:32 *4839:339 6.58467e-05 +371 *4830:32 *4839:343 0 +372 *4832:10 *4839:30 0.00138653 +373 *4838:22 *4839:15 1.03403e-05 +374 *4838:22 *4839:21 1.58551e-05 +375 *4838:30 *4839:32 0 +376 *4838:30 *4839:36 0 +377 *4838:274 *20747:B1 0.000261241 +378 *4838:274 *4839:293 0.000298272 +379 *4838:274 *4839:295 3.88655e-06 +*RES +1 *23957:X *4839:7 12.9023 +2 *4839:7 *4839:9 52.5788 +3 *4839:9 *4839:15 40.796 +4 *4839:15 *20147:B1 9.24915 +5 *4839:15 *4839:21 5.71483 +6 *4839:21 *4839:30 46.4032 +7 *4839:30 *4839:32 3.07775 +8 *4839:32 *4839:36 17.5438 +9 *4839:36 *21153:B1 44.4809 +10 *4839:36 *4839:64 48.2204 +11 *4839:64 *4839:69 10.8665 +12 *4839:69 *4839:74 22.0139 +13 *4839:74 *4839:78 24.1878 +14 *4839:78 *20497:B1 33.7449 +15 *4839:78 *4839:93 15.6977 +16 *4839:93 *4839:105 48.4785 +17 *4839:105 *4839:119 47.4322 +18 *4839:119 *4839:123 16.0544 +19 *4839:123 *20923:B1 9.82786 +20 *4839:123 *4839:139 25.2224 +21 *4839:139 *4839:148 19.5956 +22 *4839:148 *4839:153 41.8028 +23 *4839:153 *19602:A0 9.24915 +24 *4839:139 *4839:161 15.5771 +25 *4839:161 *20271:B1 20.4964 +26 *4839:161 *24020:A1 15.5186 +27 *4839:93 *20393:B1 11.6605 +28 *4839:74 *4839:194 39.397 +29 *4839:194 *4839:199 24.2229 +30 *4839:199 *20717:B1 28.2116 +31 *4839:199 *25369:A 13.7491 +32 *4839:194 *20755:B1 9.82786 +33 *4839:69 *20786:B1 14.4094 +34 *4839:32 *20481:B1 13.7491 +35 *4839:30 *25370:A 14.4725 +36 *4839:21 *4839:248 13.5657 +37 *4839:248 *4839:257 40.3452 +38 *4839:257 *20404:B1 9.82786 +39 *4839:257 *4839:267 15.7609 +40 *4839:267 *20470:B1 17.6882 +41 *4839:267 *4839:282 31.6105 +42 *4839:282 *4839:293 27.8442 +43 *4839:293 *4839:295 0.378612 +44 *4839:295 *20747:B1 32.8078 +45 *4839:295 *20595:B1 13.7491 +46 *4839:293 *4839:320 47.119 +47 *4839:320 *20293:B1 20.9116 +48 *4839:320 *4839:339 27.8676 +49 *4839:339 *4839:343 29.7937 +50 *4839:343 *20805:B1 28.7055 +51 *4839:343 *4839:357 27.6899 +52 *4839:357 *20736:B1 33.8522 +53 *4839:357 *4839:367 21.8615 +54 *4839:367 *4839:377 45.5542 +55 *4839:377 *4839:382 12.9083 +56 *4839:382 *20282:B1 9.24915 +57 *4839:382 *24049:A1 35.1328 +58 *4839:367 *20302:B1 9.24915 +59 *4839:282 *20508:B1 9.24915 +60 *4839:248 *20557:B1 15.474 +*END + +*D_NET *4840 0.122739 +*CONN +*I *20507:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20594:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20292:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20301:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24045:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20281:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20469:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25368:A I *D sky130_fd_sc_hd__buf_12 +*I *20403:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25367:A I *D sky130_fd_sc_hd__buf_12 +*I *20785:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20545:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20882:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20632:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21093:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20392:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20496:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20648:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20678:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20442:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20708:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20670:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20754:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20556:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20146:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23958:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *20507:B1 3.88684e-05 +2 *20594:B1 0 +3 *20292:B1 0 +4 *20301:B1 2.5125e-05 +5 *24045:A1 0.000272673 +6 *20281:B1 0.000267959 +7 *20469:B1 0.00035092 +8 *25368:A 0.000106749 +9 *20403:B1 0.000242777 +10 *25367:A 0 +11 *20785:B1 0 +12 *20545:B1 0 +13 *20882:B1 0.000172988 +14 *20632:B1 0.00028573 +15 *21093:B1 0.00019319 +16 *20392:B1 0 +17 *20496:B1 0 +18 *20648:B1 0.000146505 +19 *20678:B1 9.21062e-05 +20 *20442:B1 0 +21 *20708:B1 0.000154808 +22 *20670:B1 0.00101926 +23 *20754:B1 0.00166595 +24 *20556:B1 0.000401163 +25 *20146:B1 0.000198611 +26 *23958:X 0.000236374 +27 *4840:373 0.00173102 +28 *4840:370 0.00294182 +29 *4840:362 0.00294702 +30 *4840:359 0.00273006 +31 *4840:344 0.00170609 +32 *4840:341 0.00211033 +33 *4840:325 0.00325933 +34 *4840:293 0.0025414 +35 *4840:284 0.000986825 +36 *4840:283 0.000875331 +37 *4840:198 0.00148546 +38 *4840:190 0.00191379 +39 *4840:186 0.00189257 +40 *4840:173 0.00230384 +41 *4840:155 0.0020949 +42 *4840:139 0.00167877 +43 *4840:134 0.00160499 +44 *4840:127 0.00242406 +45 *4840:101 0.0023242 +46 *4840:100 0.00164659 +47 *4840:90 0.00174236 +48 *4840:76 0.000661164 +49 *4840:63 0.00203259 +50 *4840:55 0.00097694 +51 *4840:51 0.00100072 +52 *4840:49 0.000966999 +53 *4840:40 0.00268976 +54 *4840:12 0.00122802 +55 *4840:9 0.00601661 +56 *4840:7 0.00449821 +57 *20146:B1 *20146:A1 0.000213739 +58 *20146:B1 *4841:175 2.85531e-06 +59 *20281:B1 *20281:A1 0.000108071 +60 *20281:B1 *4900:12 0.000175689 +61 *20403:B1 *4841:112 4.31703e-05 +62 *20403:B1 *5859:9 6.85573e-05 +63 *20469:B1 *20469:A1 6.08467e-05 +64 *20469:B1 *5594:8 9.24241e-05 +65 *20507:B1 *20507:A1 5.0187e-05 +66 *20556:B1 *20556:A1 3.75603e-05 +67 *20556:B1 *22867:B 0.000432613 +68 *20556:B1 *24535:RESET_B 0 +69 *20556:B1 *24535:CLK 8.36615e-05 +70 *20556:B1 *4885:111 2.82537e-05 +71 *20556:B1 *4885:120 7.52398e-05 +72 *20556:B1 *5934:25 0.000583244 +73 *20648:B1 *20648:A1 0.000109503 +74 *20670:B1 *20543:B1 2.77564e-05 +75 *20670:B1 *20670:A1 9.29945e-06 +76 *20670:B1 *5312:13 0 +77 *20670:B1 *5921:72 4.50672e-05 +78 *20678:B1 *21625:A 2.53427e-05 +79 *20708:B1 *5861:273 0.000124338 +80 *20754:B1 *20478:A1 0.00057454 +81 *20754:B1 *20754:A1 0.000756967 +82 *20754:B1 *21507:A 8.27401e-05 +83 *20754:B1 *4842:29 9.82896e-06 +84 *20754:B1 *4842:36 8.19715e-05 +85 *20754:B1 *5868:369 1.41291e-05 +86 *24045:A1 *20281:A1 8.01987e-05 +87 *24045:A1 *24045:A0 7.86847e-05 +88 *24045:A1 *24721:RESET_B 8.02147e-05 +89 *24045:A1 *5714:38 4.01708e-05 +90 *25368:A *20553:A1 0.000261135 +91 *25368:A *4841:115 0.000142393 +92 *4840:7 *23958:A0 5.27412e-05 +93 *4840:7 *5466:7 7.48633e-05 +94 *4840:9 *23957:S 0.00011818 +95 *4840:9 *23958:A0 2.86013e-06 +96 *4840:9 *23962:A0 0.000357898 +97 *4840:9 *24823:CLK 3.01592e-06 +98 *4840:9 *4844:13 0.000440686 +99 *4840:9 *4844:19 0.000967662 +100 *4840:9 *4844:67 0.000301469 +101 *4840:9 *5471:10 0.000366369 +102 *4840:9 *5906:45 0.000975869 +103 *4840:9 *6001:253 0.00109496 +104 *4840:12 *4885:91 5.47736e-05 +105 *4840:12 *4885:100 9.57348e-05 +106 *4840:12 *4885:111 0.000190307 +107 *4840:12 *5868:494 0.000304983 +108 *4840:40 *21646:A 1.47102e-05 +109 *4840:40 *4843:112 0.000167076 +110 *4840:40 *4844:73 0.000223626 +111 *4840:40 *5906:45 0.000460852 +112 *4840:49 *20400:A1 0.00018643 +113 *4840:49 *21388:A 0.000113074 +114 *4840:49 *24637:CLK 8.36326e-05 +115 *4840:49 *4843:22 6.08697e-06 +116 *4840:49 *4843:24 3.48089e-05 +117 *4840:49 *4886:68 0.000519197 +118 *4840:49 *5853:8 0.000359637 +119 *4840:51 *5853:8 8.68301e-05 +120 *4840:55 *5853:8 0.000343271 +121 *4840:55 *5853:15 0.000262352 +122 *4840:63 *5856:9 0.000116781 +123 *4840:90 *20785:A1 0.000160617 +124 *4840:90 *4843:86 1.55462e-05 +125 *4840:90 *4869:113 0.0010225 +126 *4840:90 *5868:593 7.14746e-05 +127 *4840:100 *20544:A1 7.92757e-06 +128 *4840:100 *20545:A1 0.00021569 +129 *4840:100 *4841:131 4.15512e-05 +130 *4840:100 *5105:9 0.000162959 +131 *4840:134 *20707:A1 9.85369e-05 +132 *4840:134 *21602:A 5.92192e-05 +133 *4840:134 *24431:RESET_B 8.62625e-06 +134 *4840:134 *4860:18 0.000171219 +135 *4840:134 *4860:38 7.14746e-05 +136 *4840:134 *5853:107 0.000122083 +137 *4840:134 *5859:84 0.000848866 +138 *4840:139 *24431:RESET_B 1.91114e-05 +139 *4840:139 *5861:273 2.16355e-05 +140 *4840:155 *20442:A1 3.12828e-05 +141 *4840:173 *20392:A1 6.08467e-05 +142 *4840:173 *4942:16 3.65454e-05 +143 *4840:186 *21973:A 2.16355e-05 +144 *4840:198 *20677:A1 4.27003e-05 +145 *4840:198 *21625:A 5.22654e-06 +146 *4840:198 *24277:RESET_B 0.000130224 +147 *4840:198 *24452:SET_B 0.000219215 +148 *4840:198 *24452:CLK 5.0715e-05 +149 *4840:283 *24568:CLK 7.84205e-05 +150 *4840:283 *24597:RESET_B 1.2693e-05 +151 *4840:283 *4843:112 0.00127609 +152 *4840:283 *4843:126 0.0019125 +153 *4840:283 *4844:73 0.000607676 +154 *4840:283 *5117:11 0.000169063 +155 *4840:284 *5117:11 0.000110306 +156 *4840:293 *24568:CLK 9.634e-05 +157 *4840:293 *24595:RESET_B 0 +158 *4840:293 *5866:452 0 +159 *4840:293 *5866:482 3.64684e-05 +160 *4840:325 *19898:A 6.32915e-05 +161 *4840:325 *21853:A 3.49902e-05 +162 *4840:325 *24507:CLK 1.29433e-05 +163 *4840:325 *4895:109 4.31703e-05 +164 *4840:325 *4895:117 1.00846e-05 +165 *4840:325 *5286:10 0 +166 *4840:341 *24510:RESET_B 3.55968e-05 +167 *4840:341 *24713:CLK 5.30211e-05 +168 *4840:341 *4895:109 6.4674e-06 +169 *4840:341 *5286:10 0 +170 *4840:344 *24713:CLK 4.58003e-05 +171 *4840:344 *5287:10 6.50727e-05 +172 *4840:359 *24120:A 6.79599e-05 +173 *4840:359 *24121:A 0.000118166 +174 *4840:359 *24716:RESET_B 1.59204e-05 +175 *4840:359 *24716:CLK 7.89747e-05 +176 *4840:359 *25230:A 0.000275256 +177 *4840:359 *4899:59 0.00011971 +178 *4840:359 *5510:8 8.62625e-06 +179 *4840:359 *5891:14 8.01837e-05 +180 *4840:362 *4843:916 0.000227159 +181 *4840:370 *20301:A1 0.000118822 +182 *4840:370 *23947:A0 1.87469e-05 +183 *4840:370 *24681:CLK 6.99486e-05 +184 *4840:370 *5522:9 0.000366589 +185 *4840:373 *24681:CLK 6.30724e-05 +186 *4840:373 *4900:12 9.72539e-05 +187 *4840:373 *4900:29 7.20896e-05 +188 *4840:373 *4900:38 5.93657e-05 +189 *4840:373 *4900:59 0.000174217 +190 *4840:373 *4900:70 0.000107425 +191 mgmt_gpio_out[20] *4840:325 7.97098e-06 +192 mgmt_gpio_out[31] *4840:370 7.14746e-05 +193 *20141:B *4840:190 0.000360159 +194 *20179:A *4840:9 6.50727e-05 +195 *20289:A2 *4840:362 5.04829e-06 +196 *20291:A2 *4840:359 1.00763e-05 +197 *20292:A2 *4840:359 6.41884e-05 +198 *20301:A2 *20301:B1 6.08467e-05 +199 *20301:A2 *4840:362 1.24783e-05 +200 *20301:B2 *4840:362 0.000534601 +201 *20340:B1 *4840:373 0 +202 *20341:B1 *4840:373 0 +203 *20392:A2 *4840:173 5.51483e-06 +204 *20392:A2 *4840:186 3.31745e-05 +205 *20392:B2 *4840:186 0.000400321 +206 *20442:B2 *4840:155 1.62525e-05 +207 *20443:A2 *20708:B1 1.55025e-05 +208 *20469:A2 *20469:B1 5.90787e-05 +209 *20478:B2 *20754:B1 2.16355e-05 +210 *20507:B2 *20507:B1 6.3657e-05 +211 *20507:B2 *4840:284 1.41976e-05 +212 *20508:A2 *4840:293 0 +213 *20508:B2 *4840:293 0.000217497 +214 *20544:A2 *4840:100 0.000546197 +215 *20544:B2 *4840:100 2.15348e-05 +216 *20547:B2 *4840:90 6.50727e-05 +217 *20556:B2 *20556:B1 6.08467e-05 +218 *20596:B2 *4840:325 3.29979e-05 +219 *20596:B2 *4840:341 1.44611e-05 +220 *20634:B1 *20882:B1 1.58551e-05 +221 *20708:B2 *20708:B1 6.08467e-05 +222 *20785:B2 *20754:B1 1.03403e-05 +223 *20785:B2 *4840:76 1.03403e-05 +224 *20882:B2 *20882:B1 0.000367174 +225 *20884:A2 *20882:B1 4.17467e-05 +226 *20884:B1 *20882:B1 4.87343e-05 +227 *20884:B1 *4840:101 2.22198e-05 +228 *20884:B2 *4840:100 0.000211478 +229 *21093:B2 *4840:139 0 +230 *23896:A *4840:341 0.0011386 +231 *23952:A0 *4840:341 4.94056e-05 +232 *24121:TE_B *4840:359 3.99086e-06 +233 *24212:D *21093:B1 0.000163418 +234 *24348:D *4840:100 4.91225e-06 +235 *24388:D *20754:B1 3.98028e-05 +236 *24452:D *20678:B1 1.61631e-05 +237 *24457:D *20670:B1 0.00019778 +238 *24473:D *20648:B1 1.41291e-05 +239 *24509:D *4840:325 2.55314e-05 +240 *24544:D *4840:100 0.000111722 +241 *24569:D *4840:284 8.77154e-06 +242 *24680:D *4840:373 0 +243 *24681:D *4840:373 6.63293e-05 +244 *24713:D *4840:344 0.000158371 +245 *24721:D *20281:B1 8.39059e-05 +246 *24803:D *4840:12 2.21765e-05 +247 *24823:D *4840:9 3.99086e-06 +248 *135:11 *4840:341 3.99086e-06 +249 *477:90 *20281:B1 6.73747e-05 +250 *490:46 *4840:325 9.98029e-06 +251 *657:209 *4840:190 0.000242164 +252 *657:209 *4840:198 0.00125672 +253 *1439:438 *4840:173 0.000750315 +254 *1439:438 *4840:186 9.09811e-05 +255 *1439:438 *4840:190 2.61012e-05 +256 *1593:18 *4840:190 0.00100322 +257 *1707:16 *4840:173 4.10997e-05 +258 *1708:111 *4840:155 0.000389948 +259 *1708:116 *4840:155 0.000212074 +260 *1708:124 *20670:B1 5.481e-05 +261 *1725:220 *20670:B1 2.16355e-05 +262 *1741:21 *4840:173 0.000413833 +263 *1783:27 *4840:173 1.65885e-05 +264 *1787:10 *20670:B1 1.00981e-05 +265 *1789:47 *4840:134 0.000316635 +266 *1799:21 *20670:B1 3.37866e-05 +267 *1800:184 *20882:B1 2.54335e-05 +268 *1800:184 *4840:101 0.000211198 +269 *1829:95 *20648:B1 0.000211464 +270 *1856:7 *4840:190 9.2932e-05 +271 *1857:17 *4840:12 0 +272 *1911:51 *4840:344 0.000107496 +273 *1911:51 *4840:359 0.000383176 +274 *1911:62 *4840:341 1.46079e-05 +275 *1911:62 *4840:344 5.73392e-05 +276 *1913:57 *4840:370 7.56859e-06 +277 *1914:49 *4840:362 4.97617e-05 +278 *1924:72 *4840:373 0 +279 *1924:79 *4840:373 0 +280 *1924:96 *4840:373 0 +281 *1943:20 *4840:186 0.000391697 +282 *1946:12 *4840:49 0 +283 *1946:14 *4840:49 0 +284 *1946:14 *4840:51 0 +285 *1958:92 *4840:155 9.8393e-05 +286 *1959:22 *4840:155 0.00042511 +287 *1959:40 *4840:155 0.000358843 +288 *1980:16 *20507:B1 3.0676e-05 +289 *1980:16 *4840:293 0.000137137 +290 *1980:33 *20507:B1 4.81452e-05 +291 *1992:19 *20670:B1 0.000140564 +292 *1992:70 *4840:90 4.81718e-05 +293 *1992:70 *4840:100 8.40979e-05 +294 *2007:5 *4840:341 0.0014072 +295 *2007:104 *4840:341 0.000106215 +296 *2076:36 *20632:B1 5.61932e-05 +297 *2077:9 *20882:B1 0.000559555 +298 *2077:67 *4840:90 2.77625e-06 +299 *2077:78 *4840:63 8.16827e-05 +300 *2209:17 *21093:B1 4.58003e-05 +301 *2209:28 *21093:B1 2.16355e-05 +302 *2287:8 *4840:49 0.000182869 +303 *2288:14 *4840:198 0.000192345 +304 *2347:29 *4840:127 0.00129802 +305 *2448:20 *4840:63 0.000221185 +306 *2448:20 *4840:90 8.62625e-06 +307 *2520:21 *4840:173 0 +308 *2523:14 *4840:90 0.000763411 +309 *2531:14 *4840:155 6.03122e-05 +310 *2542:8 *20708:B1 1.45398e-05 +311 *2564:7 *20754:B1 0.000107496 +312 *2564:8 *4840:186 9.75356e-05 +313 *2589:12 *20632:B1 0.000126298 +314 *2589:12 *4840:134 0.000361412 +315 *2592:6 *4840:190 5.89338e-05 +316 *2614:8 *4840:190 0.000431728 +317 *2614:8 *4840:198 0.00126032 +318 *2620:40 *4840:198 1.64144e-05 +319 *2685:25 *4840:186 0.000111722 +320 *2728:8 *4840:186 9.24241e-05 +321 *2737:29 *4840:155 0.000200532 +322 *2737:29 *4840:173 0 +323 *2796:18 *4840:198 0.000187483 +324 *2797:36 *21093:B1 5.97264e-05 +325 *2797:36 *4840:139 0.000209326 +326 *2797:47 *4840:155 0.000140865 +327 *2809:6 *20469:B1 9.60216e-05 +328 *2819:8 *4840:134 0 +329 *2827:13 *4840:173 0 +330 *2843:12 *20670:B1 0.000138836 +331 *2853:8 *4840:63 5.64929e-05 +332 *2853:8 *4840:90 5.55261e-05 +333 *2868:12 *4840:134 0.000773828 +334 *2869:11 *4840:325 3.77804e-05 +335 *2918:6 *4840:186 2.1558e-06 +336 *2918:16 *4840:186 9.06434e-05 +337 *2950:13 *25368:A 2.16355e-05 +338 *2950:20 *4840:51 0.000184993 +339 *2950:20 *4840:55 0.00166216 +340 *2984:7 *20507:B1 0.000101873 +341 *2984:7 *4840:284 0.000207892 +342 *2984:8 *20670:B1 9.63895e-05 +343 *2984:8 *20882:B1 0.000196653 +344 *2984:8 *4840:101 0.000844486 +345 *2984:8 *4840:283 0.000332528 +346 *2986:17 *20670:B1 0.000123263 +347 *2986:17 *4840:101 9.22013e-06 +348 *2996:26 *20632:B1 0.000290629 +349 *2996:26 *4840:134 8.62625e-06 +350 *3033:22 *4840:49 0.000256584 +351 *3033:24 *4840:90 0.000332543 +352 *3045:12 *20708:B1 6.71868e-05 +353 *4106:69 *4840:186 9.60366e-05 +354 *4126:68 *4840:186 2.87801e-05 +355 *4822:27 *4840:325 0.000466387 +356 *4824:55 *4840:341 0 +357 *4828:13 *4840:40 2.04806e-05 +358 *4830:11 *20469:B1 0.000785018 +359 *4830:11 *4840:325 0.000315549 +360 *4832:10 *4840:12 0 +361 *4832:10 *4840:40 0 +362 *4835:7 *4840:341 0.000315461 +363 *4835:17 *4840:359 4.55235e-05 +364 *4839:248 *4840:40 0.000239074 +*RES +1 *23958:X *4840:7 15.9526 +2 *4840:7 *4840:9 72.8219 +3 *4840:9 *4840:12 22.5268 +4 *4840:12 *20146:B1 17.2697 +5 *4840:12 *20556:B1 28.6581 +6 *4840:9 *4840:40 36.2605 +7 *4840:40 *4840:49 32.4685 +8 *4840:49 *4840:51 4.32351 +9 *4840:51 *4840:55 33.7386 +10 *4840:55 *4840:63 19.2018 +11 *4840:63 *20754:B1 39.0289 +12 *4840:63 *4840:76 0.723396 +13 *4840:76 *4840:90 32.7318 +14 *4840:90 *4840:100 29.4089 +15 *4840:100 *4840:101 15.1201 +16 *4840:101 *20670:B1 47.5022 +17 *4840:101 *4840:127 24.6977 +18 *4840:127 *4840:134 47.1 +19 *4840:134 *4840:139 10.0943 +20 *4840:139 *20708:B1 17.9655 +21 *4840:139 *4840:155 16.1922 +22 *4840:155 *20442:B1 13.7491 +23 *4840:155 *4840:173 16.0033 +24 *4840:173 *4840:186 47.5133 +25 *4840:186 *4840:190 23.4064 +26 *4840:190 *4840:198 48.7062 +27 *4840:198 *20678:B1 16.1846 +28 *4840:190 *20648:B1 18.3789 +29 *4840:186 *20496:B1 9.24915 +30 *4840:173 *20392:B1 9.24915 +31 *4840:134 *21093:B1 14.4094 +32 *4840:127 *20632:B1 22.3996 +33 *4840:100 *20882:B1 23.5116 +34 *4840:90 *20545:B1 9.24915 +35 *4840:76 *20785:B1 9.24915 +36 *4840:55 *25367:A 9.24915 +37 *4840:51 *20403:B1 19.464 +38 *4840:49 *25368:A 18.3548 +39 *4840:40 *4840:283 49.954 +40 *4840:283 *4840:284 2.38721 +41 *4840:284 *4840:293 31.2526 +42 *4840:293 *20469:B1 30.4257 +43 *4840:293 *4840:325 39.2548 +44 *4840:325 *4840:341 48.3553 +45 *4840:341 *4840:344 9.10562 +46 *4840:344 *4840:359 48.2286 +47 *4840:359 *4840:362 19.4594 +48 *4840:362 *4840:370 40.5973 +49 *4840:370 *4840:373 37.0607 +50 *4840:373 *20281:B1 26.68 +51 *4840:373 *24045:A1 26.0719 +52 *4840:362 *20301:B1 9.97254 +53 *4840:344 *20292:B1 9.24915 +54 *4840:325 *20594:B1 13.7491 +55 *4840:284 *20507:B1 20.5286 +*END + +*D_NET *4841 0.0966284 +*CONN +*I *20145:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20468:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20291:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20593:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20745:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20803:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24042:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20280:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20300:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20506:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20402:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20555:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20430:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20544:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20479:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25366:A I *D sky130_fd_sc_hd__buf_12 +*I *20753:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21018:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20715:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21151:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23959:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *20145:B1 0 +2 *20468:B1 0 +3 *20291:B1 0.00020265 +4 *20593:B1 9.31799e-05 +5 *20745:B1 0 +6 *20803:B1 0 +7 *24042:A1 0.000834808 +8 *20280:B1 0 +9 *20300:B1 2.1308e-05 +10 *20506:B1 2.39116e-05 +11 *20402:B1 0 +12 *20555:B1 2.18808e-05 +13 *20430:B1 0.000172642 +14 *20544:B1 0 +15 *20479:B1 0 +16 *25366:A 0 +17 *20753:B1 0 +18 *21018:B1 6.56642e-05 +19 *20715:B1 0 +20 *21151:B1 0 +21 *23959:X 0.00119979 +22 *4841:322 0.00132453 +23 *4841:302 0.00188267 +24 *4841:277 0.00164334 +25 *4841:276 0.00212306 +26 *4841:262 0.00245333 +27 *4841:256 0.00127684 +28 *4841:252 0.00116134 +29 *4841:241 0.00188953 +30 *4841:232 0.00296966 +31 *4841:218 0.00195524 +32 *4841:217 0.00288924 +33 *4841:214 0.0030056 +34 *4841:203 0.000951153 +35 *4841:191 0.000883928 +36 *4841:179 0.000808325 +37 *4841:175 0.00165836 +38 *4841:159 0.00198502 +39 *4841:131 0.00105695 +40 *4841:124 0.0012719 +41 *4841:115 0.00116098 +42 *4841:112 0.00186902 +43 *4841:91 0.00114937 +44 *4841:70 0.00104625 +45 *4841:67 0.0014778 +46 *4841:57 0.00161573 +47 *4841:50 0.00130516 +48 *4841:44 0.00145742 +49 *4841:40 0.00276465 +50 *4841:36 0.00235783 +51 *4841:33 0.00232078 +52 *4841:22 0.00220953 +53 *4841:11 0.00244592 +54 *20291:B1 *20291:A1 6.08467e-05 +55 *20291:B1 *25230:A 5.04711e-05 +56 *20430:B1 *5857:14 0.000319677 +57 *20506:B1 *5866:375 6.28168e-05 +58 *20593:B1 *20593:A1 6.50586e-05 +59 *21018:B1 *21018:A1 2.65831e-05 +60 *21018:B1 *24253:RESET_B 0.000211478 +61 *24042:A1 *20278:B1 6.50727e-05 +62 *24042:A1 *24031:A0 1.00846e-05 +63 *24042:A1 *24042:A0 2.65667e-05 +64 *24042:A1 *5543:11 1.99131e-05 +65 *4841:11 *20087:A1 2.01982e-05 +66 *4841:11 *23960:A0 0.000226281 +67 *4841:11 *24823:CLK 2.53541e-05 +68 *4841:11 *5470:7 0.000160617 +69 *4841:22 *19663:A 6.65878e-05 +70 *4841:22 *4844:26 0.000171893 +71 *4841:22 *4884:117 5.0459e-05 +72 *4841:22 *5898:273 0.000105636 +73 *4841:22 *6020:25 7.08276e-05 +74 *4841:22 *6020:36 0.000854062 +75 *4841:22 *6020:53 6.08697e-06 +76 *4841:33 *21151:A1 1.07472e-05 +77 *4841:33 *4885:24 3.53439e-05 +78 *4841:33 *4885:29 3.6455e-05 +79 *4841:36 *24800:CLK 0.000127164 +80 *4841:40 *19967:A 0 +81 *4841:40 *21495:A 0 +82 *4841:40 *21780:A 3.12044e-05 +83 *4841:40 *24592:CLK 0 +84 *4841:40 *4884:15 8.07127e-05 +85 *4841:40 *4884:28 0.000111267 +86 *4841:40 *4884:144 0 +87 *4841:40 *5022:8 4.40506e-05 +88 *4841:40 *5856:16 0 +89 *4841:44 *20752:A1 0 +90 *4841:44 *24401:RESET_B 9.34396e-06 +91 *4841:44 *24587:CLK 0.000123529 +92 *4841:44 *4884:15 2.7387e-05 +93 *4841:44 *5032:8 3.12316e-05 +94 *4841:44 *5856:16 0 +95 *4841:50 *24399:RESET_B 1.99707e-05 +96 *4841:50 *24401:RESET_B 0.000116273 +97 *4841:57 *20715:A1 0.000273161 +98 *4841:57 *21601:A 3.31745e-05 +99 *4841:57 *24426:SET_B 0.000687319 +100 *4841:67 *24426:SET_B 4.46935e-05 +101 *4841:70 *19816:A 7.77309e-06 +102 *4841:70 *5409:6 3.95141e-05 +103 *4841:70 *6001:8 0.00249159 +104 *4841:112 *20479:A1 2.41483e-05 +105 *4841:112 *5859:5 1.57614e-05 +106 *4841:112 *5859:9 0.000529134 +107 *4841:115 *5857:14 0.000776313 +108 *4841:124 *20433:A1 2.65667e-05 +109 *4841:124 *21852:A 0.000162583 +110 *4841:131 *20544:A1 0.000200794 +111 *4841:159 *21567:A 5.23916e-05 +112 *4841:159 *24800:CLK 6.00242e-05 +113 *4841:159 *5868:477 0 +114 *4841:175 *20146:A1 2.20702e-05 +115 *4841:175 *24533:CLK 0.000514331 +116 *4841:175 *4885:111 0 +117 *4841:175 *4885:120 0 +118 *4841:179 *21837:A 5.51483e-06 +119 *4841:191 *20555:A1 0.000593901 +120 *4841:191 *5934:25 0.000158028 +121 *4841:203 *20402:A1 0.000222154 +122 *4841:203 *21739:A 0.000164843 +123 *4841:203 *5934:25 0.000661487 +124 *4841:218 *5866:375 0.000184414 +125 *4841:218 *5866:388 9.24241e-05 +126 *4841:218 *5890:14 0.000112923 +127 *4841:232 *20506:A1 7.63166e-05 +128 *4841:232 *24513:CLK 5.07314e-05 +129 *4841:232 *5290:10 2.18523e-06 +130 *4841:241 *24119:A 0.000317693 +131 *4841:241 *4843:157 5.00082e-05 +132 *4841:241 *4845:102 0.000116514 +133 *4841:241 *4845:794 0.000195513 +134 *4841:241 *5290:10 3.73573e-05 +135 *4841:252 *20745:A1 0.000355254 +136 *4841:252 *4843:157 0 +137 *4841:252 *5341:8 2.22974e-05 +138 *4841:252 *5891:22 0.000126224 +139 *4841:256 *20803:A1 3.5063e-05 +140 *4841:262 *24379:RESET_B 0.000169253 +141 *4841:262 *4842:230 1.9503e-05 +142 *4841:262 *5507:12 0.000324663 +143 *4841:276 *20300:A1 0.000362931 +144 *4841:276 *24038:A1 0.000113968 +145 *4841:276 *24682:RESET_B 0.000106869 +146 *4841:276 *24682:CLK 0.000187914 +147 *4841:276 *4842:230 9.73489e-05 +148 *4841:302 *24513:RESET_B 0 +149 *4841:302 *5120:10 0 +150 *4841:302 *5888:8 0 +151 *4841:322 *5716:8 0 +152 *4841:322 *5891:7 0.000761368 +153 *4841:322 *5893:7 0.000174943 +154 mgmt_gpio_oeb[25] *4841:322 0.000217937 +155 mgmt_gpio_oeb[26] *4841:322 0.000175485 +156 mgmt_gpio_oeb[27] *4841:322 6.92705e-05 +157 mgmt_gpio_out[24] *4841:322 0.000192038 +158 mgmt_gpio_out[27] *20291:B1 0.000387765 +159 *20145:B2 *4841:159 6.50727e-05 +160 *20146:A2 *4841:175 6.44491e-05 +161 *20146:B1 *4841:175 2.85531e-06 +162 *20146:B2 *4841:175 0 +163 *20148:B2 *4841:33 2.41274e-06 +164 *20148:B2 *4841:159 0.000381622 +165 *20179:A *4841:22 0.000101438 +166 *20179:C *4841:22 2.07503e-05 +167 *20179:D *4841:22 0.00037796 +168 *20180:A *4841:22 8.83891e-05 +169 *20182:A *4841:22 1.92926e-05 +170 *20278:B2 *24042:A1 9.40059e-05 +171 *20278:B2 *4841:277 3.00943e-05 +172 *20285:A *4841:262 0 +173 *20300:B2 *4841:262 7.40952e-05 +174 *20403:B1 *4841:112 4.31703e-05 +175 *20430:B2 *20430:B1 6.08467e-05 +176 *20468:B2 *4841:203 0.000113968 +177 *20468:B2 *4841:214 1.09738e-05 +178 *20479:A2 *4841:112 0.000169041 +179 *20479:B2 *4841:91 6.50586e-05 +180 *20479:B2 *4841:112 1.96958e-05 +181 *20480:B2 *4841:44 0 +182 *20504:A2 *20506:B1 0 +183 *20504:A2 *4841:218 0 +184 *20506:A2 *4841:232 5.0715e-05 +185 *20555:A2 *4841:179 2.65831e-05 +186 *20555:A2 *4841:191 2.41483e-05 +187 *20555:B2 *20555:B1 0 +188 *20555:B2 *4841:179 5.04829e-06 +189 *20558:B1 *4841:175 0.00026821 +190 *20715:B2 *4841:67 9.46346e-05 +191 *20745:A2 *4841:252 1.41291e-05 +192 *20803:A2 *4841:256 3.96696e-05 +193 *20803:B2 *4841:256 0.000447775 +194 *21021:A2 *4841:70 0.000198768 +195 *21151:A2 *4841:33 1.03403e-05 +196 *21151:B2 *4841:33 1.30021e-05 +197 *21153:B1 *4841:40 0 +198 *23897:A *4841:302 1.77537e-06 +199 *23897:A *4841:322 6.55199e-05 +200 *23902:A *20291:B1 0.000163982 +201 *23952:A0 *4841:241 0.000330532 +202 *24038:S *4841:276 9.14669e-05 +203 *24042:S *24042:A1 0.000150252 +204 *24190:D *4841:33 1.52185e-05 +205 *24406:D *4841:241 9.96342e-05 +206 *24510:D *4841:302 3.20069e-06 +207 *24510:D *4841:322 4.27003e-05 +208 *24544:D *4841:131 0.000122083 +209 *24570:D *4841:218 0 +210 *24587:D *4841:44 0 +211 *24588:D *4841:91 7.97098e-06 +212 *24588:D *4841:112 9.14834e-05 +213 *24619:D *4841:124 1.80257e-05 +214 *24640:D *4841:191 0.000483488 +215 *24640:D *4841:203 9.82896e-06 +216 *24682:D *4841:276 6.92705e-05 +217 *24801:D *4841:33 0.000147325 +218 *24802:D *4841:175 0.000117709 +219 *24804:D *4841:175 1.91246e-05 +220 *24822:D *4841:11 0 +221 *24822:RESET_B *4841:11 0.000189441 +222 *25154:A *4841:322 0.0002817 +223 *25155:A *4841:322 0.000171288 +224 *25156:A *4841:322 0.000224395 +225 *25368:A *4841:115 0.000142393 +226 *25370:A *4841:112 6.50727e-05 +227 *144:8 *24042:A1 4.26859e-05 +228 *144:8 *4841:277 0.000786684 +229 *489:7 *4841:252 0.000113968 +230 *489:8 *4841:252 0.000208521 +231 *489:93 *4841:252 1.09738e-05 +232 *657:212 *4841:44 0 +233 *1619:7 *4841:217 9.80586e-05 +234 *1724:8 *4841:44 0 +235 *1857:17 *4841:175 1.44611e-05 +236 *1857:24 *4841:175 7.2377e-05 +237 *1857:33 *4841:175 4.34379e-05 +238 *1858:6 *4841:175 0 +239 *1858:19 *4841:159 0.000508331 +240 *1872:15 *4841:22 9.29052e-05 +241 *1872:20 *4841:22 4.43826e-05 +242 *1908:56 *4841:277 0.000184615 +243 *1908:65 *4841:277 0.000151412 +244 *1908:76 *4841:277 0.000434496 +245 *1911:39 *20291:B1 2.65667e-05 +246 *1946:14 *4841:112 0 +247 *1967:67 *4841:214 1.90218e-05 +248 *1970:89 *4841:112 5.75344e-05 +249 *1979:67 *20506:B1 0 +250 *1980:9 *4841:232 9.75148e-06 +251 *1994:63 *4841:179 0.000254375 +252 *1995:24 *4841:179 6.30588e-05 +253 *2006:71 *4841:302 0.000230597 +254 *2006:77 *20593:B1 2.50842e-05 +255 *2057:10 *4841:57 6.88287e-05 +256 *2057:23 *4841:50 8.18934e-05 +257 *2057:23 *4841:57 5.22654e-06 +258 *2057:31 *4841:44 0 +259 *2174:30 *21018:B1 0.000111722 +260 *2174:30 *4841:70 0.000348381 +261 *2262:13 *4841:252 0.000554431 +262 *2262:27 *4841:252 0.00037587 +263 *2262:27 *4841:256 1.74062e-05 +264 *2262:27 *4841:262 1.74016e-05 +265 *2288:14 *4841:40 0.000105494 +266 *2357:17 *4841:252 0.000105305 +267 *2357:17 *4841:256 0.000661113 +268 *2592:6 *4841:36 0 +269 *2592:6 *4841:40 0 +270 *2592:6 *4841:44 0 +271 *2592:6 *4841:50 0.000195139 +272 *2592:6 *4841:57 0.000200236 +273 *2592:6 *4841:159 0.000255114 +274 *2604:6 *4841:57 0 +275 *2654:10 *4841:57 2.65667e-05 +276 *2737:6 *4841:214 0.00020172 +277 *2737:10 *4841:124 7.08723e-06 +278 *2737:10 *4841:131 0.000566316 +279 *2813:8 *4841:241 0.00133652 +280 *2846:10 *20430:B1 4.4885e-05 +281 *2846:10 *4841:124 0.000354423 +282 *2886:8 *4841:218 0.000128895 +283 *2950:20 *4841:112 0.000144546 +284 *2978:8 *20430:B1 5.00938e-05 +285 *2978:8 *4841:124 0.000354727 +286 *2978:8 *4841:131 0.00210555 +287 *2978:8 *4841:214 0 +288 *3860:30 *4841:44 0 +289 *4126:53 *4841:44 7.14746e-05 +290 *4137:73 *4841:70 0 +291 *4137:77 *4841:70 0.000492257 +292 *4796:9 *4841:22 0.00011818 +293 *4823:5 *4841:232 3.34011e-05 +294 *4823:156 *4841:232 1.93033e-05 +295 *4830:11 *4841:203 6.73186e-05 +296 *4830:17 *4841:217 0.00022289 +297 *4838:22 *4841:175 0.000351749 +298 *4838:30 *4841:36 0.000353452 +299 *4838:30 *4841:40 0.000331663 +300 *4838:37 *4841:40 5.82465e-05 +301 *4838:86 *4841:70 0.000169958 +302 *4839:15 *4841:22 0.000523693 +303 *4839:30 *4841:112 6.99486e-05 +304 *4839:194 *4841:50 0.000271044 +305 *4840:100 *4841:131 4.15512e-05 +*RES +1 *23959:X *4841:11 39.6636 +2 *4841:11 *4841:22 48.3437 +3 *4841:22 *21151:B1 9.24915 +4 *4841:22 *4841:33 20.1012 +5 *4841:33 *4841:36 23.7427 +6 *4841:36 *4841:40 38.8505 +7 *4841:40 *4841:44 35.6561 +8 *4841:44 *4841:50 12.6227 +9 *4841:50 *4841:57 33.553 +10 *4841:57 *20715:B1 9.24915 +11 *4841:57 *4841:67 12.4574 +12 *4841:67 *4841:70 48.6877 +13 *4841:70 *21018:B1 12.2151 +14 *4841:50 *20753:B1 13.7491 +15 *4841:44 *25366:A 9.24915 +16 *4841:36 *4841:91 5.95619 +17 *4841:91 *20479:B1 9.24915 +18 *4841:91 *4841:112 40.9359 +19 *4841:112 *4841:115 23.5253 +20 *4841:115 *4841:124 18.3438 +21 *4841:124 *4841:131 45.3566 +22 *4841:131 *20544:B1 9.24915 +23 *4841:115 *20430:B1 20.1279 +24 *4841:33 *4841:159 24.9873 +25 *4841:159 *4841:175 46.3674 +26 *4841:175 *4841:179 10.5168 +27 *4841:179 *20555:B1 9.82786 +28 *4841:179 *4841:191 14.7814 +29 *4841:191 *20402:B1 9.24915 +30 *4841:191 *4841:203 13.4069 +31 *4841:203 *4841:214 29.5944 +32 *4841:214 *4841:217 39.0542 +33 *4841:217 *4841:218 13.8743 +34 *4841:218 *20506:B1 14.7506 +35 *4841:218 *4841:232 26.4912 +36 *4841:232 *4841:241 41.9069 +37 *4841:241 *4841:252 43.0828 +38 *4841:252 *4841:256 8.23466 +39 *4841:256 *4841:262 29.0184 +40 *4841:262 *20300:B1 9.82786 +41 *4841:262 *4841:276 29.2643 +42 *4841:276 *4841:277 31.3149 +43 *4841:277 *20280:B1 13.7491 +44 *4841:277 *24042:A1 27.9512 +45 *4841:256 *20803:B1 9.24915 +46 *4841:241 *20745:B1 9.24915 +47 *4841:232 *4841:302 21.2811 +48 *4841:302 *20593:B1 15.6059 +49 *4841:302 *4841:322 46.3928 +50 *4841:322 *20291:B1 21.7028 +51 *4841:203 *20468:B1 9.24915 +52 *4841:159 *20145:B1 9.24915 +*END + +*D_NET *4842 0.0846459 +*CONN +*I *20592:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20290:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20299:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24038:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20279:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20268:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24014:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20802:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20505:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20744:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20467:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25364:A I *D sky130_fd_sc_hd__buf_12 +*I *20554:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20478:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20752:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21150:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20646:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20714:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20144:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23960:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *20592:B1 0 +2 *20290:B1 0 +3 *20299:B1 0.000736688 +4 *24038:A1 3.68741e-05 +5 *20279:B1 0 +6 *20268:B1 0 +7 *24014:A1 0.000416525 +8 *20802:B1 0.000284327 +9 *20505:B1 0 +10 *20744:B1 0.00102856 +11 *20467:B1 7.2909e-05 +12 *25364:A 0.000261459 +13 *20554:B1 0 +14 *20478:B1 2.06324e-05 +15 *20752:B1 3.31116e-05 +16 *21150:B1 0 +17 *20646:B1 0 +18 *20714:B1 0.00140445 +19 *20144:B1 0 +20 *23960:X 9.4432e-05 +21 *4842:240 0.00106642 +22 *4842:237 0.00169149 +23 *4842:233 0.00119435 +24 *4842:230 0.00143888 +25 *4842:216 0.00221317 +26 *4842:200 0.00111409 +27 *4842:195 0.000635231 +28 *4842:192 0.00361796 +29 *4842:183 0.00364405 +30 *4842:182 0.00013605 +31 *4842:176 0.000382952 +32 *4842:148 0.00155879 +33 *4842:139 0.00215459 +34 *4842:128 0.00251945 +35 *4842:124 0.0019364 +36 *4842:99 0.000261459 +37 *4842:57 0.00151767 +38 *4842:52 0.00106645 +39 *4842:42 0.0014674 +40 *4842:36 0.00121113 +41 *4842:29 0.000851721 +42 *4842:28 0.00145067 +43 *4842:24 0.00230246 +44 *4842:21 0.00226028 +45 *4842:15 0.000592444 +46 *4842:9 0.00159275 +47 *4842:8 0.00152746 +48 *20299:B1 *23946:A0 0 +49 *20299:B1 *5516:8 0.000143032 +50 *20299:B1 *5521:8 0 +51 *20299:B1 *5558:10 0 +52 *20299:B1 *5727:10 0 +53 *20714:B1 *24996:A 8.68041e-05 +54 *20714:B1 *4935:15 0 +55 *20714:B1 *5815:24 0.000463385 +56 *20714:B1 *5815:28 2.71733e-05 +57 *20744:B1 *21473:A 6.25991e-05 +58 *20744:B1 *24954:A 0 +59 *20744:B1 *4893:38 0 +60 *20744:B1 *4893:47 0 +61 *20744:B1 *5859:14 0 +62 *20744:B1 *5918:30 0 +63 *20752:B1 *4843:63 1.00846e-05 +64 *20802:B1 *24379:RESET_B 2.65667e-05 +65 *24014:A1 *5557:10 2.99978e-05 +66 *25364:A *20554:A1 0.000253367 +67 *4842:8 *20189:A1 2.71542e-05 +68 *4842:8 *23959:A1 3.42931e-05 +69 *4842:8 *23960:A0 0 +70 *4842:8 *5907:60 1.65078e-05 +71 *4842:9 *20173:A1 2.59533e-05 +72 *4842:9 *21567:A 0.000428134 +73 *4842:9 *22850:B 0.000217937 +74 *4842:9 *23961:A0 0.000124234 +75 *4842:9 *23961:S 0.00056226 +76 *4842:9 *6020:53 1.00846e-05 +77 *4842:9 *6020:70 0.000457669 +78 *4842:15 *20144:A1 6.99486e-05 +79 *4842:15 *21535:A 0.000136538 +80 *4842:15 *24804:CLK 0.000217951 +81 *4842:15 *5868:477 2.67358e-05 +82 *4842:15 *5868:494 7.92757e-06 +83 *4842:15 *5906:45 0 +84 *4842:21 *20144:A1 2.15348e-05 +85 *4842:21 *24804:CLK 0.000364356 +86 *4842:21 *5673:19 0.00011295 +87 *4842:21 *5868:494 0.000105763 +88 *4842:24 *19807:A 7.586e-05 +89 *4842:24 *20148:A1 4.44689e-05 +90 *4842:24 *21936:A 9.92077e-05 +91 *4842:24 *24534:SET_B 9.24241e-05 +92 *4842:24 *4885:137 0 +93 *4842:24 *5272:8 1.1246e-05 +94 *4842:28 *5249:8 0.000127194 +95 *4842:28 *5458:107 0.000659527 +96 *4842:29 *20478:A1 0.00011961 +97 *4842:36 *20754:A1 0.000146404 +98 *4842:36 *24402:RESET_B 0.000155272 +99 *4842:42 *4843:63 8.85584e-05 +100 *4842:42 *4884:42 0.000203865 +101 *4842:42 *4884:44 0.000174175 +102 *4842:52 *21150:A1 2.83829e-05 +103 *4842:52 *21767:A 0.000148114 +104 *4842:52 *4881:169 0.000183584 +105 *4842:52 *4884:44 3.65842e-05 +106 *4842:52 *4884:46 8.62321e-06 +107 *4842:52 *5815:24 0.000740903 +108 *4842:124 *24594:SET_B 0.000118268 +109 *4842:124 *4886:8 5.92192e-05 +110 *4842:124 *4886:17 0.000195139 +111 *4842:124 *4886:24 3.31733e-05 +112 *4842:124 *5277:8 6.08467e-05 +113 *4842:124 *5673:19 0.0002036 +114 *4842:124 *5868:697 0.000260374 +115 *4842:124 *5887:13 9.2985e-05 +116 *4842:128 *20404:A1 0.000530137 +117 *4842:128 *5887:13 6.73667e-05 +118 *4842:139 *5866:449 0.000129088 +119 *4842:148 *20505:A1 2.16355e-05 +120 *4842:148 *5918:32 0.00123402 +121 *4842:176 *21509:A 6.08467e-05 +122 *4842:176 *5866:449 4.40559e-05 +123 *4842:182 *21313:A 5.73392e-05 +124 *4842:182 *21509:A 2.15348e-05 +125 *4842:182 *5288:9 3.12017e-05 +126 *4842:192 *20504:A1 6.50586e-05 +127 *4842:192 *20504:B1 8.81826e-05 +128 *4842:192 *21313:A 2.7318e-06 +129 *4842:192 *24570:RESET_B 0.000205443 +130 *4842:192 *4895:8 1.81532e-05 +131 *4842:192 *5288:9 0.000517601 +132 *4842:195 *20290:A1 3.91685e-05 +133 *4842:195 *5893:8 2.26357e-05 +134 *4842:195 *5896:9 8.92568e-06 +135 *4842:200 *21563:B1 0.000120584 +136 *4842:200 *4872:222 0.000557411 +137 *4842:200 *5893:8 0.000390079 +138 *4842:200 *5896:9 4.26935e-05 +139 *4842:200 *5906:36 9.55049e-05 +140 *4842:216 *4872:222 0.0015352 +141 *4842:230 *20300:A1 2.99304e-05 +142 *4842:230 *24682:CLK 0.000422734 +143 *4842:230 *5558:10 0 +144 *4842:233 *20279:A1 0.000181242 +145 *4842:233 *24038:A0 0.00013751 +146 *4842:233 *5903:6 0.000380561 +147 *4842:237 *5898:236 0.000221185 +148 *4842:237 *5903:6 0.00235223 +149 *20144:A2 *4842:21 0.000160617 +150 *20266:A2 *24014:A1 0.000164829 +151 *20268:B2 *4842:240 0.000211492 +152 *20300:B2 *4842:230 3.07133e-05 +153 *20324:B1 *24014:A1 7.98171e-06 +154 *20339:B1 *4842:230 0.000264586 +155 *20478:A2 *4842:29 0.000224381 +156 *20478:B2 *4842:36 6.08467e-05 +157 *20504:A2 *4842:192 9.60876e-05 +158 *20504:B2 *4842:192 6.73351e-05 +159 *20509:A2 *4842:148 1.4091e-06 +160 *20554:A2 *4842:28 1.07403e-05 +161 *20554:B2 *25364:A 7.92757e-06 +162 *20592:A2 *4842:182 0.000118166 +163 *20646:B2 *20714:B1 2.16355e-05 +164 *20646:B2 *4842:57 1.58551e-05 +165 *20714:A2 *20714:B1 1.56384e-05 +166 *20714:B2 *20714:B1 1.22436e-05 +167 *20744:B2 *20744:B1 6.92705e-05 +168 *20754:A2 *4842:36 0.000307037 +169 *20754:B1 *4842:29 9.82896e-06 +170 *20754:B1 *4842:36 8.19715e-05 +171 *20754:B2 *4842:36 0 +172 *20800:A2 *20802:B1 5.33575e-05 +173 *23910:A *20299:B1 0.000113015 +174 *24534:D *4842:24 0.000143032 +175 *24570:D *4842:192 3.6462e-05 +176 *24589:D *4842:29 0.000103943 +177 *24589:D *4842:36 8.8837e-05 +178 *24708:D *4842:230 0.000489377 +179 *24709:D *20299:B1 0.00012595 +180 *24726:D *4842:237 3.27384e-05 +181 *24731:D *4842:240 6.92705e-05 +182 *490:46 *20744:B1 0.000931223 +183 *490:46 *4842:148 0.00134023 +184 *495:8 *20714:B1 3.27606e-06 +185 *1607:14 *20744:B1 0.000146731 +186 *1619:26 *4842:36 5.8985e-05 +187 *1619:28 *4842:36 5.95709e-05 +188 *1829:112 *4842:42 9.04109e-05 +189 *1856:8 *4842:24 0 +190 *1856:8 *4842:28 0 +191 *1858:6 *4842:15 9.34396e-06 +192 *1858:17 *4842:15 0 +193 *1905:20 *4842:240 0.000113968 +194 *1907:8 *4842:237 0.000267717 +195 *1907:15 *4842:237 0 +196 *1913:37 *4842:230 7.89747e-05 +197 *1914:8 *20299:B1 4.37999e-05 +198 *1914:8 *4842:230 0.000103792 +199 *1914:37 *20299:B1 0.000218874 +200 *1924:52 *4842:237 1.1573e-05 +201 *1925:8 *4842:237 0.000119054 +202 *1925:17 *4842:233 1.22289e-05 +203 *1925:17 *4842:237 0.000134631 +204 *1925:31 *4842:233 1.69932e-05 +205 *1967:22 *20467:B1 5.22654e-06 +206 *1980:33 *4842:148 8.62625e-06 +207 *1995:26 *4842:24 0 +208 *1995:30 *4842:24 0 +209 *1995:38 *4842:24 0.000724006 +210 *1995:38 *4842:28 5.71992e-05 +211 *2058:11 *4842:42 0.000160617 +212 *2082:87 *20802:B1 9.91932e-05 +213 *2232:10 *4842:52 0.000139435 +214 *2232:22 *4842:52 7.77675e-05 +215 *2232:36 *4842:52 0.000334255 +216 *2233:19 *4842:42 0.000381637 +217 *2262:30 *4842:237 0 +218 *2294:28 *4842:237 0 +219 *2499:10 *20802:B1 0.000186007 +220 *2570:36 *24014:A1 0.000367298 +221 *2620:10 *4842:200 0.000221414 +222 *2620:20 *24014:A1 0.00010666 +223 *2624:21 *20714:B1 0 +224 *2685:8 *4842:24 0.000154631 +225 *2685:8 *4842:28 0.000234277 +226 *2687:11 *4842:200 0.000217801 +227 *2687:11 *4842:216 0.000661563 +228 *2737:6 *20467:B1 0 +229 *2737:6 *4842:139 0 +230 *2783:8 *4842:52 0.0017735 +231 *2818:12 *25364:A 5.23435e-05 +232 *2868:11 *20744:B1 0.000729664 +233 *2869:14 *4842:176 3.55968e-05 +234 *2950:9 *25364:A 5.23435e-05 +235 *2954:8 *4842:36 0.000113891 +236 *2954:12 *4842:36 0.000230557 +237 *2978:8 *20467:B1 0.000122083 +238 *2978:8 *4842:139 0.000230693 +239 *3857:26 *20714:B1 0.000213664 +240 *4138:137 *20714:B1 0.000448976 +241 *4739:18 *4842:28 4.3116e-06 +242 *4817:7 *4842:240 0.000163225 +243 *4823:8 *4842:192 3.63538e-05 +244 *4823:156 *4842:192 0.000285547 +245 *4825:181 *4842:192 0 +246 *4828:13 *4842:24 0.000191086 +247 *4830:28 *4842:200 0 +248 *4833:188 *20299:B1 0 +249 *4838:86 *20714:B1 8.01837e-05 +250 *4838:274 *4842:176 4.04556e-05 +251 *4839:9 *4842:9 0.00355811 +252 *4839:64 *4842:28 0.000982212 +253 *4839:257 *4842:128 3.6455e-05 +254 *4839:267 *4842:128 0.000112225 +255 *4839:293 *4842:176 2.15184e-05 +256 *4839:293 *4842:182 0.000118284 +257 *4841:262 *4842:230 1.9503e-05 +258 *4841:276 *24038:A1 0.000113968 +259 *4841:276 *4842:230 9.73489e-05 +*RES +1 *23960:X *4842:8 20.9116 +2 *4842:8 *4842:9 55.6292 +3 *4842:9 *4842:15 14.8802 +4 *4842:15 *20144:B1 9.24915 +5 *4842:15 *4842:21 12.9247 +6 *4842:21 *4842:24 37.8912 +7 *4842:24 *4842:28 48.2725 +8 *4842:28 *4842:29 6.26943 +9 *4842:29 *4842:36 30.5373 +10 *4842:36 *4842:42 24.8575 +11 *4842:42 *4842:52 47.0029 +12 *4842:52 *4842:57 6.35672 +13 *4842:57 *20714:B1 45.0222 +14 *4842:57 *20646:B1 9.24915 +15 *4842:42 *21150:B1 9.24915 +16 *4842:36 *20752:B1 9.97254 +17 *4842:29 *20478:B1 9.82786 +18 *4842:24 *4842:99 4.5 +19 *4842:99 *20554:B1 9.24915 +20 *4842:99 *25364:A 23.3258 +21 *4842:21 *4842:124 32.4024 +22 *4842:124 *4842:128 22.4161 +23 *4842:128 *20467:B1 15.9964 +24 *4842:128 *4842:139 10.3647 +25 *4842:139 *4842:148 37.4505 +26 *4842:148 *20744:B1 46.7366 +27 *4842:148 *20505:B1 13.7491 +28 *4842:139 *4842:176 10.0479 +29 *4842:176 *4842:182 12.7697 +30 *4842:182 *4842:183 81.1229 +31 *4842:183 *4842:192 47.0456 +32 *4842:192 *4842:195 4.5779 +33 *4842:195 *4842:200 27.5505 +34 *4842:200 *20802:B1 27.3509 +35 *4842:200 *4842:216 21.3069 +36 *4842:216 *4842:230 34.6272 +37 *4842:230 *4842:233 11.7303 +38 *4842:233 *4842:237 46.6115 +39 *4842:237 *4842:240 15.7218 +40 *4842:240 *24014:A1 30.3637 +41 *4842:240 *20268:B1 9.24915 +42 *4842:233 *20279:B1 13.7491 +43 *4842:230 *24038:A1 10.5271 +44 *4842:216 *20299:B1 35.0642 +45 *4842:195 *20290:B1 13.7491 +46 *4842:176 *20592:B1 9.24915 +*END + +*D_NET *4843 0.340757 +*CONN +*I *20504:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20591:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20298:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20278:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24031:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20289:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20743:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20801:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20879:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20782:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20542:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20629:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20267:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23965:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20919:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20959:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20211:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20694:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20656:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20618:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21071:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20135:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20167:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24037:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20256:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20515:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20232:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20362:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21142:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19686:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19699:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19712:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20580:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19722:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19646:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19613:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20994:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20898:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21027:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20940:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21090:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20667:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20439:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20732:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20705:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20428:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20466:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20400:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20553:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20389:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20477:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21149:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23961:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *20504:B1 0.000193619 +2 *20591:B1 0 +3 *20298:B1 1.90203e-05 +4 *20278:B1 0.000180229 +5 *24031:A1 8.55087e-05 +6 *20289:B1 2.06324e-05 +7 *20743:B1 0 +8 *20801:B1 0.0011576 +9 *20879:B1 0.000192729 +10 *20782:B1 0 +11 *20542:B1 0.00144937 +12 *20629:B1 9.0316e-05 +13 *20267:B1 2.17289e-05 +14 *23965:A1 0.000243691 +15 *20919:B1 7.61446e-05 +16 *20959:B1 0.000641706 +17 *20211:B1 0 +18 *20694:B1 0.000111819 +19 *20656:B1 0.000995389 +20 *20618:B1 1.47608e-05 +21 *21071:B1 0 +22 *20135:B1 0 +23 *20167:B1 0.00106235 +24 *24037:A1 2.87078e-05 +25 *20256:B1 0 +26 *20515:B1 0.000298476 +27 *20232:B1 0.000231646 +28 *20362:B1 0 +29 *21142:B1 0.000284903 +30 *19686:B1 0 +31 *19699:B1 4.06411e-05 +32 *19712:B1 7.36048e-05 +33 *20580:B1 0 +34 *19722:B1 1.47745e-05 +35 *19646:B1 5.70098e-05 +36 *19613:B1 0.000594238 +37 *20994:B1 0 +38 *20898:B1 0 +39 *21027:B1 0.000659734 +40 *20940:B1 0.000112963 +41 *21090:B1 7.32493e-05 +42 *20667:B1 0.000547231 +43 *20439:B1 0.000851172 +44 *20732:B1 3.00157e-05 +45 *20705:B1 0 +46 *20428:B1 0.00138151 +47 *20466:B1 0.000442985 +48 *20400:B1 6.66142e-06 +49 *20553:B1 0 +50 *20389:B1 6.53563e-05 +51 *20477:B1 0 +52 *21149:B1 0 +53 *23961:X 0.000958965 +54 *4843:936 0.000292801 +55 *4843:935 0.00104868 +56 *4843:916 0.00157433 +57 *4843:906 0.00136611 +58 *4843:898 0.00170259 +59 *4843:825 0.000333386 +60 *4843:822 0.000145627 +61 *4843:821 0.00231623 +62 *4843:784 0.000878258 +63 *4843:759 0.000920197 +64 *4843:665 0.000926292 +65 *4843:641 0.00199339 +66 *4843:633 0.0035266 +67 *4843:616 0.00285501 +68 *4843:603 0.0022629 +69 *4843:593 0.000983334 +70 *4843:591 0.00131224 +71 *4843:586 0.00342706 +72 *4843:576 0.00293118 +73 *4843:568 0.00273801 +74 *4843:555 0.00265626 +75 *4843:547 0.00140897 +76 *4843:545 0.00151138 +77 *4843:531 0.00168169 +78 *4843:525 0.00166449 +79 *4843:517 0.00273046 +80 *4843:516 0.00154449 +81 *4843:509 0.00204105 +82 *4843:490 0.00219362 +83 *4843:488 0.00192392 +84 *4843:474 0.00402834 +85 *4843:469 0.00320546 +86 *4843:457 0.00195176 +87 *4843:445 0.00312295 +88 *4843:432 0.00140868 +89 *4843:421 0.00133435 +90 *4843:407 0.00104702 +91 *4843:393 0.00193551 +92 *4843:385 0.00121056 +93 *4843:371 0.0022401 +94 *4843:352 0.00246677 +95 *4843:348 0.000883196 +96 *4843:345 0.00296694 +97 *4843:313 0.00297438 +98 *4843:312 0.00212463 +99 *4843:307 0.00205208 +100 *4843:302 0.00151408 +101 *4843:298 0.00204952 +102 *4843:289 0.00112916 +103 *4843:253 0.00201528 +104 *4843:251 0.001662 +105 *4843:240 0.00166024 +106 *4843:235 0.00359615 +107 *4843:219 0.00119138 +108 *4843:212 0.00203811 +109 *4843:202 0.00128246 +110 *4843:189 0.00338837 +111 *4843:185 0.00230667 +112 *4843:183 0.000546599 +113 *4843:181 0.000877564 +114 *4843:162 0.002126 +115 *4843:161 0.00179689 +116 *4843:157 0.00101297 +117 *4843:150 0.00193945 +118 *4843:140 0.000708116 +119 *4843:131 0.00179955 +120 *4843:126 0.00262675 +121 *4843:112 0.00236113 +122 *4843:87 0.00138619 +123 *4843:86 0.00206105 +124 *4843:63 0.000818446 +125 *4843:43 0.00109022 +126 *4843:38 0.00191316 +127 *4843:35 0.00171386 +128 *4843:24 0.000951607 +129 *4843:22 0.00119784 +130 *4843:21 0.00293793 +131 *4843:18 0.00335091 +132 *4843:11 0.00191231 +133 *19613:B1 *19613:A1 0.000169714 +134 *19613:B1 *21306:A 2.65667e-05 +135 *19613:B1 *5956:52 0.000253916 +136 *19646:B1 *5810:13 1.47499e-05 +137 *19646:B1 *5810:21 6.08467e-05 +138 *19712:B1 *4928:12 0 +139 *19722:B1 *5756:8 3.9069e-05 +140 *20167:B1 *20167:A1 0.000144443 +141 *20167:B1 *21580:A 7.19237e-05 +142 *20167:B1 *5237:5 0.000111722 +143 *20167:B1 *5476:69 0.00216928 +144 *20167:B1 *5853:365 6.74182e-05 +145 *20167:B1 *5909:17 0.000255047 +146 *20232:B1 *20232:A1 6.48074e-05 +147 *20278:B1 *24031:A0 0.000287049 +148 *20278:B1 *24683:RESET_B 4.66492e-05 +149 *20389:B1 *20389:A1 1.92336e-05 +150 *20428:B1 *24624:CLK 8.98169e-05 +151 *20439:B1 *20439:A1 0.000374973 +152 *20466:B1 *21982:A 0.000417876 +153 *20542:B1 *20540:A1 0.000351331 +154 *20542:B1 *20542:A1 1.19726e-05 +155 *20542:B1 *21191:A 3.4123e-05 +156 *20618:B1 *21393:A 6.08467e-05 +157 *20656:B1 *4906:5 0.000200794 +158 *20656:B1 *5857:113 0.00104505 +159 *20656:B1 *5903:18 6.17955e-06 +160 *20667:B1 *21089:A1 3.77659e-05 +161 *20667:B1 *21404:A 0.000205834 +162 *20667:B1 *24215:CLK 0.000133753 +163 *20667:B1 *4844:143 2.37478e-05 +164 *20667:B1 *4872:8 0.000366809 +165 *20667:B1 *5865:178 0.00037022 +166 *20694:B1 *24440:CLK 5.04829e-06 +167 *20694:B1 *5034:17 6.08467e-05 +168 *20732:B1 *20732:A1 5.26705e-05 +169 *20732:B1 *4872:60 1.51735e-05 +170 *20801:B1 *21426:A 0.000216761 +171 *20879:B1 *24354:RESET_B 0.000217937 +172 *20879:B1 *4991:7 0.000118166 +173 *20940:B1 *20940:A1 5.04829e-06 +174 *20940:B1 *5903:18 1.57481e-05 +175 *20959:B1 *20957:B1 2.93907e-05 +176 *20959:B1 *21401:A 6.08467e-05 +177 *20959:B1 *4845:250 1.70145e-05 +178 *20959:B1 *5853:208 0.000103002 +179 *20959:B1 *5862:491 0.000139005 +180 *20959:B1 *5924:42 6.08112e-05 +181 *21027:B1 *21027:A1 8.22267e-05 +182 *21027:B1 *21421:A 9.87783e-05 +183 *21027:B1 *24247:CLK 0.000122834 +184 *21090:B1 *21090:A1 1.41976e-05 +185 *21142:B1 *19938:A 7.77309e-06 +186 *21142:B1 *21948:A 7.38345e-05 +187 *21142:B1 *23939:A0 0 +188 *21142:B1 *5957:11 0 +189 *23965:A1 *23965:A0 2.58757e-05 +190 *24031:A1 *24031:A0 6.92705e-05 +191 *24037:A1 *24037:A0 6.78596e-05 +192 *4843:11 *23960:A0 4.28856e-07 +193 *4843:11 *23960:S 0.00038695 +194 *4843:11 *5907:60 0 +195 *4843:11 *6001:252 0.000500688 +196 *4843:18 *23956:A0 4.03749e-05 +197 *4843:18 *23956:S 0.000876842 +198 *4843:18 *23960:S 0.000220183 +199 *4843:18 *5676:23 2.04806e-05 +200 *4843:18 *5678:26 0.000123582 +201 *4843:18 *5678:30 5.97576e-05 +202 *4843:21 *5672:11 0.000527498 +203 *4843:22 *21307:A 7.12459e-05 +204 *4843:22 *4886:8 0.000153225 +205 *4843:22 *4886:17 0.000186513 +206 *4843:22 *4886:24 0.000106246 +207 *4843:22 *4886:68 0 +208 *4843:22 *5277:8 3.90689e-06 +209 *4843:22 *5868:536 0 +210 *4843:22 *5868:694 8.55514e-05 +211 *4843:22 *5868:723 1.47102e-05 +212 *4843:24 *20400:A1 3.20069e-06 +213 *4843:24 *5868:536 0 +214 *4843:35 *20400:A1 7.08723e-06 +215 *4843:35 *20553:A1 0.000124658 +216 *4843:35 *21220:A 0.000122068 +217 *4843:35 *21388:A 0 +218 *4843:35 *21545:A 1.94615e-05 +219 *4843:35 *24538:RESET_B 7.73683e-05 +220 *4843:35 *5868:536 0.000124913 +221 *4843:35 *5868:538 0.000243633 +222 *4843:35 *5868:540 0.000735413 +223 *4843:35 *5868:575 0.000210571 +224 *4843:38 *24641:CLK 0.000764545 +225 *4843:43 *20477:A1 0.000338824 +226 *4843:63 *20477:A1 3.75603e-05 +227 *4843:63 *20752:A1 0.000120314 +228 *4843:63 *21149:A1 4.31703e-05 +229 *4843:63 *21408:A 4.33655e-05 +230 *4843:63 *4869:118 1.45944e-05 +231 *4843:86 *5925:22 7.37743e-05 +232 *4843:87 *5861:72 0.000996394 +233 *4843:126 *22022:A 3.40557e-05 +234 *4843:126 *5285:7 1.62073e-05 +235 *4843:131 *4895:48 1.41291e-05 +236 *4843:131 *5285:7 2.41054e-05 +237 *4843:140 *20591:A1 3.75603e-05 +238 *4843:140 *24512:CLK 4.0752e-05 +239 *4843:140 *4872:214 0.000632339 +240 *4843:140 *4895:8 0.000443564 +241 *4843:140 *4895:139 7.6719e-06 +242 *4843:150 *21427:A 0.000118166 +243 *4843:150 *24512:RESET_B 0.000216427 +244 *4843:150 *5290:10 7.37927e-05 +245 *4843:150 *5906:38 0.000743835 +246 *4843:157 *4845:102 0.000920784 +247 *4843:157 *4845:794 0.000457691 +248 *4843:161 *4845:102 0.000120081 +249 *4843:185 *4897:20 6.91859e-05 +250 *4843:185 *4897:25 6.74811e-05 +251 *4843:189 *4897:25 0.000184624 +252 *4843:189 *5921:78 0 +253 *4843:202 *20705:A1 0.000248362 +254 *4843:202 *24953:A 5.24081e-05 +255 *4843:202 *5888:13 0.000883969 +256 *4843:202 *5921:78 0 +257 *4843:212 *24458:RESET_B 3.99086e-06 +258 *4843:212 *4872:33 1.74642e-05 +259 *4843:212 *5313:7 0.000404908 +260 *4843:212 *5865:212 1.19751e-05 +261 *4843:212 *5921:72 1.63493e-05 +262 *4843:219 *4872:33 0.000376963 +263 *4843:219 *4872:60 2.59843e-05 +264 *4843:235 *20732:A1 0.000201208 +265 *4843:235 *21400:A 0.000115448 +266 *4843:251 *21090:A1 3.19327e-05 +267 *4843:251 *21420:A 4.7013e-05 +268 *4843:298 *24765:RESET_B 0.000239143 +269 *4843:298 *5862:612 0.000185509 +270 *4843:312 *20940:A1 0.000188982 +271 *4843:312 *4906:30 6.83422e-05 +272 *4843:312 *4906:41 0.000114832 +273 *4843:312 *4906:52 3.32897e-05 +274 *4843:313 *5903:18 7.92906e-05 +275 *4843:352 *21240:A 0.00022499 +276 *4843:371 *24341:CLK 1.47623e-05 +277 *4843:385 *21071:A1 1.07248e-05 +278 *4843:385 *21299:A 0.000393863 +279 *4843:385 *24244:RESET_B 5.04829e-06 +280 *4843:385 *4978:11 0.000487161 +281 *4843:385 *5390:7 0.000111722 +282 *4843:385 *5862:205 0.000240997 +283 *4843:407 *20994:A1 3.81301e-05 +284 *4843:407 *24271:CLK 0.000184977 +285 *4843:407 *24273:CLK 0.000729818 +286 *4843:407 *24275:RESET_B 0.00033913 +287 *4843:407 *5405:11 0.000165481 +288 *4843:407 *5408:5 3.17103e-05 +289 *4843:407 *5859:197 0.000112999 +290 *4843:432 *5380:13 5.04829e-06 +291 *4843:445 *20136:A1 0.000134212 +292 *4843:445 *24810:CLK 0.000154851 +293 *4843:445 *4909:16 0.000153926 +294 *4843:445 *5526:21 0.000175076 +295 *4843:445 *5526:32 7.78568e-06 +296 *4843:445 *5857:171 0.000123582 +297 *4843:445 *5857:178 0.000117018 +298 *4843:457 *4910:12 0.00016345 +299 *4843:457 *5526:21 0.000158528 +300 *4843:469 *24098:A 7.34948e-06 +301 *4843:469 *4910:12 0.000179271 +302 *4843:474 *24739:CLK 0.000489397 +303 *4843:474 *4845:335 1.75554e-05 +304 *4843:488 *5953:32 0.000411032 +305 *4843:488 *5956:52 0.000428267 +306 *4843:490 *5956:52 0.00100763 +307 *4843:509 *20514:A1 0.000205311 +308 *4843:509 *5259:17 5.26446e-05 +309 *4843:516 *24564:CLK 5.23916e-05 +310 *4843:517 *21367:A 0.000343299 +311 *4843:517 *24562:RESET_B 0.000111487 +312 *4843:517 *4914:12 8.71956e-05 +313 *4843:517 *4914:16 0.000229955 +314 *4843:517 *5864:68 2.24484e-05 +315 *4843:517 *5864:70 0.000565505 +316 *4843:525 *20362:A1 2.7961e-05 +317 *4843:525 *21380:A 7.40982e-05 +318 *4843:525 *24287:CLK 0.00067891 +319 *4843:525 *4875:24 0 +320 *4843:525 *4878:111 0.000162325 +321 *4843:525 *4952:8 5.05252e-05 +322 *4843:525 *4952:19 0.00012309 +323 *4843:525 *5871:297 0.000247231 +324 *4843:525 *5871:306 0.000122083 +325 *4843:525 *5871:317 0.000233329 +326 *4843:531 *20362:A1 3.12828e-05 +327 *4843:531 *4844:382 0 +328 *4843:531 *5960:27 0.000638488 +329 *4843:545 *20362:A1 4.0919e-05 +330 *4843:545 *21886:A 0.000205916 +331 *4843:545 *21966:A 0.000163997 +332 *4843:545 *4844:467 9.57203e-05 +333 *4843:555 *20243:B1 0.00126072 +334 *4843:555 *24746:CLK 6.50586e-05 +335 *4843:555 *4944:8 0.000197673 +336 *4843:555 *5856:436 0 +337 *4843:555 *5878:13 0 +338 *4843:568 *24744:RESET_B 0.000163192 +339 *4843:568 *24867:SET_B 1.50841e-06 +340 *4843:568 *24867:CLK 3.03946e-05 +341 *4843:568 *24873:SET_B 4.02373e-05 +342 *4843:568 *5871:48 8.74869e-05 +343 *4843:568 *5871:60 0.00044993 +344 *4843:576 *19688:A1 1.41291e-05 +345 *4843:576 *19688:B1 0.00176184 +346 *4843:576 *19699:A1 2.99843e-05 +347 *4843:576 *24867:CLK 1.43983e-05 +348 *4843:576 *5768:8 0.000113374 +349 *4843:576 *5771:8 6.74667e-05 +350 *4843:576 *5772:15 2.04806e-05 +351 *4843:576 *5860:313 0.000759171 +352 *4843:586 *19712:A1 0.000106933 +353 *4843:586 *24860:CLK 0.00022882 +354 *4843:586 *4924:33 0.000515953 +355 *4843:586 *5759:7 0.000250949 +356 *4843:586 *5917:129 3.28416e-06 +357 *4843:586 *5917:137 2.33551e-05 +358 *4843:591 *21586:A 0.000217143 +359 *4843:591 *24842:RESET_B 9.13394e-06 +360 *4843:591 *5751:7 4.59816e-06 +361 *4843:591 *5755:13 0.000942802 +362 *4843:591 *5756:33 0 +363 *4843:591 *5854:223 0.00048108 +364 *4843:591 *5917:64 0.000111708 +365 *4843:591 *5917:85 4.17142e-05 +366 *4843:603 *5754:28 0.000532772 +367 *4843:603 *5756:8 0.000130024 +368 *4843:603 *5810:13 3.21548e-05 +369 *4843:616 *21768:A 7.12632e-06 +370 *4843:616 *5726:10 0 +371 *4843:616 *5756:8 0 +372 *4843:641 *24505:CLK 0.000136314 +373 *4843:641 *5075:8 2.44829e-05 +374 *4843:641 *5481:19 5.67816e-05 +375 *4843:641 *5481:23 4.89898e-06 +376 *4843:784 *20212:B1 0.000468677 +377 *4843:784 *21431:A 6.9747e-05 +378 *4843:784 *24767:CLK 1.07248e-05 +379 *4843:784 *24770:CLK 3.7061e-05 +380 *4843:784 *4906:55 4.97617e-05 +381 *4843:898 *21427:A 9.75243e-05 +382 *4843:898 *5505:15 0.00100535 +383 *4843:898 *5906:38 0.000107496 +384 *4843:906 *5511:8 4.26566e-05 +385 *4843:916 *20298:A1 1.59756e-05 +386 *4843:935 *20338:A1 4.20662e-05 +387 *4843:935 *23946:A0 0 +388 *4843:935 *24683:CLK 3.93117e-06 +389 *4843:935 *24710:CLK 0.000200221 +390 *4843:935 *5594:13 0.000197202 +391 *4843:936 *24683:RESET_B 0.000224381 +392 *4843:936 *24683:CLK 0.000171288 +393 mgmt_gpio_out[28] *4843:906 0 +394 mgmt_gpio_out[30] *4843:935 0.000194415 +395 *19622:A *4843:633 6.50727e-05 +396 *19641:B *4843:603 0.000728002 +397 *19642:A *4843:603 0.000315191 +398 *19646:A2 *19646:B1 0.000131803 +399 *19646:A2 *4843:603 0.000111722 +400 *19688:A2 *4843:576 4.82966e-05 +401 *19699:A2 *4843:576 2.65831e-05 +402 *19699:B2 *19699:B1 4.80635e-06 +403 *19699:B2 *4843:576 3.072e-06 +404 *19699:B2 *4843:586 1.00937e-05 +405 *19710:A2 *19712:B1 8.01546e-06 +406 *19710:A2 *4843:586 2.41483e-05 +407 *19723:A2 *4843:616 6.92291e-05 +408 *20133:A2 *20167:B1 0 +409 *20135:B2 *4843:445 6.08467e-05 +410 *20139:B2 *4843:457 8.62625e-06 +411 *20212:A2 *4843:784 0.0005361 +412 *20232:A2 *20232:B1 9.79766e-05 +413 *20289:A2 *4843:916 0.000167076 +414 *20289:B2 *4843:906 6.50727e-05 +415 *20293:B2 *4843:898 0.000485606 +416 *20301:B2 *20298:B1 6.3657e-05 +417 *20301:B2 *4843:916 2.2494e-05 +418 *20309:A1 *4843:345 0.0013961 +419 *20312:B2 *4843:474 5.41377e-05 +420 *20313:B1 *24037:A1 0.000111722 +421 *20337:B2 *4843:935 0.000404547 +422 *20338:B1 *4843:935 9.22013e-06 +423 *20360:A2 *4843:545 0.000210466 +424 *20389:A2 *20389:B1 1.61631e-05 +425 *20400:B2 *20400:B1 6.50727e-05 +426 *20428:A2 *20428:B1 7.37368e-05 +427 *20466:B2 *20466:B1 6.50586e-05 +428 *20477:B2 *4843:63 0.000205776 +429 *20480:B2 *4843:63 0.000108087 +430 *20504:A2 *20504:B1 6.50727e-05 +431 *20514:B2 *4843:509 3.179e-05 +432 *20558:B1 *4843:21 9.75243e-05 +433 *20580:B2 *4843:641 0.00026518 +434 *20591:B2 *4843:140 0.000266832 +435 *20595:B2 *4843:126 5.97411e-05 +436 *20618:B2 *20618:B1 6.08467e-05 +437 *20656:A2 *20656:B1 6.08467e-05 +438 *20656:B2 *20656:B1 6.50727e-05 +439 *20696:B2 *20694:B1 5.51483e-06 +440 *20699:B2 *20694:B1 5.51483e-06 +441 *20705:B2 *4843:202 1.64789e-05 +442 *20732:B2 *4843:219 3.18327e-05 +443 *20741:A2 *4843:161 1.70077e-05 +444 *20752:A2 *4843:63 5.97411e-05 +445 *20752:B1 *4843:63 1.00846e-05 +446 *20783:B2 *4843:86 0.000282005 +447 *20801:B2 *20801:B1 1.00981e-05 +448 *20805:A2 *20801:B1 0.000375027 +449 *20848:A2 *4843:488 5.35246e-05 +450 *20848:B1 *4843:488 0.000275414 +451 *20856:B2 *4843:488 0.000243944 +452 *20898:A2 *4843:385 0.000286475 +453 *20898:A2 *4843:393 1.4971e-05 +454 *20902:A2 *4843:421 0.000110949 +455 *20924:B2 *20919:B1 6.08467e-05 +456 *20924:B2 *4843:251 0.000207266 +457 *20924:B2 *4843:289 0.000353672 +458 *20940:A2 *20940:B1 9.51132e-06 +459 *20959:B2 *20959:B1 6.36477e-05 +460 *20994:A2 *4843:407 6.98337e-06 +461 *20994:B2 *4843:407 0.000107496 +462 *21027:B2 *21027:B1 6.64392e-05 +463 *21071:B2 *4843:371 2.57847e-05 +464 *21090:A2 *4843:251 2.20702e-05 +465 *21090:B2 *21090:B1 1.96041e-05 +466 *21090:B2 *4843:253 9.80242e-07 +467 *21142:A2 *21142:B1 5.22654e-06 +468 *21142:B2 *21142:B1 5.68225e-06 +469 *21205:A1 *4843:545 0 +470 *21211:B1 *4843:545 0 +471 *21253:B2 *4843:219 0.00049794 +472 *21326:A2 *4843:545 0.000102632 +473 *21326:B2 *4843:545 0.000160384 +474 *21384:B1 *4843:516 3.79253e-05 +475 *21412:C1 *20656:B1 0.0011133 +476 *21412:C1 *20940:B1 5.76913e-05 +477 *21412:C1 *4843:313 0.000273339 +478 *21998:B2 *20940:B1 0.000110306 +479 *23896:A *4843:898 0.00016337 +480 *23904:A *4843:906 4.70005e-05 +481 *23910:A *4843:935 1.2693e-05 +482 *23952:A0 *4843:898 0 +483 *23996:S *20232:B1 3.58602e-05 +484 *24005:A0 *4843:531 0.000192054 +485 *24042:A1 *20278:B1 6.50727e-05 +486 *24042:S *24031:A1 0 +487 *24192:D *4843:63 1.19721e-05 +488 *24196:D *21142:B1 0 +489 *24215:D *4843:251 0.00016313 +490 *24247:D *21027:B1 0.000119049 +491 *24249:D *4843:352 2.04539e-05 +492 *24299:D *20959:B1 8.09337e-05 +493 *24312:D *20940:B1 2.71504e-05 +494 *24326:D *20919:B1 0 +495 *24337:D *4843:421 6.36477e-05 +496 *24340:D *4843:407 0.000115508 +497 *24386:D *4843:86 0.000130777 +498 *24387:D *4843:86 0.000145532 +499 *24408:D *4843:157 9.78334e-05 +500 *24410:D *4843:161 9.34145e-05 +501 *24416:D *4843:219 0.000272513 +502 *24416:D *4843:235 9.55447e-05 +503 *24494:D *4843:352 0.000101605 +504 *24508:D *4843:126 1.09551e-05 +505 *24508:D *4843:131 0.00013978 +506 *24548:D *20542:B1 3.20069e-06 +507 *24562:D *4843:517 0 +508 *24563:D *4843:509 8.04378e-05 +509 *24564:D *20515:B1 6.08467e-05 +510 *24598:D *20466:B1 0.00012568 +511 *24670:D *4843:545 5.08473e-05 +512 *24683:D *4843:935 0.000513023 +513 *24710:D *4843:935 5.45575e-05 +514 *24716:D *4843:906 1.80122e-05 +515 *24716:D *4843:916 2.20702e-05 +516 *24732:D *20267:B1 4.66492e-05 +517 *24732:D *4843:825 0.000107496 +518 *24740:D *4843:469 0 +519 *24740:D *4843:474 0 +520 *24756:D *4843:525 0.000141924 +521 *24767:D *4843:784 6.08467e-05 +522 *24804:D *4843:18 0 +523 *24806:D *4843:457 2.86013e-06 +524 *24848:D *4843:603 4.44824e-05 +525 *24852:D *4843:591 0.000357912 +526 *24854:D *4843:586 0.000171288 +527 *24860:D *4843:586 0.000172954 +528 *24868:D *4843:576 6.50727e-05 +529 *24884:D *4843:591 4.48391e-05 +530 *476:167 *4843:759 0.000935675 +531 *477:145 *4843:298 0.000881061 +532 *477:152 *4843:298 0.000820249 +533 *485:12 *4843:18 0.000213725 +534 *489:8 *4843:157 0.000284991 +535 *489:8 *4843:161 3.04973e-05 +536 *489:50 *20439:B1 0.000260374 +537 *489:50 *4843:253 0.000780001 +538 *489:93 *4843:157 0.000772518 +539 *489:93 *4843:898 4.00504e-05 +540 *491:8 *20667:B1 9.27628e-06 +541 *497:50 *4843:525 8.59133e-05 +542 *500:48 *4843:421 0.000781884 +543 *500:59 *4843:421 1.69547e-05 +544 *500:59 *4843:432 1.9101e-05 +545 *500:60 *4843:432 0.00113266 +546 *500:60 *4843:457 0.00111763 +547 *503:17 *20232:B1 7.97098e-06 +548 *506:36 *4843:509 0.000754519 +549 *506:36 *4843:516 3.73754e-05 +550 *515:13 *4843:531 1.80257e-05 +551 *518:82 *4843:545 2.652e-05 +552 *524:35 *4843:525 5.65463e-05 +553 *527:22 *4843:348 8.19221e-05 +554 *527:22 *4843:352 3.81929e-05 +555 *529:74 *4843:517 0.000210361 +556 *547:36 *4843:509 0.000640807 +557 *1418:163 *20439:B1 4.95492e-05 +558 *1418:169 *20439:B1 6.7671e-06 +559 *1418:181 *20439:B1 7.74853e-06 +560 *1439:28 *20959:B1 3.81342e-05 +561 *1439:421 *4843:251 7.50722e-05 +562 *1457:5 *4843:633 0.000265997 +563 *1457:31 *4843:633 2.99929e-05 +564 *1494:40 *4843:576 0.000869598 +565 *1502:42 *4843:633 9.54281e-05 +566 *1504:21 *4843:591 0.000364342 +567 *1504:33 *4843:591 5.08751e-05 +568 *1504:45 *4843:586 5.07314e-05 +569 *1504:45 *4843:591 0.000579996 +570 *1520:21 *4843:641 0.000118485 +571 *1551:83 *4843:385 0.000135938 +572 *1557:22 *19613:B1 0.00113886 +573 *1557:62 *4843:488 0.000608461 +574 *1562:9 *4843:345 8.69672e-05 +575 *1565:12 *4843:38 0.000145266 +576 *1566:91 *20389:B1 0.000104292 +577 *1573:90 *4843:469 6.18823e-05 +578 *1583:21 *21027:B1 9.95542e-06 +579 *1584:153 *21027:B1 0.00031839 +580 *1610:44 *21027:B1 4.76108e-05 +581 *1610:54 *4843:352 2.99277e-05 +582 *1614:131 *20919:B1 0.000142194 +583 *1614:131 *4843:251 0.00142505 +584 *1614:131 *4843:289 0.000345947 +585 *1617:8 *4843:759 0.000116986 +586 *1617:12 *4843:307 4.31539e-05 +587 *1617:12 *4843:759 0.00087437 +588 *1619:8 *20466:B1 0.0012286 +589 *1619:58 *20389:B1 7.92757e-06 +590 *1634:30 *4843:302 6.5231e-05 +591 *1635:106 *4843:784 5.12109e-05 +592 *1636:52 *4843:488 6.11359e-06 +593 *1652:41 *4843:407 0.000193042 +594 *1652:41 *4843:421 0.000527706 +595 *1668:47 *4843:641 0.000557376 +596 *1668:50 *4843:633 0.000311261 +597 *1672:5 *19646:B1 0.000253916 +598 *1672:5 *4843:603 0.000250402 +599 *1697:17 *4843:633 0.000229547 +600 *1697:22 *4843:633 0.000986014 +601 *1709:54 *4843:421 0.00081346 +602 *1709:54 *4843:432 9.90599e-06 +603 *1744:24 *4843:517 0 +604 *1744:31 *4843:517 0.000408381 +605 *1744:31 *4843:525 0.00018514 +606 *1753:37 *4843:633 4.75654e-05 +607 *1764:116 *4843:633 2.652e-05 +608 *1771:182 *4843:235 0.000742435 +609 *1779:7 *4843:555 0.00128214 +610 *1779:7 *4843:665 0.00224491 +611 *1783:101 *4843:641 3.79388e-05 +612 *1784:130 *20542:B1 7.50722e-05 +613 *1829:112 *4843:63 0.000211464 +614 *1854:19 *4843:457 0.000210067 +615 *1854:30 *4843:457 1.65872e-05 +616 *1855:8 *4843:457 7.14746e-05 +617 *1864:5 *20167:B1 9.95542e-06 +618 *1884:71 *4843:251 0.000130398 +619 *1886:5 *4843:784 0.000110306 +620 *1901:18 *4843:469 0.000188553 +621 *1905:34 *23965:A1 0.000316339 +622 *1911:62 *4843:898 0.000623286 +623 *1912:10 *4843:235 9.3588e-05 +624 *1912:10 *4843:240 0.00169716 +625 *1912:20 *4843:235 4.27078e-05 +626 *1914:49 *4843:916 0.000154145 +627 *1917:64 *4843:457 0.000251102 +628 *1917:64 *4843:469 4.92439e-05 +629 *1925:68 *4843:935 0.00017347 +630 *1933:70 *4843:531 0.000160617 +631 *1933:70 *4843:545 0.000330261 +632 *1933:72 *4843:545 0.000365815 +633 *1934:26 *4843:531 2.55661e-06 +634 *1934:26 *4843:545 2.15876e-05 +635 *1967:67 *20466:B1 0 +636 *1968:23 *20466:B1 2.15348e-05 +637 *1968:32 *20466:B1 0.000182319 +638 *1968:38 *20466:B1 9.99386e-06 +639 *1982:43 *20515:B1 6.50586e-05 +640 *1994:8 *4843:38 0.000213461 +641 *1994:15 *4843:38 1.03594e-05 +642 *2006:15 *4843:150 1.09738e-05 +643 *2006:30 *4843:150 0.000113968 +644 *2006:61 *4843:150 6.28334e-05 +645 *2030:25 *4843:202 3.68867e-05 +646 *2030:39 *4843:202 0.000597616 +647 *2040:80 *20694:B1 6.08467e-05 +648 *2052:20 *20732:B1 2.1558e-06 +649 *2054:19 *4843:157 9.21854e-06 +650 *2054:19 *4843:161 8.68703e-05 +651 *2058:11 *4843:63 0.000105811 +652 *2077:80 *4843:86 8.45896e-06 +653 *2082:34 *20801:B1 0.000201759 +654 *2119:10 *4843:488 0.000154145 +655 *2135:30 *20879:B1 7.15796e-05 +656 *2135:50 *20879:B1 1.6446e-05 +657 *2135:50 *4843:181 0.000101133 +658 *2136:8 *20879:B1 0.00011818 +659 *2142:61 *4843:385 0.000105515 +660 *2142:67 *4843:385 0.000263263 +661 *2156:67 *4843:312 2.57518e-05 +662 *2156:82 *4843:312 0.000224582 +663 *2177:82 *21027:B1 5.48015e-06 +664 *2203:41 *4843:385 6.5606e-05 +665 *2203:50 *4843:385 3.31882e-05 +666 *2245:24 *20656:B1 5.49916e-05 +667 *2256:101 *4843:348 0.000242083 +668 *2256:101 *4843:352 0.000925557 +669 *2257:11 *20439:B1 0.000182583 +670 *2257:47 *4843:307 0.000107496 +671 *2257:47 *4843:759 0.00320075 +672 *2258:11 *20542:B1 0.000818461 +673 *2258:12 *20629:B1 3.51113e-05 +674 *2258:12 *4843:212 2.72089e-05 +675 *2264:6 *4843:181 0.000217601 +676 *2264:6 *4843:183 0.000141934 +677 *2264:6 *4843:185 6.08473e-05 +678 *2264:15 *4843:189 0 +679 *2266:24 *20959:B1 0.000714029 +680 *2266:24 *4843:302 0.000200794 +681 *2290:10 *21142:B1 0.000144531 +682 *2290:13 *4843:665 0.00413635 +683 *2290:17 *4843:665 4.09471e-05 +684 *2291:61 *4843:345 0.00114535 +685 *2297:71 *4843:312 0.00132453 +686 *2298:8 *4843:38 0.00146097 +687 *2298:8 *4843:43 0.000186445 +688 *2300:44 *4843:457 0.000972077 +689 *2300:44 *4843:469 8.62625e-06 +690 *2306:21 *4843:251 2.2664e-05 +691 *2306:37 *4843:251 0.00110144 +692 *2310:37 *4843:352 0.000251108 +693 *2311:13 *4843:345 0.000281174 +694 *2319:42 *20656:B1 0.000824484 +695 *2333:13 *4843:555 0.000577815 +696 *2333:13 *4843:665 0.00140714 +697 *2333:38 *4843:445 0 +698 *2339:8 *4843:202 0.000628336 +699 *2349:10 *4843:181 0.000374538 +700 *2349:10 *4843:183 0.000215623 +701 *2349:10 *4843:185 0.000218679 +702 *2349:12 *4843:185 8.87683e-07 +703 *2349:12 *4843:189 0.000471141 +704 *2349:12 *4843:202 0.000106981 +705 *2358:8 *4843:202 0.000624738 +706 *2361:13 *21027:B1 0.000309083 +707 *2361:13 *4843:371 0.00143854 +708 *2373:14 *4843:298 9.42691e-05 +709 *2380:7 *4843:150 5.04829e-06 +710 *2384:88 *4843:474 0 +711 *2384:103 *20515:B1 0.0001661 +712 *2385:10 *4843:545 0.000244031 +713 *2386:13 *4843:665 6.11359e-06 +714 *2389:16 *20515:B1 6.50727e-05 +715 *2389:16 *4843:509 3.74542e-05 +716 *2389:96 *4843:345 0.00032004 +717 *2404:60 *4843:345 0.000201756 +718 *2409:6 *20439:B1 7.14746e-05 +719 *2415:19 *20167:B1 0 +720 *2415:40 *4843:345 0.000829484 +721 *2420:10 *4843:576 0.000988771 +722 *2420:15 *4843:555 0.000585477 +723 *2420:29 *4843:555 0.00017315 +724 *2421:18 *4843:251 0.000127896 +725 *2423:16 *4843:516 0.000258114 +726 *2423:93 *4843:345 0.000207677 +727 *2428:16 *4843:545 6.84784e-06 +728 *2428:30 *4843:545 5.60064e-05 +729 *2433:10 *4843:189 9.60216e-05 +730 *2435:23 *4843:555 0.00027206 +731 *2440:10 *4843:525 0.000161902 +732 *2440:16 *4843:517 0.000487228 +733 *2440:16 *4843:525 0.000506499 +734 *2440:42 *4843:517 8.16827e-05 +735 *2441:43 *20667:B1 0.000371743 +736 *2441:47 *20667:B1 0.000370072 +737 *2446:145 *4843:345 0.000768927 +738 *2448:10 *4843:38 6.13324e-05 +739 *2448:20 *4843:38 0.000118546 +740 *2448:20 *4843:86 6.09999e-05 +741 *2448:24 *4843:86 0.000318878 +742 *2448:82 *4843:302 0.000628582 +743 *2448:82 *4843:307 0.000367101 +744 *2448:82 *4843:312 0.000207919 +745 *2448:92 *4843:312 0.000159716 +746 *2464:12 *20667:B1 6.4674e-06 +747 *2468:7 *4843:63 2.16355e-05 +748 *2468:8 *4843:63 0.00033614 +749 *2474:7 *4843:445 0.000251669 +750 *2480:8 *4843:251 0.000127926 +751 *2486:11 *20801:B1 1.80647e-05 +752 *2486:83 *4843:759 0.000139435 +753 *2490:18 *4843:784 7.32082e-05 +754 *2516:8 *4843:603 0 +755 *2516:8 *4843:616 0.00223745 +756 *2520:27 *4843:298 0.000612432 +757 *2523:14 *4843:86 0.000294093 +758 *2523:17 *4843:63 0.00134669 +759 *2528:16 *4843:525 6.51527e-05 +760 *2528:35 *4843:516 1.4091e-06 +761 *2554:8 *4843:240 0.00169716 +762 *2560:16 *4843:545 2.35336e-05 +763 *2560:20 *4843:545 0.000386327 +764 *2584:17 *20267:B1 1.03403e-05 +765 *2584:17 *4843:235 0.000164815 +766 *2584:17 *4843:821 0.00333097 +767 *2584:17 *4843:825 3.10791e-05 +768 *2602:10 *4843:38 0.000731367 +769 *2602:12 *4843:38 4.04843e-05 +770 *2602:12 *4843:43 0.000190057 +771 *2624:6 *4843:63 0.000162082 +772 *2636:14 *4843:445 6.87503e-05 +773 *2676:20 *4843:302 0.000438224 +774 *2676:20 *4843:307 0.000375623 +775 *2676:20 *4843:312 1.09645e-05 +776 *2676:22 *20940:B1 2.33103e-06 +777 *2679:41 *4843:345 0.00141419 +778 *2719:8 *4843:641 0.000122083 +779 *2766:6 *4843:87 0.00372127 +780 *2784:12 *4843:616 0.00127951 +781 *2784:23 *4843:616 0 +782 *2797:19 *4843:235 0.000376195 +783 *2818:23 *4843:43 5.51483e-06 +784 *2818:23 *4843:63 1.41976e-05 +785 *2818:24 *4843:87 0.000142034 +786 *2853:8 *4843:35 5.5311e-05 +787 *2853:8 *4843:86 0.000714226 +788 *2859:7 *4843:665 0.00282381 +789 *2881:10 *20428:B1 4.83622e-05 +790 *2893:13 *20542:B1 0 +791 *2896:49 *4843:432 6.08467e-05 +792 *2896:49 *4843:445 6.72229e-05 +793 *2900:69 *4843:432 0.000124313 +794 *2906:56 *4843:345 0.000585704 +795 *2924:51 *4843:407 0.000700805 +796 *2924:51 *4843:421 0.000207242 +797 *2924:57 *4843:432 0.000458825 +798 *2949:60 *4843:445 0.0011781 +799 *2949:60 *4843:457 0.000431159 +800 *2950:13 *4843:35 0.00036437 +801 *2950:76 *4843:345 8.22964e-06 +802 *2980:6 *4843:545 7.08723e-06 +803 *2996:14 *4843:126 0.000167076 +804 *3010:8 *4843:298 0.000217912 +805 *3010:17 *4843:312 0.000236409 +806 *3022:6 *20629:B1 3.55432e-05 +807 *3022:6 *4843:212 2.39519e-05 +808 *3033:22 *4843:35 0.000180487 +809 *3033:24 *4843:87 0.00233602 +810 *3033:32 *4843:87 0.000134557 +811 *3041:118 *4843:345 8.2532e-05 +812 *3076:23 *4843:345 0.000689381 +813 *3076:26 *4843:345 0.000958867 +814 *3076:67 *19613:B1 3.99086e-06 +815 *3257:28 *20959:B1 1.26672e-05 +816 *3257:36 *20959:B1 0.000135925 +817 *3263:24 *4843:407 2.40593e-05 +818 *3306:32 *20940:B1 1.9101e-05 +819 *4126:54 *4843:43 0.000901192 +820 *4126:54 *4843:63 1.5613e-05 +821 *4807:8 *4843:457 0 +822 *4815:28 *23965:A1 0.000312862 +823 *4816:89 *4843:759 9.22013e-06 +824 *4816:124 *4843:345 0.000100015 +825 *4817:97 *4843:407 0.000140694 +826 *4823:8 *20428:B1 0.000120264 +827 *4823:8 *20504:B1 0.000123597 +828 *4823:8 *4843:131 0.000478656 +829 *4824:90 *4843:161 0.000181333 +830 *4824:122 *20656:B1 5.84166e-05 +831 *4825:28 *20428:B1 9.80067e-06 +832 *4825:181 *20504:B1 0.000142672 +833 *4825:181 *4843:131 0.000211407 +834 *4827:24 *4843:509 0.000927739 +835 *4827:24 *4843:516 0.000101987 +836 *4827:29 *4843:509 2.77191e-05 +837 *4828:15 *20466:B1 0.000224395 +838 *4828:35 *4843:935 5.32821e-05 +839 *4828:100 *4843:469 5.74984e-05 +840 *4829:10 *4843:531 0 +841 *4829:10 *4843:545 0.000144026 +842 *4829:186 *4843:906 2.86013e-06 +843 *4830:17 *4843:898 0.000228804 +844 *4830:17 *4843:906 9.88757e-05 +845 *4830:25 *4843:906 1.53125e-05 +846 *4832:17 *20466:B1 2.42273e-05 +847 *4832:84 *4843:469 0.000414706 +848 *4832:84 *4843:474 0.000863922 +849 *4834:46 *4843:251 9.57557e-06 +850 *4835:7 *4843:906 0.000184611 +851 *4835:7 *4843:916 4.0752e-05 +852 *4838:13 *4843:21 0.00148187 +853 *4838:186 *20400:B1 6.92705e-05 +854 *4839:320 *4843:131 0.000159169 +855 *4840:40 *4843:112 0.000167076 +856 *4840:49 *4843:22 6.08697e-06 +857 *4840:49 *4843:24 3.48089e-05 +858 *4840:90 *4843:86 1.55462e-05 +859 *4840:283 *4843:112 0.00127609 +860 *4840:283 *4843:126 0.0019125 +861 *4840:362 *4843:916 0.000227159 +862 *4841:241 *4843:157 5.00082e-05 +863 *4841:252 *4843:157 0 +864 *4842:42 *4843:63 8.85584e-05 +865 *4842:192 *20504:B1 8.81826e-05 +*RES +1 *23961:X *4843:11 35.6636 +2 *4843:11 *4843:18 34.9853 +3 *4843:18 *4843:21 44.6003 +4 *4843:21 *4843:22 16.3658 +5 *4843:22 *4843:24 3.07775 +6 *4843:24 *4843:35 34.5823 +7 *4843:35 *4843:38 47.442 +8 *4843:38 *4843:43 18.1447 +9 *4843:43 *4843:63 43.0629 +10 *4843:63 *21149:B1 9.24915 +11 *4843:43 *20477:B1 9.24915 +12 *4843:38 *4843:86 39.8973 +13 *4843:86 *4843:87 67.2342 +14 *4843:87 *20389:B1 16.1846 +15 *4843:35 *20553:B1 9.24915 +16 *4843:24 *20400:B1 14.4725 +17 *4843:22 *4843:112 20.1977 +18 *4843:112 *20466:B1 41.9852 +19 *4843:112 *4843:126 36.2421 +20 *4843:126 *4843:131 29.2283 +21 *4843:131 *4843:140 19.7154 +22 *4843:140 *4843:150 22.8376 +23 *4843:150 *4843:157 35.8882 +24 *4843:157 *4843:161 13.8065 +25 *4843:161 *4843:162 4.60562 +26 *4843:162 *20428:B1 40.7888 +27 *4843:162 *4843:181 19.6201 +28 *4843:181 *4843:183 9.30653 +29 *4843:183 *4843:185 9.30653 +30 *4843:185 *4843:189 19.5901 +31 *4843:189 *4843:202 49.5337 +32 *4843:202 *20705:B1 9.24915 +33 *4843:189 *4843:212 32.2151 +34 *4843:212 *4843:219 19.6913 +35 *4843:219 *20732:B1 19.2506 +36 *4843:219 *4843:235 33.8747 +37 *4843:235 *4843:240 40.3149 +38 *4843:240 *4843:251 39.5192 +39 *4843:251 *4843:253 10.1517 +40 *4843:253 *20439:B1 34.4955 +41 *4843:253 *20667:B1 39.598 +42 *4843:251 *21090:B1 11.3711 +43 *4843:240 *4843:289 4.05102 +44 *4843:289 *4843:298 43.7102 +45 *4843:298 *4843:302 19.1005 +46 *4843:302 *4843:307 13.0083 +47 *4843:307 *4843:312 41.8828 +48 *4843:312 *4843:313 0.394091 +49 *4843:313 *20940:B1 20.1648 +50 *4843:313 *4843:345 48.5034 +51 *4843:345 *4843:348 8.73042 +52 *4843:348 *4843:352 23.7726 +53 *4843:352 *21027:B1 35.1708 +54 *4843:352 *4843:371 19.0494 +55 *4843:371 *4843:385 37.8717 +56 *4843:385 *20898:B1 9.24915 +57 *4843:385 *4843:393 6.88721 +58 *4843:393 *4843:407 41.9741 +59 *4843:407 *20994:B1 9.24915 +60 *4843:393 *4843:421 38.2787 +61 *4843:421 *4843:432 28.257 +62 *4843:432 *4843:445 35.5158 +63 *4843:445 *4843:457 49.5378 +64 *4843:457 *4843:469 26.7571 +65 *4843:469 *4843:474 48.2116 +66 *4843:474 *4843:488 40.2329 +67 *4843:488 *4843:490 11.8155 +68 *4843:490 *19613:B1 28.1539 +69 *4843:490 *4843:509 43.5826 +70 *4843:509 *4843:516 18.2106 +71 *4843:516 *4843:517 33.8064 +72 *4843:517 *4843:525 46.5327 +73 *4843:525 *4843:531 17.9347 +74 *4843:531 *4843:545 47.1922 +75 *4843:545 *4843:547 4.5 +76 *4843:547 *4843:555 46.9011 +77 *4843:555 *4843:568 35.9918 +78 *4843:568 *4843:576 46.8008 +79 *4843:576 *4843:586 37.713 +80 *4843:586 *4843:591 48.0708 +81 *4843:591 *4843:593 4.5 +82 *4843:593 *19646:B1 12.191 +83 *4843:593 *4843:603 37.7186 +84 *4843:603 *19722:B1 14.543 +85 *4843:603 *4843:616 49.0908 +86 *4843:616 *4843:633 48.5859 +87 *4843:633 *4843:641 40.8341 +88 *4843:641 *20580:B1 9.24915 +89 *4843:586 *19712:B1 19.6659 +90 *4843:576 *19699:B1 10.2378 +91 *4843:568 *19686:B1 9.24915 +92 *4843:547 *4843:665 60.6206 +93 *4843:665 *21142:B1 25.8947 +94 *4843:531 *20362:B1 13.7491 +95 *4843:517 *20232:B1 19.1264 +96 *4843:509 *20515:B1 20.5732 +97 *4843:469 *20256:B1 13.7491 +98 *4843:457 *24037:A1 15.0271 +99 *4843:445 *20167:B1 29.0745 +100 *4843:432 *20135:B1 9.24915 +101 *4843:371 *21071:B1 9.24915 +102 *4843:348 *20618:B1 14.4725 +103 *4843:312 *20656:B1 33.4625 +104 *4843:307 *4843:759 48.4351 +105 *4843:759 *20694:B1 11.6364 +106 *4843:302 *4843:784 41.5563 +107 *4843:784 *20211:B1 9.24915 +108 *4843:298 *20959:B1 40.8886 +109 *4843:289 *20919:B1 11.6605 +110 *4843:235 *4843:821 47.131 +111 *4843:821 *4843:822 104.301 +112 *4843:822 *4843:825 11.0817 +113 *4843:825 *23965:A1 26.6209 +114 *4843:825 *20267:B1 9.97254 +115 *4843:212 *20629:B1 16.4116 +116 *4843:185 *20542:B1 45.9642 +117 *4843:183 *20782:B1 13.7491 +118 *4843:181 *20879:B1 19.6422 +119 *4843:161 *20801:B1 36.4971 +120 *4843:157 *20743:B1 13.7491 +121 *4843:150 *4843:898 42.7753 +122 *4843:898 *4843:906 24.8953 +123 *4843:906 *20289:B1 9.82786 +124 *4843:906 *4843:916 15.7218 +125 *4843:916 *4843:935 48.447 +126 *4843:935 *4843:936 2.38721 +127 *4843:936 *24031:A1 12.2151 +128 *4843:936 *20278:B1 15.7115 +129 *4843:916 *20298:B1 9.97254 +130 *4843:140 *20591:B1 9.24915 +131 *4843:131 *20504:B1 20.8723 +*END + +*D_NET *4844 0.307186 +*CONN +*I *20552:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20590:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20288:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24032:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20266:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24043:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20731:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20277:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20800:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20503:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20742:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20427:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20878:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20541:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20704:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20388:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20666:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20438:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21089:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20628:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20918:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20958:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20655:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20693:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20993:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20617:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20897:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21070:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21026:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20134:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24048:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20166:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20514:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20231:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20361:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19584:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19685:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19698:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19645:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19711:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19612:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20579:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20255:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20939:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20210:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20781:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20465:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21148:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20476:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20399:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23962:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *20552:B1 0.000112384 +2 *20590:B1 0 +3 *20288:B1 0.00108314 +4 *24032:A1 0.000186305 +5 *20266:B1 0 +6 *24043:A1 0.000146448 +7 *20731:B1 0.00181191 +8 *20277:B1 1.4975e-05 +9 *20800:B1 0.000309601 +10 *20503:B1 0 +11 *20742:B1 0.000454344 +12 *20427:B1 0 +13 *20878:B1 7.72531e-05 +14 *20541:B1 0 +15 *20704:B1 3.78796e-05 +16 *20388:B1 0.00148602 +17 *20666:B1 0 +18 *20438:B1 0 +19 *21089:B1 0 +20 *20628:B1 0.000682983 +21 *20918:B1 0.000603225 +22 *20958:B1 5.39651e-05 +23 *20655:B1 1.41765e-05 +24 *20693:B1 0.000120151 +25 *20993:B1 5.93016e-05 +26 *20617:B1 0.000879497 +27 *20897:B1 0 +28 *21070:B1 5.05409e-05 +29 *21026:B1 0.00108934 +30 *20134:B1 0.000322569 +31 *24048:A1 0.000104833 +32 *20166:B1 0.00021611 +33 *20514:B1 2.3034e-05 +34 *20231:B1 1.98947e-05 +35 *20361:B1 0 +36 *19584:B1 0.00066672 +37 *19685:B1 2.07708e-05 +38 *19698:B1 0 +39 *19645:B1 4.57476e-05 +40 *19711:B1 0 +41 *19612:B1 2.06324e-05 +42 *20579:B1 0 +43 *20255:B1 4.17013e-05 +44 *20939:B1 0.000945216 +45 *20210:B1 0.000118726 +46 *20781:B1 0 +47 *20465:B1 4.89823e-05 +48 *21148:B1 0 +49 *20476:B1 0 +50 *20399:B1 0.000969639 +51 *23962:X 0.0005771 +52 *4844:802 0.00203302 +53 *4844:799 0.000153158 +54 *4844:796 0.00171303 +55 *4844:795 0.00178772 +56 *4844:786 0.000371049 +57 *4844:783 0.00115098 +58 *4844:775 0.00305745 +59 *4844:762 0.00356102 +60 *4844:752 0.00132905 +61 *4844:744 0.00112932 +62 *4844:681 0.00271141 +63 *4844:669 0.00153015 +64 *4844:665 0.00164376 +65 *4844:653 0.00192616 +66 *4844:474 0.00168035 +67 *4844:467 0.00141661 +68 *4844:441 0.00207428 +69 *4844:434 0.0033432 +70 *4844:416 0.00317207 +71 *4844:403 0.00389892 +72 *4844:389 0.00223119 +73 *4844:383 0.00428962 +74 *4844:382 0.0054168 +75 *4844:372 0.00164717 +76 *4844:360 0.00107273 +77 *4844:356 0.0024809 +78 *4844:354 0.00257265 +79 *4844:339 0.00174849 +80 *4844:327 0.00359769 +81 *4844:324 0.00337636 +82 *4844:317 0.00227499 +83 *4844:305 0.00135973 +84 *4844:303 0.00282669 +85 *4844:289 0.00418327 +86 *4844:277 0.0016467 +87 *4844:275 0.000998451 +88 *4844:274 0.00117657 +89 *4844:267 0.00128964 +90 *4844:259 0.00201183 +91 *4844:255 0.00161538 +92 *4844:244 0.00204567 +93 *4844:235 0.00129442 +94 *4844:231 0.000986912 +95 *4844:220 0.00176495 +96 *4844:215 0.000913221 +97 *4844:214 0.00143948 +98 *4844:207 0.00198206 +99 *4844:187 0.00195789 +100 *4844:183 0.00164226 +101 *4844:182 0.00337594 +102 *4844:152 0.00258994 +103 *4844:151 0.00156474 +104 *4844:143 0.00112931 +105 *4844:137 0.000660771 +106 *4844:130 0.00120818 +107 *4844:128 0.000713433 +108 *4844:126 0.00107303 +109 *4844:116 0.000979118 +110 *4844:108 0.00160035 +111 *4844:100 0.00155802 +112 *4844:96 0.00157158 +113 *4844:92 0.000896812 +114 *4844:89 0.00100986 +115 *4844:88 0.00133362 +116 *4844:76 0.00147686 +117 *4844:73 0.00302843 +118 *4844:67 0.0033538 +119 *4844:41 0.00247657 +120 *4844:29 0.00154036 +121 *4844:26 0.00121448 +122 *4844:19 0.00217545 +123 *4844:13 0.000831927 +124 *20166:B1 *5857:189 0.000474295 +125 *20255:B1 *20255:A1 7.50722e-05 +126 *20288:B1 *5893:8 0 +127 *20288:B1 *5932:17 0.000243297 +128 *20388:B1 *20388:A1 3.66648e-05 +129 *20388:B1 *24951:A 0.000695927 +130 *20388:B1 *5867:147 3.81368e-05 +131 *20399:B1 *20398:B1 4.47713e-05 +132 *20399:B1 *20399:A1 0 +133 *20399:B1 *20476:A1 7.86847e-05 +134 *20399:B1 *5251:25 0.000315903 +135 *20617:B1 *20617:A1 6.85769e-05 +136 *20617:B1 *21076:B1 0.000152164 +137 *20617:B1 *4845:281 0.000491454 +138 *20628:B1 *21322:A 6.08467e-05 +139 *20628:B1 *24217:RESET_B 2.54507e-05 +140 *20628:B1 *5865:178 0.000310755 +141 *20628:B1 *5865:190 3.91685e-05 +142 *20655:B1 *21271:A 2.58757e-05 +143 *20693:B1 *20693:A1 0 +144 *20731:B1 *20321:A1 8.39059e-05 +145 *20731:B1 *20731:A1 0.000213676 +146 *20731:B1 *21298:A 0 +147 *20731:B1 *5030:9 0.000710356 +148 *20731:B1 *5714:35 0.000166696 +149 *20800:B1 *4872:180 0 +150 *20800:B1 *5504:18 6.50727e-05 +151 *20800:B1 *5893:8 0 +152 *20878:B1 *5866:601 0.000253916 +153 *20918:B1 *21301:A 7.12965e-05 +154 *20918:B1 *24217:RESET_B 2.02035e-05 +155 *20939:B1 *20939:A1 0.000260962 +156 *20939:B1 *21271:A 0.000206566 +157 *20939:B1 *24469:CLK 2.95631e-05 +158 *20993:B1 *21329:A 2.65831e-05 +159 *21026:B1 *21916:A 0.000110306 +160 *21026:B1 *24245:RESET_B 0.000643642 +161 *21026:B1 *24245:CLK 0.000519673 +162 *21070:B1 *4908:132 6.22259e-05 +163 *24032:A1 *24032:A0 0.000257349 +164 *24043:A1 *5865:640 5.79057e-05 +165 *24048:A1 *24048:A0 0.000107496 +166 *4844:13 *20173:A1 1.09551e-05 +167 *4844:13 *23962:A0 0.000204507 +168 *4844:13 *5898:279 0.000213706 +169 *4844:13 *6001:223 7.77309e-06 +170 *4844:19 *20173:A1 0.000164815 +171 *4844:19 *20173:A2 2.41483e-05 +172 *4844:19 *20174:A2 0.000160446 +173 *4844:19 *5678:37 7.6719e-06 +174 *4844:26 *21151:A1 0 +175 *4844:26 *4869:118 2.48286e-05 +176 *4844:26 *4884:117 0 +177 *4844:26 *4885:29 0.000235613 +178 *4844:26 *4885:31 9.67652e-05 +179 *4844:26 *6019:9 8.07794e-05 +180 *4844:26 *6020:53 0 +181 *4844:41 *21148:A1 0.000498125 +182 *4844:41 *21153:A1 0.000271058 +183 *4844:41 *24585:CLK 1.09551e-05 +184 *4844:41 *24591:CLK 4.38577e-05 +185 *4844:41 *4884:144 5.67857e-05 +186 *4844:41 *5868:397 8.91108e-05 +187 *4844:41 *5868:406 8.97336e-05 +188 *4844:67 *21646:A 0.000260374 +189 *4844:67 *21936:A 0.000324166 +190 *4844:67 *5906:45 0.00208614 +191 *4844:76 *5594:8 3.31733e-05 +192 *4844:88 *4895:48 0 +193 *4844:88 *5594:11 0.00205027 +194 *4844:92 *4845:80 0.000195124 +195 *4844:96 *20503:A1 9.40059e-05 +196 *4844:96 *21276:A 0.000266681 +197 *4844:100 *20427:A1 1.07248e-05 +198 *4844:100 *20746:B1 0 +199 *4844:100 *5338:10 5.03545e-06 +200 *4844:108 *20427:A1 0.000106245 +201 *4844:108 *24625:RESET_B 6.07304e-07 +202 *4844:108 *24625:CLK 0.000103123 +203 *4844:108 *4893:77 4.3116e-06 +204 *4844:108 *4893:84 1.82696e-05 +205 *4844:108 *5888:8 0.000101136 +206 *4844:116 *5888:8 0.000216496 +207 *4844:143 *24217:RESET_B 7.91028e-05 +208 *4844:151 *5880:31 0.000111708 +209 *4844:182 *4902:71 2.02035e-05 +210 *4844:182 *4903:166 1.4091e-06 +211 *4844:183 *4903:126 0.000323687 +212 *4844:183 *5421:9 8.60109e-05 +213 *4844:183 *5859:155 0.000598321 +214 *4844:183 *5859:167 0.000592453 +215 *4844:207 *24469:RESET_B 6.38248e-05 +216 *4844:207 *24469:CLK 4.82426e-05 +217 *4844:207 *4903:61 7.45982e-05 +218 *4844:207 *5417:26 0.000341838 +219 *4844:214 *24444:CLK 0.000213072 +220 *4844:214 *5417:26 0.00155457 +221 *4844:214 *5417:30 1.35134e-05 +222 *4844:214 *5855:223 0.000139039 +223 *4844:214 *5855:227 0.000323228 +224 *4844:214 *5855:244 5.92192e-05 +225 *4844:214 *5904:44 0.000289861 +226 *4844:215 *5861:408 0.000272732 +227 *4844:235 *5593:57 1.02764e-05 +228 *4844:235 *5593:65 8.32399e-05 +229 *4844:244 *20897:A1 1.09551e-05 +230 *4844:244 *21299:A 7.12965e-05 +231 *4844:244 *5862:205 0.000440512 +232 *4844:255 *5853:293 1.87125e-05 +233 *4844:255 *5853:304 0.000359795 +234 *4844:259 *20616:B1 1.19856e-05 +235 *4844:274 *20165:B1 0.000171531 +236 *4844:274 *24019:A1 0.000200498 +237 *4844:274 *4866:102 0.000961968 +238 *4844:275 *5861:482 0.000520719 +239 *4844:277 *20255:A1 7.50872e-05 +240 *4844:277 *24048:A0 0.000433775 +241 *4844:289 *5683:19 0.00186008 +242 *4844:289 *5683:27 1.67988e-05 +243 *4844:303 *24566:CLK 6.41197e-05 +244 *4844:303 *5857:189 0.000260067 +245 *4844:305 *24566:CLK 0.000142574 +246 *4844:317 *19612:A1 0.000205006 +247 *4844:317 *24900:RESET_B 0.000194294 +248 *4844:317 *24901:RESET_B 1.91114e-05 +249 *4844:317 *24927:A 1.41291e-05 +250 *4844:317 *5173:7 0.000140712 +251 *4844:324 *22057:A 9.32891e-05 +252 *4844:324 *24927:A 4.2787e-05 +253 *4844:324 *5864:11 0.000111722 +254 *4844:324 *5870:486 0.000145324 +255 *4844:324 *5870:494 8.78837e-05 +256 *4844:339 *4854:16 0.000140085 +257 *4844:339 *5082:17 0.000237034 +258 *4844:339 *5082:34 1.03403e-05 +259 *4844:354 *21539:A 0.000277317 +260 *4844:354 *4919:15 0.000140223 +261 *4844:354 *5859:258 0.000149291 +262 *4844:354 *5859:262 0.000108471 +263 *4844:356 *20519:B1 1.1573e-05 +264 *4844:356 *23949:A1 8.48215e-05 +265 *4844:356 *24560:CLK 0.000127513 +266 *4844:356 *24561:CLK 0.000166071 +267 *4844:356 *4865:6 0 +268 *4844:356 *4919:15 0.000128264 +269 *4844:356 *4950:57 0.000407438 +270 *4844:356 *5859:262 2.10124e-05 +271 *4844:356 *5859:277 6.89596e-05 +272 *4844:360 *4950:39 0.000251024 +273 *4844:372 *20233:A1 6.50727e-05 +274 *4844:372 *4915:123 4.37999e-05 +275 *4844:372 *4950:11 0.000191526 +276 *4844:372 *4950:39 0.00019218 +277 *4844:382 *20361:A1 6.46887e-05 +278 *4844:382 *24668:CLK 0 +279 *4844:382 *24749:SET_B 1.00981e-05 +280 *4844:382 *4915:6 0.000502284 +281 *4844:382 *4915:10 0.000153225 +282 *4844:382 *4915:114 0 +283 *4844:382 *5199:9 8.55661e-05 +284 *4844:383 *19912:A 1.65872e-05 +285 *4844:383 *20241:A1 0.00025558 +286 *4844:383 *20364:B1 0.00104613 +287 *4844:383 *24663:CLK 0.000156355 +288 *4844:383 *24748:CLK 2.65667e-05 +289 *4844:383 *24872:CLK 0.000118669 +290 *4844:383 *4946:36 0.00014472 +291 *4844:383 *5190:7 1.22615e-05 +292 *4844:389 *24871:SET_B 6.51637e-05 +293 *4844:389 *5772:15 2.44976e-05 +294 *4844:403 *19698:A1 0.000901889 +295 *4844:403 *5851:316 2.46471e-05 +296 *4844:416 *19702:A1 6.11278e-06 +297 *4844:416 *19711:A1 0.000192071 +298 *4844:416 *19713:A1 0.00047703 +299 *4844:416 *5783:10 8.2383e-06 +300 *4844:434 *21716:A 0.000271044 +301 *4844:434 *24851:SET_B 0.000137921 +302 *4844:434 *24853:CLK 1.19721e-05 +303 *4844:434 *24855:CLK 7.31697e-05 +304 *4844:434 *24989:A 0.000154145 +305 *4844:434 *24990:A 4.86986e-05 +306 *4844:434 *5854:194 7.14746e-05 +307 *4844:434 *5854:210 9.92335e-05 +308 *4844:434 *5858:316 2.81262e-05 +309 *4844:434 *5870:64 0.000223232 +310 *4844:441 *5744:8 0.000545102 +311 *4844:441 *5806:43 7.10629e-05 +312 *4844:441 *5854:185 9.92562e-05 +313 *4844:467 *20364:B1 0.000388384 +314 *4844:474 *4877:66 0.00143123 +315 *4844:653 *20541:A1 0.000116539 +316 *4844:653 *4881:182 5.2504e-06 +317 *4844:665 *24212:RESET_B 0.000261834 +318 *4844:665 *24485:RESET_B 0 +319 *4844:665 *4881:182 3.98548e-05 +320 *4844:665 *5301:10 0.00011557 +321 *4844:669 *24212:RESET_B 0.000194093 +322 *4844:681 *19886:A 7.09666e-06 +323 *4844:681 *5861:298 1.48877e-05 +324 *4844:752 *4845:794 0.0013836 +325 *4844:752 *5888:8 0.000118485 +326 *4844:762 *4872:180 0 +327 *4844:762 *5893:8 0 +328 *4844:775 *20287:A1 0.000540623 +329 *4844:775 *24125:A 6.08467e-05 +330 *4844:775 *24960:A 0.00015312 +331 *4844:775 *5906:23 6.1074e-05 +332 *4844:775 *5906:36 0.000366603 +333 *4844:783 *24684:RESET_B 0.000263945 +334 *4844:783 *24684:CLK 6.95605e-05 +335 *4844:783 *4900:41 0.000139462 +336 *4844:786 *20277:A1 0.000111901 +337 *4844:786 *24032:A0 3.92275e-05 +338 *4844:786 *5903:6 0 +339 *4844:796 *24046:A0 0.000236808 +340 *4844:796 *4845:755 0 +341 *4844:796 *5536:8 5.33121e-05 +342 *4844:799 *5557:10 1.41291e-05 +343 *6156:DIODE *20399:B1 2.57847e-05 +344 *6179:DIODE *4844:356 1.43055e-05 +345 *19584:B2 *19584:B1 6.08467e-05 +346 *19603:A *20731:B1 0.000168023 +347 *19612:B2 *4844:324 0.000278068 +348 *19644:B2 *4844:441 1.91246e-05 +349 *19645:A2 *19645:B1 4.31603e-06 +350 *19684:A2 *4844:389 2.65667e-05 +351 *19685:B2 *19685:B1 1.9734e-06 +352 *19685:B2 *4844:403 0.000195019 +353 *19695:A *4844:416 6.50586e-05 +354 *19702:B2 *4844:416 2.92446e-05 +355 *19711:A2 *4844:416 0.000113968 +356 *19715:A2 *4844:434 4.58003e-05 +357 *19915:A1 *4844:383 0.000266832 +358 *19915:B2 *4844:403 5.78582e-05 +359 *19916:B2 *4844:383 0.000111708 +360 *19916:C1 *4844:403 0.000370815 +361 *20030:A1 *4844:403 8.88984e-06 +362 *20030:C1 *4844:403 0.000136784 +363 *20134:B2 *20134:B1 0.000113968 +364 *20169:B2 *20166:B1 2.16355e-05 +365 *20174:B1 *4844:13 0.000189732 +366 *20174:B1 *4844:19 7.68538e-06 +367 *20179:A *4844:13 6.50727e-05 +368 *20203:A2 *4844:324 4.66492e-05 +369 *20210:B2 *20210:B1 2.65667e-05 +370 *20216:A2 *4844:182 5.44609e-06 +371 *20228:A *4844:360 2.14817e-05 +372 *20266:B2 *4844:799 4.31539e-05 +373 *20277:A2 *20277:B1 6.50586e-05 +374 *20277:A2 *4844:795 0.000417492 +375 *20277:B2 *20277:B1 0.000175485 +376 *20277:B2 *4844:795 0.00015511 +377 *20288:B2 *20288:B1 0.000313495 +378 *20293:A2 *20288:B1 0 +379 *20293:A2 *4844:762 0 +380 *20312:B1 *24048:A1 4.23238e-05 +381 *20321:B1 *20731:B1 0.00017577 +382 *20322:B1 *24043:A1 0.000107496 +383 *20388:A2 *20388:B1 5.49916e-05 +384 *20428:A2 *4844:100 0.000214544 +385 *20428:B2 *4844:100 0.000225597 +386 *20428:B2 *4844:108 1.44611e-05 +387 *20465:A2 *20465:B1 3.82228e-05 +388 *20476:B2 *4844:41 7.48797e-05 +389 *20502:A2 *4844:92 5.96592e-05 +390 *20503:A2 *4844:92 3.49272e-05 +391 *20503:A2 *4844:96 5.22654e-06 +392 *20503:B2 *4844:92 0.000212559 +393 *20503:B2 *4844:96 8.62625e-06 +394 *20511:A *4844:356 0 +395 *20514:A2 *4844:303 0.00031543 +396 *20514:B2 *4844:305 6.08467e-05 +397 *20519:A2 *4844:356 0.000379723 +398 *20541:B2 *4844:653 2.01855e-05 +399 *20548:A *20399:B1 0.000805848 +400 *20617:A2 *20617:B1 0.000159246 +401 *20628:B2 *20628:B1 6.50727e-05 +402 *20667:B1 *4844:143 2.37478e-05 +403 *20693:B2 *20693:B1 5.04829e-06 +404 *20700:B *4844:681 6.08467e-05 +405 *20704:A2 *20704:B1 3.8122e-05 +406 *20704:B2 *20704:B1 0.000102003 +407 *20704:B2 *4844:681 0.000542329 +408 *20705:B2 *4844:665 0.000262491 +409 *20727:B *4844:182 7.13655e-06 +410 *20731:A2 *20731:B1 1.65872e-05 +411 *20731:B2 *20731:B1 1.64789e-05 +412 *20781:A2 *4844:126 1.64789e-05 +413 *20800:B2 *20800:B1 3.14978e-05 +414 *20878:B2 *20878:B1 2.43387e-05 +415 *20918:B2 *20918:B1 6.08467e-05 +416 *20939:B2 *20939:B1 1.85547e-05 +417 *20958:A2 *20958:B1 6.50727e-05 +418 *20958:A2 *4844:182 4.78069e-06 +419 *20958:B2 *4844:182 1.96456e-05 +420 *20960:A2 *4844:183 0.000162584 +421 *20962:B2 *4844:182 0.000332511 +422 *20993:A2 *20993:B1 0.000107496 +423 *20993:B2 *20993:B1 0.000253916 +424 *21026:A2 *21026:B1 0.000251655 +425 *21076:A2 *20617:B1 2.86778e-05 +426 *21089:A2 *4844:137 1.47978e-05 +427 *21089:B2 *4844:137 4.80635e-06 +428 *21093:A2 *4844:665 0.00014986 +429 *21151:A2 *4844:26 0.000222684 +430 *21151:B2 *4844:26 7.26165e-05 +431 *21153:B1 *4844:41 0.000149273 +432 *21224:A2 *20231:B1 6.08467e-05 +433 *21364:A2 *4844:356 0.000501061 +434 *21397:B *4844:356 0 +435 *21410:A2 *4844:339 0.000406794 +436 *21588:A1 *4844:389 1.80257e-05 +437 *21588:A1 *4844:403 2.41274e-06 +438 *21712:B2 *20388:B1 0.000115045 +439 *21742:A1 *4844:356 5.316e-05 +440 *22024:A1 *20388:B1 0.00176962 +441 *22024:B1 *4844:681 0 +442 *23910:A *4844:775 2.54369e-05 +443 *24032:S *24032:A1 4.0752e-05 +444 *24046:S *24043:A1 8.78837e-05 +445 *24194:D *4844:26 0.000122992 +446 *24223:D *20617:B1 0.000111708 +447 *24267:D *19584:B1 4.1152e-05 +448 *24267:D *4844:474 1.55025e-05 +449 *24274:D *4844:215 0.000108028 +450 *24296:D *4844:182 6.50586e-05 +451 *24327:D *20918:B1 2.61012e-05 +452 *24392:D *4844:126 7.89747e-05 +453 *24435:D *20704:B1 0.000211492 +454 *24435:D *4844:669 0.000101133 +455 *24435:D *4844:681 6.36477e-05 +456 *24469:D *4844:187 0.000165692 +457 *24495:D *20617:B1 1.37189e-05 +458 *24521:D *4844:339 0.000207266 +459 *24565:D *4844:303 0.000205006 +460 *24565:D *4844:305 1.61631e-05 +461 *24574:D *4844:96 5.2504e-06 +462 *24599:D *4844:76 0.000284048 +463 *24625:D *4844:108 1.01134e-05 +464 *24643:D *20399:B1 0 +465 *24669:D *4844:382 8.39223e-05 +466 *24684:D *4844:783 2.85531e-06 +467 *24694:D *20731:B1 0.000315461 +468 *24721:D *4844:796 0.000127179 +469 *24725:D *4844:786 0.000143032 +470 *24733:D *20731:B1 6.50727e-05 +471 *24742:D *4844:275 0.000101118 +472 *24759:D *4844:441 3.78098e-05 +473 *24761:D *4844:441 0.000143897 +474 *24763:D *4844:182 8.27225e-06 +475 *24769:D *20210:B1 2.16355e-05 +476 *24811:D *20134:B1 6.08467e-05 +477 *24851:D *4844:434 0.000118485 +478 *24853:D *4844:434 6.53312e-05 +479 *24855:D *4844:416 5.65165e-05 +480 *24857:D *4844:416 0.000102639 +481 *24859:D *4844:416 6.92705e-05 +482 *24871:D *4844:403 7.54107e-06 +483 *24872:D *4844:383 2.44829e-05 +484 *144:8 *4844:796 5.54015e-05 +485 *324:19 *4844:231 8.85219e-05 +486 *476:72 *4844:356 5.66868e-06 +487 *477:117 *24032:A1 2.36813e-05 +488 *480:196 *4844:151 3.07561e-05 +489 *482:46 *4844:231 0.000450019 +490 *482:46 *4844:235 0.000336469 +491 *489:78 *4844:681 0.000268824 +492 *491:8 *4844:143 7.86825e-06 +493 *502:24 *4844:235 6.61215e-06 +494 *502:26 *4844:235 0.000626315 +495 *506:32 *4844:303 0.000237066 +496 *507:11 *4844:356 3.18136e-05 +497 *523:11 *4844:474 0.000641395 +498 *524:43 *4844:372 0.000703508 +499 *524:43 *4844:382 6.00842e-05 +500 *530:18 *4844:356 5.4678e-05 +501 *531:41 *20134:B1 9.73327e-06 +502 *539:14 *20617:B1 0.000539951 +503 *539:21 *20617:B1 0.000169257 +504 *539:21 *4844:220 0.000784227 +505 *1418:187 *4844:681 6.02032e-05 +506 *1418:195 *4844:681 0.000150025 +507 *1428:8 *4844:339 0.000353686 +508 *1428:54 *4844:317 0.000224321 +509 *1428:85 *4844:356 0.000182188 +510 *1439:46 *4844:183 0.0015006 +511 *1439:46 *4844:187 0.00024615 +512 *1439:424 *4844:151 0.00101325 +513 *1448:56 *4844:339 6.64047e-05 +514 *1452:51 *4844:317 5.05252e-05 +515 *1452:60 *4844:317 0.000176008 +516 *1469:59 *19645:B1 2.65831e-05 +517 *1470:72 *19645:B1 1.47978e-05 +518 *1492:66 *4844:403 0.000107496 +519 *1499:63 *4844:403 4.91225e-06 +520 *1500:66 *4844:416 0.000270764 +521 *1500:77 *4844:416 3.82228e-05 +522 *1502:57 *4844:434 4.44163e-05 +523 *1504:8 *4844:434 4.83782e-05 +524 *1504:13 *4844:434 2.36813e-05 +525 *1504:86 *4844:416 1.3262e-05 +526 *1504:86 *4844:434 3.2464e-05 +527 *1506:158 *4844:441 0.00078941 +528 *1508:9 *4844:441 0.000273855 +529 *1511:68 *4844:416 7.68784e-05 +530 *1551:75 *4844:255 0 +531 *1551:83 *21070:B1 6.22259e-05 +532 *1555:83 *20277:B1 4.82966e-05 +533 *1557:42 *4844:303 7.08288e-05 +534 *1595:28 *4844:665 0.000415487 +535 *1604:11 *4844:339 3.58951e-06 +536 *1608:113 *4844:182 0.00127686 +537 *1629:40 *4844:665 3.93117e-06 +538 *1636:68 *4844:303 0 +539 *1656:8 *4844:76 0 +540 *1658:119 *4844:182 0.000843425 +541 *1668:28 *4844:403 8.61131e-05 +542 *1671:59 *4844:383 4.52545e-05 +543 *1689:47 *4844:339 0.000924255 +544 *1696:68 *4844:356 7.30002e-05 +545 *1696:72 *4844:382 0.00137979 +546 *1696:96 *4844:356 0.000371339 +547 *1707:32 *20388:B1 3.22124e-05 +548 *1708:137 *20399:B1 0.000166132 +549 *1708:139 *20399:B1 2.81262e-05 +550 *1709:87 *4844:303 0 +551 *1711:7 *4844:339 0.000393863 +552 *1725:201 *20918:B1 8.91507e-05 +553 *1726:6 *4844:441 7.07196e-05 +554 *1731:39 *4844:441 0.00220202 +555 *1742:76 *4844:231 0.000344349 +556 *1742:86 *4844:183 4.96941e-06 +557 *1742:93 *20958:B1 3.45299e-05 +558 *1742:93 *4844:183 0.000464844 +559 *1744:7 *4844:339 7.82162e-06 +560 *1770:31 *20388:B1 0.000314285 +561 *1781:13 *4844:403 7.00169e-05 +562 *1786:25 *4844:441 0 +563 *1789:40 *4844:653 0.000107496 +564 *1790:180 *4844:681 0.000250371 +565 *1800:183 *4844:665 0.000280176 +566 *1853:11 *20134:B1 0.000158371 +567 *1853:11 *4844:267 0.00034926 +568 *1854:5 *20134:B1 1.58551e-05 +569 *1882:31 *4844:324 0.000154145 +570 *1884:71 *20210:B1 0.000151976 +571 *1884:71 *20918:B1 0.000472868 +572 *1884:71 *4844:152 0.00121391 +573 *1893:74 *20231:B1 2.16355e-05 +574 *1894:12 *4844:360 7.46648e-06 +575 *1894:12 *4844:372 0.000425194 +576 *1894:19 *4844:360 6.50206e-05 +577 *1894:46 *4844:360 6.51637e-05 +578 *1899:20 *4844:220 2.1558e-06 +579 *1899:24 *4844:214 0 +580 *1899:24 *4844:215 0.000848588 +581 *1899:24 *4844:220 0.000281454 +582 *1902:45 *20255:B1 3.31733e-05 +583 *1902:59 *20255:B1 7.14746e-05 +584 *1902:59 *4844:275 0.000189496 +585 *1902:59 *4844:277 0.000531394 +586 *1907:38 *4844:796 0.000706555 +587 *1907:47 *4844:796 0.000358538 +588 *1907:56 *4844:796 0.00076592 +589 *1907:66 *4844:795 0.000102003 +590 *1907:66 *4844:796 0.000351476 +591 *1908:76 *24032:A1 5.73014e-05 +592 *1908:76 *4844:786 5.8356e-05 +593 *1910:53 *20288:B1 0 +594 *1910:59 *20288:B1 0 +595 *1917:11 *20617:B1 0.000308989 +596 *1917:11 *4844:220 0.000244974 +597 *1933:52 *4844:372 0.000145489 +598 *1933:52 *4844:382 1.2693e-05 +599 *1933:62 *4844:382 1.26168e-05 +600 *1934:26 *4844:382 4.21114e-05 +601 *1958:31 *4844:130 1.79196e-05 +602 *1958:31 *4844:137 6.10997e-05 +603 *1970:45 *20399:B1 1.2693e-05 +604 *1970:52 *20399:B1 5.01668e-05 +605 *1971:22 *20399:B1 0 +606 *1971:24 *20399:B1 0 +607 *1980:79 *4844:92 0.000513944 +608 *1982:91 *4844:303 4.77168e-07 +609 *1991:43 *4844:653 0.000113968 +610 *1991:48 *4844:653 2.22198e-05 +611 *1994:35 *20552:B1 7.38072e-05 +612 *1994:35 *4844:73 6.46135e-05 +613 *1995:26 *20552:B1 5.22654e-06 +614 *1995:30 *20552:B1 5.65074e-05 +615 *2003:71 *4844:339 3.82228e-05 +616 *2006:44 *4844:89 0.000115827 +617 *2006:44 *4844:744 1.65872e-05 +618 *2007:32 *4844:88 0 +619 *2007:37 *4844:88 0 +620 *2007:37 *4844:89 0.000931313 +621 *2007:37 *4844:744 0.00073191 +622 *2007:52 *4844:744 0.000107496 +623 *2007:52 *4844:752 2.95757e-05 +624 *2018:25 *4844:665 2.02035e-05 +625 *2018:44 *4844:665 0.000132609 +626 *2028:72 *20655:B1 6.27782e-05 +627 *2031:7 *4844:653 9.19632e-06 +628 *2043:8 *4844:665 0.00012063 +629 *2053:10 *20628:B1 0.000757827 +630 *2053:10 *4844:151 0.000169639 +631 *2055:20 *20742:B1 5.56461e-05 +632 *2055:34 *20742:B1 0.000809168 +633 *2055:34 *4844:100 0.00036137 +634 *2077:19 *4844:126 0.000111722 +635 *2077:29 *4844:126 2.68765e-05 +636 *2100:12 *4844:303 0 +637 *2135:70 *20878:B1 3.50157e-05 +638 *2135:70 *4844:116 0.000122098 +639 *2142:67 *4844:244 0.000111722 +640 *2170:48 *4844:215 1.72347e-05 +641 *2170:48 *4844:220 0.000289322 +642 *2170:58 *20993:B1 4.66492e-05 +643 *2203:8 *4844:255 0.000560642 +644 *2203:12 *4844:255 0.000661322 +645 *2203:34 *4844:255 7.78431e-05 +646 *2208:80 *4844:665 8.62625e-06 +647 *2208:80 *4844:669 0.000190943 +648 *2208:103 *4844:137 1.70925e-05 +649 *2209:7 *4844:137 1.99131e-05 +650 *2209:17 *4844:137 0.00141803 +651 *2232:67 *4844:26 0.000916795 +652 *2233:8 *4844:26 0.000126285 +653 *2233:10 *4844:26 0.000403396 +654 *2243:8 *20210:B1 0.000160202 +655 *2243:8 *20918:B1 8.74104e-05 +656 *2243:8 *4844:152 0.00121273 +657 *2245:7 *20918:B1 0.000124869 +658 *2245:11 *20918:B1 0.000708057 +659 *2250:16 *20628:B1 0.000835324 +660 *2258:22 *20918:B1 1.61631e-05 +661 *2266:24 *4844:183 0.000105574 +662 *2270:133 *4844:356 0.000112581 +663 *2273:6 *4844:372 9.61745e-05 +664 *2278:28 *4844:267 0.000849683 +665 *2282:59 *4844:220 0.000111708 +666 *2290:30 *4844:382 0 +667 *2294:12 *20878:B1 0.000404547 +668 *2297:26 *20731:B1 0.000144531 +669 *2297:26 *4844:796 0 +670 *2297:26 *4844:802 0.000212506 +671 *2321:36 *4844:182 7.95381e-05 +672 *2333:38 *20255:B1 1.2693e-05 +673 *2333:38 *4844:275 0.000645619 +674 *2333:38 *4844:277 9.22013e-06 +675 *2334:7 *20939:B1 0.000775484 +676 *2334:15 *20939:B1 5.62568e-05 +677 *2339:8 *4844:108 9.93675e-05 +678 *2339:8 *4844:116 0.000843985 +679 *2339:8 *4844:130 0.000107038 +680 *2348:8 *4844:126 0.00148418 +681 *2348:8 *4844:128 7.45404e-05 +682 *2348:8 *4844:130 0.0018193 +683 *2348:8 *4844:137 0.000162483 +684 *2358:8 *4844:116 5.77352e-05 +685 *2360:13 *20731:B1 7.6719e-06 +686 *2369:24 *4844:116 3.25394e-05 +687 *2379:17 *4844:303 1.08722e-05 +688 *2385:13 *4844:474 0.000253916 +689 *2388:8 *4844:356 0 +690 *2391:19 *20993:B1 4.89898e-06 +691 *2391:89 *4844:207 0.000107496 +692 *2392:24 *4844:303 0.00259944 +693 *2393:22 *4844:356 0 +694 *2394:36 *4844:259 1.82679e-05 +695 *2394:41 *4844:244 0.000531125 +696 *2397:5 *4844:244 0.000300565 +697 *2397:5 *4844:255 0.000154145 +698 *2404:7 *4844:653 1.00937e-05 +699 *2411:5 *20166:B1 2.16355e-05 +700 *2421:67 *20939:B1 0.000628309 +701 *2423:16 *4844:356 9.60315e-05 +702 *2425:21 *4844:267 5.05252e-05 +703 *2425:21 *4844:274 0.000427544 +704 *2441:43 *4844:137 0.000107496 +705 *2445:7 *4844:416 1.65872e-05 +706 *2448:49 *20628:B1 6.08467e-05 +707 *2448:69 *20628:B1 0.000328019 +708 *2451:13 *24032:A1 0.000271044 +709 *2467:20 *20288:B1 0.000215842 +710 *2468:79 *4844:231 0.000158357 +711 *2468:130 *4844:327 0.000739663 +712 *2468:130 *4844:339 0.000321723 +713 *2473:39 *20958:B1 3.24096e-05 +714 *2473:39 *4844:183 0.000259185 +715 *2474:7 *4844:259 0.000351426 +716 *2479:8 *4844:231 0.000154145 +717 *2493:8 *20628:B1 2.25622e-05 +718 *2493:8 *4844:151 0.000173236 +719 *2520:27 *4844:182 0.000133668 +720 *2520:72 *4844:231 0.000136827 +721 *2559:9 *4844:474 0.00146698 +722 *2560:20 *4844:467 0.000237038 +723 *2570:10 *4844:88 8.62625e-06 +724 *2585:31 *4844:372 0.000657196 +725 *2601:23 *4844:681 4.27003e-05 +726 *2602:32 *20388:B1 0 +727 *2610:63 *4844:244 0.000532336 +728 *2624:6 *4844:26 0 +729 *2630:9 *4844:259 8.88984e-06 +730 *2635:80 *4844:255 4.04447e-05 +731 *2636:17 *21026:B1 0.00035711 +732 *2687:20 *4844:796 0.00220109 +733 *2728:41 *20388:B1 0.000149408 +734 *2738:13 *20388:B1 0 +735 *2738:13 *4844:182 0.0012979 +736 *2770:16 *4844:669 0.000152074 +737 *2773:7 *4844:327 0.000737658 +738 *2794:9 *20693:B1 2.8182e-06 +739 *2797:30 *20628:B1 0.000108161 +740 *2797:30 *4844:143 0.00042041 +741 *2797:36 *4844:137 0.00122774 +742 *2803:13 *4844:441 0.0010017 +743 *2809:6 *4844:76 0.00110283 +744 *2813:26 *20731:B1 0.000148129 +745 *2813:26 *4844:796 0.00058628 +746 *2813:26 *4844:802 0.000205364 +747 *2813:42 *4844:214 0.000242008 +748 *2813:42 *4844:215 0.00015679 +749 *2813:47 *4844:215 4.1946e-06 +750 *2818:12 *20552:B1 4.18989e-05 +751 *2818:12 *4844:73 0.000153225 +752 *2836:8 *4844:441 0 +753 *2845:14 *20388:B1 1.34848e-05 +754 *2869:18 *4844:126 0.00149428 +755 *2869:18 *4844:128 8.52652e-05 +756 *2869:18 *4844:130 0.0013968 +757 *2875:12 *4844:324 0.000242679 +758 *2876:8 *4844:324 5.51665e-05 +759 *2878:14 *4844:441 3.25122e-05 +760 *2880:20 *4844:303 0.00011083 +761 *2881:18 *4844:665 0 +762 *2886:8 *4844:665 0.000127191 +763 *2893:13 *4844:653 8.92568e-06 +764 *2893:13 *4844:665 0.000113373 +765 *2896:45 *4844:259 0.00054143 +766 *2896:45 *4844:267 9.13631e-05 +767 *2906:63 *4844:255 0.00057915 +768 *2906:63 *4844:259 3.82228e-05 +769 *2908:12 *4844:255 0.000356079 +770 *2908:65 *4844:259 0.000734576 +771 *2908:65 *4844:267 0.00105781 +772 *2926:9 *4844:434 0.00050655 +773 *2930:17 *21026:B1 5.60976e-05 +774 *2930:21 *21026:B1 4.30017e-06 +775 *2962:33 *4844:356 0.00018831 +776 *2962:33 *4844:360 1.47616e-05 +777 *2962:33 *4844:382 2.65469e-05 +778 *2965:13 *4844:416 2.22342e-05 +779 *2965:13 *4844:434 4.26859e-05 +780 *2978:90 *4844:289 0.000154145 +781 *3022:12 *4844:151 0.000820178 +782 *3052:13 *4844:267 0.000345048 +783 *3070:20 *4844:681 5.62528e-05 +784 *3070:32 *4844:681 8.74356e-06 +785 *3076:58 *4844:303 0.000296186 +786 *3091:10 *20166:B1 0.000510184 +787 *3091:10 *4844:303 0.000275448 +788 *3106:229 *4844:681 0.000234456 +789 *3860:22 *4844:41 0.000612483 +790 *4796:9 *4844:13 6.60196e-05 +791 *4806:81 *4844:267 4.33979e-05 +792 *4806:81 *4844:274 0.000429599 +793 *4810:8 *4844:231 0.000152775 +794 *4810:22 *4844:231 5.06534e-05 +795 *4810:22 *4844:235 0.000838409 +796 *4818:16 *4844:207 0.00034898 +797 *4818:16 *4844:214 0.000834381 +798 *4820:64 *4844:244 1.65872e-05 +799 *4820:70 *20617:B1 3.31745e-05 +800 *4820:78 *4844:183 5.20045e-05 +801 *4820:78 *4844:187 3.54155e-05 +802 *4822:27 *4844:88 0.000422932 +803 *4823:8 *4844:96 0 +804 *4824:70 *4844:752 4.09467e-05 +805 *4824:84 *20742:B1 0.000250405 +806 *4825:180 *4844:96 0 +807 *4825:180 *4844:100 0 +808 *4825:181 *4844:92 0.000121121 +809 *4828:15 *20465:B1 0.000160617 +810 *4828:15 *4844:88 0.00209692 +811 *4829:10 *4844:382 0 +812 *4829:14 *4844:382 0 +813 *4829:20 *4844:372 0.000231954 +814 *4830:84 *4844:356 0 +815 *4832:21 *20465:B1 7.92757e-06 +816 *4832:21 *4844:88 7.68538e-06 +817 *4833:188 *4844:775 4.15559e-05 +818 *4839:64 *20399:B1 0.000119727 +819 *4839:320 *4844:752 0.00183351 +820 *4839:339 *4844:752 0.000284385 +821 *4840:9 *4844:13 0.000440686 +822 *4840:9 *4844:19 0.000967662 +823 *4840:9 *4844:67 0.000301469 +824 *4840:40 *4844:73 0.000223626 +825 *4840:283 *4844:73 0.000607676 +826 *4841:22 *4844:26 0.000171893 +827 *4843:531 *4844:382 0 +828 *4843:545 *4844:467 9.57203e-05 +*RES +1 *23962:X *4844:13 39.9905 +2 *4844:13 *4844:19 12.0084 +3 *4844:19 *4844:26 48.3457 +4 *4844:26 *4844:29 5.2234 +5 *4844:29 *4844:41 43.4967 +6 *4844:41 *20399:B1 46.777 +7 *4844:41 *20476:B1 9.24915 +8 *4844:29 *21148:B1 9.24915 +9 *4844:19 *4844:67 34.6174 +10 *4844:67 *4844:73 49.5443 +11 *4844:73 *4844:76 24.1878 +12 *4844:76 *20465:B1 11.0817 +13 *4844:76 *4844:88 49.9344 +14 *4844:88 *4844:89 12.9247 +15 *4844:89 *4844:92 17.5438 +16 *4844:92 *4844:96 20.8658 +17 *4844:96 *4844:100 21.0402 +18 *4844:100 *4844:108 27.8015 +19 *4844:108 *4844:116 23.0154 +20 *4844:116 *20781:B1 9.24915 +21 *4844:116 *4844:126 33.7978 +22 *4844:126 *4844:128 1.41674 +23 *4844:128 *4844:130 33.3912 +24 *4844:130 *4844:137 27.1818 +25 *4844:137 *4844:143 8.83271 +26 *4844:143 *4844:151 27.4696 +27 *4844:151 *4844:152 24.4632 +28 *4844:152 *20210:B1 17.7579 +29 *4844:152 *4844:182 48.6014 +30 *4844:182 *4844:183 52.0775 +31 *4844:183 *4844:187 9.23876 +32 *4844:187 *20939:B1 35.7496 +33 *4844:187 *4844:207 22.0213 +34 *4844:207 *4844:214 47.5458 +35 *4844:214 *4844:215 16.3658 +36 *4844:215 *4844:220 19.527 +37 *4844:220 *4844:231 31.1488 +38 *4844:231 *4844:235 23.565 +39 *4844:235 *4844:244 31.5607 +40 *4844:244 *4844:255 48.0343 +41 *4844:255 *4844:259 22.4253 +42 *4844:259 *4844:267 33.3251 +43 *4844:267 *4844:274 28.7369 +44 *4844:274 *4844:275 26.7471 +45 *4844:275 *4844:277 9.72179 +46 *4844:277 *20255:B1 15.5811 +47 *4844:277 *4844:289 32.4621 +48 *4844:289 *4844:303 32.8447 +49 *4844:303 *4844:305 5.71483 +50 *4844:305 *4844:317 27.0198 +51 *4844:317 *4844:324 34.9825 +52 *4844:324 *4844:327 29.8642 +53 *4844:327 *4844:339 41.1974 +54 *4844:339 *20579:B1 9.24915 +55 *4844:317 *19612:B1 9.82786 +56 *4844:305 *4844:354 17.0308 +57 *4844:354 *4844:356 60.1749 +58 *4844:356 *4844:360 7.48675 +59 *4844:360 *4844:372 39.6328 +60 *4844:372 *4844:382 45.1631 +61 *4844:382 *4844:383 62.2844 +62 *4844:383 *4844:389 12.2493 +63 *4844:389 *4844:403 44.6628 +64 *4844:403 *4844:416 42.9252 +65 *4844:416 *19711:B1 13.7491 +66 *4844:416 *4844:434 49.7149 +67 *4844:434 *4844:441 49.9003 +68 *4844:441 *19645:B1 15.0513 +69 *4844:403 *19698:B1 9.24915 +70 *4844:389 *19685:B1 9.82786 +71 *4844:382 *4844:467 17.9291 +72 *4844:467 *4844:474 47.5271 +73 *4844:474 *19584:B1 24.4405 +74 *4844:372 *20361:B1 13.7491 +75 *4844:360 *20231:B1 14.4725 +76 *4844:303 *20514:B1 9.82786 +77 *4844:289 *20166:B1 23.7791 +78 *4844:275 *24048:A1 16.1364 +79 *4844:267 *20134:B1 20.2115 +80 *4844:259 *21026:B1 40.5148 +81 *4844:244 *21070:B1 19.6659 +82 *4844:235 *20897:B1 9.24915 +83 *4844:220 *20617:B1 34.6162 +84 *4844:215 *20993:B1 16.691 +85 *4844:207 *20693:B1 15.6059 +86 *4844:183 *20655:B1 14.4725 +87 *4844:182 *20958:B1 15.8893 +88 *4844:151 *20918:B1 35.0587 +89 *4844:143 *20628:B1 42.9988 +90 *4844:137 *21089:B1 9.24915 +91 *4844:130 *20438:B1 13.7491 +92 *4844:128 *20666:B1 13.7491 +93 *4844:126 *4844:653 21.6776 +94 *4844:653 *4844:665 41.3298 +95 *4844:665 *4844:669 14.637 +96 *4844:669 *4844:681 48.7563 +97 *4844:681 *20388:B1 43.4808 +98 *4844:669 *20704:B1 11.6364 +99 *4844:653 *20541:B1 13.7491 +100 *4844:108 *20878:B1 18.3548 +101 *4844:100 *20427:B1 13.7491 +102 *4844:96 *20742:B1 23.4759 +103 *4844:92 *20503:B1 13.7491 +104 *4844:89 *4844:744 9.04245 +105 *4844:744 *4844:752 46.4192 +106 *4844:752 *20800:B1 21.2876 +107 *4844:752 *4844:762 3.90826 +108 *4844:762 *4844:775 44.9861 +109 *4844:775 *4844:783 17.6176 +110 *4844:783 *4844:786 11.315 +111 *4844:786 *20277:B1 11.0817 +112 *4844:786 *4844:795 10.2148 +113 *4844:795 *4844:796 68.6876 +114 *4844:796 *4844:799 6.3326 +115 *4844:799 *4844:802 8.40826 +116 *4844:802 *20731:B1 48.6028 +117 *4844:802 *24043:A1 17.8002 +118 *4844:799 *20266:B1 9.24915 +119 *4844:783 *24032:A1 21.4297 +120 *4844:762 *20288:B1 40.1357 +121 *4844:744 *20590:B1 9.24915 +122 *4844:67 *20552:B1 17.2421 +*END + +*D_NET *4845 0.279473 +*CONN +*I *20551:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20502:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20287:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20741:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20799:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20265:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24046:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24033:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20276:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20730:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20627:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20665:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20437:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20703:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20387:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21088:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20917:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20957:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20692:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20654:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20938:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21069:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20992:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21025:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20896:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20616:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20133:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24019:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20165:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20254:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20513:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20230:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20360:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19684:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20578:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19644:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19697:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19710:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19611:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20209:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20877:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20780:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20426:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20540:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20589:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20464:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20475:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20398:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21147:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23963:X O *D sky130_fd_sc_hd__mux2_8 +*CAP +1 *20551:B1 0 +2 *20502:B1 0 +3 *20287:B1 0.000165634 +4 *20741:B1 0 +5 *20799:B1 8.11931e-05 +6 *20265:B1 2.92047e-05 +7 *24046:A1 0.00029079 +8 *24033:A1 0.000237975 +9 *20276:B1 1.47608e-05 +10 *20730:B1 4.1488e-05 +11 *20627:B1 0 +12 *20665:B1 0.000739324 +13 *20437:B1 9.25529e-05 +14 *20703:B1 5.13845e-05 +15 *20387:B1 0 +16 *21088:B1 2.86212e-05 +17 *20917:B1 0.000145737 +18 *20957:B1 0.000264156 +19 *20692:B1 0.00136224 +20 *20654:B1 0.000113885 +21 *20938:B1 0.00067198 +22 *21069:B1 9.74412e-05 +23 *20992:B1 0.000169447 +24 *21025:B1 0 +25 *20896:B1 0 +26 *20616:B1 0.000665164 +27 *20133:B1 0 +28 *24019:A1 0.000475688 +29 *20165:B1 0.0005696 +30 *20254:B1 0.000362539 +31 *20513:B1 0 +32 *20230:B1 0 +33 *20360:B1 7.38747e-05 +34 *19684:B1 0 +35 *20578:B1 0.000395588 +36 *19644:B1 0 +37 *19697:B1 2.3451e-05 +38 *19710:B1 0 +39 *19611:B1 0.00065547 +40 *20209:B1 0.000169332 +41 *20877:B1 0.000304251 +42 *20780:B1 0.000505883 +43 *20426:B1 3.04025e-05 +44 *20540:B1 0.000771988 +45 *20589:B1 2.06324e-05 +46 *20464:B1 0 +47 *20475:B1 0 +48 *20398:B1 0.00107689 +49 *21147:B1 0 +50 *23963:X 0.000599724 +51 *4845:794 0.00212301 +52 *4845:755 0.00108396 +53 *4845:734 0.000296292 +54 *4845:731 0.00175571 +55 *4845:678 0.00104856 +56 *4845:670 0.0043004 +57 *4845:668 0.00450491 +58 *4845:666 0.00219841 +59 *4845:663 0.000982208 +60 *4845:662 0.000417844 +61 *4845:575 0.00136675 +62 *4845:548 0.00145557 +63 *4845:516 0.00104529 +64 *4845:477 0.00247001 +65 *4845:474 0.00316062 +66 *4845:466 0.00206652 +67 *4845:463 0.00260335 +68 *4845:443 0.00140539 +69 *4845:432 0.00213068 +70 *4845:425 0.00289278 +71 *4845:418 0.000671815 +72 *4845:415 0.00267431 +73 *4845:412 0.00381824 +74 *4845:404 0.0013217 +75 *4845:402 7.80166e-05 +76 *4845:401 0.000447739 +77 *4845:396 0.00176505 +78 *4845:382 0.00274493 +79 *4845:381 0.00231341 +80 *4845:359 0.00246218 +81 *4845:349 0.0023847 +82 *4845:344 0.00299811 +83 *4845:335 0.00246312 +84 *4845:328 0.00159731 +85 *4845:326 0.000947704 +86 *4845:318 0.00117312 +87 *4845:316 0.00201283 +88 *4845:315 0.00112887 +89 *4845:303 0.000990883 +90 *4845:296 0.0020864 +91 *4845:290 0.00015104 +92 *4845:289 0.000298013 +93 *4845:281 0.00106816 +94 *4845:270 0.00148782 +95 *4845:262 0.0017815 +96 *4845:254 0.000731862 +97 *4845:252 0.00301178 +98 *4845:250 0.00206208 +99 *4845:241 0.00158914 +100 *4845:229 0.00231102 +101 *4845:220 0.00219549 +102 *4845:212 0.000981914 +103 *4845:210 0.00112157 +104 *4845:209 0.00128246 +105 *4845:205 0.00120761 +106 *4845:183 0.00234305 +107 *4845:171 0.00234738 +108 *4845:167 0.00147483 +109 *4845:151 0.00172417 +110 *4845:112 0.00152068 +111 *4845:107 0.00135423 +112 *4845:106 0.000561467 +113 *4845:102 0.00101686 +114 *4845:95 0.00312414 +115 *4845:85 0.000874284 +116 *4845:82 0.000474006 +117 *4845:80 0.000997412 +118 *4845:69 0.00112945 +119 *4845:63 0.00203438 +120 *4845:55 0.00288922 +121 *4845:26 0.00169414 +122 *4845:21 0.00240721 +123 *4845:11 0.00184308 +124 *4845:10 0.00163972 +125 *19611:B1 *4868:21 0.000797143 +126 *19611:B1 *4868:33 0.000622422 +127 *19611:B1 *5859:277 0.000180264 +128 *20165:B1 *21246:A 3.99086e-06 +129 *20165:B1 *24791:CLK 0.000107496 +130 *20165:B1 *4866:102 2.59452e-05 +131 *20165:B1 *5239:15 4.11658e-05 +132 *20209:B1 *21179:A 1.2601e-05 +133 *20254:B1 *20254:A1 1.41976e-05 +134 *20254:B1 *24742:RESET_B 0.000138843 +135 *20254:B1 *24788:RESET_B 0.000112361 +136 *20276:B1 *20276:A1 6.08467e-05 +137 *20287:B1 *20287:A1 0.000198737 +138 *20287:B1 *4899:76 8.52489e-05 +139 *20398:B1 *20476:A1 0.000589182 +140 *20398:B1 *24591:RESET_B 0.000333359 +141 *20398:B1 *24591:CLK 0.000408745 +142 *20426:B1 *20426:A1 5.04829e-06 +143 *20540:B1 *20540:A1 7.07114e-05 +144 *20540:B1 *21877:A 0 +145 *20540:B1 *24548:CLK 0 +146 *20540:B1 *5143:8 0 +147 *20540:B1 *5890:14 0.000137756 +148 *20578:B1 *24522:CLK 8.84978e-05 +149 *20578:B1 *5856:396 1.28732e-05 +150 *20578:B1 *5856:405 0.000115349 +151 *20654:B1 *20654:A1 6.08467e-05 +152 *20665:B1 *20665:A1 0.000714501 +153 *20665:B1 *5921:94 3.51034e-05 +154 *20877:B1 *20879:A1 6.08467e-05 +155 *20877:B1 *21425:A 2.95757e-05 +156 *20877:B1 *4990:8 0.000160482 +157 *20877:B1 *5891:26 0.000135932 +158 *20917:B1 *21238:A 6.50727e-05 +159 *20917:B1 *4902:57 1.48603e-05 +160 *20938:B1 *21257:A 2.65831e-05 +161 *20938:B1 *5434:9 7.34948e-06 +162 *20938:B1 *5904:45 6.08467e-05 +163 *20957:B1 *5853:208 9.17372e-06 +164 *21069:B1 *21244:A 1.58551e-05 +165 *24019:A1 *4866:102 9.70647e-05 +166 *24033:A1 *20276:A1 8.47669e-05 +167 *24033:A1 *24033:A0 5.04879e-05 +168 *24033:A1 *5903:6 0.000339149 +169 *24046:A1 *24046:A0 0.000211407 +170 *4845:10 *23963:A0 0.000129171 +171 *4845:10 *24181:RESET_B 3.6452e-05 +172 *4845:10 *4881:78 7.3923e-05 +173 *4845:10 *5671:301 0.000200132 +174 *4845:10 *6001:211 0.000344523 +175 *4845:21 *21147:A1 0.000261877 +176 *4845:21 *21221:A 4.24629e-05 +177 *4845:26 *20479:A1 0 +178 *4845:55 *20148:A1 2.99978e-05 +179 *4845:55 *20551:A1 6.41655e-05 +180 *4845:63 *5907:43 0.000161092 +181 *4845:63 *5907:47 0.00254408 +182 *4845:69 *5907:43 1.28832e-05 +183 *4845:80 *20464:A1 0.000256971 +184 *4845:80 *5504:26 0.00034914 +185 *4845:80 *5907:33 0.00365282 +186 *4845:80 *5907:43 2.404e-05 +187 *4845:85 *20502:A1 0.000377813 +188 *4845:95 *20589:A1 0.00016789 +189 *4845:95 *5504:18 4.43826e-05 +190 *4845:102 *4896:30 3.60268e-05 +191 *4845:106 *4872:136 0.000582825 +192 *4845:107 *5857:46 0.000126893 +193 *4845:112 *20427:A1 0.000339395 +194 *4845:112 *4893:84 9.60366e-05 +195 *4845:112 *5147:9 0.000163008 +196 *4845:112 *5857:46 0.000204052 +197 *4845:151 *5857:46 0.000142734 +198 *4845:151 *5891:26 1.16596e-05 +199 *4845:171 *21781:A 0 +200 *4845:171 *5857:60 0.00056387 +201 *4845:171 *5867:622 0.000248819 +202 *4845:171 *5867:636 1.44467e-05 +203 *4845:183 *21256:A 1.95554e-05 +204 *4845:183 *24412:SET_B 6.28168e-05 +205 *4845:183 *5025:10 3.77804e-05 +206 *4845:183 *5867:593 0.000689595 +207 *4845:205 *20627:A1 2.57365e-05 +208 *4845:205 *21255:A 0 +209 *4845:205 *24959:A 8.98169e-05 +210 *4845:205 *5304:11 5.23916e-05 +211 *4845:205 *5893:18 9.91921e-05 +212 *4845:220 *24328:RESET_B 0.000792932 +213 *4845:220 *4902:27 5.04829e-06 +214 *4845:220 *5893:18 0.000163735 +215 *4845:229 *20213:A1 7.5909e-06 +216 *4845:229 *20214:A1 2.01653e-05 +217 *4845:229 *20214:B1 5.10781e-05 +218 *4845:229 *20216:B1 0.00012516 +219 *4845:229 *24321:SET_B 0.000178194 +220 *4845:229 *4902:57 0 +221 *4845:229 *5855:149 0.000127708 +222 *4845:229 *5861:311 4.31485e-06 +223 *4845:229 *5893:18 0.000958894 +224 *4845:250 *24294:SET_B 0.000265927 +225 *4845:250 *5857:97 0.000309405 +226 *4845:250 *5859:155 0.000440512 +227 *4845:252 *5857:97 0.000353531 +228 *4845:252 *5861:363 3.64072e-05 +229 *4845:252 *5862:411 1.5714e-05 +230 *4845:254 *24467:CLK 0.000316559 +231 *4845:254 *5861:363 2.70661e-05 +232 *4845:262 *24467:CLK 0.000193427 +233 *4845:270 *5592:87 0.000110297 +234 *4845:270 *5862:319 0.000381075 +235 *4845:281 *5853:260 0.000371173 +236 *4845:289 *20995:B1 1.94512e-05 +237 *4845:289 *5853:260 5.04829e-06 +238 *4845:296 *20995:B1 1.44029e-05 +239 *4845:296 *5853:260 5.04829e-06 +240 *4845:303 *20896:A1 1.92336e-05 +241 *4845:303 *21243:A 0.00015917 +242 *4845:315 *20896:A1 6.08467e-05 +243 *4845:315 *5593:65 5.481e-05 +244 *4845:316 *6041:DIODE 5.41227e-05 +245 *4845:316 *5861:458 9.24241e-05 +246 *4845:316 *5861:463 0.000265546 +247 *4845:316 *5919:73 0.00114422 +248 *4845:316 *5919:75 6.64609e-05 +249 *4845:318 *20133:A1 0.000228993 +250 *4845:318 *21237:A 0.000110323 +251 *4845:344 *4911:16 0.000301834 +252 *4845:344 *4911:18 0.000333396 +253 *4845:344 *5853:390 7.78836e-05 +254 *4845:344 *5864:226 2.4331e-05 +255 *4845:349 *20817:A1 0.000164829 +256 *4845:349 *4876:26 3.12124e-05 +257 *4845:349 *4876:119 7.11163e-05 +258 *4845:359 *4868:33 8.79845e-05 +259 *4845:359 *5260:10 0 +260 *4845:359 *5857:263 3.93117e-06 +261 *4845:359 *5859:277 3.72251e-05 +262 *4845:359 *5864:68 3.88859e-05 +263 *4845:381 *5854:438 0.000566806 +264 *4845:382 *20231:A1 0 +265 *4845:382 *5854:438 0.000200485 +266 *4845:396 *4875:28 0 +267 *4845:396 *4875:30 0 +268 *4845:396 *5871:297 0 +269 *4845:412 *4915:45 2.34196e-05 +270 *4845:412 *4944:21 0.000171802 +271 *4845:415 *20241:B1 0.000131924 +272 *4845:415 *4915:57 1.56269e-05 +273 *4845:415 *4915:62 7.48232e-05 +274 *4845:415 *4945:13 0.000107496 +275 *4845:415 *5851:330 0.000380013 +276 *4845:415 *5858:383 0.00121581 +277 *4845:418 *25293:A 0.000206965 +278 *4845:432 *24866:SET_B 0.000250952 +279 *4845:432 *24984:A 0 +280 *4845:432 *25283:A 0 +281 *4845:432 *4924:26 4.9e-05 +282 *4845:432 *5762:20 0 +283 *4845:443 *19701:A1 0.000228796 +284 *4845:443 *19703:A1 2.97556e-05 +285 *4845:443 *19710:A1 0.000459919 +286 *4845:443 *4924:26 0.000165363 +287 *4845:443 *5759:18 0.00018806 +288 *4845:443 *5782:19 1.80257e-05 +289 *4845:463 *21331:A 0 +290 *4845:463 *24672:CLK 0 +291 *4845:463 *24984:A 0 +292 *4845:463 *4863:61 9.64122e-05 +293 *4845:463 *4924:85 0 +294 *4845:463 *4924:92 0 +295 *4845:463 *4924:103 0 +296 *4845:463 *5762:20 0 +297 *4845:463 *5854:337 5.07314e-05 +298 *4845:466 *24672:CLK 0.000134234 +299 *4845:466 *5856:405 0.000161977 +300 *4845:474 *24502:CLK 1.9101e-05 +301 *4845:474 *5851:211 4.69495e-06 +302 *4845:474 *5874:79 0.00330066 +303 *4845:477 *5812:32 0.000918521 +304 *4845:548 *5853:304 3.77804e-05 +305 *4845:575 *21032:A1 2.95884e-05 +306 *4845:575 *21585:A 1.65872e-05 +307 *4845:668 *20703:A1 4.03772e-05 +308 *4845:668 *24436:RESET_B 2.70563e-05 +309 *4845:678 *20387:A1 0.00016833 +310 *4845:678 *24455:SET_B 0.000142895 +311 *4845:678 *4869:23 0.000251669 +312 *4845:731 *20276:A1 1.41291e-05 +313 *4845:731 *5857:60 0.000539002 +314 *4845:734 *5903:6 5.05252e-05 +315 *4845:755 *24046:A0 1.44611e-05 +316 *4845:755 *4900:171 6.50586e-05 +317 *4845:755 *5536:8 5.1573e-05 +318 *4845:794 *24718:CLK 5.07314e-05 +319 *19644:A2 *4845:477 7.92757e-06 +320 *19644:B2 *4845:477 2.53145e-06 +321 *19684:A2 *4845:425 6.50586e-05 +322 *19684:B2 *4845:425 0.000859935 +323 *19699:B2 *4845:443 0.000168855 +324 *19703:B2 *4845:443 5.481e-05 +325 *20134:B2 *4845:326 5.49913e-05 +326 *20216:A2 *4845:229 0.000131165 +327 *20230:A2 *4845:382 0.000137921 +328 *20230:A2 *4845:396 3.67708e-05 +329 *20230:B2 *4845:382 3.04624e-05 +330 *20230:B2 *4845:396 1.79196e-05 +331 *20234:A2 *4845:382 0.000399552 +332 *20234:B2 *4845:382 3.03054e-05 +333 *20252:A *20254:B1 0.000115934 +334 *20254:B2 *20254:B1 6.3657e-05 +335 *20265:B2 *20265:B1 2.65831e-05 +336 *20276:B2 *20276:B1 6.08467e-05 +337 *20276:B2 *4845:731 6.50586e-05 +338 *20276:B2 *4845:755 0.00041102 +339 *20284:A *4845:183 0.000156578 +340 *20302:B2 *4845:731 0.000198654 +341 *20311:B1 *24019:A1 0.00010072 +342 *20360:A2 *4845:396 0.000146957 +343 *20387:A2 *4845:678 2.65465e-05 +344 *20398:B2 *20398:B1 0.000111722 +345 *20399:B1 *20398:B1 4.47713e-05 +346 *20426:A2 *20426:B1 1.64789e-05 +347 *20426:B2 *20540:B1 9.98348e-06 +348 *20426:B2 *4845:112 2.0456e-06 +349 *20464:A2 *4845:80 6.08467e-05 +350 *20464:B2 *4845:69 6.08467e-05 +351 *20502:A2 *4845:80 1.07248e-05 +352 *20513:A2 *4845:349 4.87301e-05 +353 *20513:A2 *4845:359 2.16718e-05 +354 *20513:B2 *4845:359 5.04829e-06 +355 *20540:B2 *20540:B1 4.80635e-06 +356 *20542:A2 *20540:B1 1.90039e-05 +357 *20542:B2 *20540:B1 2.58345e-05 +358 *20583:A2 *20578:B1 3.43394e-05 +359 *20589:A2 *4845:95 0.000113968 +360 *20589:B2 *4845:85 6.50727e-05 +361 *20617:B1 *4845:281 0.000491454 +362 *20624:B *4845:212 0.000205632 +363 *20627:B2 *4845:205 1.21329e-05 +364 *20628:B2 *4845:209 9.2932e-05 +365 *20654:A2 *20654:B1 5.481e-05 +366 *20654:B2 *20654:B1 5.51483e-06 +367 *20703:B2 *4845:670 1.80042e-05 +368 *20706:A2 *4845:670 0.000114771 +369 *20727:B *4845:229 1.59772e-05 +370 *20730:A2 *4845:183 0.000330934 +371 *20736:A2 *4845:183 0.000140125 +372 *20736:B1 *4845:183 3.60123e-05 +373 *20782:A2 *20780:B1 0.000226296 +374 *20804:A2 *20799:B1 4.17399e-05 +375 *20817:A3 *4845:349 6.9815e-05 +376 *20817:B1 *4845:349 0.000387391 +377 *20847:A *4845:349 7.63284e-05 +378 *20877:B2 *20877:B1 5.05252e-05 +379 *20881:B2 *20877:B1 8.62625e-06 +380 *20898:A2 *4845:303 7.50872e-05 +381 *20959:B1 *20957:B1 2.93907e-05 +382 *20959:B1 *4845:250 1.70145e-05 +383 *20959:B2 *4845:250 1.77439e-05 +384 *21025:B2 *4845:575 0.000664357 +385 *21032:A2 *4845:575 8.1219e-05 +386 *21032:B2 *4845:575 0.000398795 +387 *21069:B2 *21069:B1 3.06765e-05 +388 *21076:A2 *4845:281 0.000205006 +389 *21090:B2 *4845:220 0.000219426 +390 *21169:A *4845:349 0.00031195 +391 *21169:C *4845:349 0.000169041 +392 *21206:A1 *20360:B1 6.28176e-05 +393 *21206:A1 *4845:401 0.000196209 +394 *21206:A1 *4845:412 0.00011843 +395 *21206:B1 *4845:412 0.000105739 +396 *21206:B2 *4845:412 3.86207e-06 +397 *21224:A1 *4845:382 0.000116986 +398 *21224:A2 *4845:382 7.49635e-05 +399 *21253:B2 *4845:205 0.000108653 +400 *21254:C1 *4845:205 7.36284e-05 +401 *21397:A *19611:B1 1.43983e-05 +402 *21397:B *19611:B1 1.58551e-05 +403 *21397:C *19611:B1 1.96478e-05 +404 *21397:D *19611:B1 5.23916e-05 +405 *21563:B2 *4845:794 0.000978115 +406 *21658:B1 *20938:B1 5.6846e-06 +407 *21664:B1 *4845:443 6.50586e-05 +408 *21932:A1 *19697:B1 6.08467e-05 +409 *21932:A1 *4845:432 0.000500282 +410 *22103:A1 *4845:11 0.000203756 +411 *22103:A2 *4845:11 0.000115577 +412 *22105:A1 *4845:11 0.000110306 +413 *22404:C *20209:B1 3.79497e-05 +414 *23996:A0 *4845:382 0.000158906 +415 *24194:D *4845:21 7.78924e-05 +416 *24218:D *4845:466 0 +417 *24230:D *21069:B1 0 +418 *24299:D *4845:250 9.49135e-05 +419 *24372:D *4845:349 0.000247443 +420 *24380:D *20799:B1 0 +421 *24406:D *4845:102 9.60366e-05 +422 *24408:D *4845:102 4.40158e-05 +423 *24412:D *4845:183 3.58321e-05 +424 *24416:D *4845:205 0.000155898 +425 *24418:D *4845:183 0.000143431 +426 *24436:D *20703:B1 0 +427 *24436:D *4845:668 8.21184e-05 +428 *24470:D *20654:B1 0.000200794 +429 *24514:D *4845:85 4.30017e-06 +430 *24514:D *4845:95 1.41291e-05 +431 *24574:D *4845:85 4.58003e-05 +432 *24586:D *4845:26 0.000165357 +433 *24591:D *20398:B1 2.72248e-05 +434 *24592:D *20398:B1 0.000122068 +435 *24600:D *4845:69 6.08467e-05 +436 *24600:D *4845:80 7.68538e-06 +437 *24666:D *4845:396 0.000169078 +438 *24706:D *4845:731 0.000364356 +439 *24718:D *20287:B1 5.79399e-05 +440 *24742:D *20254:B1 0.00049794 +441 *24745:D *4845:415 0.000174373 +442 *24747:D *4845:412 7.26606e-05 +443 *24755:D *4845:382 0.0003036 +444 *24800:D *4845:55 3.00073e-05 +445 *24811:D *4845:326 0.000296072 +446 *24858:D *4845:443 1.28832e-05 +447 *74:18 *4845:474 0.00126374 +448 *144:8 *4845:755 0.000139435 +449 *324:11 *4845:318 1.1573e-05 +450 *324:11 *4845:326 0.000913708 +451 *324:11 *4845:328 0.000147814 +452 *324:11 *4845:335 0.00058066 +453 *324:17 *4845:318 0 +454 *324:19 *4845:315 0.000256975 +455 *324:19 *4845:316 0.000165609 +456 *324:19 *4845:318 0.000240785 +457 *476:72 *4845:382 0 +458 *478:54 *4845:250 1.34685e-05 +459 *478:54 *4845:252 0.0020724 +460 *478:64 *4845:252 0.00026383 +461 *480:14 *4845:252 0 +462 *480:20 *4845:252 0.000786082 +463 *480:189 *4845:220 9.55447e-05 +464 *480:196 *4845:220 0.00103688 +465 *494:6 *4845:381 6.88675e-05 +466 *494:26 *4845:381 0.000128153 +467 *497:9 *4845:382 9.73806e-05 +468 *501:14 *4845:382 9.34618e-06 +469 *502:14 *20654:B1 5.13902e-05 +470 *502:18 *4845:262 0.000110834 +471 *502:18 *4845:270 0.000915404 +472 *502:24 *4845:281 0.000251655 +473 *502:26 *4845:316 0.000348797 +474 *502:26 *4845:326 6.26119e-05 +475 *504:16 *4845:344 7.50722e-05 +476 *506:36 *4845:382 9.56898e-05 +477 *506:40 *4845:382 3.03575e-05 +478 *510:51 *4845:289 0.000465302 +479 *510:51 *4845:296 9.25219e-05 +480 *510:51 *4845:303 0.00104135 +481 *510:51 *4845:315 0.000256975 +482 *514:98 *4845:463 0 +483 *528:26 *4845:382 4.19401e-06 +484 *531:42 *4845:326 0.000164938 +485 *531:42 *4845:328 0.000570996 +486 *531:42 *4845:335 0.00173287 +487 *539:21 *4845:281 6.13007e-05 +488 *541:57 *4845:381 0.000360145 +489 *547:38 *4845:382 8.21849e-06 +490 *547:38 *4845:396 2.73322e-05 +491 *547:49 *4845:396 0.000886004 +492 *1418:140 *4845:229 9.66876e-05 +493 *1418:311 *4845:349 4.03362e-05 +494 *1439:28 *4845:229 0.000256758 +495 *1439:28 *4845:241 0.00181752 +496 *1439:113 *4845:548 0.000387391 +497 *1488:16 *4845:418 8.6174e-05 +498 *1495:76 *4845:425 0.00011818 +499 *1499:26 *4845:443 0.000110684 +500 *1499:70 *4845:432 0 +501 *1500:77 *4845:432 4.53418e-05 +502 *1500:77 *4845:443 1.03594e-05 +503 *1502:80 *4845:425 0.00247035 +504 *1502:80 *4845:432 0 +505 *1502:86 *4845:415 2.57654e-05 +506 *1520:52 *4845:344 0.000801628 +507 *1551:28 *19611:B1 0.000169943 +508 *1555:38 *4845:252 6.03216e-05 +509 *1555:38 *4845:254 7.09666e-06 +510 *1556:20 *20165:B1 0.000324819 +511 *1557:90 *20165:B1 0.000328296 +512 *1557:96 *4845:281 0.000226625 +513 *1573:90 *4845:328 0.000230848 +514 *1573:90 *4845:335 0.000393329 +515 *1573:141 *4845:575 4.84017e-05 +516 *1576:7 *4845:548 0.000213002 +517 *1583:10 *4845:316 0.000328285 +518 *1608:98 *20938:B1 0.000538864 +519 *1610:54 *4845:575 8.02893e-06 +520 *1627:215 *4845:205 0.00122374 +521 *1636:52 *4845:344 2.652e-05 +522 *1646:12 *4845:678 0.00113112 +523 *1658:168 *4845:183 0 +524 *1658:168 *4845:205 0.000108645 +525 *1672:16 *4845:425 0.000854755 +526 *1725:205 *20437:B1 6.08467e-05 +527 *1725:205 *4845:662 6.03594e-05 +528 *1725:205 *4845:666 0.00125076 +529 *1725:270 *19611:B1 0.000311221 +530 *1742:75 *4845:344 0.000187483 +531 *1744:83 *19697:B1 1.03403e-05 +532 *1764:128 *4845:477 0.00197913 +533 *1799:28 *4845:678 0.000179892 +534 *1856:8 *4845:26 0 +535 *1857:6 *4845:55 0 +536 *1884:117 *4845:107 0.000556172 +537 *1884:117 *4845:112 0.000612751 +538 *1884:117 *4845:151 0.000647491 +539 *1884:121 *20426:B1 1.19721e-05 +540 *1884:154 *4845:678 0.00172598 +541 *1886:64 *4845:229 0 +542 *1886:76 *4845:229 0 +543 *1887:8 *4845:229 0.000141924 +544 *1887:10 *4845:229 0.000316205 +545 *1887:12 *4845:229 0.000170698 +546 *1887:14 *4845:229 0.000325504 +547 *1887:63 *4845:229 0.000187677 +548 *1893:10 *4845:382 0.000273815 +549 *1893:41 *4845:382 0.000115687 +550 *1893:43 *4845:382 0.000699035 +551 *1894:25 *4845:382 2.03271e-05 +552 *1899:10 *4845:316 0.000172707 +553 *1899:10 *4845:318 0.00101341 +554 *1899:10 *4845:326 0.000728443 +555 *1901:7 *20254:B1 2.89001e-05 +556 *1909:12 *4845:183 0.000143032 +557 *1912:20 *4845:183 9.12466e-05 +558 *1933:70 *4845:396 0.000731261 +559 *1933:72 *4845:396 0.000279398 +560 *1955:92 *20426:B1 6.50586e-05 +561 *1955:103 *4845:112 0 +562 *1956:49 *4845:112 5.32645e-05 +563 *1959:68 *20437:B1 1.61631e-05 +564 *1959:68 *4845:668 1.80176e-05 +565 *1967:22 *4845:69 9.75356e-05 +566 *1970:52 *20398:B1 0 +567 *1970:69 *20398:B1 0 +568 *1970:69 *4845:26 0 +569 *1970:81 *4845:26 0 +570 *1970:89 *4845:26 0.000141924 +571 *1971:16 *4845:26 9.2346e-06 +572 *1971:18 *20398:B1 5.22654e-06 +573 *1971:18 *4845:26 0.000157446 +574 *1971:20 *20398:B1 7.26997e-05 +575 *1971:22 *20398:B1 0.000124565 +576 *1982:25 *4845:381 2.57465e-06 +577 *1982:43 *4845:359 0.000522568 +578 *1982:66 *4845:359 0.000347476 +579 *1982:75 *4845:359 0.00012063 +580 *1983:11 *4845:381 0.000394297 +581 *1994:35 *4845:63 8.64857e-05 +582 *1995:38 *4845:55 0.000281093 +583 *2003:8 *20578:B1 6.17339e-05 +584 *2018:104 *4845:205 0.000313495 +585 *2019:19 *4845:205 1.61631e-05 +586 *2019:19 *4845:209 3.34025e-05 +587 *2027:8 *4845:252 5.23577e-05 +588 *2027:20 *4845:252 5.8802e-05 +589 *2043:18 *4845:670 0.00129902 +590 *2043:21 *4845:670 0.000121886 +591 *2052:13 *20730:B1 6.08467e-05 +592 *2052:18 *4845:205 3.15552e-05 +593 *2052:20 *4845:205 0 +594 *2053:16 *4845:662 0.000152164 +595 *2063:82 *4845:349 9.56728e-05 +596 *2076:70 *20780:B1 1.03403e-05 +597 *2098:10 *4845:359 0 +598 *2100:12 *4845:359 0 +599 *2135:20 *20877:B1 0 +600 *2135:26 *20877:B1 1.65872e-05 +601 *2136:29 *4845:112 0.000785032 +602 *2141:81 *4845:303 0.000110306 +603 *2149:23 *20917:B1 1.77537e-06 +604 *2149:23 *4845:220 0.000135391 +605 *2149:27 *20917:B1 6.50727e-05 +606 *2170:7 *4845:289 5.88594e-05 +607 *2171:8 *4845:290 6.25713e-05 +608 *2177:78 *20616:B1 0.000296778 +609 *2205:22 *4845:466 0.000212222 +610 *2208:129 *21088:B1 6.08467e-05 +611 *2232:78 *4845:21 0.00015607 +612 *2240:7 *4845:349 0.000162663 +613 *2250:13 *4845:209 0.000200794 +614 *2251:10 *20877:B1 7.137e-05 +615 *2251:10 *4845:151 1.17185e-05 +616 *2256:14 *4845:344 5.79083e-05 +617 *2262:30 *24046:A1 0 +618 *2262:30 *4845:755 0 +619 *2262:34 *24046:A1 9.82879e-05 +620 *2262:85 *20209:B1 0 +621 *2264:6 *4845:102 5.37895e-05 +622 *2264:6 *4845:106 0.000274238 +623 *2287:12 *20780:B1 0.00106941 +624 *2287:94 *4845:262 0.000302705 +625 *2291:61 *20938:B1 0.00141671 +626 *2317:8 *20665:B1 8.52968e-05 +627 *2317:12 *20665:B1 0.000258002 +628 *2317:12 *4845:210 0.000842653 +629 *2317:12 *4845:212 0.000742334 +630 *2317:12 *4845:220 0.000215829 +631 *2348:7 *4845:112 0.000113968 +632 *2349:10 *20780:B1 0 +633 *2357:50 *4845:241 0.000442781 +634 *2362:8 *20780:B1 0.000114433 +635 *2381:17 *4845:463 0.00107426 +636 *2384:10 *4845:662 0.000143875 +637 *2384:45 *4845:270 5.8334e-05 +638 *2385:10 *4845:396 0.000361 +639 *2391:89 *4845:252 4.69495e-06 +640 *2393:9 *4845:425 0.000180472 +641 *2394:7 *20165:B1 0.000297054 +642 *2398:38 *4845:477 0.0002994 +643 *2398:93 *4845:349 0.000254107 +644 *2421:78 *4845:252 1.48262e-05 +645 *2425:40 *4845:575 0.00102392 +646 *2427:11 *4845:382 3.55824e-05 +647 *2428:90 *4845:381 0.000739521 +648 *2437:23 *4845:382 8.03699e-06 +649 *2440:42 *4845:359 5.04829e-06 +650 *2446:15 *20578:B1 0.000426372 +651 *2446:15 *4845:466 0.000207919 +652 *2446:145 *4845:262 1.58126e-05 +653 *2451:46 *4845:229 8.88633e-05 +654 *2451:46 *4845:241 0.000485454 +655 *2452:14 *4845:229 1.10336e-05 +656 *2457:16 *19611:B1 0.000207266 +657 *2468:79 *4845:281 0.000542343 +658 *2468:107 *20992:B1 6.08467e-05 +659 *2468:107 *4845:281 9.55447e-05 +660 *2468:107 *4845:289 0.000461704 +661 *2468:107 *4845:296 9.75356e-05 +662 *2468:107 *4845:303 0.000516249 +663 *2468:111 *4845:303 0.000100397 +664 *2468:127 *4845:344 1.27238e-05 +665 *2473:8 *4845:210 0.000200338 +666 *2473:8 *4845:212 5.86318e-05 +667 *2474:7 *20616:B1 1.97642e-05 +668 *2479:8 *20992:B1 4.36958e-05 +669 *2487:20 *4845:220 0.000111708 +670 *2499:23 *4845:205 9.2932e-05 +671 *2499:39 *20957:B1 0.000349458 +672 *2505:23 *4845:466 0 +673 *2530:11 *20540:B1 0 +674 *2570:14 *4845:85 7.40169e-05 +675 *2570:35 *4845:731 0.000623435 +676 *2570:35 *4845:755 0.000554179 +677 *2572:10 *4845:281 4.58897e-06 +678 *2576:40 *4845:381 1.43049e-05 +679 *2576:42 *4845:381 0.000697638 +680 *2609:17 *19611:B1 4.32352e-05 +681 *2610:48 *4845:252 1.81432e-05 +682 *2610:48 *4845:262 8.34571e-05 +683 *2610:83 *20616:B1 0.000262434 +684 *2630:9 *20616:B1 0.00206823 +685 *2632:8 *4845:466 0 +686 *2642:14 *4845:575 0.00149257 +687 *2679:41 *4845:270 0.00038056 +688 *2679:41 *4845:281 0.000124364 +689 *2692:53 *20938:B1 4.08377e-05 +690 *2720:12 *4845:359 0 +691 *2720:24 *4845:359 0 +692 *2741:14 *4845:463 0 +693 *2772:12 *4845:303 0.000200794 +694 *2809:24 *4845:335 0.000176929 +695 *2813:8 *4845:102 0.000392753 +696 *2813:25 *4845:167 0 +697 *2818:12 *4845:63 0.00021459 +698 *2820:26 *4845:229 0.000113812 +699 *2886:8 *20540:B1 0 +700 *2893:13 *20540:B1 0.000842942 +701 *2899:31 *4845:382 0.000141806 +702 *2900:60 *4845:548 9.24241e-05 +703 *2906:63 *20616:B1 0.000260374 +704 *2924:46 *4845:252 3.29488e-05 +705 *2924:46 *4845:254 0.000428801 +706 *2924:46 *4845:262 8.62625e-06 +707 *2945:5 *4845:443 0.000387915 +708 *2951:25 *4845:463 5.04829e-06 +709 *2951:28 *4845:466 0 +710 *2978:8 *4845:69 4.27003e-05 +711 *2990:19 *20616:B1 0.000347214 +712 *3011:10 *20730:B1 6.97239e-05 +713 *3011:10 *4845:183 8.05273e-05 +714 *3011:10 *4845:205 1.9101e-05 +715 *3014:30 *4845:466 8.25923e-05 +716 *3026:5 *20692:B1 0.0017941 +717 *3033:16 *4845:55 0.000211272 +718 *3036:10 *4845:80 0.000143875 +719 *3060:8 *4845:466 4.73545e-05 +720 *3081:38 *4845:11 8.57967e-05 +721 *3089:100 *4845:11 0.000267394 +722 *3120:74 *4845:575 6.3657e-05 +723 *3153:112 *20938:B1 1.9101e-05 +724 *3160:22 *4845:381 5.04054e-05 +725 *3192:12 *20616:B1 0.000306273 +726 *3257:20 *4845:229 0.000440316 +727 *3257:36 *4845:250 7.39223e-06 +728 *4811:18 *4845:270 0.000373433 +729 *4811:18 *4845:281 0.000131559 +730 *4813:125 *20692:B1 8.56016e-05 +731 *4815:67 *4845:229 0 +732 *4815:68 *20209:B1 0 +733 *4816:9 *20730:B1 1.33184e-05 +734 *4816:22 *20730:B1 6.46333e-05 +735 *4816:22 *4845:183 0.000124862 +736 *4816:124 *20938:B1 0.000433307 +737 *4818:48 *20938:B1 1.5714e-05 +738 *4819:14 *20692:B1 3.83377e-05 +739 *4820:67 *4845:281 4.63475e-05 +740 *4820:70 *4845:281 0.000113968 +741 *4821:55 *4845:359 0.000186451 +742 *4823:8 *4845:80 0 +743 *4824:90 *4845:102 5.41227e-05 +744 *4824:90 *4845:106 1.3807e-05 +745 *4824:101 *4845:205 0.000251669 +746 *4824:122 *20957:B1 0.00052016 +747 *4824:122 *4845:250 0.000251201 +748 *4825:102 *4845:303 1.3706e-05 +749 *4826:28 *20780:B1 0.000192854 +750 *4826:84 *20654:B1 5.13902e-05 +751 *4826:84 *4845:262 4.31889e-05 +752 *4826:84 *4845:270 0.000220538 +753 *4827:100 *20692:B1 1.58128e-05 +754 *4827:118 *24033:A1 0 +755 *4827:118 *4845:734 0 +756 *4829:10 *4845:396 1.70852e-05 +757 *4829:14 *4845:396 0 +758 *4830:36 *4845:171 0 +759 *4830:42 *4845:183 1.679e-05 +760 *4831:63 *20665:B1 0.000142672 +761 *4831:63 *4845:210 3.77804e-05 +762 *4836:43 *20799:B1 1.5714e-05 +763 *4836:43 *4845:171 9.84343e-05 +764 *4838:30 *4845:55 0 +765 *4839:30 *4845:26 0.000192697 +766 *4839:30 *4845:55 0.000416047 +767 *4839:32 *4845:26 2.55661e-06 +768 *4839:339 *4845:794 3.30707e-05 +769 *4839:367 *4845:171 0.000113968 +770 *4839:367 *4845:731 0.000882151 +771 *4839:377 *4845:731 0.000169041 +772 *4841:241 *4845:102 0.000116514 +773 *4841:241 *4845:794 0.000195513 +774 *4843:157 *4845:102 0.000920784 +775 *4843:157 *4845:794 0.000457691 +776 *4843:161 *4845:102 0.000120081 +777 *4843:474 *4845:335 1.75554e-05 +778 *4844:92 *4845:80 0.000195124 +779 *4844:259 *20616:B1 1.19856e-05 +780 *4844:274 *20165:B1 0.000171531 +781 *4844:274 *24019:A1 0.000200498 +782 *4844:752 *4845:794 0.0013836 +783 *4844:796 *4845:755 0 +*RES +1 *23963:X *4845:10 38.2535 +2 *4845:10 *4845:11 24.0167 +3 *4845:11 *21147:B1 9.24915 +4 *4845:11 *4845:21 25.0686 +5 *4845:21 *4845:26 18.2467 +6 *4845:26 *20398:B1 46.6196 +7 *4845:26 *20475:B1 13.7491 +8 *4845:21 *4845:55 33.11 +9 *4845:55 *4845:63 49.1895 +10 *4845:63 *4845:69 8.74331 +11 *4845:69 *20464:B1 9.24915 +12 *4845:69 *4845:80 49.2266 +13 *4845:80 *4845:82 4.5 +14 *4845:82 *4845:85 14.6126 +15 *4845:85 *20589:B1 9.82786 +16 *4845:85 *4845:95 14.6517 +17 *4845:95 *4845:102 28.5303 +18 *4845:102 *4845:106 15.4675 +19 *4845:106 *4845:107 6.26943 +20 *4845:107 *4845:112 31.7282 +21 *4845:112 *20540:B1 35.8724 +22 *4845:112 *20426:B1 15.0513 +23 *4845:107 *20780:B1 38.8238 +24 *4845:106 *4845:151 12.6725 +25 *4845:151 *20877:B1 22.2133 +26 *4845:151 *4845:167 9.31163 +27 *4845:167 *4845:171 12.8091 +28 *4845:171 *4845:183 42.8837 +29 *4845:183 *4845:205 49.1839 +30 *4845:205 *4845:209 8.55102 +31 *4845:209 *4845:210 15.5353 +32 *4845:210 *4845:212 13.8743 +33 *4845:212 *4845:220 31.752 +34 *4845:220 *4845:229 47.1551 +35 *4845:229 *20209:B1 20.8186 +36 *4845:229 *4845:241 6.01934 +37 *4845:241 *4845:250 25.8239 +38 *4845:250 *4845:252 47.5097 +39 *4845:252 *4845:254 8.47603 +40 *4845:254 *4845:262 19.69 +41 *4845:262 *4845:270 36.576 +42 *4845:270 *4845:281 36.9015 +43 *4845:281 *4845:289 27.8638 +44 *4845:289 *4845:290 104.301 +45 *4845:290 *4845:296 16.3045 +46 *4845:296 *4845:303 28.3942 +47 *4845:303 *4845:315 22.7586 +48 *4845:315 *4845:316 31.3149 +49 *4845:316 *4845:318 18.8573 +50 *4845:318 *4845:326 28.799 +51 *4845:326 *4845:328 10.5523 +52 *4845:328 *4845:335 44.6446 +53 *4845:335 *4845:344 43.661 +54 *4845:344 *4845:349 35.8563 +55 *4845:349 *4845:359 32.6559 +56 *4845:359 *19611:B1 43.8727 +57 *4845:359 *4845:381 45.5439 +58 *4845:381 *4845:382 41.6962 +59 *4845:382 *4845:396 45.6533 +60 *4845:396 *4845:401 19.464 +61 *4845:401 *4845:402 104.301 +62 *4845:402 *4845:404 9.24915 +63 *4845:404 *4845:412 29.324 +64 *4845:412 *4845:415 46.2641 +65 *4845:415 *4845:418 8.40826 +66 *4845:418 *4845:425 38.6925 +67 *4845:425 *4845:432 24.6076 +68 *4845:432 *4845:443 45.122 +69 *4845:443 *19710:B1 9.24915 +70 *4845:432 *19697:B1 14.4725 +71 *4845:425 *4845:463 45.6478 +72 *4845:463 *4845:466 29.3785 +73 *4845:466 *4845:474 16.026 +74 *4845:474 *4845:477 41.7882 +75 *4845:477 *19644:B1 9.24915 +76 *4845:466 *20578:B1 26.1701 +77 *4845:418 *19684:B1 9.24915 +78 *4845:404 *20360:B1 11.0817 +79 *4845:382 *20230:B1 13.7491 +80 *4845:349 *20513:B1 9.24915 +81 *4845:328 *20254:B1 25.01 +82 *4845:326 *4845:516 4.5 +83 *4845:516 *20165:B1 35.958 +84 *4845:516 *24019:A1 21.0646 +85 *4845:318 *20133:B1 13.7491 +86 *4845:316 *4845:548 26.5929 +87 *4845:548 *20616:B1 47.2806 +88 *4845:303 *20896:B1 9.24915 +89 *4845:296 *4845:575 48.2691 +90 *4845:575 *21025:B1 13.7491 +91 *4845:281 *20992:B1 12.7456 +92 *4845:270 *21069:B1 16.0158 +93 *4845:262 *20938:B1 24.2826 +94 *4845:254 *20654:B1 26.6924 +95 *4845:252 *20692:B1 46.1008 +96 *4845:241 *20957:B1 23.6786 +97 *4845:220 *20917:B1 17.6846 +98 *4845:212 *21088:B1 14.4725 +99 *4845:210 *4845:662 21.6824 +100 *4845:662 *4845:663 104.301 +101 *4845:663 *4845:666 24.3922 +102 *4845:666 *4845:668 16.8069 +103 *4845:668 *4845:670 47.3101 +104 *4845:670 *4845:678 46.6084 +105 *4845:678 *20387:B1 9.24915 +106 *4845:668 *20703:B1 10.6477 +107 *4845:666 *20437:B1 12.0945 +108 *4845:209 *20665:B1 29.9483 +109 *4845:205 *20627:B1 9.24915 +110 *4845:183 *20730:B1 19.0504 +111 *4845:171 *4845:731 32.384 +112 *4845:731 *4845:734 5.50149 +113 *4845:734 *20276:B1 14.4725 +114 *4845:734 *24033:A1 20.8817 +115 *4845:731 *4845:755 27.5505 +116 *4845:755 *24046:A1 22.958 +117 *4845:755 *20265:B1 14.4725 +118 *4845:167 *20799:B1 15.4299 +119 *4845:102 *20741:B1 13.7491 +120 *4845:95 *4845:794 47.7328 +121 *4845:794 *20287:B1 19.7337 +122 *4845:82 *20502:B1 9.24915 +123 *4845:55 *20551:B1 9.24915 +*END + +*D_NET *4846 0.00554152 +*CONN +*I *19567:S I *D sky130_fd_sc_hd__mux2_1 +*I *21775:A I *D sky130_fd_sc_hd__clkinv_4 +*I *19599:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24905:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *19567:S 0.000266997 +2 *21775:A 0.000254423 +3 *19599:A1 0.000593874 +4 *24905:Q 0 +5 *4846:5 0.00116126 +6 *4846:4 0.000579959 +7 *19567:S *5556:10 0.000307037 +8 *19567:S *5884:23 7.39264e-05 +9 *19599:A1 *24905:CLK 0.000222716 +10 *21775:A *4901:12 0.000273277 +11 *21775:A *5857:540 0.000203515 +12 *4846:5 *24905:RESET_B 4.93778e-05 +13 *4846:5 *24905:CLK 4.97617e-05 +14 *19599:S *19599:A1 2.53399e-05 +15 *24905:D *19599:A1 6.12686e-06 +16 *1629:18 *19599:A1 2.38934e-06 +17 *1629:18 *4846:5 1.19467e-06 +18 *2294:30 *21775:A 5.43333e-05 +19 *2320:20 *21775:A 0.000462788 +20 *2460:20 *19567:S 0.000282718 +21 *2530:35 *21775:A 0.000510748 +22 *4816:22 *19567:S 0.000127179 +23 *4827:106 *19567:S 3.25751e-05 +*RES +1 *24905:Q *4846:4 9.24915 +2 *4846:4 *4846:5 4.60562 +3 *4846:5 *19599:A1 18.7256 +4 *4846:5 *21775:A 32.0248 +5 *4846:4 *19567:S 28.4296 +*END + +*D_NET *4847 0.00401859 +*CONN +*I *19566:S I *D sky130_fd_sc_hd__mux2_1 +*I *19602:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21924:A I *D sky130_fd_sc_hd__inv_4 +*I *24903:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19566:S 0.000308984 +2 *19602:A1 0 +3 *21924:A 0.000398175 +4 *24903:Q 0.000140768 +5 *4847:11 0.000709282 +6 *4847:7 0.000760859 +7 *19566:S *5903:6 0.000188185 +8 *4847:11 *5903:6 8.01597e-05 +9 *19602:S *21924:A 7.22095e-06 +10 *19603:A *21924:A 1.07248e-05 +11 *20321:B2 *19566:S 3.17266e-05 +12 *2263:30 *21924:A 0.000272165 +13 *2294:28 *19566:S 8.03934e-05 +14 *2320:16 *19566:S 7.14746e-05 +15 *2320:16 *4847:11 0.000191526 +16 *2360:17 *4847:7 0.000211478 +17 *2938:5 *21924:A 0.000311249 +18 *4835:28 *21924:A 0.000119575 +19 *4839:153 *21924:A 2.65667e-05 +20 *4839:153 *4847:11 9.80747e-05 +*RES +1 *24903:Q *4847:7 16.1364 +2 *4847:7 *4847:11 11.4894 +3 *4847:11 *21924:A 29.4261 +4 *4847:11 *19602:A1 9.24915 +5 *4847:7 *19566:S 22.6404 +*END + +*D_NET *4848 0.0208889 +*CONN +*I *24918:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24912:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24909:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24918:A 0 +2 *24912:A 0.000492589 +3 *24909:X 0 +4 *4848:30 0.00138051 +5 *4848:27 0.00162239 +6 *4848:8 0.00212636 +7 *4848:7 0.00195933 +8 *4848:4 0.000567434 +9 *4848:7 *5456:11 0.0012974 +10 *4848:27 *5456:11 3.03541e-05 +11 *19997:A1 *24912:A 5.04829e-06 +12 *21500:D1 *4848:8 6.61711e-06 +13 *21518:C1 *24912:A 1.58551e-05 +14 *21604:B1 *4848:8 0.000128819 +15 *21605:B1 *4848:8 7.08288e-05 +16 *21605:C1 *4848:8 0.000118724 +17 *22011:B1 *4848:30 0.000241404 +18 *22266:B1 *4848:30 0.000718429 +19 *22287:B1 *4848:30 0.000440574 +20 *22556:A2 *4848:30 0.000137472 +21 *22606:A2 *4848:30 0.000115313 +22 *22667:A2 *4848:30 0.000138755 +23 *22670:C *4848:30 8.56681e-05 +24 *1543:29 *4848:30 3.74542e-05 +25 *1563:105 *24912:A 0.00042587 +26 *1570:50 *4848:8 4.30361e-05 +27 *1598:46 *4848:8 0.0005826 +28 *1602:37 *4848:8 0.000153193 +29 *1662:63 *24912:A 0.000308461 +30 *1668:85 *4848:8 0.000189856 +31 *1750:73 *4848:8 6.5094e-05 +32 *1762:132 *24912:A 3.99086e-06 +33 *1800:75 *4848:8 0.00076396 +34 *1800:76 *4848:27 0.000975735 +35 *2523:84 *4848:8 0.000236793 +36 *2542:28 *4848:30 5.3627e-06 +37 *2542:35 *4848:30 8.25172e-05 +38 *2548:41 *24912:A 0.000156946 +39 *2556:28 *4848:8 0.000325621 +40 *2571:67 *4848:8 8.2669e-05 +41 *2613:48 *4848:30 8.08365e-05 +42 *2686:29 *4848:7 0.000261356 +43 *2686:29 *4848:27 0.00106622 +44 *2806:45 *4848:30 0.000970281 +45 *3020:56 *4848:30 0.000256228 +46 *3021:43 *4848:30 0.000163041 +47 *3208:107 *4848:8 0.00029652 +48 *3471:25 *24912:A 0.000154145 +49 *3496:179 *4848:30 0.000159515 +50 *3529:62 *4848:7 0.000585316 +51 *3719:26 *24912:A 2.87798e-05 +52 *3739:8 *4848:8 0.000132228 +53 *3739:14 *4848:8 0.000595389 +*RES +1 *24909:X *4848:4 9.24915 +2 *4848:4 *4848:7 19.6431 +3 *4848:7 *4848:8 47.925 +4 *4848:8 *24912:A 35.7209 +5 *4848:4 *4848:27 17.4488 +6 *4848:27 *4848:30 46.6115 +7 *4848:30 *24918:A 9.24915 +*END + +*D_NET *4849 0.0141473 +*CONN +*I *24913:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24919:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24910:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24913:A 0 +2 *24919:A 0.000280838 +3 *24910:X 0.000827234 +4 *4849:33 0.00197625 +5 *4849:23 0.00239028 +6 *4849:8 0.000765885 +7 *4849:7 0.000898255 +8 *24919:A *4858:10 6.3657e-05 +9 *4849:7 *4850:17 0.000441147 +10 *4849:33 *20488:A1 5.76947e-06 +11 *4849:33 *20488:B1 2.02705e-05 +12 *19803:A *4849:33 0.000110297 +13 *19803:B *4849:33 1.65872e-05 +14 *19828:B *4849:33 0.000110306 +15 *19836:A *4849:33 0.000345048 +16 *19836:B *4849:33 3.95516e-05 +17 *19867:B *4849:33 6.3657e-05 +18 *19872:A *4849:33 0.000552864 +19 *20488:A2 *4849:33 9.96328e-05 +20 *21617:C1 *4849:7 0.000338761 +21 *21617:C1 *4849:23 0.000170708 +22 *21776:C1 *4849:7 0.00011329 +23 *21776:C1 *4849:23 0.000249762 +24 *22483:B1 *4849:23 1.65104e-05 +25 *22483:B1 *4849:33 0.00043404 +26 *22483:B2 *4849:23 1.24778e-05 +27 *22484:C1 *4849:33 0.000154145 +28 *22781:B1 *4849:23 9.32704e-05 +29 *1435:205 *4849:33 8.62914e-05 +30 *1442:97 *24919:A 5.36586e-05 +31 *1442:97 *4849:33 8.12793e-05 +32 *1454:74 *4849:23 4.79321e-06 +33 *1454:74 *4849:33 7.01539e-05 +34 *1454:104 *4849:23 1.41976e-05 +35 *1537:150 *4849:33 0.000154145 +36 *1544:151 *4849:23 0.000329928 +37 *1594:10 *4849:33 0.000156955 +38 *1604:100 *4849:33 0.000387391 +39 *1625:14 *4849:33 6.08467e-05 +40 *1794:73 *4849:33 0.000627109 +41 *2661:50 *4849:23 6.38891e-05 +42 *2709:5 *24919:A 6.3657e-05 +43 *2792:27 *4849:23 0.000314733 +44 *2863:8 *24919:A 0.000337818 +45 *3417:32 *4849:23 0.000101503 +46 *3507:117 *4849:33 0.000487161 +47 *3509:136 *4849:33 0.000161262 +*RES +1 *24910:X *4849:7 31.423 +2 *4849:7 *4849:8 81.1229 +3 *4849:8 *4849:23 33.8069 +4 *4849:23 *4849:33 46.5867 +5 *4849:33 *24919:A 26.6503 +6 *4849:33 *24913:A 9.24915 +*END + +*D_NET *4850 0.0230684 +*CONN +*I *24920:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24914:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24911:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24920:A 0.000928693 +2 *24914:A 0.000695484 +3 *24911:X 0.000278872 +4 *4850:68 0.00303397 +5 *4850:55 0.00264433 +6 *4850:17 0.00261819 +7 *4850:7 0.00274063 +8 *24914:A *4853:18 1.58551e-05 +9 *24920:A *20533:A1 6.91179e-05 +10 *24920:A *20533:B1 7.39277e-06 +11 *24920:A *21770:A 1.15389e-05 +12 *24920:A *4859:8 7.92757e-06 +13 *24920:A *5904:91 9.97112e-05 +14 *24920:A *5904:110 0 +15 *4850:68 *21694:A 5.8334e-05 +16 *4850:68 *21770:A 6.3657e-05 +17 *20533:B2 *24920:A 4.31539e-05 +18 *21643:C *24914:A 5.91285e-05 +19 *21746:A *24914:A 3.04443e-05 +20 *21746:B *24914:A 0.000110297 +21 *21746:C *24914:A 7.92757e-06 +22 *21771:B1 *4850:68 6.3657e-05 +23 *21776:C1 *4850:17 0.000156955 +24 *21808:A *4850:68 5.04829e-06 +25 *21808:C *4850:55 0.000456421 +26 *21813:B2 *4850:17 0.000310793 +27 *21825:B1 *4850:68 0.000312739 +28 *21833:A *4850:55 2.77625e-06 +29 *21838:B2 *4850:68 6.7671e-06 +30 *21839:A2 *4850:55 0.000441147 +31 *21839:B1 *4850:55 6.36477e-05 +32 *21839:B2 *4850:55 3.99086e-06 +33 *21901:B *4850:17 5.66308e-05 +34 *21902:B *4850:17 6.98546e-05 +35 *21902:B *4850:55 0.000136107 +36 *21902:C *4850:55 2.35671e-05 +37 *22209:A1 *4850:17 0.000200794 +38 *22663:A1 *24914:A 0.000154599 +39 *22663:A2 *24914:A 6.08467e-05 +40 *22663:B2 *24914:A 0.000165521 +41 *22669:C1 *24914:A 0.000262451 +42 *22670:A *24914:A 1.88014e-05 +43 *22763:A1 *4850:55 0.000156955 +44 *1539:30 *4850:17 0.000316256 +45 *1544:151 *4850:17 9.94284e-06 +46 *1583:103 *4850:17 6.48595e-05 +47 *1583:103 *4850:55 8.23875e-05 +48 *1584:49 *24914:A 2.33068e-05 +49 *1586:33 *4850:17 0.000358912 +50 *1602:30 *4850:17 2.97311e-05 +51 *1614:30 *24914:A 8.60193e-05 +52 *1625:23 *4850:68 0.00043404 +53 *1625:36 *4850:68 4.66492e-05 +54 *1721:88 *4850:17 0.000205101 +55 *1744:179 *4850:68 9.05022e-05 +56 *2542:35 *24914:A 9.61086e-05 +57 *2542:39 *24914:A 6.12989e-05 +58 *2564:68 *24914:A 4.11066e-05 +59 *2605:29 *4850:7 0.000398169 +60 *2678:17 *24914:A 8.41516e-05 +61 *2682:27 *24914:A 0.000412096 +62 *2724:13 *4850:68 6.08467e-05 +63 *2778:35 *4850:55 0.000110306 +64 *2852:49 *4850:68 0.00148674 +65 *2856:45 *4850:55 0.000139017 +66 *2857:52 *4850:55 0.000200794 +67 *2862:17 *4850:68 6.22114e-05 +68 *3004:15 *24920:A 3.15947e-05 +69 *3071:67 *24914:A 0.00011884 +70 *3151:187 *24914:A 0.000110297 +71 *3166:97 *4850:68 5.88009e-05 +72 *3474:115 *4850:55 0.00014725 +73 *3476:98 *4850:55 0.000110306 +74 *3531:63 *4850:17 0.000143545 +75 *3537:58 *24914:A 1.37669e-05 +76 *3539:48 *24914:A 9.95922e-06 +77 *3539:60 *24914:A 0.000263135 +78 *3539:70 *4850:17 2.77625e-06 +79 *3613:10 *24914:A 2.67447e-05 +80 *4817:161 *24914:A 3.49654e-05 +81 *4849:7 *4850:17 0.000441147 +*RES +1 *24911:X *4850:7 18.3548 +2 *4850:7 *4850:17 47.7807 +3 *4850:17 *24914:A 46.7252 +4 *4850:7 *4850:55 32.3472 +5 *4850:55 *4850:68 47.5752 +6 *4850:68 *24920:A 22.7525 +*END + +*D_NET *4851 0.0043552 +*CONN +*I *24915:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24912:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24915:A 0 +2 *24912:X 0.000985613 +3 *4851:9 0.000985613 +4 *19858:A *4851:9 5.173e-05 +5 *22804:A2 *4851:9 6.3657e-05 +6 *22805:A1 *4851:9 0.000651618 +7 *22805:C1 *4851:9 0.000154117 +8 *1449:85 *4851:9 0.000136942 +9 *1598:18 *4851:9 0.00016294 +10 *1771:47 *4851:9 3.48252e-05 +11 *2632:112 *4851:9 0.00053847 +12 *3491:66 *4851:9 0.000432092 +13 *3491:70 *4851:9 0.0001484 +14 *3739:14 *4851:9 9.18679e-06 +*RES +1 *24912:X *4851:9 47.3807 +2 *4851:9 *24915:A 9.24915 +*END + +*D_NET *4852 0.00379642 +*CONN +*I *24916:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24913:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24916:A 0 +2 *24913:X 0.0010684 +3 *4852:11 0.0010684 +4 *4852:11 *20420:A1 0.000151872 +5 *4852:11 *20486:B1 0.000117376 +6 *4852:11 *20488:B1 0.00016553 +7 *4852:11 *21847:A 0 +8 *4852:11 *4927:123 0 +9 *4852:11 *4927:138 0 +10 *20415:B *4852:11 0 +11 *1448:250 *4852:11 3.93117e-06 +12 *1733:26 *4852:11 0 +13 *1772:22 *4852:11 0.000308254 +14 *2522:16 *4852:11 1.9101e-05 +15 *2593:30 *4852:11 0.000311528 +16 *2710:36 *4852:11 0.000498444 +17 *2844:56 *4852:11 8.49586e-06 +18 *2863:8 *4852:11 7.50872e-05 +*RES +1 *24913:X *4852:11 46.4459 +2 *4852:11 *24916:A 9.24915 +*END + +*D_NET *4853 0.00558611 +*CONN +*I *24917:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24914:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24917:A 0.000919116 +2 *24914:X 0.000590861 +3 *4853:18 0.00150998 +4 *21800:A1 *4853:18 7.94607e-05 +5 *22602:B1 *24917:A 0.000144192 +6 *24914:A *4853:18 1.58551e-05 +7 *506:16 *24917:A 0.000350768 +8 *1583:53 *24917:A 2.25583e-07 +9 *1735:132 *24917:A 0.000133924 +10 *2503:99 *24917:A 2.31496e-05 +11 *2503:99 *4853:18 3.58475e-05 +12 *2527:24 *4853:18 0.000101503 +13 *2527:34 *24917:A 0.00109446 +14 *2527:34 *4853:18 0.000279521 +15 *2678:17 *4853:18 0.000140293 +16 *2680:57 *4853:18 5.18596e-05 +17 *3052:101 *4853:18 8.6297e-06 +18 *3151:187 *4853:18 4.91225e-06 +19 *3509:42 *24917:A 8.53998e-05 +20 *3539:48 *4853:18 1.61631e-05 +*RES +1 *24914:X *4853:18 27.6169 +2 *4853:18 *24917:A 37.1743 +*END + +*D_NET *4854 0.0275741 +*CONN +*I *24927:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24924:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24915:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24927:A 0.00183236 +2 *24924:A 0.000676739 +3 *24915:X 0 +4 *4854:16 0.00134844 +5 *4854:6 0.00500788 +6 *4854:5 0.00250382 +7 *24924:A *4863:7 2.65831e-05 +8 *24927:A *19612:A1 5.46928e-05 +9 *24927:A *22057:A 1.91391e-05 +10 *24927:A *4919:29 9.72928e-05 +11 *24927:A *5864:11 4.31703e-05 +12 *24927:A *5870:486 0.000393863 +13 *24927:A *5870:494 0.000247443 +14 *19579:A *24924:A 0.000139947 +15 *19612:A2 *24927:A 0.000107496 +16 *19612:B2 *24927:A 0.000700245 +17 *19846:A *24924:A 0.000500106 +18 *19846:B *24924:A 0.000195176 +19 *19846:C *24924:A 0.000135811 +20 *19941:A *24924:A 6.08467e-05 +21 *19941:B *24924:A 0.000304777 +22 *20013:C *24924:A 1.65872e-05 +23 *20203:A2 *24927:A 2.16355e-05 +24 *20203:B2 *24927:A 0.000148652 +25 *20906:A *24927:A 3.49566e-05 +26 *21410:A2 *4854:16 0.0002646 +27 *21411:A2 *4854:16 0.00031726 +28 *21411:B1 *24927:A 0.00228575 +29 *21411:B2 *4854:6 0.00020082 +30 *21598:A1 *4854:6 3.3804e-05 +31 *21598:B2 *4854:6 3.92918e-05 +32 *21725:B1 *4854:6 0.000100741 +33 *21725:B2 *4854:6 6.48595e-05 +34 *21744:A *4854:6 1.11717e-05 +35 *21926:A1 *24927:A 2.68978e-05 +36 *21968:B2 *4854:6 0.000295542 +37 *22480:A2 *4854:6 3.12611e-05 +38 *22786:A1 *4854:6 2.27901e-06 +39 *22786:B1 *4854:6 0.000174875 +40 *22786:C1 *4854:6 1.15099e-05 +41 *22804:A2 *4854:6 0.000190725 +42 *24901:D *24927:A 0.000158357 +43 *1424:117 *4854:16 8.62625e-06 +44 *1424:121 *4854:16 0.000331807 +45 *1424:140 *24924:A 6.50727e-05 +46 *1438:9 *24924:A 0.000442572 +47 *1438:57 *24924:A 6.92705e-05 +48 *1449:84 *4854:6 5.94319e-06 +49 *1449:85 *4854:6 0.000120757 +50 *1544:51 *4854:16 0.000331807 +51 *1604:11 *24924:A 0.000353686 +52 *1639:99 *4854:6 8.6953e-05 +53 *1671:17 *24927:A 2.1104e-05 +54 *1744:7 *4854:16 0.000514573 +55 *1759:13 *24924:A 0.00018448 +56 *1764:7 *24924:A 0.000236641 +57 *1771:24 *4854:6 0.00160459 +58 *1771:31 *4854:6 0.000225186 +59 *1882:31 *24927:A 5.97411e-05 +60 *1883:5 *24927:A 0.000209326 +61 *2401:11 *24927:A 0.000157825 +62 *2468:130 *4854:16 0.000653605 +63 *2586:59 *4854:6 7.50722e-05 +64 *2645:50 *24927:A 0.000154638 +65 *2718:17 *4854:6 4.12964e-05 +66 *2875:12 *24927:A 3.63738e-05 +67 *2876:8 *24927:A 6.14756e-06 +68 *2981:24 *4854:6 9.92046e-06 +69 *2981:24 *4854:16 0.0001448 +70 *3063:29 *4854:6 0.000385005 +71 *3063:29 *4854:16 8.51012e-06 +72 *3142:58 *4854:6 0.000342289 +73 *3142:63 *4854:6 0.00028493 +74 *3491:70 *4854:6 0.00136145 +75 *3498:44 *4854:6 1.5714e-05 +76 *4844:317 *24927:A 1.41291e-05 +77 *4844:324 *24927:A 4.2787e-05 +78 *4844:339 *4854:16 0.000140085 +*RES +1 *24915:X *4854:5 13.7491 +2 *4854:5 *4854:6 75.9545 +3 *4854:6 *4854:16 41.0956 +4 *4854:16 *24924:A 34.0134 +5 *4854:6 *24927:A 49.9991 +*END + +*D_NET *4855 0.0202556 +*CONN +*I *24928:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24925:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24916:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24928:A 0.000128981 +2 *24925:A 6.07419e-05 +3 *24916:X 0.0016867 +4 *4855:24 0.00117082 +5 *4855:14 0.00222551 +6 *4855:13 0.00177276 +7 *4855:7 0.00221505 +8 *24925:A *5475:38 5.07834e-05 +9 *24928:A *4867:7 2.65667e-05 +10 *4855:7 *20486:B1 4.71805e-05 +11 *4855:7 *5681:7 0.00103755 +12 *4855:13 *20565:A1 4.23733e-05 +13 *4855:13 *21984:A 0.000111722 +14 *4855:13 *4916:5 8.51503e-05 +15 *4855:13 *4916:9 9.72902e-05 +16 *4855:13 *5682:25 0.000211492 +17 *4855:14 *24524:CLK 4.63475e-05 +18 *4855:14 *4916:14 0.000541824 +19 *4855:14 *4916:16 0.000357374 +20 *4855:14 *4916:18 2.53542e-05 +21 *4855:14 *4916:20 0.00017903 +22 *4855:14 *5851:577 0.000110844 +23 *20161:A1 *4855:14 0.000173305 +24 *20573:A2 *4855:14 0.000215324 +25 *24532:D *4855:13 0.000267383 +26 *1448:232 *4855:13 0.000389378 +27 *1482:48 *4855:24 0.000112301 +28 *1485:142 *4855:14 0.000175825 +29 *1699:42 *4855:7 0.00106637 +30 *1726:16 *24925:A 0.000145396 +31 *1726:16 *4855:24 0.00158432 +32 *1730:92 *4855:14 2.00987e-05 +33 *1730:97 *4855:14 0.00150596 +34 *1755:45 *24925:A 2.95757e-05 +35 *1755:45 *24928:A 6.08467e-05 +36 *1755:45 *4855:24 0.00156 +37 *1808:40 *4855:24 2.14262e-05 +38 *2000:26 *4855:14 0.000160384 +39 *2603:69 *4855:14 6.65016e-05 +40 *2789:12 *4855:14 2.58142e-05 +41 *2789:12 *4855:24 1.30981e-05 +42 *2864:71 *24928:A 0.000344954 +43 *3089:18 *4855:13 8.59033e-05 +*RES +1 *24916:X *4855:7 41.0935 +2 *4855:7 *4855:13 26.2398 +3 *4855:13 *4855:14 46.8869 +4 *4855:14 *4855:24 37.995 +5 *4855:24 *24925:A 16.4116 +6 *4855:24 *24928:A 17.8002 +*END + +*D_NET *4856 0.0227813 +*CONN +*I *24929:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24926:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24917:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24929:A 0.000739335 +2 *24926:A 0 +3 *24917:X 0.00251534 +4 *4856:32 0.000739335 +5 *4856:30 0.00165756 +6 *4856:23 0.0026833 +7 *4856:18 0.00354107 +8 *24929:A *5674:51 2.78736e-05 +9 *4856:18 *5476:51 2.14262e-05 +10 *4856:18 *5476:60 0.000282472 +11 *4856:30 *4865:24 0.000305867 +12 *4856:30 *4876:7 3.99086e-06 +13 *4856:30 *5870:779 0.000410692 +14 *21333:B1 *24929:A 7.36936e-05 +15 *21333:B2 *24929:A 5.15155e-05 +16 *21476:A2 *4856:18 7.55619e-05 +17 *21589:B1 *4856:18 9.98317e-06 +18 *21652:A1 *4856:30 2.99978e-05 +19 *21652:B2 *4856:30 1.41976e-05 +20 *22179:B *4856:30 4.23622e-05 +21 *22204:B *4856:30 9.47275e-05 +22 *22654:A2 *4856:18 0.000135114 +23 *24232:D *4856:18 5.74984e-05 +24 *494:44 *24929:A 0.000258222 +25 *506:16 *4856:18 0.000423336 +26 *1584:140 *4856:18 0.000133112 +27 *1652:12 *4856:18 9.59618e-06 +28 *1680:71 *4856:18 0.000361358 +29 *1730:240 *4856:18 0.000788798 +30 *1735:100 *4856:18 4.57473e-05 +31 *1751:46 *4856:18 1.66626e-05 +32 *2093:10 *4856:30 0.000387679 +33 *2123:47 *4856:30 7.14746e-05 +34 *2378:33 *4856:30 8.97218e-06 +35 *2396:23 *4856:30 0 +36 *2410:8 *24929:A 0.000234833 +37 *2576:57 *24929:A 1.83367e-05 +38 *2577:48 *4856:18 6.39754e-06 +39 *2689:46 *4856:30 5.77352e-05 +40 *2690:11 *4856:30 2.7215e-05 +41 *2930:64 *4856:18 4.41811e-05 +42 *3052:38 *4856:23 0.00144375 +43 *3106:42 *4856:18 0.0023716 +44 *3144:92 *4856:30 0 +45 *3466:21 *4856:18 5.76913e-05 +46 *3474:38 *4856:18 0.00103973 +47 *3474:42 *4856:18 8.32912e-05 +48 *3483:86 *4856:30 0.000123187 +49 *3496:13 *24929:A 1.03403e-05 +50 *3496:29 *4856:18 5.36465e-06 +51 *3519:47 *4856:18 5.11419e-05 +52 *4804:37 *4856:30 0.000290927 +53 *4819:72 *4856:18 0.000897697 +*RES +1 *24917:X *4856:18 45.8167 +2 *4856:18 *4856:23 25.8069 +3 *4856:23 *4856:30 48.6866 +4 *4856:30 *4856:32 4.5 +5 *4856:32 *24926:A 9.24915 +6 *4856:32 *24929:A 31.6687 +*END + +*D_NET *4857 0.00541957 +*CONN +*I *24921:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24918:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24921:A 0 +2 *24918:X 0.0012704 +3 *4857:12 0.0012704 +4 *22263:A2 *4857:12 0.00116033 +5 *22292:B1 *4857:12 2.30279e-05 +6 *22615:A1 *4857:12 0.000184932 +7 *22616:C *4857:12 0.000291086 +8 *22616:D *4857:12 6.51527e-05 +9 *2542:28 *4857:12 0.000301551 +10 *2819:38 *4857:12 0.000539298 +11 *3020:56 *4857:12 0.000206692 +12 *3026:35 *4857:12 2.01503e-05 +13 *3146:157 *4857:12 5.04829e-06 +14 *3192:135 *4857:12 2.06598e-05 +15 *3232:25 *4857:12 3.16037e-05 +16 *3537:192 *4857:12 1.79629e-05 +17 *3564:14 *4857:12 1.12793e-05 +*RES +1 *24918:X *4857:12 48.7775 +2 *4857:12 *24921:A 13.7491 +*END + +*D_NET *4858 0.00531767 +*CONN +*I *24922:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24919:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24922:A 0.000617949 +2 *24919:X 0.00063744 +3 *4858:10 0.00125539 +4 *24922:A *20525:A1 5.69151e-05 +5 *24922:A *20563:A1 4.88626e-05 +6 *24922:A *20563:B1 3.20256e-05 +7 *24922:A *24557:CLK 0.000211478 +8 *24922:A *4861:14 2.16355e-05 +9 *24922:A *5854:73 7.92757e-06 +10 *4858:10 *21673:A 0.000107496 +11 *4858:10 *24557:RESET_B 2.28919e-05 +12 *4858:10 *5456:31 0.000145861 +13 *4858:10 *5858:112 1.25395e-05 +14 *20528:B2 *24922:A 0.000690995 +15 *20563:A2 *24922:A 0.000111802 +16 *20563:B2 *24922:A 6.50586e-05 +17 *24531:D *24922:A 2.57847e-05 +18 *24557:D *4858:10 0.000127179 +19 *24919:A *4858:10 6.3657e-05 +20 *1773:52 *4858:10 0.000636328 +21 *1947:137 *4858:10 0.000107496 +22 *1985:12 *4858:10 0.00015917 +23 *2709:5 *4858:10 0.000151791 +*RES +1 *24919:X *4858:10 37.5792 +2 *4858:10 *24922:A 26.6348 +*END + +*D_NET *4859 0.00467219 +*CONN +*I *24923:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24920:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24923:A 0 +2 *24920:X 0.00105541 +3 *4859:8 0.00105541 +4 *4859:8 *20533:B1 2.16355e-05 +5 *4859:8 *4985:22 4.00504e-05 +6 *4859:8 *4985:24 0.000123354 +7 *4859:8 *5854:14 5.50027e-05 +8 *4859:8 *5869:680 0.000451796 +9 *4859:8 *5869:689 0.000110058 +10 *4859:8 *5869:700 0.0003267 +11 *4859:8 *5869:718 0.00011439 +12 *24549:D *4859:8 0.000107968 +13 *24920:A *4859:8 7.92757e-06 +14 *1603:48 *4859:8 0.000636207 +15 *1733:26 *4859:8 4.63438e-05 +16 *1733:45 *4859:8 8.11083e-05 +17 *1795:53 *4859:8 3.57257e-05 +18 *2592:34 *4859:8 9.15006e-05 +19 *2649:21 *4859:8 0.000295445 +20 *3004:15 *4859:8 1.61631e-05 +*RES +1 *24920:X *4859:8 47.0332 +2 *4859:8 *24923:A 13.7491 +*END + +*D_NET *4860 0.0262939 +*CONN +*I *24933:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24930:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24921:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24933:A 8.84788e-05 +2 *24930:A 0 +3 *24921:X 0.0009618 +4 *4860:39 0.00013221 +5 *4860:38 0.00202059 +6 *4860:23 0.00280892 +7 *4860:18 0.00685295 +8 *4860:17 0.00302897 +9 *4860:18 *19959:A 0.00011393 +10 *4860:18 *21095:B1 0.000809596 +11 *4860:18 *24210:CLK 0.000112551 +12 *4860:18 *24431:RESET_B 0.000113122 +13 *4860:18 *5325:10 2.33211e-05 +14 *4860:18 *5865:10 0.00024189 +15 *4860:23 *19972:A 1.80122e-05 +16 *4860:23 *24429:CLK 0.000384559 +17 *4860:23 *4888:142 9.2932e-05 +18 *4860:38 *21178:A 0.000124641 +19 *4860:38 *5865:161 2.82064e-05 +20 *19895:A2 *4860:17 0.000153427 +21 *20708:A2 *4860:18 4.65545e-06 +22 *22613:B1 *4860:17 0.000835641 +23 *24617:D *4860:38 0.000168023 +24 *719:16 *4860:18 0.000245885 +25 *1663:27 *4860:17 0.000176896 +26 *1784:74 *4860:17 9.27434e-05 +27 *1789:47 *4860:23 0.000134611 +28 *2042:28 *4860:23 0.000247443 +29 *2042:41 *4860:23 0.00035043 +30 *2245:7 *24933:A 2.78407e-05 +31 *2245:7 *4860:38 0.000107496 +32 *2764:29 *4860:23 1.19726e-05 +33 *2764:42 *4860:23 2.42023e-05 +34 *2797:36 *4860:18 5.62362e-05 +35 *2819:8 *4860:18 5.89072e-05 +36 *2819:8 *4860:38 1.62054e-05 +37 *2819:18 *4860:18 0.00076751 +38 *2819:38 *4860:17 0.000102838 +39 *2819:38 *4860:18 0.000133126 +40 *2827:29 *4860:17 0.000538387 +41 *2868:12 *4860:18 8.76707e-06 +42 *2871:8 *4860:18 0.000481484 +43 *3022:24 *4860:18 3.29488e-05 +44 *3039:15 *4860:18 7.73881e-05 +45 *3114:251 *4860:17 0.000176896 +46 *3153:193 *4860:17 0.000153427 +47 *3164:180 *4860:18 1.91391e-05 +48 *3192:135 *4860:17 8.90266e-05 +49 *3222:41 *4860:17 0.000873608 +50 *3278:17 *4860:18 0.000438255 +51 *4839:105 *4860:38 0.00148913 +52 *4840:134 *4860:18 0.000171219 +53 *4840:134 *4860:38 7.14746e-05 +*RES +1 *24921:X *4860:17 27.2414 +2 *4860:17 *4860:18 59.7597 +3 *4860:18 *4860:23 47.108 +4 *4860:23 *24930:A 9.24915 +5 *4860:18 *4860:38 48.8038 +6 *4860:38 *4860:39 57.9449 +7 *4860:39 *24933:A 20.3309 +*END + +*D_NET *4861 0.0177417 +*CONN +*I *24934:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24931:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24922:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24934:A 0.00015858 +2 *24931:A 0 +3 *24922:X 0 +4 *4861:19 0.00230678 +5 *4861:14 0.00123525 +6 *4861:5 0.00338345 +7 *4861:14 *5861:166 0.000106245 +8 *4861:14 *6001:49 0.000127179 +9 *4861:19 *5861:166 0.000686023 +10 *23278:B *4861:14 0.000627109 +11 *23754:A2 *4861:19 0.00032369 +12 *23771:B1 *4861:19 0.000301667 +13 *23806:A *4861:19 0.00172071 +14 *23808:A2 *4861:19 2.8256e-05 +15 *23809:C1 *4861:19 0.000139523 +16 *23831:B *4861:19 0.000356602 +17 *23856:A *4861:19 0.000193255 +18 *24922:A *4861:14 2.16355e-05 +19 *1438:98 *4861:19 9.22013e-06 +20 *1482:32 *4861:19 1.2819e-05 +21 *1482:34 *4861:14 0.000106245 +22 *1482:34 *4861:19 0.00243895 +23 *1485:170 *4861:19 9.93216e-05 +24 *1829:83 *4861:19 5.92342e-05 +25 *2620:76 *4861:19 0.0001184 +26 *3373:24 *4861:19 5.34064e-05 +27 *3671:43 *4861:19 0.000200341 +28 *3999:35 *4861:14 8.46835e-05 +29 *3999:44 *4861:14 0.000111708 +30 *4008:26 *4861:14 0.000130777 +31 *4538:41 *24934:A 0.000140252 +32 *4655:8 *4861:19 2.2599e-05 +33 *4657:17 *4861:19 1.5714e-05 +34 *4702:28 *4861:19 0.000287147 +35 *4730:6 *4861:19 0.00180406 +36 *4740:10 *4861:19 0.000245956 +37 *4751:27 *4861:19 8.49249e-05 +*RES +1 *24922:X *4861:5 13.7491 +2 *4861:5 *4861:14 41.0414 +3 *4861:14 *24931:A 9.24915 +4 *4861:5 *4861:19 95.679 +5 *4861:19 *24934:A 17.8002 +*END + +*D_NET *4862 0.0241268 +*CONN +*I *24935:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24932:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24923:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24935:A 0.000169311 +2 *24932:A 0 +3 *24923:X 0.00125426 +4 *4862:19 0.00126005 +5 *4862:11 0.00203601 +6 *4862:10 0.00203601 +7 *4862:8 0.00246845 +8 *4862:7 0.00263197 +9 *4862:7 *5854:27 0.000536421 +10 *4862:7 *5858:73 2.99978e-05 +11 *4862:7 *5858:80 1.17394e-05 +12 *4862:8 *5019:10 0.000122083 +13 *4862:8 *5921:45 0.000546874 +14 *4862:8 *5921:49 0.000597353 +15 *4862:11 *20791:A1 5.97411e-05 +16 *4862:11 *20791:B1 0.000314762 +17 *4862:19 *5671:142 0 +18 *4862:19 *5921:49 0.00121411 +19 *4862:19 *5921:51 0.000604432 +20 *20790:A *4862:11 6.08467e-05 +21 *20791:B2 *4862:11 0.000171288 +22 *23168:A *4862:11 0.000156946 +23 *23590:A1 *4862:11 0.000172474 +24 *23590:A2 *4862:11 0.000147713 +25 *24087:A0 *4862:19 3.67528e-06 +26 *24250:D *4862:19 0.000104731 +27 *24345:D *4862:8 0.000122083 +28 *24382:D *4862:8 0.00011906 +29 *24385:D *4862:11 5.08564e-05 +30 *1597:16 *4862:8 3.48443e-05 +31 *1947:102 *4862:7 0.000154145 +32 *2523:38 *4862:8 0.00342577 +33 *2523:38 *4862:19 0.00122792 +34 *2672:13 *4862:8 0.000890356 +35 *2943:78 *4862:8 0.000148414 +36 *4077:5 *4862:11 4.89898e-06 +37 *4080:24 *4862:11 0.000181023 +38 *4082:8 *4862:11 0.000210712 +39 *4497:8 *4862:11 0.000501366 +40 *4664:39 *4862:19 0.00028327 +41 *4798:7 *24935:A 6.08467e-05 +*RES +1 *24923:X *4862:7 33.3291 +2 *4862:7 *4862:8 62.8741 +3 *4862:8 *4862:10 4.5 +4 *4862:10 *4862:11 47.3101 +5 *4862:11 *24932:A 9.24915 +6 *4862:8 *4862:19 46.9968 +7 *4862:19 *24935:A 17.2456 +*END + +*D_NET *4863 0.100834 +*CONN +*I *24982:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24997:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24992:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24988:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24966:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24944:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24998:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24955:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24987:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24983:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24985:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24984:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24986:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24989:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24990:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24924:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24982:A 0.000315665 +2 *24997:A 0.000151256 +3 *24992:A 0.000564188 +4 *24988:A 0 +5 *24966:A 2.91059e-05 +6 *24944:A 0.000515723 +7 *24998:A 1.98279e-05 +8 *24955:A 0 +9 *24987:A 5.42586e-05 +10 *24983:A 0.00126087 +11 *24985:A 0 +12 *24984:A 0.00182004 +13 *24986:A 0 +14 *24989:A 0.000115054 +15 *24990:A 0.00111982 +16 *24924:X 0.000450106 +17 *4863:157 0.00209854 +18 *4863:156 0.00209854 +19 *4863:154 0.00120381 +20 *4863:145 0.000900161 +21 *4863:136 0.00169977 +22 *4863:135 0.00156138 +23 *4863:128 0.00167442 +24 *4863:117 0.00130941 +25 *4863:114 0.0018223 +26 *4863:107 0.00178972 +27 *4863:99 0.000974552 +28 *4863:81 0.00308211 +29 *4863:61 0.00258873 +30 *4863:58 0.00380456 +31 *4863:50 0.00149152 +32 *4863:31 0.00297521 +33 *4863:28 0.00213257 +34 *4863:23 0.000976007 +35 *4863:20 0.0017415 +36 *4863:18 0.00189444 +37 *4863:13 0.00128183 +38 *4863:11 0.00210478 +39 *4863:7 0.00287055 +40 *24944:A *4883:15 2.57986e-05 +41 *24983:A *5854:351 7.14746e-05 +42 *24984:A *24866:SET_B 1.34245e-05 +43 *24984:A *24866:CLK 1.92172e-05 +44 *24984:A *5851:330 9.51286e-05 +45 *24984:A *5858:383 6.33518e-05 +46 *24989:A *5858:316 0.000200794 +47 *24990:A *19715:A1 7.50872e-05 +48 *24990:A *21385:A 0.000271044 +49 *24990:A *24851:SET_B 4.23384e-05 +50 *24990:A *4929:8 0.00049413 +51 *24990:A *4929:44 9.55447e-05 +52 *24990:A *5851:236 0.000103611 +53 *24992:A *5856:500 0.000253916 +54 *24992:A *5856:526 0.000399626 +55 *4863:11 *20581:B1 4.69435e-05 +56 *4863:20 *24677:CLK 0.000353039 +57 *4863:20 *5851:219 0.000798879 +58 *4863:20 *5851:224 0.00045291 +59 *4863:23 *19940:A 6.50727e-05 +60 *4863:23 *20224:A1 0.000317707 +61 *4863:23 *5852:26 3.18992e-05 +62 *4863:28 *5851:229 0.000141587 +63 *4863:28 *5858:240 0.000231941 +64 *4863:28 *5858:245 0 +65 *4863:31 *21787:A 6.68703e-05 +66 *4863:58 *20460:A1 0.000258537 +67 *4863:58 *5253:9 8.82125e-05 +68 *4863:58 *5852:26 1.40978e-05 +69 *4863:61 *21080:A1 0.000158885 +70 *4863:61 *5253:9 6.31809e-05 +71 *4863:81 *20352:A1 0.000100226 +72 *4863:81 *20352:B1 2.65831e-05 +73 *4863:81 *5852:21 0.000133523 +74 *4863:81 *5852:26 4.62471e-05 +75 *4863:99 *20380:A1 0.000444724 +76 *4863:107 *20380:A1 3.83172e-05 +77 *4863:114 *24026:S 0.000111217 +78 *4863:114 *24027:S 6.44531e-05 +79 *4863:114 *24061:A0 0.000107496 +80 *4863:114 *24061:A1 6.08467e-05 +81 *4863:114 *24061:S 2.65831e-05 +82 *4863:114 *24200:CLK 7.11419e-05 +83 *4863:114 *5485:86 5.11496e-05 +84 *4863:128 *21131:A1 8.15803e-05 +85 *4863:128 *4937:8 0.000500918 +86 *4863:128 *5439:44 4.34932e-05 +87 *4863:128 *5485:20 0.00140911 +88 *4863:136 *5854:158 9.92046e-06 +89 *4863:136 *5854:160 0.000794177 +90 *4863:154 *20450:B1 6.08467e-05 +91 *4863:154 *21897:A 2.4732e-05 +92 *4863:154 *5139:5 3.07726e-05 +93 *4863:154 *5856:500 0.000154145 +94 *4863:157 *21541:A 0.000115313 +95 *4863:157 *24628:RESET_B 5.92342e-05 +96 *4863:157 *5869:386 0.000341221 +97 *19671:A *24983:A 0.000280076 +98 *19671:B *24983:A 7.83643e-05 +99 *19719:A *24989:A 0.000134323 +100 *19719:A *24990:A 9.75356e-05 +101 *19846:C *24982:A 7.25424e-05 +102 *19846:C *4863:7 7.75632e-05 +103 *19846:C *4863:11 0.000274981 +104 *19913:A *24982:A 0.000107496 +105 *20372:B2 *4863:136 0.000517095 +106 *20380:A2 *4863:107 0.00011818 +107 *20380:B2 *4863:114 0.000160617 +108 *20421:A2 *4863:157 0.000548526 +109 *20450:A2 *4863:154 0.000121009 +110 *20450:B2 *4863:154 0.000113968 +111 *20581:B2 *4863:11 2.61012e-05 +112 *21131:B1 *4863:128 4.27003e-05 +113 *21137:A *24944:A 0.000107496 +114 *21138:A *24944:A 0.000132085 +115 *21218:A1 *4863:154 0.000134323 +116 *21218:A2 *4863:154 0.000111722 +117 *21218:B2 *4863:154 6.08467e-05 +118 *21676:A1 *4863:157 3.91152e-05 +119 *21677:C1 *4863:157 5.49916e-05 +120 *21819:A1 *4863:7 5.04829e-06 +121 *21898:A2 *24992:A 0.000495774 +122 *21899:A1 *4863:154 0.000930619 +123 *21899:B2 *24992:A 6.50586e-05 +124 *24062:A0 *4863:114 9.65091e-05 +125 *24199:RESET_B *4863:128 6.50944e-05 +126 *24519:D *4863:11 8.62625e-06 +127 *24521:D *24982:A 0.000133963 +128 *24602:D *4863:28 0.000101148 +129 *24608:D *4863:154 0.000393863 +130 *24609:D *4863:136 0.000193741 +131 *24628:D *4863:157 8.61022e-05 +132 *24671:D *24983:A 0.000148144 +133 *24674:D *24983:A 7.50872e-05 +134 *24674:D *4863:81 0.000164843 +135 *24676:D *4863:18 0.000138789 +136 *24851:D *24990:A 2.19131e-05 +137 *24863:D *24984:A 0.000160384 +138 *24866:D *24984:A 0.000145013 +139 *24924:A *4863:7 2.65831e-05 +140 *468:20 *4863:11 0.000166112 +141 *514:98 *4863:61 6.68634e-05 +142 *1419:107 *4863:31 8.88984e-06 +143 *1427:22 *4863:18 2.97007e-05 +144 *1427:36 *4863:136 0.00128737 +145 *1435:79 *24992:A 0.000178609 +146 *1439:271 *24989:A 0.000130808 +147 *1439:271 *24990:A 0.000584364 +148 *1442:74 *4863:157 4.83562e-06 +149 *1448:222 *24997:A 0.000106246 +150 *1448:222 *4863:136 0.00102699 +151 *1448:222 *4863:145 0.000224829 +152 *1459:26 *4863:99 0.000963569 +153 *1459:26 *4863:107 0.000217937 +154 *1469:5 *24990:A 0.000108071 +155 *1469:9 *24990:A 0.000512512 +156 *1471:111 *4863:114 2.86829e-05 +157 *1471:117 *24998:A 0.00016195 +158 *1471:117 *4863:117 0.000679133 +159 *1471:200 *4863:157 0.00157234 +160 *1471:213 *4863:157 0.000640221 +161 *1472:14 *4863:61 0 +162 *1499:70 *24984:A 5.2771e-05 +163 *1502:65 *24984:A 0.000589689 +164 *1504:13 *24990:A 0 +165 *1505:11 *24990:A 0.000164843 +166 *1515:20 *4863:11 0.00154642 +167 *1516:20 *24984:A 0 +168 *1516:20 *4863:61 0 +169 *1520:17 *4863:18 6.53205e-05 +170 *1520:17 *4863:99 5.79287e-05 +171 *1520:21 *4863:18 0.000159274 +172 *1520:21 *4863:20 0.00106379 +173 *1541:15 *24982:A 3.82228e-05 +174 *1668:34 *4863:23 7.13972e-05 +175 *1668:34 *4863:50 0.000276155 +176 *1668:34 *4863:58 7.17254e-05 +177 *1677:142 *24992:A 0.000175012 +178 *1697:10 *4863:23 6.92705e-05 +179 *1725:41 *24992:A 0.000511613 +180 *1739:7 *4863:58 0.000311235 +181 *1755:98 *24966:A 0.000160617 +182 *1756:25 *24998:A 0.000171273 +183 *1756:25 *4863:117 0.000683189 +184 *1764:13 *4863:7 2.77625e-06 +185 *1764:32 *4863:154 8.39222e-06 +186 *1764:65 *4863:157 8.17829e-06 +187 *1773:28 *4863:157 5.38081e-05 +188 *1786:21 *4863:31 4.31703e-05 +189 *1791:18 *24982:A 0.000584983 +190 *1791:18 *4863:11 0.00086081 +191 *1792:25 *24982:A 0.000174719 +192 *1947:181 *4863:157 0.00141552 +193 *1961:11 *4863:154 9.75074e-05 +194 *1965:14 *4863:28 1.41761e-05 +195 *2004:33 *24982:A 5.75924e-05 +196 *2004:33 *4863:11 0.000314268 +197 *2004:42 *24982:A 3.16574e-05 +198 *2219:27 *4863:128 0 +199 *2283:29 *24997:A 0.000451182 +200 *2285:13 *4863:154 6.48693e-05 +201 *2285:16 *4863:154 0.000157762 +202 *2285:16 *4863:157 0.000173603 +203 *2381:17 *4863:61 0.00107884 +204 *2442:17 *24987:A 0.000107496 +205 *2466:16 *24983:A 0.000515404 +206 *2561:26 *4863:114 1.19934e-05 +207 *2563:8 *4863:136 0.000283846 +208 *2578:15 *4863:11 2.03363e-06 +209 *2619:19 *24944:A 0.000101639 +210 *2623:8 *4863:20 0.0016538 +211 *2624:54 *4863:157 1.86757e-05 +212 *2675:14 *24983:A 3.10924e-05 +213 *2700:8 *4863:28 0.000907334 +214 *2710:29 *4863:157 4.25247e-05 +215 *2719:8 *4863:18 7.73395e-05 +216 *2719:8 *4863:20 0.000144075 +217 *2719:8 *4863:99 8.72619e-05 +218 *2758:22 *4863:20 0.000101054 +219 *2785:38 *4863:154 4.29445e-05 +220 *2803:13 *4863:31 0.000258128 +221 *2836:5 *4863:31 9.31907e-05 +222 *2844:24 *4863:157 3.10307e-05 +223 *2864:71 *24966:A 0.000160617 +224 *2878:25 *4863:99 0.000258142 +225 *2911:30 *24997:A 0.000451182 +226 *2912:13 *24992:A 0.000358753 +227 *2913:15 *24992:A 0.00050987 +228 *2913:15 *4863:154 0.000372095 +229 *2915:8 *24992:A 6.08467e-05 +230 *2922:33 *4863:128 0.000231495 +231 *2926:9 *24989:A 1.65872e-05 +232 *2928:14 *24983:A 0.000159869 +233 *2936:14 *24983:A 0.000380558 +234 *2936:16 *24983:A 7.70944e-06 +235 *2966:23 *24983:A 7.5108e-05 +236 *2976:9 *4863:99 0.000902252 +237 *3002:42 *4863:157 0.000531682 +238 *3014:56 *4863:114 0.000705814 +239 *3089:10 *4863:136 0.00198639 +240 *3089:12 *24997:A 4.20662e-05 +241 *3089:12 *4863:136 0.000210031 +242 *3089:12 *4863:145 9.57348e-05 +243 *3177:111 *4863:128 0 +244 *3373:33 *4863:157 9.33288e-05 +245 *3757:26 *4863:114 0 +246 *3758:8 *4863:114 9.19886e-06 +247 *4832:116 *4863:18 3.17862e-05 +248 *4832:116 *4863:20 0.000256376 +249 *4844:434 *24989:A 0.000154145 +250 *4844:434 *24990:A 4.86986e-05 +251 *4845:432 *24984:A 0 +252 *4845:463 *24984:A 0 +253 *4845:463 *4863:61 9.64122e-05 +*RES +1 *24924:X *4863:7 20.0186 +2 *4863:7 *4863:11 47.5162 +3 *4863:11 *4863:13 4.5 +4 *4863:13 *4863:18 10.6256 +5 *4863:18 *4863:20 55.3995 +6 *4863:20 *4863:23 12.4332 +7 *4863:23 *4863:28 25.3658 +8 *4863:28 *4863:31 30.1806 +9 *4863:31 *24990:A 49.982 +10 *4863:31 *24989:A 18.7989 +11 *4863:23 *4863:50 7.37864 +12 *4863:50 *24986:A 9.24915 +13 *4863:50 *4863:58 19.0494 +14 *4863:58 *4863:61 29.5861 +15 *4863:61 *24984:A 46.266 +16 *4863:61 *24985:A 13.7491 +17 *4863:58 *4863:81 31.2898 +18 *4863:81 *24983:A 48.8013 +19 *4863:18 *24987:A 15.0271 +20 *4863:13 *4863:99 39.4955 +21 *4863:99 *24955:A 9.24915 +22 *4863:99 *4863:107 4.07513 +23 *4863:107 *4863:114 46.5652 +24 *4863:114 *4863:117 12.4332 +25 *4863:117 *24998:A 11.0817 +26 *4863:117 *4863:128 48.6199 +27 *4863:128 *24944:A 21.6192 +28 *4863:107 *4863:135 4.5 +29 *4863:135 *4863:136 66.1961 +30 *4863:136 *24966:A 15.5817 +31 *4863:136 *4863:145 4.32351 +32 *4863:145 *4863:154 38.5449 +33 *4863:154 *4863:156 4.5 +34 *4863:156 *4863:157 69.9334 +35 *4863:157 *24988:A 13.7491 +36 *4863:154 *24992:A 41.6838 +37 *4863:145 *24997:A 21.1566 +38 *4863:7 *24982:A 26.4099 +*END + +*D_NET *4864 0.0463668 +*CONN +*I *24686:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *21156:A I *D sky130_fd_sc_hd__inv_4 +*I *24200:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24826:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24168:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24166:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24208:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24799:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24209:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24825:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24835:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *24828:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *24925:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24686:CLK 0 +2 *21156:A 0.000371606 +3 *24200:CLK 0.000565373 +4 *24826:CLK 1.53411e-05 +5 *24168:CLK 2.56838e-05 +6 *24166:CLK 6.78869e-05 +7 *24208:CLK 0.000840596 +8 *24799:CLK 0.0001734 +9 *24209:CLK 0.000939778 +10 *24825:CLK 0.000266913 +11 *24835:CLK_N 0 +12 *24828:CLK_N 0.000761889 +13 *24925:X 0.000820798 +14 *4864:124 0.00192351 +15 *4864:89 0.00127478 +16 *4864:75 0.00116202 +17 *4864:72 0.00086011 +18 *4864:56 0.00150962 +19 *4864:51 0.000865644 +20 *4864:34 0.00147824 +21 *4864:32 0.00210108 +22 *4864:30 0.00138247 +23 *4864:13 0.00129416 +24 *4864:11 0.0026586 +25 *4864:7 0.00196045 +26 *21156:A *5440:8 0.000139931 +27 *24166:CLK *5473:69 7.41058e-05 +28 *24168:CLK *22124:B1 1.43983e-05 +29 *24200:CLK *21134:A1 5.04829e-06 +30 *24200:CLK *5438:43 3.51249e-05 +31 *24200:CLK *5438:61 9.99386e-06 +32 *24200:CLK *5439:21 2.68066e-05 +33 *24200:CLK *5473:104 4.53168e-05 +34 *24208:CLK *5447:14 0.000110701 +35 *24208:CLK *5473:42 3.17266e-05 +36 *24208:CLK *5804:28 0 +37 *24208:CLK *5810:23 0.000316463 +38 *24209:CLK *20071:B1 0.000736671 +39 *24209:CLK *21099:A1 0.000258142 +40 *24209:CLK *5447:28 0.000307037 +41 *24209:CLK *5447:36 0.000208801 +42 *24209:CLK *5474:60 0.000330014 +43 *24799:CLK *5473:39 3.6455e-05 +44 *24799:CLK *5791:17 0.000165495 +45 *24799:CLK *5805:26 8.07794e-05 +46 *24826:CLK *5477:26 6.92705e-05 +47 *24826:CLK *5811:41 1.92336e-05 +48 *24828:CLK_N *5459:8 0.000100721 +49 *4864:7 *20155:B1 4.82656e-05 +50 *4864:11 *5437:23 0.000262112 +51 *4864:11 *5445:10 0.000154073 +52 *4864:13 *19754:A1 0.000239189 +53 *4864:13 *5437:23 0.000115615 +54 *4864:30 *20063:B1 0 +55 *4864:30 *24204:CLK 0 +56 *4864:30 *4867:28 3.82884e-05 +57 *4864:30 *4867:159 8.21161e-05 +58 *4864:51 *5473:69 5.68225e-06 +59 *4864:51 *5473:73 0 +60 *4864:51 *5477:14 0.000306642 +61 *4864:51 *5477:26 0.000328966 +62 *4864:56 *5473:69 0.000289525 +63 *4864:56 *5474:60 1.43055e-05 +64 *4864:72 *20069:A 1.92172e-05 +65 *4864:72 *22124:B1 2.77625e-06 +66 *4864:75 *22107:A1 0.000214651 +67 *4864:75 *22824:B 2.02035e-05 +68 *4864:75 *5486:27 0 +69 *4864:75 *5791:8 0.00046761 +70 *4864:75 *5791:17 4.11147e-05 +71 *4864:75 *5805:26 2.82537e-05 +72 *4864:89 *5473:54 3.82228e-05 +73 *4864:89 *5486:7 1.43983e-05 +74 *4864:124 *24026:A1 2.16355e-05 +75 *4864:124 *24201:CLK 6.08467e-05 +76 *4864:124 *5440:8 4.02079e-05 +77 *20055:A *4864:30 0 +78 *20071:A1 *24209:CLK 1.41976e-05 +79 *20071:A1 *4864:72 0.000107496 +80 *20073:A *4864:51 2.60879e-06 +81 *20154:A2 *24799:CLK 4.31703e-05 +82 *20154:A3 *4864:89 0.000160617 +83 *20155:A1 *4864:7 6.1431e-07 +84 *20155:A2 *4864:7 1.65872e-05 +85 *21111:B1 *4864:124 2.84892e-05 +86 *21116:B2 *4864:11 4.19683e-05 +87 *21128:B1 *4864:124 0.000160942 +88 *21160:A *24208:CLK 8.92568e-06 +89 *21167:A *4864:30 4.98393e-05 +90 *22107:A3 *4864:72 0.000466373 +91 *22124:A1 *4864:72 0.000275256 +92 *22124:A2 *4864:72 0.00025329 +93 *22827:B1 *24200:CLK 0.000123582 +94 *23368:A *4864:7 3.64685e-05 +95 *23370:A *4864:7 4.41351e-05 +96 *24016:A0 *4864:11 0.000148994 +97 *24166:RESET_B *4864:75 6.81008e-05 +98 *24167:RESET_B *4864:34 7.58739e-05 +99 *24169:RESET_B *24166:CLK 5.92342e-05 +100 *24169:RESET_B *24208:CLK 0.000118485 +101 *24200:D *24200:CLK 3.40423e-05 +102 *24201:D *4864:124 0.000188722 +103 *24201:RESET_B *21156:A 0.000128858 +104 *24208:D *24208:CLK 5.81064e-05 +105 *24686:D *4864:32 3.27635e-05 +106 *24703:D *24208:CLK 0.000321771 +107 *24798:D *4864:7 1.80887e-05 +108 *24799:D *4864:89 2.65667e-05 +109 *24825:RESET_B *4864:51 0.000159769 +110 *24826:D *4864:56 0.000444608 +111 *24827:RESET_B *4864:30 0.000175227 +112 *24835:D *4864:13 5.42343e-05 +113 *24835:RESET_B *4864:13 1.91114e-05 +114 *74:32 *4864:34 0.000320106 +115 *74:32 *4864:51 7.18816e-06 +116 *459:31 *24828:CLK_N 0.0003014 +117 *459:42 *24828:CLK_N 6.79599e-05 +118 *460:16 *4864:30 0 +119 *514:31 *4864:7 0.00316991 +120 *657:22 *4864:51 6.65073e-05 +121 *657:62 *24828:CLK_N 2.65831e-05 +122 *1438:81 *4864:11 0.00129545 +123 *1438:83 *4864:11 0.000291202 +124 *1455:73 *24828:CLK_N 0.000323586 +125 *1459:51 *4864:7 0.000111708 +126 *1471:111 *24200:CLK 3.90042e-05 +127 *1522:32 *4864:30 0 +128 *1525:10 *24828:CLK_N 0.000523562 +129 *1697:45 *24828:CLK_N 0.000263099 +130 *1699:23 *4864:7 0.000561769 +131 *1731:59 *24825:CLK 5.14526e-05 +132 *1756:40 *24828:CLK_N 4.52614e-05 +133 *1783:71 *4864:30 0 +134 *1783:71 *4864:32 0 +135 *1783:71 *4864:34 0 +136 *1786:34 *4864:30 0.00142948 +137 *1815:6 *4864:51 0.00021329 +138 *1815:6 *4864:56 0.000387065 +139 *1815:8 *4864:56 0.000348726 +140 *1815:60 *24825:CLK 2.26985e-05 +141 *1815:60 *4864:34 0.00021388 +142 *1815:60 *4864:51 0.000837069 +143 *1816:56 *4864:32 0 +144 *1818:21 *4864:11 8.4301e-05 +145 *1818:29 *4864:11 0.000563456 +146 *1845:178 *4864:30 0 +147 *1862:47 *24166:CLK 0.000219139 +148 *1862:47 *24208:CLK 0.000169093 +149 *1862:83 *4864:32 8.00395e-05 +150 *1862:83 *4864:34 0.000158778 +151 *2218:7 *4864:124 0.000158438 +152 *2283:7 *24828:CLK_N 0.000132899 +153 *2284:22 *4864:7 1.49927e-05 +154 *2619:19 *24826:CLK 0.00011818 +155 *2715:24 *24828:CLK_N 2.16355e-05 +156 *2864:43 *24209:CLK 5.13932e-05 +157 *3014:56 *21156:A 6.55312e-05 +158 *3014:56 *24200:CLK 0 +159 *3177:111 *4864:124 9.03239e-05 +160 *3757:8 *24200:CLK 2.652e-05 +161 *3757:17 *24200:CLK 4.20662e-05 +162 *3757:26 *24200:CLK 0.000117653 +163 *4863:114 *24200:CLK 7.11419e-05 +*RES +1 *24925:X *4864:7 49.4126 +2 *4864:7 *4864:11 47.2067 +3 *4864:11 *4864:13 13.4793 +4 *4864:13 *24828:CLK_N 44.0895 +5 *4864:13 *24835:CLK_N 9.24915 +6 *4864:11 *4864:30 34.5691 +7 *4864:30 *4864:32 9.72179 +8 *4864:32 *4864:34 22.8022 +9 *4864:34 *24825:CLK 20.069 +10 *4864:34 *4864:51 21.1412 +11 *4864:51 *4864:56 19.7835 +12 *4864:56 *24209:CLK 32.7836 +13 *4864:56 *4864:72 9.06656 +14 *4864:72 *4864:75 24.6031 +15 *4864:75 *24799:CLK 19.7687 +16 *4864:75 *4864:89 18.0424 +17 *4864:89 *24208:CLK 40.2778 +18 *4864:89 *24166:CLK 17.6574 +19 *4864:72 *24168:CLK 9.97254 +20 *4864:51 *24826:CLK 15.0271 +21 *4864:32 *4864:124 27.6004 +22 *4864:124 *24200:CLK 33.5408 +23 *4864:124 *21156:A 19.9795 +24 *4864:30 *24686:CLK 13.7491 +*END + +*D_NET *4865 0.0174227 +*CONN +*I *24937:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24936:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24926:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24937:A 0 +2 *24936:A 0.000389086 +3 *24926:X 0 +4 *4865:24 0.000929608 +5 *4865:6 0.00309293 +6 *4865:5 0.00363345 +7 *24936:A *5898:19 0.000464113 +8 *24936:A *5898:21 9.82896e-06 +9 *4865:6 *20516:A1 9.32891e-05 +10 *4865:6 *20520:B1 4.34034e-05 +11 *4865:6 *21317:A 2.82537e-05 +12 *4865:6 *24559:CLK 3.97785e-05 +13 *4865:6 *24560:CLK 0.000264541 +14 *4865:6 *24561:CLK 0 +15 *4865:6 *24563:RESET_B 0.000324953 +16 *4865:6 *4914:53 1.86724e-05 +17 *4865:6 *4914:70 1.80103e-05 +18 *4865:6 *4919:15 0 +19 *4865:6 *5853:410 0.000141554 +20 *4865:6 *5870:713 7.13677e-05 +21 *4865:6 *5870:779 0.000304086 +22 *4865:6 *5956:52 0.000410319 +23 *4865:24 *5870:779 3.04617e-05 +24 *19929:B1 *4865:24 4.04556e-05 +25 *20511:A *4865:6 0.000188239 +26 *21333:B1 *4865:24 4.59164e-06 +27 *21364:A2 *4865:6 0 +28 *21652:A1 *4865:24 0.000351953 +29 *21652:B2 *4865:24 5.86949e-05 +30 *22240:B *4865:24 6.08467e-05 +31 *22244:C *4865:24 3.33173e-06 +32 *22245:A *4865:24 1.29445e-05 +33 *23949:A0 *24936:A 0.000472818 +34 *24559:D *4865:6 3.30069e-05 +35 *506:36 *4865:6 0 +36 *531:68 *24936:A 0.000411006 +37 *541:57 *24936:A 0.000996835 +38 *1545:17 *4865:24 0.00141652 +39 *1577:165 *4865:24 1.60518e-05 +40 *1695:31 *24936:A 0.000421378 +41 *1730:48 *4865:24 0.000782108 +42 *1884:282 *4865:6 6.07898e-05 +43 *2123:47 *4865:24 7.39264e-05 +44 *2270:133 *4865:6 2.88928e-05 +45 *2378:33 *4865:24 0.000170684 +46 *2392:24 *4865:6 1.8882e-05 +47 *2576:57 *4865:24 2.77419e-05 +48 *3204:19 *4865:24 0.000174403 +49 *3485:19 *4865:24 7.67158e-05 +50 *4827:20 *4865:6 0.000196263 +51 *4827:24 *4865:6 0.00071005 +52 *4827:29 *4865:6 0 +53 *4827:29 *4865:24 0 +54 *4844:356 *4865:6 0 +55 *4856:30 *4865:24 0.000305867 +*RES +1 *24926:X *4865:5 13.7491 +2 *4865:5 *4865:6 69.1029 +3 *4865:6 *24936:A 36.1021 +4 *4865:5 *4865:24 48.4088 +5 *4865:24 *24937:A 9.24915 +*END + +*D_NET *4866 0.0893165 +*CONN +*I *24980:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24979:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24969:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24981:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24971:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24999:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24972:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24973:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *25000:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24975:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24976:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24978:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24927:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24980:A 3.5132e-05 +2 *24979:A 0.00121352 +3 *24969:A 0.0003749 +4 *24981:A 0.000156351 +5 *24971:A 0 +6 *24999:A 8.55206e-05 +7 *24972:A 0.000361924 +8 *24973:A 0 +9 *25000:A 0 +10 *24975:A 0 +11 *24976:A 0.00020521 +12 *24978:A 0.00122383 +13 *24927:X 0.000232528 +14 *4866:121 0.00228452 +15 *4866:120 0.00190962 +16 *4866:110 0.00208807 +17 *4866:109 0.0022724 +18 *4866:102 0.0029538 +19 *4866:94 0.00318578 +20 *4866:82 0.00103751 +21 *4866:72 0.000741249 +22 *4866:70 0.00138438 +23 *4866:65 0.00113534 +24 *4866:55 0.00127101 +25 *4866:52 0.00420382 +26 *4866:49 0.00344726 +27 *4866:32 0.00174877 +28 *4866:15 0.00147915 +29 *4866:12 0.00217638 +30 *4866:10 0.00335482 +31 *4866:8 0.00200895 +32 *4866:6 0.000819832 +33 *24969:A *5855:418 0.000285666 +34 *24972:A *4910:21 0.000544561 +35 *24972:A *4911:7 0.000179827 +36 *24972:A *5864:244 0.000538117 +37 *24978:A *21519:A 0.000207266 +38 *24978:A *23988:A1 6.08467e-05 +39 *24978:A *4915:88 0.000217937 +40 *24978:A *4915:98 0.000304432 +41 *24978:A *4917:7 0.000313229 +42 *24979:A *5860:491 0.000406794 +43 *24979:A *5860:530 0.000810077 +44 *24979:A *5860:544 0.000246644 +45 *24980:A *5857:267 4.31539e-05 +46 *24999:A *20256:A1 0.00025175 +47 *24999:A *4938:7 4.78563e-05 +48 *4866:6 *21233:A 0.000162544 +49 *4866:8 *19611:A1 8.86481e-05 +50 *4866:8 *21233:A 0.000244377 +51 *4866:12 *21859:A 0.00036531 +52 *4866:15 *4915:98 3.82228e-05 +53 *4866:15 *4915:106 4.0752e-05 +54 *4866:32 *19615:A1 0.000385272 +55 *4866:32 *20517:A1 7.20265e-05 +56 *4866:32 *20517:B1 3.58044e-05 +57 *4866:32 *4914:12 7.68538e-06 +58 *4866:32 *5854:424 3.49878e-05 +59 *4866:65 *24395:RESET_B 0.000396275 +60 *4866:65 *4877:159 0.000328785 +61 *4866:65 *4877:170 4.70005e-05 +62 *4866:65 *4877:182 0.000161726 +63 *4866:65 *4939:10 0.000585834 +64 *4866:65 *5857:213 0.000275059 +65 *4866:65 *5857:215 1.36313e-05 +66 *4866:70 *24047:A0 0.000238567 +67 *4866:70 *24736:CLK 0.000140487 +68 *4866:70 *4911:43 0.000242269 +69 *4866:82 *4910:17 0.000305742 +70 *4866:82 *4910:21 0.000247443 +71 *4866:94 *5857:179 9.95495e-05 +72 *4866:102 *24785:SET_B 0.000103359 +73 *4866:102 *24785:CLK 0.000201441 +74 *4866:102 *4911:147 5.37574e-05 +75 *4866:102 *5233:7 2.1228e-06 +76 *4866:109 *22234:A 0.000225735 +77 *4866:110 *21063:A1 0.000635692 +78 *4866:110 *21889:A 0.000154145 +79 *4866:110 *24234:RESET_B 0.000326476 +80 *4866:110 *24234:CLK 2.37827e-05 +81 *4866:121 *20619:B1 5.56367e-05 +82 *4866:121 *20622:A1 0.000137587 +83 *4866:121 *21916:A 0.000212612 +84 *4866:121 *4908:22 0.000716237 +85 *4866:121 *4908:24 0.000174284 +86 *4866:121 *4908:26 0.000149637 +87 *4866:121 *4908:39 8.40902e-05 +88 *4866:121 *5859:215 6.38769e-05 +89 *4866:121 *5859:230 0.000476049 +90 *6087:DIODE *4866:12 1.27398e-05 +91 *6176:DIODE *4866:8 1.66771e-05 +92 *19618:A2 *24979:A 0.000529889 +93 *20165:B1 *4866:102 2.59452e-05 +94 *20311:B1 *4866:102 5.70034e-06 +95 *20517:B2 *4866:32 0.000111722 +96 *20772:A1_N *4866:65 0.000176222 +97 *20772:A2_N *4866:65 8.98169e-05 +98 *20773:A1 *4866:65 0.000123848 +99 *20776:C1 *4866:65 0.000318514 +100 *20845:A *4866:109 2.16355e-05 +101 *21378:B1 *24979:A 3.99086e-06 +102 *21397:A *4866:8 9.68902e-05 +103 *21894:A1 *24981:A 1.41976e-05 +104 *22159:A *4866:110 6.08467e-05 +105 *22159:B *4866:110 0.000177298 +106 *22159:C *4866:110 7.89747e-05 +107 *22186:A *4866:110 0.000181027 +108 *22212:A *4866:121 0 +109 *22213:A *4866:110 1.00846e-05 +110 *22214:A *4866:110 0.000684442 +111 *22216:A *4866:110 0.000572454 +112 *22532:A *4866:110 0.000340742 +113 *23966:S *4866:12 0.000312239 +114 *23988:A0 *24978:A 0.000315461 +115 *23992:S *4866:12 4.04447e-05 +116 *24019:A1 *4866:102 9.70647e-05 +117 *24019:S *4866:94 7.78924e-05 +118 *24019:S *4866:102 2.60631e-05 +119 *24740:D *4866:82 1.90335e-05 +120 *24740:D *4866:94 7.81005e-05 +121 *24741:D *4866:70 3.1218e-05 +122 *24753:D *4866:12 0.000299901 +123 *24895:D *24979:A 0.000593404 +124 *497:73 *24976:A 7.77309e-06 +125 *500:60 *4866:82 0.00039028 +126 *500:60 *4866:94 0.00137248 +127 *508:28 *4866:94 0.000136187 +128 *523:10 *24976:A 0.000304998 +129 *524:36 *4866:12 1.56359e-05 +130 *524:43 *24978:A 0.000160617 +131 *524:43 *4866:12 0.000219393 +132 *524:43 *4866:15 0.000197281 +133 *524:44 *24976:A 1.71577e-05 +134 *524:103 *4866:12 6.14963e-05 +135 *524:118 *4866:12 0.000648585 +136 *527:80 *4866:12 5.75033e-05 +137 *533:8 *4866:10 0 +138 *533:8 *4866:12 0 +139 *545:37 *4866:49 4.04447e-05 +140 *550:13 *24978:A 4.89898e-06 +141 *1419:18 *4866:49 0.000138795 +142 *1419:184 *4866:121 0.000158654 +143 *1419:207 *4866:121 0.000154469 +144 *1428:54 *4866:6 0.000459197 +145 *1428:54 *4866:8 0.00100774 +146 *1428:54 *4866:10 0.000280644 +147 *1450:113 *24980:A 4.31539e-05 +148 *1573:59 *4866:12 0.000208432 +149 *1573:101 *4866:121 0.00131781 +150 *1649:80 *4866:110 0.000396673 +151 *1701:20 *4866:49 4.24706e-05 +152 *1701:39 *4866:49 0.000694527 +153 *1744:38 *24976:A 3.42931e-05 +154 *1884:257 *4866:121 2.90121e-05 +155 *1884:271 *4866:49 0.000945427 +156 *1901:30 *4866:70 0 +157 *1901:56 *4866:70 0.000107446 +158 *1902:21 *4866:70 0 +159 *1934:32 *4866:12 7.58734e-05 +160 *1982:5 *4866:32 6.08467e-05 +161 *1982:17 *4866:32 0.000200794 +162 *1983:21 *4866:32 0.000207266 +163 *2085:11 *4866:52 0.00100196 +164 *2085:13 *4866:52 0.000644265 +165 *2103:46 *4866:55 0.000364103 +166 *2103:46 *4866:70 0.000729086 +167 *2115:15 *4866:110 5.37686e-05 +168 *2115:31 *4866:110 0.00154214 +169 *2117:13 *4866:102 7.92757e-06 +170 *2117:13 *4866:109 4.58298e-05 +171 *2117:297 *4866:102 1.03403e-05 +172 *2178:40 *4866:121 0.00167712 +173 *2333:37 *4866:55 1.02917e-05 +174 *2333:37 *4866:65 0.000110458 +175 *2333:38 *4866:70 0 +176 *2384:88 *4866:82 0.000348381 +177 *2420:42 *4866:6 0.000121528 +178 *2420:42 *4866:8 4.58666e-05 +179 *2422:27 *4866:52 0.000159348 +180 *2428:87 *4866:32 6.08467e-05 +181 *2428:87 *4866:49 0.000297037 +182 *2433:147 *4866:70 0.000169093 +183 *2434:8 *4866:10 2.41506e-05 +184 *2434:8 *4866:12 0.00107081 +185 *2437:8 *24976:A 0.000477705 +186 *2441:66 *4866:70 0.000311203 +187 *2528:41 *4866:8 0.000104616 +188 *2528:41 *4866:10 2.71953e-05 +189 *2642:27 *4866:121 0.000315445 +190 *2645:34 *4866:49 7.20043e-06 +191 *2689:14 *4866:65 1.67897e-05 +192 *2689:18 *4866:65 0.000347337 +193 *2800:14 *4866:109 0.000234068 +194 *2905:7 *24981:A 3.55126e-05 +195 *2905:7 *4866:110 0.000879703 +196 *2924:61 *4866:94 0.000810794 +197 *2949:60 *4866:70 0.00177674 +198 *2990:36 *4866:121 0.000172185 +199 *3017:26 *4866:70 0.000519628 +200 *3118:39 *4866:110 4.47134e-05 +201 *3162:11 *4866:110 4.47134e-05 +202 *3481:9 *4866:110 0.000144769 +203 *3481:16 *4866:110 4.95933e-05 +204 *4804:118 *4866:52 0.000909817 +205 *4827:20 *4866:32 0.000177049 +206 *4827:29 *4866:110 0.000200794 +207 *4829:20 *4866:12 5.82465e-05 +208 *4832:84 *4866:70 6.39576e-05 +209 *4832:100 *4866:55 0.000143057 +210 *4832:100 *4866:70 3.75405e-05 +211 *4833:21 *4866:49 2.81147e-06 +212 *4844:274 *4866:102 0.000961968 +*RES +1 *24927:X *4866:6 22.6404 +2 *4866:6 *4866:8 19.6878 +3 *4866:8 *4866:10 6.81502 +4 *4866:10 *4866:12 64.5351 +5 *4866:12 *4866:15 6.88721 +6 *4866:15 *24978:A 40.4757 +7 *4866:15 *24976:A 27.1404 +8 *4866:10 *4866:32 28.5167 +9 *4866:32 *24975:A 9.24915 +10 *4866:32 *4866:49 23.811 +11 *4866:49 *4866:52 47.3733 +12 *4866:52 *4866:55 10.8998 +13 *4866:55 *25000:A 9.24915 +14 *4866:55 *4866:65 49.3545 +15 *4866:65 *24973:A 9.24915 +16 *4866:52 *4866:70 53.7385 +17 *4866:70 *4866:72 4.5 +18 *4866:72 *24972:A 21.0646 +19 *4866:72 *4866:82 17.9997 +20 *4866:82 *24999:A 16.691 +21 *4866:82 *4866:94 31.1428 +22 *4866:94 *24971:A 9.24915 +23 *4866:94 *4866:102 39.0152 +24 *4866:102 *4866:109 16.8199 +25 *4866:109 *4866:110 65.0574 +26 *4866:110 *24981:A 12.625 +27 *4866:102 *4866:120 4.5 +28 *4866:120 *4866:121 68.2723 +29 *4866:121 *24969:A 22.237 +30 *4866:8 *24979:A 46.0849 +31 *4866:6 *24980:A 15.0271 +*END + +*D_NET *4867 0.059668 +*CONN +*I *24202:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24827:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *24813:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24829:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *24165:CLK I *D sky130_fd_sc_hd__dfstp_4 +*I *24814:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24817:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24167:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24816:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24197:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24199:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24815:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24169:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24703:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24743:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24207:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *23954:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24201:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24928:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24202:CLK 3.5247e-05 +2 *24827:CLK_N 0 +3 *24813:CLK 0 +4 *24829:CLK_N 0 +5 *24165:CLK 0 +6 *24814:CLK 0 +7 *24817:CLK 0 +8 *24167:CLK 0.00122771 +9 *24816:CLK 0 +10 *24197:CLK 0 +11 *24199:CLK 0.000649782 +12 *24815:CLK 0 +13 *24169:CLK 0.000151131 +14 *24703:CLK 0.000197242 +15 *24743:CLK 0.000133501 +16 *24207:CLK 0 +17 *23954:A1 0 +18 *24201:CLK 0.000528716 +19 *24928:X 0.00121257 +20 *4867:169 0.00161562 +21 *4867:161 0.00224876 +22 *4867:159 0.00118866 +23 *4867:115 0.00287762 +24 *4867:79 0.000831852 +25 *4867:75 0.0011359 +26 *4867:66 0.000865752 +27 *4867:56 0.000903917 +28 *4867:51 0.000904817 +29 *4867:49 0.00275327 +30 *4867:47 0.000726783 +31 *4867:45 0.00193056 +32 *4867:43 0.000603681 +33 *4867:38 0.00135959 +34 *4867:28 0.00124191 +35 *4867:24 0.00163667 +36 *4867:20 0.00155008 +37 *4867:8 0.00314048 +38 *4867:7 0.00302315 +39 *24167:CLK *20109:A1 0.000220183 +40 *24167:CLK *20152:B 3.8122e-05 +41 *24167:CLK *20152:C 7.92757e-06 +42 *24167:CLK *22126:A1 0 +43 *24167:CLK *24030:A1 0.000111708 +44 *24167:CLK *24030:S 0.00036195 +45 *24167:CLK *5484:7 0.000304777 +46 *24167:CLK *5485:37 2.77625e-06 +47 *24167:CLK *5590:10 1.03403e-05 +48 *24169:CLK *5473:54 0.000530151 +49 *24199:CLK *4937:8 0 +50 *24199:CLK *5811:38 5.15707e-05 +51 *24201:CLK *22830:C 2.85176e-05 +52 *24201:CLK *24026:S 1.96353e-05 +53 *24201:CLK *5438:61 9.36754e-05 +54 *24201:CLK *5440:8 1.43698e-05 +55 *24201:CLK *5440:38 0.000177761 +56 *24201:CLK *5440:47 8.61913e-05 +57 *24201:CLK *5440:67 3.63743e-05 +58 *24201:CLK *5441:29 2.65e-05 +59 *24201:CLK *5476:16 0.00029292 +60 *24703:CLK *5474:7 0.000206696 +61 *24703:CLK *5809:11 0.000299853 +62 *24743:CLK *5809:11 0.000197455 +63 *4867:8 *24060:A1 2.07391e-05 +64 *4867:8 *24060:S 0.000160061 +65 *4867:8 *5440:67 2.33193e-05 +66 *4867:8 *5476:26 0.000169986 +67 *4867:8 *5476:34 3.39588e-06 +68 *4867:8 *6001:66 0.000387209 +69 *4867:20 *21125:A1 7.98171e-06 +70 *4867:20 *5440:50 2.44829e-05 +71 *4867:28 *20063:B1 0.000224315 +72 *4867:28 *5482:7 0.000151519 +73 *4867:38 *5735:12 0.000171578 +74 *4867:43 *5590:10 0.000767618 +75 *4867:43 *5785:28 0.000412486 +76 *4867:43 *5785:30 0.000146356 +77 *4867:45 *5590:10 0.000338585 +78 *4867:45 *5785:30 0.000149937 +79 *4867:47 *21350:A 3.12316e-05 +80 *4867:47 *5590:10 8.16827e-05 +81 *4867:47 *5733:12 6.76857e-06 +82 *4867:47 *5733:20 1.0771e-05 +83 *4867:47 *5733:22 0.000402308 +84 *4867:47 *5785:30 0.000602283 +85 *4867:49 *5733:22 0.000376682 +86 *4867:49 *5785:30 0.000170401 +87 *4867:51 *5733:22 0.000966459 +88 *4867:51 *5785:30 0.000263899 +89 *4867:51 *5930:14 0 +90 *4867:56 *23954:A0 2.65667e-05 +91 *4867:56 *5731:7 0.000110684 +92 *4867:56 *5733:22 0.000990685 +93 *4867:56 *5930:14 0 +94 *4867:66 *5731:7 6.39593e-05 +95 *4867:66 *5812:60 0.000740401 +96 *4867:75 *5446:8 6.50586e-05 +97 *4867:75 *5447:14 2.6046e-05 +98 *4867:75 *5447:25 0.000180316 +99 *4867:75 *5929:42 0 +100 *4867:79 *5447:14 0.000706489 +101 *4867:79 *5929:42 0 +102 *4867:115 *21141:B1 0.000107496 +103 *4867:115 *24028:A1 0.000825268 +104 *4867:115 *5791:8 1.91391e-05 +105 *4867:115 *5811:38 0.000115934 +106 *4867:159 *20063:A1 2.22198e-05 +107 *4867:159 *20063:B1 0.000257949 +108 *4867:169 *24069:A0 8.21849e-06 +109 *4867:169 *5460:8 0.000103543 +110 *20109:A0 *24167:CLK 0.000266832 +111 *20109:S *24167:CLK 1.58551e-05 +112 *20120:A *4867:38 6.08467e-05 +113 *20125:A *4867:38 0.000444724 +114 *21102:A *4867:75 0 +115 *21104:A *4867:66 0.000122378 +116 *21105:A *4867:66 9.82896e-06 +117 *21105:A *4867:75 8.08297e-05 +118 *21125:B1 *24201:CLK 0.000120685 +119 *21167:A *4867:28 5.05252e-05 +120 *21167:A *4867:159 9.60366e-05 +121 *21168:A *4867:24 0.000111722 +122 *22125:A *24167:CLK 6.08467e-05 +123 *22126:A2 *24167:CLK 6.08467e-05 +124 *22126:B2 *24167:CLK 6.50586e-05 +125 *24059:A0 *4867:8 8.93952e-06 +126 *24165:D *4867:28 0.000188093 +127 *24165:D *4867:38 0.000114518 +128 *24165:SET_B *4867:28 1.91114e-05 +129 *24167:D *24167:CLK 2.15348e-05 +130 *24201:D *24201:CLK 0.000187735 +131 *24202:D *4867:20 0.000118098 +132 *24743:D *24743:CLK 7.19887e-05 +133 *24743:D *4867:79 6.47528e-05 +134 *24743:RESET_B *24703:CLK 4.03672e-05 +135 *24813:D *4867:161 6.75453e-05 +136 *24814:D *4867:38 2.41274e-06 +137 *24827:D *4867:159 0 +138 *24827:RESET_B *4867:159 2.71397e-05 +139 *24829:D *4867:169 2.16236e-05 +140 *24928:A *4867:7 2.65667e-05 +141 *25024:A *4867:115 0 +142 *25025:A *4867:115 5.51934e-05 +143 *25028:A *4867:47 5.36345e-05 +144 *25029:A *4867:47 0.000154911 +145 *25030:A *4867:47 5.36512e-05 +146 *25199:A *4867:43 1.66626e-05 +147 *448:15 *4867:8 0 +148 *460:30 *4867:8 0 +149 *552:8 *24199:CLK 0.00110445 +150 *552:8 *4867:115 1.5714e-05 +151 *657:16 *24199:CLK 1.91391e-05 +152 *657:16 *4867:115 3.56295e-05 +153 *1467:118 *4867:169 0.000180454 +154 *1485:112 *4867:7 0.000558164 +155 *1525:10 *4867:169 0.000135206 +156 *1526:28 *4867:169 0.000589375 +157 *1755:27 *4867:38 0.000167981 +158 *1756:31 *4867:169 8.07939e-05 +159 *1756:40 *4867:169 0 +160 *1783:67 *4867:28 0.000548057 +161 *1783:67 *4867:38 6.98337e-06 +162 *1786:25 *4867:115 3.52699e-05 +163 *1816:26 *24167:CLK 0.000107496 +164 *1829:31 *4867:159 0 +165 *1841:5 *24167:CLK 2.59533e-05 +166 *1862:33 *4867:75 7.65374e-05 +167 *2213:7 *4867:75 0.00015806 +168 *2398:16 *4867:8 0.000317901 +169 *2412:12 *4867:159 0 +170 *2502:17 *4867:169 0.000586422 +171 *2505:53 *4867:161 5.04829e-06 +172 *2510:11 *4867:24 4.801e-05 +173 *2625:16 *24199:CLK 2.02035e-05 +174 *2625:16 *4867:115 0.000314958 +175 *2704:53 *4867:8 0.00098369 +176 *2705:16 *4867:43 0.000298318 +177 *2812:11 *4867:115 0 +178 *2864:71 *4867:7 0.000204696 +179 *2955:30 *4867:51 0 +180 *3014:69 *24201:CLK 3.31733e-05 +181 *3014:69 *4867:8 0.00167666 +182 *3060:28 *4867:51 0 +183 *3757:26 *24201:CLK 3.44473e-05 +184 *4864:30 *4867:28 3.82884e-05 +185 *4864:30 *4867:159 8.21161e-05 +186 *4864:124 *24201:CLK 6.08467e-05 +*RES +1 *24928:X *4867:7 32.2199 +2 *4867:7 *4867:8 61.2131 +3 *4867:8 *24201:CLK 32.0841 +4 *4867:8 *4867:20 15.7609 +5 *4867:20 *4867:24 14.6517 +6 *4867:24 *4867:28 18.9752 +7 *4867:28 *4867:38 30.5967 +8 *4867:38 *4867:43 23.6739 +9 *4867:43 *4867:45 5.98452 +10 *4867:45 *4867:47 23.8404 +11 *4867:47 *4867:49 6.6074 +12 *4867:49 *4867:51 16.9887 +13 *4867:51 *4867:56 24.6381 +14 *4867:56 *23954:A1 9.24915 +15 *4867:56 *4867:66 12.563 +16 *4867:66 *24207:CLK 9.24915 +17 *4867:66 *4867:75 18.5599 +18 *4867:75 *4867:79 20.8658 +19 *4867:79 *24743:CLK 14.4094 +20 *4867:79 *24703:CLK 17.1824 +21 *4867:75 *24169:CLK 19.464 +22 *4867:51 *24815:CLK 13.7491 +23 *4867:49 *4867:115 19.2761 +24 *4867:115 *24199:CLK 38.3522 +25 *4867:115 *24197:CLK 9.24915 +26 *4867:47 *24816:CLK 13.7491 +27 *4867:45 *24167:CLK 44.4453 +28 *4867:43 *24817:CLK 13.7491 +29 *4867:38 *24814:CLK 9.24915 +30 *4867:28 *24165:CLK 9.24915 +31 *4867:24 *4867:159 18.9668 +32 *4867:159 *4867:161 13.4793 +33 *4867:161 *4867:169 46.6143 +34 *4867:169 *24829:CLK_N 9.24915 +35 *4867:161 *24813:CLK 9.24915 +36 *4867:159 *24827:CLK_N 9.24915 +37 *4867:20 *24202:CLK 10.2378 +*END + +*D_NET *4868 0.0250725 +*CONN +*I *24938:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24939:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24929:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24938:A 0.000833682 +2 *24939:A 0.000576552 +3 *24929:X 0.000305776 +4 *4868:33 0.00242408 +5 *4868:21 0.00328401 +6 *4868:18 0.00511774 +7 *4868:14 0.00112565 +8 *24938:A *21733:A 0.000178718 +9 *24938:A *5261:8 0.000286717 +10 *24938:A *5695:9 6.08467e-05 +11 *24939:A *24331:CLK 5.44807e-05 +12 *4868:14 *5674:51 4.30017e-06 +13 *4868:18 *24900:RESET_B 3.81608e-05 +14 *19611:B1 *4868:21 0.000797143 +15 *19611:B1 *4868:33 0.000622422 +16 *22520:B *24938:A 0.000200951 +17 *24331:D *24939:A 3.31733e-05 +18 *24897:D *4868:21 0.000453457 +19 *527:80 *24938:A 9.75356e-05 +20 *528:30 *24938:A 6.50586e-05 +21 *531:68 *24938:A 0.000116971 +22 *545:32 *24938:A 0 +23 *545:37 *24938:A 0 +24 *1424:150 *24939:A 0.000253916 +25 *1651:16 *24939:A 0.000144531 +26 *1695:26 *24938:A 4.3116e-06 +27 *1725:267 *24939:A 0.000326351 +28 *1725:270 *4868:21 0.000915471 +29 *1731:136 *24939:A 4.87198e-05 +30 *1780:11 *24939:A 0.000288128 +31 *2089:12 *24938:A 4.77183e-05 +32 *2095:14 *24938:A 0.000245617 +33 *2273:6 *4868:18 0.00165883 +34 *2378:12 *24938:A 0.00159724 +35 *2393:22 *4868:14 4.89833e-05 +36 *2393:22 *4868:18 8.4243e-05 +37 *2410:8 *4868:14 5.98694e-05 +38 *2410:8 *4868:18 2.99665e-05 +39 *2440:42 *4868:33 0.000865975 +40 *2576:57 *4868:14 0.00014605 +41 *2650:14 *4868:21 0.000264586 +42 *2924:89 *4868:14 5.39635e-06 +43 *3496:13 *4868:14 1.00937e-05 +44 *4830:84 *4868:18 0.00126111 +45 *4845:359 *4868:33 8.79845e-05 +*RES +1 *24929:X *4868:14 22.1343 +2 *4868:14 *4868:18 37.2683 +3 *4868:18 *4868:21 47.9279 +4 *4868:21 *24939:A 31.5547 +5 *4868:18 *4868:33 30.1806 +6 *4868:33 *24938:A 44.9569 +*END + +*D_NET *4869 0.0737571 +*CONN +*I *24945:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24947:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24948:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24946:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24949:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24950:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24993:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *25001:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24995:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24996:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24951:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24930:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24945:A 0 +2 *24947:A 0.000363223 +3 *24948:A 0.0018648 +4 *24946:A 0 +5 *24949:A 0 +6 *24950:A 0.000812241 +7 *24993:A 0 +8 *25001:A 0 +9 *24995:A 0.000628507 +10 *24996:A 0.00133227 +11 *24951:A 0.000795104 +12 *24930:X 0 +13 *4869:135 0.0025523 +14 *4869:127 0.000815847 +15 *4869:118 0.00238939 +16 *4869:117 0.00189782 +17 *4869:115 0.000330048 +18 *4869:113 0.00193815 +19 *4869:101 0.0026067 +20 *4869:67 0.00126654 +21 *4869:62 0.00137608 +22 *4869:61 0.000738046 +23 *4869:59 0.00100733 +24 *4869:44 0.00320624 +25 *4869:42 0.00310914 +26 *4869:23 0.00150426 +27 *4869:8 0.00317928 +28 *4869:6 0.00113932 +29 *4869:5 0.00109806 +30 *24948:A *20551:A1 1.64198e-05 +31 *24948:A *24642:RESET_B 0.00010364 +32 *24948:A *5211:7 0.000126839 +33 *24950:A *19963:A 4.01733e-05 +34 *24950:A *20394:B1 0.000114032 +35 *24950:A *4889:8 0.000640674 +36 *24950:A *4889:183 0.000677476 +37 *24950:A *5918:20 2.87885e-05 +38 *24951:A *5086:24 0.00170933 +39 *24995:A *21627:A 0.00025233 +40 *24995:A *4934:7 0.0006295 +41 *24996:A *24250:RESET_B 0.000533811 +42 *24996:A *4874:19 0.000615589 +43 *24996:A *4935:7 2.57986e-05 +44 *24996:A *4935:15 0 +45 *4869:6 *4888:142 2.45183e-05 +46 *4869:8 *4942:16 0.000100791 +47 *4869:23 *20387:A1 0.000631321 +48 *4869:23 *4942:16 1.09738e-05 +49 *4869:42 *24473:SET_B 0.000372337 +50 *4869:42 *24473:CLK 0.000113197 +51 *4869:42 *24577:SET_B 0.000286994 +52 *4869:42 *24649:CLK 0.000286852 +53 *4869:42 *4889:51 0.000125066 +54 *4869:42 *4942:21 0.000113968 +55 *4869:42 *5308:10 3.8519e-05 +56 *4869:44 *24473:SET_B 5.73392e-05 +57 *4869:44 *24473:CLK 0.000125048 +58 *4869:59 *5866:54 8.61022e-05 +59 *4869:62 *20791:B1 0.000302625 +60 *4869:62 *5851:640 4.63992e-05 +61 *4869:62 *5851:646 0.00164424 +62 *4869:67 *5868:123 0.000602509 +63 *4869:101 *4888:142 0.000331799 +64 *4869:101 *4942:16 0.000766792 +65 *4869:113 *24386:SET_B 0.000480689 +66 *4869:118 *21151:A1 5.5941e-05 +67 *4869:118 *24585:CLK 6.31665e-05 +68 *4869:118 *4885:29 0.0007529 +69 *4869:118 *4885:31 0.000391953 +70 *4869:118 *4885:35 0.000253621 +71 *4869:118 *5185:8 3.77804e-05 +72 *4869:127 *21151:A1 5.08501e-05 +73 *4869:127 *21680:A 0.000175485 +74 *4869:127 *24190:RESET_B 5.42549e-05 +75 *4869:127 *5907:47 7.92757e-06 +76 *4869:127 *5907:54 5.69712e-05 +77 *4869:135 *5907:47 0.000736971 +78 *20388:B1 *24951:A 0.000695927 +79 *20394:A2 *24950:A 8.21317e-05 +80 *20434:A *4869:23 0.000390192 +81 *20434:B *4869:23 0.000253916 +82 *20471:A2 *24948:A 2.65667e-05 +83 *20471:B1 *24948:A 0.000370815 +84 *20714:B1 *24996:A 8.68041e-05 +85 *20714:B2 *24996:A 5.04829e-06 +86 *20751:A *4869:113 3.51034e-05 +87 *20751:A *4869:115 0.000164843 +88 *20984:A2 *4869:62 0.000274994 +89 *20984:B2 *4869:62 0.000440512 +90 *20988:A2 *4869:59 0.000353492 +91 *24193:D *4869:118 7.50722e-05 +92 *24400:D *4869:118 3.84001e-05 +93 *24473:D *4869:44 2.16355e-05 +94 *24593:D *24948:A 3.93679e-06 +95 *24646:D *4869:101 0.000109674 +96 *24647:D *4869:8 0.000185948 +97 *495:8 *24996:A 0.000243396 +98 *719:16 *24951:A 0.000200794 +99 *1153:15 *24950:A 1.18698e-05 +100 *1438:169 *4869:62 0.000159663 +101 *1581:10 *4869:67 0.000899303 +102 *1582:43 *4869:62 0.000200794 +103 *1626:8 *4869:6 4.20921e-05 +104 *1626:8 *4869:8 2.54248e-05 +105 *1629:55 *24950:A 4.18891e-05 +106 *1707:16 *4869:23 0 +107 *1707:16 *4869:101 0 +108 *1707:16 *4869:113 0 +109 *1707:32 *4869:23 0.000278046 +110 *1720:11 *4869:8 0.000541348 +111 *1720:11 *4869:23 0.000187361 +112 *1783:14 *4869:101 0.0020489 +113 *1783:14 *4869:113 0.000508284 +114 *1783:27 *24950:A 3.5641e-05 +115 *1783:27 *4869:6 0.000188757 +116 *1783:27 *4869:8 0.000189358 +117 *1783:27 *4869:101 0.000173521 +118 *1884:159 *4869:23 7.92757e-06 +119 *1942:84 *24950:A 4.25525e-05 +120 *1967:17 *24948:A 0.000296779 +121 *1970:36 *4869:113 0.000107496 +122 *1994:35 *24947:A 0.000157269 +123 *1994:35 *24948:A 2.65904e-05 +124 *1994:56 *24947:A 3.67528e-06 +125 *2058:7 *4869:113 1.00846e-05 +126 *2058:7 *4869:115 0.000188588 +127 *2075:31 *24950:A 1.50188e-05 +128 *2167:18 *4869:59 0.000330795 +129 *2232:39 *4869:118 7.01913e-05 +130 *2232:46 *4869:118 0.000245105 +131 *2232:59 *4869:118 0.00058243 +132 *2232:67 *4869:118 9.57557e-06 +133 *2232:78 *4869:118 0.000770928 +134 *2350:13 *24951:A 8.09561e-05 +135 *2350:13 *4869:23 4.37376e-05 +136 *2350:20 *24951:A 0.000833999 +137 *2448:20 *4869:113 0.000251655 +138 *2468:8 *4869:118 6.81008e-05 +139 *2520:21 *4869:23 1.66771e-05 +140 *2523:14 *4869:113 0.000441121 +141 *2602:23 *4869:42 2.32834e-05 +142 *2602:32 *4869:23 0.000161667 +143 *2624:6 *4869:118 0 +144 *2654:17 *4869:59 0.00179145 +145 *2660:18 *4869:67 0.00170574 +146 *2672:13 *24995:A 0.000107496 +147 *2818:11 *24947:A 0.000148144 +148 *2853:8 *24947:A 0 +149 *2950:34 *24950:A 6.11359e-06 +150 *2963:33 *24950:A 1.90423e-05 +151 *3033:16 *24948:A 2.652e-05 +152 *3035:23 *4869:59 0.000177266 +153 *3220:12 *4869:23 2.77625e-06 +154 *3857:26 *4869:59 0.000706349 +155 *4126:48 *4869:113 6.50586e-05 +156 *4126:48 *4869:115 0.000384754 +157 *4126:76 *24996:A 1.24656e-05 +158 *4126:76 *4869:44 8.20601e-06 +159 *4126:79 *24996:A 0 +160 *4138:137 *24996:A 9.5562e-05 +161 *4138:137 *4869:44 5.04829e-06 +162 *4798:8 *4869:67 8.64803e-05 +163 *4828:7 *4869:127 0.000413252 +164 *4828:7 *4869:135 7.64392e-05 +165 *4838:186 *4869:135 0.00157668 +166 *4840:90 *4869:113 0.0010225 +167 *4843:63 *4869:118 1.45944e-05 +168 *4844:26 *4869:118 2.48286e-05 +169 *4845:678 *4869:23 0.000251669 +*RES +1 *24930:X *4869:5 13.7491 +2 *4869:5 *4869:6 3.70063 +3 *4869:6 *4869:8 11.1752 +4 *4869:8 *4869:23 28.546 +5 *4869:23 *24951:A 41.0303 +6 *4869:8 *4869:42 43.901 +7 *4869:42 *4869:44 12.3701 +8 *4869:44 *24996:A 46.0642 +9 *4869:44 *4869:59 46.1962 +10 *4869:59 *4869:61 4.5 +11 *4869:61 *4869:62 26.7898 +12 *4869:62 *4869:67 40.3149 +13 *4869:67 *24995:A 24.3922 +14 *4869:62 *25001:A 9.24915 +15 *4869:61 *24993:A 9.24915 +16 *4869:6 *24950:A 38.0595 +17 *4869:5 *4869:101 43.3572 +18 *4869:101 *24949:A 13.7491 +19 *4869:101 *4869:113 49.6334 +20 *4869:113 *4869:115 11.2609 +21 *4869:115 *4869:117 4.5 +22 *4869:117 *4869:118 65.7808 +23 *4869:118 *4869:127 17.6417 +24 *4869:127 *24946:A 9.24915 +25 *4869:127 *4869:135 24.0799 +26 *4869:135 *24948:A 44.0883 +27 *4869:135 *24947:A 24.3014 +28 *4869:115 *24945:A 9.24915 +*END + +*D_NET *4870 0.0735292 +*CONN +*I *25206:A I *D sky130_fd_sc_hd__inv_4 +*I *24203:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24206:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24833:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *24834:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *24831:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *24832:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *24830:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *24205:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24204:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24931:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *25206:A 0 +2 *24203:CLK 0.00010128 +3 *24206:CLK 0 +4 *24833:CLK_N 0 +5 *24834:CLK_N 0.000378376 +6 *24831:CLK_N 0.000525591 +7 *24832:CLK_N 0.000249211 +8 *24830:CLK_N 3.73114e-05 +9 *24205:CLK 0 +10 *24204:CLK 0.000533755 +11 *24931:X 0.000127792 +12 *4870:134 0.00398118 +13 *4870:116 0.00894777 +14 *4870:115 0.00496659 +15 *4870:69 0.00113668 +16 *4870:60 0.000777739 +17 *4870:55 0.000955884 +18 *4870:54 0.000754344 +19 *4870:48 0.000555385 +20 *4870:32 0.000884875 +21 *4870:30 0.00152465 +22 *4870:26 0.00179165 +23 *4870:22 0.00132912 +24 *4870:10 0.00200189 +25 *4870:8 0.00232961 +26 *4870:7 0.0012678 +27 *24203:CLK *5801:73 7.11871e-05 +28 *24830:CLK_N *24068:A1 1.03403e-05 +29 *24831:CLK_N *19948:A 5.04734e-05 +30 *24831:CLK_N *21678:A 4.62225e-05 +31 *24831:CLK_N *21934:A 0.000122978 +32 *24831:CLK_N *5454:28 0.00111449 +33 *24831:CLK_N *5785:6 7.11187e-05 +34 *24831:CLK_N *5785:16 6.92815e-05 +35 *24832:CLK_N *21217:A 4.58003e-05 +36 *24834:CLK_N *5928:30 0.000719475 +37 *4870:30 *19925:A 0.000118245 +38 *4870:30 *5801:65 9.57316e-06 +39 *4870:30 *5801:73 7.79151e-05 +40 *4870:54 *5801:65 0.000436222 +41 *4870:60 *19769:A1 1.65872e-05 +42 *4870:60 *21369:A 2.50474e-05 +43 *4870:69 *5785:16 0.000149945 +44 *4870:116 *20421:A1 1.67533e-05 +45 *4870:116 *20421:B1 0.000221577 +46 *4870:116 *20572:A1 4.9579e-05 +47 *4870:116 *20572:B1 2.38934e-06 +48 *4870:116 *24888:CLK 0.000414159 +49 *4870:116 *5680:8 6.08467e-05 +50 *4870:116 *5858:141 0.000154145 +51 *4870:134 *21476:A1 3.8323e-05 +52 *19759:A *4870:30 2.71542e-05 +53 *19759:A *4870:54 0.000357898 +54 *19769:A2 *4870:55 0.000466492 +55 *19769:A2 *4870:60 0.000107496 +56 *19769:B2 *4870:60 6.17774e-05 +57 *19772:A2 *24834:CLK_N 6.73186e-05 +58 *19772:B2 *24834:CLK_N 0.000453443 +59 *20055:A *24204:CLK 0.000536641 +60 *20056:A *24204:CLK 0.00014949 +61 *20421:A2 *4870:116 1.03403e-05 +62 *20570:A2 *4870:116 0.000131266 +63 *21107:A *4870:32 0.000170592 +64 *21476:A2 *4870:134 6.08467e-05 +65 *21476:B1 *4870:134 1.54813e-05 +66 *21476:B2 *4870:134 0.000202134 +67 *21537:A2 *4870:134 3.17436e-05 +68 *22005:C1 *4870:134 7.67158e-05 +69 *22513:C *4870:134 7.90348e-05 +70 *22514:A *4870:134 0.000294664 +71 *22727:A1 *4870:134 0.000526109 +72 *22807:B2 *4870:134 0.000352365 +73 *23039:B1 *4870:10 0.000298027 +74 *23158:B *4870:8 0.000165049 +75 *23274:A *4870:8 0.000436555 +76 *23366:A *4870:22 9.60908e-06 +77 *23366:A *4870:26 2.26985e-05 +78 *23370:A *4870:26 8.714e-05 +79 *23371:A *4870:26 1.91246e-05 +80 *23374:B *4870:22 0.00073808 +81 *23374:B *4870:26 2.13495e-05 +82 *23379:A *4870:22 2.02035e-05 +83 *23485:B *4870:10 0.000127711 +84 *23580:B *4870:8 0.000111343 +85 *23697:A *4870:116 0.000233545 +86 *23697:C *4870:116 3.75221e-05 +87 *23697:D *4870:116 8.89324e-05 +88 *23716:B *4870:7 6.08467e-05 +89 *23802:B *4870:8 8.28879e-05 +90 *23802:B *4870:10 2.44103e-05 +91 *23803:B *4870:8 0.000236126 +92 *24206:D *4870:30 0.000174628 +93 *24527:D *4870:116 0.000584686 +94 *24833:D *4870:54 8.00198e-06 +95 *24833:D *4870:55 0.000114584 +96 *24835:RESET_B *24204:CLK 0.00010836 +97 *25011:A *4870:60 0.000130551 +98 *25011:A *4870:69 0.00017419 +99 *25014:A *24831:CLK_N 1.58706e-05 +100 *25016:A *24831:CLK_N 7.13655e-06 +101 *25018:A *24831:CLK_N 7.00991e-05 +102 *459:72 *4870:54 4.85729e-05 +103 *460:16 *24204:CLK 0 +104 *460:21 *24204:CLK 0.000173246 +105 *460:21 *4870:30 3.63743e-05 +106 *460:21 *4870:32 0.00030186 +107 *460:30 *4870:26 0 +108 *1455:65 *24204:CLK 7.92757e-06 +109 *1455:74 *24831:CLK_N 9.19015e-05 +110 *1455:74 *4870:60 8.53351e-05 +111 *1455:74 *4870:69 0.000314149 +112 *1471:185 *24832:CLK_N 6.08467e-05 +113 *1471:219 *4870:116 0.00224026 +114 *1525:38 *24834:CLK_N 0.000112149 +115 *1525:42 *4870:54 0 +116 *1604:91 *4870:134 2.2314e-05 +117 *1608:13 *4870:134 0.000108875 +118 *1641:83 *4870:134 0.000305421 +119 *1648:47 *4870:134 7.31894e-05 +120 *1699:20 *4870:26 0.000709619 +121 *1730:240 *4870:134 2.25525e-05 +122 *1751:35 *4870:134 0.00194906 +123 *1756:60 *4870:26 0 +124 *1764:65 *4870:116 9.80242e-07 +125 *1845:151 *24204:CLK 0.000467302 +126 *1845:178 *24204:CLK 0.000943133 +127 *1845:187 *24204:CLK 0.000223685 +128 *1845:187 *4870:32 0.000372411 +129 *2412:12 *4870:30 2.53624e-06 +130 *2412:12 *4870:32 4.51052e-05 +131 *2429:11 *4870:55 0.000538037 +132 *2429:11 *4870:60 0.000628428 +133 *2505:54 *24834:CLK_N 0.000723073 +134 *2549:17 *4870:134 0.000429561 +135 *2593:54 *4870:134 0.00225081 +136 *2598:17 *4870:134 0.0027468 +137 *2619:38 *24832:CLK_N 0.000205361 +138 *2704:54 *4870:10 0.00214521 +139 *2785:51 *4870:10 8.99159e-05 +140 *2785:63 *4870:8 0.00156804 +141 *2785:63 *4870:10 0.000190042 +142 *2802:8 *24831:CLK_N 9.08635e-05 +143 *2802:15 *4870:22 5.26967e-05 +144 *2803:48 *4870:26 0.00114264 +145 *2803:58 *4870:26 1.05982e-05 +146 *2864:64 *4870:26 0 +147 *2955:35 *4870:55 3.13462e-05 +148 *2955:35 *4870:60 0.000124914 +149 *2997:14 *4870:134 0.00022758 +150 *3146:65 *4870:134 1.89527e-06 +151 *3427:28 *4870:134 0.000122178 +152 *3428:23 *4870:134 9.58129e-05 +153 *3471:25 *4870:134 0.000110297 +154 *3474:42 *4870:134 1.24546e-05 +155 *3519:93 *4870:134 3.60384e-05 +156 *3668:13 *4870:134 4.57321e-06 +157 *3874:124 *4870:10 0.00049706 +158 *3879:16 *4870:8 0.00012568 +159 *3879:19 *4870:7 1.58551e-05 +160 *3885:17 *4870:22 2.41274e-06 +161 *3886:27 *4870:8 0.00109356 +162 *3947:23 *4870:22 1.5714e-05 +163 *4008:26 *4870:8 0.000266435 +164 *4066:17 *4870:8 7.30564e-05 +165 *4275:17 *4870:22 1.7289e-05 +166 *4275:17 *4870:26 6.05486e-06 +167 *4280:17 *4870:22 0 +168 *4282:11 *4870:22 2.60879e-06 +169 *4290:10 *4870:8 1.37925e-05 +170 *4345:11 *4870:22 0.000229114 +171 *4345:20 *4870:22 0 +172 *4389:8 *4870:10 4.76248e-05 +173 *4440:10 *4870:8 3.00073e-05 +174 *4440:10 *4870:10 3.14544e-05 +175 *4441:10 *4870:116 0.000158357 +176 *4514:29 *4870:8 1.87942e-05 +177 *4514:29 *4870:10 0.000553125 +178 *4514:47 *4870:8 0.000260248 +179 *4828:139 *4870:26 0.000113538 +180 *4864:30 *24204:CLK 0 +*RES +1 *24931:X *4870:7 15.5817 +2 *4870:7 *4870:8 47.925 +3 *4870:8 *4870:10 47.0945 +4 *4870:10 *4870:22 31.1661 +5 *4870:22 *4870:26 26.4717 +6 *4870:26 *4870:30 23.2782 +7 *4870:30 *4870:32 13.0438 +8 *4870:32 *24204:CLK 39.9823 +9 *4870:32 *24205:CLK 13.7491 +10 *4870:30 *4870:48 4.5 +11 *4870:48 *4870:54 25.2783 +12 *4870:54 *4870:55 8.48785 +13 *4870:55 *4870:60 17.5901 +14 *4870:60 *24830:CLK_N 14.4725 +15 *4870:60 *4870:69 13.0438 +16 *4870:69 *24832:CLK_N 20.5732 +17 *4870:69 *24831:CLK_N 37.1743 +18 *4870:55 *24834:CLK_N 37.0319 +19 *4870:54 *24833:CLK_N 9.24915 +20 *4870:48 *24206:CLK 9.24915 +21 *4870:26 *24203:CLK 11.6846 +22 *4870:8 *4870:115 4.5 +23 *4870:115 *4870:116 77.2587 +24 *4870:116 *4870:134 43.6362 +25 *4870:134 *25206:A 9.24915 +*END + +*D_NET *4871 0.0167602 +*CONN +*I *24941:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24940:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24932:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24941:A 0 +2 *24940:A 0.000320119 +3 *24932:X 0.00138505 +4 *4871:32 0.00149745 +5 *4871:31 0.00179461 +6 *4871:11 0.00200232 +7 *24940:A *4879:7 1.65872e-05 +8 *4871:11 *5458:55 0 +9 *4871:32 *23240:A1 3.31882e-05 +10 *23130:A *4871:31 1.24546e-05 +11 *23417:B *4871:11 1.2693e-05 +12 *23460:B1 *4871:32 0.000210347 +13 *23470:A2 *4871:11 0 +14 *23563:D1 *4871:32 3.20238e-05 +15 *23624:B1 *4871:11 0.00015324 +16 *23739:C1 *24940:A 0 +17 *23739:C1 *4871:11 0 +18 *3786:54 *4871:32 3.53414e-05 +19 *3786:61 *4871:32 0.000381667 +20 *3791:18 *4871:32 0.000889111 +21 *3799:42 *4871:32 1.37925e-05 +22 *3827:41 *4871:31 0.000234849 +23 *3842:44 *4871:32 0.00168556 +24 *3842:84 *4871:31 7.40684e-06 +25 *3891:190 *24940:A 0.000390201 +26 *3909:51 *4871:11 0 +27 *3910:8 *4871:32 0.000106446 +28 *3910:16 *4871:32 4.23622e-05 +29 *3922:36 *4871:32 4.0122e-05 +30 *3922:40 *4871:32 0.00135468 +31 *3926:23 *4871:11 0 +32 *3980:42 *4871:11 0 +33 *3980:93 *4871:11 0.000306993 +34 *3989:31 *24940:A 0.000438346 +35 *4028:10 *4871:32 0.000141186 +36 *4040:34 *4871:32 3.55859e-05 +37 *4042:19 *24940:A 4.38836e-05 +38 *4126:124 *4871:31 0.000709742 +39 *4126:124 *4871:32 0.000116525 +40 *4127:36 *4871:11 3.39898e-05 +41 *4143:36 *4871:31 0.000205567 +42 *4148:6 *4871:32 0.0017761 +43 *4324:8 *4871:11 2.68992e-05 +44 *4333:10 *24940:A 3.55859e-05 +45 *4333:10 *4871:32 8.40936e-05 +46 *4352:39 *4871:11 0.000123956 +47 *4353:19 *4871:11 2.26985e-05 +48 *4496:26 *4871:11 7.42178e-06 +*RES +1 *24932:X *4871:11 27.2224 +2 *4871:11 *24940:A 23.3896 +3 *4871:11 *4871:31 5.74657 +4 *4871:31 *4871:32 68.0647 +5 *4871:32 *24941:A 13.7491 +*END + +*D_NET *4872 0.0875961 +*CONN +*I *24961:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24956:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24960:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24957:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24954:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24958:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24962:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24968:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *25002:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24964:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24967:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24963:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24959:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24953:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24952:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24933:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24961:A 0.000563058 +2 *24956:A 0 +3 *24960:A 0.000508808 +4 *24957:A 0 +5 *24954:A 0.00153192 +6 *24958:A 0 +7 *24962:A 0.000384277 +8 *24968:A 0.0003792 +9 *25002:A 0.000318917 +10 *24964:A 6.98176e-05 +11 *24967:A 2.07191e-05 +12 *24963:A 4.44927e-05 +13 *24959:A 0.000570481 +14 *24953:A 0.000867148 +15 *24952:A 2.67587e-05 +16 *24933:X 0.000376044 +17 *4872:222 0.00159674 +18 *4872:214 0.00183343 +19 *4872:180 0.00277525 +20 *4872:173 0.00150593 +21 *4872:167 0.00130876 +22 *4872:136 0.00327659 +23 *4872:127 0.00109744 +24 *4872:90 0.00142556 +25 *4872:78 0.00222447 +26 *4872:76 0.00345529 +27 *4872:74 0.00165128 +28 *4872:65 0.00214363 +29 *4872:60 0.00244726 +30 *4872:33 0.00349038 +31 *4872:30 0.00274952 +32 *4872:17 0.0017551 +33 *4872:8 0.00106223 +34 *24953:A *4881:185 0.0026646 +35 *24953:A *4892:7 0.000166823 +36 *24953:A *4892:126 0.000138843 +37 *24953:A *5888:13 0.00120261 +38 *24954:A *20428:A1 1.71199e-05 +39 *24954:A *21357:A 7.68112e-05 +40 *24954:A *24624:RESET_B 4.59816e-06 +41 *24954:A *24624:CLK 8.66716e-06 +42 *24954:A *4893:5 3.99086e-06 +43 *24954:A *4893:10 2.56173e-05 +44 *24954:A *5340:9 0.000114624 +45 *24959:A *20736:A1 4.84406e-05 +46 *24959:A *4898:31 0.000551682 +47 *24959:A *5855:619 0.000457683 +48 *24959:A *5893:16 8.92789e-06 +49 *24960:A *5906:23 0.000982327 +50 *24960:A *5906:36 0.000132798 +51 *24961:A *20339:A1 2.33246e-05 +52 *24962:A *24415:RESET_B 5.37479e-05 +53 *24962:A *4881:187 0.0003989 +54 *24963:A *4902:7 0.000113436 +55 *24967:A *4906:5 0.000114584 +56 *24968:A *4907:5 0.000686578 +57 *24968:A *5855:149 0.000440512 +58 *25002:A *4941:7 1.41976e-05 +59 *25002:A *5417:5 0.000353561 +60 *4872:8 *21404:A 8.6297e-06 +61 *4872:8 *4891:8 7.59332e-05 +62 *4872:8 *4891:10 3.16904e-05 +63 *4872:8 *5315:8 6.28334e-06 +64 *4872:17 *21608:A 3.93117e-06 +65 *4872:17 *4891:8 2.33193e-05 +66 *4872:17 *4891:41 6.31809e-05 +67 *4872:17 *4891:51 7.02551e-05 +68 *4872:17 *5222:9 0 +69 *4872:30 *21608:A 1.94022e-05 +70 *4872:30 *23950:A1 1.55462e-05 +71 *4872:30 *5921:78 0.000117926 +72 *4872:33 *24458:RESET_B 0.000200168 +73 *4872:33 *5313:7 0.000171243 +74 *4872:33 *5865:212 0.000107496 +75 *4872:60 *4901:79 0.000221879 +76 *4872:60 *5881:16 1.67733e-05 +77 *4872:60 *5924:46 0.00034951 +78 *4872:65 *4902:7 6.22703e-05 +79 *4872:65 *4960:11 9.75356e-05 +80 *4872:65 *5924:42 0.000138327 +81 *4872:65 *5924:46 0.0019092 +82 *4872:74 *24326:CLK 0 +83 *4872:74 *4902:19 0 +84 *4872:74 *5924:42 0.00133922 +85 *4872:76 *5924:42 0.000299933 +86 *4872:78 *20939:A1 0 +87 *4872:78 *20944:A1 0.000193228 +88 *4872:78 *24299:CLK 0.000158117 +89 *4872:78 *5862:491 3.77568e-05 +90 *4872:78 *5924:40 0.000269274 +91 *4872:78 *5924:42 0.0014601 +92 *4872:127 *24393:RESET_B 7.26596e-05 +93 *4872:127 *24458:CLK 0 +94 *4872:127 *5867:457 0.000299353 +95 *4872:136 *5867:457 2.24484e-05 +96 *4872:136 *5867:534 0.000496923 +97 *4872:136 *5867:549 0.000177428 +98 *4872:167 *4896:20 0.000151284 +99 *4872:167 *4896:22 1.43983e-05 +100 *4872:167 *5339:9 0 +101 *4872:167 *5343:9 0 +102 *4872:167 *5891:22 0.0012408 +103 *4872:173 *4896:20 0.000211478 +104 *4872:180 *4896:20 2.95757e-05 +105 *4872:180 *5893:8 0 +106 *4872:214 *20591:A1 0.00072971 +107 *4872:214 *24512:CLK 7.68538e-06 +108 *4872:214 *5509:13 0.000197383 +109 *4872:222 *4896:20 6.08467e-05 +110 *19592:A *4872:74 0 +111 *20293:A2 *24960:A 0.00011818 +112 *20293:A2 *4872:214 5.9708e-05 +113 *20294:B1 *4872:180 0.000470044 +114 *20294:B1 *4872:214 0.000158368 +115 *20339:B2 *24961:A 6.08467e-05 +116 *20633:A2 *24953:A 0.000207266 +117 *20663:A *4872:17 9.60366e-05 +118 *20667:A2 *4872:17 8.63794e-05 +119 *20667:B1 *4872:8 0.000366809 +120 *20669:B2 *4872:30 0.00025682 +121 *20669:B2 *4872:127 0.000123582 +122 *20732:B1 *4872:60 1.51735e-05 +123 *20732:B2 *4872:60 2.16355e-05 +124 *20736:B2 *24959:A 0.000113968 +125 *20744:B1 *24954:A 0 +126 *20800:B1 *4872:180 0 +127 *20944:A2 *4872:78 2.5775e-05 +128 *20945:A2 *4872:78 6.84608e-05 +129 *20945:B2 *4872:78 0 +130 *20954:A *4872:74 1.25085e-05 +131 *21253:B2 *4872:60 0.000320782 +132 *21998:B2 *24967:A 3.16131e-05 +133 *24307:D *4872:78 0.000109895 +134 *24308:D *4872:78 0.000113646 +135 *24379:D *4872:180 0 +136 *24458:D *4872:33 6.08467e-05 +137 *24460:D *4872:8 0.000122083 +138 *24460:D *4872:17 0.000184931 +139 *24624:D *24954:A 0.000129589 +140 *477:43 *4872:60 0.000229321 +141 *477:177 *4872:78 0 +142 *478:12 *24961:A 0.000153225 +143 *491:8 *4872:17 0 +144 *1418:120 *4872:74 4.66136e-05 +145 *1595:28 *24952:A 0.000217937 +146 *1614:131 *4872:74 0 +147 *1627:202 *4872:17 0 +148 *1658:168 *24959:A 0.000446929 +149 *1899:36 *24964:A 0.000161249 +150 *1899:36 *25002:A 0.000137143 +151 *1912:20 *4872:60 0 +152 *1925:31 *24961:A 7.66181e-05 +153 *1925:40 *24961:A 7.66135e-05 +154 *2018:44 *24953:A 3.14546e-05 +155 *2030:25 *4872:30 0 +156 *2031:18 *4872:8 0.000120544 +157 *2052:18 *4872:60 5.56367e-05 +158 *2052:20 *4872:60 4.51619e-05 +159 *2148:18 *4872:74 0 +160 *2245:7 *4872:8 5.44807e-05 +161 *2249:12 *24968:A 0.000138742 +162 *2264:6 *4872:127 4.09557e-05 +163 *2264:6 *4872:136 3.63121e-05 +164 *2264:15 *4872:127 0.00040396 +165 *2287:62 *4872:90 1.80257e-05 +166 *2349:7 *24954:A 0.000411006 +167 *2349:12 *4872:17 0 +168 *2417:14 *24954:A 0.000678803 +169 *2417:14 *4872:167 0.000504318 +170 *2421:67 *4872:78 0.000306986 +171 *2441:43 *4872:8 0.000122518 +172 *2452:17 *24968:A 0.00118398 +173 *2452:18 *4872:78 0 +174 *2460:15 *24962:A 0.000341044 +175 *2461:11 *4872:78 6.25332e-05 +176 *2473:8 *4872:167 0 +177 *2473:30 *24963:A 0.000264612 +178 *2473:30 *4872:65 0.000160617 +179 *2487:14 *4872:180 0.000243633 +180 *2499:10 *24959:A 2.97787e-05 +181 *2499:23 *24959:A 0.000653513 +182 *2520:33 *4872:74 0 +183 *2520:33 *4872:76 0 +184 *2520:33 *4872:78 0 +185 *2520:53 *4872:78 0 +186 *2530:7 *24954:A 0.00106483 +187 *2545:48 *24968:A 0.00123425 +188 *2687:11 *4872:222 0.000468258 +189 *2813:30 *24964:A 0.000161249 +190 *2813:30 *25002:A 0.000132114 +191 *2989:33 *4872:90 0.000896074 +192 *4812:80 *25002:A 3.61993e-05 +193 *4824:87 *24954:A 2.77625e-06 +194 *4824:90 *4872:127 0.00107713 +195 *4824:90 *4872:136 0.00143057 +196 *4824:97 *4872:127 0 +197 *4826:61 *24952:A 0.000217937 +198 *4827:105 *4872:90 0.000107496 +199 *4830:42 *4872:60 0.000271976 +200 *4830:42 *4872:65 0.000500775 +201 *4830:49 *4872:65 0.00118138 +202 *4831:71 *4872:167 0 +203 *4838:289 *4872:173 0.000164843 +204 *4838:289 *4872:222 0.000806799 +205 *4838:303 *4872:222 0.00158313 +206 *4842:200 *4872:222 0.000557411 +207 *4842:216 *4872:222 0.0015352 +208 *4843:140 *4872:214 0.000632339 +209 *4843:202 *24953:A 5.24081e-05 +210 *4843:212 *4872:33 1.74642e-05 +211 *4843:219 *4872:33 0.000376963 +212 *4843:219 *4872:60 2.59843e-05 +213 *4844:762 *4872:180 0 +214 *4844:775 *24960:A 0.00015312 +215 *4845:106 *4872:136 0.000582825 +216 *4845:205 *24959:A 8.98169e-05 +*RES +1 *24933:X *4872:8 26.4127 +2 *4872:8 *24952:A 16.1364 +3 *4872:8 *4872:17 17.404 +4 *4872:17 *24953:A 49.4148 +5 *4872:17 *4872:30 7.4379 +6 *4872:30 *4872:33 32.9536 +7 *4872:33 *24959:A 43.7439 +8 *4872:33 *4872:60 24.1246 +9 *4872:60 *4872:65 49.6898 +10 *4872:65 *24963:A 12.191 +11 *4872:65 *4872:74 31.6624 +12 *4872:74 *4872:76 5.98452 +13 *4872:76 *4872:78 56.23 +14 *4872:78 *24967:A 15.0271 +15 *4872:76 *4872:90 35.7898 +16 *4872:90 *24964:A 16.8269 +17 *4872:90 *25002:A 23.1151 +18 *4872:74 *24968:A 36.1021 +19 *4872:60 *24962:A 27.9759 +20 *4872:30 *4872:127 26.3319 +21 *4872:127 *24958:A 13.7491 +22 *4872:127 *4872:136 30.0014 +23 *4872:136 *24954:A 47.3962 +24 *4872:136 *4872:167 44.9439 +25 *4872:167 *24957:A 9.24915 +26 *4872:167 *4872:173 2.38721 +27 *4872:173 *4872:180 18.4476 +28 *4872:180 *24960:A 31.6653 +29 *4872:180 *4872:214 45.1943 +30 *4872:214 *24956:A 9.24915 +31 *4872:173 *4872:222 49.5917 +32 *4872:222 *24961:A 27.1179 +*END + +*D_NET *4873 0.094876 +*CONN +*I *24824:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24820:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24823:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24822:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24819:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24818:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24821:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *25205:A I *D sky130_fd_sc_hd__inv_4 +*I *19563:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6113:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *24934:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24824:CLK 0.00035903 +2 *24820:CLK 0 +3 *24823:CLK 0.00116018 +4 *24822:CLK 0 +5 *24819:CLK 0 +6 *24818:CLK 0.000621047 +7 *24821:CLK 0 +8 *25205:A 0.000244371 +9 *19563:A1 0.000305043 +10 *6113:DIODE 0 +11 *24934:X 9.98648e-05 +12 *4873:103 0.00183598 +13 *4873:81 0.000776077 +14 *4873:80 0.00111282 +15 *4873:74 0.000763372 +16 *4873:61 0.00062092 +17 *4873:58 0.000663084 +18 *4873:54 0.0039353 +19 *4873:32 0.00118529 +20 *4873:26 0.00331264 +21 *4873:17 0.0121975 +22 *4873:11 0.0113303 +23 *4873:6 0.00519155 +24 *19563:A1 *5529:29 6.3657e-05 +25 *24823:CLK *20087:A1 0.000122378 +26 *24823:CLK *23961:A0 0 +27 *24823:CLK *5471:10 4.27375e-06 +28 *24824:CLK *23959:A0 0.00011818 +29 *24824:CLK *5801:92 3.2122e-05 +30 *24824:CLK *5801:102 0 +31 *4873:6 *24385:CLK 0.00016345 +32 *4873:6 *4934:38 0.000151726 +33 *4873:17 *20699:B1 0.00183278 +34 *4873:17 *4932:71 1.70448e-05 +35 *4873:17 *4932:127 3.12397e-05 +36 *4873:17 *5855:59 0.000118545 +37 *4873:26 *20699:B1 1.57662e-05 +38 *4873:26 *21998:A1 4.75011e-05 +39 *4873:26 *5056:9 0.000122844 +40 *4873:26 *5417:22 0.000150398 +41 *4873:26 *5417:26 0.000429757 +42 *4873:26 *5910:36 0.000820554 +43 *4873:54 *24385:CLK 0.000595796 +44 *4873:54 *5801:92 0.00204602 +45 *4873:54 *5925:17 0.000220421 +46 *4873:58 *5801:92 4.49312e-05 +47 *4873:61 *5469:8 0.000180849 +48 *4873:74 *5452:79 0.000988428 +49 *4873:103 *20096:B1 3.20069e-06 +50 *4873:103 *20099:A1 4.58003e-05 +51 *4873:103 *20099:B1 3.20069e-06 +52 *4873:103 *5467:15 0.000252638 +53 *19863:B2 *4873:17 5.60804e-05 +54 *20080:A *24824:CLK 5.2833e-05 +55 *20083:A *4873:80 6.50586e-05 +56 *20087:B2 *24823:CLK 6.73351e-05 +57 *20100:A *4873:80 3.57202e-05 +58 *20101:A *24818:CLK 0 +59 *20693:B2 *4873:26 0.000177459 +60 *20788:B *4873:11 5.03887e-05 +61 *20789:A *4873:11 4.31539e-05 +62 *21278:B2 *4873:17 9.49313e-05 +63 *21302:A1 *4873:17 6.03122e-05 +64 *21412:C1 *4873:26 0 +65 *21436:B2 *4873:17 0.00146048 +66 *22224:A1 *4873:17 1.032e-05 +67 *22280:A1 *4873:17 0.000156087 +68 *22575:B1 *4873:17 0.000226438 +69 *22702:A1 *4873:17 0.000128116 +70 *23299:B *4873:54 0.000171593 +71 *23454:B *4873:54 0.000482275 +72 *23499:A *4873:54 5.86314e-05 +73 *23499:B *4873:54 0 +74 *23501:A *4873:54 0.00015321 +75 *23501:B *4873:54 0.000143047 +76 *23601:A2 *4873:54 0.000316675 +77 *23601:B1 *4873:54 0.000584938 +78 *23679:A *4873:54 6.28743e-05 +79 *23722:B *4873:54 0.000122083 +80 *23789:C *4873:54 1.2819e-05 +81 *23824:B *4873:54 0.000253826 +82 *24466:D *4873:26 8.6931e-05 +83 *24818:RESET_B *24818:CLK 0 +84 *24819:D *4873:81 0.000119027 +85 *24819:D *4873:103 0.000274598 +86 *24819:RESET_B *4873:80 0.000104731 +87 *24820:D *4873:74 1.82696e-05 +88 *24821:D *4873:61 7.19887e-05 +89 *24821:RESET_B *4873:58 3.90768e-05 +90 *24822:RESET_B *24823:CLK 4.55972e-05 +91 *24823:D *24823:CLK 4.27003e-05 +92 *24824:D *24824:CLK 0 +93 *24824:RESET_B *24824:CLK 2.26875e-05 +94 *490:105 *4873:32 3.17436e-05 +95 *500:13 *4873:17 0.000775354 +96 *510:47 *4873:26 1.72799e-05 +97 *657:248 *4873:74 4.81113e-05 +98 *657:248 *4873:80 7.03198e-05 +99 *1418:108 *4873:17 0.000220417 +100 *1591:39 *4873:17 0.000925173 +101 *1594:43 *4873:17 0 +102 *1594:59 *4873:17 0 +103 *1596:102 *4873:17 1.80212e-05 +104 *1602:161 *4873:17 0.000217267 +105 *1610:134 *4873:17 0.000419289 +106 *1610:155 *4873:17 5.69128e-05 +107 *1617:33 *4873:17 0.00165924 +108 *1620:107 *4873:17 0.000434645 +109 *1652:87 *4873:17 0.00278941 +110 *1655:199 *4873:17 0.00291966 +111 *1725:181 *4873:17 0.0016913 +112 *1729:51 *4873:11 8.93604e-05 +113 *1730:184 *4873:17 2.6178e-05 +114 *1783:38 *4873:17 0.00143401 +115 *1790:144 *4873:17 5.09021e-05 +116 *1809:181 *4873:74 0 +117 *1809:184 *4873:103 0.000160617 +118 *1829:142 *24824:CLK 0 +119 *1829:148 *4873:80 1.00846e-05 +120 *1829:157 *4873:74 2.95757e-05 +121 *1829:157 *4873:103 0 +122 *1830:8 *4873:80 4.70005e-05 +123 *1830:61 *4873:80 9.78016e-05 +124 *1947:45 *4873:11 2.29454e-05 +125 *1947:56 *4873:11 9.21475e-05 +126 *2079:5 *4873:11 0.000686564 +127 *2079:9 *4873:11 0.000400321 +128 *2298:30 *4873:17 5.60804e-05 +129 *2328:11 *4873:61 0.000102654 +130 *2328:11 *4873:74 1.60506e-05 +131 *2328:13 *4873:74 0.000942651 +132 *2337:40 *4873:17 0.000143431 +133 *2343:25 *4873:17 2.37537e-05 +134 *2363:45 *4873:17 0.000110394 +135 *2375:60 *4873:17 3.38266e-05 +136 *2391:89 *4873:26 8.00909e-05 +137 *2421:78 *4873:26 2.02035e-05 +138 *2441:66 *4873:26 0.000427544 +139 *2446:145 *4873:32 0.00139647 +140 *2467:87 *4873:26 0.000432072 +141 *2554:21 *4873:17 1.9628e-06 +142 *2584:62 *4873:32 0.000122946 +143 *2592:20 *4873:17 0.000141985 +144 *2692:53 *4873:32 1.67867e-05 +145 *2809:24 *4873:26 0 +146 *2850:42 *4873:17 0.000743331 +147 *2853:23 *4873:17 0.000738373 +148 *2882:74 *4873:32 0.000118976 +149 *2900:90 *4873:32 9.66692e-06 +150 *3011:30 *4873:26 0.00130103 +151 *3027:8 *25205:A 0.00054261 +152 *3027:8 *4873:17 0.00016698 +153 *3035:23 *4873:17 0.000746118 +154 *3051:14 *4873:17 0.000360724 +155 *3222:41 *4873:17 0.000112092 +156 *3257:61 *4873:32 8.56161e-05 +157 *3527:186 *4873:17 3.73639e-05 +158 *3527:207 *4873:17 4.05731e-06 +159 *3531:211 *4873:17 0.000156198 +160 *3784:10 *24818:CLK 0.000739448 +161 *3784:14 *24818:CLK 8.58645e-06 +162 *3860:8 *24818:CLK 0 +163 *3974:39 *4873:54 0 +164 *3996:10 *4873:80 0 +165 *4009:115 *4873:6 8.7142e-05 +166 *4106:126 *4873:11 0.00025175 +167 *4111:12 *4873:54 4.33979e-05 +168 *4126:91 *4873:54 0.000143378 +169 *4146:10 *24818:CLK 0 +170 *4213:6 *4873:54 0.00104248 +171 *4213:31 *4873:54 0.000246994 +172 *4371:24 *4873:80 0.000171307 +173 *4407:8 *4873:54 0.000643143 +174 *4407:19 *4873:54 0.000273047 +175 *4536:10 *4873:54 0.00226344 +176 *4538:31 *25205:A 0.000544661 +177 *4538:31 *4873:17 0.000110645 +178 *4582:30 *4873:6 9.15115e-06 +179 *4584:6 *4873:54 0.000498121 +180 *4584:10 *4873:6 0.000200236 +181 *4584:10 *4873:54 0.00227956 +182 *4665:57 *4873:11 0.000222819 +183 *4691:11 *4873:11 1.41976e-05 +184 *4817:85 *19563:A1 3.82228e-05 +185 *4833:130 *4873:32 0.000172057 +186 *4840:9 *24823:CLK 3.01592e-06 +187 *4841:11 *24823:CLK 2.53541e-05 +*RES +1 *24934:X *4873:6 19.3184 +2 *4873:6 *4873:11 41.8904 +3 *4873:11 *4873:17 38.0682 +4 *4873:17 *4873:26 48.6715 +5 *4873:26 *4873:32 12.1383 +6 *4873:32 *6113:DIODE 9.24915 +7 *4873:32 *19563:A1 14.4576 +8 *4873:11 *25205:A 24.3014 +9 *4873:6 *4873:54 160.495 +10 *4873:54 *4873:58 4.18916 +11 *4873:58 *4873:61 10.7694 +12 *4873:61 *24821:CLK 9.24915 +13 *4873:61 *4873:74 27.794 +14 *4873:74 *4873:80 18.7568 +15 *4873:80 *4873:81 4.60562 +16 *4873:81 *24818:CLK 36.4532 +17 *4873:81 *24819:CLK 9.24915 +18 *4873:80 *4873:103 30.1514 +19 *4873:103 *24822:CLK 9.24915 +20 *4873:103 *24823:CLK 37.6015 +21 *4873:74 *24820:CLK 9.24915 +22 *4873:58 *24824:CLK 23.5173 +*END + +*D_NET *4874 0.0167925 +*CONN +*I *24942:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24943:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *24935:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24942:A 0.000405613 +2 *24943:A 0 +3 *24935:X 0.0022005 +4 *4874:29 0.00222337 +5 *4874:20 0.00401838 +6 *4874:19 0.00358989 +7 *24942:A *24179:RESET_B 2.09495e-05 +8 *24942:A *5671:212 0 +9 *24942:A *5671:225 0 +10 *4874:19 *4935:15 3.31882e-05 +11 *4874:19 *4935:79 0.000120052 +12 *4874:19 *4935:90 0.000175398 +13 *4874:19 *5856:47 0.000148568 +14 *4874:19 *5918:20 3.70027e-06 +15 *4874:19 *6021:14 0 +16 *4874:20 *24179:RESET_B 0.000101133 +17 *4874:20 *24757:RESET_B 0.000370798 +18 *4874:20 *5671:189 0 +19 *4874:20 *6001:175 0.000157515 +20 *4874:20 *6001:189 4.79439e-05 +21 *4874:20 *6014:9 0 +22 *4874:29 *24182:D 1.84293e-05 +23 *4874:29 *24182:RESET_B 0.000864813 +24 *4874:29 *24182:CLK 4.56831e-05 +25 *4874:29 *4882:11 5.07314e-05 +26 *4874:29 *4882:168 0.000507267 +27 *4874:29 *5671:191 4.66492e-05 +28 *4874:29 *6012:17 0.000122303 +29 *20226:A2 *4874:20 0.000147415 +30 *20226:B1 *4874:20 5.74949e-05 +31 *20226:C1 *4874:20 0.000168329 +32 *23456:A *4874:29 6.50727e-05 +33 *23456:B *4874:29 0.000113968 +34 *24757:D *4874:20 5.64528e-05 +35 *24996:A *4874:19 0.000615589 +36 *495:8 *4874:20 0 +37 *1483:139 *24942:A 0 +38 *1483:139 *4874:20 0 +39 *2624:21 *4874:19 3.17436e-05 +40 *3089:54 *4874:20 0 +41 *4137:77 *4874:20 0 +42 *4138:142 *4874:19 6.21462e-05 +43 *4363:7 *4874:29 6.50727e-05 +44 *4665:82 *24942:A 0.000136381 +*RES +1 *24935:X *4874:19 38.6059 +2 *4874:19 *4874:20 38.1666 +3 *4874:20 *4874:29 44.2627 +4 *4874:29 *24943:A 9.24915 +5 *4874:20 *24942:A 24.0606 +*END + +*D_NET *4875 0.047829 +*CONN +*I *24172:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24368:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24362:CLK I *D sky130_fd_sc_hd__dfstp_2 +*I *24281:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24256:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24171:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24397:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24356:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24263:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24289:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24290:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24293:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24292:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24286:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24936:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24172:CLK 0.000702222 +2 *24368:CLK 0.000278261 +3 *24362:CLK 0.000306628 +4 *24281:CLK 0.00010964 +5 *24256:CLK 0 +6 *24171:CLK 0.000182522 +7 *24397:CLK 0.000851321 +8 *24356:CLK 0.000190197 +9 *24263:CLK 0.000784567 +10 *24289:CLK 4.12169e-05 +11 *24290:CLK 9.58745e-05 +12 *24293:CLK 0 +13 *24292:CLK 0 +14 *24286:CLK 5.94093e-05 +15 *24936:X 0.00121352 +16 *4875:140 0.00213965 +17 *4875:136 0.00249825 +18 *4875:125 0.000601052 +19 *4875:118 0.000261964 +20 *4875:92 0.00109486 +21 *4875:89 0.00110117 +22 *4875:82 0.00263167 +23 *4875:46 0.000611146 +24 *4875:35 0.00124289 +25 *4875:30 0.00143045 +26 *4875:28 0.0011433 +27 *4875:24 0.00262245 +28 *4875:11 0.0023826 +29 *4875:8 0.0026391 +30 *4875:6 0.00121352 +31 *24171:CLK *23993:A1 6.08467e-05 +32 *24171:CLK *5871:580 2.75715e-05 +33 *24171:CLK *6027:41 0.000164843 +34 *24172:CLK *24172:RESET_B 0.000113478 +35 *24172:CLK *24255:RESET_B 0 +36 *24172:CLK *5871:799 4.66492e-05 +37 *24172:CLK *5871:805 0.000313633 +38 *24263:CLK *23981:A1 1.24097e-05 +39 *24281:CLK *5964:7 1.82679e-05 +40 *24289:CLK *20364:A1 0.000118166 +41 *24289:CLK *20364:B1 0 +42 *24356:CLK *5853:392 0.000553666 +43 *24362:CLK *5949:12 7.43669e-05 +44 *24368:CLK *5859:288 0 +45 *24368:CLK *5864:196 0.00016553 +46 *24397:CLK *5785:52 0.000328385 +47 *4875:6 *23974:A1 0.000165495 +48 *4875:11 *24286:RESET_B 0.000114296 +49 *4875:24 *20362:A1 0.000197563 +50 *4875:24 *21380:A 0.000243633 +51 *4875:24 *23983:A1 0 +52 *4875:24 *24286:RESET_B 3.20069e-06 +53 *4875:24 *24287:CLK 0.000135242 +54 *4875:24 *24756:RESET_B 0.000122098 +55 *4875:24 *4878:111 3.49272e-05 +56 *4875:24 *5871:297 0 +57 *4875:28 *5871:286 3.42931e-05 +58 *4875:28 *5871:297 1.19651e-05 +59 *4875:30 *5200:10 2.34654e-05 +60 *4875:30 *5871:286 2.71397e-05 +61 *4875:35 *21503:A 0.000689459 +62 *4875:35 *5564:12 1.77537e-06 +63 *4875:35 *5933:13 0.000105636 +64 *4875:46 *21379:A 7.16754e-05 +65 *4875:46 *21502:A 0.000543025 +66 *4875:46 *21687:A 6.50586e-05 +67 *4875:46 *21883:A 6.50586e-05 +68 *4875:46 *5966:10 6.49003e-05 +69 *4875:82 *24260:RESET_B 0.000755329 +70 *4875:82 *24260:CLK 5.73392e-05 +71 *4875:82 *4877:13 0.000143875 +72 *4875:82 *5871:560 0.000102546 +73 *4875:82 *6027:58 0.000104999 +74 *4875:89 *21011:B2 0.000212247 +75 *4875:89 *23993:A1 0.00029284 +76 *4875:92 *5853:392 0.000163465 +77 *4875:136 *23967:A1 8.38588e-05 +78 *4875:140 *23967:A1 0 +79 *4875:140 *23967:S 1.41976e-05 +80 *4875:140 *24170:CLK 0 +81 *4875:140 *5871:805 0.000138966 +82 *20967:B2 *4875:46 6.45236e-05 +83 *20971:A1 *24263:CLK 6.08467e-05 +84 *20974:A1 *4875:6 0 +85 *21012:A *4875:140 0.000237038 +86 *21326:A1 *4875:35 1.32509e-05 +87 *23975:A0 *4875:6 0 +88 *23985:A0 *4875:24 0.000110147 +89 *23985:A0 *4875:30 0 +90 *23993:A0 *4875:89 1.02481e-05 +91 *24256:D *4875:89 8.79845e-05 +92 *24281:D *24281:CLK 7.16893e-05 +93 *24290:D *4875:35 9.60366e-05 +94 *24293:D *4875:46 9.35846e-05 +95 *324:10 *4875:46 0.000202746 +96 *399:11 *4875:46 0.00185559 +97 *408:11 *4875:24 0.00017245 +98 *497:39 *4875:24 0 +99 *501:22 *4875:6 3.35888e-05 +100 *507:25 *4875:82 0.000243726 +101 *507:25 *4875:118 4.8056e-05 +102 *507:25 *4875:125 0.000517703 +103 *507:25 *4875:136 0.000121455 +104 *520:63 *24263:CLK 4.27958e-05 +105 *521:11 *24289:CLK 3.14978e-05 +106 *521:18 *24289:CLK 6.11359e-06 +107 *524:6 *4875:6 5.5068e-05 +108 *531:58 *4875:140 0 +109 *1573:62 *4875:6 0.00010534 +110 *1573:63 *24397:CLK 0.000380776 +111 *1636:36 *24368:CLK 5.47537e-05 +112 *1636:36 *4875:140 0.000684654 +113 *1741:93 *24368:CLK 4.15685e-05 +114 *2103:10 *24368:CLK 0.000229664 +115 *2164:43 *24263:CLK 3.65454e-05 +116 *2240:20 *24356:CLK 0.000546486 +117 *2240:20 *4875:92 0.000170607 +118 *2278:8 *24290:CLK 4.65396e-05 +119 *2290:18 *4875:24 0 +120 *2291:15 *24356:CLK 2.65667e-05 +121 *2378:12 *4875:6 0 +122 *2385:10 *4875:30 0 +123 *2385:10 *4875:35 0 +124 *2386:17 *4875:35 0.000104701 +125 *2386:17 *4875:46 0.000486217 +126 *2398:63 *24281:CLK 0.00014661 +127 *2428:13 *4875:24 0.000127194 +128 *2433:151 *24362:CLK 0.000229104 +129 *2439:10 *4875:46 0 +130 *2448:171 *4875:136 9.4385e-05 +131 *2560:16 *4875:35 2.65667e-05 +132 *2585:29 *4875:11 5.24081e-05 +133 *2585:29 *4875:82 0.00014047 +134 *2585:31 *24286:CLK 6.73186e-05 +135 *2585:31 *4875:11 0.000113689 +136 *2667:32 *4875:82 0.000259471 +137 *2667:32 *4875:118 5.92342e-05 +138 *2667:32 *4875:125 0.000556429 +139 *2667:32 *4875:136 0.000466436 +140 *2667:32 *4875:140 0 +141 *2667:42 *24368:CLK 0 +142 *2667:42 *4875:140 0 +143 *2720:12 *4875:24 0 +144 *2736:10 *4875:30 0.000236751 +145 *2736:10 *4875:35 0.000348933 +146 *2831:11 *24263:CLK 1.11668e-05 +147 *2831:29 *24263:CLK 0.00010903 +148 *2831:29 *4875:24 3.65454e-05 +149 *3177:37 *24362:CLK 2.16355e-05 +150 *4829:45 *24286:CLK 0.000115934 +151 *4829:45 *4875:11 0.000483488 +152 *4829:45 *4875:82 4.88955e-05 +153 *4829:50 *4875:6 0.00176993 +154 *4843:525 *4875:24 0 +155 *4845:396 *4875:28 0 +156 *4845:396 *4875:30 0 +*RES +1 *24936:X *4875:6 48.3861 +2 *4875:6 *4875:8 4.5 +3 *4875:8 *4875:11 14.6126 +4 *4875:11 *24286:CLK 11.6605 +5 *4875:11 *4875:24 45.6201 +6 *4875:24 *4875:28 6.40898 +7 *4875:28 *4875:30 17.1963 +8 *4875:30 *4875:35 25.9035 +9 *4875:35 *24292:CLK 9.24915 +10 *4875:35 *4875:46 41.0859 +11 *4875:46 *24293:CLK 9.24915 +12 *4875:30 *24290:CLK 16.1364 +13 *4875:28 *24289:CLK 15.6059 +14 *4875:24 *24263:CLK 21.1048 +15 *4875:8 *4875:82 36.0285 +16 *4875:82 *4875:89 19.2814 +17 *4875:89 *4875:92 7.57775 +18 *4875:92 *24356:CLK 24.1943 +19 *4875:92 *24397:CLK 36.1021 +20 *4875:89 *24171:CLK 13.8789 +21 *4875:82 *4875:118 1.00149 +22 *4875:118 *24256:CLK 13.7491 +23 *4875:118 *4875:125 10.5523 +24 *4875:125 *24281:CLK 17.8002 +25 *4875:125 *4875:136 13.3913 +26 *4875:136 *4875:140 42.7727 +27 *4875:140 *24362:CLK 22.4299 +28 *4875:140 *24368:CLK 22.3968 +29 *4875:136 *24172:CLK 22.3908 +*END + +*D_NET *4876 0.0825162 +*CONN +*I *24363:CLK I *D sky130_fd_sc_hd__dfstp_2 +*I *24364:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24367:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24366:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24365:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24369:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24798:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24797:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24372:CLK I *D sky130_fd_sc_hd__dfstp_4 +*I *24937:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24363:CLK 0.000786654 +2 *24364:CLK 0 +3 *24367:CLK 0 +4 *24366:CLK 0.00012588 +5 *24365:CLK 0.000101249 +6 *24369:CLK 0 +7 *24798:CLK 0.000316695 +8 *24797:CLK 0 +9 *24372:CLK 0.000237175 +10 *24937:X 0 +11 *4876:119 0.000587631 +12 *4876:83 0.00141664 +13 *4876:74 0.0015903 +14 *4876:69 0.00215018 +15 *4876:68 0.00165982 +16 *4876:66 0.00461809 +17 *4876:65 0.00474745 +18 *4876:62 0.00203182 +19 *4876:56 0.0034019 +20 *4876:49 0.00230078 +21 *4876:38 0.00456321 +22 *4876:29 0.00439888 +23 *4876:28 0.000738253 +24 *4876:26 0.000970231 +25 *4876:14 0.00134596 +26 *4876:8 0.00113273 +27 *4876:7 0.00235734 +28 *4876:4 0.00103826 +29 *24363:CLK *5944:69 0 +30 *24363:CLK *5948:76 2.81262e-05 +31 *24363:CLK *5948:81 0.000135252 +32 *24365:CLK *5949:41 0.000136538 +33 *24366:CLK *5954:11 0.000185193 +34 *4876:8 *5948:30 0.00013498 +35 *4876:8 *5948:63 0.000134406 +36 *4876:14 *5870:733 0.000102887 +37 *4876:26 *20817:A1 6.73186e-05 +38 *4876:29 *5857:263 3.77568e-05 +39 *4876:29 *5949:41 0.000530633 +40 *4876:38 *20823:A3 0.000156614 +41 *4876:38 *24370:RESET_B 0 +42 *4876:38 *4877:8 0.000116788 +43 *4876:38 *4878:136 0.000278355 +44 *4876:38 *4878:157 1.10925e-05 +45 *4876:38 *5864:136 3.55968e-05 +46 *4876:38 *5946:22 0 +47 *4876:38 *5947:8 0.000286355 +48 *4876:38 *5947:20 8.74293e-05 +49 *4876:38 *5949:41 2.14842e-06 +50 *4876:49 *21038:B1 0.000216925 +51 *4876:49 *23949:A1 8.65358e-05 +52 *4876:49 *5854:409 6.16319e-05 +53 *4876:65 *5860:401 0.000158906 +54 *4876:65 *5870:253 0.000250346 +55 *4876:66 *20221:A1 0.000137293 +56 *4876:66 *21569:A 5.0715e-05 +57 *4876:66 *21668:A 0.000278223 +58 *4876:66 *24520:CLK 6.23875e-05 +59 *4876:69 *24064:A1 6.3609e-05 +60 *4876:69 *4894:18 2.31263e-05 +61 *4876:69 *5481:16 9.93916e-05 +62 *4876:69 *5812:47 0.000240111 +63 *4876:69 *5860:247 7.11709e-05 +64 *4876:74 *24052:A1 0.000406808 +65 *4876:74 *24053:A1 0.000101718 +66 *4876:74 *24053:S 6.08467e-05 +67 *4876:83 *24058:A1 8.63646e-05 +68 *4876:83 *5442:39 7.77309e-06 +69 *4876:83 *5443:39 0.000252342 +70 *6204:DIODE *4876:49 0.000160617 +71 *19672:S *4876:56 0.000637807 +72 *20218:A *4876:66 0.000114584 +73 *20380:B2 *4876:69 2.98857e-05 +74 *20382:B2 *4876:69 1.1573e-05 +75 *20383:B2 *4876:69 0.000232243 +76 *20817:A3 *4876:26 0.000398075 +77 *20817:B1 *4876:26 4.47134e-05 +78 *20819:B1 *4876:38 0.000114694 +79 *20823:A1 *4876:38 6.34564e-05 +80 *20823:B1 *4876:38 3.04046e-05 +81 *20827:A *4876:29 7.07123e-05 +82 *20829:A2 *4876:38 0 +83 *20829:A3 *4876:29 0.000109936 +84 *20829:A3 *4876:38 0.000191166 +85 *20847:A *4876:119 0.000296359 +86 *21169:A *4876:119 0.000129028 +87 *21169:B *4876:119 6.50727e-05 +88 *21169:C *4876:119 4.0752e-05 +89 *21389:B2 *4876:56 0.000347214 +90 *21652:A1 *4876:7 0.00192824 +91 *21652:A2 *4876:7 0.000869404 +92 *22178:A *4876:7 2.57847e-05 +93 *22221:A *4876:7 0.000152901 +94 *22221:C *4876:7 2.16355e-05 +95 *22222:A *4876:7 0.000309003 +96 *22241:A *4876:7 1.65872e-05 +97 *22541:A *24363:CLK 0.000167076 +98 *22541:B *24363:CLK 3.82228e-05 +99 *22552:A *4876:7 3.82228e-05 +100 *22552:C *4876:7 8.79845e-05 +101 *22553:A *4876:7 0.000113107 +102 *22832:B *4876:74 1.61631e-05 +103 *22834:B1 *4876:83 0.000122098 +104 *22835:A *4876:83 0.000137921 +105 *24052:A0 *4876:74 0.000301785 +106 *24076:A0 *4876:49 0.000566144 +107 *24218:D *4876:66 9.64505e-05 +108 *24367:D *4876:119 8.93845e-05 +109 *24369:D *4876:38 5.88662e-05 +110 *24370:D *4876:38 0 +111 *24372:D *24372:CLK 0.000123582 +112 *24654:D *4876:69 7.50722e-05 +113 *24798:D *24798:CLK 7.39214e-06 +114 *24885:D *4876:69 9.60366e-05 +115 *460:8 *4876:83 1.14755e-05 +116 *460:63 *4876:38 0.000437852 +117 *463:15 *4876:74 2.77625e-06 +118 *466:15 *4876:74 0.000158682 +119 *497:9 *4876:38 0.000372893 +120 *497:107 *4876:38 0 +121 *497:111 *4876:38 0 +122 *497:143 *4876:38 0.00199715 +123 *501:14 *4876:38 9.91723e-05 +124 *505:15 *4876:38 0.000598833 +125 *506:27 *4876:7 0.000497846 +126 *530:18 *4876:38 0.000175945 +127 *541:35 *4876:8 2.61534e-05 +128 *1418:311 *4876:119 4.2372e-05 +129 *1428:24 *4876:62 0.000179975 +130 *1428:86 *4876:38 0 +131 *1471:96 *4876:69 5.93547e-06 +132 *1485:105 *4876:83 0.000451073 +133 *1485:112 *24798:CLK 0.000764141 +134 *1485:112 *4876:83 0.000554214 +135 *1515:47 *4876:74 0.00153853 +136 *1573:33 *4876:66 4.50698e-05 +137 *1636:52 *24366:CLK 0 +138 *1668:56 *4876:69 0.000905293 +139 *1668:59 *4876:83 0.000517816 +140 *1668:69 *24798:CLK 0.000761179 +141 *1668:69 *4876:83 0.000600399 +142 *1701:20 *4876:38 0 +143 *1706:156 *4876:7 0.000303361 +144 *1715:151 *4876:7 0.0003012 +145 *1731:39 *4876:66 0.000982051 +146 *1742:67 *4876:119 0.00105492 +147 *1744:100 *4876:69 0.000950272 +148 *1749:29 *4876:49 0 +149 *1764:129 *4876:62 0.00232599 +150 *1802:187 *4876:62 0.000107063 +151 *1802:206 *4876:56 0.00169185 +152 *1884:257 *24363:CLK 0.000319817 +153 *1940:21 *4876:69 2.60921e-05 +154 *1940:30 *4876:69 5.34356e-05 +155 *1940:43 *4876:69 8.96434e-05 +156 *2063:17 *4876:38 0 +157 *2063:34 *4876:38 5.18603e-05 +158 *2063:43 *4876:38 4.15143e-05 +159 *2063:68 *4876:29 7.90492e-05 +160 *2063:68 *4876:38 4.59164e-06 +161 *2063:82 *4876:26 0.000171273 +162 *2063:82 *4876:119 0.000139947 +163 *2088:103 *24363:CLK 7.62621e-05 +164 *2088:103 *4876:8 0.000162064 +165 *2089:22 *24372:CLK 3.54395e-05 +166 *2089:22 *4876:14 0.000228055 +167 *2122:23 *4876:7 6.35046e-05 +168 *2180:27 *4876:49 0.00011818 +169 *2256:13 *4876:14 3.39118e-05 +170 *2259:15 *4876:38 9.57288e-05 +171 *2378:12 *24372:CLK 5.1493e-06 +172 *2378:12 *4876:8 5.25994e-05 +173 *2378:12 *4876:14 0.000523284 +174 *2398:24 *4876:69 0.000606246 +175 *2398:93 *24372:CLK 0.000188919 +176 *2398:93 *4876:26 6.08467e-05 +177 *2421:138 *4876:7 0.000214369 +178 *2448:178 *4876:56 0.000160617 +179 *2450:14 *4876:56 0.000159392 +180 *2468:127 *4876:29 4.25391e-05 +181 *2469:11 *4876:74 6.08467e-05 +182 *2469:19 *4876:83 2.69795e-05 +183 *2471:21 *24372:CLK 5.16323e-05 +184 *2505:29 *4876:66 8.2383e-06 +185 *2505:33 *4876:66 7.97098e-06 +186 *2505:37 *4876:66 7.11768e-05 +187 *2561:22 *4876:66 6.83407e-05 +188 *2563:8 *4876:69 0 +189 *2625:22 *4876:66 0.000417464 +190 *2641:19 *4876:49 0.000304794 +191 *2645:27 *4876:49 6.50727e-05 +192 *2858:20 *4876:56 2.57365e-05 +193 *2907:6 *4876:49 1.7182e-05 +194 *2924:89 *4876:8 0.000642942 +195 *2924:89 *4876:14 3.63738e-05 +196 *2925:12 *24365:CLK 0 +197 *2925:12 *4876:29 0 +198 *2936:14 *4876:62 0.000399902 +199 *2936:16 *4876:62 7.4947e-05 +200 *2951:28 *4876:65 0 +201 *3104:22 *24363:CLK 1.05982e-05 +202 *3104:22 *4876:8 5.96125e-05 +203 *3142:21 *24363:CLK 0.000120342 +204 *3142:21 *4876:8 2.77419e-05 +205 *3177:37 *4876:38 0 +206 *3185:18 *24363:CLK 0.00017235 +207 *3204:19 *4876:7 0.000313509 +208 *3212:87 *4876:49 0.000557447 +209 *3758:18 *4876:83 0.000254726 +210 *4845:349 *4876:26 3.12124e-05 +211 *4845:349 *4876:119 7.11163e-05 +212 *4856:30 *4876:7 3.99086e-06 +*RES +1 *24937:X *4876:4 9.24915 +2 *4876:4 *4876:7 45.7095 +3 *4876:7 *4876:8 17.1963 +4 *4876:8 *4876:14 17.2696 +5 *4876:14 *24372:CLK 20.5992 +6 *4876:14 *4876:26 16.8701 +7 *4876:26 *4876:28 4.5 +8 *4876:28 *4876:29 17.1963 +9 *4876:29 *4876:38 47.5591 +10 *4876:38 *4876:49 42.1179 +11 *4876:49 *4876:56 41.4655 +12 *4876:56 *4876:62 46.8939 +13 *4876:62 *4876:65 9.23876 +14 *4876:65 *4876:66 70.6034 +15 *4876:66 *4876:68 4.5 +16 *4876:68 *4876:69 56.23 +17 *4876:69 *4876:74 30.7983 +18 *4876:74 *4876:83 40.4627 +19 *4876:83 *24797:CLK 13.7491 +20 *4876:83 *24798:CLK 29.0408 +21 *4876:29 *24369:CLK 13.7491 +22 *4876:28 *24365:CLK 16.4116 +23 *4876:26 *4876:119 19.4111 +24 *4876:119 *24366:CLK 21.7421 +25 *4876:119 *24367:CLK 9.24915 +26 *4876:8 *24364:CLK 13.7491 +27 *4876:7 *24363:CLK 35.292 +*END + +*D_NET *4877 0.0545906 +*CONN +*I *24284:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24260:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24261:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24262:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24395:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24173:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24396:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24255:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24394:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24334:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24257:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24258:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24259:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24288:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24264:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24267:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24174:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24265:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24266:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24291:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24938:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24284:CLK 0 +2 *24260:CLK 0.000160066 +3 *24261:CLK 0 +4 *24262:CLK 0.000144725 +5 *24395:CLK 0 +6 *24173:CLK 0.000695923 +7 *24396:CLK 2.40228e-05 +8 *24255:CLK 0.00234831 +9 *24394:CLK 0 +10 *24334:CLK 0.000421616 +11 *24257:CLK 0 +12 *24258:CLK 6.44689e-05 +13 *24259:CLK 0 +14 *24288:CLK 0.00102692 +15 *24264:CLK 4.69946e-05 +16 *24267:CLK 0 +17 *24174:CLK 0.0017347 +18 *24265:CLK 0 +19 *24266:CLK 0.000303811 +20 *24291:CLK 0.00122381 +21 *24938:X 0.00105933 +22 *4877:182 0.00115342 +23 *4877:170 0.000542022 +24 *4877:159 0.00318334 +25 *4877:152 0.000918149 +26 *4877:142 0.00129863 +27 *4877:134 0.00169893 +28 *4877:120 0.00150992 +29 *4877:105 0.000919702 +30 *4877:66 0.00198824 +31 *4877:38 0.00159506 +32 *4877:36 0.000418731 +33 *4877:34 0.000802704 +34 *4877:32 0.000378146 +35 *4877:30 0.00132143 +36 *4877:28 0.000919212 +37 *4877:26 0.000748107 +38 *4877:22 0.000646712 +39 *4877:13 0.000855312 +40 *4877:8 0.00139307 +41 *24173:CLK *4939:10 0.000118485 +42 *24174:CLK *24013:A1 4.87301e-05 +43 *24174:CLK *24013:S 9.54357e-06 +44 *24174:CLK *24174:RESET_B 0.000113968 +45 *24174:CLK *5857:226 7.5538e-05 +46 *24255:CLK *24172:RESET_B 0.000528339 +47 *24255:CLK *5871:799 1.20637e-06 +48 *24255:CLK *5871:805 0.000161161 +49 *24255:CLK *6028:7 8.85095e-05 +50 *24258:CLK *21008:B2 0.000216467 +51 *24260:CLK *24260:RESET_B 0.00035144 +52 *24288:CLK *21004:B2 0.000264586 +53 *24288:CLK *23983:S 3.86121e-05 +54 *24288:CLK *24288:RESET_B 0.000163192 +55 *24288:CLK *5974:7 0.000119093 +56 *24291:CLK *24291:RESET_B 4.32777e-05 +57 *24291:CLK *24292:RESET_B 9.33116e-05 +58 *24334:CLK *5857:215 0.000399924 +59 *24396:CLK *5871:886 4.58003e-05 +60 *4877:8 *4878:118 8.89794e-05 +61 *4877:8 *4878:127 2.76862e-05 +62 *4877:8 *4878:136 3.83492e-06 +63 *4877:8 *5726:37 0.000918382 +64 *4877:13 *4878:118 2.45914e-05 +65 *4877:22 *5785:45 0.000388564 +66 *4877:22 *6027:62 0.000131677 +67 *4877:22 *6027:64 0.00022888 +68 *4877:22 *6027:66 0.000194369 +69 *4877:22 *6027:234 0.00016434 +70 *4877:26 *24262:RESET_B 2.22605e-05 +71 *4877:26 *5871:478 0.000128736 +72 *4877:28 *24005:A1 0.000163982 +73 *4877:134 *23999:A1 0 +74 *4877:142 *24257:RESET_B 0.00023418 +75 *4877:142 *5871:587 0.000570356 +76 *4877:142 *5871:749 0.000507516 +77 *4877:142 *5982:7 0.000148682 +78 *4877:152 *5857:215 0.000353575 +79 *4877:159 *5857:215 0.00170328 +80 *4877:170 *5857:215 0.000109859 +81 *4877:182 *5857:215 0.000384113 +82 *4877:182 *6029:75 2.41274e-06 +83 *20772:A1_N *4877:159 0.000183432 +84 *20776:A2 *4877:159 1.87469e-05 +85 *20776:B2 *4877:159 5.15415e-05 +86 *20776:C1 *4877:159 0.000140962 +87 *20965:A *4877:120 7.53034e-05 +88 *20970:B1 *24291:CLK 1.31897e-05 +89 *20973:A1 *24262:CLK 0.000100657 +90 *20976:A2 *4877:8 2.58696e-05 +91 *20977:A1 *4877:8 0.000151849 +92 *21000:A2 *24174:CLK 3.99086e-06 +93 *21004:A1 *4877:30 8.62625e-06 +94 *21004:A1 *4877:32 5.05252e-05 +95 *21004:B1 *24288:CLK 4.58003e-05 +96 *21005:A2 *4877:22 8.2464e-05 +97 *21143:A2 *24174:CLK 2.0195e-05 +98 *21143:B2 *24174:CLK 5.07314e-05 +99 *21326:B1 *24291:CLK 0 +100 *24003:A0 *4877:22 0.000136905 +101 *24007:A0 *24288:CLK 1.72544e-05 +102 *24013:A0 *24174:CLK 0.000193864 +103 *24173:D *24173:CLK 2.9373e-05 +104 *24195:D *24174:CLK 6.92705e-05 +105 *24258:D *4877:134 0 +106 *24262:D *24262:CLK 6.73022e-05 +107 *24291:D *24291:CLK 1.31657e-05 +108 *24394:D *4877:159 2.54709e-05 +109 *399:11 *24291:CLK 5.92342e-05 +110 *399:11 *4877:36 4.84944e-05 +111 *399:11 *4877:38 0.000193108 +112 *502:38 *4877:8 2.652e-05 +113 *507:25 *4877:134 0 +114 *518:75 *4877:105 0.000145053 +115 *520:45 *24264:CLK 0.000217951 +116 *523:11 *4877:66 0.000605754 +117 *527:69 *4877:182 0.000195085 +118 *529:74 *4877:8 0.000921469 +119 *532:12 *4877:8 0.000109244 +120 *535:57 *4877:8 0 +121 *539:53 *4877:134 6.51458e-05 +122 *539:53 *4877:142 0.000632647 +123 *539:55 *4877:134 0.000767725 +124 *550:25 *24262:CLK 0.00024242 +125 *550:29 *4877:26 2.04806e-05 +126 *550:29 *4877:28 0.000180459 +127 *550:29 *4877:30 0.000119312 +128 *550:29 *4877:32 8.41124e-05 +129 *550:29 *4877:34 0.000171545 +130 *550:29 *4877:36 0.000298561 +131 *1428:93 *4877:8 0 +132 *1431:34 *24174:CLK 0.000206093 +133 *1573:71 *24255:CLK 0.000136533 +134 *2063:17 *4877:8 0 +135 *2103:46 *24173:CLK 0.000122068 +136 *2164:38 *4877:30 0.000104747 +137 *2164:169 *4877:8 0.00015708 +138 *2164:169 *4877:13 0.000150481 +139 *2164:184 *4877:8 0.000518593 +140 *2267:39 *24334:CLK 0.000181733 +141 *2267:39 *4877:152 0.000132381 +142 *2290:18 *24291:CLK 5.92342e-05 +143 *2439:10 *24174:CLK 1.32509e-05 +144 *2439:14 *4877:120 0.000116986 +145 *2439:14 *4877:134 0.00068705 +146 *2528:20 *4877:13 9.7454e-05 +147 *2585:29 *4877:13 0.000158357 +148 *2667:30 *24260:CLK 9.57638e-05 +149 *2667:30 *4877:13 3.79253e-05 +150 *2689:14 *4877:152 0 +151 *2689:14 *4877:159 0 +152 *2831:11 *24264:CLK 5.05976e-05 +153 *2859:8 *4877:22 8.21849e-06 +154 *2859:14 *4877:22 6.38982e-06 +155 *2899:11 *24266:CLK 0.000313495 +156 *2899:11 *24291:CLK 6.40265e-05 +157 *2899:24 *4877:8 8.903e-05 +158 *2925:8 *24291:CLK 2.36813e-05 +159 *2925:8 *4877:26 6.46779e-05 +160 *2925:8 *4877:28 0.0002468 +161 *2925:8 *4877:30 7.69735e-05 +162 *2925:8 *4877:32 7.69735e-05 +163 *2925:8 *4877:34 0.000169826 +164 *2925:8 *4877:36 0.000327134 +165 *2925:8 *4877:38 8.8567e-05 +166 *2925:12 *4877:13 0 +167 *3212:73 *24396:CLK 0.000113968 +168 *4844:474 *4877:66 0.00143123 +169 *4866:65 *4877:159 0.000328785 +170 *4866:65 *4877:170 4.70005e-05 +171 *4866:65 *4877:182 0.000161726 +172 *4875:82 *24260:CLK 5.73392e-05 +173 *4875:82 *4877:13 0.000143875 +174 *4876:38 *4877:8 0.000116788 +*RES +1 *24938:X *4877:8 49.8174 +2 *4877:8 *4877:13 15.366 +3 *4877:13 *4877:22 23.7781 +4 *4877:22 *4877:26 11.6288 +5 *4877:26 *4877:28 10.5523 +6 *4877:28 *4877:30 5.56926 +7 *4877:30 *4877:32 4.32351 +8 *4877:32 *4877:34 7.23027 +9 *4877:34 *4877:36 13.4591 +10 *4877:36 *4877:38 3.493 +11 *4877:38 *24291:CLK 42.6682 +12 *4877:38 *24266:CLK 21.6824 +13 *4877:36 *24265:CLK 13.7491 +14 *4877:34 *4877:66 20.7523 +15 *4877:66 *24174:CLK 48.0578 +16 *4877:66 *24267:CLK 9.24915 +17 *4877:32 *24264:CLK 16.1364 +18 *4877:30 *24288:CLK 30.4355 +19 *4877:28 *4877:105 15.2063 +20 *4877:105 *24259:CLK 9.24915 +21 *4877:105 *4877:120 14.1982 +22 *4877:120 *24258:CLK 16.1364 +23 *4877:120 *4877:134 27.5696 +24 *4877:134 *24257:CLK 9.24915 +25 *4877:134 *4877:142 32.9536 +26 *4877:142 *24334:CLK 26.8336 +27 *4877:142 *4877:152 6.39977 +28 *4877:152 *24394:CLK 13.7491 +29 *4877:152 *4877:159 31.3149 +30 *4877:159 *24255:CLK 48.7374 +31 *4877:159 *4877:170 2.24725 +32 *4877:170 *24396:CLK 15.0271 +33 *4877:170 *4877:182 17.9997 +34 *4877:182 *24173:CLK 29.9729 +35 *4877:182 *24395:CLK 9.24915 +36 *4877:26 *24262:CLK 20.0186 +37 *4877:22 *24261:CLK 9.24915 +38 *4877:13 *24260:CLK 14.964 +39 *4877:8 *24284:CLK 13.7491 +*END + +*D_NET *4878 0.0811992 +*CONN +*I *24285:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24370:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24371:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24170:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24320:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24282:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24283:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24287:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24792:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24796:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24795:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24794:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24793:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24939:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24285:CLK 2.06324e-05 +2 *24370:CLK 0.000108556 +3 *24371:CLK 0.000685092 +4 *24170:CLK 0.000707298 +5 *24320:CLK 0 +6 *24282:CLK 9.92345e-05 +7 *24283:CLK 3.92754e-05 +8 *24287:CLK 0.000401227 +9 *24792:CLK 0.000354317 +10 *24796:CLK 0 +11 *24795:CLK 0.000194985 +12 *24794:CLK 1.69677e-05 +13 *24793:CLK 0 +14 *24939:X 0 +15 *4878:157 0.000831178 +16 *4878:139 0.00118053 +17 *4878:136 0.000909704 +18 *4878:127 0.00061731 +19 *4878:118 0.00144501 +20 *4878:111 0.00183116 +21 *4878:96 0.00210119 +22 *4878:92 0.00264665 +23 *4878:68 0.000575 +24 *4878:59 0.00119745 +25 *4878:47 0.00157886 +26 *4878:40 0.00357989 +27 *4878:39 0.00383441 +28 *4878:35 0.00253765 +29 *4878:31 0.00247258 +30 *4878:27 0.00337671 +31 *4878:8 0.00387775 +32 *4878:6 0.00174511 +33 *4878:5 0.00174511 +34 *24283:CLK *20977:B2 0.000160617 +35 *24287:CLK *24287:RESET_B 4.88955e-05 +36 *24287:CLK *24756:RESET_B 5.04879e-05 +37 *24287:CLK *5871:448 0.00011818 +38 *24370:CLK *5947:8 0.00011191 +39 *24371:CLK *23931:B1 1.95768e-05 +40 *24371:CLK *24371:RESET_B 5.85006e-05 +41 *24794:CLK *5801:73 2.57986e-05 +42 *4878:6 *4922:70 0 +43 *4878:6 *4922:74 5.10136e-05 +44 *4878:27 *20457:A1 0.000258128 +45 *4878:27 *21732:A 0.000142194 +46 *4878:27 *24672:CLK 0.00013978 +47 *4878:27 *5202:8 0.000217937 +48 *4878:27 *5858:429 1.75637e-06 +49 *4878:27 *5858:443 0.000243791 +50 *4878:31 *4925:35 0.000263767 +51 *4878:35 *4925:35 0.00013082 +52 *4878:35 *5754:15 0.000213725 +53 *4878:35 *5754:27 0.000113968 +54 *4878:39 *24843:CLK 0.000152523 +55 *4878:40 *24061:A0 2.56521e-05 +56 *4878:40 *24061:A1 0.000265501 +57 *4878:40 *4883:62 0 +58 *4878:40 *5485:94 0.000210932 +59 *4878:40 *5485:103 0.00015095 +60 *4878:40 *5485:110 0.000201273 +61 *4878:40 *5485:119 0.000861286 +62 *4878:40 *5485:128 0.000174043 +63 *4878:40 *5898:63 4.15267e-05 +64 *4878:40 *5955:8 0 +65 *4878:47 *24059:A1 0.000266291 +66 *4878:47 *5485:128 0.000200221 +67 *4878:47 *5485:140 0.000176217 +68 *4878:47 *5997:12 0 +69 *4878:47 *6001:72 2.08059e-05 +70 *4878:59 *20155:B1 3.88655e-06 +71 *4878:59 *24059:A1 7.13917e-05 +72 *4878:59 *5671:87 1.37145e-05 +73 *4878:92 *4917:64 8.48614e-05 +74 *4878:92 *4917:72 9.94284e-06 +75 *4878:92 *5858:443 0.000852525 +76 *4878:92 *5858:459 0.000379086 +77 *4878:96 *20233:B1 1.41853e-05 +78 *4878:111 *4952:8 5.04734e-05 +79 *4878:111 *5871:398 0.000207266 +80 *4878:118 *5971:7 1.1919e-05 +81 *4878:139 *23931:B1 0.000146735 +82 *4878:139 *5864:153 8.52369e-05 +83 *4878:157 *5947:8 8.62625e-06 +84 *19586:A2 *4878:47 0.000124195 +85 *19672:S *4878:6 0.000387759 +86 *19674:A *4878:6 0.000492732 +87 *20819:B1 *24370:CLK 5.97576e-05 +88 *20977:A1 *4878:118 0.00036019 +89 *20977:A1 *4878:127 3.31882e-05 +90 *21130:A *4878:40 0.000145569 +91 *21719:C1 *4878:6 0.000641699 +92 *21719:D1 *4878:6 0.00153787 +93 *21743:C1 *4878:6 2.39385e-05 +94 *23041:A *24792:CLK 0 +95 *23931:B2 *4878:139 5.90501e-05 +96 *23931:C1 *4878:139 6.26514e-05 +97 *24200:RESET_B *4878:40 5.14099e-05 +98 *24283:D *24283:CLK 6.23875e-05 +99 *24285:D *4878:118 0.00014879 +100 *24320:D *24170:CLK 5.23753e-06 +101 *24664:D *4878:92 0.000167906 +102 *24664:D *4878:96 0.000200794 +103 *24671:D *4878:27 9.14834e-05 +104 *24672:D *4878:27 0.000848847 +105 *24762:D *4878:35 0.000162677 +106 *24795:D *24795:CLK 0.000103083 +107 *24795:D *4878:59 6.11872e-05 +108 *24881:D *4878:40 0 +109 *24882:D *4878:40 2.69064e-05 +110 *460:30 *24795:CLK 1.82679e-05 +111 *460:67 *4878:139 0.000297057 +112 *460:82 *24170:CLK 7.16754e-05 +113 *460:82 *4878:139 0.000711502 +114 *463:15 *4878:40 0.000418479 +115 *475:12 *4878:47 3.39118e-05 +116 *500:77 *24282:CLK 2.88923e-05 +117 *510:72 *4878:111 2.15348e-05 +118 *511:10 *4878:111 0.000610533 +119 *531:58 *24170:CLK 8.03393e-06 +120 *535:57 *24282:CLK 0.000158997 +121 *1422:13 *4878:40 5.30501e-05 +122 *1422:13 *4878:47 0.000102067 +123 *1428:85 *24371:CLK 0.000154145 +124 *1428:85 *4878:139 0.000553842 +125 *1459:42 *4878:40 0.000244008 +126 *1459:42 *4878:47 0.000197583 +127 *1470:62 *4878:40 0.000774907 +128 *1471:111 *4878:40 0.000618576 +129 *1483:60 *4878:59 0.000507543 +130 *1483:65 *4878:59 0.000567495 +131 *1485:115 *24792:CLK 0.000772277 +132 *1485:115 *4878:59 0.00018563 +133 *1485:115 *4878:68 0.000505796 +134 *1492:40 *4878:6 6.45663e-05 +135 *1511:6 *4878:6 0.000444294 +136 *1573:59 *4878:111 0.000154437 +137 *1573:59 *4878:118 1.50167e-05 +138 *1682:19 *24794:CLK 2.57986e-05 +139 *1692:8 *4878:40 0 +140 *1697:22 *4878:40 0.000183763 +141 *1699:24 *24792:CLK 0.000763153 +142 *1699:24 *4878:59 0 +143 *1699:24 *4878:68 0.000505796 +144 *1744:31 *4878:111 1.41761e-05 +145 *1755:51 *24792:CLK 0.000107496 +146 *1756:24 *4878:40 0 +147 *1845:106 *4878:40 0 +148 *1845:113 *4878:40 0 +149 *1845:138 *4878:40 0 +150 *2389:19 *24170:CLK 1.80122e-05 +151 *2399:6 *4878:6 0 +152 *2428:87 *24370:CLK 5.97576e-05 +153 *2429:25 *4878:59 0 +154 *2528:16 *4878:96 0.001937 +155 *2585:31 *4878:96 0.00377712 +156 *2586:31 *4878:6 0.000156126 +157 *2603:59 *4878:59 0.000156156 +158 *2619:5 *4878:39 2.3318e-05 +159 *2619:19 *4878:39 0.000517234 +160 *2632:18 *4878:27 0.000213213 +161 *2632:18 *4878:31 3.11719e-05 +162 *2667:32 *24170:CLK 0.000141429 +163 *2667:42 *24170:CLK 0.000326768 +164 *2675:14 *4878:27 3.99086e-06 +165 *2739:14 *4878:6 0.000331608 +166 *2740:23 *4878:6 0.00134058 +167 *2742:9 *4878:6 0.000205916 +168 *2753:8 *4878:27 6.92705e-05 +169 *2803:33 *4878:40 0 +170 *2838:13 *4878:35 4.47134e-05 +171 *2838:17 *4878:35 0.00364775 +172 *2838:17 *4878:39 8.90486e-05 +173 *2899:24 *4878:118 0.000225799 +174 *2925:12 *24370:CLK 0.000106825 +175 *2925:12 *4878:118 0.00109558 +176 *2925:12 *4878:127 0.000163692 +177 *2925:12 *4878:136 0.000717417 +178 *2925:12 *4878:157 3.85006e-05 +179 *3014:72 *4878:47 0 +180 *3014:72 *4878:59 0 +181 *3212:73 *24282:CLK 0.000519574 +182 *4829:45 *4878:111 0.000116986 +183 *4833:54 *24170:CLK 0.000156367 +184 *4843:525 *24287:CLK 0.00067891 +185 *4843:525 *4878:111 0.000162325 +186 *4875:24 *24287:CLK 0.000135242 +187 *4875:24 *4878:111 3.49272e-05 +188 *4875:140 *24170:CLK 0 +189 *4876:38 *4878:136 0.000278355 +190 *4876:38 *4878:157 1.10925e-05 +191 *4877:8 *4878:118 8.89794e-05 +192 *4877:8 *4878:127 2.76862e-05 +193 *4877:8 *4878:136 3.83492e-06 +194 *4877:13 *4878:118 2.45914e-05 +*RES +1 *24939:X *4878:5 13.7491 +2 *4878:5 *4878:6 66.1961 +3 *4878:6 *4878:8 4.5 +4 *4878:8 *4878:27 48.6604 +5 *4878:27 *4878:31 14.8899 +6 *4878:31 *4878:35 44.2839 +7 *4878:35 *4878:39 20.7523 +8 *4878:39 *4878:40 84.0519 +9 *4878:40 *24793:CLK 13.7491 +10 *4878:40 *4878:47 23.4251 +11 *4878:47 *24794:CLK 14.4725 +12 *4878:47 *4878:59 30.173 +13 *4878:59 *24795:CLK 13.8548 +14 *4878:59 *4878:68 14.2218 +15 *4878:68 *24796:CLK 13.7491 +16 *4878:68 *24792:CLK 29.8954 +17 *4878:8 *4878:92 28.6706 +18 *4878:92 *4878:96 49.5917 +19 *4878:96 *24287:CLK 28.2103 +20 *4878:96 *4878:111 22.7541 +21 *4878:111 *4878:118 36.164 +22 *4878:118 *24283:CLK 15.5817 +23 *4878:118 *4878:127 3.493 +24 *4878:127 *24282:CLK 19.464 +25 *4878:127 *4878:136 13.8743 +26 *4878:136 *4878:139 23.5253 +27 *4878:139 *24320:CLK 9.24915 +28 *4878:139 *24170:CLK 38.2858 +29 *4878:136 *4878:157 1.00149 +30 *4878:157 *24371:CLK 24.3348 +31 *4878:157 *24370:CLK 17.829 +32 *4878:111 *24285:CLK 9.82786 +*END + +*D_NET *4879 0.0203006 +*CONN +*I *24144:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24129:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24131:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24143:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24142:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24141:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24140:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24139:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24137:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24138:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24940:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24144:CLK 7.32991e-05 +2 *24129:CLK 0.000257208 +3 *24131:CLK 0 +4 *24143:CLK 0 +5 *24142:CLK 0 +6 *24141:CLK 0 +7 *24140:CLK 0 +8 *24139:CLK 0 +9 *24137:CLK 0 +10 *24138:CLK 0.000527132 +11 *24940:X 0.00102876 +12 *4879:59 0.000973372 +13 *4879:57 0.000900294 +14 *4879:50 0.000210447 +15 *4879:16 0.00108675 +16 *4879:14 0.000922104 +17 *4879:12 0.00069143 +18 *4879:10 0.000627595 +19 *4879:8 0.000480585 +20 *4879:7 0.00131031 +21 *24129:CLK *5454:75 0.000110668 +22 *24138:CLK *25315:A 0.000109262 +23 *24138:CLK *5456:50 0.00040018 +24 *24138:CLK *5456:106 4.15201e-05 +25 *24138:CLK *5593:20 3.28754e-05 +26 *24138:CLK *5792:11 0 +27 *24138:CLK *5828:24 0.000201086 +28 *24144:CLK *25360:A 9.90116e-05 +29 *4879:7 *5457:67 0.00018576 +30 *4879:7 *5457:82 4.66492e-05 +31 *4879:8 *5454:73 0.000248002 +32 *4879:8 *5454:75 4.46284e-06 +33 *4879:8 *5457:67 0.000557831 +34 *4879:10 *25356:A 0.000193213 +35 *4879:10 *5454:73 0.000333177 +36 *4879:10 *5457:67 0.000504946 +37 *4879:12 *22086:B1 6.28168e-05 +38 *4879:12 *25356:A 0.00089228 +39 *4879:12 *5454:73 0.000432635 +40 *4879:14 *22086:B1 0.000227319 +41 *4879:14 *5454:73 0.000112183 +42 *4879:14 *5456:107 0.000209086 +43 *4879:14 *5840:37 9.57557e-06 +44 *4879:16 *5456:107 0.000122205 +45 *4879:16 *5593:20 0.000229321 +46 *4879:16 *5828:24 0.000387345 +47 *4879:50 *5454:75 6.56568e-05 +48 *4879:50 *5457:67 5.92342e-05 +49 *4879:50 *5847:8 0 +50 *4879:57 *5454:75 5.29763e-05 +51 *4879:59 *5454:75 0.000344934 +52 wb_dat_o[10] *4879:59 2.36701e-05 +53 wb_dat_o[11] *24129:CLK 4.31485e-06 +54 wb_dat_o[7] *4879:50 4.40325e-05 +55 wb_dat_o[7] *4879:57 5.39463e-05 +56 wb_dat_o[8] *4879:59 7.13655e-06 +57 wb_dat_o[9] *4879:59 2.36701e-05 +58 *22082:B2 *4879:7 3.8122e-05 +59 *22083:A2 *4879:7 2.16355e-05 +60 *22083:B2 *4879:7 3.54024e-05 +61 *23709:A *4879:7 0.000506452 +62 *23710:B1 *4879:7 9.5562e-05 +63 *24131:D *24129:CLK 2.14842e-06 +64 *24131:D *4879:59 4.53973e-05 +65 *24138:D *24138:CLK 2.5771e-05 +66 *24143:D *4879:50 1.26559e-05 +67 *24940:A *4879:7 1.65872e-05 +68 *25033:A *4879:16 0.000149901 +69 *25179:A *4879:16 0.000159008 +70 *25180:A *24138:CLK 0.000129039 +71 *25181:A *24138:CLK 4.04556e-05 +72 *25182:A *4879:16 4.51176e-05 +73 *2838:45 *24138:CLK 0.000857879 +74 *3083:19 *24129:CLK 0.000343601 +75 *3083:25 *4879:59 0 +76 *3083:40 *4879:7 3.82228e-05 +77 *3840:101 *4879:14 0.000640729 +78 *3840:101 *4879:16 0.000209597 +79 *3860:123 *24138:CLK 2.42958e-05 +80 *3868:180 *24138:CLK 2.5386e-05 +81 *3868:180 *4879:16 0.000334905 +82 *3891:190 *4879:7 6.08467e-05 +83 *3989:23 *4879:7 0.000131266 +84 *3989:31 *4879:7 5.51483e-06 +85 *4373:20 *24129:CLK 1.03403e-05 +86 *4373:22 *4879:10 0 +87 *4373:22 *4879:57 0 +88 *4373:22 *4879:59 0 +89 *4377:15 *24144:CLK 7.88797e-05 +90 *4677:27 *24138:CLK 0.000693629 +*RES +1 *24940:X *4879:7 38.8751 +2 *4879:7 *4879:8 9.72179 +3 *4879:8 *4879:10 13.4591 +4 *4879:10 *4879:12 16.7811 +5 *4879:12 *4879:14 16.7811 +6 *4879:14 *4879:16 23.8404 +7 *4879:16 *24138:CLK 40.081 +8 *4879:16 *24137:CLK 13.7491 +9 *4879:14 *24139:CLK 13.7491 +10 *4879:12 *24140:CLK 13.7491 +11 *4879:10 *24141:CLK 13.7491 +12 *4879:8 *24142:CLK 13.7491 +13 *4879:7 *4879:50 3.90826 +14 *4879:50 *24143:CLK 13.7491 +15 *4879:50 *4879:57 3.493 +16 *4879:57 *4879:59 20.1031 +17 *4879:59 *24131:CLK 13.7491 +18 *4879:59 *24129:CLK 22.5361 +19 *4879:57 *24144:CLK 16.8692 +*END + +*D_NET *4880 0.0323714 +*CONN +*I *24136:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24130:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24132:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24133:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24152:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24157:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24153:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24148:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24149:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24146:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24145:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24135:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24941:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24136:CLK 2.29367e-05 +2 *24130:CLK 0 +3 *24132:CLK 0.000241604 +4 *24133:CLK 0.000212245 +5 *24152:CLK 0.000172141 +6 *24157:CLK 0.00104191 +7 *24153:CLK 0 +8 *24148:CLK 1.81917e-05 +9 *24149:CLK 0 +10 *24146:CLK 0.000468691 +11 *24145:CLK 5.05397e-05 +12 *24135:CLK 0 +13 *24941:X 0.00112016 +14 *4880:106 0.000615089 +15 *4880:93 0.000642293 +16 *4880:91 0.000219165 +17 *4880:66 0.00214873 +18 *4880:60 0.00173991 +19 *4880:45 0.000907738 +20 *4880:35 0.000605814 +21 *4880:26 0.000755067 +22 *4880:17 0.000650276 +23 *4880:10 0.00086231 +24 *4880:5 0.00163155 +25 *24132:CLK *5453:68 0.000260374 +26 *24132:CLK *5821:18 0.000167578 +27 *24132:CLK *5927:24 0.000372364 +28 *24136:CLK *25340:A 6.3657e-05 +29 *24145:CLK *5931:21 4.31703e-05 +30 *24157:CLK *24158:CLK 0.000336125 +31 *24157:CLK *5600:17 6.94815e-05 +32 *24157:CLK *5838:8 0.000319954 +33 *24157:CLK *5838:16 0 +34 *4880:5 *22992:A 0.000316224 +35 *4880:5 *22992:C 0.000279543 +36 *4880:5 *23011:B 0.000340742 +37 *4880:5 *23240:A1 0.000298318 +38 *4880:5 *23240:A2 1.65872e-05 +39 *4880:5 *5628:46 6.08467e-05 +40 *4880:10 *5455:47 0.000626829 +41 *4880:10 *5824:20 0 +42 *4880:10 *5927:16 6.21496e-05 +43 *4880:17 *5455:47 0.00132804 +44 *4880:17 *5824:20 0 +45 *4880:17 *5832:10 0 +46 *4880:17 *5833:17 0.000575213 +47 *4880:26 *5455:47 0.000383657 +48 *4880:26 *5833:17 0.000382105 +49 *4880:35 *5455:47 0.000574083 +50 *4880:35 *5833:17 0.000571169 +51 *4880:45 *22073:A1 0.000135344 +52 *4880:45 *5455:47 0.000194592 +53 *4880:45 *5455:56 5.26029e-05 +54 *4880:45 *5833:17 0.000245753 +55 *4880:60 *22072:A1 0.000158286 +56 *4880:60 *5827:10 2.96076e-06 +57 *4880:60 *5835:16 0.000906771 +58 *4880:60 *5926:19 0.000145322 +59 *4880:66 *22072:A1 0.000145665 +60 *4880:66 *5835:8 3.57378e-06 +61 *4880:66 *5835:16 0.000141507 +62 *4880:66 *5838:16 0 +63 *4880:66 *5843:8 0.000123582 +64 *4880:66 *5843:18 0.0009598 +65 *4880:66 *5926:19 4.61962e-05 +66 *4880:66 *5926:93 0.000114955 +67 *4880:66 *5929:10 0.000346682 +68 *4880:66 *5929:99 7.6959e-05 +69 *4880:91 *25338:A 0.000139817 +70 *4880:91 *5927:16 0.000322871 +71 *4880:93 *25338:A 5.98058e-05 +72 *4880:93 *5927:16 0.000150554 +73 *4880:106 *25338:A 0.000627947 +74 *4880:106 *5800:49 0.000110463 +75 *4880:106 *5821:18 0.00017218 +76 *4880:106 *5927:16 0.000268557 +77 *4880:106 *5927:24 0.000296588 +78 wb_dat_o[20] *4880:10 7.13655e-06 +79 wb_dat_o[21] *4880:10 1.58826e-05 +80 wb_dat_o[21] *4880:17 6.66393e-05 +81 wb_dat_o[22] *4880:17 1.86242e-05 +82 wb_dat_o[23] *4880:17 7.13655e-06 +83 *21593:B2 *24157:CLK 0.000319726 +84 *22069:A *4880:60 8.01987e-05 +85 *22073:A2 *24148:CLK 1.09551e-05 +86 *22073:A2 *4880:45 4.13166e-05 +87 *22073:A2 *4880:60 1.37189e-05 +88 *22073:B2 *4880:45 0.000217937 +89 *23240:B1 *4880:5 3.1616e-05 +90 *24132:D *24132:CLK 1.87611e-05 +91 *24133:D *24133:CLK 5.59587e-06 +92 *24133:D *4880:106 9.43255e-05 +93 *24135:D *4880:10 2.75459e-05 +94 *24148:D *4880:60 6.67095e-06 +95 *24154:D *4880:66 9.98172e-05 +96 *24157:D *24157:CLK 1.87611e-05 +97 *24159:D *24157:CLK 6.80864e-05 +98 *24160:D *4880:66 9.60216e-05 +99 *25195:A *24157:CLK 0.000243663 +100 *25196:A *24157:CLK 7.77309e-06 +101 *2330:10 *24157:CLK 0.000313709 +102 *2330:16 *24157:CLK 0.00046279 +103 *2330:16 *4880:66 8.67988e-05 +104 *2330:18 *4880:66 0.000215658 +105 *2330:22 *4880:66 0.000290218 +106 *3079:24 *4880:60 0.000288665 +107 *3083:15 *24133:CLK 5.13763e-06 +108 *3791:15 *4880:5 6.69603e-05 +109 *3796:75 *4880:5 3.47971e-05 +110 *3917:19 *4880:5 0.000156946 +111 *4006:17 *24152:CLK 0.000379666 +112 *4006:17 *4880:66 0.000110297 +113 *4143:46 *4880:5 6.08467e-05 +114 *4149:11 *4880:5 0.000643382 +115 *4149:11 *4880:10 0.000213725 +*RES +1 *24941:X *4880:5 38.8119 +2 *4880:5 *4880:10 17.8547 +3 *4880:10 *24135:CLK 13.7491 +4 *4880:10 *4880:17 23.0099 +5 *4880:17 *24145:CLK 15.0271 +6 *4880:17 *4880:26 6.81502 +7 *4880:26 *24146:CLK 22.7916 +8 *4880:26 *4880:35 10.137 +9 *4880:35 *24149:CLK 13.7491 +10 *4880:35 *4880:45 17.3114 +11 *4880:45 *24148:CLK 9.82786 +12 *4880:45 *4880:60 27.3619 +13 *4880:60 *4880:66 49.868 +14 *4880:66 *24153:CLK 13.7491 +15 *4880:66 *24157:CLK 48.8689 +16 *4880:60 *24152:CLK 13.8548 +17 *4880:5 *4880:91 10.4845 +18 *4880:91 *4880:93 2.6625 +19 *4880:93 *24133:CLK 17.125 +20 *4880:93 *4880:106 18.3444 +21 *4880:106 *24132:CLK 23.6694 +22 *4880:106 *24130:CLK 13.7491 +23 *4880:91 *24136:CLK 14.4725 +*END + +*D_NET *4881 0.0696275 +*CONN +*I *24178:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24179:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24757:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *6216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19567:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6138:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *24704:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *6217:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *24184:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24776:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24782:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24783:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24181:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24183:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24177:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24180:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24236:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24185:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24176:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24942:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24178:CLK 0 +2 *24179:CLK 0 +3 *24757:CLK 2.06324e-05 +4 *6216:DIODE 0.000214866 +5 *19567:A1 0 +6 *6138:DIODE 0 +7 *24704:CLK 3.5247e-05 +8 *6217:DIODE 5.38389e-05 +9 *24184:CLK 0.000401349 +10 *24776:CLK 0.000437998 +11 *24782:CLK 0 +12 *24783:CLK 2.57738e-05 +13 *24181:CLK 0.000173922 +14 *24183:CLK 0.000355541 +15 *24177:CLK 0 +16 *24180:CLK 8.60914e-05 +17 *24236:CLK 0.000824233 +18 *24185:CLK 0.000502891 +19 *24176:CLK 0.000345098 +20 *24942:X 0.000547043 +21 *4881:193 0.000418143 +22 *4881:187 0.00407096 +23 *4881:185 0.00480116 +24 *4881:182 0.00104411 +25 *4881:177 0.00360832 +26 *4881:169 0.00654364 +27 *4881:155 0.00314188 +28 *4881:140 0.00112406 +29 *4881:135 0.00144426 +30 *4881:132 0.000595968 +31 *4881:125 0.000352567 +32 *4881:100 0.00105542 +33 *4881:91 0.00111255 +34 *4881:81 0.000882561 +35 *4881:78 0.00115797 +36 *4881:61 0.00102308 +37 *4881:54 0.000367896 +38 *4881:45 0.000338834 +39 *4881:30 0.000943109 +40 *4881:19 0.000780491 +41 *4881:10 0.000987323 +42 *4881:5 0.00108609 +43 *24176:CLK *6011:8 0.000108054 +44 *24181:CLK *23410:B1 6.27782e-05 +45 *24184:CLK *5671:294 0.000144178 +46 *24185:CLK *22066:A 0.000113107 +47 *24185:CLK *5671:225 0.000103023 +48 *24185:CLK *5671:227 0.000295177 +49 *24185:CLK *5815:15 9.75148e-06 +50 *24236:CLK *24236:RESET_B 9.18811e-05 +51 *24236:CLK *5458:108 0.000441565 +52 *24236:CLK *5671:232 2.22198e-05 +53 *24236:CLK *5815:15 0.000200784 +54 *24776:CLK *20183:A1 0.00041971 +55 *24776:CLK *23961:A1 6.63327e-05 +56 *24776:CLK *24776:RESET_B 0.000753536 +57 *24776:CLK *5932:13 0.000100692 +58 *4881:10 *22118:A 0.00015298 +59 *4881:10 *6001:211 4.40506e-05 +60 *4881:19 *6001:211 8.52624e-05 +61 *4881:30 *6001:211 4.12119e-05 +62 *4881:45 *6001:211 4.3116e-06 +63 *4881:54 *6001:211 6.02424e-05 +64 *4881:61 *6001:211 5.73596e-05 +65 *4881:78 *24181:RESET_B 9.76858e-05 +66 *4881:78 *5671:301 6.43474e-05 +67 *4881:78 *6001:211 0 +68 *4881:91 *20084:B1 0 +69 *4881:91 *23963:A0 9.25219e-05 +70 *4881:91 *5472:6 0.000179271 +71 *4881:100 *20084:B1 0 +72 *4881:100 *23961:A1 8.99731e-05 +73 *4881:100 *5471:10 0 +74 *4881:135 *19668:A 0.000365316 +75 *4881:140 *6001:8 2.72089e-05 +76 *4881:169 *21150:A1 3.21758e-05 +77 *4881:169 *24189:RESET_B 0.000357912 +78 *4881:169 *5671:189 2.21184e-05 +79 *4881:169 *5861:5 0.000406909 +80 *4881:169 *5861:25 6.13007e-05 +81 *4881:169 *5868:317 9.55447e-05 +82 *4881:177 *24587:RESET_B 1.41291e-05 +83 *4881:177 *5458:104 0.000185942 +84 *4881:177 *5861:25 0.00020502 +85 *4881:177 *5861:43 0.000498675 +86 *4881:177 *5867:310 0.000109522 +87 *4881:177 *5867:321 0.000473996 +88 *4881:177 *5925:22 0.000922723 +89 *4881:185 *21608:A 0.000171288 +90 *4881:185 *5888:13 0.000244658 +91 *4881:187 *20733:B1 0.000256037 +92 *4881:187 *24415:RESET_B 5.37479e-05 +93 *19666:A *4881:135 0.000391845 +94 *19668:B *4881:135 0.000153516 +95 *19669:B2 *4881:135 1.32509e-05 +96 *19669:B2 *4881:155 6.92705e-05 +97 *20182:A *4881:91 0 +98 *20182:A *4881:100 0 +99 *20184:A *24776:CLK 6.92705e-05 +100 *20305:A2 *4881:10 7.57865e-05 +101 *20305:A2 *4881:19 7.27725e-05 +102 *20541:B2 *4881:182 4.01315e-05 +103 *20733:A2 *4881:187 1.31166e-05 +104 *20733:B2 *4881:187 0.000109879 +105 *21055:B *24236:CLK 0.000144531 +106 *21056:A *4881:19 3.67708e-05 +107 *21056:A *4881:30 0.000158353 +108 *21056:A *4881:45 6.64609e-05 +109 *21056:A *4881:54 0.000158368 +110 *21056:B *24236:CLK 0.000553199 +111 *21056:B *4881:45 5.05252e-05 +112 *21056:B *4881:54 0.000149643 +113 *21057:C1 *24236:CLK 1.44467e-05 +114 *21254:A1 *4881:187 2.16355e-05 +115 *21254:B1 *4881:187 0.00135622 +116 *22067:B *24180:CLK 0.000207266 +117 *22104:A1 *24183:CLK 7.16893e-05 +118 *22104:A2 *24183:CLK 1.75e-05 +119 *22848:A *24181:CLK 0 +120 *22848:A *24184:CLK 0.000396822 +121 *22848:A *4881:54 0 +122 *22848:A *4881:61 0 +123 *22848:A *4881:78 0 +124 *22848:A *4881:81 0.000213725 +125 *22848:B *24184:CLK 4.82966e-05 +126 *22849:A *24184:CLK 0.000185148 +127 *24179:D *4881:132 0.000280451 +128 *24183:D *24183:CLK 1.03403e-05 +129 *24236:D *24236:CLK 0.000164515 +130 *24415:D *4881:187 6.36387e-05 +131 *24704:D *4881:140 1.84293e-05 +132 *24757:D *4881:169 9.63981e-05 +133 *24776:D *24776:CLK 0.000128091 +134 *24782:D *24776:CLK 3.01634e-05 +135 *24783:D *4881:91 4.61732e-05 +136 *24823:RESET_B *4881:100 0.000289145 +137 *24953:A *4881:185 0.0026646 +138 *24962:A *4881:187 0.0003989 +139 *25372:A *4881:169 4.82656e-05 +140 *491:7 *4881:185 0.000171273 +141 *566:15 *24776:CLK 0 +142 *566:15 *4881:100 0 +143 *566:106 *24181:CLK 0 +144 *566:106 *4881:78 7.14746e-05 +145 *566:106 *4881:91 0 +146 *566:109 *4881:81 2.20702e-05 +147 *566:110 *24181:CLK 0 +148 *566:110 *24236:CLK 0 +149 *1476:29 *4881:135 2.90489e-05 +150 *1477:12 *4881:125 0.000242119 +151 *1483:137 *4881:155 6.75302e-05 +152 *1483:137 *4881:169 2.11196e-05 +153 *1783:14 *4881:177 0.000503351 +154 *2018:44 *4881:185 5.04829e-06 +155 *2076:34 *4881:177 0.000912077 +156 *2197:14 *4881:54 0 +157 *2232:36 *4881:169 1.65872e-05 +158 *2360:21 *6216:DIODE 0.000190028 +159 *2460:15 *4881:187 1.5935e-05 +160 *2460:20 *6216:DIODE 0.000188843 +161 *2460:20 *4881:187 0.00110516 +162 *2460:20 *4881:193 0.000297051 +163 *2530:29 *4881:185 0.00265619 +164 *2530:29 *4881:187 2.77625e-06 +165 *2570:36 *6216:DIODE 0.000190028 +166 *2886:8 *4881:182 0.000223047 +167 *2893:13 *4881:177 0 +168 *3860:15 *24183:CLK 0.00116458 +169 *3860:27 *4881:169 1.6097e-05 +170 *4034:34 *4881:135 0.00013597 +171 *4034:34 *4881:140 0.000978345 +172 *4138:143 *4881:125 0.000247216 +173 *4138:143 *4881:132 0.000336155 +174 *4138:143 *4881:135 0 +175 *4138:143 *4881:140 0 +176 *4138:152 *4881:5 4.66492e-05 +177 *4138:152 *4881:10 0.000351426 +178 *4665:82 *4881:5 0.000318456 +179 *4700:11 *24176:CLK 0.000205261 +180 *4837:8 *4881:125 6.03391e-06 +181 *4837:8 *4881:132 2.04806e-05 +182 *4837:8 *4881:135 0.000157702 +183 *4842:52 *4881:169 0.000183584 +184 *4844:653 *4881:182 5.2504e-06 +185 *4844:665 *4881:182 3.98548e-05 +186 *4845:10 *4881:78 7.3923e-05 +*RES +1 *24942:X *4881:5 18.2916 +2 *4881:5 *4881:10 14.5355 +3 *4881:10 *24176:CLK 23.3462 +4 *4881:10 *4881:19 5.98452 +5 *4881:19 *24185:CLK 26.6979 +6 *4881:19 *4881:30 3.07775 +7 *4881:30 *24236:CLK 45.5728 +8 *4881:30 *4881:45 1.41674 +9 *4881:45 *24180:CLK 16.1364 +10 *4881:45 *4881:54 6.81502 +11 *4881:54 *24177:CLK 13.7491 +12 *4881:54 *4881:61 3.90826 +13 *4881:61 *24183:CLK 27.2525 +14 *4881:61 *4881:78 18.4476 +15 *4881:78 *4881:81 10.7694 +16 *4881:81 *24181:CLK 17.9655 +17 *4881:81 *4881:91 13.4591 +18 *4881:91 *24783:CLK 14.4819 +19 *4881:91 *4881:100 16.7811 +20 *4881:100 *24782:CLK 13.7491 +21 *4881:100 *24776:CLK 31.5788 +22 *4881:78 *24184:CLK 22.1738 +23 *4881:5 *4881:125 9.23876 +24 *4881:125 *4881:132 13.4504 +25 *4881:132 *4881:135 18.7896 +26 *4881:135 *4881:140 29.075 +27 *4881:140 *6217:DIODE 9.97254 +28 *4881:140 *24704:CLK 10.2378 +29 *4881:135 *4881:155 6.88721 +30 *4881:155 *4881:169 48.2987 +31 *4881:169 *4881:177 49.1233 +32 *4881:177 *4881:182 11.9847 +33 *4881:182 *4881:185 43.7172 +34 *4881:185 *4881:187 61.1752 +35 *4881:187 *6138:DIODE 9.24915 +36 *4881:187 *4881:193 3.49641 +37 *4881:193 *19567:A1 9.24915 +38 *4881:193 *6216:DIODE 24.1294 +39 *4881:155 *24757:CLK 9.82786 +40 *4881:132 *24179:CLK 9.24915 +41 *4881:125 *24178:CLK 13.7491 +*END + +*D_NET *4882 0.0499515 +*CONN +*I *24182:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24876:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24151:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24160:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24781:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24780:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24779:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24778:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24777:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24158:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24159:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24155:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24154:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24156:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24150:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24134:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24147:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24943:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *24182:CLK 3.57902e-05 +2 *24876:CLK 0.000916469 +3 *24151:CLK 0 +4 *24160:CLK 0 +5 *24781:CLK 0.000105079 +6 *24780:CLK 0.000402937 +7 *24779:CLK 0.000204789 +8 *24778:CLK 0.000202124 +9 *24777:CLK 0 +10 *24158:CLK 0.00017049 +11 *24159:CLK 0 +12 *24155:CLK 0 +13 *24154:CLK 0 +14 *24156:CLK 0 +15 *24150:CLK 0 +16 *24134:CLK 0.000244165 +17 *24147:CLK 0.000169583 +18 *24943:X 0 +19 *4882:168 0.00153427 +20 *4882:142 0.000763376 +21 *4882:132 0.000678642 +22 *4882:116 0.000285692 +23 *4882:113 0.00131492 +24 *4882:103 0.00138651 +25 *4882:96 0.000585158 +26 *4882:87 0.000719037 +27 *4882:83 0.000623777 +28 *4882:74 0.000718146 +29 *4882:62 0.000639136 +30 *4882:57 0.000507155 +31 *4882:39 0.000733862 +32 *4882:22 0.000661624 +33 *4882:15 0.00200799 +34 *4882:11 0.00240003 +35 *4882:4 0.00165636 +36 *24134:CLK *22075:B1 1.03403e-05 +37 *24134:CLK *25344:A 0.000763662 +38 *24134:CLK *5800:49 0.000113119 +39 *24134:CLK *5824:20 0.000141444 +40 *24134:CLK *5829:20 0.000763662 +41 *24147:CLK *5824:20 6.3657e-05 +42 *24158:CLK *5838:16 8.00203e-05 +43 *24778:CLK *21046:A 7.60465e-05 +44 *24778:CLK *21046:B 6.50727e-05 +45 *24778:CLK *5934:9 1.00846e-05 +46 *24779:CLK *23957:A1 0.000450502 +47 *24780:CLK *23958:A1 0 +48 *24780:CLK *24779:RESET_B 0 +49 *24780:CLK *5671:346 0 +50 *24780:CLK *5907:60 0 +51 *24781:CLK *5906:52 0.000383717 +52 *4882:15 *5836:27 0.000858845 +53 *4882:22 *5800:49 0.000110463 +54 *4882:22 *5827:20 8.82443e-05 +55 *4882:22 *5832:10 0.00075964 +56 *4882:22 *5931:16 0.000634961 +57 *4882:39 *5800:49 0.000269392 +58 *4882:39 *5832:8 0.000163997 +59 *4882:39 *5832:10 0.00135895 +60 *4882:39 *5931:16 0.00152144 +61 *4882:57 *5832:8 0.000147691 +62 *4882:57 *5837:31 0 +63 *4882:57 *5931:16 0.000242134 +64 *4882:62 *21747:B1 8.45633e-05 +65 *4882:62 *5455:56 0.000800529 +66 *4882:62 *5800:49 0.000104172 +67 *4882:62 *5837:31 0.000708891 +68 *4882:74 *5837:10 8.2932e-06 +69 *4882:74 *5838:20 2.1136e-05 +70 *4882:83 *5838:16 0.0001165 +71 *4882:83 *5931:14 8.33259e-05 +72 *4882:83 *5931:16 0.000118444 +73 *4882:87 *5455:56 0.000387625 +74 *4882:87 *5929:9 2.44829e-05 +75 *4882:87 *5931:14 0 +76 *4882:96 *25317:A 4.65545e-06 +77 *4882:96 *5455:56 0.00095531 +78 *4882:96 *5931:48 0 +79 *4882:96 *5932:11 0 +80 *4882:103 *5906:52 0.000430366 +81 *4882:113 *21050:A 0.000199684 +82 *4882:113 *22882:A 6.3657e-05 +83 *4882:113 *5842:10 9.19632e-06 +84 *4882:113 *5906:52 0.00446395 +85 *4882:132 *5906:52 0.000963687 +86 *4882:142 *5906:52 0.000937896 +87 spimemio_flash_io1_di *4882:87 1.93781e-05 +88 spimemio_flash_io1_di *4882:96 0.000145665 +89 *20188:A *24780:CLK 5.04734e-05 +90 *20191:S *24780:CLK 0 +91 *21747:B2 *4882:74 0.000205687 +92 *22893:A *4882:113 0.000497635 +93 *22893:D *4882:113 0.000111722 +94 *23071:A *4882:15 0.000111802 +95 *23204:B_N *24876:CLK 0.000127164 +96 *23206:A0 *4882:113 6.08467e-05 +97 *23206:A1 *4882:113 2.61012e-05 +98 *23219:A *4882:113 6.50586e-05 +99 *23402:B *4882:11 0.000311235 +100 *23404:A *4882:11 0.000107065 +101 *23404:B *4882:11 0.000304857 +102 *23405:B1 *4882:11 0.00133181 +103 *23409:A *24876:CLK 7.15724e-05 +104 *23413:A *24876:CLK 6.02377e-05 +105 *23456:A *4882:168 6.08467e-05 +106 *23456:B *4882:168 0.000113968 +107 *24147:D *24147:CLK 1.87611e-05 +108 *24151:D *4882:57 5.33672e-05 +109 *24156:D *4882:74 6.67095e-06 +110 *24157:CLK *24158:CLK 0.000336125 +111 *24159:D *4882:103 0.000535332 +112 *24777:D *24778:CLK 3.90891e-05 +113 *24778:D *24778:CLK 0 +114 *25189:A *4882:83 0.000186134 +115 *25190:A *4882:87 1.66771e-05 +116 *25191:A *4882:96 0.000232303 +117 *657:224 *24876:CLK 0 +118 *657:228 *24876:CLK 1.77537e-06 +119 *2187:9 *24778:CLK 0.000339206 +120 *2187:9 *4882:116 0.000148129 +121 *2187:33 *24778:CLK 5.77352e-05 +122 *2191:7 *4882:113 3.94667e-05 +123 *2329:16 *4882:74 0.000121036 +124 *2329:25 *4882:74 3.31882e-05 +125 *2329:25 *4882:83 0.000553202 +126 *3792:5 *4882:113 7.13972e-05 +127 *3803:10 *4882:113 1.92793e-05 +128 *3971:8 *24876:CLK 0.000509768 +129 *3980:7 *4882:15 0.000270109 +130 *3980:282 *4882:11 0.000128107 +131 *3980:282 *4882:15 0.000117097 +132 *3989:11 *4882:87 0.000199733 +133 *4115:8 *4882:113 1.31764e-05 +134 *4126:26 *4882:11 0.000309847 +135 *4126:45 *4882:11 0.00096144 +136 *4128:8 *4882:113 2.9373e-05 +137 *4211:31 *24876:CLK 0.0001854 +138 *4320:12 *24876:CLK 9.60366e-05 +139 *4363:7 *4882:168 6.50727e-05 +140 *4371:30 *24876:CLK 0.000640088 +141 *4874:29 *24182:CLK 4.56831e-05 +142 *4874:29 *4882:11 5.07314e-05 +143 *4874:29 *4882:168 0.000507267 +*RES +1 *24943:X *4882:4 9.24915 +2 *4882:4 *4882:11 37.5443 +3 *4882:11 *4882:15 37.3904 +4 *4882:15 *4882:22 18.8628 +5 *4882:22 *24147:CLK 12.7456 +6 *4882:22 *24134:CLK 33.1256 +7 *4882:15 *4882:39 26.7471 +8 *4882:39 *24150:CLK 13.7491 +9 *4882:39 *4882:57 13.2603 +10 *4882:57 *4882:62 22.8743 +11 *4882:62 *24156:CLK 9.24915 +12 *4882:62 *4882:74 13.0649 +13 *4882:74 *24154:CLK 13.7491 +14 *4882:74 *4882:83 18.3743 +15 *4882:83 *4882:87 16.4752 +16 *4882:87 *24155:CLK 13.7491 +17 *4882:87 *4882:96 21.2811 +18 *4882:96 *24159:CLK 9.24915 +19 *4882:96 *4882:103 8.51196 +20 *4882:103 *24158:CLK 24.2337 +21 *4882:103 *4882:113 48.9739 +22 *4882:113 *4882:116 7.1625 +23 *4882:116 *24777:CLK 13.7491 +24 *4882:116 *24778:CLK 21.8422 +25 *4882:113 *4882:132 10.1517 +26 *4882:132 *24779:CLK 25.8947 +27 *4882:132 *4882:142 10.1517 +28 *4882:142 *24780:CLK 27.5557 +29 *4882:142 *24781:CLK 13.8789 +30 *4882:83 *24160:CLK 9.24915 +31 *4882:57 *24151:CLK 9.24915 +32 *4882:4 *4882:168 16.8069 +33 *4882:168 *24876:CLK 47.8776 +34 *4882:168 *24182:CLK 10.5271 +*END + +*D_NET *4883 0.0113682 +*CONN +*I *24843:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24885:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24882:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24887:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24881:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24879:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24878:CLK I *D sky130_fd_sc_hd__dfstp_2 +*I *24880:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24944:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24843:CLK 0.000210914 +2 *24885:CLK 0.000378669 +3 *24882:CLK 0 +4 *24887:CLK 0.000283468 +5 *24881:CLK 2.81228e-05 +6 *24879:CLK 0 +7 *24878:CLK 0.000262874 +8 *24880:CLK 0.000262132 +9 *24944:X 0.000246646 +10 *4883:62 0.000623642 +11 *4883:50 0.000785473 +12 *4883:41 0.000399052 +13 *4883:18 0.000660128 +14 *4883:16 0.000412069 +15 *4883:15 0.000564944 +16 *4883:7 0.000631662 +17 *24880:CLK *24880:RESET_B 0.000113968 +18 *24885:CLK *5807:5 4.58003e-05 +19 *24885:CLK *5807:10 6.23875e-05 +20 *24885:CLK *5811:12 2.41274e-06 +21 *24887:CLK *24887:RESET_B 1.91114e-05 +22 *24887:CLK *5852:53 1.03403e-05 +23 *4883:50 *19637:A0 1.05272e-06 +24 *4883:62 *19637:A0 8.54931e-06 +25 *4883:62 *5955:8 6.71695e-05 +26 *24878:D *24878:CLK 0.000194719 +27 *24882:D *24885:CLK 6.87482e-05 +28 *24944:A *4883:15 2.57986e-05 +29 *1470:40 *24880:CLK 0.00024399 +30 *1470:40 *4883:18 8.36615e-05 +31 *1470:50 *4883:16 0.000156842 +32 *1470:50 *4883:18 7.41203e-05 +33 *1470:62 *4883:16 0 +34 *1470:62 *4883:41 0 +35 *1470:62 *4883:50 0 +36 *1692:8 *24880:CLK 0.000571193 +37 *1692:8 *4883:16 0.000546532 +38 *1692:8 *4883:18 0.00035359 +39 *1692:8 *4883:41 0.000188012 +40 *1692:8 *4883:50 0.000372767 +41 *1692:8 *4883:62 0.000364268 +42 *1731:50 *24887:CLK 0.000295194 +43 *2447:9 *24885:CLK 0.000525335 +44 *2447:9 *4883:62 7.36794e-05 +45 *2561:25 *24881:CLK 4.95311e-05 +46 *2619:5 *24843:CLK 6.85033e-05 +47 *2619:19 *24843:CLK 0.000145953 +48 *2619:19 *4883:15 0.000164854 +49 *2632:19 *24881:CLK 2.81181e-05 +50 *2955:5 *24878:CLK 0.000377813 +51 *3177:105 *24887:CLK 0.000161859 +52 *4878:39 *24843:CLK 0.000152523 +53 *4878:40 *4883:62 0 +*RES +1 *24944:X *4883:7 14.0115 +2 *4883:7 *4883:15 10.1304 +3 *4883:15 *4883:16 10.137 +4 *4883:16 *4883:18 6.39977 +5 *4883:18 *24880:CLK 25.1642 +6 *4883:18 *24878:CLK 23.3462 +7 *4883:16 *24879:CLK 13.7491 +8 *4883:15 *4883:41 3.493 +9 *4883:41 *24881:CLK 15.0271 +10 *4883:41 *4883:50 7.23027 +11 *4883:50 *24887:CLK 23.3462 +12 *4883:50 *4883:62 13.1476 +13 *4883:62 *24882:CLK 9.24915 +14 *4883:62 *24885:CLK 22.7284 +15 *4883:7 *24843:CLK 18.2554 +*END + +*D_NET *4884 0.0308872 +*CONN +*I *24589:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24591:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24592:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24400:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24188:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24190:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24192:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24191:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24398:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24189:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24187:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24472:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24399:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24402:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24587:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24945:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24589:CLK 0 +2 *24591:CLK 0.000191623 +3 *24592:CLK 0.000167746 +4 *24400:CLK 0 +5 *24188:CLK 0 +6 *24190:CLK 0.000291922 +7 *24192:CLK 0.00047758 +8 *24191:CLK 0.000227142 +9 *24398:CLK 6.54964e-05 +10 *24189:CLK 0.000501285 +11 *24187:CLK 0 +12 *24472:CLK 0.000600139 +13 *24399:CLK 0.00016362 +14 *24402:CLK 0.000504571 +15 *24587:CLK 0.00030962 +16 *24945:X 0 +17 *4884:144 0.000844444 +18 *4884:138 0.000572593 +19 *4884:117 0.000984624 +20 *4884:114 0.0010429 +21 *4884:76 0.000566782 +22 *4884:60 0.00104814 +23 *4884:46 0.000970288 +24 *4884:44 0.000577377 +25 *4884:42 0.000760569 +26 *4884:40 0.000853948 +27 *4884:39 0.000479611 +28 *4884:35 0.000524522 +29 *4884:28 0.000713307 +30 *4884:15 0.000828536 +31 *4884:5 0.000464556 +32 *24189:CLK *21767:A 1.92336e-05 +33 *24189:CLK *5182:7 3.06209e-05 +34 *24190:CLK *5907:54 1.47743e-05 +35 *24191:CLK *23062:B1 7.34948e-06 +36 *24191:CLK *24191:RESET_B 4.70567e-05 +37 *24191:CLK *5856:28 2.49891e-05 +38 *24192:CLK *5868:349 2.23259e-05 +39 *24192:CLK *5868:369 1.70204e-05 +40 *24399:CLK *24399:RESET_B 9.5562e-05 +41 *24399:CLK *5815:24 0.000196638 +42 *24399:CLK *5856:33 1.64943e-05 +43 *24399:CLK *5868:306 4.27003e-05 +44 *24399:CLK *5868:312 2.71397e-05 +45 *24402:CLK *21408:A 0 +46 *24402:CLK *24402:RESET_B 0.000253289 +47 *24472:CLK *5868:262 5.22855e-05 +48 *24587:CLK *5861:5 0.000171273 +49 *24592:CLK *19967:A 2.29151e-05 +50 *24592:CLK *5242:8 6.08697e-06 +51 *4884:35 *24589:RESET_B 0.000461961 +52 *4884:35 *5022:8 3.34121e-05 +53 *4884:44 *5856:28 1.14755e-05 +54 *4884:44 *5868:317 5.1573e-05 +55 *4884:46 *21767:A 3.10924e-05 +56 *4884:46 *5815:24 0.000599424 +57 *4884:46 *5868:312 0.000268756 +58 *4884:46 *5868:317 3.14544e-05 +59 *4884:46 *5921:63 4.15661e-05 +60 *4884:117 *6020:16 0.000174175 +61 *4884:117 *6020:25 0.00225954 +62 *4884:138 *24589:RESET_B 0.0001195 +63 *4884:138 *5022:8 0.000266846 +64 *4884:144 *5856:16 2.57071e-05 +65 *20398:B1 *24591:CLK 0.000408745 +66 *20476:B2 *24591:CLK 0.000171288 +67 *20754:A2 *4884:15 0.00010556 +68 *20754:A2 *4884:28 3.88655e-05 +69 *21151:B2 *4884:117 0.000240119 +70 *21152:A2 *24189:CLK 6.50586e-05 +71 *21152:A2 *24398:CLK 0.000199527 +72 *21152:B2 *24189:CLK 6.08467e-05 +73 *21153:B1 *24592:CLK 9.0014e-05 +74 *21153:B1 *4884:144 0.00011818 +75 *24188:D *4884:114 0.000127179 +76 *24189:D *24189:CLK 0.000407518 +77 *24189:D *24398:CLK 2.65831e-05 +78 *24191:D *24191:CLK 0.00016279 +79 *24400:D *4884:35 0.00010664 +80 *24591:D *24591:CLK 1.43983e-05 +81 *1619:26 *24402:CLK 8.07316e-06 +82 *1724:8 *24592:CLK 0.000123582 +83 *1724:8 *4884:144 0 +84 *1971:22 *24592:CLK 4.26659e-05 +85 *2057:31 *24587:CLK 0.000160592 +86 *2057:40 *24587:CLK 5.8261e-05 +87 *2057:40 *4884:15 1.69932e-05 +88 *2232:39 *4884:42 0.000571163 +89 *2232:39 *4884:44 0.000191541 +90 *2232:46 *4884:40 0.000201734 +91 *2232:46 *4884:42 0.000174206 +92 *2232:46 *4884:114 0.000559208 +93 *2232:59 *4884:114 4.65133e-05 +94 *2233:8 *4884:117 0.000711565 +95 *2233:10 *4884:117 0.00093052 +96 *2233:12 *4884:114 0.000113333 +97 *2233:12 *4884:117 0.000393344 +98 *2233:14 *4884:40 9.02862e-05 +99 *2233:14 *4884:42 8.01741e-05 +100 *2233:14 *4884:114 0.000225475 +101 *2233:19 *4884:42 0.000162352 +102 *2233:19 *4884:44 9.2346e-06 +103 *2592:6 *4884:28 4.73076e-05 +104 *3857:18 *24472:CLK 0.000720035 +105 *3860:27 *24587:CLK 6.99486e-05 +106 *4003:36 *24472:CLK 0.000178443 +107 *4106:47 *24189:CLK 0.000109889 +108 *4126:53 *24587:CLK 2.99929e-05 +109 *4146:26 *24472:CLK 0.00112207 +110 *4739:5 *4884:114 6.23875e-05 +111 *4838:68 *24399:CLK 9.5562e-05 +112 *4839:36 *24592:CLK 0.000143032 +113 *4841:22 *4884:117 5.0459e-05 +114 *4841:40 *24592:CLK 0 +115 *4841:40 *4884:15 8.07127e-05 +116 *4841:40 *4884:28 0.000111267 +117 *4841:40 *4884:144 0 +118 *4841:44 *24587:CLK 0.000123529 +119 *4841:44 *4884:15 2.7387e-05 +120 *4842:42 *4884:42 0.000203865 +121 *4842:42 *4884:44 0.000174175 +122 *4842:52 *4884:44 3.65842e-05 +123 *4842:52 *4884:46 8.62321e-06 +124 *4844:26 *4884:117 0 +125 *4844:41 *24591:CLK 4.38577e-05 +126 *4844:41 *4884:144 5.67857e-05 +*RES +1 *24945:X *4884:5 13.7491 +2 *4884:5 *24587:CLK 24.8883 +3 *4884:5 *4884:15 5.15401 +4 *4884:15 *24402:CLK 21.5618 +5 *4884:15 *4884:28 9.65401 +6 *4884:28 *4884:35 13.1417 +7 *4884:35 *4884:39 5.778 +8 *4884:39 *4884:40 3.493 +9 *4884:40 *4884:42 13.4591 +10 *4884:42 *4884:44 6.81502 +11 *4884:44 *4884:46 13.4591 +12 *4884:46 *24399:CLK 20.184 +13 *4884:46 *4884:60 13.5666 +14 *4884:60 *24472:CLK 43.0972 +15 *4884:60 *24187:CLK 9.24915 +16 *4884:44 *4884:76 4.5 +17 *4884:76 *24189:CLK 24.778 +18 *4884:76 *24398:CLK 11.6364 +19 *4884:42 *24191:CLK 20.0186 +20 *4884:40 *24192:CLK 23.3462 +21 *4884:39 *4884:114 19.7917 +22 *4884:114 *4884:117 46.6115 +23 *4884:117 *24190:CLK 18.2342 +24 *4884:114 *24188:CLK 9.24915 +25 *4884:35 *24400:CLK 9.24915 +26 *4884:28 *4884:138 4.48505 +27 *4884:138 *4884:144 21.5242 +28 *4884:144 *24592:CLK 24.6489 +29 *4884:144 *24591:CLK 16.0732 +30 *4884:138 *24589:CLK 9.24915 +*END + +*D_NET *4885 0.0233688 +*CONN +*I *24537:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24586:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24535:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24802:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24533:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24804:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24534:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24803:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24801:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24194:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24588:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24193:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24585:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24800:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24946:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24537:CLK 0 +2 *24586:CLK 0.000493814 +3 *24535:CLK 0.000190486 +4 *24802:CLK 5.71587e-05 +5 *24533:CLK 0.000170738 +6 *24804:CLK 0.00105656 +7 *24534:CLK 1.59132e-05 +8 *24803:CLK 0.000325327 +9 *24801:CLK 0 +10 *24194:CLK 0.000212913 +11 *24588:CLK 0.000110703 +12 *24193:CLK 9.2604e-05 +13 *24585:CLK 0.000320825 +14 *24800:CLK 0.000116193 +15 *24946:X 0 +16 *4885:137 0.00109955 +17 *4885:120 0.00034876 +18 *4885:111 0.000540997 +19 *4885:100 0.00146346 +20 *4885:91 0.000395842 +21 *4885:79 0.00079844 +22 *4885:35 0.000637265 +23 *4885:31 0.000499065 +24 *4885:29 0.000657774 +25 *4885:24 0.00103187 +26 *4885:12 0.00105201 +27 *4885:7 0.000811181 +28 *4885:4 0.0010017 +29 *24193:CLK *5458:108 7.89747e-05 +30 *24533:CLK *20146:A1 0.000328035 +31 *24534:CLK *20145:A1 2.65831e-05 +32 *24534:CLK *21567:A 2.65831e-05 +33 *24535:CLK *22863:B 0.000166548 +34 *24535:CLK *22867:A 0 +35 *24535:CLK *22867:B 0 +36 *24535:CLK *5658:13 0.000113199 +37 *24585:CLK *21148:A1 0.000218621 +38 *24800:CLK *20147:A1 1.93378e-05 +39 *24802:CLK *5656:26 6.46998e-05 +40 *24802:CLK *5661:17 0.00012316 +41 *24804:CLK *5673:19 6.28675e-05 +42 *24804:CLK *5868:494 2.41916e-05 +43 *4885:7 *5907:47 0.000612765 +44 *4885:12 *20147:A1 7.28028e-05 +45 *4885:29 *21151:A1 0.000111722 +46 *4885:79 *20147:A1 0.000143032 +47 *4885:137 *19807:A 0 +48 *4885:137 *20148:A1 0 +49 *20146:A2 *4885:111 7.8173e-05 +50 *20148:B2 *24800:CLK 5.56367e-05 +51 *20148:B2 *4885:12 0.000152847 +52 *20148:B2 *4885:24 2.16355e-05 +53 *20148:B2 *4885:79 1.68417e-05 +54 *20556:B1 *24535:CLK 8.36615e-05 +55 *20556:B1 *4885:111 2.82537e-05 +56 *20556:B1 *4885:120 7.52398e-05 +57 *21151:A2 *4885:29 0.000222684 +58 *24193:D *24193:CLK 1.03403e-05 +59 *24535:D *24535:CLK 2.60879e-06 +60 *24537:D *24586:CLK 3.44412e-06 +61 *24537:D *4885:137 3.62414e-05 +62 *24586:D *24586:CLK 4.43826e-05 +63 *24801:D *4885:24 8.09995e-05 +64 *24802:D *4885:120 0.000122083 +65 *24803:D *24803:CLK 4.03381e-05 +66 *24803:D *4885:91 0.000101472 +67 *1856:8 *4885:137 0 +68 *1857:17 *4885:79 0.00018078 +69 *1857:17 *4885:91 2.04806e-05 +70 *1857:24 *4885:91 1.79196e-05 +71 *1857:24 *4885:100 7.34861e-05 +72 *1857:33 *4885:100 1.87469e-05 +73 *1857:33 *4885:111 0.000138386 +74 *2232:67 *24585:CLK 0.000148129 +75 *2232:67 *4885:31 7.06708e-05 +76 *2232:67 *4885:35 0.000574518 +77 *4828:13 *4885:137 0 +78 *4832:10 *24586:CLK 3.20407e-05 +79 *4832:10 *4885:137 0.00118235 +80 *4838:22 *4885:79 0.000478656 +81 *4838:30 *24800:CLK 1.3813e-05 +82 *4839:30 *24586:CLK 4.62703e-05 +83 *4840:12 *4885:91 5.47736e-05 +84 *4840:12 *4885:100 9.57348e-05 +85 *4840:12 *4885:111 0.000190307 +86 *4841:33 *4885:24 3.53439e-05 +87 *4841:33 *4885:29 3.6455e-05 +88 *4841:36 *24800:CLK 0.000127164 +89 *4841:159 *24800:CLK 6.00242e-05 +90 *4841:175 *24533:CLK 0.000514331 +91 *4841:175 *4885:111 0 +92 *4841:175 *4885:120 0 +93 *4842:15 *24804:CLK 0.000217951 +94 *4842:21 *24804:CLK 0.000364356 +95 *4842:24 *4885:137 0 +96 *4844:26 *4885:29 0.000235613 +97 *4844:26 *4885:31 9.67652e-05 +98 *4844:41 *24585:CLK 1.09551e-05 +99 *4869:118 *24585:CLK 6.31665e-05 +100 *4869:118 *4885:29 0.0007529 +101 *4869:118 *4885:31 0.000391953 +102 *4869:118 *4885:35 0.000253621 +*RES +1 *24946:X *4885:4 9.24915 +2 *4885:4 *4885:7 11.324 +3 *4885:7 *4885:12 4.9586 +4 *4885:12 *24800:CLK 18.0727 +5 *4885:12 *4885:24 16.1949 +6 *4885:24 *4885:29 19.3764 +7 *4885:29 *4885:31 7.23027 +8 *4885:31 *4885:35 10.8698 +9 *4885:35 *24585:CLK 23.2839 +10 *4885:35 *24193:CLK 16.1364 +11 *4885:31 *24588:CLK 16.1364 +12 *4885:29 *24194:CLK 17.8243 +13 *4885:24 *24801:CLK 9.24915 +14 *4885:7 *4885:79 14.637 +15 *4885:79 *24803:CLK 14.2888 +16 *4885:79 *4885:91 9.54971 +17 *4885:91 *24534:CLK 14.4725 +18 *4885:91 *4885:100 4.32351 +19 *4885:100 *24804:CLK 30.9901 +20 *4885:100 *4885:111 9.30653 +21 *4885:111 *24533:CLK 24.4554 +22 *4885:111 *4885:120 3.493 +23 *4885:120 *24802:CLK 16.691 +24 *4885:120 *24535:CLK 20.1489 +25 *4885:4 *4885:137 25.4336 +26 *4885:137 *24586:CLK 22.5605 +27 *4885:137 *24537:CLK 13.7491 +*END + +*D_NET *4886 0.0172325 +*CONN +*I *24594:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24642:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24538:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24641:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24540:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24637:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24596:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24536:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24640:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24598:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24638:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24539:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24947:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24594:CLK 5.5449e-05 +2 *24642:CLK 0.000111325 +3 *24538:CLK 0 +4 *24641:CLK 0.000297791 +5 *24540:CLK 0.000294813 +6 *24637:CLK 0.000177092 +7 *24596:CLK 0.000273447 +8 *24536:CLK 0.000556736 +9 *24640:CLK 0 +10 *24598:CLK 0.000542945 +11 *24638:CLK 0 +12 *24539:CLK 0.000229284 +13 *24947:X 0 +14 *4886:89 0.000705652 +15 *4886:88 0.000519187 +16 *4886:80 0.000534372 +17 *4886:68 0.00099581 +18 *4886:65 0.000671353 +19 *4886:46 0.000995369 +20 *4886:37 0.000632926 +21 *4886:24 0.00108214 +22 *4886:17 0.000131321 +23 *4886:8 0.000452943 +24 *4886:4 0.000200543 +25 *24536:CLK *20555:A1 1.4091e-06 +26 *24536:CLK *22864:A2 4.88562e-05 +27 *24539:CLK *21567:A 0.000307898 +28 *24539:CLK *5868:531 0.000138824 +29 *24539:CLK *5887:13 0.000111708 +30 *24594:CLK *24594:SET_B 0.00012316 +31 *24596:CLK *20555:A1 2.42203e-05 +32 *24596:CLK *21711:A 0.000228593 +33 *24596:CLK *24536:RESET_B 0.000119378 +34 *24598:CLK *5672:11 0.000639942 +35 *24598:CLK *5932:13 0.000128915 +36 *24637:CLK *5898:255 7.48797e-05 +37 *24642:CLK *20551:A1 0 +38 *4886:8 *20552:A1 0.000118166 +39 *4886:8 *24594:SET_B 5.2504e-06 +40 *4886:8 *5277:8 3.00073e-05 +41 *4886:24 *24594:SET_B 4.3116e-06 +42 *4886:37 *5268:10 9.75356e-05 +43 *4886:37 *5868:723 0 +44 *4886:37 *5868:734 0 +45 *4886:46 *20555:A1 0.000170592 +46 *4886:46 *5661:10 0.00025439 +47 *4886:68 *21307:A 0.0002212 +48 *4886:80 *24540:RESET_B 0.000309598 +49 *4886:80 *24593:SET_B 0.000219054 +50 *4886:89 *20551:A1 0 +51 *4886:89 *20554:A1 0.000164842 +52 *20402:B2 *4886:37 0 +53 *20402:B2 *4886:46 0 +54 *20553:B2 *24641:CLK 0.000176652 +55 *20553:B2 *4886:89 0.000173302 +56 *24540:D *24540:CLK 0.0002353 +57 *24540:D *24642:CLK 7.50872e-05 +58 *24540:D *4886:80 5.04829e-06 +59 *24640:D *4886:46 7.56859e-06 +60 *1946:8 *4886:37 0 +61 *1946:10 *4886:68 0 +62 *1946:12 *24637:CLK 0 +63 *1946:12 *4886:68 0 +64 *1994:15 *24641:CLK 7.56672e-05 +65 *1994:15 *4886:89 3.02018e-05 +66 *1994:28 *24642:CLK 2.71858e-05 +67 *1994:28 *4886:89 0.000264249 +68 *2448:10 *4886:89 0 +69 *2602:10 *24641:CLK 0.000693614 +70 *2818:12 *24641:CLK 0 +71 *2853:8 *4886:37 0 +72 *2853:8 *4886:46 0 +73 *3033:16 *24642:CLK 5.39608e-05 +74 *3033:22 *4886:80 0.000165377 +75 *4839:257 *24594:CLK 0.000142393 +76 *4839:257 *4886:8 2.77625e-06 +77 *4839:257 *4886:68 0 +78 *4840:49 *24637:CLK 8.36326e-05 +79 *4840:49 *4886:68 0.000519197 +80 *4842:124 *4886:8 5.92192e-05 +81 *4842:124 *4886:17 0.000195139 +82 *4842:124 *4886:24 3.31733e-05 +83 *4843:22 *4886:8 0.000153225 +84 *4843:22 *4886:17 0.000186513 +85 *4843:22 *4886:24 0.000106246 +86 *4843:22 *4886:68 0 +87 *4843:38 *24641:CLK 0.000764545 +*RES +1 *24947:X *4886:4 9.24915 +2 *4886:4 *4886:8 8.9951 +3 *4886:8 *24539:CLK 22.7916 +4 *4886:8 *4886:17 3.493 +5 *4886:17 *24638:CLK 13.7491 +6 *4886:17 *4886:24 2.24725 +7 *4886:24 *24598:CLK 28.9405 +8 *4886:24 *4886:37 11.3828 +9 *4886:37 *24640:CLK 13.7491 +10 *4886:37 *4886:46 10.0693 +11 *4886:46 *24536:CLK 18.3398 +12 *4886:46 *24596:CLK 18.171 +13 *4886:4 *4886:65 0.988641 +14 *4886:65 *4886:68 21.2811 +15 *4886:68 *24637:CLK 19.0748 +16 *4886:68 *4886:80 12.9878 +17 *4886:80 *24540:CLK 17.1824 +18 *4886:80 *4886:88 4.5 +19 *4886:88 *4886:89 13.8743 +20 *4886:89 *24641:CLK 30.115 +21 *4886:89 *24538:CLK 13.7491 +22 *4886:88 *24642:CLK 17.7307 +23 *4886:65 *24594:CLK 12.7456 +*END + +*D_NET *4887 0.014155 +*CONN +*I *24567:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24600:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24622:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24619:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24639:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24593:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24595:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24599:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24569:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24597:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24948:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24567:CLK 0 +2 *24600:CLK 0.000166827 +3 *24622:CLK 0.000150634 +4 *24619:CLK 0 +5 *24639:CLK 0.000512058 +6 *24593:CLK 0.000329158 +7 *24595:CLK 0.000590794 +8 *24599:CLK 0 +9 *24569:CLK 2.57738e-05 +10 *24597:CLK 0.000244732 +11 *24948:X 0 +12 *4887:64 0.00074186 +13 *4887:61 0.000775183 +14 *4887:57 0.00065158 +15 *4887:48 0.000695536 +16 *4887:46 0.000553396 +17 *4887:26 0.000745735 +18 *4887:17 0.000278318 +19 *4887:8 0.000779212 +20 *4887:4 0.000713901 +21 *24593:CLK *24593:SET_B 9.46975e-05 +22 *24593:CLK *5125:7 4.65531e-05 +23 *24595:CLK *20465:A1 0.000376555 +24 *24595:CLK *23951:A1 0.00015324 +25 *24595:CLK *5887:10 0.000390843 +26 *24597:CLK *20467:A1 0.000118166 +27 *24597:CLK *24597:RESET_B 4.70402e-05 +28 *24597:CLK *5906:38 0.00034865 +29 *24600:CLK *5898:255 8.05954e-05 +30 *24622:CLK *5138:10 6.37652e-06 +31 *24622:CLK *5859:9 0.000172954 +32 *24639:CLK *20403:A1 5.82695e-05 +33 *4887:17 *20467:A1 5.96936e-05 +34 *4887:26 *20465:A1 8.10996e-05 +35 *4887:26 *5887:10 7.26792e-05 +36 *4887:48 *5125:7 6.22333e-05 +37 *4887:64 *24619:SET_B 4.81849e-05 +38 *4887:64 *5859:9 0.000286151 +39 *20464:A2 *4887:8 4.5539e-05 +40 *24567:D *4887:46 0.00021937 +41 *24619:D *4887:64 6.50727e-05 +42 *24639:D *24639:CLK 0.000158357 +43 *1656:8 *4887:46 0 +44 *1741:6 *4887:8 0.000101036 +45 *1741:6 *4887:17 1.14891e-05 +46 *1741:6 *4887:26 6.60841e-05 +47 *1770:8 *4887:61 2.28129e-05 +48 *1783:8 *24639:CLK 0.000120548 +49 *1966:6 *4887:61 0.000707267 +50 *1967:10 *4887:57 8.61936e-05 +51 *1967:10 *4887:61 5.04734e-05 +52 *2809:6 *24595:CLK 0.000311364 +53 *2809:6 *4887:8 0.000208735 +54 *2809:6 *4887:17 8.36326e-05 +55 *2809:6 *4887:26 0.000145358 +56 *2809:6 *4887:46 0.000253826 +57 *2846:7 *24597:CLK 0.000114658 +58 *2846:10 *4887:61 0 +59 *2963:17 *24639:CLK 0.000120548 +60 *2996:14 *4887:57 0.000217587 +61 *2996:14 *4887:61 0.000540609 +62 *4824:25 *24595:CLK 4.58003e-05 +*RES +1 *24948:X *4887:4 9.24915 +2 *4887:4 *4887:8 15.6391 +3 *4887:8 *24597:CLK 23.9008 +4 *4887:8 *4887:17 3.493 +5 *4887:17 *24569:CLK 14.4819 +6 *4887:17 *4887:26 6.81502 +7 *4887:26 *24599:CLK 13.7491 +8 *4887:26 *24595:CLK 34.715 +9 *4887:4 *4887:46 17.9291 +10 *4887:46 *4887:48 6.26943 +11 *4887:48 *24593:CLK 17.1824 +12 *4887:48 *4887:57 8.40826 +13 *4887:57 *4887:61 20.8658 +14 *4887:61 *4887:64 7.95736 +15 *4887:64 *24639:CLK 27.7545 +16 *4887:64 *24619:CLK 9.24915 +17 *4887:61 *24622:CLK 13.8548 +18 *4887:57 *24600:CLK 17.8002 +19 *4887:46 *24567:CLK 9.24915 +*END + +*D_NET *4888 0.035307 +*CONN +*I *24541:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24386:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24457:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24390:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24456:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24615:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24455:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24429:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24611:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24646:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24433:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24623:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24643:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24644:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24349:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24544:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24388:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24543:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24542:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24949:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24541:CLK 0 +2 *24386:CLK 0.000577087 +3 *24457:CLK 0.000184022 +4 *24390:CLK 0.000671135 +5 *24456:CLK 5.05e-05 +6 *24615:CLK 0 +7 *24455:CLK 0 +8 *24429:CLK 0.000525794 +9 *24611:CLK 0 +10 *24646:CLK 0 +11 *24433:CLK 0.000190134 +12 *24623:CLK 0 +13 *24643:CLK 0.000132325 +14 *24644:CLK 0.000137391 +15 *24349:CLK 5.11475e-05 +16 *24544:CLK 2.06324e-05 +17 *24388:CLK 0.0006686 +18 *24543:CLK 0 +19 *24542:CLK 2.85849e-05 +20 *24949:X 3.66494e-05 +21 *4888:189 0.000937827 +22 *4888:188 0.000821567 +23 *4888:184 0.00104385 +24 *4888:142 0.00102066 +25 *4888:126 0.000766349 +26 *4888:120 0.000929133 +27 *4888:116 0.000919084 +28 *4888:98 0.000916269 +29 *4888:96 0.000701581 +30 *4888:94 0.00123037 +31 *4888:69 0.000550926 +32 *4888:67 0.000752891 +33 *4888:55 0.00130142 +34 *4888:28 0.00150491 +35 *4888:20 0.000877575 +36 *4888:19 0.000981404 +37 *4888:8 0.000272246 +38 *4888:5 0.000260074 +39 *24349:CLK *5251:31 3.24105e-05 +40 *24388:CLK *5868:593 8.39059e-05 +41 *24390:CLK *24390:RESET_B 8.62625e-06 +42 *24429:CLK *19972:A 4.17481e-05 +43 *24429:CLK *20444:A1 0.00013829 +44 *24429:CLK *5861:257 0.000109323 +45 *24433:CLK *20440:A1 9.45897e-05 +46 *24433:CLK *20440:B1 3.31882e-05 +47 *24433:CLK *5458:94 5.92192e-05 +48 *24457:CLK *24387:SET_B 0.000570342 +49 *24457:CLK *4942:15 0.000189558 +50 *24542:CLK *5250:27 2.16355e-05 +51 *24644:CLK *20398:A1 0.000141245 +52 *4888:5 *5458:94 0.000107496 +53 *4888:28 *24544:RESET_B 0.000171468 +54 *4888:28 *5104:10 0.000167076 +55 *4888:55 *24544:RESET_B 4.96547e-05 +56 *4888:55 *5251:31 7.25424e-05 +57 *4888:67 *5145:7 2.61955e-05 +58 *4888:67 *5251:31 0.000176996 +59 *4888:67 *5866:287 8.20522e-05 +60 *4888:67 *5866:303 2.23124e-05 +61 *4888:69 *20398:A1 0.000264649 +62 *4888:69 *21196:A 3.31745e-05 +63 *4888:69 *21285:A 0.000107496 +64 *4888:69 *5866:287 7.7927e-05 +65 *4888:94 *20546:B1 5.01375e-05 +66 *4888:94 *5458:94 0.000343533 +67 *4888:96 *20546:B1 0.000106223 +68 *4888:96 *5458:94 0.000389379 +69 *4888:98 *20546:B1 1.90378e-05 +70 *4888:98 *5458:94 0.000916442 +71 *4888:116 *5918:20 0.00012568 +72 *4888:120 *5307:10 8.41713e-05 +73 *4888:120 *5918:23 2.65831e-05 +74 *4888:142 *20393:A1 2.37827e-05 +75 *4888:184 *5099:7 3.01886e-05 +76 *20436:A *24433:CLK 0.000162583 +77 *20778:A *4888:126 0.000751416 +78 *24456:D *4888:98 5.39463e-05 +79 *24541:D *4888:184 0.000266719 +80 *24543:D *4888:28 0.000254549 +81 *24611:D *24429:CLK 5.04829e-06 +82 *24615:D *24433:CLK 1.34424e-05 +83 *24615:D *4888:116 0.000200794 +84 *24644:D *24644:CLK 3.024e-05 +85 *24646:D *4888:142 6.08467e-05 +86 *1601:8 *4888:126 0.000187027 +87 *1607:18 *4888:55 0.000509798 +88 *1619:26 *24388:CLK 0.00113445 +89 *1626:8 *4888:142 7.42064e-05 +90 *1707:14 *24643:CLK 7.0954e-05 +91 *1708:124 *4888:8 0.000169078 +92 *1708:124 *4888:19 0.000475704 +93 *1725:220 *24456:CLK 3.82228e-05 +94 *1741:6 *24429:CLK 1.45398e-05 +95 *1741:6 *24433:CLK 0 +96 *1741:6 *4888:55 0.000104935 +97 *1741:6 *4888:98 0 +98 *1783:8 *24643:CLK 7.0954e-05 +99 *1783:27 *4888:142 0.000186939 +100 *1884:201 *24390:CLK 0.000126435 +101 *1958:92 *24429:CLK 0.000137266 +102 *1959:5 *24433:CLK 0.000141245 +103 *1959:5 *4888:116 6.12686e-06 +104 *1959:10 *4888:116 9.19632e-06 +105 *1991:14 *4888:184 0.000805862 +106 *1992:14 *24542:CLK 1.03403e-05 +107 *2076:36 *4888:5 2.23259e-05 +108 *2076:36 *4888:94 4.00824e-05 +109 *2077:9 *24388:CLK 0.000172971 +110 *2077:9 *4888:28 1.0947e-05 +111 *2077:9 *4888:55 3.03262e-06 +112 *2347:14 *4888:69 2.16355e-05 +113 *2448:29 *4888:120 0.000211158 +114 *2503:8 *4888:55 6.74954e-05 +115 *2764:29 *24429:CLK 1.92336e-05 +116 *2764:42 *24429:CLK 0.000172981 +117 *2764:42 *4888:142 9.78632e-05 +118 *2809:6 *4888:55 0.000124429 +119 *2809:6 *4888:67 4.66463e-05 +120 *2818:12 *24388:CLK 0.000367283 +121 *2826:7 *24456:CLK 1.82679e-05 +122 *2846:16 *4888:116 5.04879e-05 +123 *2918:6 *24388:CLK 0.000166146 +124 *2919:20 *24429:CLK 0.000114925 +125 *2950:22 *24386:CLK 0.000534392 +126 *2950:22 *24390:CLK 0.000323418 +127 *2950:22 *4888:189 0.000187997 +128 *2963:22 *24386:CLK 0.000147178 +129 *2963:22 *24390:CLK 8.12844e-05 +130 *2963:22 *4888:189 5.3381e-05 +131 *2978:8 *4888:8 0.000165481 +132 *2978:8 *4888:19 0.000479234 +133 *4126:54 *24386:CLK 0.00015727 +134 *4822:12 *4888:55 8.8567e-05 +135 *4826:12 *4888:126 0.00032387 +136 *4826:25 *24349:CLK 6.99486e-05 +137 *4860:23 *24429:CLK 0.000384559 +138 *4860:23 *4888:142 9.2932e-05 +139 *4869:6 *4888:142 2.45183e-05 +140 *4869:101 *4888:142 0.000331799 +*RES +1 *24949:X *4888:5 10.5271 +2 *4888:5 *4888:8 7.57775 +3 *4888:8 *24542:CLK 14.4725 +4 *4888:8 *4888:19 13.3913 +5 *4888:19 *4888:20 0.578717 +6 *4888:20 *4888:28 16.8792 +7 *4888:28 *24543:CLK 9.24915 +8 *4888:28 *24388:CLK 41.4799 +9 *4888:20 *24544:CLK 9.82786 +10 *4888:19 *4888:55 24.9196 +11 *4888:55 *24349:CLK 15.5817 +12 *4888:55 *4888:67 15.9262 +13 *4888:67 *4888:69 9.59705 +14 *4888:69 *24644:CLK 13.3002 +15 *4888:69 *24643:CLK 22.0597 +16 *4888:67 *24623:CLK 9.24915 +17 *4888:5 *4888:94 10.3802 +18 *4888:94 *4888:96 7.23027 +19 *4888:96 *4888:98 16.3658 +20 *4888:98 *24433:CLK 20.4655 +21 *4888:98 *4888:116 20.7869 +22 *4888:116 *4888:120 14.6126 +23 *4888:120 *4888:126 22.7672 +24 *4888:126 *24646:CLK 9.24915 +25 *4888:126 *4888:142 23.7116 +26 *4888:142 *24611:CLK 9.24915 +27 *4888:142 *24429:CLK 35.693 +28 *4888:120 *24455:CLK 9.24915 +29 *4888:116 *24615:CLK 9.24915 +30 *4888:96 *24456:CLK 15.0271 +31 *4888:94 *4888:184 24.1523 +32 *4888:184 *4888:188 7.99641 +33 *4888:188 *4888:189 3.493 +34 *4888:189 *24390:CLK 27.5463 +35 *4888:189 *24457:CLK 21.3132 +36 *4888:188 *24386:CLK 31.0425 +37 *4888:184 *24541:CLK 9.24915 +*END + +*D_NET *4889 0.0379283 +*CONN +*I *24647:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24649:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24652:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24401:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24648:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24590:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24387:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24578:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24575:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24576:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24474:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24579:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24651:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24650:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24452:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24906:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24577:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24473:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24645:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24950:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24647:CLK 0 +2 *24649:CLK 0.000512917 +3 *24652:CLK 0.000198573 +4 *24401:CLK 0.000552748 +5 *24648:CLK 0.000133426 +6 *24590:CLK 0.000484112 +7 *24387:CLK 2.85849e-05 +8 *24578:CLK 0.000409755 +9 *24575:CLK 0.000211233 +10 *24576:CLK 0 +11 *24474:CLK 0.000776667 +12 *24579:CLK 0.000152564 +13 *24651:CLK 0.00110712 +14 *24650:CLK 0 +15 *24452:CLK 0.000125802 +16 *24906:CLK 0.000502865 +17 *24577:CLK 0 +18 *24473:CLK 0.000610323 +19 *24645:CLK 0 +20 *24950:X 0 +21 *4889:186 0.000839097 +22 *4889:183 0.000884139 +23 *4889:136 0.000692872 +24 *4889:127 0.000765889 +25 *4889:124 0.0004926 +26 *4889:121 0.000806053 +27 *4889:112 0.000527102 +28 *4889:76 0.00136771 +29 *4889:55 0.000920044 +30 *4889:53 0.000782559 +31 *4889:51 0.000818628 +32 *4889:37 0.00126139 +33 *4889:33 0.00108734 +34 *4889:28 0.000443529 +35 *4889:20 0.000981326 +36 *4889:8 0.000881854 +37 *4889:4 0.00125129 +38 *24387:CLK *4942:15 1.03403e-05 +39 *24387:CLK *5921:72 2.16355e-05 +40 *24401:CLK *20753:A1 7.04908e-05 +41 *24401:CLK *24401:RESET_B 8.02476e-05 +42 *24401:CLK *5023:5 7.41247e-05 +43 *24452:CLK *24452:SET_B 0.000103138 +44 *24473:CLK *24473:SET_B 0.000513037 +45 *24474:CLK *20647:A1 1.89836e-05 +46 *24474:CLK *21700:A 5.04829e-06 +47 *24578:CLK *24578:RESET_B 2.52414e-06 +48 *24579:CLK *24579:RESET_B 5.44807e-05 +49 *24590:CLK *20480:A1 7.13972e-05 +50 *24590:CLK *24590:RESET_B 2.61955e-05 +51 *24590:CLK *5861:25 7.89747e-05 +52 *24648:CLK *5866:200 5.97576e-05 +53 *24651:CLK *24650:RESET_B 5.69771e-05 +54 *24651:CLK *5159:8 2.8182e-06 +55 *4889:8 *5267:6 7.86847e-05 +56 *4889:28 *5866:167 0.000210224 +57 *4889:33 *5866:167 2.16355e-05 +58 *4889:183 *20394:A1 1.5714e-05 +59 *4889:183 *4942:16 0.000240149 +60 *4889:183 *5918:20 0.000159263 +61 *20385:A *24651:CLK 5.69883e-05 +62 *20491:B *24906:CLK 6.4266e-05 +63 *20491:B *4889:55 7.37416e-05 +64 *20491:B *4889:76 0.000107496 +65 *20492:A *4889:53 0.000149112 +66 *20492:A *4889:55 2.18292e-05 +67 *20492:A *4889:76 5.04829e-06 +68 *20495:A2 *24401:CLK 6.15409e-05 +69 *20495:B2 *24401:CLK 0.000125851 +70 *20495:B2 *4889:121 3.98472e-05 +71 *20497:B1 *4889:20 0.00013168 +72 *20678:A2 *24906:CLK 0.000198492 +73 *24401:D *24401:CLK 7.14376e-05 +74 *24452:D *24906:CLK 0.000122083 +75 *24473:D *24473:CLK 6.08467e-05 +76 *24578:D *24578:CLK 0.000110566 +77 *24590:D *24590:CLK 4.81441e-05 +78 *24647:D *4889:183 2.65831e-05 +79 *24650:D *24651:CLK 0.000113594 +80 *24950:A *4889:8 0.000640674 +81 *24950:A *4889:183 0.000677476 +82 *657:209 *24473:CLK 0.000211492 +83 *1585:8 *4889:33 0.000129183 +84 *1585:8 *4889:37 0.000619657 +85 *1629:55 *4889:183 3.62276e-05 +86 *1707:16 *4889:183 0.000240149 +87 *1724:18 *4889:124 3.82228e-05 +88 *1724:29 *24579:CLK 0.00010051 +89 *1724:39 *24906:CLK 5.04829e-06 +90 *1733:85 *24906:CLK 9.20284e-05 +91 *1856:8 *24401:CLK 5.66868e-06 +92 *1856:8 *4889:28 2.87995e-05 +93 *1856:8 *4889:112 0.000186518 +94 *1856:8 *4889:121 2.90244e-05 +95 *1942:7 *24651:CLK 0.000107496 +96 *1976:6 *4889:51 0.000177804 +97 *1976:6 *4889:53 0.000148129 +98 *1976:18 *4889:51 0.000196638 +99 *2075:31 *24652:CLK 0.000378069 +100 *2075:31 *4889:183 5.60804e-05 +101 *2075:31 *4889:186 0.000340837 +102 *2298:13 *24649:CLK 0.000251224 +103 *2531:21 *24651:CLK 7.8797e-06 +104 *2531:21 *4889:76 0.000105439 +105 *2564:8 *24590:CLK 0.000123804 +106 *2564:8 *4889:8 0.000120548 +107 *2564:8 *4889:20 0.000137606 +108 *2660:15 *24474:CLK 0.000166517 +109 *2796:14 *4889:53 1.41761e-05 +110 *2796:14 *4889:55 6.06269e-06 +111 *2796:18 *24906:CLK 0.000147395 +112 *2796:18 *4889:55 0.00020131 +113 *2918:6 *24590:CLK 0.000560423 +114 *2918:6 *4889:8 1.15258e-05 +115 *2918:6 *4889:20 2.79589e-05 +116 *2918:6 *4889:127 0.000570132 +117 *2918:6 *4889:136 0.000554895 +118 *2950:22 *4889:183 1.9101e-05 +119 *2963:33 *24652:CLK 0.000374487 +120 *2963:33 *4889:183 1.63216e-05 +121 *2963:33 *4889:186 0.000349464 +122 *3035:10 *4889:33 0.000134212 +123 *3035:10 *4889:37 0.000614575 +124 *3131:184 *24652:CLK 3.82228e-05 +125 *3168:132 *24906:CLK 0.000163406 +126 *4126:68 *4889:183 7.63284e-05 +127 *4126:76 *24473:CLK 7.34948e-06 +128 *4739:22 *24401:CLK 0.000186445 +129 *4739:22 *4889:28 0.000136902 +130 *4739:22 *4889:112 0.000651726 +131 *4739:22 *4889:121 0.000201734 +132 *4739:30 *4889:51 0.00038465 +133 *4739:30 *4889:53 0.000556128 +134 *4739:30 *4889:55 0.000392799 +135 *4839:74 *24590:CLK 0.000144546 +136 *4839:74 *4889:127 0.000232462 +137 *4839:74 *4889:136 0.000564898 +138 *4839:78 *4889:127 0.000335095 +139 *4839:93 *4889:20 1.88014e-05 +140 *4840:198 *24452:CLK 5.0715e-05 +141 *4869:42 *24473:CLK 0.000113197 +142 *4869:42 *24649:CLK 0.000286852 +143 *4869:42 *4889:51 0.000125066 +144 *4869:44 *24473:CLK 0.000125048 +*RES +1 *24950:X *4889:4 9.24915 +2 *4889:4 *4889:8 14.1259 +3 *4889:8 *24645:CLK 13.7491 +4 *4889:8 *4889:20 16.2681 +5 *4889:20 *4889:28 13.9205 +6 *4889:28 *4889:33 7.8859 +7 *4889:33 *4889:37 15.4675 +8 *4889:37 *24473:CLK 26.056 +9 *4889:37 *24577:CLK 9.24915 +10 *4889:37 *4889:51 18.1391 +11 *4889:51 *4889:53 10.137 +12 *4889:53 *4889:55 10.5523 +13 *4889:55 *24906:CLK 28.6312 +14 *4889:55 *24452:CLK 17.2697 +15 *4889:53 *4889:76 10.7694 +16 *4889:76 *24650:CLK 9.24915 +17 *4889:76 *24651:CLK 25.8149 +18 *4889:51 *24579:CLK 17.8002 +19 *4889:33 *24474:CLK 25.444 +20 *4889:28 *24576:CLK 9.24915 +21 *4889:20 *4889:112 11.3828 +22 *4889:112 *24575:CLK 17.8002 +23 *4889:112 *4889:121 3.493 +24 *4889:121 *4889:124 7.99641 +25 *4889:124 *4889:127 14.637 +26 *4889:127 *24578:CLK 22.7916 +27 *4889:127 *4889:136 10.137 +28 *4889:136 *24387:CLK 14.4725 +29 *4889:136 *24590:CLK 30.1556 +30 *4889:124 *24648:CLK 12.191 +31 *4889:121 *24401:CLK 29.0576 +32 *4889:4 *4889:183 29.0336 +33 *4889:183 *4889:186 10.8998 +34 *4889:186 *24652:CLK 21.8422 +35 *4889:186 *24649:CLK 27.2284 +36 *4889:183 *24647:CLK 9.24915 +*END + +*D_NET *4890 0.0215348 +*CONN +*I *24435:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24616:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24431:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24612:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24430:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24613:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24211:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24210:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24213:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24214:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24951:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24435:CLK 0 +2 *24616:CLK 0.000361735 +3 *24431:CLK 0.000305124 +4 *24612:CLK 0 +5 *24430:CLK 0 +6 *24613:CLK 0.0004399 +7 *24211:CLK 4.31605e-05 +8 *24210:CLK 0.000226141 +9 *24213:CLK 0.000350869 +10 *24214:CLK 9.583e-05 +11 *24951:X 0.000639728 +12 *4890:102 0.000579176 +13 *4890:75 0.000976598 +14 *4890:69 0.000587098 +15 *4890:66 0.000724827 +16 *4890:62 0.000791029 +17 *4890:51 0.000370177 +18 *4890:10 0.00106686 +19 *4890:8 0.00105537 +20 *4890:7 0.000971519 +21 *24210:CLK *21095:B1 6.1478e-06 +22 *24213:CLK *21890:A 5.88657e-05 +23 *24213:CLK *5091:25 1.99131e-05 +24 *24213:CLK *5853:178 0.000105514 +25 *24214:CLK *5352:19 2.16355e-05 +26 *24613:CLK *20442:A1 5.61932e-05 +27 *24613:CLK *24613:RESET_B 0.0001428 +28 *24613:CLK *4942:21 2.32766e-05 +29 *24613:CLK *5221:5 1.03403e-05 +30 *24616:CLK *5859:95 0.000737658 +31 *24616:CLK *5865:148 1.92172e-05 +32 *4890:66 *5865:10 0.000480689 +33 *4890:75 *25375:A 4.58529e-05 +34 *4890:75 *5324:8 0.000100969 +35 *4890:75 *5867:188 0.000119345 +36 *20700:B *24211:CLK 5.97411e-05 +37 *20701:A *4890:66 6.08467e-05 +38 *20704:A2 *4890:62 8.6297e-06 +39 *20704:B2 *4890:62 0.000154862 +40 *21095:A2 *24210:CLK 0.000105454 +41 *22024:B1 *4890:66 0 +42 *24210:D *24210:CLK 4.98933e-05 +43 *24612:D *24431:CLK 1.50651e-05 +44 *24612:D *4890:69 0.000165521 +45 *24612:D *4890:75 1.55025e-05 +46 *24613:D *24613:CLK 0.000217515 +47 *489:54 *24616:CLK 0.000244727 +48 *719:16 *4890:51 0.000154073 +49 *719:16 *4890:62 8.62625e-06 +50 *1550:16 *24613:CLK 0.000196024 +51 *1608:156 *24210:CLK 1.65872e-05 +52 *1631:90 *4890:8 0.000243648 +53 *1631:97 *24210:CLK 0.000154145 +54 *1770:31 *24613:CLK 0.000192549 +55 *1771:131 *24214:CLK 0.000156614 +56 *1771:131 *4890:10 0.000696061 +57 *1790:180 *24431:CLK 0.000325516 +58 *1790:180 *4890:66 0.000188997 +59 *1958:31 *24616:CLK 0.00132538 +60 *2042:5 *4890:66 6.39306e-05 +61 *2042:5 *4890:102 3.31745e-05 +62 *2042:10 *4890:66 8.78673e-05 +63 *2043:41 *4890:66 0.000953653 +64 *2043:41 *4890:102 6.08467e-05 +65 *2209:30 *4890:8 5.94319e-06 +66 *2209:30 *4890:10 5.25083e-05 +67 *2209:32 *4890:10 2.41701e-05 +68 *2635:8 *24616:CLK 0.000195139 +69 *2819:18 *24210:CLK 0.000105599 +70 *2868:12 *24214:CLK 0.000163737 +71 *2868:12 *4890:8 0.000322865 +72 *2868:12 *4890:10 0.000918509 +73 *2868:12 *4890:51 0.000208717 +74 *2868:12 *4890:62 0.000327672 +75 *2886:8 *24616:CLK 0.000191526 +76 *2906:8 *24213:CLK 0.000244355 +77 *3047:14 *24431:CLK 0 +78 *3047:16 *24431:CLK 0 +79 *3047:16 *4890:66 0 +80 *3106:229 *24211:CLK 0.000158357 +81 *3134:214 *4890:7 0.000937185 +82 *3162:167 *24214:CLK 1.43848e-05 +83 *4822:66 *4890:8 1.42919e-05 +84 *4822:66 *4890:51 1.24322e-05 +85 *4822:66 *4890:62 3.58626e-05 +86 *4860:18 *24210:CLK 0.000112551 +*RES +1 *24951:X *4890:7 24.4554 +2 *4890:7 *4890:8 6.81502 +3 *4890:8 *4890:10 19.2726 +4 *4890:10 *24214:CLK 17.5503 +5 *4890:10 *24213:CLK 23.0086 +6 *4890:8 *24210:CLK 28.8545 +7 *4890:7 *4890:51 4.32351 +8 *4890:51 *24211:CLK 15.5817 +9 *4890:51 *4890:62 11.315 +10 *4890:62 *4890:66 19.2539 +11 *4890:66 *4890:69 6.3326 +12 *4890:69 *4890:75 12.563 +13 *4890:75 *24613:CLK 31.8938 +14 *4890:75 *24430:CLK 9.24915 +15 *4890:69 *24612:CLK 9.24915 +16 *4890:66 *24431:CLK 20.5642 +17 *4890:62 *4890:102 4.60562 +18 *4890:102 *24616:CLK 37.4398 +19 *4890:102 *24435:CLK 9.24915 +*END + +*D_NET *4891 0.0139717 +*CONN +*I *24614:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24389:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24460:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24618:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24215:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24216:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24952:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24614:CLK 0 +2 *24389:CLK 0.000528453 +3 *24460:CLK 0 +4 *24618:CLK 0.000407366 +5 *24215:CLK 0.000902192 +6 *24216:CLK 2.0486e-05 +7 *24952:X 0.000416133 +8 *4891:51 0.00119697 +9 *4891:41 0.000727694 +10 *4891:10 0.00108671 +11 *4891:8 0.000872052 +12 *4891:7 0.000775963 +13 *24216:CLK *5865:165 2.65667e-05 +14 *24389:CLK *24614:RESET_B 0.000231363 +15 *24389:CLK *5867:514 0.000285517 +16 *24389:CLK *5888:8 0.000892397 +17 *24618:CLK *24618:RESET_B 6.88731e-05 +18 *24618:CLK *5226:7 3.96696e-05 +19 *24618:CLK *5853:137 7.01935e-06 +20 *4891:8 *20437:A1 3.23931e-05 +21 *4891:8 *21190:A 4.2664e-06 +22 *4891:51 *20705:A1 4.31539e-05 +23 *4891:51 *24614:RESET_B 5.16234e-05 +24 *20667:B1 *24215:CLK 0.000133753 +25 *24614:D *4891:51 9.52759e-05 +26 *490:21 *4891:8 8.62625e-06 +27 *490:21 *4891:41 0.000139517 +28 *490:21 *4891:51 0.00013676 +29 *1627:202 *4891:8 0 +30 *1627:202 *4891:51 0 +31 *2031:18 *4891:8 0.000120544 +32 *2252:8 *24215:CLK 7.13655e-06 +33 *2317:20 *24215:CLK 7.86825e-06 +34 *2369:24 *24389:CLK 3.08002e-05 +35 *2375:12 *24389:CLK 0.00146863 +36 *2441:43 *24215:CLK 0.000222806 +37 *2441:43 *24618:CLK 0.000138362 +38 *2441:43 *4891:10 0.00020619 +39 *2441:47 *24215:CLK 5.49767e-05 +40 *2441:63 *24215:CLK 0.000184337 +41 *2448:49 *4891:51 5.44672e-05 +42 *2464:10 *24215:CLK 3.13793e-05 +43 *2464:10 *24618:CLK 6.08467e-05 +44 *2464:10 *4891:8 2.03171e-05 +45 *2464:10 *4891:10 0.000365493 +46 *2464:12 *24215:CLK 0.000492731 +47 *2541:11 *24215:CLK 0.000501074 +48 *2584:17 *4891:7 0.000586932 +49 *2764:11 *24216:CLK 2.16355e-05 +50 *2809:21 *24215:CLK 0 +51 *4872:8 *4891:8 7.59332e-05 +52 *4872:8 *4891:10 3.16904e-05 +53 *4872:17 *4891:8 2.33193e-05 +54 *4872:17 *4891:41 6.31809e-05 +55 *4872:17 *4891:51 7.02551e-05 +*RES +1 *24952:X *4891:7 20.5732 +2 *4891:7 *4891:8 7.64553 +3 *4891:8 *4891:10 6.81502 +4 *4891:10 *24216:CLK 14.4725 +5 *4891:10 *24215:CLK 34.2437 +6 *4891:8 *24618:CLK 23.3462 +7 *4891:7 *4891:41 2.6625 +8 *4891:41 *24460:CLK 13.7491 +9 *4891:41 *4891:51 20.3631 +10 *4891:51 *24389:CLK 45.3044 +11 *4891:51 *24614:CLK 9.24915 +*END + +*D_NET *4892 0.0275583 +*CONN +*I *24434:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24436:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24617:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24212:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24547:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24546:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24483:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24350:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24348:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24482:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24545:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24461:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24485:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24459:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24432:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24953:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24434:CLK 0 +2 *24436:CLK 6.23094e-05 +3 *24617:CLK 7.15754e-05 +4 *24212:CLK 0.000536126 +5 *24547:CLK 0 +6 *24546:CLK 0.000828628 +7 *24483:CLK 0.000187262 +8 *24350:CLK 7.59398e-05 +9 *24348:CLK 0.000570722 +10 *24482:CLK 0 +11 *24545:CLK 0.000450947 +12 *24461:CLK 0.000690412 +13 *24485:CLK 0 +14 *24459:CLK 0 +15 *24432:CLK 0.000197177 +16 *24953:X 0 +17 *4892:130 0.000761277 +18 *4892:128 0.000483313 +19 *4892:126 0.000645969 +20 *4892:86 0.000908071 +21 *4892:82 0.000448672 +22 *4892:80 0.00100966 +23 *4892:61 0.000515541 +24 *4892:58 0.000728759 +25 *4892:55 0.000507257 +26 *4892:40 0.00081133 +27 *4892:20 0.00106274 +28 *4892:11 0.00122037 +29 *4892:7 0.000741353 +30 *4892:4 0.000668297 +31 *24212:CLK *5351:10 6.86098e-05 +32 *24348:CLK *19896:A 0.000159499 +33 *24350:CLK *19896:A 1.39717e-06 +34 *24350:CLK *20884:A1 1.35725e-05 +35 *24436:CLK *20703:A1 0.000160617 +36 *24461:CLK *5108:10 4.58003e-05 +37 *24461:CLK *5921:72 8.84575e-05 +38 *24483:CLK *5250:32 0.000181997 +39 *24545:CLK *5859:36 3.82228e-05 +40 *24545:CLK *5859:47 0.000250035 +41 *24546:CLK *21760:A 0.00021569 +42 *24546:CLK *5867:395 0.000117308 +43 *24546:CLK *5918:24 0.000170592 +44 *4892:20 *20668:A1 7.97337e-05 +45 *4892:20 *24459:RESET_B 0.000386764 +46 *4892:20 *5853:107 0.000154145 +47 *4892:61 *5918:24 0.00016255 +48 *4892:80 *5918:24 0.000590985 +49 *4892:86 *19896:A 2.07093e-05 +50 *4892:86 *24542:SET_B 0.000157099 +51 *4892:86 *5250:32 0.000210308 +52 *24432:D *24432:CLK 7.34948e-06 +53 *24436:D *24436:CLK 6.23875e-05 +54 *24545:D *24545:CLK 2.65831e-05 +55 *24547:D *24461:CLK 0.000124868 +56 *24953:A *4892:7 0.000166823 +57 *24953:A *4892:126 0.000138843 +58 *1595:36 *24212:CLK 0.000126435 +59 *1725:220 *24545:CLK 0.000163198 +60 *1784:124 *24212:CLK 0.000180119 +61 *1784:124 *4892:126 0.000435228 +62 *1784:124 *4892:128 0.000705019 +63 *1784:124 *4892:130 0.000381732 +64 *1991:43 *4892:58 0.00103912 +65 *1992:29 *24461:CLK 1.68741e-05 +66 *1992:29 *4892:58 4.97617e-05 +67 *2030:39 *4892:11 0.000135114 +68 *2404:8 *24212:CLK 0.000183717 +69 *2404:8 *4892:126 0.000424503 +70 *2404:8 *4892:128 0.000703019 +71 *2404:8 *4892:130 0.00037459 +72 *2441:21 *4892:11 0.000615598 +73 *2441:21 *4892:40 0.000248315 +74 *2441:21 *4892:55 4.19198e-05 +75 *2448:41 *4892:20 1.9708e-06 +76 *2531:6 *24432:CLK 6.95993e-05 +77 *2531:6 *4892:20 2.22198e-05 +78 *2566:25 *24545:CLK 0.000160314 +79 *2584:16 *24432:CLK 4.87301e-05 +80 *2764:29 *24212:CLK 0.000406808 +81 *2776:7 *24546:CLK 0.000230277 +82 *2776:10 *4892:20 0.000153225 +83 *2886:8 *4892:11 0.000612001 +84 *2886:8 *4892:40 0.00025183 +85 *2886:8 *4892:55 4.90621e-05 +86 *2984:8 *24545:CLK 0.000163789 +87 *2985:8 *24546:CLK 0.000165563 +88 *2985:8 *4892:61 0.000166091 +89 *2985:8 *4892:80 0.000585322 +90 *2996:38 *4892:20 0.000149628 +91 *3047:11 *24545:CLK 2.32881e-05 +92 *3047:14 *24432:CLK 0 +93 *3070:8 *24350:CLK 0.00010836 +94 *3070:8 *4892:86 0.000247231 +95 *4826:59 *24432:CLK 7.16754e-05 +96 *4839:105 *24617:CLK 6.23875e-05 +*RES +1 *24953:X *4892:4 9.24915 +2 *4892:4 *4892:7 9.10562 +3 *4892:7 *4892:11 19.5186 +4 *4892:11 *4892:20 28.9795 +5 *4892:20 *24432:CLK 19.0748 +6 *4892:20 *24459:CLK 13.7491 +7 *4892:11 *24485:CLK 9.24915 +8 *4892:7 *4892:40 4.73876 +9 *4892:40 *24461:CLK 26.1674 +10 *4892:40 *4892:55 5.50149 +11 *4892:55 *4892:58 17.9793 +12 *4892:58 *4892:61 7.57775 +13 *4892:61 *24545:CLK 31.6715 +14 *4892:61 *24482:CLK 9.24915 +15 *4892:58 *4892:80 10.5523 +16 *4892:80 *4892:82 4.5 +17 *4892:82 *4892:86 14.5383 +18 *4892:86 *24348:CLK 22.671 +19 *4892:86 *24350:CLK 15.9964 +20 *4892:82 *24483:CLK 14.4094 +21 *4892:80 *24546:CLK 35.8522 +22 *4892:55 *24547:CLK 9.24915 +23 *4892:4 *4892:126 16.1965 +24 *4892:126 *4892:128 13.0438 +25 *4892:128 *4892:130 7.23027 +26 *4892:130 *24212:CLK 29.6122 +27 *4892:130 *24617:CLK 15.5817 +28 *4892:128 *24436:CLK 16.1605 +29 *4892:126 *24434:CLK 13.7491 +*END + +*D_NET *4893 0.0200663 +*CONN +*I *24625:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24621:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24548:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24481:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24405:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24407:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24568:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24571:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24403:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24620:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24352:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24954:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24625:CLK 0.00016818 +2 *24621:CLK 0 +3 *24548:CLK 0.000253108 +4 *24481:CLK 0.000195967 +5 *24405:CLK 0.000208194 +6 *24407:CLK 0 +7 *24568:CLK 0.000558586 +8 *24571:CLK 5.50908e-05 +9 *24403:CLK 4.79442e-05 +10 *24620:CLK 0.000432574 +11 *24352:CLK 0.0003243 +12 *24954:X 5.15055e-05 +13 *4893:89 0.000910578 +14 *4893:84 0.00117776 +15 *4893:77 0.00111303 +16 *4893:47 0.00133061 +17 *4893:38 0.000873348 +18 *4893:18 0.000840996 +19 *4893:17 0.000448239 +20 *4893:13 0.000442844 +21 *4893:10 0.000834876 +22 *4893:5 0.000719587 +23 *24352:CLK *20880:B1 0.000110306 +24 *24352:CLK *5859:14 0.000255957 +25 *24352:CLK *5859:20 0.000551682 +26 *24352:CLK *5866:316 0.000200127 +27 *24352:CLK *5866:322 1.44467e-05 +28 *24405:CLK *24405:RESET_B 2.53347e-05 +29 *24548:CLK *24481:SET_B 0.00036962 +30 *24568:CLK *20507:A1 0 +31 *24568:CLK *24597:RESET_B 0 +32 *24568:CLK *5117:11 0.00018643 +33 *24568:CLK *5866:452 5.23264e-05 +34 *24571:CLK *5866:422 7.16893e-05 +35 *24620:CLK *21852:A 3.01683e-06 +36 *4893:10 *5340:9 0.000411006 +37 *4893:13 *5857:19 8.93427e-05 +38 *4893:17 *19849:A 0.000317679 +39 *4893:17 *5857:19 0.000280351 +40 *4893:17 *5859:14 6.08467e-05 +41 *4893:18 *24622:RESET_B 1.11638e-05 +42 *4893:18 *5857:14 5.26705e-05 +43 *4893:18 *5859:14 0.000129172 +44 *4893:18 *5866:322 1.69846e-05 +45 *4893:38 *5857:14 0.000132307 +46 *4893:38 *5859:14 2.95757e-05 +47 *4893:77 *5340:9 6.08697e-06 +48 *4893:84 *20427:A1 0 +49 *4893:84 *5866:543 0.000129814 +50 *4893:89 *20431:B1 1.66626e-05 +51 *20428:B2 *4893:77 0.000441823 +52 *20509:B2 *4893:47 8.01837e-05 +53 *20540:B1 *24548:CLK 0 +54 *20744:B1 *4893:38 0 +55 *20744:B1 *4893:47 0 +56 *24407:D *4893:13 5.97411e-05 +57 *24620:D *24352:CLK 0.000118485 +58 *24620:D *24620:CLK 5.44672e-05 +59 *24621:D *4893:84 6.08467e-05 +60 *24625:D *24625:CLK 1.43848e-05 +61 *24954:A *4893:5 3.99086e-06 +62 *24954:A *4893:10 2.56173e-05 +63 *490:45 *4893:13 0.000215172 +64 *490:45 *4893:17 0.000194473 +65 *1771:207 *24481:CLK 0.000241849 +66 *1771:207 *24548:CLK 0.000513023 +67 *1955:103 *4893:84 0 +68 *1956:46 *24352:CLK 0.000175689 +69 *1956:49 *4893:77 9.22013e-06 +70 *1956:49 *4893:84 0.000132367 +71 *1956:71 *24625:CLK 6.50727e-05 +72 *1980:33 *24568:CLK 0 +73 *1980:33 *4893:47 0 +74 *2077:19 *24481:CLK 1.43983e-05 +75 *2077:19 *24548:CLK 1.89968e-05 +76 *2369:17 *24481:CLK 6.50586e-05 +77 *2441:5 *24405:CLK 1.43832e-05 +78 *2566:18 *24405:CLK 0.000221536 +79 *2566:18 *4893:10 0.000136768 +80 *2868:11 *24620:CLK 1.37029e-05 +81 *2868:12 *4893:89 0.000378118 +82 *2886:8 *24548:CLK 9.14057e-05 +83 *2886:8 *4893:77 0 +84 *2984:8 *24352:CLK 1.18802e-05 +85 *2984:8 *24568:CLK 9.19809e-05 +86 *2984:8 *4893:38 1.61116e-05 +87 *2984:8 *4893:47 0.000321523 +88 *3036:10 *24405:CLK 3.73055e-05 +89 *3036:14 *24405:CLK 0.000182434 +90 *3036:14 *4893:10 0.000140365 +91 *3036:14 *4893:89 0.000901422 +92 *4822:12 *24481:CLK 6.61971e-05 +93 *4825:12 *24403:CLK 2.78496e-05 +94 *4840:283 *24568:CLK 7.84205e-05 +95 *4840:293 *24568:CLK 9.634e-05 +96 *4844:108 *24625:CLK 0.000103123 +97 *4844:108 *4893:77 4.3116e-06 +98 *4844:108 *4893:84 1.82696e-05 +99 *4845:112 *4893:84 9.60366e-05 +*RES +1 *24954:X *4893:5 9.97254 +2 *4893:5 *4893:10 13.4319 +3 *4893:10 *4893:13 10.7694 +4 *4893:13 *4893:17 15.2063 +5 *4893:17 *4893:18 2.6625 +6 *4893:18 *24352:CLK 29.3167 +7 *4893:18 *24620:CLK 20.4526 +8 *4893:17 *4893:38 3.493 +9 *4893:38 *24403:CLK 15.0271 +10 *4893:38 *4893:47 20.1031 +11 *4893:47 *24571:CLK 15.5817 +12 *4893:47 *24568:CLK 30.115 +13 *4893:13 *24407:CLK 9.24915 +14 *4893:10 *24405:CLK 20.8723 +15 *4893:5 *4893:77 12.5608 +16 *4893:77 *4893:84 18.4365 +17 *4893:84 *4893:89 25.7811 +18 *4893:89 *24481:CLK 16.0732 +19 *4893:89 *24548:CLK 28.4509 +20 *4893:84 *24621:CLK 9.24915 +21 *4893:77 *24625:CLK 18.3548 +*END + +*D_NET *4894 0.0201711 +*CONN +*I *24659:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24658:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24449:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24655:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24656:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24654:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24657:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24893:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24653:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24955:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24659:CLK 0.000532227 +2 *24658:CLK 0 +3 *24449:CLK 0.00036376 +4 *24655:CLK 3.5247e-05 +5 *24656:CLK 0 +6 *24654:CLK 0 +7 *24657:CLK 0 +8 *24893:CLK 0.000516343 +9 *24653:CLK 0.000227361 +10 *24955:X 0.000245629 +11 *4894:85 0.000747137 +12 *4894:76 0.000876118 +13 *4894:44 0.00126271 +14 *4894:24 0.00114291 +15 *4894:22 0.000464714 +16 *4894:18 0.000865908 +17 *4894:11 0.000964055 +18 *4894:9 0.000901509 +19 *24449:CLK *24057:A0 0.000797158 +20 *24653:CLK *5860:247 0.000203833 +21 *24653:CLK *5874:97 5.97738e-05 +22 *24659:CLK *19926:A 0 +23 *24659:CLK *20374:B1 3.81056e-05 +24 *24659:CLK *20375:A1 2.88772e-06 +25 *24659:CLK *24064:A1 5.44672e-05 +26 *24659:CLK *24659:RESET_B 0.000116094 +27 *24659:CLK *5176:7 0.000207266 +28 *24659:CLK *5858:190 0.000217951 +29 *24659:CLK *5860:260 0.000169345 +30 *24659:CLK *5995:5 2.41274e-06 +31 *24893:CLK *5854:173 0.000205004 +32 *24893:CLK *5874:89 0.000121854 +33 *4894:22 *5874:97 0.00056596 +34 *4894:24 *5874:97 0.000416889 +35 *4894:44 *5854:173 0.000295964 +36 *4894:76 *21667:A 1.81083e-05 +37 *4894:76 *5860:260 0.000571145 +38 *4894:85 *5860:260 0.000371199 +39 *20374:A2 *24659:CLK 6.08467e-05 +40 *20374:B2 *24659:CLK 6.08467e-05 +41 *20375:A2 *24659:CLK 0.000154145 +42 *20379:B2 *4894:44 0.000117562 +43 *20383:A2 *4894:44 1.56419e-05 +44 *24653:D *4894:44 7.34948e-06 +45 *24659:D *24659:CLK 0.00027092 +46 *464:10 *4894:9 2.61955e-05 +47 *464:10 *4894:11 8.6191e-05 +48 *464:10 *4894:18 9.06665e-05 +49 *466:15 *4894:18 3.33907e-05 +50 *1422:31 *24659:CLK 3.17103e-05 +51 *1455:59 *24449:CLK 5.19758e-05 +52 *1459:39 *4894:22 0.000360145 +53 *1467:91 *4894:44 0.000113424 +54 *1467:93 *24893:CLK 0.00068197 +55 *1467:93 *4894:44 4.46284e-06 +56 *1485:80 *4894:44 1.72312e-05 +57 *1515:34 *4894:76 0.000176045 +58 *1687:13 *24653:CLK 0.000221291 +59 *1726:6 *24653:CLK 9.86598e-05 +60 *1748:16 *24893:CLK 0.000115934 +61 *1753:30 *4894:76 3.17385e-05 +62 *1937:20 *24659:CLK 0.000122083 +63 *1937:31 *24659:CLK 3.31733e-05 +64 *2398:24 *24653:CLK 0.000123564 +65 *2398:24 *4894:24 0.000156315 +66 *2469:19 *4894:22 8.05819e-05 +67 *2563:8 *4894:18 3.68552e-05 +68 *2627:16 *4894:9 0.000241281 +69 *2704:31 *24449:CLK 7.58389e-05 +70 *2704:35 *24449:CLK 0.000142277 +71 *2758:7 *4894:44 2.44184e-05 +72 *2758:16 *4894:44 1.94584e-05 +73 *2789:8 *4894:22 0.000576685 +74 *2789:8 *4894:24 5.92342e-05 +75 *2810:30 *24659:CLK 1.87125e-05 +76 *2810:30 *4894:76 9.42281e-05 +77 *2810:30 *4894:85 0.000141706 +78 *2964:35 *4894:9 0.000241281 +79 *3177:130 *24449:CLK 0.00155085 +80 *4876:69 *4894:18 2.31263e-05 +*RES +1 *24955:X *4894:9 24.8205 +2 *4894:9 *4894:11 5.16022 +3 *4894:11 *4894:18 18.0713 +4 *4894:18 *4894:22 19.1033 +5 *4894:22 *4894:24 7.64553 +6 *4894:24 *24653:CLK 23.3732 +7 *4894:24 *4894:44 28.3046 +8 *4894:44 *24893:CLK 34.7894 +9 *4894:44 *24657:CLK 9.24915 +10 *4894:22 *24654:CLK 13.7491 +11 *4894:18 *24656:CLK 9.24915 +12 *4894:11 *24655:CLK 10.2378 +13 *4894:9 *4894:76 15.4675 +14 *4894:76 *24449:CLK 32.7745 +15 *4894:76 *4894:85 7.23027 +16 *4894:85 *24658:CLK 13.7491 +17 *4894:85 *24659:CLK 32.9398 +*END + +*D_NET *4895 0.0242853 +*CONN +*I *24512:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24514:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24572:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24713:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24510:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24509:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24570:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24508:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24573:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24574:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24624:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24404:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24511:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24507:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24513:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24956:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24512:CLK 0.000221814 +2 *24514:CLK 0.000431041 +3 *24572:CLK 0 +4 *24713:CLK 0.000493773 +5 *24510:CLK 0 +6 *24509:CLK 0 +7 *24570:CLK 0 +8 *24508:CLK 0 +9 *24573:CLK 0 +10 *24574:CLK 0.000352235 +11 *24624:CLK 0.000815775 +12 *24404:CLK 5.1548e-05 +13 *24511:CLK 0 +14 *24507:CLK 0.000730399 +15 *24513:CLK 3.87102e-05 +16 *24956:X 0 +17 *4895:139 0.000771313 +18 *4895:117 0.0010791 +19 *4895:109 0.00096411 +20 *4895:69 0.00136936 +21 *4895:62 0.000635767 +22 *4895:53 0.000837352 +23 *4895:48 0.000756895 +24 *4895:43 0.000495597 +25 *4895:42 0.000468876 +26 *4895:24 0.00102066 +27 *4895:22 0.000508034 +28 *4895:20 0.000525587 +29 *4895:8 0.00078721 +30 *4895:4 0.000559139 +31 *24507:CLK *5866:499 0.000482787 +32 *24512:CLK *20591:A1 0.000113002 +33 *24514:CLK *5290:10 0 +34 *24514:CLK *5504:26 1.84293e-05 +35 *24514:CLK *5907:33 6.50727e-05 +36 *24574:CLK *5121:13 2.33737e-05 +37 *24624:CLK *5898:254 0.000284249 +38 *24713:CLK *24510:RESET_B 1.91114e-05 +39 *24713:CLK *5287:10 4.46465e-05 +40 *4895:20 *24572:RESET_B 4.00689e-05 +41 *4895:20 *5932:13 9.14669e-05 +42 *4895:22 *24511:RESET_B 0.000110583 +43 *4895:22 *24572:RESET_B 0.000239382 +44 *4895:22 *5932:13 0.000534011 +45 *4895:24 *24511:RESET_B 1.91114e-05 +46 *4895:24 *5932:13 0.00037783 +47 *4895:43 *5288:9 3.77804e-05 +48 *4895:48 *5285:7 0.000118245 +49 *4895:62 *5337:10 0.000186564 +50 *4895:109 *19898:A 1.80257e-05 +51 *4895:117 *19898:A 0.000222281 +52 *4895:117 *24509:RESET_B 9.41529e-05 +53 *4895:117 *5286:10 0.000151383 +54 *20428:B1 *24624:CLK 8.98169e-05 +55 *20503:B2 *4895:62 6.50586e-05 +56 *20589:B2 *24514:CLK 9.24128e-05 +57 *20591:B2 *24512:CLK 0.000181664 +58 *20591:B2 *24514:CLK 0.000193791 +59 *20591:B2 *4895:139 1.65872e-05 +60 *20596:A2 *4895:109 0.000187735 +61 *20596:B2 *4895:109 0.000163188 +62 *23899:A *24713:CLK 0.000197086 +63 *23952:A0 *24713:CLK 0 +64 *24507:D *24507:CLK 0.000104572 +65 *24508:D *4895:48 0.000224395 +66 *24510:D *24713:CLK 2.65831e-05 +67 *24512:D *24512:CLK 0.000129135 +68 *24572:D *4895:20 9.96342e-05 +69 *24573:D *4895:62 2.20702e-05 +70 *24573:D *4895:69 0.000121183 +71 *24624:D *24624:CLK 2.63704e-05 +72 *24954:A *24624:CLK 8.66716e-06 +73 *131:11 *4895:117 0.000382777 +74 *1911:62 *24713:CLK 0.000187498 +75 *1979:35 *24404:CLK 3.82228e-05 +76 *1979:35 *4895:62 0.000342009 +77 *1979:93 *4895:62 0.000197298 +78 *2006:30 *24514:CLK 1.87469e-05 +79 *2007:32 *4895:43 0.000179318 +80 *2007:32 *4895:48 0.00055528 +81 *2007:32 *4895:109 0.000479302 +82 *2007:37 *4895:48 5.05252e-05 +83 *2007:52 *24514:CLK 9.75356e-05 +84 *2375:10 *24514:CLK 0 +85 *2570:10 *4895:48 0 +86 *2570:10 *4895:53 9.31533e-05 +87 *2869:18 *24624:CLK 0.000102417 +88 *2881:10 *24624:CLK 9.18687e-05 +89 *2886:8 *4895:43 2.64881e-05 +90 *2886:8 *4895:48 0.000207353 +91 *2886:8 *4895:53 0 +92 *2886:8 *4895:109 0.000127956 +93 *3036:10 *4895:53 0.000327431 +94 *4822:22 *24404:CLK 5.04829e-06 +95 *4822:27 *4895:109 0 +96 *4823:8 *24624:CLK 0.000225425 +97 *4823:8 *4895:8 0 +98 *4823:8 *4895:69 6.05448e-05 +99 *4823:156 *4895:8 2.71542e-05 +100 *4823:156 *4895:20 0.000114141 +101 *4824:55 *4895:117 0.000265436 +102 *4824:70 *4895:8 0 +103 *4824:70 *4895:20 0 +104 *4824:70 *4895:117 0.000165605 +105 *4824:84 *4895:69 0 +106 *4830:11 *24507:CLK 6.78727e-06 +107 *4838:274 *24507:CLK 0 +108 *4840:325 *24507:CLK 1.29433e-05 +109 *4840:325 *4895:109 4.31703e-05 +110 *4840:325 *4895:117 1.00846e-05 +111 *4840:341 *24713:CLK 5.30211e-05 +112 *4840:341 *4895:109 6.4674e-06 +113 *4840:344 *24713:CLK 4.58003e-05 +114 *4841:232 *24513:CLK 5.07314e-05 +115 *4842:192 *4895:8 1.81532e-05 +116 *4843:131 *4895:48 1.41291e-05 +117 *4843:140 *24512:CLK 4.0752e-05 +118 *4843:140 *4895:8 0.000443564 +119 *4843:140 *4895:139 7.6719e-06 +120 *4844:88 *4895:48 0 +121 *4872:214 *24512:CLK 7.68538e-06 +*RES +1 *24956:X *4895:4 9.24915 +2 *4895:4 *4895:8 12.8773 +3 *4895:8 *24513:CLK 15.0271 +4 *4895:8 *4895:20 13.7022 +5 *4895:20 *4895:22 12.9247 +6 *4895:22 *4895:24 9.59705 +7 *4895:24 *24507:CLK 33.8439 +8 *4895:24 *24511:CLK 9.24915 +9 *4895:22 *4895:42 4.5 +10 *4895:42 *4895:43 3.493 +11 *4895:43 *4895:48 20.9009 +12 *4895:48 *4895:53 19.137 +13 *4895:53 *24404:CLK 10.5271 +14 *4895:53 *4895:62 16.2764 +15 *4895:62 *4895:69 10.265 +16 *4895:69 *24624:CLK 35.7064 +17 *4895:69 *24574:CLK 19.5122 +18 *4895:62 *24573:CLK 9.24915 +19 *4895:48 *24508:CLK 9.24915 +20 *4895:43 *24570:CLK 13.7491 +21 *4895:42 *4895:109 18.8218 +22 *4895:109 *24509:CLK 9.24915 +23 *4895:109 *4895:117 24.0409 +24 *4895:117 *24510:CLK 9.24915 +25 *4895:117 *24713:CLK 33.003 +26 *4895:20 *24572:CLK 9.24915 +27 *4895:4 *4895:139 2.13402 +28 *4895:139 *24514:CLK 29.9709 +29 *4895:139 *24512:CLK 16.3746 +*END + +*D_NET *4896 0.0144735 +*CONN +*I *24714:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24712:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24406:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24408:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24374:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24410:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24409:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24379:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24957:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24714:CLK 0.000645601 +2 *24712:CLK 0 +3 *24406:CLK 0 +4 *24408:CLK 3.29888e-05 +5 *24374:CLK 0.000513192 +6 *24410:CLK 0 +7 *24409:CLK 0.00033287 +8 *24379:CLK 0.00019995 +9 *24957:X 0.000288747 +10 *4896:62 0.00124521 +11 *4896:32 0.0003589 +12 *4896:30 0.000695919 +13 *4896:25 0.000432209 +14 *4896:22 0.0006025 +15 *4896:20 0.00120001 +16 *4896:7 0.000729114 +17 *24379:CLK *19837:A 6.66454e-05 +18 *24409:CLK *24409:RESET_B 8.2739e-05 +19 *24409:CLK *5342:10 0.000261987 +20 *24714:CLK *20293:A1 5.66868e-06 +21 *24714:CLK *24119:A 4.05593e-05 +22 *24714:CLK *24713:RESET_B 4.70402e-05 +23 *24714:CLK *24714:RESET_B 0.000111722 +24 *24714:CLK *5509:13 0 +25 *24714:CLK *5510:8 0.000120819 +26 *4896:22 *24406:RESET_B 8.22944e-05 +27 *4896:25 *21197:A 1.2601e-05 +28 *4896:62 *20293:A1 1.18938e-05 +29 *4896:62 *20591:A1 5.41227e-05 +30 *4896:62 *21427:A 0.00012568 +31 *4896:62 *5509:13 0 +32 *4896:62 *5891:14 0 +33 *4896:62 *5891:22 0.000248059 +34 *20293:B1 *4896:62 5.33266e-05 +35 *24379:D *24379:CLK 0 +36 *24410:D *24374:CLK 6.23875e-05 +37 *24712:D *4896:62 3.12316e-05 +38 *134:8 *24714:CLK 0.000738773 +39 *135:11 *24714:CLK 0.000904322 +40 *490:45 *24409:CLK 0.000100493 +41 *2262:13 *24408:CLK 2.16355e-05 +42 *2264:6 *4896:25 0.000691078 +43 *2264:6 *4896:30 0.000332568 +44 *2297:11 *24379:CLK 2.65831e-05 +45 *2487:14 *24379:CLK 0.00013092 +46 *2487:14 *4896:20 1.37925e-05 +47 *2687:10 *24714:CLK 0 +48 *2687:10 *4896:62 0 +49 *2687:11 *4896:20 0.000141245 +50 *2813:8 *4896:25 0.000746454 +51 *2813:8 *4896:30 0.000240168 +52 *4825:39 *24374:CLK 0.000342873 +53 *4825:39 *24409:CLK 0.000110997 +54 *4825:39 *4896:32 1.19856e-05 +55 *4826:28 *4896:25 0 +56 *4831:71 *4896:62 0 +57 *4835:7 *24714:CLK 0.000242981 +58 *4838:289 *4896:7 0.000477044 +59 *4845:102 *4896:30 3.60268e-05 +60 *4872:167 *4896:20 0.000151284 +61 *4872:167 *4896:22 1.43983e-05 +62 *4872:173 *4896:20 0.000211478 +63 *4872:180 *4896:20 2.95757e-05 +64 *4872:222 *4896:20 6.08467e-05 +*RES +1 *24957:X *4896:7 18.9094 +2 *4896:7 *24379:CLK 20.0418 +3 *4896:7 *4896:20 13.2954 +4 *4896:20 *4896:22 7.93324 +5 *4896:22 *4896:25 17.9591 +6 *4896:25 *4896:30 11.4732 +7 *4896:30 *4896:32 0.723396 +8 *4896:32 *24409:CLK 20.51 +9 *4896:32 *24410:CLK 9.24915 +10 *4896:30 *24374:CLK 23.283 +11 *4896:25 *24408:CLK 14.4725 +12 *4896:22 *24406:CLK 9.24915 +13 *4896:20 *4896:62 21.2811 +14 *4896:62 *24712:CLK 13.7491 +15 *4896:62 *24714:CLK 40.8156 +*END + +*D_NET *4897 0.0153028 +*CONN +*I *24375:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24353:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24354:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24392:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24626:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24351:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24393:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24462:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24458:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24391:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24958:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24375:CLK 0.000482764 +2 *24353:CLK 6.42816e-05 +3 *24354:CLK 0 +4 *24392:CLK 0.000219872 +5 *24626:CLK 0.000166651 +6 *24351:CLK 0.000317152 +7 *24393:CLK 6.78128e-05 +8 *24462:CLK 4.57623e-05 +9 *24458:CLK 0.000195365 +10 *24391:CLK 0.000110152 +11 *24958:X 0.000262714 +12 *4897:67 0.000575203 +13 *4897:65 0.000589505 +14 *4897:59 0.000859718 +15 *4897:58 0.00120139 +16 *4897:25 0.000737585 +17 *4897:20 0.00063681 +18 *4897:11 0.000511344 +19 *4897:10 0.000731107 +20 *4897:7 0.000672031 +21 *24351:CLK *5016:7 3.82228e-05 +22 *24375:CLK *4990:8 6.73186e-05 +23 *24392:CLK *5867:446 5.92192e-05 +24 *24393:CLK *20780:A1 0.000213739 +25 *24458:CLK *20669:A1 0.000151726 +26 *24626:CLK *20426:A1 9.63981e-05 +27 *4897:7 *20782:A1 1.03403e-05 +28 *4897:10 *20782:A1 0.000116454 +29 *4897:10 *21433:A 5.92342e-05 +30 *4897:10 *5891:26 3.31736e-05 +31 *4897:11 *24389:RESET_B 3.24105e-05 +32 *4897:11 *5867:473 1.03403e-05 +33 *4897:25 *5014:11 0.000157497 +34 *4897:58 *5891:26 0.000259137 +35 *4897:65 *24354:RESET_B 0.00026073 +36 *4897:65 *4991:7 0.000122572 +37 *4897:65 *5867:549 0.000118485 +38 *20586:B *4897:65 2.42138e-05 +39 *20586:B *4897:67 0.000258142 +40 *20669:B2 *24458:CLK 5.66868e-06 +41 *20739:A *4897:10 9.95063e-05 +42 *20739:A *4897:58 0.000232324 +43 *24353:D *4897:58 7.14746e-05 +44 *24353:D *4897:59 0.000136298 +45 *24391:D *24391:CLK 2.65667e-05 +46 *24391:D *4897:11 3.31745e-05 +47 *24393:D *24393:CLK 6.73351e-05 +48 *24458:D *24458:CLK 4.12533e-05 +49 *1771:203 *24393:CLK 6.11359e-06 +50 *1884:110 *24375:CLK 5.04829e-06 +51 *1884:121 *24626:CLK 6.04131e-05 +52 *1884:121 *4897:67 7.56085e-05 +53 *1955:103 *24626:CLK 6.60196e-05 +54 *2005:7 *4897:65 0.000161282 +55 *2005:7 *4897:67 2.65831e-05 +56 *2053:20 *24458:CLK 1.41761e-05 +57 *2053:20 *4897:10 3.31882e-05 +58 *2135:50 *4897:65 6.73022e-05 +59 *2135:70 *24626:CLK 0.000466373 +60 *2135:70 *4897:67 0.000457683 +61 *2264:6 *4897:20 0.000165495 +62 *2264:6 *4897:25 0.000158087 +63 *2264:6 *4897:65 0.000122098 +64 *2264:15 *4897:25 0.000246031 +65 *2294:12 *24353:CLK 1.43983e-05 +66 *2294:12 *24375:CLK 0.000196406 +67 *2294:12 *4897:59 3.79844e-06 +68 *2530:11 *24626:CLK 0.000169078 +69 *2881:17 *24392:CLK 0.000568466 +70 *4816:9 *24462:CLK 4.31703e-05 +71 *4816:9 *4897:25 0.000149018 +72 *4823:8 *24392:CLK 0.000218517 +73 *4824:97 *24458:CLK 0.000237023 +74 *4843:185 *4897:20 6.91859e-05 +75 *4843:185 *4897:25 6.74811e-05 +76 *4843:189 *4897:25 0.000184624 +77 *4872:127 *24458:CLK 0 +*RES +1 *24958:X *4897:7 17.2456 +2 *4897:7 *4897:10 8.82351 +3 *4897:10 *4897:11 6.82404 +4 *4897:11 *24391:CLK 11.6364 +5 *4897:11 *4897:20 7.57775 +6 *4897:20 *4897:25 22.9799 +7 *4897:25 *24458:CLK 25.0642 +8 *4897:25 *24462:CLK 10.5271 +9 *4897:20 *24393:CLK 16.7151 +10 *4897:10 *24351:CLK 16.0732 +11 *4897:7 *4897:58 15.8828 +12 *4897:58 *4897:59 5.71483 +13 *4897:59 *4897:65 22.5081 +14 *4897:65 *4897:67 7.37864 +15 *4897:67 *24626:CLK 26.4871 +16 *4897:67 *24392:CLK 28.3862 +17 *4897:65 *24354:CLK 9.24915 +18 *4897:59 *24353:CLK 10.9612 +19 *4897:58 *24375:CLK 21.1128 +*END + +*D_NET *4898 0.022496 +*CONN +*I *24378:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24705:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24706:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24355:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24411:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24412:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24418:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24484:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24486:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24487:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24488:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24414:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24959:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24378:CLK 0.001128 +2 *24705:CLK 0 +3 *24706:CLK 2.77977e-05 +4 *24355:CLK 0.000730434 +5 *24411:CLK 0 +6 *24412:CLK 0 +7 *24418:CLK 5.53603e-05 +8 *24484:CLK 0.000218977 +9 *24486:CLK 0 +10 *24487:CLK 0.000206593 +11 *24488:CLK 2.57738e-05 +12 *24414:CLK 0.000115261 +13 *24959:X 0.000102211 +14 *4898:99 0.00166543 +15 *4898:86 0.000758232 +16 *4898:84 0.000933059 +17 *4898:37 0.000693573 +18 *4898:35 0.000540643 +19 *4898:34 0.000637627 +20 *4898:31 0.00062573 +21 *4898:27 0.000776764 +22 *4898:20 0.00100345 +23 *4898:15 0.000959403 +24 *4898:6 0.00032341 +25 *24355:CLK *24355:RESET_B 1.91114e-05 +26 *24355:CLK *4992:11 0.00014599 +27 *24355:CLK *5867:636 0.000134849 +28 *24378:CLK *6047:DIODE 2.41274e-06 +29 *24414:CLK *19876:A 6.3657e-05 +30 *24414:CLK *24411:SET_B 0.000114594 +31 *24484:CLK *21619:A 0.000158371 +32 *24487:CLK *24487:RESET_B 2.65831e-05 +33 *24487:CLK *5303:9 0.000103077 +34 *24487:CLK *5865:194 8.07939e-05 +35 *24488:CLK *5865:194 0 +36 *4898:15 *24411:SET_B 8.36326e-05 +37 *4898:20 *5021:8 3.58951e-06 +38 *4898:34 *21253:B1 7.65564e-05 +39 *4898:34 *5893:16 0.000754773 +40 *4898:35 *5865:212 4.84944e-05 +41 *4898:37 *5865:194 3.46062e-05 +42 *4898:37 *5865:200 1.25165e-05 +43 *4898:37 *5865:212 0.000325932 +44 *20296:A *24355:CLK 0.000357912 +45 *20302:B2 *4898:99 0.00014409 +46 *20626:A *24484:CLK 0.000253916 +47 *20737:A2 *4898:6 0.000172691 +48 *20737:A2 *4898:15 0.000231395 +49 *20737:A2 *4898:84 0.000114955 +50 *20797:A *24355:CLK 6.23875e-05 +51 *24355:D *24355:CLK 2.99978e-05 +52 *24378:D *24378:CLK 2.16355e-05 +53 *24411:D *4898:20 4.65396e-05 +54 *24487:D *24487:CLK 5.63155e-05 +55 *24705:D *4898:99 0 +56 *24959:A *4898:31 0.000551682 +57 *477:44 *4898:6 0 +58 *477:44 *4898:15 0 +59 *477:44 *4898:84 0 +60 *477:44 *4898:99 0 +61 *490:21 *24487:CLK 8.62625e-06 +62 *1595:13 *24484:CLK 2.59398e-05 +63 *1658:168 *24355:CLK 6.50727e-05 +64 *1658:168 *4898:27 0.000148129 +65 *1658:168 *4898:31 0.00080048 +66 *1658:188 *24355:CLK 0.000324141 +67 *1771:192 *24418:CLK 3.31745e-05 +68 *1771:192 *4898:34 0.000699675 +69 *1913:11 *24355:CLK 6.50727e-05 +70 *1913:14 *4898:99 0 +71 *1913:29 *24378:CLK 0 +72 *1913:29 *4898:99 0 +73 *1914:10 *24378:CLK 6.28598e-05 +74 *1914:10 *4898:99 9.20398e-05 +75 *2019:12 *24484:CLK 0.000389746 +76 *2019:12 *4898:35 0.000190028 +77 *2019:12 *4898:37 0.000118553 +78 *2019:14 *4898:37 6.43474e-05 +79 *2019:19 *4898:37 0.000727972 +80 *2135:20 *24355:CLK 1.61631e-05 +81 *2250:13 *24484:CLK 0.000173627 +82 *2250:13 *4898:35 5.50458e-05 +83 *2250:13 *4898:37 0.000271824 +84 *2258:12 *24487:CLK 7.75932e-05 +85 *2258:12 *4898:37 9.31394e-05 +86 *2294:21 *24355:CLK 0.00128049 +87 *2294:25 *24355:CLK 0.00034768 +88 *2294:25 *24706:CLK 7.16893e-05 +89 *2417:21 *24378:CLK 0.000120068 +90 *2486:24 *4898:6 1.07248e-05 +91 *2499:10 *4898:27 3.97254e-05 +92 *2499:10 *4898:31 8.00422e-05 +93 *4814:7 *24484:CLK 1.65872e-05 +94 *4815:11 *24355:CLK 9.40969e-05 +95 *4815:11 *24706:CLK 6.6641e-05 +96 *4815:11 *4898:84 0 +97 *4815:11 *4898:99 0 +98 *4825:49 *24414:CLK 0.000104244 +99 *4838:310 *4898:84 0 +*RES +1 *24959:X *4898:6 16.8269 +2 *4898:6 *24414:CLK 17.8002 +3 *4898:6 *4898:15 4.32351 +4 *4898:15 *4898:20 11.2035 +5 *4898:20 *4898:27 16.639 +6 *4898:27 *4898:31 18.3743 +7 *4898:31 *4898:34 17.9793 +8 *4898:34 *4898:35 3.493 +9 *4898:35 *4898:37 20.1031 +10 *4898:37 *24488:CLK 14.4819 +11 *4898:37 *24487:CLK 20.8779 +12 *4898:35 *24486:CLK 13.7491 +13 *4898:34 *24484:CLK 24.0606 +14 *4898:31 *24418:CLK 10.5271 +15 *4898:27 *24412:CLK 13.7491 +16 *4898:20 *24411:CLK 9.24915 +17 *4898:15 *4898:84 9.30653 +18 *4898:84 *4898:86 4.5 +19 *4898:86 *24355:CLK 40.4757 +20 *4898:86 *24706:CLK 11.0817 +21 *4898:84 *4898:99 13.4591 +22 *4898:99 *24705:CLK 13.7491 +23 *4898:99 *24378:CLK 33.8455 +*END + +*D_NET *4899 0.0214048 +*CONN +*I *24718:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24376:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24380:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24377:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24373:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24708:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24707:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24710:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24716:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24717:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24715:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24711:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24709:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24960:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24718:CLK 3.87102e-05 +2 *24376:CLK 7.25982e-05 +3 *24380:CLK 0.000749858 +4 *24377:CLK 0 +5 *24373:CLK 0.000556134 +6 *24708:CLK 0.000363746 +7 *24707:CLK 0.000240878 +8 *24710:CLK 0.000174012 +9 *24716:CLK 8.652e-05 +10 *24717:CLK 3.51473e-05 +11 *24715:CLK 0 +12 *24711:CLK 0.000403154 +13 *24709:CLK 0 +14 *24960:X 0.000110858 +15 *4899:121 0.000982305 +16 *4899:102 0.000632196 +17 *4899:98 0.000348235 +18 *4899:82 0.000944381 +19 *4899:76 0.000704106 +20 *4899:59 0.000838864 +21 *4899:50 0.000929322 +22 *4899:39 0.000541043 +23 *4899:27 0.000525854 +24 *4899:24 0.000367089 +25 *4899:17 0.00058211 +26 *4899:9 0.000338561 +27 *24373:CLK *19837:A 8.54964e-05 +28 *24373:CLK *20806:A1 2.75043e-05 +29 *24373:CLK *24373:SET_B 4.32761e-05 +30 *24373:CLK *5002:8 5.04829e-06 +31 *24380:CLK *5507:12 0.000143039 +32 *24380:CLK *5867:577 0 +33 *24707:CLK *23946:A1 0.000113436 +34 *24707:CLK *5728:10 0.000322201 +35 *24708:CLK *24377:RESET_B 3.24105e-05 +36 *24708:CLK *24708:RESET_B 4.81849e-05 +37 *24708:CLK *5002:8 0.000261987 +38 *24708:CLK *5507:12 3.34862e-05 +39 *24710:CLK *23946:A0 0 +40 *24711:CLK *24711:RESET_B 8.77922e-05 +41 *24711:CLK *24715:RESET_B 0.000142903 +42 *24711:CLK *5512:11 0.000213739 +43 *24716:CLK *24716:RESET_B 3.67586e-05 +44 *4899:24 *5897:12 0.000153225 +45 *4899:27 *24715:RESET_B 9.23118e-05 +46 *4899:27 *5512:11 0.000238222 +47 *4899:39 *5897:12 0.000186445 +48 *4899:50 *5897:12 0.000918091 +49 *4899:59 *21249:A 0.000163912 +50 *4899:59 *23946:A1 2.3527e-05 +51 *4899:59 *24716:RESET_B 6.76218e-05 +52 *4899:59 *5513:7 0.000151383 +53 *4899:59 *5728:10 1.61631e-05 +54 *4899:76 *5507:12 0.000388264 +55 *4899:82 *5507:12 0.00062841 +56 *4899:98 *5002:8 2.60597e-05 +57 *4899:102 *20806:A1 0 +58 *4899:102 *5002:8 1.40978e-05 +59 *4899:121 *5002:8 5.79399e-05 +60 *4899:121 *5507:12 0.000141075 +61 mgmt_gpio_out[30] *24710:CLK 0.000360687 +62 *20285:A *24708:CLK 4.88112e-06 +63 *20285:A *4899:82 0 +64 *20286:A *4899:24 1.61631e-05 +65 *20287:A2 *4899:17 3.85049e-05 +66 *20287:B1 *4899:76 8.52489e-05 +67 *20287:B2 *4899:17 5.08751e-05 +68 *20287:B2 *4899:24 0.000213725 +69 *20801:A2 *24380:CLK 2.27135e-05 +70 *20802:A2 *24380:CLK 0.000168334 +71 *20802:A2 *4899:121 0.000194577 +72 *20806:A2 *24373:CLK 6.50586e-05 +73 *20806:B1 *24373:CLK 2.65667e-05 +74 *23909:A *4899:59 0.000128091 +75 *23911:A *4899:59 8.15039e-05 +76 *24373:D *24373:CLK 0.00112603 +77 *24377:D *4899:121 0.00012568 +78 *24378:D *24380:CLK 0.000122083 +79 *24716:D *4899:50 9.60366e-05 +80 *24718:D *4899:76 0 +81 *1910:9 *4899:17 0.000107496 +82 *1910:21 *4899:17 4.68913e-05 +83 *1911:5 *4899:24 1.41689e-05 +84 *1911:16 *4899:24 6.31665e-05 +85 *1911:16 *4899:39 7.55409e-05 +86 *1911:16 *4899:50 6.5713e-05 +87 *1911:25 *4899:50 0.00024188 +88 *1911:39 *4899:50 4.38408e-05 +89 *1914:37 *4899:9 6.80864e-05 +90 *2082:6 *24380:CLK 3.20069e-06 +91 *2082:29 *24380:CLK 0.000150046 +92 *2082:34 *24380:CLK 3.46062e-05 +93 *2316:8 *4899:9 0 +94 *2451:7 *24717:CLK 3.82228e-05 +95 *2451:13 *4899:17 0.000942108 +96 *2451:13 *4899:24 0.000164815 +97 *2499:10 *24380:CLK 0.000161726 +98 *2499:10 *4899:121 3.11022e-05 +99 *2545:11 *24376:CLK 6.13051e-05 +100 *4827:135 *24717:CLK 0.000107496 +101 *4832:32 *4899:76 0 +102 *4832:32 *4899:82 0 +103 *4839:357 *24380:CLK 0.000177298 +104 *4840:359 *24716:CLK 7.89747e-05 +105 *4840:359 *4899:59 0.00011971 +106 *4843:935 *24710:CLK 0.000200221 +107 *4845:794 *24718:CLK 5.07314e-05 +*RES +1 *24960:X *4899:9 21.635 +2 *4899:9 *24709:CLK 9.24915 +3 *4899:9 *4899:17 10.7304 +4 *4899:17 *4899:24 10.683 +5 *4899:24 *4899:27 9.66022 +6 *4899:27 *24711:CLK 20.51 +7 *4899:27 *24715:CLK 9.24915 +8 *4899:24 *4899:39 3.493 +9 *4899:39 *24717:CLK 15.0271 +10 *4899:39 *4899:50 21.2811 +11 *4899:50 *24716:CLK 11.6364 +12 *4899:50 *4899:59 15.7218 +13 *4899:59 *24710:CLK 24.6489 +14 *4899:59 *24707:CLK 17.737 +15 *4899:17 *4899:76 11.7303 +16 *4899:76 *4899:82 13.9476 +17 *4899:82 *24708:CLK 25.1121 +18 *4899:82 *4899:98 6.88721 +19 *4899:98 *4899:102 1.85672 +20 *4899:102 *24373:CLK 27.9369 +21 *4899:102 *24377:CLK 9.24915 +22 *4899:98 *4899:121 12.1455 +23 *4899:121 *24380:CLK 37.1743 +24 *4899:121 *24376:CLK 15.5817 +25 *4899:76 *24718:CLK 15.0271 +*END + +*D_NET *4900 0.0294715 +*CONN +*I *24679:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24685:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24719:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24678:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24731:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24726:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24720:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24723:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24682:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24681:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24724:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24683:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24722:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24725:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24684:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24680:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24721:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24961:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24679:CLK 0 +2 *24685:CLK 0.000560717 +3 *24719:CLK 0 +4 *24678:CLK 0 +5 *24731:CLK 0.000297058 +6 *24726:CLK 0.000776925 +7 *24720:CLK 0 +8 *24723:CLK 0 +9 *24682:CLK 0.000333417 +10 *24681:CLK 0.00028316 +11 *24724:CLK 0 +12 *24683:CLK 0.000436047 +13 *24722:CLK 4.95215e-05 +14 *24725:CLK 0.00013622 +15 *24684:CLK 0.000179042 +16 *24680:CLK 8.5896e-05 +17 *24721:CLK 0.000298904 +18 *24961:X 4.5018e-05 +19 *4900:171 0.000637549 +20 *4900:149 0.0012486 +21 *4900:147 0.000403306 +22 *4900:145 0.00090185 +23 *4900:124 0.000984759 +24 *4900:116 0.000912242 +25 *4900:112 0.000867279 +26 *4900:107 0.00102566 +27 *4900:79 0.00110343 +28 *4900:70 0.00123083 +29 *4900:59 0.000671172 +30 *4900:41 0.000639831 +31 *4900:38 0.00073694 +32 *4900:29 0.000331084 +33 *4900:12 0.00095572 +34 *4900:5 0.00101594 +35 *24680:CLK *24725:RESET_B 0.000271058 +36 *24682:CLK *24682:RESET_B 4.32761e-05 +37 *24683:CLK *20338:A1 7.09395e-05 +38 *24683:CLK *24683:RESET_B 4.46219e-05 +39 *24683:CLK *5505:9 0.000542253 +40 *24683:CLK *5903:6 0.000195154 +41 *24684:CLK *24684:RESET_B 0.00010816 +42 *24684:CLK *5506:8 8.39059e-05 +43 *24685:CLK *19560:S 1.43848e-05 +44 *24685:CLK *5898:236 6.61971e-05 +45 *24685:CLK *5921:109 4.03381e-05 +46 *24722:CLK *20280:A1 0.000224381 +47 *24725:CLK *5903:6 0 +48 *24725:CLK *5906:20 1.84293e-05 +49 *24726:CLK *24726:RESET_B 7.98171e-06 +50 *24726:CLK *5545:7 9.80242e-07 +51 *24731:CLK *5865:511 0 +52 *4900:41 *5506:8 0.00013243 +53 *4900:79 *24724:RESET_B 0.000322528 +54 *4900:107 *20282:A1 7.1916e-05 +55 *4900:107 *24049:A0 7.26606e-05 +56 *4900:112 *24720:RESET_B 8.77758e-05 +57 *4900:124 *24723:RESET_B 5.62451e-05 +58 *4900:124 *5542:7 3.82228e-05 +59 *20276:B2 *24726:CLK 2.41817e-05 +60 *20276:B2 *4900:149 2.58163e-05 +61 *20276:B2 *4900:171 5.75263e-05 +62 *20278:A2 *4900:59 0 +63 *20278:A2 *4900:70 0 +64 *20281:B1 *4900:12 0.000175689 +65 *20282:B2 *24685:CLK 6.08467e-05 +66 *20283:B2 *24726:CLK 5.04829e-06 +67 *20337:B2 *4900:79 0 +68 *20338:B1 *24683:CLK 4.33655e-05 +69 *20339:B2 *24682:CLK 0.000217328 +70 *20340:A2 *4900:79 0.000174488 +71 *20341:A2 *4900:41 0.00086964 +72 *20342:B1 *4900:107 0.000151494 +73 *24679:D *4900:107 7.53724e-05 +74 *24681:D *24681:CLK 0 +75 *24682:D *24682:CLK 2.65667e-05 +76 *24684:D *24684:CLK 6.65229e-05 +77 *24722:D *24722:CLK 7.97944e-05 +78 *24726:D *24726:CLK 0.000126866 +79 *144:8 *24681:CLK 0 +80 *144:8 *4900:70 0 +81 *144:8 *4900:145 4.20662e-05 +82 *144:8 *4900:147 0.000238662 +83 *144:8 *4900:149 9.63895e-05 +84 *144:8 *4900:171 6.17543e-05 +85 *477:69 *4900:107 9.01124e-06 +86 *477:73 *4900:107 0 +87 *477:90 *24721:CLK 2.77792e-05 +88 *477:90 *4900:12 0.000123445 +89 *477:90 *4900:29 5.75075e-05 +90 *477:90 *4900:107 0 +91 *477:106 *4900:29 0.000237068 +92 *477:106 *4900:38 0.000194592 +93 *477:106 *4900:59 0.000161966 +94 *478:12 *24682:CLK 9.40261e-05 +95 *1907:27 *24726:CLK 7.92757e-06 +96 *1907:75 *4900:59 0 +97 *1908:8 *4900:147 6.18026e-05 +98 *1908:8 *4900:149 0.000182335 +99 *1908:32 *4900:147 0.000484316 +100 *1908:45 *4900:145 0.000104747 +101 *1908:45 *4900:147 2.95757e-05 +102 *1908:56 *4900:124 1.84969e-05 +103 *1924:72 *4900:12 0 +104 *1924:72 *4900:107 0 +105 *1925:17 *4900:124 0.000313597 +106 *1925:40 *24725:CLK 8.36326e-05 +107 *2262:30 *4900:124 9.16212e-05 +108 *2297:25 *24685:CLK 0.00115373 +109 *2367:11 *24726:CLK 1.37973e-05 +110 *2467:21 *24722:CLK 6.11359e-06 +111 *2570:35 *4900:171 0.000292759 +112 *2620:20 *24731:CLK 0.000946593 +113 *4815:26 *24731:CLK 0.00073255 +114 *4828:35 *4900:79 1.61631e-05 +115 *4833:181 *24725:CLK 1.50262e-05 +116 *4833:188 *24725:CLK 2.65831e-05 +117 *4840:370 *24681:CLK 6.99486e-05 +118 *4840:373 *24681:CLK 6.30724e-05 +119 *4840:373 *4900:12 9.72539e-05 +120 *4840:373 *4900:29 7.20896e-05 +121 *4840:373 *4900:38 5.93657e-05 +122 *4840:373 *4900:59 0.000174217 +123 *4840:373 *4900:70 0.000107425 +124 *4841:276 *24682:CLK 0.000187914 +125 *4842:230 *24682:CLK 0.000422734 +126 *4843:935 *24683:CLK 3.93117e-06 +127 *4843:936 *24683:CLK 0.000171288 +128 *4844:783 *24684:CLK 6.95605e-05 +129 *4844:783 *4900:41 0.000139462 +130 *4845:755 *4900:171 6.50586e-05 +*RES +1 *24961:X *4900:5 9.97254 +2 *4900:5 *4900:12 17.2807 +3 *4900:12 *24721:CLK 20.069 +4 *4900:12 *4900:29 5.77689 +5 *4900:29 *24680:CLK 16.691 +6 *4900:29 *4900:38 3.493 +7 *4900:38 *4900:41 17.4247 +8 *4900:41 *24684:CLK 15.5186 +9 *4900:41 *24725:CLK 22.4655 +10 *4900:38 *4900:59 10.5523 +11 *4900:59 *24722:CLK 16.7151 +12 *4900:59 *4900:70 7.23027 +13 *4900:70 *4900:79 16.5325 +14 *4900:79 *24683:CLK 33.5576 +15 *4900:79 *24724:CLK 9.24915 +16 *4900:70 *24681:CLK 21.151 +17 *4900:5 *4900:107 21.6286 +18 *4900:107 *4900:112 9.64528 +19 *4900:112 *4900:116 3.93045 +20 *4900:116 *4900:124 22.2426 +21 *4900:124 *24682:CLK 32.3091 +22 *4900:124 *24723:CLK 9.24915 +23 *4900:116 *24720:CLK 9.24915 +24 *4900:112 *4900:145 6.74725 +25 *4900:145 *4900:147 10.5523 +26 *4900:147 *4900:149 4.53113 +27 *4900:149 *24726:CLK 26.3384 +28 *4900:149 *4900:171 15.4427 +29 *4900:171 *24731:CLK 34.615 +30 *4900:171 *24678:CLK 9.24915 +31 *4900:147 *24719:CLK 13.7491 +32 *4900:145 *24685:CLK 32.2199 +33 *4900:107 *24679:CLK 9.24915 +*END + +*D_NET *4901 0.0317422 +*CONN +*I *24691:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24694:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24734:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24903:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24416:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24415:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24413:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24732:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24689:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24692:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24198:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24324:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24325:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24417:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24733:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24905:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24729:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24693:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24962:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24691:CLK 0.000170867 +2 *24694:CLK 0 +3 *24734:CLK 0.000702734 +4 *24903:CLK 0 +5 *24416:CLK 0.00045991 +6 *24415:CLK 0 +7 *24413:CLK 0 +8 *24732:CLK 0 +9 *24689:CLK 0.00060858 +10 *24692:CLK 0.000235307 +11 *24198:CLK 3.64478e-05 +12 *24324:CLK 3.74078e-05 +13 *24325:CLK 0.000105321 +14 *24417:CLK 0.000317702 +15 *24733:CLK 0 +16 *24905:CLK 0.000281699 +17 *24729:CLK 0.000536122 +18 *24693:CLK 0 +19 *24962:X 0.000126452 +20 *4901:122 0.00119362 +21 *4901:110 0.00108631 +22 *4901:102 0.00120378 +23 *4901:94 0.00118506 +24 *4901:84 0.000964237 +25 *4901:82 0.000773202 +26 *4901:80 0.000237287 +27 *4901:79 0.00113627 +28 *4901:69 0.00100353 +29 *4901:63 0.00110662 +30 *4901:55 0.000499642 +31 *4901:53 0.000592671 +32 *4901:43 0.00050047 +33 *4901:40 0.000500458 +34 *4901:27 0.000521552 +35 *4901:12 0.000758158 +36 *4901:5 0.000348487 +37 *24198:CLK *24324:RESET_B 0 +38 *24325:CLK *20921:B1 0.000213707 +39 *24416:CLK *24416:RESET_B 0.0001797 +40 *24416:CLK *5029:7 8.49846e-05 +41 *24416:CLK *5921:98 0.000384965 +42 *24417:CLK *24417:RESET_B 1.91114e-05 +43 *24417:CLK *24418:RESET_B 0.000167051 +44 *24417:CLK *5865:473 6.23875e-05 +45 *24689:CLK *5865:342 2.02035e-05 +46 *24691:CLK *24691:RESET_B 3.40557e-05 +47 *24692:CLK *5884:32 0.000174175 +48 *24729:CLK *24729:RESET_B 0.000171949 +49 *24734:CLK *24706:RESET_B 0.000124709 +50 *24734:CLK *24734:RESET_B 1.19856e-05 +51 *24734:CLK *5921:99 0.000424832 +52 *4901:53 *20321:A1 7.20595e-05 +53 *4901:53 *5865:473 3.17283e-05 +54 *4901:53 *5865:629 6.23948e-05 +55 *4901:53 *5865:640 0.000142748 +56 *4901:55 *5865:473 0.00018714 +57 *4901:69 *5030:9 9.60366e-05 +58 *4901:69 *5921:99 5.5822e-05 +59 *4901:79 *24416:RESET_B 0.000455515 +60 *4901:79 *5924:46 6.27764e-05 +61 *4901:84 *5865:391 0 +62 *4901:94 *20921:A1 2.91306e-06 +63 *4901:102 *20921:A1 1.41539e-05 +64 *4901:102 *5865:313 4.03381e-05 +65 *4901:102 *5865:321 7.03358e-05 +66 *4901:110 *5865:321 8.03262e-05 +67 *4901:122 *24198:RESET_B 0 +68 *4901:122 *24732:RESET_B 4.30017e-06 +69 *4901:122 *5865:321 8.20387e-05 +70 *4901:122 *5865:329 6.64662e-05 +71 *19599:A1 *24905:CLK 0.000222716 +72 *20320:A *24691:CLK 6.08467e-05 +73 *20321:B2 *24691:CLK 0.000634575 +74 *20321:B2 *4901:40 0.000370829 +75 *20921:A2 *24324:CLK 2.53145e-06 +76 *20921:A2 *4901:94 3.87797e-05 +77 *20921:B2 *24325:CLK 7.70102e-05 +78 *21775:A *4901:12 0.000273277 +79 *23965:S *24689:CLK 3.82228e-05 +80 *24324:D *4901:94 1.41181e-05 +81 *24324:D *4901:102 2.78407e-05 +82 *24415:D *4901:82 1.42855e-05 +83 *24416:D *24416:CLK 5.481e-05 +84 *24417:D *24417:CLK 0.000108111 +85 *24693:D *24729:CLK 5.97576e-05 +86 *24903:D *4901:69 7.14746e-05 +87 *24905:D *24905:CLK 7.22498e-05 +88 *478:46 *24692:CLK 0.000607716 +89 *489:22 *4901:82 3.46062e-05 +90 *489:22 *4901:84 0.000104128 +91 *489:29 *24325:CLK 1.30635e-05 +92 *489:29 *4901:84 0.000154112 +93 *1629:18 *24905:CLK 0.000107992 +94 *1904:33 *24689:CLK 0.000201862 +95 *1906:6 *24692:CLK 0.000186793 +96 *1920:5 *24691:CLK 4.81015e-05 +97 *2148:80 *24325:CLK 4.48391e-05 +98 *2262:34 *4901:40 2.82537e-05 +99 *2294:28 *24905:CLK 0.000118485 +100 *2294:28 *4901:12 0.000156055 +101 *2294:28 *4901:40 0.000638114 +102 *2294:30 *4901:12 7.69939e-05 +103 *2320:10 *4901:69 5.6067e-05 +104 *2320:10 *4901:79 6.63489e-05 +105 *2320:16 *4901:12 2.27135e-05 +106 *2320:20 *4901:12 1.62321e-05 +107 *2360:17 *24691:CLK 0.000699647 +108 *2360:17 *4901:40 0.000366617 +109 *2460:20 *4901:5 1.71806e-05 +110 *2460:20 *4901:12 9.19632e-06 +111 *2486:32 *24416:CLK 6.18026e-05 +112 *2486:32 *4901:80 7.95872e-05 +113 *2486:32 *4901:82 1.82306e-05 +114 *2499:23 *4901:79 0.000160617 +115 *2499:26 *4901:79 1.27831e-06 +116 *2530:44 *24689:CLK 2.37478e-05 +117 *2584:26 *24689:CLK 0.000198385 +118 *3011:17 *4901:79 0.000110684 +119 *3011:22 *24905:CLK 0.000118485 +120 *3011:22 *4901:40 0.000575168 +121 *3034:25 *24689:CLK 0.00116288 +122 *4816:22 *4901:43 3.98548e-05 +123 *4816:22 *4901:53 3.89332e-06 +124 *4824:112 *4901:79 6.48631e-05 +125 *4827:106 *4901:43 0.000163997 +126 *4827:106 *4901:53 0.00022117 +127 *4827:115 *4901:53 0.000632614 +128 *4830:42 *4901:79 0.000414131 +129 *4834:26 *24734:CLK 0.00022118 +130 *4834:30 *24734:CLK 0.000135288 +131 *4834:30 *4901:69 5.71944e-05 +132 *4838:321 *24416:CLK 0.000258128 +133 *4838:331 *24325:CLK 0 +134 *4838:331 *24416:CLK 0 +135 *4838:331 *4901:80 0 +136 *4838:331 *4901:82 0 +137 *4838:331 *4901:84 0 +138 *4846:5 *24905:CLK 4.97617e-05 +139 *4872:60 *4901:79 0.000221879 +*RES +1 *24962:X *4901:5 11.0817 +2 *4901:5 *4901:12 16.2625 +3 *4901:12 *24693:CLK 9.24915 +4 *4901:12 *24729:CLK 21.8121 +5 *4901:5 *4901:27 4.5 +6 *4901:27 *24905:CLK 23.9296 +7 *4901:27 *4901:40 19.9338 +8 *4901:40 *4901:43 7.57775 +9 *4901:43 *24733:CLK 13.7491 +10 *4901:43 *4901:53 20.2237 +11 *4901:53 *4901:55 5.16022 +12 *4901:55 *24417:CLK 20.51 +13 *4901:55 *4901:63 4.5 +14 *4901:63 *4901:69 13.8604 +15 *4901:69 *4901:79 30.772 +16 *4901:79 *4901:80 2.24725 +17 *4901:80 *4901:82 3.90826 +18 *4901:82 *4901:84 15.5353 +19 *4901:84 *24325:CLK 18.0727 +20 *4901:84 *4901:94 7.99641 +21 *4901:94 *24324:CLK 10.2378 +22 *4901:94 *4901:102 12.3701 +23 *4901:102 *24198:CLK 10.2378 +24 *4901:102 *4901:110 4.05102 +25 *4901:110 *24692:CLK 29.2167 +26 *4901:110 *4901:122 8.92188 +27 *4901:122 *24689:CLK 31.9236 +28 *4901:122 *24732:CLK 9.24915 +29 *4901:82 *24413:CLK 13.7491 +30 *4901:80 *24415:CLK 13.7491 +31 *4901:79 *24416:CLK 29.1998 +32 *4901:69 *24903:CLK 9.24915 +33 *4901:63 *24734:CLK 37.4936 +34 *4901:53 *24694:CLK 9.24915 +35 *4901:40 *24691:CLK 18.8462 +*END + +*D_NET *4902 0.017365 +*CONN +*I *24322:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24321:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24763:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24765:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24766:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24328:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24323:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24327:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24217:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24326:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24963:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24322:CLK 0 +2 *24321:CLK 3.99155e-05 +3 *24763:CLK 0.000316778 +4 *24765:CLK 2.50285e-05 +5 *24766:CLK 0.000179789 +6 *24328:CLK 0 +7 *24323:CLK 0.000233161 +8 *24327:CLK 0.000174062 +9 *24217:CLK 0.000525571 +10 *24326:CLK 0.000109793 +11 *24963:X 0.000498337 +12 *4902:71 0.001015 +13 *4902:59 0.00125412 +14 *4902:57 0.000839412 +15 *4902:36 0.000550118 +16 *4902:27 0.000846192 +17 *4902:22 0.000264592 +18 *4902:20 0.00115605 +19 *4902:19 0.000733828 +20 *4902:7 0.000945112 +21 *24217:CLK *5865:258 3.024e-05 +22 *24321:CLK *24321:SET_B 3.99674e-05 +23 *24323:CLK *4961:8 0 +24 *24323:CLK *5893:18 0.000513264 +25 *24326:CLK *20919:A1 2.57986e-05 +26 *24327:CLK *5853:146 0.000196019 +27 *24763:CLK *24321:SET_B 1.82679e-05 +28 *24763:CLK *5086:7 2.61955e-05 +29 *24763:CLK *5865:100 7.20484e-05 +30 *24765:CLK *5855:166 2.16355e-05 +31 *24766:CLK *20213:A1 1.32191e-05 +32 *24766:CLK *5092:8 1.05272e-06 +33 *4902:19 *5924:42 0 +34 *4902:20 *24322:SET_B 0.00019249 +35 *4902:20 *24328:RESET_B 0.000109455 +36 *4902:20 *5855:187 5.04829e-06 +37 *4902:22 *24328:RESET_B 4.62705e-05 +38 *4902:27 *24328:RESET_B 1.99131e-05 +39 *4902:27 *5893:18 0.000383136 +40 *4902:36 *5893:18 0.000356844 +41 *20727:B *4902:57 0.000153466 +42 *20727:B *4902:59 4.6362e-05 +43 *20727:B *4902:71 8.39243e-05 +44 *20915:A *4902:57 9.60366e-05 +45 *20917:A2 *4902:57 0.000182639 +46 *20917:B1 *4902:57 1.48603e-05 +47 *20922:B2 *24323:CLK 0.000332006 +48 *20923:A2 *4902:19 5.41377e-05 +49 *24322:D *4902:19 0 +50 *24323:D *24323:CLK 2.81494e-05 +51 *24326:D *24326:CLK 6.78549e-05 +52 *24328:D *4902:57 8.97257e-05 +53 *24765:D *4902:71 0 +54 *24766:D *24765:CLK 2.16355e-05 +55 *24963:A *4902:7 0.000113436 +56 *1418:140 *4902:57 5.66868e-06 +57 *1608:113 *4902:71 5.60364e-06 +58 *1886:49 *24766:CLK 6.34564e-05 +59 *1886:49 *4902:71 1.86178e-05 +60 *1886:64 *4902:71 0.000279038 +61 *1886:76 *4902:71 5.01835e-05 +62 *2050:9 *4902:57 6.13107e-05 +63 *2148:18 *24326:CLK 3.5534e-06 +64 *2148:25 *24326:CLK 4.70267e-05 +65 *2148:25 *4902:19 7.13223e-05 +66 *2148:38 *4902:19 9.88171e-05 +67 *2148:43 *4902:20 0.000355657 +68 *2149:18 *24323:CLK 8.36586e-06 +69 *2149:18 *4902:36 0.000179025 +70 *2149:23 *4902:27 0.000379553 +71 *2149:23 *4902:36 0.000181333 +72 *2149:23 *4902:57 0 +73 *2262:58 *24321:CLK 0.000113968 +74 *2262:58 *4902:59 7.08723e-06 +75 *2266:24 *24766:CLK 0.00017196 +76 *2266:24 *4902:71 7.22263e-05 +77 *2294:39 *24321:CLK 0.000210077 +78 *2321:36 *4902:71 0.000195875 +79 *2373:17 *4902:71 6.32957e-05 +80 *2448:74 *4902:57 0.000123394 +81 *2448:74 *4902:59 2.45477e-05 +82 *2448:74 *4902:71 2.77061e-05 +83 *2452:14 *4902:71 2.5022e-05 +84 *2473:30 *4902:7 1.16357e-05 +85 *2473:37 *4902:7 9.22159e-05 +86 *2545:48 *4902:71 1.73713e-05 +87 *2676:17 *24766:CLK 7.33113e-05 +88 *2764:11 *24217:CLK 0.000528629 +89 *4812:18 *4902:71 6.57652e-05 +90 *4815:51 *24766:CLK 4.51063e-05 +91 *4816:55 *24763:CLK 0.00024736 +92 *4835:49 *24763:CLK 6.08467e-05 +93 *4835:49 *4902:59 2.09495e-05 +94 *4835:49 *4902:71 7.9849e-05 +95 *4839:119 *24217:CLK 0.000128736 +96 *4844:182 *4902:71 2.02035e-05 +97 *4845:220 *4902:27 5.04829e-06 +98 *4845:229 *4902:57 0 +99 *4872:65 *4902:7 6.22703e-05 +100 *4872:74 *24326:CLK 0 +101 *4872:74 *4902:19 0 +*RES +1 *24963:X *4902:7 21.6824 +2 *4902:7 *24326:CLK 16.7198 +3 *4902:7 *4902:19 12.5608 +4 *4902:19 *4902:20 12.3701 +5 *4902:20 *4902:22 2.38721 +6 *4902:22 *4902:27 12.593 +7 *4902:27 *24217:CLK 28.8922 +8 *4902:27 *4902:36 6.81502 +9 *4902:36 *24327:CLK 18.3548 +10 *4902:36 *24323:CLK 23.4709 +11 *4902:22 *24328:CLK 9.24915 +12 *4902:20 *4902:57 21.6963 +13 *4902:57 *4902:59 3.07775 +14 *4902:59 *4902:71 22.1787 +15 *4902:71 *24766:CLK 18.7405 +16 *4902:71 *24765:CLK 14.4725 +17 *4902:59 *24763:CLK 22.237 +18 *4902:57 *24321:CLK 16.1364 +19 *4902:19 *24322:CLK 9.24915 +*END + +*D_NET *4903 0.0420305 +*CONN +*I *24688:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24690:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24728:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24730:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24727:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24297:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24300:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24296:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24301:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24687:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24298:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24299:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24442:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24440:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24441:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24466:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24465:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24468:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24469:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24437:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24295:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24964:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24688:CLK 6.78574e-05 +2 *24690:CLK 0.000144671 +3 *24728:CLK 0.000181412 +4 *24730:CLK 0.000348531 +5 *24727:CLK 0 +6 *24297:CLK 2.87508e-05 +7 *24300:CLK 3.10085e-05 +8 *24296:CLK 6.02125e-05 +9 *24301:CLK 0.000512953 +10 *24687:CLK 3.59389e-05 +11 *24298:CLK 0 +12 *24299:CLK 0.000631112 +13 *24442:CLK 0 +14 *24440:CLK 8.5569e-05 +15 *24441:CLK 2.19004e-05 +16 *24466:CLK 0 +17 *24465:CLK 0.000739916 +18 *24468:CLK 0.00035628 +19 *24469:CLK 0.000201935 +20 *24437:CLK 3.93497e-05 +21 *24295:CLK 0.00057521 +22 *24964:X 0 +23 *4903:222 0.000898187 +24 *4903:214 0.000851905 +25 *4903:208 0.00103458 +26 *4903:202 0.000536652 +27 *4903:166 0.00149919 +28 *4903:162 0.00124723 +29 *4903:150 8.66684e-05 +30 *4903:149 0.000447312 +31 *4903:144 0.000757821 +32 *4903:141 0.000115544 +33 *4903:126 0.000931395 +34 *4903:119 0.000656 +35 *4903:69 0.0010962 +36 *4903:61 0.000494865 +37 *4903:54 0.000892478 +38 *4903:48 0.000878842 +39 *4903:44 0.000573567 +40 *4903:33 0.000513556 +41 *4903:19 0.000695993 +42 *4903:10 0.00129696 +43 *4903:7 0.00170469 +44 *4903:4 0.00107921 +45 *24295:CLK *5415:11 4.28856e-07 +46 *24295:CLK *5853:227 4.65615e-06 +47 *24296:CLK *21804:A 6.43474e-05 +48 *24296:CLK *5924:42 0 +49 *24297:CLK *20961:A1 3.82228e-05 +50 *24301:CLK *21804:A 5.61969e-05 +51 *24301:CLK *5924:42 9.74472e-05 +52 *24440:CLK *20694:A1 5.73392e-05 +53 *24465:CLK *24465:RESET_B 2.37827e-05 +54 *24465:CLK *5052:11 6.1708e-05 +55 *24465:CLK *5853:227 3.84926e-05 +56 *24465:CLK *5853:232 1.16164e-05 +57 *24465:CLK *5862:402 4.20506e-05 +58 *24465:CLK *5862:434 4.13673e-06 +59 *24687:CLK *24301:RESET_B 5.88657e-05 +60 *24687:CLK *24687:RESET_B 1.80068e-05 +61 *24730:CLK *20271:A1 7.89747e-05 +62 *4903:7 *5418:10 5.25497e-06 +63 *4903:7 *5862:536 0.000231881 +64 *4903:10 *24295:SET_B 0.000178682 +65 *4903:10 *24298:RESET_B 0.00010084 +66 *4903:19 *5415:11 1.97951e-05 +67 *4903:33 *24442:RESET_B 1.41853e-05 +68 *4903:44 *24442:RESET_B 0.000111708 +69 *4903:44 *4941:8 0.000107935 +70 *4903:44 *5855:202 8.69165e-05 +71 *4903:48 *4941:8 0.000516683 +72 *4903:61 *24469:RESET_B 7.09879e-06 +73 *4903:119 *20961:A1 1.19726e-05 +74 *4903:126 *20961:A1 7.68538e-06 +75 *4903:144 *5903:6 0.000160329 +76 *4903:149 *24301:RESET_B 0.00088433 +77 *4903:162 *5903:6 0.000635105 +78 *4903:166 *20958:A1 1.4091e-06 +79 *4903:166 *20962:B1 2.16355e-05 +80 *4903:166 *5420:5 1.4091e-06 +81 *4903:208 *5855:202 0 +82 *4903:214 *24041:A1 0.000553766 +83 *4903:214 *24727:RESET_B 0.000358574 +84 *4903:214 *5862:585 0.000247035 +85 *4903:214 *5862:594 0.000144546 +86 *4903:222 *20272:A1 0.000130009 +87 *4903:222 *20272:B1 0.000139435 +88 *4903:222 *24041:A1 8.62625e-06 +89 *20271:B2 *24730:CLK 0.000377259 +90 *20323:A2 *24728:CLK 0.000370801 +91 *20323:B1 *24728:CLK 5.04829e-06 +92 *20327:B2 *4903:208 0.000122068 +93 *20658:A2 *24465:CLK 4.30869e-05 +94 *20658:B2 *24465:CLK 0.000187619 +95 *20691:A *24441:CLK 6.08467e-05 +96 *20691:A *4903:54 4.65669e-05 +97 *20694:A2 *24440:CLK 0.000167076 +98 *20694:B1 *24440:CLK 5.04829e-06 +99 *20696:B2 *24440:CLK 0.000311249 +100 *20939:B1 *24469:CLK 2.95631e-05 +101 *20958:A2 *24300:CLK 1.03434e-05 +102 *20958:A2 *4903:166 0.000464069 +103 *20961:A2 *4903:126 0.000298241 +104 *20961:A2 *4903:141 1.09551e-05 +105 *20962:A2 *4903:166 0.000140275 +106 *20962:B2 *4903:166 2.56653e-05 +107 *24300:D *4903:162 7.92757e-06 +108 *24300:D *4903:166 1.03403e-05 +109 *24437:D *4903:33 2.41274e-06 +110 *1439:15 *4903:144 0.000163804 +111 *1439:15 *4903:162 0.000629391 +112 *1608:107 *4903:10 0.000728309 +113 *1608:107 *4903:119 0.000244956 +114 *1742:86 *24465:CLK 7.36804e-06 +115 *1899:36 *4903:33 0.000105837 +116 *1905:34 *24728:CLK 0.000170592 +117 *1905:34 *4903:222 2.24484e-05 +118 *1905:39 *4903:222 0.000499159 +119 *2027:21 *24465:CLK 5.99155e-05 +120 *2027:32 *24465:CLK 6.7316e-05 +121 *2027:32 *24468:CLK 0.00068618 +122 *2028:67 *24465:CLK 0.000187983 +123 *2028:67 *24468:CLK 0.000475115 +124 *2040:8 *4903:54 2.16608e-05 +125 *2040:80 *4903:48 0.000451253 +126 *2249:8 *24301:CLK 3.31733e-05 +127 *2266:24 *24299:CLK 0.000618536 +128 *2287:62 *24688:CLK 5.97576e-05 +129 *2319:26 *4903:10 9.80656e-06 +130 *2319:26 *4903:119 6.41952e-05 +131 *2319:33 *4903:54 0.000298611 +132 *2319:33 *4903:61 0.00016753 +133 *2357:50 *24299:CLK 3.29488e-05 +134 *2360:43 *4903:44 2.16355e-05 +135 *2360:45 *4903:33 0.000122257 +136 *2360:45 *4903:44 7.6719e-06 +137 *2373:14 *24301:CLK 0.000194958 +138 *2384:16 *4903:208 0.000143032 +139 *2433:58 *24468:CLK 0.000140188 +140 *2451:42 *24690:CLK 0.000107101 +141 *2451:42 *4903:214 0.000190208 +142 *2467:87 *4903:54 0.000205101 +143 *2468:59 *4903:54 0.000302932 +144 *2468:59 *4903:61 0.00016753 +145 *2473:39 *4903:126 0.000327285 +146 *2486:76 *4903:33 0.00025175 +147 *2494:13 *24687:CLK 3.74652e-05 +148 *2499:28 *24296:CLK 0.000115451 +149 *2499:28 *24301:CLK 0.000193815 +150 *2499:39 *24299:CLK 0.000309083 +151 *2499:39 *24301:CLK 0.00010174 +152 *2520:33 *24299:CLK 0 +153 *2570:40 *4903:208 0.000118485 +154 *2813:26 *24728:CLK 4.86647e-05 +155 *2813:26 *4903:214 7.58217e-06 +156 *2813:26 *4903:222 0.00015807 +157 *2813:30 *4903:33 0.000109262 +158 *2820:9 *24301:CLK 9.77413e-05 +159 *2989:33 *24688:CLK 2.61955e-05 +160 *3263:24 *4903:202 2.75427e-05 +161 *3263:24 *4903:208 0.000240057 +162 *4815:30 *4903:222 2.01535e-05 +163 *4815:35 *4903:214 9.24187e-05 +164 *4816:89 *4903:54 0.000686689 +165 *4817:47 *24730:CLK 7.92757e-06 +166 *4817:48 *4903:202 0.000118485 +167 *4817:48 *4903:208 0.000192463 +168 *4827:100 *4903:44 0.000306333 +169 *4827:100 *4903:48 3.02795e-05 +170 *4844:182 *4903:166 1.4091e-06 +171 *4844:183 *4903:126 0.000323687 +172 *4844:207 *24469:CLK 4.82426e-05 +173 *4844:207 *4903:61 7.45982e-05 +174 *4872:78 *24299:CLK 0.000158117 +*RES +1 *24964:X *4903:4 9.24915 +2 *4903:4 *4903:7 19.0885 +3 *4903:7 *4903:10 17.9591 +4 *4903:10 *24295:CLK 17.0618 +5 *4903:10 *4903:19 5.16022 +6 *4903:19 *24437:CLK 10.2378 +7 *4903:19 *4903:33 16.5763 +8 *4903:33 *4903:44 13.245 +9 *4903:44 *4903:48 14.637 +10 *4903:48 *4903:54 22.7394 +11 *4903:54 *4903:61 12.7621 +12 *4903:61 *24469:CLK 13.3002 +13 *4903:61 *4903:69 4.5 +14 *4903:69 *24468:CLK 28.112 +15 *4903:69 *24465:CLK 31.2704 +16 *4903:54 *24466:CLK 13.7491 +17 *4903:48 *24441:CLK 9.97254 +18 *4903:44 *24440:CLK 17.8002 +19 *4903:33 *24442:CLK 9.24915 +20 *4903:7 *4903:119 12.9281 +21 *4903:119 *4903:126 19.5901 +22 *4903:126 *24299:CLK 35.2214 +23 *4903:126 *24298:CLK 9.24915 +24 *4903:119 *4903:141 0.578717 +25 *4903:141 *4903:144 7.57775 +26 *4903:144 *4903:149 23.9008 +27 *4903:149 *4903:150 57.9449 +28 *4903:150 *24687:CLK 19.71 +29 *4903:144 *4903:162 17.0214 +30 *4903:162 *4903:166 18.5339 +31 *4903:166 *24301:CLK 27.5013 +32 *4903:166 *24296:CLK 15.9964 +33 *4903:162 *24300:CLK 10.2378 +34 *4903:141 *24297:CLK 10.5271 +35 *4903:4 *4903:202 6.74725 +36 *4903:202 *4903:208 18.6919 +37 *4903:208 *4903:214 28.1739 +38 *4903:214 *24727:CLK 9.24915 +39 *4903:214 *4903:222 18.5271 +40 *4903:222 *24730:CLK 22.7916 +41 *4903:222 *24728:CLK 20.8779 +42 *4903:208 *24690:CLK 12.7456 +43 *4903:202 *24688:CLK 15.5817 +*END + +*D_NET *4904 0.0311495 +*CONN +*I *24443:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24439:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24444:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24463:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24467:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24464:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24470:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24438:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24270:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24268:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24274:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24230:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24223:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24275:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24269:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24965:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24443:CLK 0.000104813 +2 *24439:CLK 0.000227294 +3 *24444:CLK 0.00016552 +4 *24463:CLK 0 +5 *24467:CLK 0.000432791 +6 *24464:CLK 0.000483434 +7 *24470:CLK 0.000233311 +8 *24438:CLK 0.000100108 +9 *24270:CLK 0 +10 *24268:CLK 0.000131398 +11 *24274:CLK 2.3718e-05 +12 *24230:CLK 0 +13 *24223:CLK 0.0004908 +14 *24275:CLK 0.000334444 +15 *24269:CLK 0.000237307 +16 *24965:X 0.000244548 +17 *4904:167 0.000643927 +18 *4904:122 0.000903551 +19 *4904:118 0.00100885 +20 *4904:116 0.000673613 +21 *4904:114 0.000605089 +22 *4904:110 0.000984927 +23 *4904:90 0.000773637 +24 *4904:57 0.000959682 +25 *4904:41 0.00105735 +26 *4904:37 0.000277741 +27 *4904:35 4.44311e-05 +28 *4904:34 0.00090968 +29 *4904:20 0.0013226 +30 *4904:18 0.00043004 +31 *4904:15 0.000471976 +32 *4904:7 0.000793561 +33 *24223:CLK *19804:A 3.20011e-05 +34 *24223:CLK *5359:5 8.9616e-05 +35 *24268:CLK *5398:7 0.000121159 +36 *24275:CLK *21245:A 0.000361412 +37 *24275:CLK *5861:408 0.000165037 +38 *24438:CLK *20698:A1 3.4573e-05 +39 *24438:CLK *24438:SET_B 0 +40 *24438:CLK *5038:5 7.92757e-06 +41 *24439:CLK *21778:A 6.11872e-05 +42 *24443:CLK *20693:A1 1.47978e-05 +43 *24443:CLK *21293:A 0.000140425 +44 *24443:CLK *5043:11 2.59516e-05 +45 *24444:CLK *21258:A 5.97576e-05 +46 *24444:CLK *5855:223 0.000209611 +47 *24464:CLK *21910:A 6.11872e-05 +48 *24467:CLK *5861:363 0.000134069 +49 *24467:CLK *5862:347 9.15115e-06 +50 *24470:CLK *5429:15 7.12207e-05 +51 *4904:15 *24270:RESET_B 0.000142758 +52 *4904:15 *5528:12 0.000372554 +53 *4904:15 *5864:623 0.000158357 +54 *4904:18 *20997:B1 0.000176057 +55 *4904:20 *20997:B1 0.000137667 +56 *4904:34 *24274:RESET_B 0.000240345 +57 *4904:34 *5407:10 1.35213e-05 +58 *4904:41 *5861:408 0.000416048 +59 *4904:57 *24230:RESET_B 9.87126e-06 +60 *4904:57 *5369:7 4.51214e-06 +61 *4904:90 *5528:12 0.000439735 +62 *4904:110 *21998:A1 0.000843807 +63 *4904:110 *5528:12 8.04839e-05 +64 *4904:114 *24444:RESET_B 3.9253e-05 +65 *4904:114 *5047:5 3.31745e-05 +66 *4904:116 *19921:A 6.23875e-05 +67 *4904:116 *24444:RESET_B 3.4123e-05 +68 *4904:116 *5047:5 0.000220563 +69 *4904:118 *19921:A 5.481e-05 +70 *4904:122 *5429:15 7.20484e-05 +71 *20309:A1 *24275:CLK 0.0002497 +72 *20309:A1 *4904:41 0.000412492 +73 *24073:S *24268:CLK 2.37827e-05 +74 *24230:D *4904:57 3.95399e-05 +75 *24268:D *24268:CLK 0.000200794 +76 *24270:D *4904:15 5.8973e-05 +77 *24274:D *4904:34 5.44672e-05 +78 *24438:D *4904:110 4.42625e-05 +79 *24443:D *24443:CLK 6.33782e-05 +80 *24444:D *24444:CLK 0.000158371 +81 *24444:D *4904:114 0.000200794 +82 *24463:D *4904:116 0.000187945 +83 *476:161 *4904:15 1.3887e-05 +84 *1679:7 *4904:118 2.16355e-05 +85 *1917:8 *24223:CLK 0.000198223 +86 *2040:30 *4904:114 0.000120632 +87 *2040:30 *4904:167 0.000718738 +88 *2170:58 *24269:CLK 0.000250244 +89 *2171:49 *4904:34 1.29118e-05 +90 *2171:54 *24269:CLK 7.39749e-05 +91 *2171:54 *4904:20 0.000303516 +92 *2282:53 *24470:CLK 1.41307e-05 +93 *2287:94 *24467:CLK 0.000602624 +94 *2312:11 *24275:CLK 0.000158451 +95 *2323:10 *24444:CLK 4.03336e-05 +96 *2355:7 *24439:CLK 2.16355e-05 +97 *2389:81 *4904:57 7.63284e-05 +98 *2446:145 *24467:CLK 0.000350578 +99 *2468:67 *24275:CLK 2.92625e-05 +100 *2473:57 *24467:CLK 0.000154664 +101 *2486:96 *24269:CLK 5.90958e-05 +102 *2486:96 *4904:20 1.87269e-05 +103 *2486:106 *24275:CLK 4.55728e-05 +104 *2520:53 *4904:118 7.13972e-05 +105 *2520:53 *4904:122 0.000168288 +106 *2572:10 *24223:CLK 0.000198223 +107 *2813:30 *24443:CLK 5.2504e-06 +108 *2813:30 *4904:167 2.99541e-05 +109 *2924:8 *24464:CLK 0.000502268 +110 *2978:47 *4904:7 8.19374e-05 +111 *3257:51 *4904:15 0.000298968 +112 *3257:51 *4904:90 0.000432608 +113 *3257:51 *4904:110 7.18576e-05 +114 *3263:24 *24269:CLK 0.000223415 +115 *3263:24 *4904:18 0.000183153 +116 *3263:24 *4904:20 0.000484595 +117 *4813:103 *4904:110 0.000367873 +118 *4813:110 *4904:110 0.000235723 +119 *4816:96 *24464:CLK 1.82034e-05 +120 *4816:96 *24470:CLK 2.2011e-05 +121 *4819:14 *24443:CLK 0.000162888 +122 *4819:14 *4904:114 5.01835e-05 +123 *4819:14 *4904:167 0.000835265 +124 *4824:122 *24464:CLK 0.00062391 +125 *4824:122 *24470:CLK 0.000157519 +126 *4844:214 *24444:CLK 0.000213072 +127 *4845:254 *24467:CLK 0.000316559 +128 *4845:262 *24467:CLK 0.000193427 +*RES +1 *24965:X *4904:7 17.2456 +2 *4904:7 *4904:15 17.1 +3 *4904:15 *4904:18 7.993 +4 *4904:18 *4904:20 9.30653 +5 *4904:20 *24269:CLK 21.0145 +6 *4904:20 *4904:34 26.1192 +7 *4904:34 *4904:35 57.9449 +8 *4904:35 *4904:37 9.24915 +9 *4904:37 *4904:41 13.8388 +10 *4904:41 *24275:CLK 24.6421 +11 *4904:41 *4904:57 11.7581 +12 *4904:57 *24223:CLK 30.0906 +13 *4904:57 *24230:CLK 9.24915 +14 *4904:37 *24274:CLK 9.97254 +15 *4904:18 *24268:CLK 17.8002 +16 *4904:15 *24270:CLK 9.24915 +17 *4904:7 *4904:90 8.06078 +18 *4904:90 *24438:CLK 16.2509 +19 *4904:90 *4904:110 9.79863 +20 *4904:110 *4904:114 9.20553 +21 *4904:114 *4904:116 8.48785 +22 *4904:116 *4904:118 7.93324 +23 *4904:118 *4904:122 9.66022 +24 *4904:122 *24470:CLK 19.7928 +25 *4904:122 *24464:CLK 28.6256 +26 *4904:118 *24467:CLK 35.2102 +27 *4904:116 *24463:CLK 9.24915 +28 *4904:114 *24444:CLK 25.0992 +29 *4904:110 *4904:167 15.3277 +30 *4904:167 *24439:CLK 18.3548 +31 *4904:167 *24443:CLK 18.129 +*END + +*D_NET *4905 0.0260331 +*CONN +*I *24634:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24607:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24633:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24476:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24610:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24606:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24608:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24609:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24632:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24635:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24660:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24661:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24662:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24966:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24634:CLK 0.000140719 +2 *24607:CLK 0.000170734 +3 *24633:CLK 0.000347314 +4 *24476:CLK 0.000613239 +5 *24610:CLK 0.000649818 +6 *24606:CLK 0 +7 *24608:CLK 0.000631584 +8 *24609:CLK 0.000363762 +9 *24632:CLK 0.000199265 +10 *24635:CLK 0.000283192 +11 *24660:CLK 0.000184639 +12 *24661:CLK 0.000276744 +13 *24662:CLK 0.000231562 +14 *24966:X 0 +15 *4905:114 0.00156791 +16 *4905:109 0.000781325 +17 *4905:98 0.000456661 +18 *4905:47 0.00083709 +19 *4905:33 0.000587321 +20 *4905:16 0.000629373 +21 *4905:14 0.000752898 +22 *4905:12 0.000706674 +23 *4905:11 0.00109608 +24 *4905:7 0.000757507 +25 *4905:5 0.000428102 +26 *4905:4 0.00021606 +27 *24607:CLK *20451:A1 5.07314e-05 +28 *24607:CLK *5801:78 0.000217826 +29 *24607:CLK *5856:232 3.95851e-05 +30 *24608:CLK *21897:A 0 +31 *24608:CLK *5139:5 0.000137293 +32 *24610:CLK *24610:RESET_B 5.04829e-06 +33 *24633:CLK *20410:B1 0.000235714 +34 *24633:CLK *5858:158 7.13972e-05 +35 *24635:CLK *20414:A1 6.24716e-05 +36 *4905:12 *5858:179 3.31882e-05 +37 *4905:14 *5858:179 0.000374423 +38 *4905:16 *20371:B1 6.9747e-05 +39 *4905:16 *5858:179 0.000229275 +40 *4905:47 *5869:68 0.000247443 +41 *4905:47 *5869:163 0.000228071 +42 *4905:98 *5851:171 0.000572654 +43 *4905:114 *20410:B1 0.000294093 +44 *4905:114 *24610:RESET_B 1.40631e-05 +45 *4905:114 *5851:153 6.87743e-05 +46 *19928:A2 *24632:CLK 9.94284e-06 +47 *19928:B1 *24632:CLK 6.3657e-05 +48 *20450:B2 *24608:CLK 8.06793e-05 +49 *24476:D *24476:CLK 3.24705e-06 +50 *24607:D *24607:CLK 0.000122378 +51 *24608:D *24608:CLK 0.000139187 +52 *24609:D *24609:CLK 7.99759e-05 +53 *24632:D *24632:CLK 2.53145e-06 +54 *24662:D *24662:CLK 5.97576e-05 +55 *24662:D *4905:16 6.56617e-05 +56 *24662:D *4905:33 9.72539e-05 +57 *1448:221 *4905:16 1.38521e-05 +58 *1448:221 *4905:33 5.83474e-05 +59 *1448:222 *24476:CLK 0.000192842 +60 *1683:17 *24632:CLK 7.27718e-05 +61 *1683:29 *24632:CLK 0.000157773 +62 *1683:29 *24635:CLK 0.00080371 +63 *1732:74 *24476:CLK 8.08437e-05 +64 *1753:26 *4905:109 8.17829e-06 +65 *1755:98 *24634:CLK 5.481e-05 +66 *1761:74 *24635:CLK 0.000155407 +67 *1784:18 *4905:16 1.1045e-05 +68 *1804:96 *24635:CLK 0.000859628 +69 *1804:96 *4905:47 0.000162975 +70 *1808:23 *4905:47 1.00981e-05 +71 *1961:14 *24608:CLK 7.50872e-05 +72 *1961:14 *4905:12 0.000209492 +73 *1961:31 *24608:CLK 2.24484e-05 +74 *2274:30 *24632:CLK 6.45299e-05 +75 *2283:29 *24608:CLK 2.65831e-05 +76 *2398:22 *24661:CLK 5.97576e-05 +77 *2510:54 *24633:CLK 0.00015607 +78 *2510:54 *4905:109 7.09666e-06 +79 *2603:29 *24635:CLK 1.15389e-05 +80 *2603:29 *4905:47 0.00143815 +81 *2603:59 *24609:CLK 0.000108438 +82 *2785:38 *24607:CLK 2.95757e-05 +83 *2785:41 *4905:5 4.66492e-05 +84 *2785:41 *4905:7 0.000233246 +85 *2785:41 *4905:11 0.000347214 +86 *2810:38 *4905:12 5.32458e-05 +87 *2810:38 *4905:16 1.2693e-05 +88 *2864:71 *24634:CLK 0.00030926 +89 *2864:71 *4905:5 9.82896e-06 +90 *2877:31 *24660:CLK 0.000418894 +91 *2877:31 *24661:CLK 0.000133668 +92 *2939:21 *24660:CLK 7.58789e-05 +93 *2939:21 *24661:CLK 0.00014229 +94 *2939:28 *24660:CLK 4.36958e-05 +95 *2948:15 *24662:CLK 2.16355e-05 +96 *2948:25 *24662:CLK 6.7671e-06 +97 *2993:29 *24633:CLK 6.08467e-05 +98 *2993:29 *4905:98 2.52383e-05 +99 *2993:29 *4905:109 0.000145903 +100 *2993:29 *4905:114 1.65872e-05 +101 *2993:33 *4905:109 4.63742e-05 +102 *3089:10 *24662:CLK 4.51619e-05 +103 *3089:10 *4905:16 0.000173289 +104 *3089:10 *4905:33 7.88279e-05 +105 *3089:12 *24476:CLK 0.000575335 +106 *3089:12 *24608:CLK 9.04083e-05 +107 *3089:12 *4905:12 0.000376078 +108 *3089:12 *4905:14 0.000367296 +109 *3089:12 *4905:16 4.85923e-05 +110 *4799:22 *4905:98 0.000634178 +111 *4799:22 *4905:109 5.73456e-05 +*RES +1 *24966:X *4905:4 9.24915 +2 *4905:4 *4905:5 1.278 +3 *4905:5 *4905:7 2.94181 +4 *4905:7 *4905:11 8.55102 +5 *4905:11 *4905:12 7.64553 +6 *4905:12 *4905:14 6.81502 +7 *4905:14 *4905:16 9.09891 +8 *4905:16 *24662:CLK 19.3509 +9 *4905:16 *4905:33 9.03113 +10 *4905:33 *24661:CLK 17.1824 +11 *4905:33 *24660:CLK 15.5186 +12 *4905:14 *4905:47 20.7523 +13 *4905:47 *24635:CLK 31.9179 +14 *4905:47 *24632:CLK 23.7382 +15 *4905:12 *24609:CLK 21.6824 +16 *4905:11 *24608:CLK 29.4462 +17 *4905:7 *4905:98 17.1286 +18 *4905:98 *24606:CLK 13.7491 +19 *4905:98 *4905:109 8.7258 +20 *4905:109 *4905:114 6.87226 +21 *4905:114 *24610:CLK 18.5086 +22 *4905:114 *24476:CLK 33.5624 +23 *4905:109 *24633:CLK 18.8703 +24 *4905:5 *24607:CLK 23.8507 +25 *4905:4 *24634:CLK 13.7342 +*END + +*D_NET *4906 0.0268679 +*CONN +*I *24309:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24314:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24310:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24311:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24495:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24491:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24294:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24770:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24767:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24307:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24313:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24308:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24312:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24967:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24309:CLK 0 +2 *24314:CLK 0.000670219 +3 *24310:CLK 0.000288649 +4 *24311:CLK 0 +5 *24495:CLK 6.80917e-05 +6 *24491:CLK 0.00081469 +7 *24294:CLK 0.00030465 +8 *24770:CLK 0.000271927 +9 *24767:CLK 0.000176182 +10 *24307:CLK 4.31431e-05 +11 *24313:CLK 0.000548241 +12 *24308:CLK 8.00002e-05 +13 *24312:CLK 0.000378964 +14 *24967:X 0.000622805 +15 *4906:115 0.00145452 +16 *4906:103 0.000861917 +17 *4906:95 0.000768723 +18 *4906:91 0.00117609 +19 *4906:87 0.00081092 +20 *4906:55 0.000775324 +21 *4906:52 0.000990321 +22 *4906:41 0.000639589 +23 *4906:30 0.00119126 +24 *4906:19 0.000571387 +25 *4906:10 0.000740203 +26 *4906:5 0.00139264 +27 *24308:CLK *22050:A 0.000160617 +28 *24310:CLK *20942:B1 6.36477e-05 +29 *24312:CLK *21415:A 0.000124625 +30 *24312:CLK *24312:RESET_B 2.52414e-06 +31 *24312:CLK *5432:5 1.15389e-05 +32 *24491:CLK *24491:RESET_B 0.000100397 +33 *24491:CLK *5065:7 5.51483e-06 +34 *24495:CLK *20617:A1 0 +35 *24495:CLK *24491:RESET_B 1.1718e-05 +36 *24495:CLK *5065:7 1.41976e-05 +37 *24767:CLK *5093:7 4.43826e-05 +38 *24770:CLK *21179:A 7.19237e-05 +39 *4906:52 *5862:463 0.000203397 +40 *4906:55 *20212:B1 0.000347214 +41 *4906:103 *20941:A1 0.000134859 +42 *4906:103 *5857:125 7.08723e-06 +43 *4906:115 *20621:A1 2.20663e-05 +44 *4906:115 *20941:A1 7.48435e-05 +45 *4906:115 *21470:A 8.69672e-05 +46 *20652:A *24313:CLK 1.72888e-05 +47 *20656:B1 *4906:5 0.000200794 +48 *20940:A2 *4906:10 1.03403e-05 +49 *20944:A2 *24308:CLK 2.16355e-05 +50 *20944:B2 *24312:CLK 6.08467e-05 +51 *21658:B1 *24310:CLK 0.000349115 +52 *21658:B1 *4906:103 0.000270036 +53 *21998:B2 *4906:5 2.90768e-05 +54 *21998:B2 *4906:10 1.79679e-05 +55 *21999:A1 *24312:CLK 1.41976e-05 +56 *24310:D *24310:CLK 3.07848e-05 +57 *24313:D *24313:CLK 4.20506e-05 +58 *24491:D *24491:CLK 6.08467e-05 +59 *24767:D *24767:CLK 0.000112163 +60 *24967:A *4906:5 0.000114584 +61 *477:152 *4906:52 0.000119538 +62 *1418:79 *4906:91 0.000508396 +63 *1418:79 *4906:95 0.000248138 +64 *1418:86 *4906:10 4.25398e-05 +65 *1418:86 *4906:19 0.000148962 +66 *1418:86 *4906:30 0.00076575 +67 *1418:86 *4906:41 5.45647e-05 +68 *1418:97 *4906:41 5.46097e-05 +69 *1418:97 *4906:52 5.1493e-06 +70 *1554:113 *24314:CLK 2.60879e-06 +71 *1557:113 *4906:115 0.000256923 +72 *1591:9 *24767:CLK 7.13972e-05 +73 *1610:74 *24314:CLK 5.12109e-05 +74 *1616:123 *24312:CLK 9.43616e-05 +75 *1631:57 *4906:103 0.000202947 +76 *1631:58 *4906:87 1.01851e-05 +77 *1631:58 *4906:91 0.000577909 +78 *1631:58 *4906:95 0.000197678 +79 *1635:106 *24767:CLK 1.30575e-05 +80 *1635:106 *24770:CLK 4.62492e-05 +81 *1649:189 *24314:CLK 3.6549e-05 +82 *1649:189 *24491:CLK 1.11267e-05 +83 *1663:27 *24767:CLK 6.57107e-05 +84 *1663:27 *24770:CLK 0.00019124 +85 *2155:13 *24308:CLK 1.65872e-05 +86 *2155:22 *4906:10 7.50872e-05 +87 *2156:67 *4906:10 0.000150603 +88 *2156:67 *4906:19 1.72347e-05 +89 *2156:82 *4906:19 0.000135339 +90 *2156:82 *4906:30 0.000473793 +91 *2262:85 *24770:CLK 0.000200994 +92 *2287:94 *24314:CLK 0.000286928 +93 *2287:112 *24314:CLK 6.08467e-05 +94 *2297:60 *4906:55 7.13972e-05 +95 *2301:57 *4906:103 0.000290569 +96 *2301:57 *4906:115 0.00018902 +97 *2319:42 *4906:5 0.000116111 +98 *2319:42 *4906:87 0 +99 *2319:55 *4906:91 0 +100 *2319:55 *4906:95 1.09898e-05 +101 *2355:17 *24308:CLK 1.58551e-05 +102 *2452:29 *4906:10 9.90599e-06 +103 *2465:10 *4906:52 6.06269e-06 +104 *2465:20 *24307:CLK 6.50727e-05 +105 *2465:20 *4906:52 0.000498562 +106 *2468:54 *24307:CLK 1.92172e-05 +107 *2503:48 *24310:CLK 2.02035e-05 +108 *2520:33 *24294:CLK 7.69213e-05 +109 *2520:53 *4906:87 0.000421912 +110 *2554:21 *24770:CLK 0.000148867 +111 *3010:8 *4906:52 2.96051e-05 +112 *3010:17 *4906:52 0.000277124 +113 *3041:118 *24310:CLK 1.66771e-05 +114 *3050:28 *24491:CLK 2.58106e-05 +115 *3064:13 *24308:CLK 0.000154145 +116 *3153:112 *4906:115 8.86025e-05 +117 *3306:22 *4906:115 3.5543e-05 +118 *3306:29 *4906:103 6.85866e-05 +119 *3306:29 *4906:115 3.58315e-06 +120 *4815:68 *24770:CLK 9.38347e-05 +121 *4816:124 *24310:CLK 5.39929e-05 +122 *4816:124 *4906:103 6.50878e-05 +123 *4818:48 *24491:CLK 6.69939e-06 +124 *4843:312 *4906:30 6.83422e-05 +125 *4843:312 *4906:41 0.000114832 +126 *4843:312 *4906:52 3.32897e-05 +127 *4843:784 *24767:CLK 1.07248e-05 +128 *4843:784 *24770:CLK 3.7061e-05 +129 *4843:784 *4906:55 4.97617e-05 +*RES +1 *24967:X *4906:5 18.8462 +2 *4906:5 *4906:10 10.1043 +3 *4906:10 *24312:CLK 22.7916 +4 *4906:10 *4906:19 3.07775 +5 *4906:19 *24308:CLK 16.691 +6 *4906:19 *4906:30 15.4376 +7 *4906:30 *24313:CLK 21.5618 +8 *4906:30 *4906:41 5.98452 +9 *4906:41 *24307:CLK 15.0271 +10 *4906:41 *4906:52 17.5438 +11 *4906:52 *4906:55 12.4332 +12 *4906:55 *24767:CLK 19.2141 +13 *4906:55 *24770:CLK 27.6756 +14 *4906:52 *24294:CLK 16.0732 +15 *4906:5 *4906:87 15.6204 +16 *4906:87 *4906:91 12.1156 +17 *4906:91 *4906:95 8.73042 +18 *4906:95 *4906:103 11.6511 +19 *4906:103 *4906:115 18.9361 +20 *4906:115 *24491:CLK 20.944 +21 *4906:115 *24495:CLK 10.9612 +22 *4906:103 *24311:CLK 13.7491 +23 *4906:95 *24310:CLK 18.8053 +24 *4906:91 *24314:CLK 33.3477 +25 *4906:87 *24309:CLK 13.7491 +*END + +*D_NET *4907 0.00862538 +*CONN +*I *24768:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24769:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24764:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24968:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24768:CLK 0.000552292 +2 *24769:CLK 0.000612785 +3 *24764:CLK 7.18785e-05 +4 *24968:X 0.000649499 +5 *4907:9 0.00103282 +6 *4907:5 0.00154995 +7 *4907:5 *5855:149 0.000157234 +8 *4907:9 *5855:149 0.000491468 +9 *24769:D *24769:CLK 0.000130421 +10 *24968:A *4907:5 0.000686578 +11 *1614:105 *24764:CLK 6.34651e-06 +12 *1614:105 *24769:CLK 1.25173e-05 +13 *1614:120 *24769:CLK 0.000145045 +14 *2249:12 *24769:CLK 2.58521e-05 +15 *2249:27 *24764:CLK 0.000101605 +16 *2249:27 *24769:CLK 3.29488e-05 +17 *2252:43 *24769:CLK 0.000454326 +18 *2363:39 *24764:CLK 9.39505e-05 +19 *2421:26 *24769:CLK 1.07529e-05 +20 *2485:24 *24768:CLK 0.000907228 +21 *2498:12 *24768:CLK 1.27831e-06 +22 *2498:23 *24768:CLK 0.00089861 +*RES +1 *24968:X *4907:5 19.9554 +2 *4907:5 *4907:9 10.2148 +3 *4907:9 *24764:CLK 15.9964 +4 *4907:9 *24769:CLK 28.5131 +5 *4907:5 *24768:CLK 37.1065 +*END + +*D_NET *4908 0.0438738 +*CONN +*I *24228:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24224:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24242:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24494:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24249:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24341:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24244:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24247:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24229:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24492:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24225:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24493:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24489:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24496:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24226:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24227:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24490:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24246:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24243:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24248:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24784:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24245:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24969:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24228:CLK 0 +2 *24224:CLK 0.000241023 +3 *24242:CLK 2.1308e-05 +4 *24494:CLK 0.000226075 +5 *24249:CLK 0.000207352 +6 *24341:CLK 0.000347802 +7 *24244:CLK 0 +8 *24247:CLK 0.000652359 +9 *24229:CLK 5.22448e-05 +10 *24492:CLK 0.000246367 +11 *24225:CLK 0 +12 *24493:CLK 0 +13 *24489:CLK 0.000271339 +14 *24496:CLK 0.000183693 +15 *24226:CLK 0.000372406 +16 *24227:CLK 6.72197e-05 +17 *24490:CLK 0 +18 *24246:CLK 0.000308973 +19 *24243:CLK 3.57421e-05 +20 *24248:CLK 0.000688911 +21 *24784:CLK 0.000327412 +22 *24245:CLK 0.000205096 +23 *24969:X 0.000281781 +24 *4908:193 0.000896483 +25 *4908:189 0.00100811 +26 *4908:184 0.00110918 +27 *4908:181 0.000546266 +28 *4908:169 0.000651154 +29 *4908:148 0.000767752 +30 *4908:146 0.000667063 +31 *4908:132 0.000693074 +32 *4908:123 0.000577566 +33 *4908:98 0.000772019 +34 *4908:93 0.000316988 +35 *4908:77 0.000582798 +36 *4908:71 0.000249458 +37 *4908:39 0.00118894 +38 *4908:26 0.000506237 +39 *4908:24 0.000266695 +40 *4908:22 0.000735208 +41 *4908:19 0.000785285 +42 *4908:14 0.00102426 +43 *4908:10 0.000862755 +44 *4908:7 0.00061602 +45 *24224:CLK *5861:434 2.23124e-05 +46 *24224:CLK *5862:634 0.000200794 +47 *24226:CLK *24490:SET_B 6.36439e-05 +48 *24226:CLK *5064:5 0.000242682 +49 *24226:CLK *5862:663 0.000132899 +50 *24243:CLK *5389:7 3.82228e-05 +51 *24243:CLK *5853:313 2.79507e-05 +52 *24245:CLK *24245:RESET_B 5.16467e-05 +53 *24246:CLK *24246:RESET_B 0.000198002 +54 *24246:CLK *5392:7 0.000115056 +55 *24247:CLK *21030:B1 1.03403e-05 +56 *24247:CLK *21421:A 0.000107496 +57 *24247:CLK *5390:7 0.000100739 +58 *24248:CLK *24248:RESET_B 3.99086e-06 +59 *24248:CLK *5394:8 9.26783e-06 +60 *24489:CLK *19994:A 1.03403e-05 +61 *24489:CLK *5909:26 0.000513563 +62 *24492:CLK *20620:A1 0.000273114 +63 *24492:CLK *24492:RESET_B 2.52414e-06 +64 *24492:CLK *5066:5 6.35148e-05 +65 *24496:CLK *5909:26 0.000315312 +66 *4908:7 *5855:418 0.000121159 +67 *4908:10 *21074:B1 0.000235637 +68 *4908:19 *21976:A 0.000200794 +69 *4908:19 *5859:215 0.000126259 +70 *4908:19 *5862:101 0.000194216 +71 *4908:19 *5862:118 9.24241e-05 +72 *4908:71 *21976:A 1.41976e-05 +73 *4908:71 *5064:5 9.05137e-05 +74 *4908:77 *5064:5 0.000140252 +75 *4908:98 *20619:B1 7.27261e-05 +76 *4908:98 *21538:A 0.000137836 +77 *4908:123 *21074:B1 0.000314631 +78 *4908:132 *21074:B1 5.46889e-05 +79 *4908:146 *24244:RESET_B 5.37479e-05 +80 *4908:146 *24247:RESET_B 0.00011594 +81 *4908:146 *5390:7 0.000175849 +82 *4908:148 *5390:7 0.00014661 +83 *4908:189 *5385:7 0.000112149 +84 *20619:B2 *4908:98 0.000110306 +85 *20989:A *4908:193 1.03403e-05 +86 *20989:B *4908:193 2.57847e-05 +87 *20990:A *4908:189 1.30111e-05 +88 *20990:A *4908:193 1.27193e-05 +89 *21026:B1 *24245:CLK 0.000519673 +90 *21027:B1 *24247:CLK 0.000122834 +91 *21030:A2 *24247:CLK 0.000158451 +92 *21030:B2 *24247:CLK 6.08467e-05 +93 *21070:B1 *4908:132 6.22259e-05 +94 *21071:A2 *24341:CLK 0.000213725 +95 *21071:B2 *24341:CLK 6.36477e-05 +96 *21248:A2 *24494:CLK 1.41976e-05 +97 *21403:A2 *4908:193 5.94977e-06 +98 *21403:B1 *24249:CLK 0.000191728 +99 *21403:B1 *24494:CLK 4.33979e-05 +100 *21403:B2 *4908:193 6.08467e-05 +101 *22711:A1 *24247:CLK 7.39264e-05 +102 *24224:D *24224:CLK 1.61631e-05 +103 *24225:D *4908:10 2.85421e-05 +104 *24229:D *4908:132 9.34145e-05 +105 *24229:D *4908:146 7.09666e-06 +106 *24242:D *4908:189 3.82228e-05 +107 *24244:D *24247:CLK 1.1718e-05 +108 *24244:D *4908:148 4.11567e-05 +109 *24246:D *24246:CLK 2.16355e-05 +110 *24492:D *24492:CLK 7.16893e-05 +111 *24493:D *4908:98 7.18018e-05 +112 *504:14 *4908:22 9.5006e-05 +113 *504:14 *4908:24 4.3116e-06 +114 *504:16 *24784:CLK 0.000609217 +115 *504:16 *4908:26 3.48092e-05 +116 *504:16 *4908:39 0.000275158 +117 *1545:78 *24494:CLK 1.78704e-05 +118 *1545:80 *24494:CLK 3.91558e-05 +119 *1551:83 *4908:10 1.99368e-05 +120 *1551:83 *4908:132 2.7764e-05 +121 *1551:83 *4908:146 8.20196e-05 +122 *1573:101 *24248:CLK 0.000195902 +123 *1573:101 *24784:CLK 0.000609217 +124 *1573:101 *4908:39 4.59288e-05 +125 *1576:28 *24489:CLK 2.16355e-05 +126 *1584:153 *24247:CLK 0.00012631 +127 *2015:29 *4908:19 2.08084e-05 +128 *2177:51 *24243:CLK 6.08467e-05 +129 *2177:51 *4908:24 0.00015382 +130 *2177:51 *4908:26 2.43314e-05 +131 *2178:7 *24246:CLK 9.47944e-05 +132 *2178:14 *24246:CLK 0.000156955 +133 *2178:40 *4908:19 7.4222e-05 +134 *2203:7 *24492:CLK 6.08467e-05 +135 *2203:8 *4908:10 0.000239234 +136 *2203:8 *4908:123 0.000317712 +137 *2203:8 *4908:132 1.72347e-05 +138 *2203:12 *4908:10 0.000177313 +139 *2203:34 *4908:132 0.000138635 +140 *2203:41 *4908:132 0.000138887 +141 *2203:41 *4908:146 0.000357067 +142 *2203:50 *24224:CLK 0.000227119 +143 *2203:50 *4908:184 0.000414618 +144 *2256:88 *4908:14 0.000162483 +145 *2256:88 *4908:19 0.000173524 +146 *2275:9 *24496:CLK 1.82618e-05 +147 *2275:43 *4908:14 0.000169783 +148 *2275:43 *4908:19 0.000198254 +149 *2282:84 *24246:CLK 0.000267692 +150 *2301:45 *24224:CLK 4.97617e-05 +151 *2304:14 *24227:CLK 0.000184363 +152 *2304:19 *24247:CLK 3.24705e-06 +153 *2304:58 *24249:CLK 0.00027883 +154 *2304:58 *24494:CLK 0.000140333 +155 *2307:19 *4908:193 2.79859e-05 +156 *2361:13 *24341:CLK 8.07688e-05 +157 *2415:32 *24224:CLK 0.000221917 +158 *2415:32 *4908:184 0.000127708 +159 *2425:40 *24341:CLK 3.4123e-05 +160 *2425:48 *24494:CLK 1.88014e-05 +161 *2458:21 *4908:193 0.000519576 +162 *2459:10 *24227:CLK 0.000194855 +163 *2459:29 *4908:14 0.000306974 +164 *2478:11 *24341:CLK 2.07503e-05 +165 *2478:15 *24341:CLK 0.0011826 +166 *2570:94 *24494:CLK 0.000213676 +167 *2595:11 *4908:98 0.000500092 +168 *2636:17 *24245:CLK 0.000842297 +169 *2642:21 *4908:169 0.000140069 +170 *2642:21 *4908:181 0.000186793 +171 *2642:27 *4908:14 0.000200794 +172 *2772:12 *24229:CLK 4.2372e-05 +173 *2887:10 *24341:CLK 0.000111722 +174 *2887:11 *4908:181 0.000107496 +175 *2908:12 *4908:184 0.000101218 +176 *2990:7 *4908:19 0.000200794 +177 *2990:7 *4908:71 4.66492e-05 +178 *2990:19 *4908:22 0.000346918 +179 *2990:36 *4908:22 1.72347e-05 +180 *2990:46 *24249:CLK 2.77419e-05 +181 *3076:28 *4908:169 0.000140621 +182 *3076:28 *4908:181 0.00017812 +183 *3153:65 *4908:14 5.04829e-06 +184 *3263:33 *24247:CLK 0.000687333 +185 *3306:15 *4908:193 0.000917738 +186 *3466:10 *24489:CLK 2.95757e-05 +187 *3466:10 *24496:CLK 0.00026728 +188 *3466:21 *4908:98 6.08467e-05 +189 *3466:125 *24489:CLK 0.000492441 +190 *3466:145 *24249:CLK 1.8008e-05 +191 *3466:145 *24494:CLK 4.18966e-05 +192 *4805:52 *4908:181 7.49811e-05 +193 *4805:85 *4908:98 0.000140404 +194 *4810:29 *24492:CLK 0.000127471 +195 *4811:21 *4908:181 0.000159016 +196 *4817:108 *4908:181 9.99525e-05 +197 *4823:99 *4908:14 0.000208082 +198 *4824:142 *24229:CLK 0.000110297 +199 *4843:371 *24341:CLK 1.47623e-05 +200 *4866:121 *4908:22 0.000716237 +201 *4866:121 *4908:24 0.000174284 +202 *4866:121 *4908:26 0.000149637 +203 *4866:121 *4908:39 8.40902e-05 +*RES +1 *24969:X *4908:7 17.8002 +2 *4908:7 *4908:10 12.1455 +3 *4908:10 *4908:14 22.0269 +4 *4908:14 *4908:19 17.0242 +5 *4908:19 *4908:22 17.9591 +6 *4908:22 *4908:24 3.493 +7 *4908:24 *4908:26 3.07775 +8 *4908:26 *24245:CLK 23.9249 +9 *4908:26 *4908:39 5.88681 +10 *4908:39 *24784:CLK 25.9624 +11 *4908:39 *24248:CLK 24.3348 +12 *4908:24 *24243:CLK 15.0271 +13 *4908:22 *24246:CLK 24.4554 +14 *4908:19 *4908:71 2.94181 +15 *4908:71 *24490:CLK 9.24915 +16 *4908:71 *4908:77 4.05102 +17 *4908:77 *24227:CLK 21.7421 +18 *4908:77 *24226:CLK 19.4008 +19 *4908:14 *4908:93 4.5 +20 *4908:93 *4908:98 15.785 +21 *4908:98 *24496:CLK 20.1489 +22 *4908:98 *24489:CLK 24.6096 +23 *4908:93 *24493:CLK 9.24915 +24 *4908:10 *24225:CLK 9.24915 +25 *4908:7 *4908:123 5.98452 +26 *4908:123 *24492:CLK 22.7916 +27 *4908:123 *4908:132 5.98452 +28 *4908:132 *24229:CLK 15.6059 +29 *4908:132 *4908:146 17.3057 +30 *4908:146 *4908:148 4.05102 +31 *4908:148 *24247:CLK 36.6576 +32 *4908:148 *24244:CLK 9.24915 +33 *4908:146 *4908:169 7.57775 +34 *4908:169 *24341:CLK 27.783 +35 *4908:169 *4908:181 12.4593 +36 *4908:181 *4908:184 13.3913 +37 *4908:184 *4908:189 12.4574 +38 *4908:189 *4908:193 19.6431 +39 *4908:193 *24249:CLK 19.7337 +40 *4908:193 *24494:CLK 20.5992 +41 *4908:189 *24242:CLK 9.82786 +42 *4908:184 *24224:CLK 22.1237 +43 *4908:181 *24228:CLK 9.24915 +*END + +*D_NET *4909 0.0225624 +*CONN +*I *24273:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24271:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24272:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24340:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24336:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24342:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24337:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24335:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24339:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24338:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24807:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24805:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24970:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24273:CLK 0.000420952 +2 *24271:CLK 7.64072e-05 +3 *24272:CLK 0 +4 *24340:CLK 0 +5 *24336:CLK 0.000926634 +6 *24342:CLK 0.000764991 +7 *24337:CLK 0.00010065 +8 *24335:CLK 0.000399152 +9 *24339:CLK 0 +10 *24338:CLK 0.000363785 +11 *24807:CLK 0.000156093 +12 *24805:CLK 0.000270548 +13 *24970:X 0.000827716 +14 *4909:109 0.000666096 +15 *4909:101 0.000451329 +16 *4909:91 0.000469819 +17 *4909:76 0.00128171 +18 *4909:65 0.00101223 +19 *4909:56 0.000283578 +20 *4909:16 0.000868838 +21 *4909:12 0.000876413 +22 *4909:10 0.000261834 +23 *4909:8 0.00069722 +24 *4909:7 0.00103791 +25 *24273:CLK *21419:A 2.61955e-05 +26 *24273:CLK *5859:197 3.31745e-05 +27 *24335:CLK *4969:7 0.000241466 +28 *24336:CLK *20902:A1 4.31703e-05 +29 *24336:CLK *22007:A 6.51527e-05 +30 *24336:CLK *4973:5 1.92172e-05 +31 *24336:CLK *5864:524 1.45475e-05 +32 *24338:CLK *5560:10 0.000255186 +33 *24338:CLK *5864:494 2.16355e-05 +34 *24342:CLK *24342:RESET_B 7.8168e-06 +35 *24342:CLK *4979:11 0.000110297 +36 *24805:CLK *5372:7 0.000140256 +37 *24805:CLK *5855:298 6.12734e-05 +38 *24807:CLK *24805:SET_B 0.000109625 +39 *24807:CLK *5372:7 1.70615e-05 +40 *4909:109 *5405:11 4.2372e-05 +41 *20140:A2 *24805:CLK 0.000131418 +42 *20140:A2 *24807:CLK 0.000101738 +43 *24272:D *4909:101 2.16355e-05 +44 *24272:D *4909:109 5.77615e-05 +45 *24336:D *24336:CLK 0.000164829 +46 *24342:D *24342:CLK 1.71806e-05 +47 *1450:145 *4909:10 0.000195908 +48 *1450:145 *4909:12 0.000151758 +49 *1450:145 *4909:16 0.000511155 +50 *1652:52 *4909:101 0.000230399 +51 *1854:76 *24807:CLK 3.82228e-05 +52 *1899:16 *4909:8 0.000156619 +53 *1899:16 *4909:10 5.30926e-05 +54 *1899:16 *4909:56 9.74251e-05 +55 *1899:16 *4909:65 2.17541e-05 +56 *1899:20 *4909:65 6.67735e-05 +57 *1899:20 *4909:76 0.000340243 +58 *1899:20 *4909:91 0.000418463 +59 *1899:20 *4909:101 0.000528577 +60 *2141:7 *24335:CLK 0.0001352 +61 *2141:54 *24342:CLK 9.27416e-05 +62 *2142:28 *4909:8 0.000347817 +63 *2142:28 *4909:10 0.000114679 +64 *2142:28 *4909:56 0.00023344 +65 *2142:28 *4909:65 0.000127849 +66 *2142:28 *4909:76 6.22259e-05 +67 *2142:37 *4909:76 0.000133426 +68 *2142:58 *4909:76 0.000148076 +69 *2142:58 *4909:91 0.000230896 +70 *2301:30 *24336:CLK 0.000105599 +71 *2333:38 *4909:16 0 +72 *2397:63 *24338:CLK 5.97576e-05 +73 *2479:8 *24273:CLK 6.08467e-05 +74 *2546:5 *24338:CLK 6.08467e-05 +75 *2924:57 *4909:7 0.000250244 +76 *2949:60 *4909:10 1.5254e-05 +77 *2949:60 *4909:16 4.06401e-05 +78 *2949:60 *4909:91 8.50247e-05 +79 *2949:60 *4909:101 0.000125485 +80 *3021:10 *24336:CLK 2.79702e-05 +81 *3257:80 *24336:CLK 1.69574e-05 +82 *3263:24 *24271:CLK 0.000184977 +83 *3263:24 *24273:CLK 0.000734968 +84 *4813:31 *24337:CLK 5.04829e-06 +85 *4817:97 *4909:109 1.82679e-05 +86 *4829:108 *4909:7 0.000290588 +87 *4832:77 *4909:10 0.000199453 +88 *4832:77 *4909:12 0.000158885 +89 *4832:77 *4909:16 0.000699181 +90 *4843:407 *24271:CLK 0.000184977 +91 *4843:407 *24273:CLK 0.000729818 +92 *4843:445 *4909:16 0.000153926 +*RES +1 *24970:X *4909:7 26.1192 +2 *4909:7 *4909:8 5.98452 +3 *4909:8 *4909:10 6.81502 +4 *4909:10 *4909:12 3.07775 +5 *4909:12 *4909:16 21.6963 +6 *4909:16 *24805:CLK 17.3753 +7 *4909:16 *24807:CLK 13.8548 +8 *4909:12 *24338:CLK 22.7916 +9 *4909:10 *24339:CLK 13.7491 +10 *4909:8 *24335:CLK 24.0937 +11 *4909:7 *4909:56 4.32351 +12 *4909:56 *24337:CLK 15.5817 +13 *4909:56 *4909:65 2.6625 +14 *4909:65 *24342:CLK 24.8894 +15 *4909:65 *4909:76 6.81502 +16 *4909:76 *24336:CLK 37.5353 +17 *4909:76 *4909:91 8.06078 +18 *4909:91 *24340:CLK 13.7491 +19 *4909:91 *4909:101 15.3604 +20 *4909:101 *24272:CLK 9.24915 +21 *4909:101 *4909:109 8.55102 +22 *4909:109 *24271:CLK 17.2421 +23 *4909:109 *24273:CLK 30.7046 +*END + +*D_NET *4910 0.0237747 +*CONN +*I *24810:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24812:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24808:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24809:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24806:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24702:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24700:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24740:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24699:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24739:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24787:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24741:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24971:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24810:CLK 0.000475429 +2 *24812:CLK 0.000442881 +3 *24808:CLK 0.000275303 +4 *24809:CLK 0 +5 *24806:CLK 4.92104e-05 +6 *24702:CLK 0 +7 *24700:CLK 0 +8 *24740:CLK 0.000587034 +9 *24699:CLK 6.73548e-05 +10 *24739:CLK 0.000239578 +11 *24787:CLK 0.000790367 +12 *24741:CLK 5.91497e-05 +13 *24971:X 0 +14 *4910:110 0.00106284 +15 *4910:99 0.00047862 +16 *4910:92 0.000340178 +17 *4910:83 0.000920373 +18 *4910:72 0.000826779 +19 *4910:21 0.00112611 +20 *4910:17 0.000696372 +21 *4910:16 0.000519825 +22 *4910:12 0.00106009 +23 *4910:9 0.000652302 +24 *4910:5 0.000688523 +25 *24740:CLK *5857:184 4.2273e-06 +26 *24741:CLK *24741:RESET_B 7.50722e-05 +27 *24741:CLK *5861:482 2.5386e-05 +28 *24741:CLK *5864:256 4.18989e-05 +29 *24787:CLK *20169:A1 0 +30 *24787:CLK *20169:B1 0 +31 *24787:CLK *5235:11 0.000192319 +32 *24787:CLK *5864:256 0.000144531 +33 *24806:CLK *24806:SET_B 0.000107496 +34 *24808:CLK *5855:298 9.9224e-05 +35 *24808:CLK *5855:318 6.04168e-05 +36 *24810:CLK *20138:B1 7.03677e-05 +37 *24810:CLK *24812:RESET_B 7.12965e-05 +38 *24810:CLK *5382:10 3.82228e-05 +39 *24810:CLK *5526:32 0.000137143 +40 *24810:CLK *5857:171 7.45404e-05 +41 *24810:CLK *5857:178 0.000124157 +42 *24812:CLK *24812:RESET_B 1.91114e-05 +43 *24812:CLK *5382:10 0.000166842 +44 *4910:9 *5864:332 0.000556049 +45 *4910:9 *5909:14 2.29838e-05 +46 *4910:21 *4911:7 0.000200794 +47 *4910:72 *24702:RESET_B 7.95755e-05 +48 *4910:72 *5864:323 3.17103e-05 +49 *4910:72 *5909:14 5.84813e-05 +50 *4910:83 *20134:A1 3.5534e-06 +51 *4910:83 *5381:12 0.000270217 +52 *4910:83 *5859:408 4.89035e-05 +53 *4910:83 *5861:482 6.15399e-06 +54 *4910:83 *5864:323 6.18842e-05 +55 *4910:92 *20134:A1 5.41178e-05 +56 *4910:92 *5861:476 0.000504539 +57 *4910:92 *5861:480 7.4688e-05 +58 *4910:92 *5861:482 7.84469e-06 +59 *4910:99 *5861:476 0.000187997 +60 *4910:110 *5861:476 0.000357007 +61 *20137:A2 *24808:CLK 0.000193436 +62 *20137:B2 *24808:CLK 0.000107496 +63 *20138:A2 *24810:CLK 6.08467e-05 +64 *20138:B2 *24810:CLK 6.08467e-05 +65 *20169:A2 *24787:CLK 3.01683e-06 +66 *23862:A *4910:72 8.20996e-05 +67 *24740:D *24740:CLK 4.82656e-05 +68 *24787:D *24787:CLK 2.80852e-05 +69 *24812:D *24812:CLK 3.4123e-05 +70 *24972:A *4910:21 0.000544561 +71 *476:108 *24808:CLK 4.58907e-05 +72 *500:60 *4910:12 0.000228644 +73 *500:60 *4910:16 0.000266946 +74 *1573:84 *4910:12 9.24241e-05 +75 *1573:84 *4910:16 0.000628076 +76 *1679:20 *4910:9 0.000198184 +77 *1679:20 *4910:72 0.000130569 +78 *1709:59 *24808:CLK 0.000924899 +79 *1901:40 *24739:CLK 0.000174175 +80 *1917:53 *4910:9 0.000133124 +81 *2300:60 *24810:CLK 0.000678064 +82 *2333:38 *24810:CLK 6.37112e-05 +83 *2333:38 *4910:83 0.000160727 +84 *2333:38 *4910:92 0.000735678 +85 *2333:38 *4910:99 0.000195124 +86 *2333:38 *4910:110 0.000359159 +87 *2384:88 *24739:CLK 6.03936e-05 +88 *2397:72 *24810:CLK 7.77309e-06 +89 *2423:57 *24787:CLK 0.000154145 +90 *2468:123 *24741:CLK 0.000172676 +91 *2468:123 *24787:CLK 0.000137404 +92 *4805:92 *4910:9 0.000120344 +93 *4828:100 *4910:12 4.26659e-05 +94 *4832:84 *24739:CLK 2.3939e-05 +95 *4843:445 *24810:CLK 0.000154851 +96 *4843:457 *4910:12 0.00016345 +97 *4843:469 *4910:12 0.000179271 +98 *4843:474 *24739:CLK 0.000489397 +99 *4866:82 *4910:17 0.000305742 +100 *4866:82 *4910:21 0.000247443 +*RES +1 *24971:X *4910:5 13.7491 +2 *4910:5 *4910:9 19.5186 +3 *4910:9 *4910:12 13.8065 +4 *4910:12 *4910:16 15.8828 +5 *4910:16 *4910:17 5.16022 +6 *4910:17 *4910:21 13.5424 +7 *4910:21 *24741:CLK 16.8269 +8 *4910:21 *24787:CLK 28.6853 +9 *4910:17 *24739:CLK 27.9709 +10 *4910:16 *24699:CLK 10.5271 +11 *4910:12 *24740:CLK 22.1164 +12 *4910:9 *24700:CLK 9.24915 +13 *4910:5 *4910:72 11.7681 +14 *4910:72 *24702:CLK 9.24915 +15 *4910:72 *4910:83 18.8627 +16 *4910:83 *24806:CLK 15.0271 +17 *4910:83 *4910:92 13.4591 +18 *4910:92 *24809:CLK 13.7491 +19 *4910:92 *4910:99 3.493 +20 *4910:99 *24808:CLK 25.5646 +21 *4910:99 *4910:110 11.315 +22 *4910:110 *24812:CLK 18.8462 +23 *4910:110 *24810:CLK 35.3846 +*END + +*D_NET *4911 0.0324829 +*CONN +*I *24701:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24789:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24791:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24811:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24785:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24788:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24742:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24786:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24695:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24698:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24738:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24737:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24736:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24735:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24696:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24790:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24972:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24701:CLK 0.000108586 +2 *24789:CLK 0.000407392 +3 *24791:CLK 6.22168e-05 +4 *24811:CLK 0.000285131 +5 *24785:CLK 0.000309531 +6 *24788:CLK 8.76786e-05 +7 *24742:CLK 0.000523738 +8 *24786:CLK 0.000397373 +9 *24695:CLK 0 +10 *24698:CLK 0.000601706 +11 *24738:CLK 4.04816e-05 +12 *24737:CLK 0 +13 *24736:CLK 0.000770631 +14 *24735:CLK 0 +15 *24696:CLK 0.000194245 +16 *24790:CLK 0.000511219 +17 *24972:X 0.000427403 +18 *4911:156 0.000539589 +19 *4911:147 0.000613042 +20 *4911:133 0.000770475 +21 *4911:118 0.000395053 +22 *4911:109 0.000690572 +23 *4911:100 0.00082723 +24 *4911:95 0.0006983 +25 *4911:43 0.00122811 +26 *4911:39 0.000520376 +27 *4911:38 0.00134613 +28 *4911:28 0.000968917 +29 *4911:18 0.000732781 +30 *4911:16 0.000664275 +31 *4911:15 0.000594973 +32 *4911:7 0.000938622 +33 *24698:CLK *5530:9 0.000107496 +34 *24701:CLK *5235:11 7.39264e-05 +35 *24736:CLK *5541:8 0.000102652 +36 *24738:CLK *24735:RESET_B 0.000164829 +37 *24742:CLK *5853:379 0.000353672 +38 *24785:CLK *24785:SET_B 1.91114e-05 +39 *24785:CLK *5233:7 0.000254675 +40 *24786:CLK *24786:RESET_B 1.91114e-05 +41 *24786:CLK *5234:7 0.000133275 +42 *24788:CLK *5236:7 0.000124083 +43 *24789:CLK *21580:A 0.000323418 +44 *24789:CLK *5853:365 0.00032073 +45 *24790:CLK *24790:RESET_B 8.54603e-05 +46 *24790:CLK *5238:10 0.000178365 +47 *24791:CLK *5239:15 6.08467e-05 +48 *24811:CLK *5859:408 1.80042e-05 +49 *4911:7 *24790:RESET_B 1.65872e-05 +50 *4911:7 *5864:244 0.000108638 +51 *4911:16 *5864:231 0.000145506 +52 *4911:18 *5853:390 2.32737e-05 +53 *4911:28 *5956:45 0.00065297 +54 *4911:38 *24735:RESET_B 5.37479e-05 +55 *4911:38 *5956:45 3.79253e-05 +56 *4911:100 *20169:A1 5.481e-05 +57 *4911:100 *5235:11 5.04829e-06 +58 *4911:100 *5862:8 0.000325124 +59 *4911:100 *5862:17 4.70104e-05 +60 *4911:109 *5862:17 0.000191541 +61 *4911:118 *20168:A1 5.50027e-05 +62 *4911:118 *5862:17 0.000209824 +63 *4911:133 *20168:A1 2.24484e-05 +64 *4911:133 *21580:A 0.000473167 +65 *4911:133 *5862:17 9.24241e-05 +66 *4911:133 *5862:32 0.000401693 +67 *4911:147 *24785:SET_B 2.16355e-05 +68 *4911:147 *5233:7 5.80553e-05 +69 *20165:B1 *24791:CLK 0.000107496 +70 *20168:A2 *24788:CLK 1.90039e-05 +71 *20261:A2 *24698:CLK 4.0752e-05 +72 *20315:B2 *24698:CLK 2.16355e-05 +73 *24698:D *24698:CLK 5.35585e-05 +74 *24786:D *24786:CLK 7.16754e-05 +75 *24788:D *24788:CLK 1.03403e-05 +76 *24791:D *24791:CLK 5.61389e-05 +77 *24972:A *4911:7 0.000179827 +78 *1450:132 *24811:CLK 0.000160829 +79 *1556:20 *24696:CLK 0.00035838 +80 *1556:20 *4911:16 0.000913421 +81 *1556:20 *4911:18 0.000731394 +82 *1556:20 *4911:95 0.000390407 +83 *1557:89 *4911:100 0.000210077 +84 *1557:90 *24789:CLK 0.000220496 +85 *1557:90 *4911:147 0.000197253 +86 *1557:90 *4911:156 0.000154979 +87 *1741:90 *24738:CLK 3.6455e-05 +88 *1741:90 *4911:38 2.83842e-05 +89 *1864:33 *4911:118 3.82348e-05 +90 *2256:14 *4911:16 0.000119813 +91 *2278:28 *24789:CLK 0.00021354 +92 *2278:28 *4911:147 0.000200794 +93 *2278:28 *4911:156 0.000152739 +94 *2394:7 *24791:CLK 3.31745e-05 +95 *2394:16 *24742:CLK 5.481e-05 +96 *2394:16 *4911:100 0.000375717 +97 *2394:16 *4911:109 0.000186528 +98 *2394:16 *4911:118 4.84944e-05 +99 *2423:40 *24736:CLK 4.2635e-05 +100 *2425:21 *4911:16 1.56279e-05 +101 *2425:21 *4911:95 0.000168423 +102 *2426:82 *24696:CLK 0.000139017 +103 *2426:82 *4911:18 0.000135668 +104 *2433:147 *4911:43 0.000165481 +105 *2924:70 *24742:CLK 0.00100183 +106 *2949:60 *24698:CLK 6.64609e-05 +107 *2949:60 *4911:39 4.33979e-05 +108 *2949:60 *4911:43 0.000148129 +109 *3052:13 *24789:CLK 0.000347601 +110 *4804:5 *24701:CLK 2.65831e-05 +111 *4804:5 *4911:100 3.31745e-05 +112 *4804:21 *4911:100 8.51503e-05 +113 *4821:59 *24790:CLK 0.000154145 +114 *4821:59 *4911:7 0.00036437 +115 *4832:84 *24698:CLK 7.14746e-05 +116 *4832:84 *24736:CLK 5.79544e-05 +117 *4832:84 *4911:39 5.05252e-05 +118 *4832:84 *4911:43 0.000913072 +119 *4845:344 *4911:16 0.000301834 +120 *4845:344 *4911:18 0.000333396 +121 *4866:70 *24736:CLK 0.000140487 +122 *4866:70 *4911:43 0.000242269 +123 *4866:102 *24785:CLK 0.000201441 +124 *4866:102 *4911:147 5.37574e-05 +125 *4910:21 *4911:7 0.000200794 +*RES +1 *24972:X *4911:7 21.6433 +2 *4911:7 *24790:CLK 21.0646 +3 *4911:7 *4911:15 4.5 +4 *4911:15 *4911:16 16.7811 +5 *4911:16 *4911:18 13.4591 +6 *4911:18 *24696:CLK 20.5642 +7 *4911:18 *4911:28 11.8786 +8 *4911:28 *24735:CLK 9.24915 +9 *4911:28 *4911:38 15.0857 +10 *4911:38 *4911:39 1.00149 +11 *4911:39 *4911:43 17.5139 +12 *4911:43 *24736:CLK 27.3349 +13 *4911:43 *24737:CLK 13.7491 +14 *4911:39 *24738:CLK 15.5817 +15 *4911:38 *24698:CLK 24.4254 +16 *4911:16 *24695:CLK 13.7491 +17 *4911:15 *4911:95 12.309 +18 *4911:95 *4911:100 17.5845 +19 *4911:100 *24786:CLK 23.3462 +20 *4911:100 *4911:109 3.493 +21 *4911:109 *24742:CLK 29.4468 +22 *4911:109 *4911:118 3.90826 +23 *4911:118 *24788:CLK 16.3293 +24 *4911:118 *4911:133 13.8065 +25 *4911:133 *24785:CLK 19.0391 +26 *4911:133 *4911:147 12.4593 +27 *4911:147 *24811:CLK 20.0186 +28 *4911:147 *4911:156 3.07775 +29 *4911:156 *24791:CLK 16.1364 +30 *4911:156 *24789:CLK 37.1082 +31 *4911:95 *24701:CLK 12.191 +*END + +*D_NET *4912 0.0137519 +*CONN +*I *24697:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24163:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24973:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24697:CLK 0.000703038 +2 *24163:CLK 0 +3 *24973:X 6.23517e-05 +4 *4912:29 0.00166366 +5 *4912:23 0.00121019 +6 *4912:12 0.00095332 +7 *4912:9 0.00123535 +8 *4912:5 0.000593946 +9 *24697:CLK *20316:A1 9.80242e-07 +10 *24697:CLK *24697:RESET_B 5.04054e-06 +11 *24697:CLK *5861:497 6.73186e-05 +12 *4912:12 *21866:A 0 +13 *4912:23 *20869:C 0.00017576 +14 *4912:23 *5861:498 0.000452999 +15 *4912:23 *6022:39 7.18958e-05 +16 *4912:23 *6023:21 1.00004e-05 +17 *4912:29 *20316:A1 5.20546e-06 +18 *4912:29 *20868:D 0.000175114 +19 *4912:29 *24096:A 0.000149643 +20 *4912:29 *5861:498 0.000192554 +21 *4912:29 *6023:21 0.000218512 +22 *23861:A *4912:29 0.000154424 +23 *24697:D *24697:CLK 0.000167921 +24 *74:12 *4912:29 0.000757486 +25 *74:18 *4912:23 0.000148867 +26 *535:42 *4912:29 0.000435305 +27 *537:33 *4912:5 0.00011818 +28 *537:33 *4912:9 0.000393863 +29 *2241:8 *4912:12 4.01437e-05 +30 *2689:14 *4912:12 0.000971556 +31 *3017:8 *4912:12 0.00261723 +*RES +1 *24973:X *4912:5 10.5271 +2 *4912:5 *4912:9 9.10562 +3 *4912:9 *4912:12 49.9335 +4 *4912:12 *24163:CLK 9.24915 +5 *4912:5 *4912:23 19.7088 +6 *4912:23 *4912:29 42.0318 +7 *4912:29 *24697:CLK 20.3894 +*END + +*D_NET *4913 0.0129011 +*CONN +*I *24164:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24162:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24904:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24196:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24161:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *24186:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24889:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24907:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24195:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24974:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24164:CLK 5.29211e-05 +2 *24162:CLK 0.000167761 +3 *24904:CLK 0 +4 *24196:CLK 0.000254024 +5 *24161:CLK 0.00012681 +6 *24186:CLK 0.000404925 +7 *24889:CLK 0 +8 *24907:CLK 0 +9 *24195:CLK 0 +10 *24974:X 0.000107408 +11 *4913:65 0.00088976 +12 *4913:49 0.000910827 +13 *4913:47 0.000647735 +14 *4913:46 0.000384614 +15 *4913:29 0.000974536 +16 *4913:20 0.000805939 +17 *4913:9 0.000935822 +18 *4913:7 0.000806902 +19 *24161:CLK *21223:A 6.92705e-05 +20 *24161:CLK *21362:A 0 +21 *24164:CLK *5857:226 0.000165563 +22 *24164:CLK *5959:68 0.000169093 +23 *24186:CLK *21155:B1 3.31882e-05 +24 *24186:CLK *5855:343 0.000222684 +25 *24186:CLK *5956:13 3.83172e-05 +26 *24186:CLK *5960:5 5.61125e-05 +27 *24186:CLK *5960:19 0.000185746 +28 *24196:CLK *19938:A 8.39698e-05 +29 *24196:CLK *21948:A 0.000228812 +30 *24196:CLK *24196:RESET_B 0.000170592 +31 *24196:CLK *5957:11 0.000106081 +32 *4913:7 *23938:A1 1.89968e-05 +33 *4913:9 *23938:A1 0.000165357 +34 *4913:9 *5961:7 0.000150581 +35 *4913:20 *19584:A1 0.000177772 +36 *4913:29 *19584:A1 4.33979e-05 +37 *4913:29 *19629:B1 7.58595e-05 +38 *4913:47 *5857:226 0.000269235 +39 *4913:47 *5959:59 0.000513666 +40 *4913:47 *5959:68 0.000210445 +41 *4913:49 *5959:59 0.000222699 +42 *4913:65 *5959:12 0.000212475 +43 *4913:65 *5959:59 7.24449e-05 +44 *19584:A2 *4913:20 9.90116e-05 +45 *21155:A2 *24186:CLK 0.000158357 +46 *21171:A *24162:CLK 0.000127288 +47 *24186:D *24186:CLK 0.00011818 +48 *24195:D *4913:9 5.481e-05 +49 *24904:D *4913:65 2.65831e-05 +50 *24907:D *4913:20 0.000103746 +51 *324:11 *24186:CLK 5.33331e-05 +52 *1430:8 *4913:20 0 +53 *1430:8 *4913:29 0 +54 *1430:55 *4913:29 0 +55 *1431:46 *4913:29 3.33495e-05 +56 *1556:10 *24161:CLK 7.2401e-05 +57 *1556:10 *4913:49 1.09738e-05 +58 *1556:11 *4913:65 0.000277488 +59 *1556:16 *4913:47 0 +60 *1556:16 *4913:49 0 +61 *2240:20 *4913:29 0 +62 *2241:13 *4913:7 2.41274e-06 +63 *2242:7 *24162:CLK 0.000113968 +64 *2267:31 *24186:CLK 6.23875e-05 +65 *2278:24 *4913:29 0.000339153 +66 *2290:10 *24196:CLK 0.000100913 +67 *2422:7 *24161:CLK 1.43848e-05 +*RES +1 *24974:X *4913:7 11.6605 +2 *4913:7 *4913:9 17.9161 +3 *4913:9 *24195:CLK 9.24915 +4 *4913:9 *4913:20 12.2075 +5 *4913:20 *24907:CLK 13.7491 +6 *4913:20 *4913:29 21.2811 +7 *4913:29 *24889:CLK 9.24915 +8 *4913:29 *24186:CLK 31.9232 +9 *4913:7 *4913:46 4.5 +10 *4913:46 *4913:47 13.0438 +11 *4913:47 *4913:49 4.73876 +12 *4913:49 *24161:CLK 17.5503 +13 *4913:49 *4913:65 22.0544 +14 *4913:65 *24196:CLK 29.2601 +15 *4913:65 *24904:CLK 9.24915 +16 *4913:47 *24162:CLK 18.3789 +17 *4913:46 *24164:CLK 16.8269 +*END + +*D_NET *4914 0.0161483 +*CONN +*I *24908:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24564:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24560:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24559:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24562:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24752:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24754:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24975:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24908:CLK 0.00157441 +2 *24564:CLK 8.65022e-05 +3 *24560:CLK 0.000546088 +4 *24559:CLK 8.58155e-05 +5 *24562:CLK 0 +6 *24752:CLK 0 +7 *24754:CLK 0.000166249 +8 *24975:X 0 +9 *4914:70 0.000998072 +10 *4914:53 0.000956634 +11 *4914:29 0.0007397 +12 *4914:16 0.00066393 +13 *4914:12 0.00131298 +14 *4914:4 0.00229158 +15 *24559:CLK *19933:A 6.97525e-05 +16 *24559:CLK *24898:RESET_B 0.00015901 +17 *24908:CLK *23931:A1 1.83795e-06 +18 *24908:CLK *24370:RESET_B 0.000110099 +19 *24908:CLK *5946:11 1.03403e-05 +20 *4914:12 *20517:A1 0.000425925 +21 *4914:12 *20517:B1 0.000107496 +22 *4914:29 *4949:7 1.47978e-05 +23 *4914:29 *5854:438 5.85006e-05 +24 *4914:53 *24559:SET_B 1.02356e-05 +25 *4914:53 *24898:RESET_B 0.000208946 +26 *20520:A2 *24559:CLK 4.21171e-06 +27 *20520:A2 *4914:70 0.000164618 +28 *20819:A2 *24908:CLK 1.00981e-05 +29 *20819:B1 *24908:CLK 0.000428805 +30 *21384:B1 *24560:CLK 0.000264586 +31 *21384:B1 *24564:CLK 0.000386138 +32 *24371:D *24908:CLK 7.09666e-06 +33 *24559:D *24559:CLK 8.36586e-06 +34 *24752:D *4914:29 6.73351e-05 +35 *24754:D *24754:CLK 7.34948e-06 +36 *24754:D *4914:29 0 +37 *497:12 *24754:CLK 6.45439e-05 +38 *497:12 *4914:29 5.88901e-05 +39 *497:14 *24754:CLK 5.39635e-06 +40 *500:95 *4914:29 0.000164815 +41 *506:36 *4914:70 0.000130633 +42 *524:6 *24908:CLK 4.43111e-05 +43 *541:46 *24908:CLK 0.000119253 +44 *547:38 *24754:CLK 7.48457e-05 +45 *2270:133 *24560:CLK 0.000166059 +46 *2423:16 *24560:CLK 0.000260388 +47 *2423:16 *24564:CLK 0.000258142 +48 *2428:87 *24908:CLK 9.60421e-05 +49 *2428:87 *4914:12 0.00015966 +50 *2440:16 *4914:12 8.21102e-05 +51 *2440:16 *4914:16 0.000229955 +52 *2440:16 *4914:29 0.000631767 +53 *2448:178 *24754:CLK 6.73186e-05 +54 *2759:27 *4914:29 0.000111722 +55 *2900:37 *24754:CLK 2.65667e-05 +56 *2900:47 *24754:CLK 2.18145e-05 +57 *3160:22 *4914:29 0.000333548 +58 *4827:20 *4914:70 7.28129e-05 +59 *4827:24 *4914:70 0.000174491 +60 *4843:516 *24564:CLK 5.23916e-05 +61 *4843:517 *4914:12 8.71956e-05 +62 *4843:517 *4914:16 0.000229955 +63 *4844:356 *24560:CLK 0.000127513 +64 *4865:6 *24559:CLK 3.97785e-05 +65 *4865:6 *24560:CLK 0.000264541 +66 *4865:6 *4914:53 1.86724e-05 +67 *4865:6 *4914:70 1.80103e-05 +68 *4866:32 *4914:12 7.68538e-06 +*RES +1 *24975:X *4914:4 9.24915 +2 *4914:4 *4914:12 18.895 +3 *4914:12 *4914:16 8.82351 +4 *4914:16 *4914:29 27.1987 +5 *4914:29 *24754:CLK 23.5748 +6 *4914:29 *24752:CLK 9.24915 +7 *4914:16 *24562:CLK 9.24915 +8 *4914:12 *4914:53 17.1725 +9 *4914:53 *24559:CLK 17.1084 +10 *4914:53 *4914:70 15.1622 +11 *4914:70 *24560:CLK 34.65 +12 *4914:70 *24564:CLK 13.8548 +13 *4914:4 *24908:CLK 43.2834 +*END + +*D_NET *4915 0.0296231 +*CONN +*I *24666:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24670:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24745:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24753:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24750:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24755:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24756:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24668:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24669:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24749:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24667:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24748:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24747:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24746:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24744:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24976:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24666:CLK 0 +2 *24670:CLK 6.6196e-05 +3 *24745:CLK 0 +4 *24753:CLK 0 +5 *24750:CLK 0.000439832 +6 *24755:CLK 0 +7 *24756:CLK 0.000138247 +8 *24668:CLK 0.000118224 +9 *24669:CLK 0 +10 *24749:CLK 0.000112421 +11 *24667:CLK 0.000276798 +12 *24748:CLK 2.52739e-05 +13 *24747:CLK 0 +14 *24746:CLK 0.000125928 +15 *24744:CLK 0.000198312 +16 *24976:X 0.000269857 +17 *4915:139 0.00134016 +18 *4915:123 0.00127658 +19 *4915:114 0.00064811 +20 *4915:106 0.000654514 +21 *4915:98 0.000596262 +22 *4915:88 0.000732569 +23 *4915:73 0.00121648 +24 *4915:62 0.000826532 +25 *4915:57 0.00109089 +26 *4915:45 0.00101813 +27 *4915:17 0.000726373 +28 *4915:13 0.000979603 +29 *4915:10 0.00091245 +30 *4915:6 0.000754026 +31 *24667:CLK *24667:RESET_B 8.40117e-05 +32 *24667:CLK *5197:8 0.000156351 +33 *24667:CLK *5960:47 0.000157775 +34 *24670:CLK *5871:246 4.5966e-05 +35 *24744:CLK *21930:A 4.75721e-06 +36 *24744:CLK *4954:12 0.000268798 +37 *24744:CLK *5871:219 3.09489e-06 +38 *24744:CLK *5871:230 8.02311e-05 +39 *24746:CLK *20243:B1 7.69423e-05 +40 *24746:CLK *21587:A 0.000162584 +41 *24749:CLK *21471:A 4.13867e-05 +42 *24750:CLK *24750:SET_B 0.000317721 +43 *4915:13 *5871:246 0.000691543 +44 *4915:17 *21735:A 0.000113968 +45 *4915:17 *4944:8 0 +46 *4915:17 *4944:21 0 +47 *4915:17 *5871:230 0.000138693 +48 *4915:45 *4955:6 7.80609e-05 +49 *4915:57 *24745:RESET_B 0.000206626 +50 *4915:57 *4945:13 4.59816e-06 +51 *4915:57 *4955:6 4.33979e-05 +52 *4915:62 *4954:27 5.57684e-05 +53 *4915:62 *4954:39 1.05272e-06 +54 *4915:73 *4954:39 0.000184903 +55 *4915:88 *21471:A 4.18989e-05 +56 *4915:88 *4946:40 0.000137921 +57 *4915:88 *5197:8 6.73351e-05 +58 *4915:88 *5854:372 7.55673e-05 +59 *4915:88 *5960:47 0.000628902 +60 *4915:106 *24669:RESET_B 0.000319299 +61 *4915:123 *4950:11 5.41377e-05 +62 *4915:139 *24750:SET_B 3.95584e-05 +63 *4915:139 *24753:RESET_B 6.72055e-05 +64 *4915:139 *4950:7 0.000154145 +65 *4915:139 *4950:11 0.000137389 +66 *4915:139 *4950:39 2.95757e-05 +67 *4915:139 *5871:354 1.86543e-05 +68 *20241:B2 *4915:62 0.000163569 +69 *20360:B2 *4915:10 0.000115936 +70 *21224:A2 *4915:123 4.72872e-05 +71 *21224:A2 *4915:139 7.26588e-05 +72 *21887:B1 *24744:CLK 0.000445549 +73 *23988:S *4915:88 5.3103e-05 +74 *23988:S *4915:98 0.000612793 +75 *24669:D *4915:106 5.97411e-05 +76 *24745:D *4915:57 0.000100899 +77 *24746:D *24746:CLK 0 +78 *24747:D *4915:45 2.67514e-05 +79 *24750:D *24750:CLK 0.000124221 +80 *24978:A *4915:88 0.000217937 +81 *24978:A *4915:98 0.000304432 +82 *324:10 *24744:CLK 0.000572243 +83 *514:106 *4915:88 0.000149643 +84 *516:26 *4915:88 7.98266e-05 +85 *524:43 *4915:106 9.82896e-06 +86 *543:33 *24750:CLK 0.000108228 +87 *548:21 *24670:CLK 6.27782e-05 +88 *550:13 *4915:88 1.80257e-05 +89 *550:13 *4915:98 9.80747e-05 +90 *550:13 *4915:106 0.000207156 +91 *1439:213 *4915:62 0 +92 *1502:86 *4915:62 0.000224395 +93 *1696:72 *4915:10 0.000329249 +94 *1744:53 *4915:62 1.66771e-05 +95 *1779:10 *4915:17 0.000564825 +96 *1779:10 *4915:45 0.000350675 +97 *1779:10 *4915:57 5.41227e-05 +98 *1894:12 *4915:123 2.23919e-05 +99 *1934:15 *4915:10 0.000136939 +100 *1934:26 *4915:6 0.000203745 +101 *1934:26 *4915:10 8.18789e-05 +102 *2290:30 *4915:106 6.50586e-05 +103 *2290:30 *4915:114 0.000351867 +104 *2290:30 *4915:123 0.000132661 +105 *2378:11 *24756:CLK 7.26543e-05 +106 *2393:12 *4915:73 0 +107 *2644:13 *24744:CLK 1.1573e-05 +108 *2675:42 *24750:CLK 0.000107496 +109 *2736:16 *24670:CLK 0.000105196 +110 *2736:16 *4915:13 0.000497539 +111 *2756:8 *4915:62 8.22288e-05 +112 *2756:8 *4915:73 0.00027233 +113 *2831:34 *4915:73 0.000284033 +114 *2902:12 *24744:CLK 0.000135044 +115 *2902:12 *4915:17 0.000414183 +116 *2907:6 *24749:CLK 5.90881e-05 +117 *2962:33 *4915:123 0 +118 *2980:6 *4915:10 3.33081e-05 +119 *4829:14 *24668:CLK 0.000190028 +120 *4829:14 *4915:114 0.000369219 +121 *4829:14 *4915:123 0.000586641 +122 *4843:555 *24746:CLK 6.50586e-05 +123 *4844:372 *4915:123 4.37999e-05 +124 *4844:382 *24668:CLK 0 +125 *4844:382 *4915:6 0.000502284 +126 *4844:382 *4915:10 0.000153225 +127 *4844:382 *4915:114 0 +128 *4844:383 *24748:CLK 2.65667e-05 +129 *4845:412 *4915:45 2.34196e-05 +130 *4845:415 *4915:57 1.56269e-05 +131 *4845:415 *4915:62 7.48232e-05 +132 *4866:15 *4915:98 3.82228e-05 +133 *4866:15 *4915:106 4.0752e-05 +*RES +1 *24976:X *4915:6 23.4709 +2 *4915:6 *4915:10 21.2811 +3 *4915:10 *4915:13 18.5339 +4 *4915:13 *4915:17 19.7973 +5 *4915:17 *24744:CLK 32.7517 +6 *4915:17 *24746:CLK 22.4655 +7 *4915:13 *4915:45 6.81502 +8 *4915:45 *24747:CLK 13.7491 +9 *4915:45 *4915:57 17.751 +10 *4915:57 *4915:62 14.2568 +11 *4915:62 *24748:CLK 14.4725 +12 *4915:62 *4915:73 21.2811 +13 *4915:73 *24667:CLK 18.2916 +14 *4915:73 *4915:88 25.7443 +15 *4915:88 *24749:CLK 21.3269 +16 *4915:88 *4915:98 9.59705 +17 *4915:98 *24669:CLK 9.24915 +18 *4915:98 *4915:106 16.3155 +19 *4915:106 *24668:CLK 17.2421 +20 *4915:106 *4915:114 6.81502 +21 *4915:114 *24756:CLK 17.2456 +22 *4915:114 *4915:123 13.0438 +23 *4915:123 *24755:CLK 13.7491 +24 *4915:123 *4915:139 22.2386 +25 *4915:139 *24750:CLK 21.8121 +26 *4915:139 *24753:CLK 9.24915 +27 *4915:57 *24745:CLK 9.24915 +28 *4915:10 *24670:CLK 12.191 +29 *4915:6 *24666:CLK 13.7491 +*END + +*D_NET *4916 0.024229 +*CONN +*I *24558:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24531:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24554:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24528:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24627:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24532:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24529:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24525:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24527:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24479:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24526:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24523:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24524:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24977:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24558:CLK 0 +2 *24531:CLK 0.000507473 +3 *24554:CLK 0.000475868 +4 *24528:CLK 0 +5 *24627:CLK 0.000441972 +6 *24532:CLK 0 +7 *24529:CLK 0.000227382 +8 *24525:CLK 0 +9 *24527:CLK 0.000774291 +10 *24479:CLK 0.00061008 +11 *24526:CLK 0 +12 *24523:CLK 0.000630504 +13 *24524:CLK 0.00034513 +14 *24977:X 0.000248508 +15 *4916:120 0.000475868 +16 *4916:118 0.000717405 +17 *4916:114 0.000648754 +18 *4916:101 0.000441972 +19 *4916:99 0.000507557 +20 *4916:71 0.000821041 +21 *4916:20 0.00115164 +22 *4916:18 0.000219161 +23 *4916:16 0.00116255 +24 *4916:14 0.000795446 +25 *4916:9 0.000697795 +26 *4916:5 0.000548269 +27 *24479:CLK *20571:A1 0.000107496 +28 *24479:CLK *20571:B1 1.82679e-05 +29 *24524:CLK *20573:A1 0.000105179 +30 *24527:CLK *20570:A1 2.16355e-05 +31 *24527:CLK *21540:A 2.16355e-05 +32 *24527:CLK *24527:RESET_B 1.7883e-05 +33 *24529:CLK *20562:A1 4.30017e-06 +34 *24531:CLK *5456:31 5.04054e-06 +35 *24554:CLK *24554:RESET_B 7.1639e-05 +36 *24627:CLK *24532:RESET_B 4.87718e-05 +37 *24627:CLK *24627:RESET_B 8.43782e-05 +38 *4916:5 *21984:A 4.00689e-05 +39 *4916:9 *5682:25 0.000260388 +40 *4916:16 *5851:577 0.000114426 +41 *4916:71 *21540:A 3.31745e-05 +42 *4916:71 *24527:RESET_B 1.58551e-05 +43 *4916:118 *5681:25 0.000357884 +44 *4916:118 *5861:166 5.98044e-05 +45 *20565:B2 *4916:114 0.000176116 +46 *20573:A2 *24524:CLK 0.000195511 +47 *24524:D *24524:CLK 4.3378e-05 +48 *24526:D *24479:CLK 0.000160617 +49 *24527:D *24527:CLK 0.000158078 +50 *24531:D *24531:CLK 2.16355e-05 +51 *24532:D *24627:CLK 5.97576e-05 +52 *1066:22 *24554:CLK 0.000482286 +53 *1438:92 *24554:CLK 1.03403e-05 +54 *1438:98 *24531:CLK 0.000843937 +55 *1438:98 *4916:118 7.88662e-05 +56 *1482:34 *4916:114 3.80436e-07 +57 *1483:26 *24529:CLK 0.000324797 +58 *1483:26 *4916:14 0.000477164 +59 *1485:155 *24531:CLK 0.000837054 +60 *1485:155 *4916:118 0.000224529 +61 *1506:99 *24524:CLK 0.0004313 +62 *1506:99 *4916:14 8.26958e-05 +63 *1506:99 *4916:16 0.00098781 +64 *1506:99 *4916:18 6.42568e-05 +65 *1506:99 *4916:20 0.000414774 +66 *1744:147 *24627:CLK 0.000368535 +67 *1763:43 *24479:CLK 0.00010443 +68 *1795:44 *4916:99 6.13365e-05 +69 *1795:44 *4916:114 0.000141925 +70 *1998:44 *4916:114 0.000559757 +71 *2000:26 *24524:CLK 0.000151758 +72 *2603:69 *24529:CLK 0.000137371 +73 *2603:69 *4916:14 1.69932e-05 +74 *3368:53 *24527:CLK 4.2372e-05 +75 *3368:53 *4916:71 5.04829e-06 +76 *3368:65 *24523:CLK 4.23858e-05 +77 *4009:11 *24527:CLK 6.27053e-06 +78 *4751:19 *24531:CLK 3.99086e-06 +79 *4798:46 *4916:99 0.000141935 +80 *4798:46 *4916:114 0.00109294 +81 *4798:53 *24479:CLK 0.000107855 +82 *4855:13 *4916:5 8.51503e-05 +83 *4855:13 *4916:9 9.72902e-05 +84 *4855:14 *24524:CLK 4.63475e-05 +85 *4855:14 *4916:14 0.000541824 +86 *4855:14 *4916:16 0.000357374 +87 *4855:14 *4916:18 2.53542e-05 +88 *4855:14 *4916:20 0.00017903 +*RES +1 *24977:X *4916:5 14.4094 +2 *4916:5 *4916:9 10.2148 +3 *4916:9 *4916:14 11.8713 +4 *4916:14 *4916:16 18.8573 +5 *4916:16 *4916:18 1.41674 +6 *4916:18 *4916:20 7.64553 +7 *4916:20 *24524:CLK 26.3485 +8 *4916:20 *24523:CLK 22.8398 +9 *4916:18 *24526:CLK 13.7491 +10 *4916:16 *24479:CLK 33.0984 +11 *4916:14 *4916:71 5.778 +12 *4916:71 *24527:CLK 22.6561 +13 *4916:71 *24525:CLK 9.24915 +14 *4916:9 *24529:CLK 21.5663 +15 *4916:5 *4916:99 7.1625 +16 *4916:99 *4916:101 4.5 +17 *4916:101 *24532:CLK 9.24915 +18 *4916:101 *24627:CLK 21.0646 +19 *4916:99 *4916:114 24.6031 +20 *4916:114 *4916:118 12.4593 +21 *4916:118 *4916:120 4.5 +22 *4916:120 *24528:CLK 9.24915 +23 *4916:120 *24554:CLK 21.0646 +24 *4916:118 *24531:CLK 32.6603 +25 *4916:114 *24558:CLK 9.24915 +*END + +*D_NET *4917 0.020405 +*CONN +*I *24306:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24239:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24302:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24665:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24664:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24304:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24663:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24874:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24873:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24305:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24978:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24306:CLK 0 +2 *24239:CLK 0.00122769 +3 *24302:CLK 0.000661574 +4 *24665:CLK 0.000413658 +5 *24664:CLK 0 +6 *24304:CLK 0 +7 *24663:CLK 0.000122387 +8 *24874:CLK 0 +9 *24873:CLK 0.000653326 +10 *24305:CLK 0.000432591 +11 *24978:X 0.000481613 +12 *4917:82 0.00216447 +13 *4917:72 0.000717427 +14 *4917:64 0.000534962 +15 *4917:58 0.000628393 +16 *4917:28 0.00123755 +17 *4917:26 0.00133536 +18 *4917:21 0.0011291 +19 *4917:15 0.00062235 +20 *4917:7 0.000914205 +21 *24239:CLK *19892:A 1.12605e-05 +22 *24239:CLK *21040:A1 2.81002e-05 +23 *24239:CLK *24239:SET_B 2.57847e-05 +24 *24239:CLK *5726:29 2.54453e-05 +25 *24239:CLK *5745:33 0 +26 *24239:CLK *5860:466 4.88764e-06 +27 *24302:CLK *24302:RESET_B 0.000303167 +28 *24305:CLK *24305:RESET_B 2.28919e-05 +29 *24305:CLK *5426:7 0.000108071 +30 *24665:CLK *5745:33 0 +31 *24873:CLK *25267:A 0 +32 *24873:CLK *25294:A 0 +33 *24873:CLK *25295:A 0 +34 *24873:CLK *5767:10 1.94236e-05 +35 *24873:CLK *5767:27 0 +36 *24873:CLK *5871:48 6.92705e-05 +37 *24873:CLK *5871:60 0.000477015 +38 *4917:21 *5856:415 9.28401e-05 +39 *4917:26 *21232:A 0 +40 *4917:26 *24872:SET_B 1.1573e-05 +41 *4917:26 *5856:415 5.07314e-05 +42 *4917:28 *21232:A 0 +43 *4917:28 *5773:11 0 +44 *4917:58 *20949:B1 0.00011818 +45 *4917:64 *5858:459 1.15929e-05 +46 *4917:82 *5745:33 0 +47 *19675:A *24873:CLK 0 +48 *19989:B1 *24873:CLK 0 +49 *20366:A2 *24665:CLK 0.000213725 +50 *20951:A2 *4917:21 0.000181472 +51 *24237:D *24239:CLK 0.000116971 +52 *24302:D *24302:CLK 1.20637e-06 +53 *24304:D *4917:21 0.000119553 +54 *24305:D *24305:CLK 0.000161534 +55 *24306:D *4917:58 1.43848e-05 +56 *24978:A *4917:7 0.000313229 +57 *543:33 *24302:CLK 8.68767e-05 +58 *1419:62 *24239:CLK 0 +59 *1439:242 *24305:CLK 0.000189525 +60 *1492:88 *24873:CLK 0 +61 *1669:54 *4917:26 6.42244e-05 +62 *1669:54 *4917:28 0.00018586 +63 *1671:59 *24663:CLK 0.000485096 +64 *1744:61 *4917:28 0 +65 *1744:77 *24873:CLK 2.54028e-05 +66 *1746:8 *4917:28 0 +67 *1749:26 *24239:CLK 1.48605e-05 +68 *1780:36 *24239:CLK 0.000306154 +69 *1780:44 *24239:CLK 5.90082e-05 +70 *2158:12 *4917:64 0.000201736 +71 *2158:14 *4917:21 0.000196638 +72 *2158:14 *4917:58 0.000153957 +73 *2158:14 *4917:64 7.84597e-06 +74 *2181:24 *24239:CLK 0.000199362 +75 *2426:68 *24665:CLK 0.000164266 +76 *2641:13 *24239:CLK 9.60366e-05 +77 *2641:13 *24665:CLK 0.000167036 +78 *2641:13 *4917:82 0.000399469 +79 *2645:12 *4917:21 0.000141575 +80 *2645:12 *4917:26 0 +81 *2645:12 *4917:58 3.71653e-05 +82 *2645:12 *4917:64 0.000760803 +83 *2815:8 *24239:CLK 0 +84 *2815:12 *24239:CLK 4.20295e-05 +85 *2928:24 *24302:CLK 4.26441e-06 +86 *2967:12 *24239:CLK 0 +87 *2970:8 *4917:21 0 +88 *2970:8 *4917:26 0 +89 *3177:63 *24665:CLK 0.000385708 +90 *4844:383 *24663:CLK 0.000156355 +91 *4878:92 *4917:64 8.48614e-05 +92 *4878:92 *4917:72 9.94284e-06 +*RES +1 *24978:X *4917:7 22.1979 +2 *4917:7 *24305:CLK 21.6192 +3 *4917:7 *4917:15 4.5 +4 *4917:15 *4917:21 19.2586 +5 *4917:21 *4917:26 19.2371 +6 *4917:26 *4917:28 13.4591 +7 *4917:28 *24873:CLK 29.1858 +8 *4917:28 *24874:CLK 13.7491 +9 *4917:26 *24663:CLK 20.5732 +10 *4917:21 *24304:CLK 9.24915 +11 *4917:15 *4917:58 8.85575 +12 *4917:58 *4917:64 26.9253 +13 *4917:64 *24664:CLK 9.24915 +14 *4917:64 *4917:72 5.2234 +15 *4917:72 *24665:CLK 27.9484 +16 *4917:72 *4917:82 7.64553 +17 *4917:82 *24302:CLK 24.3348 +18 *4917:82 *24239:CLK 43.3204 +19 *4917:58 *24306:CLK 9.24915 +*END + +*D_NET *4918 0.0183523 +*CONN +*I *24771:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24772:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24331:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24240:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24899:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24238:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24333:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24237:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24241:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24979:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24771:CLK 0.000513967 +2 *24772:CLK 8.32292e-05 +3 *24331:CLK 0.000814711 +4 *24240:CLK 0.000328484 +5 *24899:CLK 0.000355564 +6 *24238:CLK 0 +7 *24333:CLK 8.03621e-05 +8 *24237:CLK 0 +9 *24241:CLK 0.000841029 +10 *24979:X 0.000240492 +11 *4918:75 0.000914856 +12 *4918:60 0.00136824 +13 *4918:49 0.000769359 +14 *4918:16 0.00140298 +15 *4918:15 0.00102667 +16 *4918:11 0.000468015 +17 *4918:10 0.000666472 +18 *4918:7 0.000672751 +19 *24241:CLK *24875:SET_B 3.58047e-05 +20 *24241:CLK *5870:392 9.56287e-05 +21 *24331:CLK *24331:SET_B 0.000203715 +22 *24331:CLK *5870:460 2.1249e-05 +23 *24771:CLK *5860:498 0.000131793 +24 *24899:CLK *24238:RESET_B 6.88731e-05 +25 *24899:CLK *5397:10 0.000225415 +26 *4918:10 *20909:B1 0.000476268 +27 *4918:10 *21039:A1 4.00504e-05 +28 *4918:10 *22039:A 3.84663e-05 +29 *4918:16 *5870:392 1.45944e-05 +30 *4918:16 *5870:395 9.2346e-06 +31 *4918:49 *20909:B1 3.7061e-05 +32 *4918:49 *21037:A1 1.86362e-05 +33 *4918:49 *5859:352 0 +34 *4918:49 *5859:354 5.43108e-05 +35 *4918:49 *5860:498 0.000288885 +36 *4918:60 *21037:A1 7.77309e-06 +37 *4918:60 *21596:A 3.47987e-05 +38 *4918:60 *5859:352 0 +39 *4918:60 *5860:498 0.000377542 +40 *4918:75 *5859:352 0 +41 *4918:75 *5860:498 0.000560533 +42 *4918:75 *5870:460 9.32954e-05 +43 *20912:A2 *4918:16 6.97621e-06 +44 *20912:B2 *24333:CLK 2.01396e-05 +45 *20912:B2 *4918:16 1.8535e-05 +46 *20947:A *24241:CLK 0.000251669 +47 *21524:A1 *24899:CLK 3.54295e-05 +48 *21524:A1 *4918:10 3.42731e-05 +49 *21524:A1 *4918:11 7.05891e-05 +50 *21524:A1 *4918:15 0.000200507 +51 *21524:A2 *4918:15 3.81793e-05 +52 *21524:B1 *4918:15 1.47046e-05 +53 *21524:B2 *4918:15 5.038e-05 +54 *21524:C1 *4918:15 0.000297054 +55 *24238:D *4918:11 5.13844e-05 +56 *24238:D *4918:15 1.94584e-05 +57 *24240:D *24240:CLK 6.12686e-06 +58 *24240:D *4918:49 9.24241e-05 +59 *24240:D *4918:60 9.40209e-05 +60 *24939:A *24331:CLK 5.44807e-05 +61 *476:54 *4918:16 9.75356e-05 +62 *746:13 *4918:10 0.00012063 +63 *1650:8 *24333:CLK 0.000162529 +64 *1650:8 *4918:16 0.000825364 +65 *1669:20 *24241:CLK 0.000121666 +66 *1669:20 *4918:16 1.64943e-05 +67 *1690:26 *4918:16 0.000104368 +68 *1691:71 *4918:10 3.18543e-05 +69 *1691:71 *4918:49 1.21028e-05 +70 *1731:13 *24240:CLK 6.35396e-05 +71 *1744:7 *24772:CLK 0.000140461 +72 *1746:8 *24241:CLK 0 +73 *1746:8 *4918:16 0 +74 *2145:42 *4918:15 0.000107496 +75 *2146:19 *24333:CLK 4.90264e-05 +76 *2158:8 *24241:CLK 3.82228e-05 +77 *2180:13 *24240:CLK 1.21461e-06 +78 *2443:17 *4918:7 0.000356482 +79 *2468:130 *24772:CLK 0.000356482 +80 *2471:17 *24771:CLK 0.000172173 +81 *2581:18 *4918:15 0.000167443 +82 *2581:30 *24331:CLK 0.000143859 +83 *2645:21 *24241:CLK 9.52424e-05 +84 *2815:12 *4918:75 0 +85 *2815:14 *24771:CLK 2.63811e-05 +86 *2815:14 *4918:75 1.79028e-05 +87 *2900:10 *24241:CLK 4.80833e-05 +88 *2963:102 *24241:CLK 1.91246e-05 +89 *2970:8 *24241:CLK 1.05272e-06 +90 *2972:18 *24241:CLK 0.000254007 +91 *3063:13 *4918:16 6.40849e-05 +*RES +1 *24979:X *4918:7 17.8002 +2 *4918:7 *4918:10 13.3913 +3 *4918:10 *4918:11 2.38721 +4 *4918:11 *4918:15 15.7609 +5 *4918:15 *4918:16 17.1963 +6 *4918:16 *24241:CLK 36.39 +7 *4918:16 *24237:CLK 13.7491 +8 *4918:15 *24333:CLK 16.8269 +9 *4918:11 *24238:CLK 9.24915 +10 *4918:10 *24899:CLK 18.2916 +11 *4918:7 *4918:49 6.81502 +12 *4918:49 *24240:CLK 18.7888 +13 *4918:49 *4918:60 7.23027 +14 *4918:60 *24331:CLK 28.9646 +15 *4918:60 *4918:75 10.5523 +16 *4918:75 *24772:CLK 17.8002 +17 *4918:75 *24771:CLK 23.8385 +*END + +*D_NET *4919 0.0249732 +*CONN +*I *24565:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24566:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24900:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24901:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24902:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24897:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24898:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24751:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24895:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24896:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24563:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24561:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24980:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24565:CLK 0.000195663 +2 *24566:CLK 0.00037977 +3 *24900:CLK 0.00017525 +4 *24901:CLK 0 +5 *24902:CLK 0.000151174 +6 *24897:CLK 0.000349013 +7 *24898:CLK 0 +8 *24751:CLK 0.000641765 +9 *24895:CLK 0.000304566 +10 *24896:CLK 0.000332226 +11 *24563:CLK 0 +12 *24561:CLK 0.00020244 +13 *24980:X 0 +14 *4919:108 0.000669148 +15 *4919:63 0.00110992 +16 *4919:53 0.00105643 +17 *4919:49 0.00074715 +18 *4919:47 0.000601882 +19 *4919:36 0.00110796 +20 *4919:31 0.000439464 +21 *4919:29 0.00100689 +22 *4919:18 0.00103025 +23 *4919:15 0.000726204 +24 *4919:5 0.000636321 +25 *24561:CLK *20519:A1 6.92705e-05 +26 *24561:CLK *5259:17 0.000370104 +27 *24565:CLK *21539:A 0.000163827 +28 *24565:CLK *24563:RESET_B 2.35394e-05 +29 *24565:CLK *24565:RESET_B 4.23858e-05 +30 *24565:CLK *5870:712 0.000167076 +31 *24565:CLK *5870:713 8.90058e-06 +32 *24751:CLK *20235:A1 3.00374e-05 +33 *24751:CLK *21955:A 0.000167076 +34 *24751:CLK *23966:A1 0 +35 *24895:CLK *19974:A 0.00017442 +36 *24895:CLK *5164:5 5.9852e-05 +37 *24897:CLK *24897:RESET_B 4.89898e-06 +38 *24897:CLK *5864:20 4.74883e-05 +39 *24902:CLK *21809:A 6.08467e-05 +40 *4919:15 *21539:A 7.90605e-05 +41 *4919:15 *24563:RESET_B 1.70308e-05 +42 *4919:18 *21539:A 1.03403e-05 +43 *4919:29 *19612:A1 1.40978e-05 +44 *4919:36 *24901:RESET_B 0.000131124 +45 *4919:36 *5168:13 1.31784e-05 +46 *4919:49 *19616:A1 8.62625e-06 +47 *4919:49 *21809:A 0.000216821 +48 *4919:53 *19616:A1 0.000127708 +49 *4919:53 *5857:328 2.27901e-06 +50 *4919:53 *5857:338 0.00012467 +51 *4919:108 *21539:A 0.000153898 +52 *4919:108 *24563:RESET_B 3.59066e-05 +53 *19611:B2 *24897:CLK 2.16355e-05 +54 *19617:A2 *24900:CLK 0.000213725 +55 *20514:A2 *24566:CLK 0.00031543 +56 *20514:B2 *24566:CLK 6.08467e-05 +57 *21234:B1 *24902:CLK 0.00022814 +58 *21378:B1 *4919:63 5.29898e-05 +59 *21384:B2 *24895:CLK 6.08467e-05 +60 *21384:B2 *4919:63 0.000640054 +61 *21384:C1 *24895:CLK 0.000164843 +62 *21384:C1 *4919:63 0.000628088 +63 *21953:A1 *24751:CLK 4.56667e-05 +64 *23992:A0 *24751:CLK 0.000253974 +65 *24563:D *4919:18 9.79058e-05 +66 *24751:D *24751:CLK 6.08467e-05 +67 *24901:D *4919:29 0.000154145 +68 *24927:A *4919:29 9.72928e-05 +69 *1419:37 *24895:CLK 0.000135846 +70 *1453:40 *4919:49 4.70104e-05 +71 *1453:40 *4919:53 0.000124297 +72 *1453:51 *4919:47 0.000692001 +73 *1453:51 *4919:49 0.000200595 +74 *1453:60 *4919:47 0.00013689 +75 *1551:28 *24900:CLK 0.000168712 +76 *1551:28 *4919:29 0.000117007 +77 *1551:28 *4919:63 7.25274e-05 +78 *1557:34 *24565:CLK 7.51959e-05 +79 *1669:113 *4919:53 2.95757e-05 +80 *1669:129 *4919:53 0.000205087 +81 *1696:62 *24751:CLK 1.9101e-05 +82 *1741:105 *24902:CLK 0.000650818 +83 *1742:60 *24896:CLK 0.000162774 +84 *1742:60 *4919:36 5.04829e-06 +85 *1744:13 *4919:53 2.01707e-05 +86 *1982:91 *24566:CLK 1.74751e-05 +87 *2420:34 *24751:CLK 0.00119169 +88 *2420:34 *4919:63 0.000724375 +89 *2577:28 *4919:29 0 +90 *2581:30 *4919:47 2.57465e-06 +91 *2581:30 *4919:49 6.14756e-06 +92 *2581:39 *4919:47 0.00081736 +93 *2596:11 *24565:CLK 6.50727e-05 +94 *2609:10 *24751:CLK 0.000639353 +95 *2609:10 *4919:63 0.000387798 +96 *2609:17 *4919:63 0 +97 *2719:22 *24900:CLK 9.55769e-05 +98 *2949:13 *24900:CLK 4.65396e-05 +99 *2949:55 *24561:CLK 0.000190988 +100 *4844:303 *24566:CLK 6.41197e-05 +101 *4844:305 *24566:CLK 0.000142574 +102 *4844:354 *4919:15 0.000140223 +103 *4844:356 *24561:CLK 0.000166071 +104 *4844:356 *4919:15 0.000128264 +105 *4865:6 *24561:CLK 0 +106 *4865:6 *4919:15 0 +*RES +1 *24980:X *4919:5 13.7491 +2 *4919:5 *24561:CLK 21.9871 +3 *4919:5 *4919:15 10.5523 +4 *4919:15 *4919:18 9.10562 +5 *4919:18 *24563:CLK 9.24915 +6 *4919:18 *4919:29 17.8875 +7 *4919:29 *4919:31 4.5 +8 *4919:31 *4919:36 8.53607 +9 *4919:36 *24896:CLK 14.8434 +10 *4919:36 *4919:47 20.0353 +11 *4919:47 *4919:49 4.73876 +12 *4919:49 *4919:53 13.3913 +13 *4919:53 *24895:CLK 18.8462 +14 *4919:53 *4919:63 25.7529 +15 *4919:63 *24751:CLK 38.5916 +16 *4919:63 *24898:CLK 13.7491 +17 *4919:49 *24897:CLK 19.3434 +18 *4919:47 *24902:CLK 21.1278 +19 *4919:31 *24901:CLK 9.24915 +20 *4919:29 *24900:CLK 19.6294 +21 *4919:15 *4919:108 3.07775 +22 *4919:108 *24566:CLK 23.8345 +23 *4919:108 *24565:CLK 20.184 +*END + +*D_NET *4920 0.0207043 +*CONN +*I *24234:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24232:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24235:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24231:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24233:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24774:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24775:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24773:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24981:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24234:CLK 0.000683434 +2 *24232:CLK 0.000390296 +3 *24235:CLK 0.000161239 +4 *24231:CLK 0.000500773 +5 *24233:CLK 7.61392e-05 +6 *24774:CLK 0.000154427 +7 *24775:CLK 0.000218601 +8 *24773:CLK 0.000545625 +9 *24981:X 0 +10 *4920:74 0.00101702 +11 *4920:60 0.00146211 +12 *4920:35 0.000620455 +13 *4920:19 0.0015781 +14 *4920:14 0.000953738 +15 *4920:13 0.00102202 +16 *4920:4 0.00111704 +17 *24232:CLK *5476:51 0.000107929 +18 *24232:CLK *5870:572 0.000296513 +19 *24232:CLK *5870:576 1.94584e-05 +20 *24233:CLK *24233:SET_B 4.88955e-05 +21 *24233:CLK *5870:554 0.000158371 +22 *24234:CLK *21062:A1 0.000127536 +23 *24234:CLK *21063:A1 7.13972e-05 +24 *24234:CLK *21889:A 4.97617e-05 +25 *24235:CLK *5870:572 1.5714e-05 +26 *24773:CLK *5230:7 3.24705e-06 +27 *24774:CLK *20201:A1 1.41086e-05 +28 *24775:CLK *21581:A 0.000131309 +29 *4920:13 *21063:A1 5.481e-05 +30 *4920:14 *5870:554 3.04443e-05 +31 *4920:19 *24773:SET_B 0.00029343 +32 *4920:19 *5230:7 1.4091e-06 +33 *4920:19 *5870:554 0.000881247 +34 *4920:74 *5476:51 7.92988e-05 +35 *4920:74 *5956:58 3.29573e-05 +36 *20201:B2 *4920:35 0.000107496 +37 *21061:A2 *24232:CLK 0.000200794 +38 *21689:B2 *24774:CLK 2.65667e-05 +39 *21689:B2 *4920:19 8.48439e-05 +40 *21882:A2 *4920:60 0.000116605 +41 *21894:B1 *4920:60 0.000277593 +42 *22728:A1 *24774:CLK 9.76046e-05 +43 *22728:A1 *4920:35 0.000158357 +44 *22782:B1 *24773:CLK 0 +45 *22782:B2 *24773:CLK 5.04829e-06 +46 *24231:D *24231:CLK 7.58262e-05 +47 *24234:D *24234:CLK 5.77615e-05 +48 *24773:D *24773:CLK 4.82656e-05 +49 *24774:D *24774:CLK 6.75901e-05 +50 *545:23 *4920:13 0.000868307 +51 *547:21 *4920:13 0.00101296 +52 *1502:204 *24234:CLK 0.000213925 +53 *1552:8 *24775:CLK 4.17156e-05 +54 *1552:35 *24775:CLK 5.1493e-06 +55 *1552:52 *24235:CLK 0.000107971 +56 *1649:80 *24234:CLK 9.18805e-05 +57 *1660:40 *4920:60 5.90558e-05 +58 *1669:146 *4920:14 2.41701e-05 +59 *1669:146 *4920:60 0.000196675 +60 *1669:156 *4920:60 9.54254e-05 +61 *1669:156 *4920:74 6.14634e-05 +62 *1790:43 *4920:14 0.000107811 +63 *1790:43 *4920:19 0.000818743 +64 *1790:43 *4920:60 8.25771e-05 +65 *2401:22 *4920:19 5.94319e-06 +66 *2576:76 *24235:CLK 0.000234059 +67 *2609:28 *24232:CLK 5.01835e-05 +68 *2638:8 *4920:35 8.84664e-05 +69 *2638:35 *4920:19 1.99707e-05 +70 *2638:35 *4920:35 0.000204136 +71 *2825:8 *4920:13 1.91391e-05 +72 *2876:34 *24775:CLK 0.000276598 +73 *2894:39 *24232:CLK 5.36085e-05 +74 *2909:17 *24233:CLK 1.65872e-05 +75 *3052:66 *4920:74 0.000122265 +76 *3053:6 *4920:35 0.000300937 +77 *3114:22 *4920:60 0.00014634 +78 *3114:22 *4920:74 0.000277036 +79 *3126:148 *24232:CLK 1.85357e-05 +80 *3155:24 *24235:CLK 0 +81 *3155:24 *4920:74 0.000412575 +82 *3481:16 *24234:CLK 4.41137e-05 +83 *3485:90 *4920:13 1.5714e-05 +84 *3496:29 *24232:CLK 4.14941e-06 +85 *3496:31 *24232:CLK 1.68241e-05 +86 *3496:31 *4920:74 1.61565e-05 +87 *3498:24 *24233:CLK 9.2932e-05 +88 *3500:89 *24235:CLK 7.57081e-06 +89 *3500:89 *4920:74 2.29878e-05 +90 *3502:105 *24232:CLK 0.000182694 +91 *4866:110 *24234:CLK 2.37827e-05 +*RES +1 *24981:X *4920:4 9.24915 +2 *4920:4 *4920:13 14.8755 +3 *4920:13 *4920:14 2.45487 +4 *4920:14 *4920:19 27.2718 +5 *4920:19 *24773:CLK 17.6405 +6 *4920:19 *4920:35 17.2324 +7 *4920:35 *24775:CLK 24.2337 +8 *4920:35 *24774:CLK 13.3243 +9 *4920:14 *24233:CLK 16.691 +10 *4920:13 *4920:60 13.6667 +11 *4920:60 *24231:CLK 21.0072 +12 *4920:60 *4920:74 13.7305 +13 *4920:74 *24235:CLK 21.6491 +14 *4920:74 *24232:CLK 22.9634 +15 *4920:4 *24234:CLK 32.3385 +*END + +*D_NET *4921 0.0123987 +*CONN +*I *24515:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24521:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24446:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24516:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24518:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24982:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24515:CLK 7.23231e-05 +2 *24521:CLK 0 +3 *24446:CLK 0.000949343 +4 *24516:CLK 4.75819e-05 +5 *24518:CLK 0 +6 *24982:X 1.98947e-05 +7 *4921:31 0.00142911 +8 *4921:26 0.000801196 +9 *4921:23 0.000535841 +10 *4921:14 0.00134959 +11 *4921:7 0.001464 +12 *24446:CLK *24446:RESET_B 5.51794e-05 +13 *24515:CLK *24515:SET_B 3.31745e-05 +14 *24515:CLK *5082:17 1.65872e-05 +15 *24516:CLK *20584:B1 7.92757e-06 +16 *4921:26 *24515:SET_B 1.80257e-05 +17 *4921:26 *5082:17 0.000269083 +18 *4921:26 *5917:582 0.000141361 +19 *4921:31 *5917:582 1.03403e-05 +20 *19705:A *4921:14 0.000177017 +21 *19913:A *4921:7 2.16355e-05 +22 *19936:A2 *4921:14 0.00013988 +23 *24521:D *4921:26 2.16355e-05 +24 *476:45 *24446:CLK 1.72347e-05 +25 *476:45 *24516:CLK 6.50586e-05 +26 *476:45 *4921:31 1.66626e-05 +27 *1436:8 *4921:14 7.39899e-05 +28 *1436:12 *4921:14 0.000151755 +29 *1436:40 *4921:14 0.00011594 +30 *1466:41 *24446:CLK 0.000290455 +31 *1466:41 *4921:31 0.000156365 +32 *1501:10 *4921:14 0.000645065 +33 *1501:10 *4921:23 6.79599e-05 +34 *1537:267 *24446:CLK 1.5714e-05 +35 *1541:15 *4921:7 6.08467e-05 +36 *1587:16 *4921:14 0.00061723 +37 *1639:20 *24446:CLK 0.000257028 +38 *1689:28 *4921:14 2.6632e-05 +39 *1698:129 *4921:14 0.000326984 +40 *1698:129 *4921:23 0.000181333 +41 *1759:13 *4921:14 9.34404e-05 +42 *1762:13 *4921:31 0.000195085 +43 *1762:138 *24515:CLK 2.99978e-05 +44 *1762:138 *4921:26 0.000303283 +45 *1762:138 *4921:31 4.97617e-05 +46 *2517:13 *24446:CLK 1.66583e-05 +47 *2517:13 *4921:31 3.87285e-05 +48 *2939:35 *24446:CLK 5.40122e-05 +49 *2951:28 *4921:14 0.000353374 +50 *2951:28 *4921:23 4.23528e-05 +51 *3003:12 *4921:14 0.000390207 +52 *3003:24 *24515:CLK 0.000107496 +53 *3003:24 *4921:26 5.73392e-05 +*RES +1 *24982:X *4921:7 14.4725 +2 *4921:7 *4921:14 46.8079 +3 *4921:14 *24518:CLK 13.7491 +4 *4921:7 *4921:23 7.993 +5 *4921:23 *4921:26 12.3942 +6 *4921:26 *4921:31 15.511 +7 *4921:31 *24516:CLK 15.0271 +8 *4921:31 *24446:CLK 31.2115 +9 *4921:26 *24521:CLK 9.24915 +10 *4921:23 *24515:CLK 11.6364 +*END + +*D_NET *4922 0.0204373 +*CONN +*I *24330:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24332:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24329:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24875:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24673:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24671:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24303:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24674:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24517:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24522:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24983:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24330:CLK 0.000157424 +2 *24332:CLK 0.000506336 +3 *24329:CLK 0 +4 *24875:CLK 0 +5 *24673:CLK 0 +6 *24671:CLK 0 +7 *24303:CLK 0.000296498 +8 *24674:CLK 0.000205537 +9 *24517:CLK 0 +10 *24522:CLK 0.000596535 +11 *24983:X 0 +12 *4922:74 0.00110472 +13 *4922:70 0.00117279 +14 *4922:40 0.000580364 +15 *4922:38 0.000564337 +16 *4922:30 0.000817544 +17 *4922:13 0.000932243 +18 *4922:11 0.00114543 +19 *4922:10 0.000783014 +20 *4922:5 0.000721806 +21 *24330:CLK *5898:21 8.79845e-05 +22 *4922:11 *21842:A 7.63284e-05 +23 *4922:11 *21884:A 0.000303478 +24 *4922:30 *5830:22 0 +25 *4922:30 *5830:41 0 +26 *4922:38 *21922:A 0.00010562 +27 *4922:38 *5860:433 4.91425e-05 +28 *19671:B *4922:11 8.29362e-05 +29 *19671:C *4922:11 0.000186175 +30 *19671:C *4922:13 0.000102237 +31 *19672:S *4922:11 2.37827e-05 +32 *19673:A *4922:10 9.08436e-05 +33 *19985:A *24332:CLK 0.000302982 +34 *19985:B *24332:CLK 5.04829e-06 +35 *19990:C1 *24332:CLK 0.000444886 +36 *20578:B1 *24522:CLK 8.84978e-05 +37 *20583:B2 *24522:CLK 0.000132174 +38 *21719:C1 *4922:70 0.000155171 +39 *21737:B1 *4922:74 8.53106e-06 +40 *21737:B2 *4922:74 6.01329e-05 +41 *21737:C1 *4922:74 2.18821e-05 +42 *21743:B1 *4922:74 1.85244e-05 +43 *21743:C1 *4922:74 4.10737e-05 +44 *21794:A2 *24332:CLK 7.08723e-06 +45 *21794:B2 *24332:CLK 4.55972e-05 +46 *21820:A2 *24674:CLK 0.000542765 +47 *21820:A2 *4922:38 6.52144e-05 +48 *21820:A2 *4922:40 0.000181172 +49 *1419:70 *4922:30 0 +50 *1419:70 *4922:38 0 +51 *1419:72 *4922:38 0 +52 *1424:151 *24332:CLK 0.000377171 +53 *1424:151 *4922:74 0.000211492 +54 *1424:156 *4922:74 0.000253035 +55 *1492:35 *4922:74 4.87835e-05 +56 *1492:121 *24332:CLK 0.000207266 +57 *1506:46 *24674:CLK 0.000551352 +58 *1506:46 *4922:38 5.44896e-05 +59 *1506:46 *4922:40 0.000175574 +60 *1511:6 *24332:CLK 6.52742e-05 +61 *1511:6 *4922:74 3.8079e-05 +62 *1511:23 *24332:CLK 4.96469e-06 +63 *1691:63 *4922:74 0.000228336 +64 *1731:32 *4922:10 1.91391e-05 +65 *1735:36 *24522:CLK 0.00010051 +66 *1735:36 *4922:11 6.50727e-05 +67 *1735:36 *4922:13 0.000675367 +68 *1740:65 *4922:30 9.13752e-05 +69 *1740:65 *4922:38 0.000143215 +70 *1742:13 *24332:CLK 7.92757e-06 +71 *1785:12 *4922:30 1.6927e-05 +72 *1785:12 *4922:38 4.82779e-06 +73 *1930:11 *4922:38 8.5488e-05 +74 *1930:51 *4922:38 0.000193336 +75 *1931:28 *4922:38 0.000103947 +76 *2003:15 *24522:CLK 0 +77 *2003:36 *24522:CLK 0 +78 *2426:61 *24303:CLK 0.000346869 +79 *2426:68 *24303:CLK 7.01609e-05 +80 *2446:15 *24522:CLK 0.000315158 +81 *2447:26 *24522:CLK 0.00011989 +82 *2450:14 *4922:10 2.29509e-05 +83 *2450:14 *4922:70 0.000691017 +84 *2450:14 *4922:74 8.95621e-05 +85 *2586:31 *4922:74 0.000919386 +86 *2732:17 *24330:CLK 5.04829e-06 +87 *2739:14 *4922:10 0.000373096 +88 *2740:18 *4922:10 5.93375e-06 +89 *2858:8 *4922:11 0.000298304 +90 *3003:10 *24522:CLK 3.0263e-05 +91 *3177:63 *24303:CLK 0.000477403 +92 *4828:129 *4922:38 8.4443e-05 +93 *4878:6 *4922:70 0 +94 *4878:6 *4922:74 5.10136e-05 +*RES +1 *24983:X *4922:5 13.7491 +2 *4922:5 *4922:10 12.8783 +3 *4922:10 *4922:11 15.6977 +4 *4922:11 *4922:13 12.3701 +5 *4922:13 *24522:CLK 34.2348 +6 *4922:13 *24517:CLK 9.24915 +7 *4922:11 *4922:30 11.315 +8 *4922:30 *4922:38 17.6309 +9 *4922:38 *4922:40 3.493 +10 *4922:40 *24674:CLK 23.8862 +11 *4922:40 *24303:CLK 26.6738 +12 *4922:38 *24671:CLK 13.7491 +13 *4922:30 *24673:CLK 13.7491 +14 *4922:10 *24875:CLK 9.24915 +15 *4922:5 *4922:70 13.0438 +16 *4922:70 *4922:74 23.7427 +17 *4922:74 *24329:CLK 13.7491 +18 *4922:74 *24332:CLK 31.0074 +19 *4922:70 *24330:CLK 17.2697 +*END + +*D_NET *4923 0.0167738 +*CONN +*I *24872:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24871:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24675:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24869:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24870:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24867:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24868:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24865:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24984:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24872:CLK 0.000296775 +2 *24871:CLK 0 +3 *24675:CLK 0.000424284 +4 *24869:CLK 5.01377e-05 +5 *24870:CLK 8.51527e-05 +6 *24867:CLK 0.000951914 +7 *24868:CLK 0.000371524 +8 *24865:CLK 0.00113064 +9 *24984:X 0.000399358 +10 *4923:67 0.000771142 +11 *4923:60 0.000937934 +12 *4923:25 0.00132344 +13 *4923:12 0.00130958 +14 *4923:10 0.000485961 +15 *4923:8 0.000343865 +16 *4923:7 0.000765517 +17 *24675:CLK *20352:B1 0.000127179 +18 *24675:CLK *5851:316 0.000787268 +19 *24675:CLK *5852:21 0.00071726 +20 *24865:CLK *25288:A 0.000196638 +21 *24865:CLK *25381:A 5.56367e-05 +22 *24865:CLK *5765:8 0.00014472 +23 *24865:CLK *5860:313 3.31736e-05 +24 *24867:CLK *24868:SET_B 6.22703e-05 +25 *24867:CLK *5768:8 8.41713e-05 +26 *24868:CLK *24868:SET_B 2.16355e-05 +27 *24868:CLK *5917:225 0.000136527 +28 *4923:7 *5858:383 0.000596586 +29 *4923:12 *25288:A 0.000155272 +30 *4923:12 *25290:A 0 +31 *4923:12 *25381:A 0.000125697 +32 *19685:B2 *4923:60 0 +33 *19686:B2 *24867:CLK 3.96379e-06 +34 *19916:A1 *4923:8 4.47962e-05 +35 *19916:A1 *4923:10 0.000122413 +36 *19916:A1 *4923:60 0.000219334 +37 *19916:A2 *4923:67 7.12632e-06 +38 *19916:B2 *24872:CLK 8.64186e-05 +39 *20030:C1 *24675:CLK 0 +40 *20030:C1 *4923:67 0 +41 *21820:B1 *24675:CLK 0.000171507 +42 *24869:D *4923:10 0.000116453 +43 *1467:43 *4923:67 2.33103e-06 +44 *1492:66 *4923:67 0 +45 *1494:11 *4923:67 4.69495e-06 +46 *1494:31 *4923:10 0 +47 *1494:31 *4923:12 0 +48 *1495:38 *24869:CLK 3.64169e-05 +49 *1495:39 *4923:10 1.4426e-05 +50 *1495:44 *4923:10 7.54264e-05 +51 *1495:44 *4923:12 6.80864e-05 +52 *1495:76 *4923:8 4.43331e-05 +53 *1495:76 *4923:10 7.69594e-06 +54 *1495:76 *4923:60 9.88681e-05 +55 *1497:78 *24675:CLK 0.000269043 +56 *1497:78 *4923:67 0 +57 *1497:88 *24675:CLK 6.31931e-05 +58 *1497:88 *4923:67 9.28125e-05 +59 *1497:93 *24872:CLK 0 +60 *1497:93 *4923:67 0.000152148 +61 *1497:103 *24872:CLK 0.000563884 +62 *1497:103 *4923:67 3.46003e-05 +63 *1668:12 *4923:67 0 +64 *1668:28 *4923:67 0 +65 *1671:59 *24872:CLK 0.000626305 +66 *1786:11 *4923:67 4.33655e-05 +67 *1786:13 *24675:CLK 1.67988e-05 +68 *1786:13 *4923:67 1.61631e-05 +69 *2268:7 *24869:CLK 1.50924e-05 +70 *2268:11 *24869:CLK 5.85118e-05 +71 *2276:11 *24870:CLK 8.65522e-05 +72 *2435:15 *24865:CLK 0.000150972 +73 *2435:19 *24865:CLK 0.000351648 +74 *2563:5 *24675:CLK 5.37226e-05 +75 *4843:568 *24867:CLK 3.03946e-05 +76 *4843:576 *24867:CLK 1.43983e-05 +77 *4844:383 *24872:CLK 0.000118669 +*RES +1 *24984:X *4923:7 20.5732 +2 *4923:7 *4923:8 2.24725 +3 *4923:8 *4923:10 6.81502 +4 *4923:10 *4923:12 5.98452 +5 *4923:12 *24865:CLK 35.0377 +6 *4923:12 *4923:25 4.5 +7 *4923:25 *24868:CLK 15.398 +8 *4923:25 *24867:CLK 23.7171 +9 *4923:10 *24870:CLK 16.1364 +10 *4923:8 *24869:CLK 15.5817 +11 *4923:7 *4923:60 8.54931 +12 *4923:60 *4923:67 13.1717 +13 *4923:67 *24675:CLK 38.1114 +14 *4923:67 *24871:CLK 9.24915 +15 *4923:60 *24872:CLK 28.5158 +*END + +*D_NET *4924 0.0181973 +*CONN +*I *24672:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24221:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24222:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24220:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24863:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24866:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24864:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24862:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24861:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24860:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24859:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24985:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24672:CLK 0.000554266 +2 *24221:CLK 0 +3 *24222:CLK 0.000476944 +4 *24220:CLK 0 +5 *24863:CLK 0 +6 *24866:CLK 0.000179879 +7 *24864:CLK 0 +8 *24862:CLK 0.000181764 +9 *24861:CLK 0.00062128 +10 *24860:CLK 0.000170192 +11 *24859:CLK 0.000560775 +12 *24985:X 0.000226483 +13 *4924:103 0.000910921 +14 *4924:92 0.000935699 +15 *4924:85 0.000503644 +16 *4924:33 0.00100616 +17 *4924:28 0.000482646 +18 *4924:26 0.000309431 +19 *4924:23 0.000563376 +20 *4924:18 0.000322451 +21 *4924:7 0.00115544 +22 *4924:5 0.00106051 +23 *24222:CLK *21080:A1 0.000177869 +24 *24222:CLK *21575:A 1.92172e-05 +25 *24222:CLK *24222:RESET_B 4.32761e-05 +26 *24672:CLK *21083:A1 0.000182356 +27 *24672:CLK *5854:337 0.00012568 +28 *24860:CLK *5784:7 6.50586e-05 +29 *24860:CLK *5917:137 0.000132323 +30 *24860:CLK *5917:148 7.92757e-06 +31 *24861:CLK *24861:RESET_B 0.000110099 +32 *24861:CLK *25280:A 0.000102046 +33 *24861:CLK *5759:18 1.69932e-05 +34 *24861:CLK *5917:148 0 +35 *24862:CLK *21522:A 0 +36 *24862:CLK *5854:262 7.97944e-05 +37 *24862:CLK *5917:168 9.75288e-05 +38 *24866:CLK *24866:SET_B 7.47055e-05 +39 *4924:18 *24863:SET_B 0.000313724 +40 *4924:18 *5870:174 0.00010623 +41 *4924:23 *24863:SET_B 8.01837e-05 +42 *4924:28 *21522:A 0 +43 *4924:103 *5854:337 0.000192472 +44 *19699:A2 *4924:26 0.000194992 +45 *19699:A2 *4924:28 0.000200236 +46 *19699:B2 *4924:26 6.01944e-06 +47 *19699:B2 *4924:28 6.80864e-05 +48 *19699:B2 *4924:33 1.84293e-05 +49 *19700:A2 *24861:CLK 1.86242e-05 +50 *21079:A *24222:CLK 1.41976e-05 +51 *21082:A2 *4924:85 5.68225e-06 +52 *21082:B2 *4924:85 0.000193655 +53 *21082:B2 *4924:92 0.00021459 +54 *21082:B2 *4924:103 1.07248e-05 +55 *21717:B1 *24222:CLK 1.65872e-05 +56 *24860:D *24860:CLK 0.000222149 +57 *24862:D *24862:CLK 7.09685e-05 +58 *24862:D *4924:28 1.1246e-05 +59 *24984:A *24866:CLK 1.92172e-05 +60 *514:98 *24672:CLK 0 +61 *1499:70 *4924:26 0.00036086 +62 *1500:77 *4924:26 0.000139183 +63 *1502:65 *24866:CLK 0.000689459 +64 *1502:65 *4924:23 0.000207266 +65 *1516:20 *4924:18 0.000419953 +66 *1516:20 *4924:23 0.000190042 +67 *1744:88 *4924:23 3.08133e-05 +68 *1781:13 *24859:CLK 0.0003046 +69 *1781:13 *4924:5 0.000166425 +70 *1781:13 *4924:7 0.000291278 +71 *2205:22 *24672:CLK 0 +72 *2206:22 *4924:103 0.000289177 +73 *2435:15 *24861:CLK 0.000108995 +74 *2632:18 *24672:CLK 0.00013978 +75 *2741:14 *4924:85 5.41377e-05 +76 *2741:14 *4924:92 0.000137404 +77 *2951:28 *24672:CLK 0 +78 *4843:586 *24860:CLK 0.00022882 +79 *4843:586 *4924:33 0.000515953 +80 *4845:432 *4924:26 4.9e-05 +81 *4845:443 *4924:26 0.000165363 +82 *4845:463 *24672:CLK 0 +83 *4845:463 *4924:85 0 +84 *4845:463 *4924:92 0 +85 *4845:463 *4924:103 0 +86 *4845:466 *24672:CLK 0.000134234 +87 *4878:27 *24672:CLK 0.00013978 +*RES +1 *24985:X *4924:5 13.3002 +2 *4924:5 *4924:7 7.37864 +3 *4924:7 *24859:CLK 18.7256 +4 *4924:7 *4924:18 12.1455 +5 *4924:18 *4924:23 10.3802 +6 *4924:23 *4924:26 14.637 +7 *4924:26 *4924:28 3.90826 +8 *4924:28 *4924:33 12.0712 +9 *4924:33 *24860:CLK 17.3753 +10 *4924:33 *24861:CLK 30.5219 +11 *4924:28 *24862:CLK 19.3923 +12 *4924:26 *24864:CLK 13.7491 +13 *4924:23 *24866:CLK 17.1824 +14 *4924:18 *24863:CLK 13.7491 +15 *4924:5 *4924:85 13.8065 +16 *4924:85 *24220:CLK 13.7491 +17 *4924:85 *4924:92 3.90826 +18 *4924:92 *24222:CLK 25.5887 +19 *4924:92 *4924:103 9.72179 +20 *4924:103 *24221:CLK 13.7491 +21 *4924:103 *24672:CLK 30.2894 +*END + +*D_NET *4925 0.0179099 +*CONN +*I *24219:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24218:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24520:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24604:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24603:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24602:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24605:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24857:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24858:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24601:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24986:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24219:CLK 2.48351e-05 +2 *24218:CLK 0 +3 *24520:CLK 0.000515244 +4 *24604:CLK 0 +5 *24603:CLK 0 +6 *24602:CLK 0 +7 *24605:CLK 0.00011452 +8 *24857:CLK 0 +9 *24858:CLK 0.000587732 +10 *24601:CLK 0.000209203 +11 *24986:X 0 +12 *4925:78 0.000714628 +13 *4925:41 0.000945567 +14 *4925:39 0.000631399 +15 *4925:37 0.000438588 +16 *4925:35 0.000541634 +17 *4925:31 0.000495396 +18 *4925:23 0.000518517 +19 *4925:20 0.000456719 +20 *4925:5 0.000555259 +21 *24520:CLK *20035:A 0.000203011 +22 *24520:CLK *20604:A1 0.000115772 +23 *24601:CLK *5854:331 8.39059e-05 +24 *24858:CLK *5671:10 0.000460732 +25 *24858:CLK *5782:10 4.40456e-05 +26 *4925:31 *5860:141 5.38612e-06 +27 *4925:37 *5671:10 0.000145853 +28 *4925:39 *5671:10 0.000171545 +29 *4925:41 *5671:10 0.000316272 +30 *19654:A *24601:CLK 1.90335e-05 +31 *19654:A *4925:20 0.000156728 +32 *19654:A *4925:23 0.00013626 +33 *19654:A *4925:78 0.000226506 +34 *21523:B1 *24520:CLK 0.000296639 +35 *24601:D *24601:CLK 5.97576e-05 +36 *24858:D *24858:CLK 0 +37 *1500:26 *24858:CLK 0 +38 *1511:56 *4925:78 3.66453e-05 +39 *1573:33 *24520:CLK 5.04829e-06 +40 *1668:34 *24601:CLK 0.000169078 +41 *1668:41 *4925:35 0.000321845 +42 *1668:41 *4925:37 0.000393597 +43 *1786:25 *4925:35 0.000327357 +44 *1786:25 *4925:37 0.000742045 +45 *1786:25 *4925:39 0.0004041 +46 *1786:25 *4925:41 0.000169078 +47 *2426:61 *24219:CLK 7.92757e-06 +48 *2426:61 *4925:20 1.82679e-05 +49 *2505:29 *24520:CLK 3.21413e-05 +50 *2579:16 *24520:CLK 7.78837e-05 +51 *2579:16 *4925:20 0.000145506 +52 *2579:16 *4925:23 0.00013626 +53 *2579:16 *4925:78 0.000373612 +54 *2632:18 *4925:35 2.42138e-05 +55 *2700:8 *24858:CLK 0.000306075 +56 *2700:8 *4925:41 0.000248519 +57 *2741:7 *24605:CLK 0.000117097 +58 *2753:19 *4925:31 0.00122238 +59 *2808:20 *4925:31 0 +60 *2838:13 *4925:35 0.000623435 +61 *2926:9 *24605:CLK 6.02512e-05 +62 *2992:10 *24601:CLK 5.31056e-05 +63 *2992:10 *4925:20 5.49252e-05 +64 *2992:12 *24520:CLK 0.000815868 +65 *2992:12 *4925:20 0.00012159 +66 *3177:63 *24219:CLK 2.16355e-05 +67 *3177:63 *4925:20 3.82228e-05 +68 *4828:129 *4925:31 0.00119452 +69 *4876:66 *24520:CLK 6.23875e-05 +70 *4878:31 *4925:35 0.000263767 +71 *4878:35 *4925:35 0.00013082 +*RES +1 *24986:X *4925:5 13.7491 +2 *4925:5 *24601:CLK 20.7774 +3 *4925:5 *4925:20 15.4998 +4 *4925:20 *4925:23 7.37013 +5 *4925:23 *4925:31 13.2724 +6 *4925:31 *4925:35 18.833 +7 *4925:35 *4925:37 13.4591 +8 *4925:37 *4925:39 7.23027 +9 *4925:39 *4925:41 13.4591 +10 *4925:41 *24858:CLK 33.437 +11 *4925:41 *24857:CLK 13.7491 +12 *4925:39 *24605:CLK 17.2456 +13 *4925:37 *24602:CLK 13.7491 +14 *4925:35 *24603:CLK 13.7491 +15 *4925:31 *24604:CLK 9.24915 +16 *4925:23 *4925:78 11.9379 +17 *4925:78 *24520:CLK 36.4476 +18 *4925:78 *24218:CLK 9.24915 +19 *4925:20 *24219:CLK 9.97254 +*END + +*D_NET *4926 0.0240238 +*CONN +*I *24519:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24676:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24894:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24892:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24890:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24447:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24504:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24677:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24505:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24891:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24503:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24502:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24987:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24519:CLK 0.000493024 +2 *24676:CLK 0 +3 *24894:CLK 0.000104917 +4 *24892:CLK 0.000365388 +5 *24890:CLK 0.00027667 +6 *24447:CLK 0.00049023 +7 *24504:CLK 0 +8 *24677:CLK 0.000422499 +9 *24505:CLK 0.000131471 +10 *24891:CLK 0.000388167 +11 *24503:CLK 0 +12 *24502:CLK 0.000307382 +13 *24987:X 3.87381e-05 +14 *4926:89 0.000749003 +15 *4926:88 0.000650597 +16 *4926:84 0.000793199 +17 *4926:83 0.000882652 +18 *4926:70 0.000765066 +19 *4926:54 0.000573985 +20 *4926:28 0.000756778 +21 *4926:16 0.000993392 +22 *4926:14 0.000566787 +23 *4926:8 0.000690078 +24 *4926:7 0.000699085 +25 *24447:CLK *24676:RESET_B 2.71542e-05 +26 *24447:CLK *5856:283 0.000108362 +27 *24447:CLK *5917:502 5.90227e-05 +28 *24502:CLK *20603:B1 4.99006e-05 +29 *24502:CLK *5851:211 1.56341e-05 +30 *24505:CLK *5481:19 7.03358e-05 +31 *24519:CLK *20347:A1 0.000113968 +32 *24519:CLK *24519:RESET_B 0.000326011 +33 *24519:CLK *5490:7 6.65788e-05 +34 *24519:CLK *5490:11 6.23759e-05 +35 *24519:CLK *5860:104 0.000459887 +36 *24519:CLK *5917:524 0.000108362 +37 *24677:CLK *5898:25 1.41976e-05 +38 *24677:CLK *5898:34 0.000255445 +39 *24890:CLK *19626:A1 0.000122784 +40 *24890:CLK *20379:B1 0.000124338 +41 *24890:CLK *21769:A 4.79289e-05 +42 *24891:CLK *19627:B1 0.000137936 +43 *24891:CLK *5917:356 3.41725e-05 +44 *24894:CLK *21769:A 0.000142704 +45 *4926:8 *5854:305 5.4678e-05 +46 *4926:8 *5856:283 0.000101626 +47 *4926:8 *5856:288 7.75133e-06 +48 *4926:16 *20603:B1 0.000107063 +49 *4926:16 *21989:A 1.75688e-05 +50 *4926:28 *24503:RESET_B 1.91114e-05 +51 *4926:28 *5085:7 0.000107496 +52 *4926:70 *24676:RESET_B 1.15904e-05 +53 *4926:70 *5856:283 4.53585e-05 +54 *4926:88 *19624:A1 0.000339154 +55 *4926:89 *19624:B1 0.000167144 +56 *4926:89 *20379:B1 8.62625e-06 +57 *4926:89 *21769:A 0.000184263 +58 *19624:A2 *24890:CLK 3.26582e-06 +59 *20600:B2 *24502:CLK 6.50727e-05 +60 *20602:B2 *4926:8 3.94508e-05 +61 *20604:A2 *24502:CLK 9.80747e-05 +62 *20604:B2 *24502:CLK 0.000107496 +63 *21952:A1 *24891:CLK 2.3755e-05 +64 *21952:B1 *24891:CLK 2.7837e-05 +65 *24503:D *4926:28 0.000186173 +66 *24677:D *24677:CLK 5.89592e-05 +67 *460:57 *24892:CLK 4.29736e-05 +68 *1427:22 *24892:CLK 4.31539e-05 +69 *1439:318 *24890:CLK 5.03671e-05 +70 *1439:333 *24890:CLK 1.27831e-06 +71 *1442:146 *24894:CLK 5.17665e-05 +72 *1442:146 *4926:89 1.06015e-06 +73 *1461:61 *24447:CLK 0.000267943 +74 *1485:66 *4926:70 4.20173e-05 +75 *1573:15 *24519:CLK 0.000107496 +76 *1692:19 *24677:CLK 0.000106734 +77 *1697:17 *4926:54 6.34834e-05 +78 *1697:97 *4926:54 5.85252e-05 +79 *1699:7 *24519:CLK 1.19791e-05 +80 *1699:7 *4926:84 0.000135423 +81 *1699:7 *4926:88 6.1708e-05 +82 *1753:37 *4926:54 0.000206372 +83 *1755:19 *24892:CLK 0.000370801 +84 *1755:21 *24892:CLK 0.000172187 +85 *1757:37 *24447:CLK 2.16355e-05 +86 *1764:128 *24502:CLK 3.35026e-05 +87 *2009:53 *24502:CLK 0.000164815 +88 *2010:7 *24502:CLK 0.000470557 +89 *2010:10 *24502:CLK 0.000146065 +90 *2010:23 *24502:CLK 2.77419e-05 +91 *2010:23 *4926:8 2.1203e-06 +92 *2010:23 *4926:14 0.000169785 +93 *2010:23 *4926:16 0.000500316 +94 *2274:28 *24892:CLK 6.44576e-05 +95 *2442:8 *24890:CLK 4.99932e-05 +96 *2442:17 *4926:7 0.000206696 +97 *2447:9 *24891:CLK 0.00100316 +98 *2447:9 *4926:28 0.000360735 +99 *2700:12 *24447:CLK 0.00083741 +100 *2700:12 *24502:CLK 1.14979e-05 +101 *2700:12 *4926:8 0.000654988 +102 *2700:12 *4926:14 0.000101187 +103 *2700:12 *4926:16 0.000131799 +104 *2700:12 *4926:70 0.000355145 +105 *2705:33 *4926:88 0.000502888 +106 *2758:22 *24677:CLK 0.00013822 +107 *2964:14 *24891:CLK 9.37328e-05 +108 *2993:15 *4926:7 0.000206696 +109 *4832:116 *24677:CLK 0.000197218 +110 *4843:641 *24505:CLK 0.000136314 +111 *4845:474 *24502:CLK 1.9101e-05 +112 *4863:20 *24677:CLK 0.000353039 +*RES +1 *24987:X *4926:7 16.1364 +2 *4926:7 *4926:8 13.0438 +3 *4926:8 *4926:14 4.39679 +4 *4926:14 *4926:16 10.137 +5 *4926:16 *24502:CLK 25.036 +6 *4926:16 *4926:28 16.3155 +7 *4926:28 *24503:CLK 9.24915 +8 *4926:28 *24891:CLK 32.7512 +9 *4926:14 *24505:CLK 17.8002 +10 *4926:8 *4926:54 10.2148 +11 *4926:54 *24677:CLK 32.9974 +12 *4926:54 *24504:CLK 9.24915 +13 *4926:7 *4926:70 7.23027 +14 *4926:70 *24447:CLK 30.8384 +15 *4926:70 *4926:83 5.2328 +16 *4926:83 *4926:84 7.93324 +17 *4926:84 *4926:88 17.9793 +18 *4926:88 *4926:89 3.90826 +19 *4926:89 *24890:CLK 21.0527 +20 *4926:89 *24892:CLK 25.2029 +21 *4926:88 *24894:CLK 16.8269 +22 *4926:84 *24676:CLK 9.24915 +23 *4926:83 *24519:CLK 24.3922 +*END + +*D_NET *4927 0.0312646 +*CONN +*I *24583:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24582:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24557:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24629:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24630:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24584:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24631:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24580:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24581:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24500:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24480:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24501:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24478:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24477:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24498:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24888:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24628:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24988:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24583:CLK 0 +2 *24582:CLK 0.000422434 +3 *24557:CLK 0.000304862 +4 *24629:CLK 0 +5 *24630:CLK 0.00016151 +6 *24584:CLK 3.73114e-05 +7 *24631:CLK 0.000674667 +8 *24580:CLK 0.000105785 +9 *24581:CLK 7.68674e-05 +10 *24500:CLK 0.000503085 +11 *24480:CLK 0.000614985 +12 *24501:CLK 0.000106716 +13 *24478:CLK 0 +14 *24477:CLK 0.000364087 +15 *24498:CLK 0.000129758 +16 *24888:CLK 0.000617147 +17 *24628:CLK 3.5247e-05 +18 *24988:X 0 +19 *4927:160 0.000984939 +20 *4927:151 0.000434603 +21 *4927:141 0.000717335 +22 *4927:138 0.000829449 +23 *4927:123 0.000915275 +24 *4927:112 0.00116617 +25 *4927:103 0.000341641 +26 *4927:77 0.00111807 +27 *4927:54 0.000998474 +28 *4927:40 0.00125145 +29 *4927:34 0.00067706 +30 *4927:32 0.000385668 +31 *4927:13 0.00112555 +32 *4927:11 0.0014522 +33 *4927:6 0.00087173 +34 *4927:5 0.000290181 +35 *24477:CLK *20611:B1 0.000114515 +36 *24477:CLK *20641:A1 3.55968e-05 +37 *24477:CLK *24477:RESET_B 3.56986e-05 +38 *24480:CLK *20638:A1 9.21475e-05 +39 *24480:CLK *24480:RESET_B 0.0001428 +40 *24480:CLK *5869:372 5.04829e-06 +41 *24498:CLK *24478:SET_B 0.000134167 +42 *24498:CLK *5061:9 0.000116258 +43 *24557:CLK *20525:A1 0.000410154 +44 *24580:CLK *20490:A1 9.95542e-06 +45 *24580:CLK *20490:B1 1.03403e-05 +46 *24580:CLK *5219:11 0.000156955 +47 *24582:CLK *20525:A1 0.000279895 +48 *24582:CLK *24582:SET_B 2.77334e-05 +49 *24582:CLK *5854:67 4.00824e-05 +50 *24631:CLK *24631:RESET_B 4.73185e-05 +51 *24631:CLK *5682:16 0.000107496 +52 *24888:CLK *20421:B1 9.47944e-05 +53 *24888:CLK *20572:B1 9.37092e-05 +54 *24888:CLK *24888:RESET_B 0.000156748 +55 *24888:CLK *5680:8 6.3657e-05 +56 *24888:CLK *5858:141 0.000156955 +57 *4927:11 *20421:A1 6.3215e-05 +58 *4927:11 *21965:A 2.7837e-05 +59 *4927:13 *24628:RESET_B 5.18992e-05 +60 *4927:54 *20640:A1 1.49042e-05 +61 *4927:54 *20640:B1 0 +62 *4927:54 *20641:A1 0 +63 *4927:54 *5061:9 2.18523e-06 +64 *4927:103 *20489:B1 4.15661e-05 +65 *4927:123 *5869:588 1.97735e-05 +66 *4927:141 *5869:625 0.000164527 +67 *4927:151 *20016:A 2.77564e-05 +68 *4927:151 *5123:6 0.000114192 +69 *4927:160 *20016:A 0.000146997 +70 *20415:A *4927:141 7.13972e-05 +71 *20415:B *4927:138 7.89409e-05 +72 *20415:B *4927:141 0.000642131 +73 *20416:A *4927:141 0.000111802 +74 *20421:B2 *24888:CLK 2.77419e-05 +75 *20489:A2 *24580:CLK 2.16355e-05 +76 *20490:A2 *24580:CLK 4.2372e-05 +77 *20490:B2 *4927:103 3.39219e-05 +78 *20490:B2 *4927:112 9.91642e-05 +79 *20490:B2 *4927:123 7.15004e-05 +80 *20567:B *24888:CLK 1.58551e-05 +81 *21345:B2 *24477:CLK 0.000183479 +82 *21677:A1 *24500:CLK 0.000139244 +83 *21677:B2 *24500:CLK 7.73159e-06 +84 *21677:C1 *24480:CLK 0.000294093 +85 *21677:C1 *24500:CLK 1.65872e-05 +86 *24478:D *4927:54 3.92004e-05 +87 *24480:D *24480:CLK 7.39214e-06 +88 *24498:D *24498:CLK 2.41274e-06 +89 *24557:D *24557:CLK 0.000111708 +90 *24580:D *24580:CLK 6.08467e-05 +91 *24581:D *4927:6 0.000108645 +92 *24581:D *4927:103 9.89388e-06 +93 *24628:D *4927:13 0.000160617 +94 *24922:A *24557:CLK 0.000211478 +95 *74:36 *4927:6 1.20673e-05 +96 *74:36 *4927:103 1.12029e-05 +97 *74:44 *24580:CLK 3.03403e-05 +98 *1448:250 *4927:138 4.69495e-06 +99 *1461:249 *24498:CLK 2.71397e-05 +100 *1461:249 *4927:32 0.000555672 +101 *1461:249 *4927:34 0.000176773 +102 *1461:249 *4927:40 0.00037015 +103 *1467:198 *24630:CLK 0.000310109 +104 *1467:198 *4927:151 0.000202765 +105 *1541:142 *4927:6 0 +106 *1541:142 *4927:11 0 +107 *1541:142 *4927:103 0 +108 *1541:142 *4927:123 0 +109 *1597:20 *24630:CLK 1.35239e-05 +110 *1597:20 *4927:151 1.94224e-05 +111 *1597:20 *4927:160 1.3706e-05 +112 *1715:48 *24477:CLK 5.27006e-05 +113 *1715:67 *24501:CLK 1.88152e-05 +114 *1722:13 *4927:141 6.72229e-05 +115 *1729:64 *24888:CLK 7.49659e-06 +116 *1733:26 *4927:103 0.000189816 +117 *1733:26 *4927:112 0.00010594 +118 *1733:26 *4927:123 0.000520158 +119 *1733:26 *4927:138 0.000364732 +120 *1762:63 *4927:6 1.8662e-05 +121 *1762:63 *4927:11 0.000412501 +122 *1764:43 *24888:CLK 2.20585e-05 +123 *1773:21 *24500:CLK 6.24738e-05 +124 *1773:28 *24480:CLK 3.31745e-05 +125 *1773:28 *24500:CLK 1.50854e-05 +126 *1794:25 *4927:32 5.97855e-05 +127 *1794:25 *4927:34 0.000151023 +128 *1794:25 *4927:40 0.000312098 +129 *1952:7 *4927:141 1.88152e-05 +130 *1986:10 *24557:CLK 0.00015409 +131 *2021:17 *4927:54 0 +132 *2523:44 *4927:160 0.000350335 +133 *2523:59 *24584:CLK 1.03403e-05 +134 *2531:43 *24631:CLK 1.53788e-05 +135 *2561:47 *4927:11 3.10304e-06 +136 *2561:47 *4927:13 6.34566e-06 +137 *2598:11 *4927:32 7.86847e-05 +138 *2612:62 *4927:34 1.66771e-05 +139 *2612:62 *4927:40 2.77419e-05 +140 *2612:77 *24501:CLK 0.000207892 +141 *2616:34 *24557:CLK 0.000150629 +142 *2680:18 *4927:151 8.52364e-05 +143 *2680:18 *4927:160 0.000538637 +144 *2709:37 *24500:CLK 6.33782e-05 +145 *2709:37 *4927:32 5.81152e-05 +146 *2710:29 *4927:32 0.000256921 +147 *2710:36 *4927:123 0 +148 *2844:53 *24581:CLK 1.87146e-05 +149 *2932:8 *24498:CLK 4.9073e-05 +150 *2932:8 *4927:40 0.000162181 +151 *2933:22 *4927:151 0.000115483 +152 *2933:26 *24630:CLK 0.000350607 +153 *2933:26 *24888:CLK 0.000130187 +154 *2933:26 *4927:151 8.56503e-05 +155 *2971:15 *24477:CLK 0.000270214 +156 *3671:67 *24888:CLK 0.000144123 +157 *3671:76 *4927:40 2.96793e-05 +158 *4852:11 *4927:123 0 +159 *4852:11 *4927:138 0 +160 *4870:116 *24888:CLK 0.000414159 +*RES +1 *24988:X *4927:5 13.7491 +2 *4927:5 *4927:6 3.07775 +3 *4927:6 *4927:11 18.1363 +4 *4927:11 *4927:13 6.82404 +5 *4927:13 *24628:CLK 10.2378 +6 *4927:13 *24888:CLK 37.1639 +7 *4927:11 *4927:32 15.4675 +8 *4927:32 *4927:34 3.493 +9 *4927:34 *4927:40 13.5323 +10 *4927:40 *24498:CLK 18.8017 +11 *4927:40 *4927:54 13.4219 +12 *4927:54 *24477:CLK 29.6754 +13 *4927:54 *24478:CLK 9.24915 +14 *4927:34 *24501:CLK 16.691 +15 *4927:32 *4927:77 4.5 +16 *4927:77 *24480:CLK 23.283 +17 *4927:77 *24500:CLK 20.5582 +18 *4927:6 *24581:CLK 15.6059 +19 *4927:5 *4927:103 4.73876 +20 *4927:103 *24580:CLK 17.2456 +21 *4927:103 *4927:112 2.24725 +22 *4927:112 *24631:CLK 23.7802 +23 *4927:112 *4927:123 10.9675 +24 *4927:123 *24584:CLK 14.4725 +25 *4927:123 *4927:138 15.5408 +26 *4927:138 *4927:141 16.8701 +27 *4927:141 *24630:CLK 20.8817 +28 *4927:141 *4927:151 7.23027 +29 *4927:151 *24629:CLK 13.7491 +30 *4927:151 *4927:160 15.0523 +31 *4927:160 *24557:CLK 28.2903 +32 *4927:160 *24582:CLK 18.3157 +33 *4927:138 *24583:CLK 9.24915 +*END + +*D_NET *4928 0.0263586 +*CONN +*I *24506:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24762:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24759:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24761:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24848:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24760:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24851:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24846:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24758:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24855:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24853:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24856:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24850:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24854:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24849:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24852:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24989:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24506:CLK 0.000448728 +2 *24762:CLK 0 +3 *24759:CLK 0 +4 *24761:CLK 0.000213128 +5 *24848:CLK 0.000151344 +6 *24760:CLK 0.000151722 +7 *24851:CLK 2.97789e-05 +8 *24846:CLK 0.000391071 +9 *24758:CLK 2.38427e-05 +10 *24855:CLK 0.00031716 +11 *24853:CLK 2.52006e-05 +12 *24856:CLK 6.74261e-05 +13 *24850:CLK 0.000517069 +14 *24854:CLK 5.03134e-05 +15 *24849:CLK 0.00058488 +16 *24852:CLK 0.000459612 +17 *24989:X 1.84488e-05 +18 *4928:142 0.0004669 +19 *4928:99 0.00074895 +20 *4928:97 0.00056508 +21 *4928:95 0.000631179 +22 *4928:90 0.0006542 +23 *4928:86 0.000408331 +24 *4928:85 0.000967402 +25 *4928:74 0.00102083 +26 *4928:61 0.00034236 +27 *4928:40 0.000584495 +28 *4928:27 0.000635193 +29 *4928:12 0.000891585 +30 *4928:10 0.000999177 +31 *4928:8 0.000927329 +32 *4928:7 0.00078817 +33 *24506:CLK *20220:B1 0 +34 *24506:CLK *5671:19 0.000408915 +35 *24506:CLK *5851:446 6.88629e-05 +36 *24758:CLK *20222:A1 4.87439e-05 +37 *24761:CLK *21569:A 0.000504736 +38 *24846:CLK *5742:7 5.05976e-05 +39 *24846:CLK *5743:10 8.07939e-05 +40 *24846:CLK *5744:8 5.044e-05 +41 *24848:CLK *24845:RESET_B 0.000145266 +42 *24849:CLK *19717:B1 2.65831e-05 +43 *24849:CLK *21662:A 7.48797e-05 +44 *24849:CLK *5757:7 0.00024449 +45 *24849:CLK *5854:223 2.41274e-06 +46 *24849:CLK *5854:243 0.000180515 +47 *24850:CLK *5858:254 0.000783852 +48 *24850:CLK *5858:260 0.000163382 +49 *24852:CLK *24852:SET_B 1.41976e-05 +50 *24852:CLK *5777:29 0 +51 *24852:CLK *5860:198 3.14978e-05 +52 *24852:CLK *5917:93 0.000298201 +53 *24854:CLK *5854:243 7.16893e-05 +54 *24855:CLK *24853:SET_B 0.000321905 +55 *24856:CLK *5858:254 0.000202269 +56 *4928:10 *19713:A1 4.61962e-05 +57 *4928:10 *19713:B1 5.56367e-05 +58 *4928:10 *21951:A 6.91967e-05 +59 *4928:10 *5851:233 0.000353492 +60 *4928:12 *21951:A 1.75816e-05 +61 *4928:12 *5769:11 7.29637e-05 +62 *4928:12 *5777:29 0 +63 *4928:74 *21862:A 0 +64 *4928:74 *5087:8 0 +65 *4928:85 *20220:B1 4.76248e-05 +66 *4928:85 *20223:A1 0.000155243 +67 *4928:85 *21862:A 0 +68 *4928:86 *19723:B1 0.000164536 +69 *4928:86 *5098:7 8.27319e-05 +70 *4928:90 *5743:7 6.37652e-06 +71 *4928:95 *21569:A 7.7517e-05 +72 *4928:95 *5743:8 0.000156145 +73 *4928:95 *5743:10 0.000463123 +74 *4928:97 *5743:10 9.1099e-05 +75 *4928:99 *5743:10 0.000322654 +76 *4928:99 *5744:8 6.29837e-05 +77 *19710:A2 *4928:12 0 +78 *19712:B1 *4928:12 0 +79 *19712:B2 *4928:12 3.51249e-05 +80 *19717:A2 *24849:CLK 0.000213739 +81 *19731:A *24850:CLK 0.000230504 +82 *20220:A2 *24506:CLK 0.000124653 +83 *24758:D *4928:85 0 +84 *24762:D *24506:CLK 3.58905e-05 +85 *24846:D *24846:CLK 0.000142124 +86 *24849:D *24849:CLK 0.000467345 +87 *24853:D *24853:CLK 6.08467e-05 +88 *24853:D *24855:CLK 0.000100396 +89 *24853:D *4928:10 0.000118485 +90 *24854:D *4928:12 0 +91 *1502:49 *24506:CLK 0.000123684 +92 *1502:49 *4928:86 5.04829e-06 +93 *1502:49 *4928:142 2.16355e-05 +94 *1502:57 *24506:CLK 5.30145e-05 +95 *1502:57 *24853:CLK 5.95286e-05 +96 *1502:57 *24855:CLK 0.000182064 +97 *1502:57 *4928:8 0 +98 *1502:57 *4928:74 0 +99 *1502:57 *4928:85 0 +100 *1504:86 *4928:8 0 +101 *1504:86 *4928:10 0 +102 *1744:99 *24760:CLK 0.000181981 +103 *1760:8 *4928:8 0.000136436 +104 *1760:8 *4928:10 6.79083e-05 +105 *1760:8 *4928:74 0.000167538 +106 *1760:8 *4928:85 0.000131954 +107 *1889:6 *24506:CLK 3.0676e-05 +108 *2295:8 *24506:CLK 0 +109 *2563:5 *24851:CLK 1.43983e-05 +110 *2741:7 *4928:7 6.3657e-05 +111 *2803:13 *4928:95 0.0001343 +112 *2803:13 *4928:97 0.000217587 +113 *2803:13 *4928:99 0.000519056 +114 *2864:19 *24760:CLK 9.6437e-05 +115 *2878:14 *24761:CLK 0.000511863 +116 *2878:14 *4928:95 0.000397759 +117 *2926:9 *4928:7 8.97566e-06 +118 *2955:5 *24758:CLK 4.87439e-05 +119 *2955:5 *24848:CLK 0.00023294 +120 *2965:13 *4928:10 0 +121 *3014:39 *24506:CLK 0.00016562 +122 *3177:77 *24506:CLK 4.66492e-05 +123 *3177:77 *4928:86 0.000261903 +124 *3177:77 *4928:142 4.66492e-05 +125 *3177:99 *4928:86 0.000608646 +126 *3177:99 *4928:90 0.000107496 +127 *4844:434 *24853:CLK 1.19721e-05 +128 *4844:434 *24855:CLK 7.31697e-05 +*RES +1 *24989:X *4928:7 14.4725 +2 *4928:7 *4928:8 9.30653 +3 *4928:8 *4928:10 16.7811 +4 *4928:10 *4928:12 10.137 +5 *4928:12 *24852:CLK 26.8928 +6 *4928:12 *4928:27 4.5 +7 *4928:27 *24849:CLK 29.3837 +8 *4928:27 *24854:CLK 11.0817 +9 *4928:10 *4928:40 4.5 +10 *4928:40 *24850:CLK 27.5511 +11 *4928:40 *24856:CLK 11.6364 +12 *4928:8 *4928:61 4.5 +13 *4928:61 *24853:CLK 10.5271 +14 *4928:61 *24855:CLK 19.9554 +15 *4928:7 *4928:74 10.9675 +16 *4928:74 *24758:CLK 15.0271 +17 *4928:74 *4928:85 17.9591 +18 *4928:85 *4928:86 14.0339 +19 *4928:86 *4928:90 5.778 +20 *4928:90 *4928:95 14.3628 +21 *4928:95 *4928:97 3.90826 +22 *4928:97 *4928:99 13.4591 +23 *4928:99 *24846:CLK 24.7601 +24 *4928:99 *24851:CLK 14.4725 +25 *4928:97 *24760:CLK 18.9094 +26 *4928:95 *24848:CLK 20.0186 +27 *4928:90 *24761:CLK 23.4709 +28 *4928:86 *24759:CLK 9.24915 +29 *4928:85 *4928:142 0.723396 +30 *4928:142 *24762:CLK 9.24915 +31 *4928:142 *24506:CLK 32.7509 +*END + +*D_NET *4929 0.0185404 +*CONN +*I *24842:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24841:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24840:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24838:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24839:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24883:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24884:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24886:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *24847:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24845:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24844:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24990:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24842:CLK 0 +2 *24841:CLK 0 +3 *24840:CLK 8.54575e-05 +4 *24838:CLK 0.000146201 +5 *24839:CLK 0.000484048 +6 *24883:CLK 0.000281935 +7 *24884:CLK 8.00233e-05 +8 *24886:CLK 0.000419071 +9 *24847:CLK 1.67835e-05 +10 *24845:CLK 0 +11 *24844:CLK 0 +12 *24990:X 0 +13 *4929:84 0.000541403 +14 *4929:83 0.000502741 +15 *4929:77 0.000593012 +16 *4929:56 0.000921036 +17 *4929:54 0.000896196 +18 *4929:44 0.000632701 +19 *4929:25 0.00100222 +20 *4929:17 0.000919543 +21 *4929:8 0.00090014 +22 *4929:4 0.000758516 +23 *24838:CLK *24838:RESET_B 0.0002028 +24 *24838:CLK *5806:29 0.000317861 +25 *24839:CLK *19914:A 0.000211478 +26 *24839:CLK *5810:21 0.000108266 +27 *24839:CLK *5810:23 0.000213272 +28 *24839:CLK *5858:284 0.000139435 +29 *24840:CLK *25270:A 7.58739e-05 +30 *24840:CLK *25271:A 7.0954e-05 +31 *24883:CLK *24883:RESET_B 8.07438e-05 +32 *24884:CLK *5756:33 0.000238004 +33 *24886:CLK *19727:A1 2.68516e-05 +34 *24886:CLK *5917:305 5.53903e-05 +35 *4929:8 *5858:284 0.000251524 +36 *4929:8 *5858:308 3.20069e-06 +37 *4929:17 *21907:A 0 +38 *4929:17 *5748:31 0 +39 *4929:17 *5807:14 0 +40 *4929:17 *5858:308 9.95063e-05 +41 *4929:25 *19727:A1 7.4235e-06 +42 *4929:44 *5756:33 0.000369345 +43 *4929:54 *24883:RESET_B 6.01504e-05 +44 *4929:54 *5870:19 0.000262948 +45 *4929:56 *24883:RESET_B 4.6284e-05 +46 *4929:77 *19733:A1 3.92275e-05 +47 *4929:77 *19733:B1 0.000633791 +48 *4929:77 *21792:A 0 +49 *4929:77 *25273:A 2.19131e-05 +50 *4929:77 *5858:284 0.000139815 +51 *4929:83 *21792:A 0 +52 *4929:83 *25273:A 7.38072e-05 +53 *4929:84 *24838:RESET_B 2.30074e-05 +54 *4929:84 *5747:7 3.58044e-05 +55 *4929:84 *5750:8 5.99691e-05 +56 *4929:84 *5806:29 0.00028001 +57 *4929:84 *5854:223 7.66988e-05 +58 *4929:84 *5917:51 0.000115721 +59 *19646:B2 *24839:CLK 0.000470571 +60 *19724:B2 *4929:8 8.88627e-05 +61 *19724:B2 *4929:17 0.000205317 +62 *19734:A2 *4929:77 0 +63 *24841:D *4929:84 0.000115934 +64 *24842:D *4929:77 2.55493e-05 +65 *24883:D *24839:CLK 3.22726e-05 +66 *24883:D *24883:CLK 6.50586e-05 +67 *24990:A *4929:8 0.00049413 +68 *24990:A *4929:44 9.55447e-05 +69 *514:85 *4929:25 6.50465e-05 +70 *1467:93 *24886:CLK 0 +71 *1467:93 *4929:25 0 +72 *1508:50 *4929:25 6.54395e-05 +73 *1509:30 *4929:17 0.00056402 +74 *2563:8 *24886:CLK 0.00067603 +75 *2563:8 *4929:8 0 +76 *2563:8 *4929:25 0.000701024 +77 *2625:22 *24886:CLK 3.77804e-05 +78 *2803:27 *24847:CLK 0.00011818 +79 *2808:8 *24884:CLK 0.000238004 +80 *2808:8 *4929:8 0 +81 *2808:8 *4929:44 0.000360719 +82 *2808:15 *4929:25 0.000346927 +83 *2955:5 *4929:25 0.000201198 +84 *3177:99 *24847:CLK 5.07314e-05 +*RES +1 *24990:X *4929:4 9.24915 +2 *4929:4 *4929:8 18.9668 +3 *4929:8 *24844:CLK 13.7491 +4 *4929:8 *4929:17 17.9591 +5 *4929:17 *24845:CLK 9.24915 +6 *4929:17 *4929:25 23.6739 +7 *4929:25 *24847:CLK 15.0271 +8 *4929:25 *24886:CLK 26.7929 +9 *4929:4 *4929:44 12.593 +10 *4929:44 *24884:CLK 18.0727 +11 *4929:44 *4929:54 10.2148 +12 *4929:54 *4929:56 2.38721 +13 *4929:56 *24883:CLK 14.2888 +14 *4929:56 *24839:CLK 35.5001 +15 *4929:54 *4929:77 18.7896 +16 *4929:77 *4929:83 8.7258 +17 *4929:83 *4929:84 11.2609 +18 *4929:84 *24838:CLK 17.1824 +19 *4929:84 *24840:CLK 21.3269 +20 *4929:83 *24841:CLK 9.24915 +21 *4929:77 *24842:CLK 13.7491 +*END + +*D_NET *4930 0.00499818 +*CONN +*I *24877:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24836:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24837:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24991:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24877:CLK 0.000670311 +2 *24836:CLK 0.000219578 +3 *24837:CLK 0.000575002 +4 *24991:X 0.000448476 +5 *4930:8 0.000938141 +6 *4930:7 0.00126235 +7 *24836:CLK *5809:11 1.15862e-05 +8 *24837:CLK *25274:A 0 +9 *24837:CLK *5806:29 0.000158997 +10 *24837:CLK *5814:18 0 +11 *24837:CLK *5860:187 0 +12 *24877:CLK *4937:8 0.000273432 +13 *24877:CLK *5814:18 0 +14 *24877:CLK *5860:180 0 +15 *19658:A *24877:CLK 3.31733e-05 +16 *19742:A *24837:CLK 5.88662e-05 +17 *24836:D *24836:CLK 0.000112361 +18 *24836:D *24837:CLK 7.26606e-05 +19 *24836:D *4930:8 2.86829e-05 +20 *24837:D *24837:CLK 2.7961e-05 +21 *24877:D *24877:CLK 4.58003e-05 +22 *1522:46 *24877:CLK 6.08076e-05 +23 *1845:11 *24877:CLK 0 +24 *1845:81 *24877:CLK 0 +*RES +1 *24991:X *4930:7 20.0186 +2 *4930:7 *4930:8 3.493 +3 *4930:8 *24837:CLK 30.0135 +4 *4930:8 *24836:CLK 17.6796 +5 *4930:7 *24877:CLK 33.054 +*END + +*D_NET *4931 0.0108643 +*CONN +*I *24448:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24445:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24636:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24499:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24497:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24992:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24448:CLK 0 +2 *24445:CLK 0.000389706 +3 *24636:CLK 0.000981192 +4 *24499:CLK 0.000105178 +5 *24497:CLK 0 +6 *24992:X 0.000148537 +7 *4931:44 0.00101168 +8 *4931:17 0.00116912 +9 *4931:10 0.00048974 +10 *4931:5 0.0011775 +11 *24445:CLK *5860:75 0.000128739 +12 *24499:CLK *5869:262 0.000207266 +13 *24636:CLK *5856:526 0.000145012 +14 *4931:10 *5856:526 0.000136318 +15 *4931:17 *5856:526 0.000225768 +16 *4931:44 *5153:10 1.34685e-05 +17 *4931:44 *5854:606 0.000223774 +18 *20612:B2 *24636:CLK 2.57847e-05 +19 *20685:B2 *24445:CLK 0.000134243 +20 *20685:B2 *4931:44 6.74781e-05 +21 *21788:A1 *24636:CLK 0 +22 *21898:A2 *4931:44 0.000408946 +23 *21899:B2 *4931:5 2.71185e-05 +24 *21899:B2 *4931:10 4.97617e-05 +25 *21899:B2 *4931:44 5.20882e-05 +26 *24636:D *24636:CLK 6.89937e-05 +27 *1435:67 *24445:CLK 1.01656e-05 +28 *1435:67 *4931:44 6.01662e-05 +29 *1501:42 *4931:44 0.000313693 +30 *1501:53 *24636:CLK 5.66868e-06 +31 *1677:142 *4931:44 2.93365e-05 +32 *1683:29 *4931:44 0.000126981 +33 *1684:15 *24445:CLK 0.000258785 +34 *1716:57 *24636:CLK 0.000110777 +35 *1716:57 *4931:10 0.000519737 +36 *1716:57 *4931:17 0.000232586 +37 *1730:75 *4931:5 0.000589905 +38 *1730:75 *4931:10 0.000296893 +39 *1766:82 *4931:10 0.000154145 +40 *1808:23 *4931:44 1.9101e-05 +41 *2515:11 *24445:CLK 0.000136088 +42 *2703:43 *4931:10 0.000186445 +43 *2804:7 *24636:CLK 5.91312e-05 +44 *2915:8 *4931:5 3.49628e-05 +45 *2971:24 *4931:10 6.28188e-05 +46 *2974:34 *4931:5 0.000211492 +47 *2994:12 *4931:44 1.64952e-05 +48 *3177:168 *4931:44 4.15093e-05 +*RES +1 *24992:X *4931:5 16.0732 +2 *4931:5 *4931:10 18.5487 +3 *4931:10 *24497:CLK 13.7491 +4 *4931:10 *4931:17 4.32351 +5 *4931:17 *24499:CLK 16.7151 +6 *4931:17 *24636:CLK 31.2118 +7 *4931:5 *4931:44 23.7726 +8 *4931:44 *24445:CLK 25.1754 +9 *4931:44 *24448:CLK 13.7491 +*END + +*D_NET *4932 0.0326697 +*CONN +*I *24454:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24453:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24360:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24276:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24471:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24278:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24277:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24279:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24280:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24317:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24315:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24316:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24359:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24361:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24358:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24451:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24450:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24357:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24993:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24454:CLK 0.000114651 +2 *24453:CLK 0.000340391 +3 *24360:CLK 3.64478e-05 +4 *24276:CLK 0.000125409 +5 *24471:CLK 0.000432169 +6 *24278:CLK 0.000371606 +7 *24277:CLK 0 +8 *24279:CLK 0.000313539 +9 *24280:CLK 0 +10 *24317:CLK 0 +11 *24315:CLK 0.000166334 +12 *24316:CLK 6.61322e-05 +13 *24359:CLK 0 +14 *24361:CLK 0.00016979 +15 *24358:CLK 0.000519696 +16 *24451:CLK 0 +17 *24450:CLK 0.000500722 +18 *24357:CLK 6.74014e-05 +19 *24993:X 8.80017e-05 +20 *4932:165 0.000803776 +21 *4932:163 0.000433523 +22 *4932:156 0.000472759 +23 *4932:147 0.000546841 +24 *4932:127 0.000966073 +25 *4932:89 0.00107208 +26 *4932:78 0.000777522 +27 *4932:73 0.000564278 +28 *4932:71 0.00120442 +29 *4932:66 0.000790036 +30 *4932:56 0.00123969 +31 *4932:52 0.000858982 +32 *4932:49 0.00059652 +33 *4932:31 0.000946838 +34 *4932:14 0.000805981 +35 *4932:11 0.000554298 +36 *4932:8 0.000348426 +37 *24279:CLK *24279:RESET_B 0.000176518 +38 *24315:CLK *20794:A1 3.31733e-05 +39 *24315:CLK *5861:127 0.000247231 +40 *24316:CLK *20933:A1 5.481e-05 +41 *24316:CLK *20933:B1 3.82228e-05 +42 *24358:CLK *21615:A 5.63394e-05 +43 *24358:CLK *5867:32 0 +44 *24361:CLK *20864:B1 0.000111067 +45 *24450:CLK *20866:A1 0.000107496 +46 *24450:CLK *5861:97 0.000303375 +47 *24454:CLK *5866:54 7.37288e-05 +48 *24471:CLK *5412:10 6.37652e-06 +49 *4932:11 *5866:54 6.41208e-05 +50 *4932:14 *20863:B1 4.40531e-05 +51 *4932:56 *20985:A1 6.08467e-05 +52 *4932:66 *20984:A1 0.000110401 +53 *4932:66 *21603:A 0.00022684 +54 *4932:66 *5413:6 1.83423e-05 +55 *4932:66 *5851:646 5.41227e-05 +56 *4932:71 *5858:26 0.000121884 +57 *4932:73 *5858:26 5.30056e-05 +58 *4932:78 *5858:26 5.56367e-05 +59 *4932:78 *5858:28 0.000202283 +60 *4932:78 *5858:40 0.000137663 +61 *4932:89 *5867:46 2.8182e-06 +62 *4932:127 *5858:26 1.23955e-05 +63 *4932:127 *5861:112 3.88358e-05 +64 *4932:147 *5413:6 6.87762e-05 +65 *20861:A *24357:CLK 0.000192597 +66 *20861:A *4932:31 0.000107496 +67 *20864:A2 *24361:CLK 0.000200794 +68 *20985:A2 *4932:56 1.65872e-05 +69 *20988:B2 *24276:CLK 0.000134421 +70 *21144:B *4932:14 0.000196224 +71 *24279:D *24279:CLK 0.000202586 +72 *24280:D *4932:66 6.51637e-05 +73 *24316:D *24316:CLK 7.92757e-06 +74 *24317:D *24315:CLK 1.41976e-05 +75 *24317:D *4932:127 0.000668962 +76 *24357:D *24357:CLK 6.98337e-06 +77 *24357:D *4932:31 6.85631e-05 +78 *24359:D *24361:CLK 1.34424e-05 +79 *24359:D *4932:89 1.03403e-05 +80 *24360:D *4932:14 0.000307121 +81 *24360:D *4932:49 0.000152763 +82 *657:199 *24279:CLK 7.30383e-05 +83 *657:203 *24279:CLK 2.16355e-05 +84 *1521:21 *24454:CLK 0.000142691 +85 *1521:21 *4932:11 0.000128736 +86 *1550:45 *24279:CLK 0.000200794 +87 *1561:125 *24358:CLK 0.000183706 +88 *1566:68 *4932:8 0.000161675 +89 *1569:9 *24453:CLK 0.000110695 +90 *1569:9 *4932:14 0.000246986 +91 *1569:9 *4932:49 0.000234168 +92 *1569:23 *24358:CLK 8.3916e-05 +93 *1569:23 *4932:89 6.71345e-05 +94 *1582:43 *4932:66 5.04829e-06 +95 *1619:51 *24276:CLK 7.41203e-05 +96 *1619:51 *4932:163 0.000113 +97 *1624:38 *24357:CLK 1.91244e-05 +98 *1624:62 *24358:CLK 0.000156548 +99 *1809:89 *4932:71 0.000317418 +100 *1809:89 *4932:73 0.000190989 +101 *1809:89 *4932:78 0.000385113 +102 *1947:56 *24315:CLK 4.20462e-05 +103 *1947:70 *4932:78 0.000298318 +104 *2126:41 *4932:31 1.2693e-05 +105 *2127:21 *24361:CLK 0.000340742 +106 *2166:8 *24358:CLK 2.3783e-05 +107 *2167:18 *4932:56 0.000145324 +108 *2167:33 *4932:147 2.97007e-05 +109 *2167:33 *4932:156 8.01886e-05 +110 *2168:6 *24276:CLK 5.56367e-05 +111 *2168:6 *4932:163 0.000145462 +112 *2168:12 *24278:CLK 0.000128736 +113 *2168:12 *24471:CLK 2.16355e-05 +114 *2468:34 *4932:31 0.000129684 +115 *2553:11 *4932:66 5.37817e-06 +116 *2592:6 *4932:8 6.57141e-05 +117 *2604:14 *24453:CLK 6.64528e-05 +118 *2608:14 *4932:31 0.000387074 +119 *2608:25 *24361:CLK 0.000188713 +120 *2614:17 *4932:31 0.000572015 +121 *2620:47 *24453:CLK 0.000553227 +122 *2655:19 *4932:127 0 +123 *2767:14 *4932:71 2.46957e-05 +124 *2807:8 *24358:CLK 0.000112821 +125 *2807:8 *4932:89 0.000128678 +126 *2889:20 *24450:CLK 0.000159159 +127 *3027:8 *4932:71 3.56251e-05 +128 *3027:8 *4932:73 1.13219e-05 +129 *3028:16 *24358:CLK 9.38708e-05 +130 *3035:23 *4932:127 3.93589e-05 +131 *3040:10 *24453:CLK 0.000245534 +132 *3040:10 *4932:14 4.72704e-05 +133 *3040:10 *4932:49 2.94506e-05 +134 *3065:19 *4932:31 0.000107496 +135 *3069:6 *4932:66 2.57674e-05 +136 *3069:6 *4932:156 5.16056e-05 +137 *3069:6 *4932:163 9.73022e-05 +138 *3069:14 *24358:CLK 0.000473091 +139 *3089:39 *24278:CLK 8.68521e-05 +140 *3175:112 *24450:CLK 0.000162584 +141 *3529:159 *24450:CLK 0.000102952 +142 *3533:95 *4932:73 5.75075e-05 +143 *3533:100 *4932:31 0.000113478 +144 *3857:42 *4932:56 7.92757e-06 +145 *4003:54 *4932:127 0.000248869 +146 *4106:75 *24453:CLK 2.41274e-06 +147 *4538:31 *4932:71 0.000328601 +148 *4547:35 *24315:CLK 0.000383136 +149 *4665:57 *4932:127 0 +150 *4700:32 *24276:CLK 0.000348494 +151 *4700:32 *4932:66 0.000448357 +152 *4700:32 *4932:147 0.00012741 +153 *4700:32 *4932:156 0.000369428 +154 *4700:32 *4932:163 0.000735545 +155 *4700:41 *4932:66 2.27795e-05 +156 *4700:41 *4932:71 2.16355e-05 +157 *4739:36 *24453:CLK 2.04806e-05 +158 *4873:17 *4932:71 1.70448e-05 +159 *4873:17 *4932:127 3.12397e-05 +*RES +1 *24993:X *4932:8 21.3269 +2 *4932:8 *4932:11 8.55102 +3 *4932:11 *4932:14 14.2218 +4 *4932:14 *24357:CLK 11.6605 +5 *4932:14 *4932:31 25.3263 +6 *4932:31 *24450:CLK 31.4786 +7 *4932:31 *24451:CLK 9.24915 +8 *4932:11 *4932:49 5.05631 +9 *4932:49 *4932:52 6.3326 +10 *4932:52 *4932:56 14.0971 +11 *4932:56 *4932:66 18.9167 +12 *4932:66 *4932:71 15.1528 +13 *4932:71 *4932:73 3.70063 +14 *4932:73 *4932:78 16.8905 +15 *4932:78 *4932:89 11.9967 +16 *4932:89 *24358:CLK 30.115 +17 *4932:89 *24361:CLK 20.5732 +18 *4932:78 *24359:CLK 9.24915 +19 *4932:73 *24316:CLK 15.5817 +20 *4932:71 *4932:127 24.3 +21 *4932:127 *24315:CLK 25.7876 +22 *4932:127 *24317:CLK 9.24915 +23 *4932:66 *24280:CLK 9.24915 +24 *4932:56 *4932:147 2.6625 +25 *4932:147 *24279:CLK 23.9008 +26 *4932:147 *4932:156 6.81502 +27 *4932:156 *24277:CLK 13.7491 +28 *4932:156 *4932:163 13.4591 +29 *4932:163 *4932:165 4.5 +30 *4932:165 *24278:CLK 17.737 +31 *4932:165 *24471:CLK 17.1824 +32 *4932:163 *24276:CLK 20.1489 +33 *4932:52 *24360:CLK 10.2378 +34 *4932:49 *24453:CLK 25.5878 +35 *4932:8 *24454:CLK 13.3002 +*END + +*D_NET *4933 0.0382788 +*CONN +*I *24550:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24553:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24549:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24344:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24420:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24422:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24347:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *24346:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24552:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24551:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24556:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24421:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24555:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24382:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24318:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24343:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24419:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24319:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24423:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24345:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24994:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24550:CLK 0.000300121 +2 *24553:CLK 0 +3 *24549:CLK 0.00107885 +4 *24344:CLK 0 +5 *24420:CLK 0 +6 *24422:CLK 0.000808902 +7 *24347:CLK 0.000101046 +8 *24346:CLK 0 +9 *24552:CLK 0.000305172 +10 *24551:CLK 0 +11 *24556:CLK 0 +12 *24421:CLK 0.000709337 +13 *24555:CLK 0.000538604 +14 *24382:CLK 0.000293381 +15 *24318:CLK 0.000808463 +16 *24343:CLK 0 +17 *24419:CLK 0.0010594 +18 *24319:CLK 0.000260224 +19 *24423:CLK 0.000533689 +20 *24345:CLK 4.22056e-05 +21 *24994:X 4.69802e-05 +22 *4933:205 0.000353624 +23 *4933:169 0.00118474 +24 *4933:134 0.00105371 +25 *4933:105 0.00123097 +26 *4933:91 0.00111533 +27 *4933:89 0.000832989 +28 *4933:87 0.000317995 +29 *4933:85 0.000530137 +30 *4933:81 0.000602014 +31 *4933:79 0.000134096 +32 *4933:77 0.00146031 +33 *4933:58 0.000808463 +34 *4933:56 0.000345858 +35 *4933:45 0.0011375 +36 *4933:36 0.000458029 +37 *4933:23 0.000770958 +38 *4933:14 0.000347258 +39 *4933:9 0.000755895 +40 *4933:8 0.000283327 +41 *24318:CLK *20930:A1 8.19046e-05 +42 *24318:CLK *24343:RESET_B 0.000159788 +43 *24318:CLK *5867:21 0.000177106 +44 *24382:CLK *21627:A 0.000227341 +45 *24382:CLK *5010:6 0.000100704 +46 *24419:CLK *19866:A 6.65341e-05 +47 *24419:CLK *24419:RESET_B 5.69771e-05 +48 *24421:CLK *24421:RESET_B 5.481e-05 +49 *24421:CLK *5801:92 2.41133e-05 +50 *24422:CLK *5868:49 0.00023143 +51 *24422:CLK *5868:51 5.23737e-05 +52 *24423:CLK *5082:101 0.000263017 +53 *24423:CLK *5868:77 6.47945e-05 +54 *24549:CLK *20888:A1 4.2372e-05 +55 *24549:CLK *24344:RESET_B 5.37479e-05 +56 *24549:CLK *5851:70 0.000258829 +57 *24549:CLK *5852:125 1.88014e-05 +58 *24550:CLK *24550:RESET_B 5.0715e-05 +59 *24550:CLK *24553:RESET_B 4.06462e-05 +60 *24552:CLK *21594:A 8.74003e-05 +61 *24552:CLK *5869:674 0.000114584 +62 *4933:8 *4940:6 7.77309e-06 +63 *4933:14 *5856:134 0.000403146 +64 *4933:105 *24556:SET_B 0.000115356 +65 *4933:134 *20534:B1 9.40212e-05 +66 *4933:134 *20889:A1 0.000114584 +67 *4933:134 *24346:RESET_B 0.000143783 +68 *4933:134 *4984:5 4.66492e-05 +69 *4933:134 *5869:674 2.75338e-05 +70 *4933:169 *24420:RESET_B 6.88731e-05 +71 *4933:169 *5921:37 0.000269306 +72 *20889:B2 *4933:87 0.000356399 +73 *23842:A1 *24422:CLK 6.61904e-06 +74 *24319:D *24318:CLK 7.03458e-05 +75 *24343:D *24318:CLK 5.13844e-05 +76 *24343:D *24382:CLK 4.07765e-05 +77 *24343:D *4933:36 0.000140436 +78 *24343:D *4933:45 6.94439e-05 +79 *24343:D *4933:56 0.000136758 +80 *24344:D *24549:CLK 0.000154145 +81 *24419:D *24419:CLK 5.3756e-05 +82 *24553:D *24550:CLK 0.000143625 +83 *1438:119 *24421:CLK 8.62625e-06 +84 *1438:132 *24549:CLK 0.000109837 +85 *1438:134 *24549:CLK 7.37441e-06 +86 *1485:185 *4933:169 0.000209446 +87 *1521:46 *24421:CLK 0.000398892 +88 *1581:10 *4933:77 7.5706e-05 +89 *1597:16 *4933:77 0 +90 *1597:16 *4933:79 0 +91 *1603:28 *24549:CLK 0 +92 *1603:35 *24549:CLK 0 +93 *1624:15 *24319:CLK 0.000397628 +94 *1624:15 *24419:CLK 6.08467e-05 +95 *1625:106 *24345:CLK 0.000113968 +96 *1689:101 *4933:8 0.000153225 +97 *1690:108 *24552:CLK 0 +98 *1690:120 *24552:CLK 2.0466e-05 +99 *1732:35 *4933:169 9.43616e-05 +100 *1733:26 *24552:CLK 0.000290553 +101 *1947:102 *4933:8 0.000127179 +102 *1947:102 *4933:9 0.00057272 +103 *1947:102 *4933:14 0.000455503 +104 *2048:9 *24423:CLK 0.000644251 +105 *2138:12 *24549:CLK 0.000596256 +106 *2139:10 *4933:77 5.15876e-05 +107 *2139:12 *24347:CLK 2.01503e-05 +108 *2139:12 *4933:77 5.04879e-05 +109 *2139:12 *4933:79 3.1718e-05 +110 *2139:12 *4933:81 7.09685e-05 +111 *2139:12 *4933:85 8.44232e-05 +112 *2139:12 *4933:87 0.00017138 +113 *2523:38 *24382:CLK 0.000701048 +114 *2523:38 *4933:14 0.00036936 +115 *2523:38 *4933:23 0.000175689 +116 *2523:38 *4933:36 0.000361689 +117 *2523:38 *4933:45 7.65861e-05 +118 *2523:38 *4933:56 0.000141909 +119 *2523:44 *4933:81 0.000109859 +120 *2523:44 *4933:85 0.000226567 +121 *2523:44 *4933:87 1.23804e-05 +122 *2593:24 *24549:CLK 0.000162767 +123 *2616:28 *24319:CLK 0.00103048 +124 *2616:28 *24419:CLK 1.4091e-06 +125 *2616:28 *4933:14 6.8167e-05 +126 *2616:28 *4933:23 6.92004e-05 +127 *2616:28 *4933:36 9.53474e-05 +128 *2616:30 *4933:14 0.000205614 +129 *2660:32 *24419:CLK 9.08873e-06 +130 *2680:13 *4933:81 8.62321e-06 +131 *2680:18 *24555:CLK 0.000136768 +132 *2680:18 *4933:87 0.00065719 +133 *2680:18 *4933:89 3.92776e-05 +134 *2680:18 *4933:91 0.000106962 +135 *2785:75 *24550:CLK 0.000414018 +136 *2785:75 *4933:9 0.000242198 +137 *2785:75 *4933:14 1.88014e-05 +138 *2785:75 *4933:205 3.82228e-05 +139 *2838:67 *24421:CLK 5.481e-05 +140 *2838:67 *4933:105 0.000214202 +141 *2838:76 *4933:105 0.000733307 +142 *2840:13 *24555:CLK 5.25994e-05 +143 *2840:13 *4933:87 0.000145381 +144 *2840:13 *4933:89 4.49912e-05 +145 *2840:13 *4933:91 0.000112163 +146 *2852:19 *24555:CLK 2.19783e-05 +147 *2856:9 *4933:134 8.02573e-06 +148 *2933:10 *24347:CLK 2.16355e-05 +149 *2933:10 *24555:CLK 3.13588e-05 +150 *2933:10 *4933:87 1.3807e-05 +151 *2963:64 *24382:CLK 0.000156376 +152 *3671:43 *24421:CLK 2.68504e-05 +153 *3857:52 *4933:77 0.000224493 +154 *4798:39 *24421:CLK 0.000480368 +155 *4799:6 *24422:CLK 0.000287386 +*RES +1 *24994:X *4933:8 20.9116 +2 *4933:8 *4933:9 7.37864 +3 *4933:9 *4933:14 16.4752 +4 *4933:14 *24345:CLK 15.0271 +5 *4933:14 *4933:23 3.07775 +6 *4933:23 *24423:CLK 29.0851 +7 *4933:23 *4933:36 6.81502 +8 *4933:36 *24319:CLK 25.5646 +9 *4933:36 *4933:45 1.41674 +10 *4933:45 *24419:CLK 28.7717 +11 *4933:45 *4933:56 2.6625 +12 *4933:56 *4933:58 4.5 +13 *4933:58 *24343:CLK 9.24915 +14 *4933:58 *24318:CLK 28.8291 +15 *4933:56 *24382:CLK 26.7929 +16 *4933:9 *4933:77 13.8065 +17 *4933:77 *4933:79 1.41674 +18 *4933:79 *4933:81 3.07775 +19 *4933:81 *4933:85 4.84868 +20 *4933:85 *4933:87 13.2514 +21 *4933:87 *4933:89 1.00149 +22 *4933:89 *4933:91 2.24725 +23 *4933:91 *24555:CLK 23.1151 +24 *4933:91 *4933:105 19.6431 +25 *4933:105 *24421:CLK 35.8453 +26 *4933:105 *24556:CLK 9.24915 +27 *4933:89 *4933:134 17.0871 +28 *4933:134 *24551:CLK 9.24915 +29 *4933:134 *24552:CLK 26.8307 +30 *4933:87 *24346:CLK 13.7491 +31 *4933:85 *24347:CLK 15.9604 +32 *4933:81 *4933:169 16.3155 +33 *4933:169 *24422:CLK 33.1502 +34 *4933:169 *24420:CLK 9.24915 +35 *4933:79 *24344:CLK 13.7491 +36 *4933:77 *24549:CLK 46.8102 +37 *4933:8 *4933:205 1.278 +38 *4933:205 *24553:CLK 9.24915 +39 *4933:205 *24550:CLK 20.51 +*END + +*D_NET *4934 0.0185953 +*CONN +*I *24385:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24381:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24384:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24530:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24995:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24385:CLK 0.0002751 +2 *24381:CLK 3.29888e-05 +3 *24384:CLK 0 +4 *24530:CLK 0 +5 *24995:X 0.000450339 +6 *4934:38 0.000566847 +7 *4934:27 0.000391356 +8 *4934:22 0.000781532 +9 *4934:19 0.00174557 +10 *4934:7 0.00154698 +11 *24385:CLK *5458:56 0.000158371 +12 *24385:CLK *5801:92 0.000764529 +13 *4934:19 *5457:13 0.000514406 +14 *4934:19 *5861:138 0.000634809 +15 *4934:19 *5861:143 7.34948e-06 +16 *4934:27 *5861:138 0.000422448 +17 *4934:38 *5801:92 0.000215475 +18 *4934:38 *5861:138 0.000522324 +19 *20726:B2 *4934:19 2.57847e-05 +20 *23842:A1 *4934:19 7.36639e-05 +21 *23842:A2 *4934:19 8.74949e-05 +22 *23857:C1 *4934:22 6.01329e-05 +23 *23858:A2 *4934:19 0.000130812 +24 *24995:A *4934:7 0.0006295 +25 *586:13 *4934:22 0.00105856 +26 *1482:32 *4934:19 0.000423283 +27 *1485:155 *4934:22 0.000308436 +28 *1485:170 *4934:22 0.000465194 +29 *1707:43 *24385:CLK 0.000110567 +30 *2620:76 *4934:19 0.000142187 +31 *3857:70 *4934:22 0.000171142 +32 *4009:103 *4934:19 0.000162663 +33 *4009:115 *4934:19 0.000614717 +34 *4009:115 *4934:27 0.000415432 +35 *4009:115 *4934:38 0.000575273 +36 *4137:69 *24385:CLK 0.000207266 +37 *4585:13 *24381:CLK 2.16355e-05 +38 *4723:8 *4934:19 0.000943674 +39 *4740:10 *4934:22 2.4362e-05 +40 *4751:27 *4934:22 0.00197691 +41 *4798:33 *4934:19 2.57465e-06 +42 *4801:17 *4934:22 2.26635e-05 +43 *4873:6 *24385:CLK 0.00016345 +44 *4873:6 *4934:38 0.000151726 +45 *4873:54 *24385:CLK 0.000595796 +*RES +1 *24995:X *4934:7 21.1278 +2 *4934:7 *4934:19 49.3108 +3 *4934:19 *4934:22 43.0818 +4 *4934:22 *24530:CLK 9.24915 +5 *4934:7 *4934:27 7.64553 +6 *4934:27 *24384:CLK 13.7491 +7 *4934:27 *4934:38 14.3628 +8 *4934:38 *24381:CLK 14.4725 +9 *4934:38 *24385:CLK 29.5954 +*END + +*D_NET *4935 0.0179361 +*CONN +*I *24475:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24425:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24427:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24426:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24424:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24428:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24252:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24251:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24254:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24383:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *24253:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24250:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *24996:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24475:CLK 0.000461291 +2 *24425:CLK 0 +3 *24427:CLK 0.000580687 +4 *24426:CLK 0 +5 *24424:CLK 0.000103078 +6 *24428:CLK 2.40881e-05 +7 *24252:CLK 2.31637e-05 +8 *24251:CLK 2.85849e-05 +9 *24254:CLK 0 +10 *24383:CLK 0.000625264 +11 *24253:CLK 0.00084187 +12 *24250:CLK 0.000301263 +13 *24996:X 4.57669e-05 +14 *4935:102 0.000906342 +15 *4935:93 0.000771788 +16 *4935:90 0.00120397 +17 *4935:79 0.000490355 +18 *4935:45 0.000778478 +19 *4935:43 0.000403381 +20 *4935:26 0.00119584 +21 *4935:24 0.000402322 +22 *4935:15 0.00106377 +23 *4935:7 0.000628153 +24 *24253:CLK *24253:RESET_B 1.71673e-05 +25 *24383:CLK *20793:A1 9.75356e-05 +26 *24383:CLK *21017:B1 0.000137936 +27 *24383:CLK *24383:SET_B 9.04224e-05 +28 *24427:CLK *5921:57 0.000190427 +29 *24475:CLK *24424:RESET_B 0.000301539 +30 *4935:15 *24250:RESET_B 0.00019381 +31 *4935:15 *5856:47 7.95804e-05 +32 *4935:26 *21645:A 1.9101e-05 +33 *4935:43 *21645:A 0.000116689 +34 *4935:90 *20717:A1 0.000131716 +35 *4935:90 *5856:47 2.66701e-05 +36 *4935:93 *24424:RESET_B 9.18889e-05 +37 *4935:102 *5921:51 0.000318457 +38 *4935:102 *5921:57 4.97938e-05 +39 *20713:A *24427:CLK 7.6604e-05 +40 *20714:B1 *4935:15 0 +41 *20714:B2 *4935:79 0 +42 *20714:B2 *4935:90 0 +43 *20717:B1 *4935:90 0 +44 *20717:B2 *4935:90 4.09154e-05 +45 *21017:B2 *24383:CLK 0.000193604 +46 *21017:B2 *4935:45 0.000197488 +47 *21021:B2 *4935:24 2.79326e-05 +48 *23681:B *24253:CLK 3.28898e-06 +49 *24253:D *24253:CLK 2.45466e-05 +50 *24424:D *24424:CLK 3.491e-05 +51 *24424:D *4935:93 0.000247186 +52 *24425:D *24475:CLK 9.89388e-06 +53 *24425:D *4935:90 2.68489e-05 +54 *24996:A *4935:7 2.57986e-05 +55 *24996:A *4935:15 0 +56 *495:8 *4935:15 0 +57 *1593:10 *24475:CLK 3.82228e-05 +58 *1809:103 *24251:CLK 2.16355e-05 +59 *1829:90 *4935:24 0.000116986 +60 *2045:41 *24475:CLK 2.15992e-05 +61 *2046:14 *24475:CLK 0 +62 *2046:14 *4935:90 6.42782e-05 +63 *2046:26 *4935:90 1.04747e-05 +64 *2175:16 *4935:24 8.8567e-05 +65 *2175:16 *4935:26 7.8406e-05 +66 *2175:18 *4935:26 1.90335e-05 +67 *2175:18 *4935:43 0.000185984 +68 *2175:18 *4935:45 0.000182915 +69 *2523:31 *24427:CLK 0.000197554 +70 *2523:31 *4935:102 0.000646939 +71 *2624:27 *24383:CLK 0.000106972 +72 *3857:18 *24475:CLK 0.000145551 +73 *3857:42 *24383:CLK 1.61631e-05 +74 *4034:34 *4935:102 0 +75 *4034:43 *4935:24 5.26602e-05 +76 *4126:79 *4935:15 5.92342e-05 +77 *4126:91 *24251:CLK 1.03403e-05 +78 *4146:26 *24475:CLK 0.00015423 +79 *4374:55 *24428:CLK 6.3657e-05 +80 *4547:19 *24383:CLK 1.87125e-05 +81 *4798:8 *24253:CLK 1.9101e-05 +82 *4798:8 *24383:CLK 4.46284e-06 +83 *4798:8 *4935:24 7.46648e-06 +84 *4798:8 *4935:26 0.000105832 +85 *4798:8 *4935:43 0.000239502 +86 *4798:8 *4935:45 0.000106878 +87 *4838:86 *24475:CLK 0.000218878 +88 *4838:86 *4935:93 0.000322971 +89 *4838:92 *4935:24 0.000233425 +90 *4838:101 *24252:CLK 6.50727e-05 +91 *4838:101 *4935:24 0.000332528 +92 *4874:19 *4935:15 3.31882e-05 +93 *4874:19 *4935:79 0.000120052 +94 *4874:19 *4935:90 0.000175398 +*RES +1 *24996:X *4935:7 14.4725 +2 *4935:7 *4935:15 18.2151 +3 *4935:15 *24250:CLK 14.964 +4 *4935:15 *4935:24 15.0523 +5 *4935:24 *4935:26 4.53113 +6 *4935:26 *24253:CLK 26.0009 +7 *4935:26 *4935:43 9.83171 +8 *4935:43 *4935:45 6.81502 +9 *4935:45 *24383:CLK 29.7995 +10 *4935:45 *24254:CLK 13.7491 +11 *4935:43 *24251:CLK 14.4725 +12 *4935:24 *24252:CLK 14.4725 +13 *4935:7 *4935:79 2.24725 +14 *4935:79 *24428:CLK 14.4725 +15 *4935:79 *4935:90 15.0523 +16 *4935:90 *4935:93 11.8396 +17 *4935:93 *24424:CLK 11.6364 +18 *4935:93 *4935:102 18.3743 +19 *4935:102 *24426:CLK 13.7491 +20 *4935:102 *24427:CLK 29.0576 +21 *4935:90 *24425:CLK 9.24915 +22 *4935:90 *24475:CLK 41.2871 +*END + +*D_NET *4936 0.0110486 +*CONN +*I *24977:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24997:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24977:A 0 +2 *24997:X 0.000507869 +3 *4936:8 0.00180752 +4 *4936:7 0.00231539 +5 *4936:8 *20574:A1 0.000110675 +6 *4936:8 *5674:34 0.000887941 +7 *4936:8 *5861:179 0.000834967 +8 *4936:8 *5869:212 0.000121672 +9 *24610:D *4936:8 0.000117877 +10 *1485:123 *4936:8 1.4789e-05 +11 *1485:140 *4936:8 1.05862e-05 +12 *1485:142 *4936:8 0.00197208 +13 *1730:92 *4936:8 0.00095274 +14 *1730:97 *4936:8 4.69204e-06 +15 *1763:14 *4936:8 0.000540204 +16 *2000:17 *4936:8 0.00027711 +17 *2000:53 *4936:8 4.57855e-05 +18 *2284:28 *4936:7 0.000280055 +19 *2789:12 *4936:8 0.000234703 +20 *4798:56 *4936:8 1.19381e-05 +*RES +1 *24997:X *4936:7 21.6824 +2 *4936:7 *4936:8 71.5944 +3 *4936:8 *24977:A 13.7491 +*END + +*D_NET *4937 0.0102985 +*CONN +*I *24991:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24998:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24991:A 0 +2 *24998:X 0.000472024 +3 *4937:8 0.00304884 +4 *4937:7 0.00352087 +5 *4937:8 *5483:15 0 +6 *4937:8 *5485:20 0 +7 *4937:8 *5804:25 4.73136e-05 +8 *4937:8 *5811:38 3.57386e-05 +9 *4937:8 *5814:18 0 +10 *19658:A *4937:8 0 +11 *21134:A2 *4937:8 5.41227e-05 +12 *21162:A *4937:8 0.000344834 +13 *24168:RESET_B *4937:8 0.000177787 +14 *24199:D *4937:8 0.000293759 +15 *24199:RESET_B *4937:8 0.000172446 +16 *24199:CLK *4937:8 0 +17 *24799:D *4937:8 5.53789e-05 +18 *24799:RESET_B *4937:8 2.69064e-05 +19 *24877:CLK *4937:8 0.000273432 +20 *552:8 *4937:8 0 +21 *1522:46 *4937:8 0 +22 *1522:52 *4937:8 2.33334e-05 +23 *1783:71 *4937:7 0.000697387 +24 *2218:18 *4937:8 0.000327446 +25 *2219:10 *4937:8 2.33193e-05 +26 *2219:27 *4937:8 3.00073e-05 +27 *2955:17 *4937:8 5.50867e-05 +28 *4828:139 *4937:8 0.000117573 +29 *4863:128 *4937:8 0.000500918 +*RES +1 *24998:X *4937:7 21.6824 +2 *4937:7 *4937:8 84.8824 +3 *4937:8 *24991:A 13.7491 +*END + +*D_NET *4938 0.0154437 +*CONN +*I *24970:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *24999:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24970:A 0 +2 *24999:X 0.000945427 +3 *4938:8 0.00216808 +4 *4938:7 0.00311351 +5 *4938:7 *20256:A1 5.42421e-05 +6 *4938:8 *20138:A1 0.000253959 +7 *4938:8 *21798:A 0.000310294 +8 *4938:8 *22038:A 1.14755e-05 +9 *4938:8 *5376:8 3.42931e-05 +10 *4938:8 *5857:168 0 +11 *4938:8 *5857:179 0.00304177 +12 *4938:8 *5859:209 0.0011618 +13 *4938:8 *5864:323 7.10234e-05 +14 *20135:B2 *4938:8 0.000347664 +15 *24740:D *4938:8 1.88656e-05 +16 *24999:A *4938:7 4.78563e-05 +17 *1439:113 *4938:8 2.78588e-05 +18 *1652:34 *4938:8 0.00132245 +19 *1854:30 *4938:8 4.37999e-05 +20 *1855:35 *4938:8 0.00061732 +21 *1855:44 *4938:8 0.00018643 +22 *1855:81 *4938:8 0.000559901 +23 *1901:18 *4938:8 0.000669412 +24 *2300:44 *4938:8 4.40641e-05 +25 *2384:88 *4938:7 1.09823e-05 +26 *2389:49 *4938:7 2.61955e-05 +27 *2441:66 *4938:8 0.000120858 +28 *2814:8 *4938:8 4.40664e-05 +29 *2924:57 *4938:8 0.000149282 +30 *2924:61 *4938:8 2.18732e-05 +31 *4832:82 *4938:8 1.8906e-05 +32 *4832:84 *4938:8 0 +*RES +1 *24999:X *4938:7 26.6738 +2 *4938:7 *4938:8 95.679 +3 *4938:8 *24970:A 13.7491 +*END + +*D_NET *4939 0.0126006 +*CONN +*I *24974:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *25000:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24974:A 0 +2 *25000:X 0.0011296 +3 *4939:12 0.00137677 +4 *4939:10 0.00250637 +5 *4939:10 *6029:75 9.24241e-05 +6 *4939:12 *23936:A0 0 +7 *4939:12 *24174:D 0.000195139 +8 *4939:12 *5793:6 0.000340874 +9 *4939:12 *5871:584 5.30345e-05 +10 *20905:B2 *4939:12 0.000196638 +11 *24173:CLK *4939:10 0.000118485 +12 *1556:16 *4939:12 0 +13 *2103:46 *4939:10 0.000379361 +14 *2132:12 *4939:10 0.000243428 +15 *2132:12 *4939:12 0.00113329 +16 *2333:28 *4939:12 0.00285539 +17 *2333:37 *4939:10 0.00138346 +18 *2333:37 *4939:12 1.05485e-05 +19 *4866:65 *4939:10 0.000585834 +*RES +1 *25000:X *4939:10 47.765 +2 *4939:10 *4939:12 59.9795 +3 *4939:12 *24974:A 13.7491 +*END + +*D_NET *4940 0.00955046 +*CONN +*I *24994:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *25001:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24994:A 0.000310944 +2 *25001:X 0 +3 *4940:6 0.00163066 +4 *4940:5 0.00131972 +5 *4940:6 *22045:A 1.07403e-05 +6 *4940:6 *4993:8 0.000310917 +7 *4940:6 *5856:124 0.000172691 +8 *4940:6 *5866:8 0.000123152 +9 *4940:6 *5866:21 0.000159905 +10 *657:199 *4940:6 2.29201e-05 +11 *1550:64 *4940:6 0.00103251 +12 *1581:21 *24994:A 0.000642099 +13 *1658:68 *4940:6 0.000845247 +14 *1658:73 *4940:6 0.00187953 +15 *1689:101 *4940:6 5.72306e-05 +16 *1729:58 *4940:6 0.000523239 +17 *1947:102 *4940:6 0.000147031 +18 *2684:8 *4940:6 1.33259e-05 +19 *2684:24 *4940:6 0.000227201 +20 *2771:8 *4940:6 9.96342e-05 +21 *3857:59 *24994:A 1.39864e-05 +22 *4933:8 *4940:6 7.77309e-06 +*RES +1 *25001:X *4940:5 13.7491 +2 *4940:5 *4940:6 58.3063 +3 *4940:6 *24994:A 21.6824 +*END + +*D_NET *4941 0.012912 +*CONN +*I *24965:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *25002:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *24965:A 0 +2 *25002:X 0.000562319 +3 *4941:8 0.00173501 +4 *4941:7 0.00229733 +5 *4941:8 *20997:B1 2.02035e-05 +6 *4941:8 *5053:10 0.000140451 +7 *4941:8 *5855:202 0.000977985 +8 *25002:A *4941:7 1.41976e-05 +9 *2287:90 *4941:8 0.00209119 +10 *2467:87 *4941:8 6.7178e-05 +11 *2635:35 *4941:7 4.57457e-06 +12 *3263:24 *4941:8 0.00420895 +13 *4812:80 *4941:7 5.02752e-05 +14 *4819:117 *4941:7 0.000111708 +15 *4827:100 *4941:8 5.95249e-06 +16 *4903:44 *4941:8 0.000107935 +17 *4903:48 *4941:8 0.000516683 +*RES +1 *25002:X *4941:7 21.6824 +2 *4941:7 *4941:8 78.6536 +3 *4941:8 *24965:A 13.7491 +*END + +*D_NET *4942 0.0419048 +*CONN +*I *24909:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *23964:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24909:A 0.00224846 +2 *23964:X 0 +3 *4942:22 0.00484705 +4 *4942:21 0.003627 +5 *4942:16 0.00234523 +6 *4942:15 0.00238739 +7 *4942:7 0.00258575 +8 *4942:4 0.00151518 +9 *4942:7 *23964:A1 1.5966e-05 +10 *4942:7 *23964:S 0.00031834 +11 *4942:7 *5815:21 4.8126e-05 +12 *4942:7 *5921:63 0.00139138 +13 *4942:7 *5921:70 0.00179243 +14 *4942:15 *24387:SET_B 8.47289e-05 +15 *4942:15 *5012:7 3.75221e-05 +16 *4942:15 *5921:72 1.46925e-05 +17 *4942:16 *20393:A1 8.96998e-05 +18 *4942:21 *24613:RESET_B 0.000386764 +19 *4942:22 *20442:A1 8.62625e-06 +20 *19907:C *4942:22 8.5985e-05 +21 *20435:A *4942:22 0.000317142 +22 *20472:B *4942:7 0.000158357 +23 *21759:A1 *4942:22 1.77524e-05 +24 *21759:A2 *24909:A 0.000101221 +25 *21782:B1 *24909:A 4.29279e-06 +26 *21909:A1 *24909:A 0.000428721 +27 *22218:B1 *4942:22 0.000242101 +28 *22231:A1 *4942:22 0.000243561 +29 *22231:A2 *4942:22 0.000194269 +30 *22231:B1 *4942:22 5.16613e-05 +31 *22249:A *4942:22 0.000841383 +32 *22274:A2 *4942:22 1.53148e-05 +33 *22325:B1 *24909:A 0.000415913 +34 *22554:A1 *24909:A 5.01835e-05 +35 *22566:A1 *4942:22 0.000284707 +36 *22597:D *4942:22 3.67773e-05 +37 *22627:C1 *4942:22 0.000422081 +38 *23964:A0 *4942:7 1.88014e-05 +39 *24387:D *4942:15 0.00027085 +40 *24387:CLK *4942:15 1.03403e-05 +41 *24455:D *4942:16 0.000122083 +42 *24457:CLK *4942:15 0.000189558 +43 *24613:CLK *4942:21 2.32766e-05 +44 *24646:D *4942:16 0.000109674 +45 *24647:D *4942:16 0.000185948 +46 *1418:203 *4942:22 0.00101337 +47 *1439:28 *4942:22 3.88358e-05 +48 *1543:40 *4942:22 1.93135e-05 +49 *1585:7 *4942:7 6.08467e-05 +50 *1591:39 *4942:22 3.85185e-05 +51 *1591:48 *4942:22 0.000535755 +52 *1598:68 *24909:A 4.15834e-05 +53 *1609:22 *4942:22 0.000752743 +54 *1609:26 *4942:22 0.000156952 +55 *1647:46 *24909:A 4.46974e-05 +56 *1655:135 *24909:A 0.000104754 +57 *1707:16 *4942:16 0.000905076 +58 *1720:14 *4942:22 8.21849e-06 +59 *1729:23 *4942:22 0.000335299 +60 *1741:40 *4942:22 0.000187421 +61 *1751:145 *24909:A 6.23101e-05 +62 *1770:58 *4942:22 7.34468e-05 +63 *1783:27 *4942:16 0.00053117 +64 *1958:8 *4942:22 2.1297e-05 +65 *2076:9 *4942:16 0.000153225 +66 *2117:143 *24909:A 3.17436e-05 +67 *2564:68 *24909:A 0.000113478 +68 *2566:28 *24909:A 0.000934787 +69 *2602:23 *4942:16 7.14746e-05 +70 *2602:23 *4942:21 2.8182e-06 +71 *2659:17 *24909:A 0.00363484 +72 *2737:29 *4942:22 1.17419e-05 +73 *2783:7 *4942:7 6.08467e-05 +74 *2797:47 *4942:22 9.33697e-05 +75 *2797:50 *4942:22 3.54949e-06 +76 *2837:24 *4942:22 5.87272e-05 +77 *2857:52 *24909:A 0.00102336 +78 *2900:140 *4942:22 1.87469e-05 +79 *3015:28 *24909:A 0.00016445 +80 *3122:173 *24909:A 2.22897e-06 +81 *3507:180 *4942:22 0.000412561 +82 *3511:68 *24909:A 5.60804e-05 +83 *3529:178 *4942:22 1.56236e-05 +84 *3535:14 *4942:22 0.000127799 +85 *3537:164 *4942:22 1.07529e-05 +86 *3541:81 *4942:22 0.000115458 +87 *4840:173 *4942:16 3.65454e-05 +88 *4869:8 *4942:16 0.000100791 +89 *4869:23 *4942:16 1.09738e-05 +90 *4869:42 *4942:21 0.000113968 +91 *4869:101 *4942:16 0.000766792 +92 *4889:183 *4942:16 0.000240149 +*RES +1 *23964:X *4942:4 9.24915 +2 *4942:4 *4942:7 45.1158 +3 *4942:7 *4942:15 24.8274 +4 *4942:15 *4942:16 50.4165 +5 *4942:16 *4942:21 23.5885 +6 *4942:21 *4942:22 83.4291 +7 *4942:22 *24909:A 33.8225 +*END + +*D_NET *4943 0.00266684 +*CONN +*I *19992:A I *D sky130_fd_sc_hd__inv_2 +*I *20237:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24749:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19992:A 0.000175763 +2 *20237:A1 5.81946e-05 +3 *24749:Q 0.000341524 +4 *4943:8 0.000575482 +5 *4943:8 *24749:SET_B 4.93036e-05 +6 *20237:A2 *20237:A1 6.08467e-05 +7 *496:8 *19992:A 6.50586e-05 +8 *1744:38 *4943:8 2.09429e-05 +9 *1933:52 *20237:A1 1.65872e-05 +10 *1934:43 *4943:8 0.000206563 +11 *2420:34 *19992:A 0.000141016 +12 *2420:34 *4943:8 0.00041998 +13 *2426:71 *19992:A 0.000298304 +14 *2528:10 *19992:A 6.4628e-05 +15 *2528:10 *4943:8 0.000172648 +*RES +1 *24749:Q *4943:8 26.8322 +2 *4943:8 *20237:A1 15.0271 +3 *4943:8 *19992:A 19.9081 +*END + +*D_NET *4944 0.00924844 +*CONN +*I *24008:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21891:A I *D sky130_fd_sc_hd__inv_2 +*I *23984:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20243:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24746:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *24008:A1 0.000331987 +2 *21891:A 4.04225e-05 +3 *23984:A1 3.28336e-05 +4 *20243:A1 0.000113515 +5 *24746:Q 0.000746476 +6 *4944:30 0.00047942 +7 *4944:21 0.00126076 +8 *4944:8 0.00198091 +9 *24008:A1 *24012:A1 2.65831e-05 +10 *24008:A1 *4946:36 0.000177819 +11 *4944:21 *20242:A1 0.000173162 +12 *4944:21 *21734:A 0.000264574 +13 *4944:21 *4946:36 0.000681585 +14 *4944:21 *4955:6 3.49968e-05 +15 *4944:21 *4955:23 0.000260885 +16 *4944:21 *5854:372 0 +17 *4944:30 *4946:36 0.000179318 +18 *20242:B2 *4944:21 2.34491e-05 +19 *20243:A2 *20243:A1 3.14978e-05 +20 *23980:S *23984:A1 0.000324166 +21 *24004:S *21891:A 0.000207266 +22 *24004:S *24008:A1 8.91167e-05 +23 *24008:A0 *24008:A1 6.50586e-05 +24 *24012:A0 *24008:A1 1.61631e-05 +25 *24746:D *4944:8 0.000332904 +26 *24746:D *4944:21 2.34804e-05 +27 *24747:D *4944:21 1.89073e-05 +28 *497:52 *24008:A1 1.92336e-05 +29 *497:54 *24008:A1 0.000103123 +30 *515:13 *21891:A 0.000207266 +31 *523:10 *24008:A1 7.6719e-06 +32 *542:11 *23984:A1 0.000324166 +33 *543:37 *24008:A1 1.12605e-05 +34 *545:42 *24008:A1 1.18938e-05 +35 *545:42 *4944:30 3.22726e-05 +36 *1744:42 *24008:A1 0.000118166 +37 *1779:10 *4944:8 0 +38 *1896:18 *4944:21 0 +39 *2270:75 *20243:A1 1.40953e-05 +40 *2420:29 *4944:8 7.50872e-05 +41 *2420:34 *4944:21 0 +42 *2755:14 *4944:21 3.74738e-05 +43 *4843:555 *4944:8 0.000197673 +44 *4845:412 *4944:21 0.000171802 +45 *4915:17 *4944:8 0 +46 *4915:17 *4944:21 0 +*RES +1 *24746:Q *4944:8 28.6368 +2 *4944:8 *20243:A1 16.1364 +3 *4944:8 *4944:21 33.4644 +4 *4944:21 *23984:A1 17.2456 +5 *4944:21 *4944:30 3.493 +6 *4944:30 *21891:A 16.1364 +7 *4944:30 *24008:A1 23.9509 +*END + +*D_NET *4945 0.00602226 +*CONN +*I *24010:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23986:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21734:A I *D sky130_fd_sc_hd__inv_2 +*I *20242:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24747:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *24010:A1 0 +2 *23986:A1 0.000351006 +3 *21734:A 0.000228781 +4 *20242:A1 0.000124811 +5 *24747:Q 2.57149e-05 +6 *4945:31 0.000543655 +7 *4945:13 0.00120236 +8 *4945:5 0.00106713 +9 *20242:A1 *20242:B1 3.21496e-05 +10 *21734:A *20242:B1 9.95747e-05 +11 *21734:A *5854:372 9.35753e-06 +12 *4945:13 *24745:RESET_B 5.44027e-05 +13 *4945:13 *24747:SET_B 0.000112495 +14 *6076:DIODE *23986:A1 2.16355e-05 +15 *20242:B2 *20242:A1 7.26959e-06 +16 *23983:A0 *23986:A1 0.000111722 +17 *24747:D *4945:13 0.00018034 +18 *520:63 *23986:A1 1.65872e-05 +19 *521:11 *23986:A1 3.67528e-06 +20 *548:11 *23986:A1 3.67528e-06 +21 *1744:42 *23986:A1 0 +22 *2270:97 *4945:31 0.000133773 +23 *2273:6 *23986:A1 0.000291192 +24 *2273:6 *4945:31 0.000572187 +25 *2385:14 *4945:31 0.000278937 +26 *4845:415 *4945:13 0.000107496 +27 *4915:57 *4945:13 4.59816e-06 +28 *4944:21 *20242:A1 0.000173162 +29 *4944:21 *21734:A 0.000264574 +*RES +1 *24747:Q *4945:5 9.69524 +2 *4945:5 *4945:13 18.7268 +3 *4945:13 *20242:A1 17.2421 +4 *4945:13 *21734:A 21.3947 +5 *4945:5 *4945:31 19.137 +6 *4945:31 *23986:A1 26.3744 +7 *4945:31 *24010:A1 9.24915 +*END + +*D_NET *4946 0.00818273 +*CONN +*I *24012:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21471:A I *D sky130_fd_sc_hd__inv_2 +*I *23988:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20241:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24748:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *24012:A1 2.80584e-05 +2 *21471:A 0.000501547 +3 *23988:A1 4.51842e-05 +4 *20241:A1 0.000616559 +5 *24748:Q 0 +6 *4946:40 0.000751527 +7 *4946:36 0.000750483 +8 *4946:4 0.00113419 +9 *20241:A1 *20364:B1 3.86572e-05 +10 *24012:A1 *5854:407 5.04829e-06 +11 *4946:36 *20364:B1 5.28261e-05 +12 *4946:36 *4955:27 3.18543e-05 +13 *4946:36 *5854:372 0 +14 *4946:40 *21954:A 0.000213725 +15 *4946:40 *5854:372 5.05252e-05 +16 *4946:40 *5854:407 4.97617e-05 +17 *20239:A *20241:A1 0.000218298 +18 *20241:A2 *20241:A1 2.2277e-05 +19 *21736:A1 *20241:A1 1.5296e-05 +20 *21736:B2 *20241:A1 1.43848e-05 +21 *24008:A1 *24012:A1 2.65831e-05 +22 *24008:A1 *4946:36 0.000177819 +23 *24012:A0 *24012:A1 5.51483e-06 +24 *24749:CLK *21471:A 4.13867e-05 +25 *24978:A *23988:A1 6.08467e-05 +26 *516:26 *21471:A 3.3336e-05 +27 *523:10 *24012:A1 9.75243e-05 +28 *523:10 *4946:40 0.000154145 +29 *543:37 *4946:36 0.000568143 +30 *1502:90 *20241:A1 3.21321e-05 +31 *1934:43 *21471:A 0.000335695 +32 *2528:10 *21471:A 0.000394717 +33 *2559:14 *20241:A1 6.46135e-05 +34 *2667:8 *21471:A 6.67204e-05 +35 *2756:8 *20241:A1 0.000142328 +36 *2907:6 *21471:A 0 +37 *4844:383 *20241:A1 0.00025558 +38 *4844:383 *4946:36 0.00014472 +39 *4915:88 *21471:A 4.18989e-05 +40 *4915:88 *4946:40 0.000137921 +41 *4944:21 *4946:36 0.000681585 +42 *4944:30 *4946:36 0.000179318 +*RES +1 *24748:Q *4946:4 9.24915 +2 *4946:4 *20241:A1 34.3306 +3 *4946:4 *4946:36 29.8279 +4 *4946:36 *4946:40 11.2135 +5 *4946:40 *23988:A1 14.4725 +6 *4946:40 *21471:A 30.3034 +7 *4946:36 *24012:A1 10.5271 +*END + +*D_NET *4947 0.00699979 +*CONN +*I *23966:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23990:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21955:A I *D sky130_fd_sc_hd__inv_2 +*I *20236:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24750:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *23966:A1 0.000290905 +2 *23990:A1 0.000220331 +3 *21955:A 0.000251949 +4 *20236:A1 0 +5 *24750:Q 6.57431e-05 +6 *4947:29 0.000789883 +7 *4947:18 0.00100498 +8 *4947:10 0.000540131 +9 *21955:A *5857:368 0.000107496 +10 *23990:A1 *4948:16 0.000167076 +11 *23990:A1 *5481:38 0.000209232 +12 *4947:10 *24750:SET_B 4.17341e-05 +13 *4947:18 *20235:A1 7.01535e-05 +14 *4947:18 *20235:B1 0.000284083 +15 *4947:29 *20235:A1 1.34911e-05 +16 *4947:29 *4948:16 3.36092e-05 +17 *20235:A2 *4947:18 0.000325998 +18 *21953:A1 *21955:A 0.000111794 +19 *23990:S *23990:A1 0.000107496 +20 *23992:A0 *23990:A1 6.50727e-05 +21 *23992:A0 *4947:29 0 +22 *24750:D *4947:10 3.75221e-05 +23 *24751:D *21955:A 4.0752e-05 +24 *24751:D *4947:18 1.5714e-05 +25 *24751:CLK *21955:A 0.000167076 +26 *24751:CLK *23966:A1 0 +27 *500:95 *23990:A1 0.000238249 +28 *501:14 *23990:A1 0.000186187 +29 *502:45 *23990:A1 0.00015511 +30 *503:13 *21955:A 0.000118166 +31 *503:13 *4947:29 1.75682e-05 +32 *1696:62 *21955:A 0.000701599 +33 *1884:294 *4947:18 1.65872e-05 +34 *1893:76 *4947:18 6.08467e-05 +35 *1893:81 *4947:10 0.000118485 +36 *1893:81 *4947:18 2.95757e-05 +37 *1894:47 *4947:18 0 +38 *1894:51 *4947:10 5.04734e-05 +39 *2434:8 *23966:A1 0.000122421 +40 *2434:8 *4947:18 7.4528e-05 +41 *2434:8 *4947:29 9.1287e-05 +42 *2609:10 *23966:A1 0 +43 *2900:37 *4947:29 5.64867e-05 +*RES +1 *24750:Q *4947:10 20.9425 +2 *4947:10 *20236:A1 9.24915 +3 *4947:10 *4947:18 17.1608 +4 *4947:18 *21955:A 24.4795 +5 *4947:18 *4947:29 6.39977 +6 *4947:29 *23990:A1 23.9008 +7 *4947:29 *23966:A1 20.1489 +*END + +*D_NET *4948 0.00850004 +*CONN +*I *23968:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21859:A I *D sky130_fd_sc_hd__inv_2 +*I *23992:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20235:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24751:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23968:A1 3.87004e-05 +2 *21859:A 0.000745609 +3 *23992:A1 2.08357e-05 +4 *20235:A1 0.000446038 +5 *24751:Q 0.000400173 +6 *4948:16 0.000619764 +7 *4948:11 0.000951922 +8 *4948:10 0.000492296 +9 *20235:A1 *20235:B1 2.77564e-05 +10 *21859:A *5726:31 0.000632716 +11 *20235:B2 *20235:A1 2.1203e-06 +12 *23966:A0 *21859:A 0.000217923 +13 *23966:S *21859:A 6.08467e-05 +14 *23968:S *23968:A1 0.000207266 +15 *23968:S *4948:11 0.000107496 +16 *23990:A1 *4948:16 0.000167076 +17 *23992:A0 *20235:A1 5.13937e-05 +18 *23992:A0 *4948:16 0.000151972 +19 *24751:D *20235:A1 9.58746e-05 +20 *24751:CLK *20235:A1 3.00374e-05 +21 *500:95 *23968:A1 0.000193069 +22 *500:95 *4948:11 0.000188843 +23 *500:95 *4948:16 0.000317721 +24 *502:45 *4948:11 3.31745e-05 +25 *502:45 *4948:16 5.73392e-05 +26 *503:13 *20235:A1 6.22114e-05 +27 *503:13 *4948:10 9.41185e-05 +28 *524:118 *4948:10 0.000111592 +29 *528:26 *21859:A 8.41174e-05 +30 *530:18 *4948:10 5.41227e-05 +31 *533:8 *4948:10 0.00034389 +32 *1696:62 *20235:A1 1.5714e-05 +33 *2398:59 *20235:A1 3.82228e-05 +34 *2420:34 *20235:A1 0 +35 *2434:8 *21859:A 0.00036531 +36 *2875:11 *21859:A 6.50586e-05 +37 *2899:43 *23992:A1 0.000167076 +38 *2900:37 *23992:A1 0.000167076 +39 *2962:33 *4948:10 0.000191007 +40 *4866:12 *21859:A 0.00036531 +41 *4947:18 *20235:A1 7.01535e-05 +42 *4947:29 *20235:A1 1.34911e-05 +43 *4947:29 *4948:16 3.36092e-05 +*RES +1 *24751:Q *4948:10 30.779 +2 *4948:10 *4948:11 2.38721 +3 *4948:11 *4948:16 11.0742 +4 *4948:16 *20235:A1 23.5765 +5 *4948:16 *23992:A1 15.5817 +6 *4948:11 *21859:A 43.3955 +7 *4948:10 *23968:A1 11.6364 +*END + +*D_NET *4949 0.00572336 +*CONN +*I *23994:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23970:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21661:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20234:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24752:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23994:A1 0.000173529 +2 *23970:A1 0 +3 *21661:A 0.000306645 +4 *20234:A1 3.98518e-05 +5 *24752:Q 0 +6 *4949:34 0.00042729 +7 *4949:7 0.00111402 +8 *4949:4 0.00102128 +9 *20234:A1 *5854:438 5.04829e-06 +10 *21661:A *5726:31 0.000113968 +11 *20234:A2 *20234:A1 6.11359e-06 +12 *20234:A2 *4949:7 8.90636e-05 +13 *22197:A *21661:A 4.58003e-05 +14 *23974:S *4949:34 0.000160617 +15 *24752:D *21661:A 7.34948e-06 +16 *24752:D *4949:7 0.000126414 +17 *494:6 *21661:A 1.48503e-05 +18 *497:12 *21661:A 3.59028e-05 +19 *500:95 *20234:A1 0.000107496 +20 *500:95 *21661:A 6.08467e-05 +21 *500:95 *4949:7 2.52287e-06 +22 *501:22 *4949:7 0.000316696 +23 *501:22 *4949:34 2.15184e-05 +24 *502:42 *23994:A1 2.19234e-05 +25 *505:15 *23994:A1 0.000212099 +26 *524:11 *23994:A1 0.000302258 +27 *524:16 *23994:A1 6.92705e-05 +28 *530:18 *21661:A 2.05342e-06 +29 *530:26 *4949:34 7.38072e-05 +30 *545:37 *4949:34 7.38072e-05 +31 *547:38 *21661:A 0.000278945 +32 *3212:79 *23994:A1 0.000477578 +33 *4914:29 *4949:7 1.47978e-05 +*RES +1 *24752:Q *4949:4 9.24915 +2 *4949:4 *4949:7 13.624 +3 *4949:7 *20234:A1 10.5271 +4 *4949:7 *21661:A 26.8138 +5 *4949:4 *4949:34 14.8802 +6 *4949:34 *23970:A1 9.24915 +7 *4949:34 *23994:A1 18.3157 +*END + +*D_NET *4950 0.0112813 +*CONN +*I *21552:A I *D sky130_fd_sc_hd__inv_2 +*I *23996:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23972:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20233:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24753:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21552:A 0 +2 *23996:A1 6.85157e-05 +3 *23972:A1 0 +4 *20233:A1 0.000241069 +5 *24753:Q 0.000114467 +6 *4950:57 0.000663929 +7 *4950:39 0.00129617 +8 *4950:11 0.000748481 +9 *4950:7 0.0011856 +10 *4950:39 *20231:A1 5.30145e-05 +11 *4950:39 *21348:A 0.000139547 +12 *4950:39 *24753:RESET_B 4.52738e-05 +13 *4950:39 *4952:23 0 +14 *4950:57 *23949:A1 0.00147835 +15 *20232:B2 *23996:A1 0.000107496 +16 *20234:B2 *4950:39 1.86178e-05 +17 *20234:B2 *4950:57 9.49244e-05 +18 *21224:A2 *4950:39 2.58814e-05 +19 *23996:A0 *23996:A1 6.08467e-05 +20 *24753:D *20233:A1 6.50727e-05 +21 *476:72 *4950:39 9.70021e-05 +22 *476:72 *4950:57 0.000183169 +23 *503:17 *23996:A1 7.92757e-06 +24 *507:11 *4950:39 9.83242e-05 +25 *524:43 *20233:A1 3.77804e-05 +26 *526:16 *4950:39 4.12977e-05 +27 *1636:20 *4950:39 1.9101e-05 +28 *1744:38 *4950:11 0.000646512 +29 *1894:25 *4950:39 0.000183825 +30 *2273:6 *20233:A1 3.42931e-05 +31 *2437:23 *4950:39 2.0388e-06 +32 *2585:31 *20233:A1 0.000176932 +33 *2645:31 *4950:57 0.00148483 +34 *2900:37 *4950:57 0.000213725 +35 *4829:20 *20233:A1 0.000164829 +36 *4844:356 *4950:57 0.000407438 +37 *4844:360 *4950:39 0.000251024 +38 *4844:372 *20233:A1 6.50727e-05 +39 *4844:372 *4950:11 0.000191526 +40 *4844:372 *4950:39 0.00019218 +41 *4915:123 *4950:11 5.41377e-05 +42 *4915:139 *4950:7 0.000154145 +43 *4915:139 *4950:11 0.000137389 +44 *4915:139 *4950:39 2.95757e-05 +*RES +1 *24753:Q *4950:7 15.5817 +2 *4950:7 *4950:11 15.3716 +3 *4950:11 *20233:A1 25.796 +4 *4950:11 *23972:A1 9.24915 +5 *4950:7 *4950:39 17.8314 +6 *4950:39 *23996:A1 15.5817 +7 *4950:39 *4950:57 42.1716 +8 *4950:57 *21552:A 9.24915 +*END + +*D_NET *4951 0.0069341 +*CONN +*I *23998:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23974:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20232:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21367:A I *D sky130_fd_sc_hd__inv_2 +*I *24754:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23998:A1 2.2917e-05 +2 *23974:A1 0.000105962 +3 *20232:A1 0.00028446 +4 *21367:A 0.00037495 +5 *24754:Q 0 +6 *4951:31 0.000269832 +7 *4951:5 0.000700488 +8 *4951:4 0.000182031 +9 *20232:A1 *24752:RESET_B 2.71397e-05 +10 *20232:A1 *5871:423 3.80024e-05 +11 *21367:A *5854:438 0.000357898 +12 *20232:A2 *20232:A1 0.000115852 +13 *20232:B1 *20232:A1 6.48074e-05 +14 *23974:S *23974:A1 6.08467e-05 +15 *23996:S *20232:A1 1.46416e-05 +16 *500:89 *23974:A1 6.08467e-05 +17 *501:22 *23974:A1 6.74811e-05 +18 *503:17 *20232:A1 0.000563884 +19 *2437:24 *20232:A1 8.96724e-05 +20 *2440:16 *21367:A 0.000346882 +21 *2448:176 *23998:A1 0.000107496 +22 *2448:176 *4951:31 6.50586e-05 +23 *2448:178 *21367:A 0.000119727 +24 *2448:178 *4951:5 6.23875e-05 +25 *2448:178 *4951:31 0.000312009 +26 *2645:31 *21367:A 0.000756924 +27 *2900:47 *21367:A 6.64393e-05 +28 *2900:47 *23998:A1 9.55447e-05 +29 *2900:47 *4951:5 0.000119393 +30 *2900:47 *4951:31 0.000808793 +31 *3212:87 *21367:A 0.000162942 +32 *4843:517 *21367:A 0.000343299 +33 *4875:6 *23974:A1 0.000165495 +*RES +1 *24754:Q *4951:4 9.24915 +2 *4951:4 *4951:5 1.8326 +3 *4951:5 *21367:A 36.6332 +4 *4951:5 *20232:A1 29.0056 +5 *4951:4 *4951:31 9.04245 +6 *4951:31 *23974:A1 22.0503 +7 *4951:31 *23998:A1 10.5271 +*END + +*D_NET *4952 0.00443022 +*CONN +*I *23976:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21348:A I *D sky130_fd_sc_hd__inv_2 +*I *20231:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24000:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24755:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23976:A1 0 +2 *21348:A 0.000207325 +3 *20231:A1 3.46438e-05 +4 *24000:A1 0.000144903 +5 *24755:Q 0.000128599 +6 *4952:23 0.000448345 +7 *4952:19 0.00028199 +8 *4952:8 0.000349117 +9 *6131:DIODE *4952:23 9.39633e-05 +10 *20228:A *21348:A 0.000207266 +11 *524:35 *4952:23 0.000107496 +12 *534:8 *4952:8 6.08467e-05 +13 *1520:39 *4952:23 0.000776313 +14 *1573:59 *24000:A1 0.00020502 +15 *1744:31 *4952:8 0.000197171 +16 *1744:31 *4952:19 0.000131716 +17 *1893:62 *4952:23 0.000164815 +18 *1894:25 *21348:A 4.25468e-05 +19 *2437:23 *20231:A1 5.22654e-06 +20 *2437:23 *4952:23 6.59549e-05 +21 *2446:33 *21348:A 3.31745e-05 +22 *2859:15 *21348:A 0.000300565 +23 *4829:45 *4952:8 2.65667e-05 +24 *4843:525 *4952:8 5.05252e-05 +25 *4843:525 *4952:19 0.00012309 +26 *4845:382 *20231:A1 0 +27 *4878:111 *4952:8 5.04734e-05 +28 *4950:39 *20231:A1 5.30145e-05 +29 *4950:39 *21348:A 0.000139547 +30 *4950:39 *4952:23 0 +*RES +1 *24755:Q *4952:8 18.3808 +2 *4952:8 *24000:A1 16.1364 +3 *4952:8 *4952:19 7.1625 +4 *4952:19 *4952:23 14.2825 +5 *4952:23 *20231:A1 14.7506 +6 *4952:23 *21348:A 20.902 +7 *4952:19 *23976:A1 9.24915 +*END + +*D_NET *4953 0.00520259 +*CONN +*I *24002:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23978:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20230:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21222:A I *D sky130_fd_sc_hd__inv_2 +*I *24756:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24002:A1 9.50669e-05 +2 *23978:A1 0.000151136 +3 *20230:A1 7.59287e-05 +4 *21222:A 0 +5 *24756:Q 0.000411887 +6 *4953:27 0.000309333 +7 *4953:13 0.000370233 +8 *4953:10 0.000769322 +9 *23978:A1 *23979:S 0 +10 *6130:DIODE *24002:A1 0.000220183 +11 *21224:A1 *20230:A1 0.000211492 +12 *21224:A1 *4953:13 1.92172e-05 +13 *23978:S *4953:10 0.000161722 +14 *24002:A0 *24002:A1 4.66492e-05 +15 *24002:S *24002:A1 7.8874e-05 +16 *24002:S *4953:13 0.000505283 +17 *24002:S *4953:27 0.000129801 +18 *24756:D *20230:A1 1.00981e-05 +19 *24756:D *4953:13 5.97411e-05 +20 *497:50 *4953:13 0.000629908 +21 *512:64 *23978:A1 0 +22 *1439:191 *4953:10 0.000100738 +23 *2291:15 *24002:A1 0.000317707 +24 *2378:11 *4953:10 1.98996e-05 +25 *2378:12 *23978:A1 0 +26 *2378:12 *4953:10 4.10598e-05 +27 *2667:20 *20230:A1 2.20556e-05 +28 *2667:20 *23978:A1 4.90621e-05 +29 *2667:20 *24002:A1 1.65872e-05 +30 *2667:20 *4953:13 0.000244754 +31 *2667:20 *4953:27 0.000134849 +*RES +1 *24756:Q *4953:10 25.6566 +2 *4953:10 *4953:13 14.058 +3 *4953:13 *21222:A 9.24915 +4 *4953:13 *20230:A1 12.2151 +5 *4953:10 *4953:27 3.49641 +6 *4953:27 *23978:A1 21.3269 +7 *4953:27 *24002:A1 14.4094 +*END + +*D_NET *4954 0.00825472 +*CONN +*I *23980:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24004:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *19912:A I *D sky130_fd_sc_hd__inv_2 +*I *20245:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24744:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *23980:A1 0 +2 *24004:A1 7.35884e-05 +3 *19912:A 4.72772e-05 +4 *20245:A1 3.85741e-05 +5 *24744:Q 0.000223411 +6 *4954:39 0.000716232 +7 *4954:27 0.00182587 +8 *4954:12 0.00139793 +9 *24004:A1 *5854:372 0.000148144 +10 *4954:12 *21930:A 0 +11 *4954:27 *20241:B1 0.000170607 +12 *4954:27 *21930:A 0.000118245 +13 *19915:A1 *19912:A 6.50586e-05 +14 *20241:B2 *4954:27 0.000161946 +15 *20245:A2 *20245:A1 0.000330596 +16 *20245:B2 *20245:A1 0.000379505 +17 *21377:A1 *4954:12 3.10804e-05 +18 *23980:A0 *4954:39 0.000144695 +19 *24744:CLK *4954:12 0.000268798 +20 *514:106 *24004:A1 3.20069e-06 +21 *516:26 *24004:A1 3.18679e-05 +22 *542:11 *4954:39 0.000275256 +23 *1439:213 *4954:27 0 +24 *1744:53 *4954:27 8.11463e-06 +25 *1896:22 *4954:12 1.09738e-05 +26 *1896:22 *4954:27 7.77309e-06 +27 *1897:8 *4954:27 7.14746e-05 +28 *2270:75 *20245:A1 1.00981e-05 +29 *2276:13 *4954:27 4.52871e-05 +30 *2393:12 *4954:27 0.000173066 +31 *2393:12 *4954:39 0.000704416 +32 *2428:30 *4954:39 0.000128781 +33 *2644:13 *4954:27 1.77537e-06 +34 *2755:14 *4954:27 0.000118166 +35 *2902:12 *4954:12 0.0002646 +36 *2903:8 *4954:27 0 +37 *2944:11 *4954:27 0 +38 *4844:383 *19912:A 1.65872e-05 +39 *4915:62 *4954:27 5.57684e-05 +40 *4915:62 *4954:39 1.05272e-06 +41 *4915:73 *4954:39 0.000184903 +*RES +1 *24744:Q *4954:12 21.0877 +2 *4954:12 *20245:A1 17.8002 +3 *4954:12 *4954:27 35.6829 +4 *4954:27 *19912:A 15.0271 +5 *4954:27 *4954:39 27.1409 +6 *4954:39 *24004:A1 20.9116 +7 *4954:39 *23980:A1 9.24915 +*END + +*D_NET *4955 0.00826405 +*CONN +*I *24006:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21954:A I *D sky130_fd_sc_hd__inv_2 +*I *23982:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20244:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24745:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24006:A1 3.73965e-05 +2 *21954:A 0.00046671 +3 *23982:A1 4.04225e-05 +4 *20244:A1 0.000639022 +5 *24745:Q 0.000102188 +6 *4955:27 0.000682783 +7 *4955:23 0.000546736 +8 *4955:6 0.0010749 +9 *20244:A1 *20242:B1 8.16259e-05 +10 *21954:A *5854:365 0.000769869 +11 *21954:A *5854:372 0.000151071 +12 *21954:A *5854:407 0.000152878 +13 *23982:A1 *5852:9 0.000207266 +14 *4955:27 *5854:372 3.11022e-05 +15 *23983:A0 *24006:A1 0.000271058 +16 *23983:A0 *4955:27 0.000313495 +17 *24745:D *20244:A1 0.000119487 +18 *24747:D *4955:6 5.34989e-05 +19 *514:106 *21954:A 0.000262003 +20 *517:15 *24006:A1 0.000110583 +21 *517:15 *4955:27 5.04829e-06 +22 *543:37 *21954:A 0.000166049 +23 *543:37 *4955:27 2.22198e-05 +24 *544:8 *4955:27 9.60366e-05 +25 *1502:90 *20244:A1 0.000459915 +26 *1669:66 *20244:A1 3.6099e-05 +27 *1779:10 *4955:6 2.33193e-05 +28 *1779:10 *4955:23 0.000230912 +29 *1779:16 *4955:27 7.02281e-05 +30 *1896:16 *20244:A1 0 +31 *2420:34 *4955:23 1.97947e-05 +32 *2560:21 *23982:A1 0.000207266 +33 *2736:16 *20244:A1 7.11193e-06 +34 *2907:6 *21954:A 0.000143032 +35 *4915:45 *4955:6 7.80609e-05 +36 *4915:57 *4955:6 4.33979e-05 +37 *4944:21 *4955:6 3.49968e-05 +38 *4944:21 *4955:23 0.000260885 +39 *4946:36 *4955:27 3.18543e-05 +40 *4946:40 *21954:A 0.000213725 +*RES +1 *24745:Q *4955:6 17.2421 +2 *4955:6 *20244:A1 35.6037 +3 *4955:6 *4955:23 15.8828 +4 *4955:23 *4955:27 11.4894 +5 *4955:27 *23982:A1 16.1364 +6 *4955:27 *21954:A 34.0378 +7 *4955:23 *24006:A1 12.191 +*END + +*D_NET *4956 0.0192769 +*CONN +*I *20924:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19851:A I *D sky130_fd_sc_hd__inv_2 +*I *24321:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20924:A1 4.03572e-05 +2 *19851:A 0.00107226 +3 *24321:Q 0.000777226 +4 *4956:27 0.00212618 +5 *4956:24 0.00213296 +6 *4956:10 0.00189662 +7 *20924:A1 *5861:311 2.2494e-05 +8 *20924:A1 *5861:319 6.08467e-05 +9 *4956:10 *5853:154 8.61131e-05 +10 *4956:24 *5861:311 0.000333195 +11 *4956:27 *21094:A1 5.97862e-05 +12 *4956:27 *5350:11 0.000304871 +13 *4956:27 *5859:115 0.000308744 +14 *21094:A2 *4956:27 0.000238316 +15 *21094:B2 *4956:27 6.08467e-05 +16 *21199:A1 *4956:27 1.37531e-05 +17 *21430:A1 *4956:24 0.000446971 +18 *21430:B2 *4956:24 0.00031123 +19 *22023:A1 *19851:A 3.5534e-06 +20 *22023:A2 *19851:A 2.30286e-05 +21 *22024:C1 *19851:A 2.57847e-05 +22 *22303:A2 *4956:24 5.01835e-05 +23 *22347:A1 *4956:24 0.00035144 +24 *22347:A2 *4956:24 0.000126324 +25 *719:16 *4956:27 7.54269e-06 +26 *1418:129 *4956:10 1.91246e-05 +27 *1551:172 *4956:27 9.54612e-05 +28 *1609:7 *19851:A 2.16355e-05 +29 *1627:148 *4956:27 1.67988e-05 +30 *1631:90 *4956:27 0.000607742 +31 *1725:192 *4956:27 0.00174964 +32 *1800:171 *4956:27 0.000111708 +33 *2209:28 *4956:27 0.000110306 +34 *2250:31 *4956:24 0.000268132 +35 *2293:13 *19851:A 6.08467e-05 +36 *2293:16 *19851:A 0.000125109 +37 *2294:39 *4956:10 0.000158782 +38 *2321:26 *4956:10 0.000135774 +39 *2350:20 *19851:A 0.000332909 +40 *2380:41 *20924:A1 4.31539e-05 +41 *2380:41 *4956:24 0.000293504 +42 *2448:74 *4956:10 2.72058e-05 +43 *2485:15 *4956:24 9.75243e-05 +44 *2490:10 *4956:24 0.00059339 +45 *2542:8 *19851:A 9.83856e-05 +46 *2601:23 *19851:A 8.62625e-06 +47 *2871:8 *19851:A 9.83856e-05 +48 *3134:214 *19851:A 0.000298399 +49 *3134:238 *4956:24 0.000106696 +50 *3146:221 *4956:27 0.00125723 +51 *3146:233 *4956:27 0.00131254 +52 *3305:7 *4956:24 0.000347214 +*RES +1 *24321:Q *4956:10 30.5101 +2 *4956:10 *4956:24 43.8239 +3 *4956:24 *4956:27 46.225 +4 *4956:27 *19851:A 46.5009 +5 *4956:10 *20924:A1 11.1059 +*END + +*D_NET *4957 0.000633898 +*CONN +*I *21763:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20932:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24317:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21763:A 0.00011863 +2 *20932:A1 0 +3 *24317:Q 0.00013898 +4 *4957:5 0.00025761 +5 *21763:A *20932:B1 7.88576e-05 +6 *4957:5 *20932:B1 2.16355e-05 +7 *24317:D *4957:5 1.81843e-05 +*RES +1 *24317:Q *4957:5 11.9137 +2 *4957:5 *20932:A1 9.24915 +3 *4957:5 *21763:A 12.2151 +*END + +*D_NET *4958 0.00299759 +*CONN +*I *20931:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21615:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24318:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20931:A1 0.000294895 +2 *21615:A 0.000216558 +3 *24318:Q 0 +4 *4958:5 0.000511453 +5 *20931:A1 *20931:B1 3.44486e-05 +6 *21615:A *20865:B1 0.000207266 +7 *21615:A *5867:32 4.53855e-05 +8 *21615:A *5867:46 2.93622e-05 +9 *20928:A *20931:A1 0.000343703 +10 *20931:A2 *20931:A1 1.02267e-05 +11 *24318:D *20931:A1 3.58208e-05 +12 *24358:CLK *21615:A 5.63394e-05 +13 *1561:125 *20931:A1 0.000110649 +14 *1561:125 *21615:A 8.37812e-05 +15 *1624:62 *21615:A 6.50586e-05 +16 *2152:5 *20931:A1 0.00012765 +17 *2152:9 *20931:A1 2.16355e-05 +18 *2166:8 *20931:A1 9.99244e-05 +19 *2166:8 *21615:A 3.31882e-05 +20 *3059:11 *20931:A1 0.000670247 +*RES +1 *24318:Q *4958:5 13.7491 +2 *4958:5 *21615:A 20.4599 +3 *4958:5 *20931:A1 25.6176 +*END + +*D_NET *4959 0.00216654 +*CONN +*I *20930:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21486:A I *D sky130_fd_sc_hd__inv_2 +*I *24319:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20930:A1 0.000267959 +2 *21486:A 0.000100389 +3 *24319:Q 0 +4 *4959:5 0.000368348 +5 *20930:A1 *5851:25 3.25394e-05 +6 *21486:A *5867:32 0.00034926 +7 *21014:A *20930:A1 0.000258142 +8 *24318:D *20930:A1 4.49829e-06 +9 *24318:CLK *20930:A1 8.19046e-05 +10 *24319:D *20930:A1 0.000161234 +11 *1624:15 *21486:A 0.000298318 +12 *1624:62 *21486:A 4.88955e-05 +13 *2616:13 *20930:A1 1.07248e-05 +14 *2616:13 *21486:A 4.78118e-05 +15 *3533:95 *20930:A1 8.52968e-05 +16 *3533:95 *21486:A 5.12223e-05 +*RES +1 *24319:Q *4959:5 13.7491 +2 *4959:5 *21486:A 18.8017 +3 *4959:5 *20930:A1 22.0288 +*END + +*D_NET *4960 0.00284144 +*CONN +*I *20923:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21996:A I *D sky130_fd_sc_hd__inv_2 +*I *24322:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20923:A1 0 +2 *21996:A 0.000133923 +3 *24322:Q 0.000654482 +4 *4960:11 0.000788405 +5 *21996:A *4966:9 6.78549e-05 +6 *4960:11 *24322:SET_B 8.01578e-05 +7 *4960:11 *5855:187 2.45592e-06 +8 *4960:11 *5865:289 0.000150275 +9 *4960:11 *5924:42 9.35979e-05 +10 *20923:B2 *21996:A 0.000148413 +11 *24322:D *4960:11 0.000117376 +12 *489:29 *21996:A 0.000177134 +13 *2149:27 *21996:A 0.000116 +14 *4839:123 *21996:A 4.0752e-05 +15 *4839:139 *21996:A 2.65667e-05 +16 *4839:139 *4960:11 0.00014651 +17 *4872:65 *4960:11 9.75356e-05 +*RES +1 *24322:Q *4960:11 31.2335 +2 *4960:11 *21996:A 14.6023 +3 *4960:11 *20923:A1 9.24915 +*END + +*D_NET *4961 0.00261884 +*CONN +*I *20922:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21754:A I *D sky130_fd_sc_hd__inv_2 +*I *24323:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20922:A1 0 +2 *21754:A 0.000217117 +3 *24323:Q 0.000691068 +4 *4961:8 0.000908185 +5 *4961:8 *24323:RESET_B 0.000100397 +6 *4961:8 *5865:391 6.50727e-05 +7 *20922:A2 *21754:A 6.50209e-05 +8 *20922:A2 *4961:8 5.22654e-06 +9 *20922:B2 *21754:A 0 +10 *24323:D *4961:8 3.99885e-05 +11 *24323:CLK *4961:8 0 +12 *1629:30 *4961:8 0.000198543 +13 *2050:9 *4961:8 5.71808e-05 +14 *2384:10 *21754:A 0.000271044 +*RES +1 *24323:Q *4961:8 26.4211 +2 *4961:8 *21754:A 19.7687 +3 *4961:8 *20922:A1 13.7491 +*END + +*D_NET *4962 0.00311706 +*CONN +*I *21609:A I *D sky130_fd_sc_hd__inv_6 +*I *20921:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24324:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21609:A 0.000117126 +2 *20921:A1 0.000832739 +3 *24324:Q 0.000113899 +4 *4962:5 0.00106376 +5 *20921:A1 *20921:B1 7.60899e-05 +6 *20921:A1 *5865:313 7.78924e-05 +7 *20921:A1 *5865:321 1.65872e-05 +8 *4962:5 *5865:321 5.90501e-05 +9 *20921:A2 *20921:A1 2.93774e-05 +10 *24324:D *20921:A1 7.97866e-05 +11 *1444:6 *21609:A 0.000211821 +12 *1444:17 *21609:A 9.43419e-05 +13 *4834:30 *21609:A 0.000297797 +14 *4838:331 *20921:A1 2.972e-05 +15 *4901:94 *20921:A1 2.91306e-06 +16 *4901:102 *20921:A1 1.41539e-05 +*RES +1 *24324:Q *4962:5 11.0817 +2 *4962:5 *20921:A1 30.9268 +3 *4962:5 *21609:A 23.8184 +*END + +*D_NET *4963 0.00279167 +*CONN +*I *20920:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21484:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24325:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20920:A1 0 +2 *21484:A 6.21412e-05 +3 *24325:Q 0.0010209 +4 *4963:7 0.00108305 +5 *21484:A *20733:B1 1.22289e-05 +6 *21484:A *5853:545 0.000119877 +7 *20920:A2 *4963:7 2.61955e-05 +8 *24325:D *4963:7 1.28748e-05 +9 *1634:22 *21484:A 0 +10 *2486:39 *21484:A 0.000174679 +11 *4838:331 *4963:7 0.000279719 +*RES +1 *24325:Q *4963:7 24.6816 +2 *4963:7 *21484:A 21.3269 +3 *4963:7 *20920:A1 9.24915 +*END + +*D_NET *4964 0.00333633 +*CONN +*I *21405:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20919:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24326:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21405:A 0.000241257 +2 *20919:A1 0.000410147 +3 *24326:Q 0.000512246 +4 *4964:5 0.00116365 +5 *21405:A *5924:42 0.000387942 +6 *4964:5 *24326:RESET_B 5.37479e-05 +7 *24326:D *20919:A1 4.11567e-05 +8 *24326:CLK *20919:A1 2.57986e-05 +9 *1614:131 *20919:A1 1.71698e-05 +10 *2287:43 *20919:A1 7.45943e-06 +11 *2287:43 *4964:5 6.02078e-06 +12 *2294:39 *21405:A 0.000110306 +13 *2321:26 *21405:A 0.000107496 +14 *4830:49 *21405:A 0.00014928 +15 *4835:37 *4964:5 9.11862e-05 +16 *4835:49 *21405:A 1.1468e-05 +*RES +1 *24326:Q *4964:5 16.6278 +2 *4964:5 *20919:A1 15.5427 +3 *4964:5 *21405:A 27.1727 +*END + +*D_NET *4965 0.0016407 +*CONN +*I *20918:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21301:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24327:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20918:A1 0 +2 *21301:A 9.83748e-05 +3 *24327:Q 0.000376864 +4 *4965:10 0.000475239 +5 *4965:10 *24327:RESET_B 0.000147046 +6 *20918:B1 *21301:A 7.12965e-05 +7 *20918:B2 *21301:A 6.08467e-05 +8 *20922:A2 *4965:10 0 +9 *24327:D *21301:A 0.000300565 +10 *2149:17 *4965:10 5.93931e-05 +11 *2149:18 *4965:10 3.69482e-05 +12 *2245:11 *21301:A 1.41291e-05 +*RES +1 *24327:Q *4965:10 24.6868 +2 *4965:10 *21301:A 13.3243 +3 *4965:10 *20918:A1 9.24915 +*END + +*D_NET *4966 0.00239557 +*CONN +*I *20917:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21238:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24328:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20917:A1 0 +2 *21238:A 0.000216891 +3 *24328:Q 0.000255782 +4 *4966:9 0.000472673 +5 *20917:B1 *21238:A 6.50727e-05 +6 *21996:A *4966:9 6.78549e-05 +7 *24328:D *21238:A 6.50586e-05 +8 *24328:D *4966:9 0.000154145 +9 *480:183 *21238:A 3.96865e-05 +10 *489:29 *4966:9 0.000546755 +11 *2149:23 *21238:A 0.00011818 +12 *2149:27 *21238:A 3.33861e-05 +13 *2149:27 *4966:9 0.000252552 +14 *2306:21 *4966:9 9.59051e-06 +15 *2486:39 *4966:9 3.77804e-05 +16 *2541:11 *4966:9 6.01588e-05 +*RES +1 *24328:Q *4966:9 28.569 +2 *4966:9 *21238:A 14.9881 +3 *4966:9 *20917:A1 9.24915 +*END + +*D_NET *4967 0.0018787 +*CONN +*I *19802:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20934:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24315:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19802:A 0.000103471 +2 *20934:A1 0.000328141 +3 *24315:Q 2.2151e-05 +4 *4967:5 0.000453763 +5 *19802:A *20794:B1 0.000176042 +6 *19802:A *5866:33 7.39264e-05 +7 *20934:A1 *5856:93 0 +8 *20934:A1 *5861:112 8.03393e-06 +9 *20934:A1 *5861:127 4.31603e-06 +10 *4967:5 *20794:B1 0.000113107 +11 *4967:5 *5866:33 2.07365e-05 +12 *20934:A2 *20934:A1 1.29691e-05 +13 *20934:B2 *20934:A1 4.75207e-05 +14 *1603:25 *19802:A 0.000145056 +15 *2152:24 *19802:A 6.08467e-05 +16 *2152:24 *20934:A1 2.59574e-05 +17 *2152:24 *4967:5 6.08467e-05 +18 *2152:33 *19802:A 9.32983e-05 +19 *2153:8 *20934:A1 0.000120592 +20 *2153:11 *20934:A1 7.92757e-06 +*RES +1 *24315:Q *4967:5 10.5271 +2 *4967:5 *20934:A1 24.4322 +3 *4967:5 *19802:A 13.8789 +*END + +*D_NET *4968 0.00294937 +*CONN +*I *22014:A I *D sky130_fd_sc_hd__inv_2 +*I *20933:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24316:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22014:A 0.000175386 +2 *20933:A1 0.000989708 +3 *24316:Q 0 +4 *4968:4 0.00116509 +5 *20933:A1 *20933:B1 2.13679e-05 +6 *20933:A1 *5858:668 1.30642e-05 +7 *22014:A *5815:30 0.000247246 +8 *22014:A *5858:668 7.98171e-06 +9 *20932:A2 *20933:A1 0.000107496 +10 *20933:B2 *20933:A1 7.4174e-06 +11 *24316:CLK *20933:A1 5.481e-05 +12 *2779:10 *22014:A 3.31733e-05 +13 *2783:16 *22014:A 6.88938e-05 +14 *3531:157 *22014:A 5.77352e-05 +*RES +1 *24316:Q *4968:4 9.24915 +2 *4968:4 *20933:A1 23.91 +3 *4968:4 *22014:A 23.8507 +*END + +*D_NET *4969 0.00289694 +*CONN +*I *19825:A I *D sky130_fd_sc_hd__inv_2 +*I *20903:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24335:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19825:A 0.000199289 +2 *20903:A1 8.84616e-05 +3 *24335:Q 0.000646979 +4 *4969:7 0.000934729 +5 *19825:A *5861:458 7.13972e-05 +6 *20903:A1 *5861:463 5.04829e-06 +7 *20903:B2 *20903:A1 7.03615e-05 +8 *24335:D *19825:A 5.26029e-05 +9 *24335:D *20903:A1 7.50722e-05 +10 *24335:CLK *4969:7 0.000241466 +11 *324:19 *19825:A 0.000223646 +12 *324:19 *20903:A1 0.000125695 +13 *510:51 *19825:A 6.61114e-05 +14 *510:55 *19825:A 4.47496e-05 +15 *510:55 *20903:A1 9.92046e-06 +16 *1583:10 *19825:A 1.43848e-05 +17 *2282:81 *20903:A1 1.9101e-05 +18 *4823:99 *20903:A1 7.92757e-06 +*RES +1 *24335:Q *4969:7 23.0689 +2 *4969:7 *20903:A1 17.2985 +3 *4969:7 *19825:A 20.4599 +*END + +*D_NET *4970 0.0030076 +*CONN +*I *21757:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20911:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24331:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21757:A 0.000201365 +2 *20911:A1 0.000154468 +3 *24331:Q 0.000377529 +4 *4970:8 0.000733363 +5 *20911:A1 *20910:A1 0.000136298 +6 *4970:8 *24331:SET_B 6.11273e-05 +7 *4970:8 *5870:460 0.000229914 +8 *24331:D *20911:A1 0.000113968 +9 *1744:7 *21757:A 2.16355e-05 +10 *2468:130 *21757:A 6.08467e-05 +11 *2967:14 *21757:A 0.000363579 +12 *2967:14 *4970:8 9.49135e-05 +13 *2973:27 *21757:A 0.00036008 +14 *2973:27 *4970:8 9.8511e-05 +*RES +1 *24331:Q *4970:8 21.0187 +2 *4970:8 *20911:A1 17.8002 +3 *4970:8 *21757:A 21.7028 +*END + +*D_NET *4971 0.00566866 +*CONN +*I *21698:A I *D sky130_fd_sc_hd__inv_2 +*I *20910:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24332:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21698:A 0.000624554 +2 *20910:A1 0.000514342 +3 *24332:Q 0.000303627 +4 *4971:7 0.00144252 +5 *20910:A1 *20910:B1 6.46887e-05 +6 *20910:A1 *5857:286 0.000741864 +7 *4971:7 *24332:RESET_B 0.000455496 +8 *20910:B2 *20910:A1 2.53145e-06 +9 *20911:A1 *20910:A1 0.000136298 +10 *20911:B2 *20910:A1 1.00846e-05 +11 *24771:D *21698:A 9.37126e-05 +12 *1741:115 *20910:A1 0.000239605 +13 *1742:60 *21698:A 0.000108947 +14 *2471:14 *21698:A 3.47384e-05 +15 *2650:14 *20910:A1 0.000218055 +16 *2650:14 *21698:A 0.000655953 +17 *2727:7 *21698:A 2.16355e-05 +18 *2967:14 *20910:A1 0 +19 *2967:14 *21698:A 0 +*RES +1 *24332:Q *4971:7 18.9094 +2 *4971:7 *20910:A1 30.4668 +3 *4971:7 *21698:A 31.5379 +*END + +*D_NET *4972 0.00339268 +*CONN +*I *21490:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20909:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24333:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21490:A 0.000155689 +2 *20909:A1 0.000189628 +3 *24333:Q 0.000536769 +4 *4972:8 0.000882085 +5 *20909:A1 *20909:B1 8.41339e-05 +6 *20909:A1 *5860:491 0.000200794 +7 *21490:A *5854:472 0.000304777 +8 *21039:B2 *4972:8 3.82228e-05 +9 *1497:155 *21490:A 6.02377e-05 +10 *1701:54 *4972:8 8.08432e-05 +11 *2581:18 *4972:8 0.000134811 +12 *2928:35 *4972:8 1.6267e-05 +13 *2967:14 *21490:A 0.000138209 +14 *2967:14 *4972:8 0.000301634 +15 *2973:27 *21490:A 0.000129583 +16 *2973:27 *4972:8 0.000139001 +*RES +1 *24333:Q *4972:8 25.3091 +2 *4972:8 *20909:A1 18.3548 +3 *4972:8 *21490:A 19.9081 +*END + +*D_NET *4973 0.00268631 +*CONN +*I *22007:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20902:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24336:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *22007:A 6.485e-05 +2 *20902:A1 0.000298258 +3 *24336:Q 0.000771533 +4 *4973:5 0.00113464 +5 *22007:A *5864:524 0.000140725 +6 *4973:5 *24336:SET_B 5.63639e-06 +7 *20902:A2 *20902:A1 9.2463e-05 +8 *20902:B2 *20902:A1 3.29143e-06 +9 *24336:D *20902:A1 1.4091e-06 +10 *24336:D *4973:5 1.00846e-05 +11 *24336:CLK *20902:A1 4.31703e-05 +12 *24336:CLK *22007:A 6.51527e-05 +13 *24336:CLK *4973:5 1.92172e-05 +14 *2301:30 *22007:A 3.58825e-05 +*RES +1 *24336:Q *4973:5 19.1235 +2 *4973:5 *20902:A1 14.3611 +3 *4973:5 *22007:A 20.9116 +*END + +*D_NET *4974 0.00238667 +*CONN +*I *20901:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21756:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24337:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20901:A1 0 +2 *21756:A 0.000132255 +3 *24337:Q 0.000441875 +4 *4974:9 0.000574129 +5 *4974:9 *5908:56 0.000166533 +6 *20902:A2 *4974:9 0.000347849 +7 *1679:20 *4974:9 0.000166533 +8 *1709:53 *4974:9 0.000144123 +9 *2141:54 *21756:A 4.2372e-05 +10 *2141:54 *4974:9 1.37669e-05 +11 *2900:84 *4974:9 0.000161252 +12 *2924:51 *21756:A 5.46234e-05 +13 *2949:60 *21756:A 0.000141356 +*RES +1 *24337:Q *4974:9 30.924 +2 *4974:9 *21756:A 22.1896 +3 *4974:9 *20901:A1 9.24915 +*END + +*D_NET *4975 0.00283776 +*CONN +*I *20900:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21628:A I *D sky130_fd_sc_hd__inv_6 +*I *24338:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20900:A1 0.00076689 +2 *21628:A 0 +3 *24338:Q 0.000496391 +4 *4975:9 0.00126328 +5 *20900:A1 *21177:A 1.59634e-05 +6 *20900:A1 *4976:5 3.16749e-06 +7 *20900:A1 *5908:55 2.8182e-06 +8 *24338:D *20900:A1 7.50872e-05 +9 *535:25 *20900:A1 9.68902e-05 +10 *2301:30 *20900:A1 9.82479e-06 +11 *4813:17 *20900:A1 4.66032e-05 +12 *4813:17 *4975:9 6.08467e-05 +*RES +1 *24338:Q *4975:9 18.3157 +2 *4975:9 *21628:A 9.24915 +3 *4975:9 *20900:A1 30.1122 +*END + +*D_NET *4976 0.00263085 +*CONN +*I *21489:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20899:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24339:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21489:A 5.56284e-05 +2 *20899:A1 0.000317686 +3 *24339:Q 0.000686633 +4 *4976:5 0.00105995 +5 *20899:A1 *20899:B1 3.75603e-05 +6 *21489:A *5857:168 0.000143032 +7 *20900:A1 *4976:5 3.16749e-06 +8 *24339:D *20899:A1 0.00014067 +9 *24339:D *4976:5 5.04829e-06 +10 *2814:15 *20899:A1 1.80887e-05 +11 *2814:15 *4976:5 1.19726e-05 +12 *2900:69 *4976:5 8.37946e-06 +13 *2949:60 *21489:A 0.000143032 +*RES +1 *24339:Q *4976:5 18.2916 +2 *4976:5 *20899:A1 14.6987 +3 *4976:5 *21489:A 20.9116 +*END + +*D_NET *4977 0.00246459 +*CONN +*I *20898:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21418:A I *D sky130_fd_sc_hd__inv_2 +*I *24340:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20898:A1 4.82181e-05 +2 *21418:A 0.000321689 +3 *24340:Q 0.000641216 +4 *4977:5 0.00101112 +5 *4977:5 *24340:RESET_B 4.59816e-06 +6 *24340:D *21418:A 2.16355e-05 +7 *24340:D *4977:5 5.481e-05 +8 *2478:11 *21418:A 6.50727e-05 +9 *2924:51 *20898:A1 0.000148114 +10 *3257:74 *20898:A1 0.000148114 +*RES +1 *24340:Q *4977:5 17.737 +2 *4977:5 *21418:A 15.0363 +3 *4977:5 *20898:A1 20.9116 +*END + +*D_NET *4978 0.00317246 +*CONN +*I *20897:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21299:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24341:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20897:A1 1.93925e-05 +2 *21299:A 0.000190753 +3 *24341:Q 0.000319904 +4 *4978:11 0.00053005 +5 *21299:A *5862:205 0.000158357 +6 *4978:11 *5861:458 4.20662e-05 +7 *20897:A2 *4978:11 0.000107496 +8 *20898:A2 *4978:11 0.000154145 +9 *482:40 *4978:11 0.000116986 +10 *1557:96 *21299:A 0.000179724 +11 *2142:67 *21299:A 1.00846e-05 +12 *2142:67 *4978:11 4.32984e-05 +13 *2415:26 *21299:A 0.000176313 +14 *2478:11 *4978:11 0.000160617 +15 *4843:385 *21299:A 0.000393863 +16 *4843:385 *4978:11 0.000487161 +17 *4844:244 *20897:A1 1.09551e-05 +18 *4844:244 *21299:A 7.12965e-05 +*RES +1 *24341:Q *4978:11 28.0438 +2 *4978:11 *21299:A 26.3478 +3 *4978:11 *20897:A1 9.82786 +*END + +*D_NET *4979 0.00326676 +*CONN +*I *21243:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20896:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24342:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21243:A 0.000270707 +2 *20896:A1 8.125e-05 +3 *24342:Q 0.000364652 +4 *4979:11 0.000716609 +5 *24342:CLK *4979:11 0.000110297 +6 *510:51 *21243:A 1.4789e-05 +7 *1450:152 *4979:11 0.00017823 +8 *1899:20 *4979:11 0.00017482 +9 *2141:54 *4979:11 0.000154145 +10 *2141:81 *20896:A1 0.000162663 +11 *2141:81 *4979:11 0.000207266 +12 *2310:10 *21243:A 4.80635e-06 +13 *2468:111 *21243:A 0.00020485 +14 *2772:12 *4979:11 4.58529e-05 +15 *3257:80 *21243:A 0.000168288 +16 *4825:112 *21243:A 0.000168288 +17 *4845:303 *20896:A1 1.92336e-05 +18 *4845:303 *21243:A 0.00015917 +19 *4845:315 *20896:A1 6.08467e-05 +*RES +1 *24342:Q *4979:11 28.735 +2 *4979:11 *20896:A1 11.6364 +3 *4979:11 *21243:A 27.8963 +*END + +*D_NET *4980 0.0015972 +*CONN +*I *19829:A I *D sky130_fd_sc_hd__inv_2 +*I *20913:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24329:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19829:A 7.55977e-05 +2 *20913:A1 8.91429e-05 +3 *24329:Q 0.000263799 +4 *4980:7 0.00042854 +5 *4980:7 *24329:RESET_B 5.22859e-05 +6 *19990:C1 *4980:7 3.4123e-05 +7 *1637:16 *19829:A 0.000183533 +8 *1637:16 *20913:A1 0.000187295 +9 *2586:43 *19829:A 0.000176391 +10 *2586:43 *20913:A1 5.92342e-05 +11 *2761:6 *20913:A1 4.72552e-05 +*RES +1 *24329:Q *4980:7 18.9094 +2 *4980:7 *20913:A1 17.2421 +3 *4980:7 *19829:A 17.2421 +*END + +*D_NET *4981 0.00130227 +*CONN +*I *20912:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22049:A I *D sky130_fd_sc_hd__inv_2 +*I *24330:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20912:A1 8.46144e-05 +2 *22049:A 0 +3 *24330:Q 0.000266316 +4 *4981:9 0.000350931 +5 *20912:B2 *20912:A1 0.000109427 +6 *24330:D *20912:A1 2.93863e-05 +7 *543:21 *4981:9 5.481e-05 +8 *2928:31 *4981:9 0.000163713 +9 *2973:23 *4981:9 0.000163713 +10 *3063:13 *20912:A1 6.27718e-05 +11 *3063:13 *4981:9 1.65872e-05 +*RES +1 *24330:Q *4981:9 25.3779 +2 *4981:9 *22049:A 9.24915 +3 *4981:9 *20912:A1 12.2151 +*END + +*D_NET *4982 0.00276178 +*CONN +*I *20884:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19896:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24348:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20884:A1 0.000212041 +2 *19896:A 0.000475898 +3 *24348:Q 8.9663e-05 +4 *4982:7 0.000777602 +5 *19896:A *24348:SET_B 4.52384e-05 +6 *19896:A *5859:28 1.61262e-05 +7 *20884:A1 *5859:28 1.49697e-05 +8 *4982:7 *24348:SET_B 0.000139414 +9 *20884:A2 *20884:A1 0.000164829 +10 *20884:B2 *20884:A1 2.15184e-05 +11 *24348:D *20884:A1 0.000162583 +12 *24348:CLK *19896:A 0.000159499 +13 *24350:D *20884:A1 5.04829e-06 +14 *24350:CLK *19896:A 1.39717e-06 +15 *24350:CLK *20884:A1 1.35725e-05 +16 *1654:10 *19896:A 7.39264e-05 +17 *2347:26 *19896:A 0.000111708 +18 *2566:25 *20884:A1 0.000256037 +19 *4892:86 *19896:A 2.07093e-05 +*RES +1 *24348:Q *4982:7 15.3044 +2 *4982:7 *19896:A 26.4509 +3 *4982:7 *20884:A1 20.7414 +*END + +*D_NET *4983 0.00152329 +*CONN +*I *21755:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20890:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24345:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21755:A 2.34031e-05 +2 *20890:A1 0.000204573 +3 *24345:Q 0 +4 *4983:4 0.000227976 +5 *20890:A1 *5851:36 1.65872e-05 +6 *20890:A1 *5856:124 4.65164e-05 +7 *21755:A *5856:124 3.40301e-05 +8 *20890:A2 *20890:A1 0.000183929 +9 *1625:106 *20890:A1 0.00013082 +10 *1625:106 *21755:A 8.41713e-05 +11 *1729:64 *20890:A1 0.000184931 +12 *2567:7 *20890:A1 0.00020502 +13 *2672:21 *20890:A1 0.000181333 +*RES +1 *24345:Q *4983:4 9.24915 +2 *4983:4 *20890:A1 26.2634 +3 *4983:4 *21755:A 10.2498 +*END + +*D_NET *4984 0.00293391 +*CONN +*I *21594:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20889:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24346:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21594:A 0.000308364 +2 *20889:A1 0.000363154 +3 *24346:Q 1.30387e-05 +4 *4984:5 0.000684557 +5 *20889:A1 *5869:674 0.000111802 +6 *4984:5 *5869:674 6.08467e-05 +7 *20889:A2 *20889:A1 0.000491468 +8 *24346:D *20889:A1 0.000154145 +9 *24552:CLK *21594:A 8.74003e-05 +10 *1569:54 *20889:A1 1.05272e-06 +11 *1690:120 *20889:A1 4.37524e-06 +12 *1690:120 *21594:A 0.000135306 +13 *2531:35 *20889:A1 1.65872e-05 +14 *2593:30 *20889:A1 4.97413e-05 +15 *2838:76 *21594:A 0.000171593 +16 *2840:24 *21594:A 6.36477e-05 +17 *2863:8 *20889:A1 3.39118e-05 +18 *2863:8 *21594:A 2.16855e-05 +19 *4933:134 *20889:A1 0.000114584 +20 *4933:134 *4984:5 4.66492e-05 +*RES +1 *24346:Q *4984:5 9.97254 +2 *4984:5 *20889:A1 28.8743 +3 *4984:5 *21594:A 34.4631 +*END + +*D_NET *4985 0.0158949 +*CONN +*I *21491:A I *D sky130_fd_sc_hd__inv_2 +*I *20888:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24347:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21491:A 0.00112588 +2 *20888:A1 0.00053252 +3 *24347:Q 0 +4 *4985:32 0.00140355 +5 *4985:24 0.0015577 +6 *4985:22 0.00143514 +7 *4985:4 0.000687631 +8 *20888:A1 *20888:B1 1.65872e-05 +9 *20888:A1 *5851:70 1.34578e-05 +10 *21491:A *5219:11 8.86481e-05 +11 *21491:A *5869:611 0.000102647 +12 *4985:24 *5869:680 0.000451796 +13 *20488:A2 *4985:24 5.60364e-06 +14 *20489:B2 *21491:A 4.21849e-05 +15 *20888:A2 *20888:A1 0.000440512 +16 *24549:D *4985:22 0.000107496 +17 *24549:CLK *20888:A1 4.2372e-05 +18 *24582:D *4985:24 0.000135071 +19 *74:36 *21491:A 7.83875e-05 +20 *1467:198 *4985:32 4.35492e-05 +21 *1569:78 *21491:A 1.5047e-05 +22 *1603:35 *20888:A1 0 +23 *1733:20 *21491:A 0.000312715 +24 *1733:26 *21491:A 8.20492e-06 +25 *1764:65 *21491:A 0.000107496 +26 *1973:27 *21491:A 0.000233461 +27 *1973:27 *4985:24 0.000204299 +28 *1973:27 *4985:32 6.744e-05 +29 *1973:29 *21491:A 0.000485924 +30 *1973:33 *21491:A 0.000534951 +31 *1974:18 *21491:A 3.05882e-05 +32 *1974:18 *4985:32 0.000229457 +33 *1974:24 *21491:A 2.54499e-05 +34 *2592:34 *4985:22 1.16596e-05 +35 *2592:34 *4985:24 0.00224978 +36 *2593:24 *20888:A1 0.000385384 +37 *2593:24 *4985:22 0.000253916 +38 *2593:47 *21491:A 0.000804829 +39 *2597:12 *21491:A 4.23858e-05 +40 *2649:21 *4985:24 0.0011386 +41 *2655:38 *4985:32 0.000158451 +42 *2833:73 *4985:24 3.77889e-05 +43 *2844:53 *21491:A 8.29612e-05 +44 *4859:8 *4985:22 4.00504e-05 +45 *4859:8 *4985:24 0.000123354 +*RES +1 *24347:Q *4985:4 9.24915 +2 *4985:4 *20888:A1 31.508 +3 *4985:4 *4985:22 8.96847 +4 *4985:22 *4985:24 46.4716 +5 *4985:24 *4985:32 16.1454 +6 *4985:32 *21491:A 49.4076 +*END + +*D_NET *4986 0.00143172 +*CONN +*I *20883:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22056:A I *D sky130_fd_sc_hd__inv_2 +*I *24349:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20883:A1 0 +2 *22056:A 6.37166e-05 +3 *24349:Q 0.000243831 +4 *4986:11 0.000307547 +5 *4986:11 *20883:B1 6.64392e-05 +6 *4986:11 *21312:A 0.000190999 +7 *4986:11 *5859:20 2.47808e-05 +8 *20883:A2 *4986:11 6.48687e-06 +9 *24349:D *4986:11 7.50872e-05 +10 *2503:9 *22056:A 0.0001373 +11 *2503:9 *4986:11 0.000114594 +12 *3070:7 *22056:A 0.000184975 +13 *3070:7 *4986:11 1.5962e-05 +*RES +1 *24349:Q *4986:11 24.2995 +2 *4986:11 *22056:A 13.2399 +3 *4986:11 *20883:A1 9.24915 +*END + +*D_NET *4987 0.00292117 +*CONN +*I *20882:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21760:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24350:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20882:A1 0.000260646 +2 *21760:A 0.0001169 +3 *24350:Q 0 +4 *4987:5 0.000377547 +5 *20882:A1 *19792:A 2.41483e-05 +6 *21760:A *5867:395 0.000329949 +7 *20634:B1 *20882:A1 4.77678e-05 +8 *20884:A2 *20882:A1 2.41483e-05 +9 *24481:D *20882:A1 5.65463e-05 +10 *24546:CLK *21760:A 0.00021569 +11 *1789:23 *20882:A1 0.000211464 +12 *2077:9 *20882:A1 0.00065071 +13 *2135:88 *20882:A1 0.000111708 +14 *2542:8 *20882:A1 7.11894e-05 +15 *2542:8 *21760:A 0.000242134 +16 *2589:12 *20882:A1 0.000123512 +17 *2589:12 *21760:A 5.71126e-05 +*RES +1 *24350:Q *4987:5 13.7491 +2 *4987:5 *21760:A 20.4599 +3 *4987:5 *20882:A1 25.1093 +*END + +*D_NET *4988 0.00294881 +*CONN +*I *21619:A I *D sky130_fd_sc_hd__inv_6 +*I *20881:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24351:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21619:A 0.000229023 +2 *20881:A1 0.00039758 +3 *24351:Q 0.000367251 +4 *4988:5 0.000993854 +5 *20881:A1 *5855:599 0.000153737 +6 *21619:A *5893:8 3.94229e-05 +7 *4988:5 *24351:RESET_B 5.69771e-05 +8 *20626:A *21619:A 2.15184e-05 +9 *24484:CLK *21619:A 0.000158371 +10 *1595:8 *20881:A1 3.92275e-05 +11 *1595:8 *21619:A 0.000103493 +12 *1595:13 *21619:A 1.65872e-05 +13 *1771:192 *21619:A 0.000144546 +14 *2019:12 *21619:A 2.53624e-06 +15 *2258:11 *21619:A 0.000164815 +16 *2258:12 *21619:A 2.95757e-05 +17 *2487:14 *20881:A1 2.692e-05 +18 *4825:49 *4988:5 3.37007e-06 +*RES +1 *24351:Q *4988:5 14.4094 +2 *4988:5 *20881:A1 26.5194 +3 *4988:5 *21619:A 26.7843 +*END + +*D_NET *4989 0.00210961 +*CONN +*I *21485:A I *D sky130_fd_sc_hd__inv_2 +*I *20880:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24352:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21485:A 0.000143831 +2 *20880:A1 7.02019e-05 +3 *24352:Q 0.000140215 +4 *4989:9 0.000354248 +5 *21485:A *21312:A 2.16355e-05 +6 *21485:A *5918:24 9.98029e-06 +7 *20499:B *21485:A 0.000139435 +8 *20880:A2 *20880:A1 6.08467e-05 +9 *1884:121 *4989:9 4.23874e-05 +10 *1884:129 *20880:A1 1.19721e-05 +11 *1955:72 *20880:A1 0.000281015 +12 *1955:72 *4989:9 0.000225518 +13 *2135:74 *20880:A1 0.000213725 +14 *2135:74 *4989:9 0.000156871 +15 *2136:34 *20880:A1 2.61405e-05 +16 *2589:12 *4989:9 0.000114694 +17 *3045:10 *21485:A 7.52542e-05 +18 *3045:10 *4989:9 0 +19 *4826:25 *21485:A 2.16355e-05 +*RES +1 *24352:Q *4989:9 23.9928 +2 *4989:9 *20880:A1 13.3243 +3 *4989:9 *21485:A 22.4655 +*END + +*D_NET *4990 0.00198946 +*CONN +*I *20879:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21425:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24353:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20879:A1 0.000101345 +2 *21425:A 6.32349e-05 +3 *24353:Q 0.0001737 +4 *4990:8 0.00033828 +5 *20876:A *20879:A1 0.000150646 +6 *20877:B1 *20879:A1 6.08467e-05 +7 *20877:B1 *21425:A 2.95757e-05 +8 *20877:B1 *4990:8 0.000160482 +9 *20877:B2 *20879:A1 6.08467e-05 +10 *20881:B2 *21425:A 5.21782e-05 +11 *24375:CLK *4990:8 6.73186e-05 +12 *2135:26 *20879:A1 3.53119e-05 +13 *2135:30 *20879:A1 6.08467e-05 +14 *2136:8 *20879:A1 0.000260388 +15 *2136:84 *20879:A1 6.08467e-05 +16 *2251:10 *21425:A 0.000148114 +17 *2251:10 *4990:8 0.000165495 +*RES +1 *24353:Q *4990:8 18.6595 +2 *4990:8 *21425:A 16.4116 +3 *4990:8 *20879:A1 18.3548 +*END + +*D_NET *4991 0.00219407 +*CONN +*I *20878:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21300:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24354:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20878:A1 0.000170035 +2 *21300:A 7.51482e-05 +3 *24354:Q 0.000551478 +4 *4991:7 0.000796662 +5 *4991:7 *24354:RESET_B 3.70772e-05 +6 *20878:B2 *20878:A1 1.77537e-06 +7 *20879:B1 *4991:7 0.000118166 +8 *24354:D *20878:A1 0.000169078 +9 *1620:153 *21300:A 0.000116544 +10 *2005:10 *20878:A1 3.55296e-05 +11 *2375:12 *20878:A1 0 +12 *2380:8 *20878:A1 0 +13 *2380:8 *21300:A 0 +14 *4897:65 *4991:7 0.000122572 +*RES +1 *24354:Q *4991:7 22.237 +2 *4991:7 *21300:A 15.9964 +3 *4991:7 *20878:A1 18.4879 +*END + +*D_NET *4992 0.00277163 +*CONN +*I *21239:A I *D sky130_fd_sc_hd__inv_2 +*I *20877:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24355:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21239:A 0 +2 *20877:A1 0.000169254 +3 *24355:Q 0.000672599 +4 *4992:11 0.000841853 +5 *4992:11 *24355:RESET_B 5.37479e-05 +6 *4992:11 *5867:636 9.97706e-05 +7 *24355:CLK *4992:11 0.00014599 +8 *1595:8 *4992:11 0 +9 *2251:10 *20877:A1 0.000151726 +10 *2473:8 *20877:A1 4.47713e-05 +11 *2485:7 *20877:A1 0.000317693 +12 *2485:7 *4992:11 0.000150646 +13 *2487:14 *4992:11 0.000123582 +*RES +1 *24355:Q *4992:11 30.8168 +2 *4992:11 *20877:A1 24.4081 +3 *4992:11 *21239:A 9.24915 +*END + +*D_NET *4993 0.0021251 +*CONN +*I *19839:A I *D sky130_fd_sc_hd__inv_2 +*I *20892:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24343:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19839:A 0.000101441 +2 *20892:A1 0.000314081 +3 *24343:Q 0.000140812 +4 *4993:8 0.000556334 +5 *20892:A1 *20892:B1 3.75603e-05 +6 *20892:A2 *20892:A1 4.88345e-05 +7 *1597:15 *19839:A 0.000254541 +8 *1597:15 *20892:A1 4.60757e-05 +9 *1658:68 *4993:8 0.0003145 +10 *4940:6 *4993:8 0.000310917 +*RES +1 *24343:Q *4993:8 24.2337 +2 *4993:8 *20892:A1 14.8675 +3 *4993:8 *19839:A 12.191 +*END + +*D_NET *4994 0.00290516 +*CONN +*I *22052:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20891:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24344:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22052:A 0 +2 *20891:A1 0.000174296 +3 *24344:Q 0.000268475 +4 *4994:11 0.000442771 +5 *20891:A1 *5854:27 0.000146284 +6 *20891:A1 *5858:73 0.000915116 +7 *4994:11 *24344:RESET_B 0.000216458 +8 *4994:11 *5854:27 6.36477e-05 +9 *4994:11 *5858:73 2.79507e-05 +10 *20891:A2 *20891:A1 0.000544575 +11 *1603:28 *4994:11 0.000105589 +*RES +1 *24344:Q *4994:11 23.607 +2 *4994:11 *20891:A1 19.9795 +3 *4994:11 *22052:A 9.24915 +*END + +*D_NET *4995 0.00217501 +*CONN +*I *20806:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19837:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24373:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20806:A1 0.000204073 +2 *19837:A 0.000588685 +3 *24373:Q 0 +4 *4995:4 0.000792758 +5 *19837:A *24373:SET_B 0.000106869 +6 *19837:A *5893:8 0 +7 *20806:B1 *20806:A1 6.08467e-05 +8 *24373:CLK *19837:A 8.54964e-05 +9 *24373:CLK *20806:A1 2.75043e-05 +10 *24379:D *19837:A 0.000242134 +11 *24379:CLK *19837:A 6.66454e-05 +12 *2487:14 *19837:A 0 +13 *4899:102 *20806:A1 0 +*RES +1 *24373:Q *4995:4 9.24915 +2 *4995:4 *19837:A 31.3322 +3 *4995:4 *20806:A1 12.4924 +*END + +*D_NET *4996 0.00362412 +*CONN +*I *20864:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21762:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24359:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20864:A1 0 +2 *21762:A 0.000298166 +3 *24359:Q 0.000327229 +4 *4996:8 0.000625395 +5 *21762:A *5855:60 0.000183602 +6 *4996:8 *24359:SET_B 5.37479e-05 +7 *4996:8 *5855:60 9.84521e-05 +8 *4996:8 *5867:46 3.03262e-06 +9 *4996:8 *5867:66 5.51483e-06 +10 *20862:A2 *4996:8 8.41713e-05 +11 *20862:B2 *4996:8 1.65872e-05 +12 *2535:13 *21762:A 0.00081805 +13 *2615:13 *21762:A 0.000820851 +14 *3131:125 *21762:A 0.000180199 +15 *3131:125 *4996:8 0.000109126 +*RES +1 *24359:Q *4996:8 19.7701 +2 *4996:8 *21762:A 27.2545 +3 *4996:8 *20864:A1 13.7491 +*END + +*D_NET *4997 0.00385896 +*CONN +*I *20863:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21614:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24360:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20863:A1 0.000363292 +2 *21614:A 8.0143e-05 +3 *24360:Q 0.000388254 +4 *4997:8 0.000831689 +5 *20863:A1 *21551:A 5.47093e-05 +6 *21614:A *24357:RESET_B 2.16355e-05 +7 *4997:8 *24360:RESET_B 1.93033e-05 +8 *4997:8 *5866:59 0.000185205 +9 *20863:A2 *20863:A1 6.08467e-05 +10 *24454:D *4997:8 1.67453e-05 +11 *1547:43 *21614:A 0.000141509 +12 *1547:43 *4997:8 0.000488539 +13 *1624:38 *21614:A 2.65667e-05 +14 *1729:35 *20863:A1 0.000650724 +15 *1729:51 *20863:A1 9.82896e-06 +16 *2553:60 *4997:8 0.000203066 +17 *2889:20 *20863:A1 0.000160617 +18 *3155:139 *4997:8 9.67697e-06 +19 *3168:119 *21614:A 0.000137982 +20 *3168:119 *4997:8 8.62625e-06 +*RES +1 *24360:Q *4997:8 25.3035 +2 *4997:8 *21614:A 17.135 +3 *4997:8 *20863:A1 23.9008 +*END + +*D_NET *4998 0.00190956 +*CONN +*I *20862:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21479:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24361:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20862:A1 0.000354416 +2 *21479:A 6.24678e-05 +3 *24361:Q 0 +4 *4998:4 0.000416884 +5 *20862:A1 *20862:B1 0.000617993 +6 *20862:A1 *5853:48 0.000171508 +7 *20862:A2 *20862:A1 4.50711e-05 +8 *22506:A1 *21479:A 6.27718e-05 +9 *24361:D *20862:A1 6.94062e-06 +10 *3155:127 *20862:A1 0.000171508 +*RES +1 *24361:Q *4998:4 9.24915 +2 *4998:4 *21479:A 10.9612 +3 *4998:4 *20862:A1 30.0318 +*END + +*D_NET *4999 0.00156355 +*CONN +*I *20805:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22008:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24374:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20805:A1 0.000155669 +2 *22008:A 0 +3 *24374:Q 0.000196146 +4 *4999:11 0.000351815 +5 *4999:11 *24374:SET_B 0.000143751 +6 *20805:A2 *20805:A1 0.000317693 +7 *24374:D *20805:A1 0.000171273 +8 *2083:8 *4999:11 3.25751e-05 +9 *2417:21 *20805:A1 7.13998e-05 +10 *2417:21 *4999:11 6.92705e-05 +11 *4830:32 *4999:11 5.39608e-05 +*RES +1 *24374:Q *4999:11 22.7751 +2 *4999:11 *22008:A 9.24915 +3 *4999:11 *20805:A1 14.9881 +*END + +*D_NET *5000 0.00197032 +*CONN +*I *21781:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20804:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24375:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21781:A 0.000152253 +2 *20804:A1 0.000339832 +3 *24375:Q 0.000180616 +4 *5000:5 0.0006727 +5 *20804:A1 *5857:60 4.65531e-05 +6 *20804:A1 *5893:8 8.86331e-05 +7 *21781:A *5867:636 9.74407e-05 +8 *5000:5 *24375:RESET_B 5.79739e-05 +9 *5000:5 *5857:60 2.08638e-05 +10 *5000:5 *5867:622 0.000111708 +11 *1884:110 *20804:A1 6.44964e-06 +12 *3022:6 *20804:A1 8.86331e-05 +13 *4830:36 *21781:A 0.000106661 +14 *4845:171 *21781:A 0 +*RES +1 *24375:Q *5000:5 12.191 +2 *5000:5 *20804:A1 24.1322 +3 *5000:5 *21781:A 22.9879 +*END + +*D_NET *5001 0.00210546 +*CONN +*I *20803:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21595:A I *D sky130_fd_sc_hd__inv_6 +*I *24376:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *20803:A1 0.000431709 +2 *21595:A 6.64922e-05 +3 *24376:Q 0.000119973 +4 *5001:8 0.000618174 +5 *20803:A2 *20803:A1 0.000124534 +6 *24376:D *20803:A1 0.000110458 +7 *1914:10 *21595:A 5.88234e-05 +8 *1914:10 *5001:8 6.65448e-05 +9 *2357:17 *20803:A1 0.000112552 +10 *2545:11 *5001:8 6.27782e-05 +11 *4838:306 *21595:A 0.000142896 +12 *4838:306 *5001:8 0.000155466 +13 *4841:256 *20803:A1 3.5063e-05 +*RES +1 *24376:Q *5001:8 17.5503 +2 *5001:8 *21595:A 16.4116 +3 *5001:8 *20803:A1 23.9249 +*END + +*D_NET *5002 0.00244766 +*CONN +*I *20802:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21488:A I *D sky130_fd_sc_hd__inv_4 +*I *24377:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20802:A1 0 +2 *21488:A 4.5436e-05 +3 *24377:Q 0.00074272 +4 *5002:8 0.000788156 +5 *20802:A2 *21488:A 0.000179778 +6 *20802:A2 *5002:8 1.07248e-05 +7 *24373:CLK *5002:8 5.04829e-06 +8 *24708:CLK *5002:8 0.000261987 +9 *2499:10 *21488:A 0.000174696 +10 *2499:10 *5002:8 0.000141016 +11 *4899:98 *5002:8 2.60597e-05 +12 *4899:102 *5002:8 1.40978e-05 +13 *4899:121 *5002:8 5.79399e-05 +*RES +1 *24377:Q *5002:8 27.1179 +2 *5002:8 *21488:A 16.8269 +3 *5002:8 *20802:A1 13.7491 +*END + +*D_NET *5003 0.00246161 +*CONN +*I *20801:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21426:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24378:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20801:A1 0 +2 *21426:A 8.9061e-05 +3 *24378:Q 0.000385288 +4 *5003:9 0.000474349 +5 *20801:B1 *21426:A 0.000216761 +6 *20801:B2 *21426:A 9.80128e-05 +7 *2082:34 *21426:A 3.99086e-06 +8 *2082:34 *5003:9 1.21461e-06 +9 *2316:8 *5003:9 0.000175674 +10 *2486:11 *21426:A 0.000115168 +11 *2486:11 *5003:9 0.000902091 +12 *4838:306 *5003:9 0 +*RES +1 *24378:Q *5003:9 31.4786 +2 *5003:9 *21426:A 13.9271 +3 *5003:9 *20801:A1 9.24915 +*END + +*D_NET *5004 0.00455289 +*CONN +*I *20800:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21295:A I *D sky130_fd_sc_hd__inv_2 +*I *24379:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20800:A1 0.000410125 +2 *21295:A 0.00020259 +3 *24379:Q 0.000145199 +4 *5004:9 0.000757914 +5 *20800:A1 *5504:18 0.000517234 +6 *20800:A2 *20800:A1 0.000464127 +7 *20800:B2 *20800:A1 0.000121588 +8 *20806:B1 *20800:A1 0.000118485 +9 *2082:87 *5004:9 0.000124209 +10 *2083:66 *20800:A1 0.000116835 +11 *2499:10 *5004:9 3.39353e-05 +12 *2570:19 *21295:A 0.000548856 +13 *2570:19 *5004:9 0.000189558 +14 *4830:32 *20800:A1 3.88832e-05 +15 *4839:343 *20800:A1 0.000763348 +*RES +1 *24379:Q *5004:9 22.8836 +2 *5004:9 *21295:A 15.5186 +3 *5004:9 *20800:A1 37.8383 +*END + +*D_NET *5005 0.0038002 +*CONN +*I *20799:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21256:A I *D sky130_fd_sc_hd__inv_2 +*I *24380:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20799:A1 0.000218616 +2 *21256:A 0.000101727 +3 *24380:Q 0.000501599 +4 *5005:8 0.000821941 +5 *20799:A1 *5857:60 0.000559658 +6 *21256:A *5867:593 0.000156578 +7 *5005:8 *24380:RESET_B 5.37479e-05 +8 *5005:8 *5867:593 0.000160384 +9 *20284:A *20799:A1 0.00041745 +10 *1909:12 *21256:A 0.000101133 +11 *1909:12 *5005:8 0.00015537 +12 *2499:10 *20799:A1 0.000184931 +13 *2570:25 *5005:8 8.9218e-05 +14 *2570:32 *5005:8 7.48633e-05 +15 *4836:43 *20799:A1 0.000183432 +16 *4845:183 *21256:A 1.95554e-05 +*RES +1 *24380:Q *5005:8 23.6509 +2 *5005:8 *21256:A 16.8269 +3 *5005:8 *20799:A1 32.5116 +*END + +*D_NET *5006 0.00259116 +*CONN +*I *20866:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19845:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24357:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20866:A1 0.000382245 +2 *19845:A 0.000121929 +3 *24357:Q 0.000100599 +4 *5006:6 0.000604774 +5 *20866:A1 *5861:97 0.000234938 +6 *24450:CLK *20866:A1 0.000107496 +7 *1547:43 *19845:A 0.000351908 +8 *1547:43 *5006:6 0.000171232 +9 *2889:20 *19845:A 0.000351908 +10 *2889:20 *5006:6 0.000164135 +*RES +1 *24357:Q *5006:6 17.2421 +2 *5006:6 *19845:A 20.1489 +3 *5006:6 *20866:A1 22.237 +*END + +*D_NET *5007 0.00231004 +*CONN +*I *20865:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22044:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24358:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20865:A1 0.00023865 +2 *22044:A 0.000139599 +3 *24358:Q 0 +4 *5007:5 0.000378249 +5 *21559:A1 *20865:A1 1.03403e-05 +6 *24358:D *20865:A1 2.47224e-05 +7 *2537:30 *22044:A 0.000161172 +8 *2592:28 *22044:A 0.000158371 +9 *2614:18 *20865:A1 7.5108e-05 +10 *2614:28 *20865:A1 8.5511e-05 +11 *2614:28 *22044:A 0.000220143 +12 *2616:13 *20865:A1 0.000440512 +13 *3065:27 *20865:A1 0.000161117 +14 *3065:27 *22044:A 0.000216545 +*RES +1 *24358:Q *5007:5 13.7491 +2 *5007:5 *22044:A 19.9053 +3 *5007:5 *20865:A1 21.9871 +*END + +*D_NET *5008 0.00130119 +*CONN +*I *19843:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20787:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24386:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19843:A 0 +2 *20787:A1 0.000296191 +3 *24386:Q 0.000105416 +4 *5008:10 0.000401607 +5 *20787:A1 *5861:35 0.000225262 +6 *20787:A2 *20787:A1 6.08467e-05 +7 *1601:8 *5008:10 1.07248e-05 +8 *1707:16 *5008:10 5.302e-05 +9 *4126:54 *5008:10 1.47567e-06 +10 *4826:18 *5008:10 0.000146645 +*RES +1 *24386:Q *5008:10 21.3577 +2 *5008:10 *20787:A1 16.6519 +3 *5008:10 *19843:A 9.24915 +*END + +*D_NET *5009 0.00338177 +*CONN +*I *21751:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20793:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24383:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21751:A 0.000244807 +2 *20793:A1 0.000594638 +3 *24383:Q 5.57307e-05 +4 *5009:5 0.000895175 +5 *20793:A1 *24383:SET_B 1.91114e-05 +6 *20793:A1 *5868:148 0.000147046 +7 *21751:A *6001:8 0.000112677 +8 *5009:5 *5868:148 7.6446e-05 +9 *20793:A2 *20793:A1 6.08467e-05 +10 *24383:CLK *20793:A1 9.75356e-05 +11 *1521:28 *21751:A 0.000352924 +12 *2624:27 *20793:A1 0.000101133 +13 *4547:19 *21751:A 0.000623703 +*RES +1 *24383:Q *5009:5 10.2498 +2 *5009:5 *20793:A1 29.847 +3 *5009:5 *21751:A 29.6319 +*END + +*D_NET *5010 0.00205297 +*CONN +*I *20792:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21627:A I *D sky130_fd_sc_hd__inv_2 +*I *24384:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20792:A1 0.000175983 +2 *21627:A 0.000196143 +3 *24384:Q 3.24194e-05 +4 *5010:6 0.000404545 +5 *20792:A1 *25376:A 0.000110458 +6 *20792:A1 *5854:719 8.21761e-06 +7 *20792:A1 *5868:100 1.58551e-05 +8 *24382:CLK *21627:A 0.000227341 +9 *24382:CLK *5010:6 0.000100704 +10 *24384:D *20792:A1 0.00015607 +11 *24995:A *21627:A 0.00025233 +12 *2963:64 *21627:A 0.000230803 +13 *2963:64 *5010:6 9.23378e-05 +14 *4138:124 *20792:A1 4.97617e-05 +*RES +1 *24384:Q *5010:6 15.5811 +2 *5010:6 *21627:A 21.0145 +3 *5010:6 *20792:A1 18.3548 +*END + +*D_NET *5011 0.0017611 +*CONN +*I *20791:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21496:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24385:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20791:A1 0.000130389 +2 *21496:A 0.000163026 +3 *24385:Q 4.89067e-05 +4 *5011:6 0.000342322 +5 *20791:A1 *20791:B1 1.58551e-05 +6 *21496:A *5921:49 0.000188549 +7 *5011:6 *5921:49 0.000138436 +8 *24385:D *20791:A1 0.000154145 +9 *657:189 *21496:A 9.70786e-05 +10 *2660:18 *21496:A 0.000184967 +11 *2660:18 *5011:6 0.000145532 +12 *4700:41 *21496:A 9.21475e-05 +13 *4862:11 *20791:A1 5.97411e-05 +*RES +1 *24385:Q *5011:6 16.4116 +2 *5011:6 *21496:A 20.184 +3 *5011:6 *20791:A1 17.2697 +*END + +*D_NET *5012 0.00187522 +*CONN +*I *20786:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22043:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24387:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20786:A1 0.000307721 +2 *22043:A 6.22103e-05 +3 *24387:Q 2.78636e-05 +4 *5012:7 0.000397795 +5 *20786:A1 *5853:15 5.51483e-06 +6 *20786:A2 *20786:A1 0.000353686 +7 *20786:B2 *20786:A1 1.41976e-05 +8 *2076:20 *20786:A1 0.000197707 +9 *2076:20 *22043:A 0.000116986 +10 *2766:6 *22043:A 1.1246e-05 +11 *2950:22 *20786:A1 0.00020485 +12 *2950:22 *22043:A 0.000137921 +13 *4942:15 *5012:7 3.75221e-05 +*RES +1 *24387:Q *5012:7 14.1952 +2 *5012:7 *22043:A 16.4116 +3 *5012:7 *20786:A1 22.8176 +*END + +*D_NET *5013 0.000923465 +*CONN +*I *21750:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20785:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24388:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21750:A 0 +2 *20785:A1 0.000131515 +3 *24388:Q 0.000100177 +4 *5013:9 0.000231692 +5 *5013:9 *5853:15 6.17339e-05 +6 *20785:A2 *20785:A1 0.000102898 +7 *20785:A2 *5013:9 6.50586e-05 +8 *2076:103 *5013:9 8.62625e-06 +9 *2077:67 *20785:A1 1.00981e-05 +10 *2077:67 *5013:9 1.55462e-05 +11 *2950:20 *5013:9 3.55034e-05 +12 *4840:90 *20785:A1 0.000160617 +*RES +1 *24388:Q *5013:9 21.635 +2 *5013:9 *20785:A1 13.3243 +3 *5013:9 *21750:A 9.24915 +*END + +*D_NET *5014 0.00141756 +*CONN +*I *21632:A I *D sky130_fd_sc_hd__inv_4 +*I *20784:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24389:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21632:A 2.06324e-05 +2 *20784:A1 0.000307104 +3 *24389:Q 0.000114235 +4 *5014:11 0.000441971 +5 *20784:A1 *20784:B1 5.481e-05 +6 *5014:11 *24389:RESET_B 6.08467e-05 +7 *2264:15 *5014:11 9.24241e-05 +8 *2433:10 *20784:A1 4.01682e-05 +9 *4816:9 *20784:A1 1.61631e-05 +10 *4816:9 *5014:11 0.000111708 +11 *4897:25 *5014:11 0.000157497 +*RES +1 *24389:Q *5014:11 22.0825 +2 *5014:11 *20784:A1 15.5186 +3 *5014:11 *21632:A 9.82786 +*END + +*D_NET *5015 0.00189875 +*CONN +*I *20783:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21494:A I *D sky130_fd_sc_hd__inv_2 +*I *24390:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20783:A1 0.000300012 +2 *21494:A 7.38938e-05 +3 *24390:Q 0 +4 *5015:5 0.000373905 +5 *20783:A2 *20783:A1 4.31703e-05 +6 *24390:D *20783:A1 0.000158371 +7 *1601:8 *20783:A1 0.000101133 +8 *1601:8 *21494:A 0.000271793 +9 *2076:9 *20783:A1 0.00020634 +10 *3057:7 *20783:A1 7.92757e-06 +11 *4826:18 *20783:A1 9.04083e-05 +12 *4826:18 *21494:A 0.000271793 +*RES +1 *24390:Q *5015:5 13.7491 +2 *5015:5 *21494:A 18.4879 +3 *5015:5 *20783:A1 22.9598 +*END + +*D_NET *5016 0.00241622 +*CONN +*I *20782:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21433:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24391:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20782:A1 0.000430461 +2 *21433:A 0.000156906 +3 *24391:Q 8.88952e-05 +4 *5016:7 0.000676263 +5 *20782:A1 *5867:456 3.21548e-05 +6 *20782:A1 *5891:26 0.000123582 +7 *21433:A *5891:26 0.000461304 +8 *20782:A2 *20782:A1 4.24529e-05 +9 *24351:CLK *5016:7 3.82228e-05 +10 *2053:20 *21433:A 0.000179953 +11 *4897:7 *20782:A1 1.03403e-05 +12 *4897:10 *20782:A1 0.000116454 +13 *4897:10 *21433:A 5.92342e-05 +*RES +1 *24391:Q *5016:7 15.0271 +2 *5016:7 *21433:A 21.8099 +3 *5016:7 *20782:A1 24.5084 +*END + +*D_NET *5017 0.00129219 +*CONN +*I *21296:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20781:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24392:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21296:A 0 +2 *20781:A1 9.10806e-05 +3 *24392:Q 0.000155076 +4 *5017:9 0.000246156 +5 *20780:B2 *5017:9 5.56367e-05 +6 *20781:A2 *20781:A1 7.39264e-05 +7 *20781:A2 *5017:9 0.000163986 +8 *20782:B2 *5017:9 9.25219e-05 +9 *20784:B2 *5017:9 2.36813e-05 +10 *1620:153 *5017:9 2.51446e-05 +11 *2076:70 *5017:9 5.04829e-06 +12 *2077:29 *20781:A1 0.000253916 +13 *2077:29 *5017:9 5.73392e-05 +14 *2077:43 *5017:9 4.0752e-05 +15 *2358:7 *20781:A1 7.92757e-06 +*RES +1 *24392:Q *5017:9 24.4081 +2 *5017:9 *20781:A1 12.7697 +3 *5017:9 *21296:A 9.24915 +*END + +*D_NET *5018 0.00257961 +*CONN +*I *21183:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20780:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24393:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21183:A 5.49145e-05 +2 *20780:A1 0.000931275 +3 *24393:Q 0 +4 *5018:4 0.000986189 +5 *20780:A1 *24393:RESET_B 0.000106869 +6 *20881:B2 *21183:A 6.50727e-05 +7 *24393:D *20780:A1 7.92757e-06 +8 *24393:CLK *20780:A1 0.000213739 +9 *1771:203 *20780:A1 5.74801e-05 +10 *2076:70 *20780:A1 3.31882e-05 +11 *2287:12 *20780:A1 9.96342e-05 +12 *2362:8 *20780:A1 2.33193e-05 +*RES +1 *24393:Q *5018:4 9.24915 +2 *5018:4 *20780:A1 33.0058 +3 *5018:4 *21183:A 10.9612 +*END + +*D_NET *5019 0.00188256 +*CONN +*I *19823:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20795:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24381:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19823:A 6.57151e-05 +2 *20795:A1 0.000293531 +3 *24381:Q 0.000143325 +4 *5019:10 0.000502571 +5 *19823:A *5861:127 0.000158357 +6 *20795:A1 *5861:127 0.000216848 +7 *5019:10 *5868:123 0.000107496 +8 *5019:10 *5921:45 0.000118485 +9 *24381:D *20795:A1 0.000154145 +10 *4862:8 *5019:10 0.000122083 +*RES +1 *24381:Q *5019:10 21.7744 +2 *5019:10 *20795:A1 16.6519 +3 *5019:10 *19823:A 11.0817 +*END + +*D_NET *5020 0.00303055 +*CONN +*I *22045:A I *D sky130_fd_sc_hd__inv_2 +*I *20794:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24382:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22045:A 0.00020915 +2 *20794:A1 0.000559658 +3 *24382:Q 0 +4 *5020:4 0.000768808 +5 *20794:A1 *20794:B1 5.76501e-05 +6 *22045:A *5866:8 0.000123152 +7 *22045:A *5866:21 9.49908e-05 +8 *20794:A2 *20794:A1 2.65831e-05 +9 *24315:CLK *20794:A1 3.31733e-05 +10 *1438:159 *20794:A1 0.000393863 +11 *1550:64 *20794:A1 9.24241e-05 +12 *1947:56 *20794:A1 0.000170592 +13 *1947:70 *20794:A1 0.000178115 +14 *1947:70 *22045:A 4.97617e-05 +15 *2684:8 *22045:A 0.00024316 +16 *4547:35 *20794:A1 1.87269e-05 +17 *4940:6 *22045:A 1.07403e-05 +*RES +1 *24382:Q *5020:4 9.24915 +2 *5020:4 *20794:A1 31.0927 +3 *5020:4 *22045:A 24.4053 +*END + +*D_NET *5021 0.00255485 +*CONN +*I *20737:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19876:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24411:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20737:A1 0.000104314 +2 *19876:A 0.000178149 +3 *24411:Q 0.000584132 +4 *5021:8 0.000866595 +5 *19876:A *24411:SET_B 0.000105636 +6 *20737:A2 *19876:A 0 +7 *20737:A2 *20737:A1 0 +8 *24411:D *20737:A1 4.01573e-05 +9 *24411:D *5021:8 5.56461e-05 +10 *24414:CLK *19876:A 6.3657e-05 +11 *1634:16 *19876:A 0.000160617 +12 *4838:310 *20737:A1 3.67708e-05 +13 *4838:321 *19876:A 0.000141533 +14 *4838:321 *20737:A1 0.000214058 +15 *4898:20 *5021:8 3.58951e-06 +*RES +1 *24411:Q *5021:8 22.1379 +2 *5021:8 *19876:A 19.9081 +3 *5021:8 *20737:A1 18.0727 +*END + +*D_NET *5022 0.00326317 +*CONN +*I *20754:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21780:A I *D sky130_fd_sc_hd__inv_2 +*I *24400:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20754:A1 0.000136392 +2 *21780:A 0.000232111 +3 *24400:Q 0.000313438 +4 *5022:8 0.000681941 +5 *20754:A1 *5868:369 2.15184e-05 +6 *21780:A *21495:A 6.08467e-05 +7 *21780:A *5856:16 2.7961e-05 +8 *5022:8 *5856:16 4.58259e-05 +9 *20754:A2 *20754:A1 0.000353686 +10 *20754:B1 *20754:A1 0.000756967 +11 *1619:26 *21780:A 0.000110567 +12 *4841:40 *21780:A 3.12044e-05 +13 *4841:40 *5022:8 4.40506e-05 +14 *4842:36 *20754:A1 0.000146404 +15 *4884:35 *5022:8 3.34121e-05 +16 *4884:138 *5022:8 0.000266846 +*RES +1 *24400:Q *5022:8 20.6006 +2 *5022:8 *21780:A 20.0715 +3 *5022:8 *20754:A1 22.237 +*END + +*D_NET *5023 0.00269607 +*CONN +*I *21612:A I *D sky130_fd_sc_hd__inv_2 +*I *20753:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24401:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21612:A 0.000107538 +2 *20753:A1 0.000564207 +3 *24401:Q 0.000335459 +4 *5023:5 0.0010072 +5 *20753:A1 *20755:A1 4.43826e-05 +6 *20753:A1 *24401:RESET_B 0.000207609 +7 *20495:A2 *5023:5 0.000107496 +8 *20753:B2 *20753:A1 1.03434e-05 +9 *24401:D *20753:A1 2.52287e-06 +10 *24401:CLK *20753:A1 7.04908e-05 +11 *24401:CLK *5023:5 7.41247e-05 +12 *1585:8 *21612:A 1.78514e-05 +13 *1724:8 *21612:A 7.14746e-05 +14 *1724:18 *21612:A 2.65667e-05 +15 *1977:8 *21612:A 2.41274e-06 +16 *2660:10 *21612:A 1.84293e-05 +17 *4106:62 *21612:A 2.7961e-05 +*RES +1 *24401:Q *5023:5 14.4094 +2 *5023:5 *20753:A1 18.3157 +3 *5023:5 *21612:A 21.7744 +*END + +*D_NET *5024 0.00215337 +*CONN +*I *21495:A I *D sky130_fd_sc_hd__inv_2 +*I *20752:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24402:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21495:A 5.29086e-05 +2 *20752:A1 0.00042648 +3 *24402:Q 0 +4 *5024:5 0.000479388 +5 *20752:A1 *5856:16 0.000213131 +6 *21495:A *5856:16 8.01687e-05 +7 *20480:B2 *20752:A1 6.50727e-05 +8 *20752:A2 *20752:A1 0.000158357 +9 *21780:A *21495:A 6.08467e-05 +10 *24402:D *20752:A1 0.000111708 +11 *1619:26 *21495:A 6.08467e-05 +12 *4126:54 *20752:A1 0.000324151 +13 *4841:40 *21495:A 0 +14 *4841:44 *20752:A1 0 +15 *4843:63 *20752:A1 0.000120314 +*RES +1 *24402:Q *5024:5 13.7491 +2 *5024:5 *20752:A1 27.7402 +3 *5024:5 *21495:A 15.8893 +*END + +*D_NET *5025 0.00249359 +*CONN +*I *21997:A I *D sky130_fd_sc_hd__inv_2 +*I *20736:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24412:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21997:A 0.000178057 +2 *20736:A1 0.000101662 +3 *24412:Q 0.000480071 +4 *5025:10 0.00075979 +5 *20736:A1 *5855:619 1.00937e-05 +6 *21997:A *5855:619 1.01044e-05 +7 *5025:10 *24411:SET_B 9.70559e-05 +8 *20736:B2 *20736:A1 3.01683e-06 +9 *24412:D *20736:A1 0.000171273 +10 *24959:A *20736:A1 4.84406e-05 +11 *490:28 *21997:A 0.000238537 +12 *1912:20 *21997:A 0.000238537 +13 *1912:20 *5025:10 9.75356e-05 +14 *4814:9 *21997:A 2.16355e-05 +15 *4845:183 *5025:10 3.77804e-05 +*RES +1 *24412:Q *5025:10 26.0733 +2 *5025:10 *20736:A1 12.7697 +3 *5025:10 *21997:A 24.574 +*END + +*D_NET *5026 0.00221907 +*CONN +*I *21748:A I *D sky130_fd_sc_hd__inv_2 +*I *20735:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24413:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21748:A 0 +2 *20735:A1 0.000124445 +3 *24413:Q 0.000480073 +4 *5026:9 0.000604518 +5 *20735:A1 *21497:A 0.000190851 +6 *20735:A1 *5857:69 0.000148144 +7 *5026:9 *5865:409 5.19205e-05 +8 *19600:A *5026:9 0.000127194 +9 *2319:9 *20735:A1 9.0283e-05 +10 *2319:9 *5026:9 0.000393867 +11 *2486:32 *20735:A1 7.77309e-06 +*RES +1 *24413:Q *5026:9 31.7573 +2 *5026:9 *20735:A1 23.2989 +3 *5026:9 *21748:A 9.24915 +*END + +*D_NET *5027 0.00153151 +*CONN +*I *21600:A I *D sky130_fd_sc_hd__inv_6 +*I *20734:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24414:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21600:A 0 +2 *20734:A1 0.00050837 +3 *24414:Q 0.000100189 +4 *5027:10 0.000608559 +5 *5027:10 *24414:RESET_B 6.08467e-05 +6 *20734:A2 *20734:A1 2.19549e-05 +7 *1924:8 *5027:10 0.000123582 +8 *2486:24 *20734:A1 6.78596e-05 +9 *4817:7 *20734:A1 4.30017e-06 +10 *4834:26 *5027:10 3.58457e-05 +*RES +1 *24414:Q *5027:10 21.2198 +2 *5027:10 *20734:A1 20.7029 +3 *5027:10 *21600:A 9.24915 +*END + +*D_NET *5028 0.00303787 +*CONN +*I *20733:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21497:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24415:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20733:A1 2.26875e-05 +2 *21497:A 0.000214934 +3 *24415:Q 0.000813692 +4 *5028:11 0.00105131 +5 *5028:11 *5865:418 7.86825e-06 +6 *5028:11 *5881:16 0.000156938 +7 *19602:S *5028:11 7.86825e-06 +8 *20735:A1 *21497:A 0.000190851 +9 *1634:16 *20733:A1 3.6325e-05 +10 *1634:16 *21497:A 0.000150974 +11 *1658:155 *5028:11 7.40684e-06 +12 *1771:182 *5028:11 1.15838e-05 +13 *2051:8 *21497:A 4.3116e-06 +14 *2051:19 *21497:A 4.3116e-06 +15 *2319:9 *21497:A 7.92757e-06 +16 *2486:32 *20733:A1 3.63738e-05 +17 *2486:32 *21497:A 0.000312507 +*RES +1 *24415:Q *5028:11 22.6745 +2 *5028:11 *21497:A 21.3828 +3 *5028:11 *20733:A1 14.543 +*END + +*D_NET *5029 0.00217524 +*CONN +*I *20732:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21400:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24416:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20732:A1 0.000170654 +2 *21400:A 5.09172e-05 +3 *24416:Q 0.000464573 +4 *5029:7 0.000686144 +5 *5029:7 *24416:RESET_B 0.000154144 +6 *20732:B1 *20732:A1 5.26705e-05 +7 *24416:CLK *5029:7 8.49846e-05 +8 *1912:20 *20732:A1 1.77537e-06 +9 *2052:20 *20732:A1 0 +10 *2797:19 *20732:A1 7.36589e-05 +11 *2797:19 *21400:A 0.00011906 +12 *4843:235 *20732:A1 0.000201208 +13 *4843:235 *21400:A 0.000115448 +*RES +1 *24416:Q *5029:7 20.5732 +2 *5029:7 *21400:A 15.9964 +3 *5029:7 *20732:A1 18.7049 +*END + +*D_NET *5030 0.00198503 +*CONN +*I *21298:A I *D sky130_fd_sc_hd__inv_2 +*I *20731:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24417:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21298:A 2.18332e-05 +2 *20731:A1 3.89643e-05 +3 *24417:Q 0.000172137 +4 *5030:9 0.000232934 +5 *20731:A1 *5714:35 5.99529e-06 +6 *5030:9 *5714:35 4.79321e-06 +7 *5030:9 *5921:99 9.60366e-05 +8 *20731:A2 *20731:A1 6.3657e-05 +9 *20731:A2 *21298:A 0 +10 *20731:B1 *20731:A1 0.000213676 +11 *20731:B1 *21298:A 0 +12 *20731:B1 *5030:9 0.000710356 +13 *20731:B2 *21298:A 0 +14 *24417:D *20731:A1 4.58907e-05 +15 *2360:13 *5030:9 0.000282723 +16 *4901:69 *5030:9 9.60366e-05 +*RES +1 *24417:Q *5030:9 28.0144 +2 *5030:9 *20731:A1 11.6364 +3 *5030:9 *21298:A 9.82786 +*END + +*D_NET *5031 0.00243628 +*CONN +*I *20730:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21255:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24418:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20730:A1 4.21e-05 +2 *21255:A 0.000164348 +3 *24418:Q 0.000367287 +4 *5031:8 0.000573736 +5 *20730:A1 *5714:26 1.21461e-06 +6 *20730:A1 *5714:35 5.20546e-06 +7 *21255:A *5714:26 1.9101e-05 +8 *5031:8 *24418:RESET_B 6.36275e-05 +9 *20730:A2 *21255:A 3.93017e-05 +10 *20730:A2 *5031:8 0.0001453 +11 *1771:192 *5031:8 9.43004e-05 +12 *1912:20 *21255:A 0.000230829 +13 *1912:20 *5031:8 0.000150329 +14 *2052:13 *20730:A1 0.000107496 +15 *2320:10 *21255:A 0.000175485 +16 *2499:23 *21255:A 0.000220183 +17 *4816:22 *21255:A 1.22896e-05 +18 *4824:101 *21255:A 2.41483e-05 +19 *4845:205 *21255:A 0 +*RES +1 *24418:Q *5031:8 23.6509 +2 *5031:8 *21255:A 20.4599 +3 *5031:8 *20730:A1 15.0271 +*END + +*D_NET *5032 0.00153112 +*CONN +*I *19827:A I *D sky130_fd_sc_hd__inv_2 +*I *20756:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24398:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19827:A 0.000106318 +2 *20756:A1 0.000136043 +3 *24398:Q 8.90123e-05 +4 *5032:8 0.000331374 +5 *19827:A *5921:70 0.000381471 +6 *20756:A1 *5921:70 5.71185e-05 +7 *24398:D *20756:A1 6.92705e-05 +8 *2057:31 *20756:A1 0.000213725 +9 *2057:31 *5032:8 5.04879e-05 +10 *4838:47 *20756:A1 6.50727e-05 +11 *4841:44 *5032:8 3.12316e-05 +*RES +1 *24398:Q *5032:8 20.4964 +2 *5032:8 *20756:A1 13.8789 +3 *5032:8 *19827:A 13.3002 +*END + +*D_NET *5033 0.00203761 +*CONN +*I *22051:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20755:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24399:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22051:A 0.000297389 +2 *20755:A1 0.00027725 +3 *24399:Q 0 +4 *5033:4 0.00057464 +5 *22051:A *24401:RESET_B 0.000169078 +6 *20753:A1 *20755:A1 4.43826e-05 +7 *20753:B2 *20755:A1 1.19856e-05 +8 *20755:A2 *20755:A1 0.000107496 +9 *20755:B1 *20755:A1 3.75603e-05 +10 *20755:B2 *20755:A1 7.92757e-06 +11 *24401:D *20755:A1 2.65667e-05 +12 *657:212 *20755:A1 5.54078e-05 +13 *657:212 *22051:A 0 +14 *2056:8 *22051:A 0 +15 *3860:30 *22051:A 3.97254e-05 +16 *4839:194 *20755:A1 0.000168019 +17 *4839:194 *22051:A 0.000220183 +*RES +1 *24399:Q *5033:4 9.24915 +2 *5033:4 *20755:A1 27.3445 +3 *5033:4 *22051:A 26.2056 +*END + +*D_NET *5034 0.00228553 +*CONN +*I *19859:A I *D sky130_fd_sc_hd__inv_2 +*I *20699:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24437:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19859:A 0 +2 *20699:A1 0.000148076 +3 *24437:Q 0.000578573 +4 *5034:17 0.000213019 +5 *5034:14 0.000128967 +6 *5034:13 0.000642596 +7 *5034:13 *20696:B1 1.0714e-05 +8 *5034:13 *24437:SET_B 4.28856e-07 +9 *20694:B1 *5034:17 6.08467e-05 +10 *20696:A2 *5034:13 4.65164e-05 +11 *20699:A2 *20699:A1 6.08467e-05 +12 *20699:B2 *20699:A1 2.92975e-05 +13 *20699:B2 *5034:13 6.17618e-05 +14 *20699:B2 *5034:17 5.90389e-05 +15 *2039:7 *20699:A1 0.000107496 +16 *2040:86 *20699:A1 0 +17 *2486:83 *5034:13 6.51637e-05 +18 *4816:80 *5034:13 7.21868e-05 +*RES +1 *24437:Q *5034:13 36.1852 +2 *5034:13 *5034:14 81.1229 +3 *5034:14 *5034:17 11.0817 +4 *5034:17 *20699:A1 12.7697 +5 *5034:17 *19859:A 9.24915 +*END + +*D_NET *5035 0.00106788 +*CONN +*I *21749:A I *D sky130_fd_sc_hd__inv_2 +*I *20716:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24426:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21749:A 0.000111868 +2 *20716:A1 0 +3 *24426:Q 0.000180374 +4 *5035:10 0.000292241 +5 *21749:A *5856:33 3.98307e-05 +6 *21749:A *5856:39 2.29454e-05 +7 *5035:10 *24426:SET_B 8.9116e-05 +8 *20716:A2 *21749:A 0.000212741 +9 *24426:D *21749:A 7.16893e-05 +10 *2046:10 *5035:10 4.34007e-05 +11 *2046:14 *5035:10 3.67528e-06 +*RES +1 *24426:Q *5035:10 21.9123 +2 *5035:10 *20716:A1 9.24915 +3 *5035:10 *21749:A 13.903 +*END + +*D_NET *5036 0.00165836 +*CONN +*I *21601:A I *D sky130_fd_sc_hd__inv_2 +*I *20715:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24427:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21601:A 8.10409e-05 +2 *20715:A1 0.000335806 +3 *24427:Q 3.4293e-05 +4 *5036:8 0.00045114 +5 *1809:153 *5036:8 0.000101148 +6 *4003:41 *20715:A1 0.000247443 +7 *4700:30 *5036:8 0.000101148 +8 *4841:57 *20715:A1 0.000273161 +9 *4841:57 *21601:A 3.31745e-05 +*RES +1 *24427:Q *5036:8 20.0811 +2 *5036:8 *20715:A1 17.737 +3 *5036:8 *21601:A 11.1059 +*END + +*D_NET *5037 0.00165773 +*CONN +*I *21478:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20714:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24428:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21478:A 0.00017334 +2 *20714:A1 0.00034928 +3 *24428:Q 6.56212e-05 +4 *5037:8 0.000588241 +5 *20714:A2 *20714:A1 6.08606e-05 +6 *20714:B2 *20714:A1 9.51479e-06 +7 *1619:51 *5037:8 0.000115772 +8 *2044:15 *20714:A1 0.000161518 +9 *2535:7 *21478:A 1.43983e-05 +10 *4700:30 *5037:8 0.000119182 +*RES +1 *24428:Q *5037:8 20.4964 +2 *5037:8 *20714:A1 16.8689 +3 *5037:8 *21478:A 12.7456 +*END + +*D_NET *5038 0.00259542 +*CONN +*I *22012:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20698:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24438:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *22012:A 6.84683e-05 +2 *20698:A1 0.000174506 +3 *24438:Q 0.000859575 +4 *5038:5 0.00110255 +5 *22012:A *5528:12 0.00013415 +6 *5038:5 *24438:SET_B 6.06525e-06 +7 *20692:A2 *20698:A1 9.19886e-06 +8 *20698:B2 *20698:A1 0 +9 *24438:D *20698:A1 6.08467e-05 +10 *24438:CLK *20698:A1 3.4573e-05 +11 *24438:CLK *5038:5 7.92757e-06 +12 *3257:51 *22012:A 0.000137561 +*RES +1 *24438:Q *5038:5 20.2327 +2 *5038:5 *20698:A1 13.7824 +3 *5038:5 *22012:A 20.9116 +*END + +*D_NET *5039 0.00267104 +*CONN +*I *21778:A I *D sky130_fd_sc_hd__inv_2 +*I *20697:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24439:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21778:A 0.000296348 +2 *20697:A1 5.1513e-05 +3 *24439:Q 0.000476395 +4 *5039:7 0.000824256 +5 *20697:A1 *5857:467 0.000134152 +6 *21778:A *5864:690 0.000200794 +7 *24439:D *21778:A 5.97576e-05 +8 *24439:D *5039:7 5.481e-05 +9 *24439:CLK *21778:A 6.11872e-05 +10 *2039:31 *20697:A1 4.31485e-06 +11 *2039:31 *21778:A 5.32607e-05 +12 *2433:85 *5039:7 0.000210067 +13 *3257:44 *20697:A1 0.000148129 +14 *3257:44 *21778:A 9.60589e-05 +*RES +1 *24439:Q *5039:7 20.5732 +2 *5039:7 *20697:A1 16.4116 +3 *5039:7 *21778:A 22.2239 +*END + +*D_NET *5040 0.0026487 +*CONN +*I *21626:A I *D sky130_fd_sc_hd__clkinv_8 +*I *20696:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24440:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21626:A 0 +2 *20696:A1 0.000474966 +3 *24440:Q 0.000279179 +4 *5040:13 0.000754145 +5 *20696:A1 *21572:A 2.12472e-05 +6 *20696:A1 *5493:43 6.08467e-05 +7 *20696:A1 *5493:51 8.45896e-06 +8 *5040:13 *21572:A 0 +9 *5040:13 *5493:43 6.08467e-05 +10 *20696:A2 *20696:A1 2.16355e-05 +11 *24440:D *20696:A1 7.98171e-06 +12 *2266:36 *5040:13 0.000229208 +13 *2287:62 *20696:A1 0.000492022 +14 *2287:74 *20696:A1 3.92654e-05 +15 *2287:74 *5040:13 1.65872e-05 +16 *2367:49 *20696:A1 4.43198e-05 +17 *2367:49 *5040:13 0 +18 *2467:49 *20696:A1 2.09155e-05 +19 *2687:30 *20696:A1 5.49916e-05 +20 *2687:30 *5040:13 5.49916e-05 +21 *2729:54 *5040:13 7.09666e-06 +*RES +1 *24440:Q *5040:13 26.2761 +2 *5040:13 *20696:A1 31.2562 +3 *5040:13 *21626:A 9.24915 +*END + +*D_NET *5041 0.00191495 +*CONN +*I *20695:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21477:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24441:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20695:A1 0.000284428 +2 *21477:A 0 +3 *24441:Q 0.000404589 +4 *5041:10 0.000689018 +5 *5041:10 *5494:10 0.000110696 +6 *20695:A2 *20695:A1 2.16355e-05 +7 *23870:A *5041:10 0.000146813 +8 *1608:98 *5041:10 1.65082e-05 +9 *2287:82 *20695:A1 7.30023e-05 +10 *4812:90 *5041:10 8.39931e-05 +11 *4819:111 *5041:10 8.42687e-05 +*RES +1 *24441:Q *5041:10 26.7602 +2 *5041:10 *21477:A 9.24915 +3 *5041:10 *20695:A1 14.9881 +*END + +*D_NET *5042 0.00381317 +*CONN +*I *20694:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21437:A I *D sky130_fd_sc_hd__inv_2 +*I *24442:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20694:A1 0.000202835 +2 *21437:A 0.000261436 +3 *24442:Q 0.000445256 +4 *5042:8 0.000909526 +5 *21437:A *5528:8 1.97882e-05 +6 *5042:8 *5528:8 5.11166e-05 +7 *20694:A2 *20694:A1 0.000167076 +8 *24440:D *5042:8 0.000116585 +9 *24440:CLK *20694:A1 5.73392e-05 +10 *476:167 *21437:A 0.000294093 +11 *1555:58 *21437:A 1.91391e-05 +12 *2257:47 *21437:A 0.000589054 +13 *2360:43 *5042:8 5.79057e-05 +14 *2417:53 *21437:A 5.13937e-05 +15 *4812:87 *5042:8 0.00010801 +16 *4817:54 *21437:A 0.000129223 +17 *4817:54 *5042:8 0.000333393 +*RES +1 *24442:Q *5042:8 24.1999 +2 *5042:8 *21437:A 23.2357 +3 *5042:8 *20694:A1 18.3548 +*END + +*D_NET *5043 0.002325 +*CONN +*I *21293:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20693:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24443:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21293:A 0.000101694 +2 *20693:A1 9.62329e-05 +3 *24443:Q 0.000518696 +4 *5043:11 0.000716623 +5 *5043:11 *24441:RESET_B 2.39177e-05 +6 *5043:11 *24443:RESET_B 2.82607e-05 +7 *20693:A2 *20693:A1 6.3657e-05 +8 *20693:A2 *21293:A 1.5714e-05 +9 *20693:B1 *20693:A1 0 +10 *24443:D *20693:A1 1.41976e-05 +11 *24443:D *5043:11 2.92975e-05 +12 *24443:CLK *20693:A1 1.47978e-05 +13 *24443:CLK *21293:A 0.000140425 +14 *24443:CLK *5043:11 2.59516e-05 +15 *476:161 *5043:11 4.2372e-05 +16 *1899:34 *20693:A1 7.92757e-06 +17 *2584:45 *5043:11 2.56748e-05 +18 *2794:9 *20693:A1 4.78069e-06 +19 *2794:9 *5043:11 0.000131666 +20 *2813:30 *21293:A 6.38668e-05 +21 *4817:64 *5043:11 0.000251655 +22 *4819:14 *21293:A 7.5909e-06 +*RES +1 *24443:Q *5043:11 22.3908 +2 *5043:11 *20693:A1 11.6605 +3 *5043:11 *21293:A 21.3269 +*END + +*D_NET *5044 0.00233359 +*CONN +*I *20692:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21258:A I *D sky130_fd_sc_hd__inv_2 +*I *24444:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20692:A1 0.000127105 +2 *21258:A 0.000229714 +3 *24444:Q 0.00060941 +4 *5044:5 0.000966228 +5 *20692:A1 *24444:RESET_B 6.87503e-05 +6 *21258:A *24444:RESET_B 2.16355e-05 +7 *5044:5 *24438:SET_B 7.26536e-05 +8 *5044:5 *24444:RESET_B 8.91112e-05 +9 *24444:D *21258:A 1.88687e-05 +10 *24444:CLK *21258:A 5.97576e-05 +11 *500:41 *20692:A1 6.51527e-05 +12 *2040:40 *20692:A1 5.20546e-06 +*RES +1 *24444:Q *5044:5 17.737 +2 *5044:5 *21258:A 14.4576 +3 *5044:5 *20692:A1 20.968 +*END + +*D_NET *5045 0.00190901 +*CONN +*I *19835:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20718:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24424:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19835:A 0.000525678 +2 *20718:A1 0.000103854 +3 *24424:Q 9.96802e-05 +4 *5045:8 0.000729212 +5 *19835:A *20717:A1 0.000113626 +6 *5045:8 *5856:47 3.85403e-05 +7 *20718:A2 *19835:A 2.65831e-05 +8 *20718:A2 *20718:A1 2.65667e-05 +9 *20718:A2 *5045:8 8.44496e-05 +10 *20718:B2 *19835:A 1.40978e-05 +11 *20718:B2 *20718:A1 6.12686e-06 +12 *24424:D *5045:8 7.14746e-05 +13 *24425:D *19835:A 7.27434e-06 +14 *2046:26 *19835:A 4.89898e-06 +15 *4137:77 *5045:8 4.69865e-05 +16 *4838:92 *20718:A1 9.95922e-06 +*RES +1 *24424:Q *5045:8 21.7421 +2 *5045:8 *20718:A1 11.1059 +3 *5045:8 *19835:A 17.6164 +*END + +*D_NET *5046 0.00374682 +*CONN +*I *22055:A I *D sky130_fd_sc_hd__inv_2 +*I *20717:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24425:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22055:A 0 +2 *20717:A1 0.000621943 +3 *24425:Q 0.000239292 +4 *5046:10 0.000861235 +5 *20717:A1 *5856:47 5.39313e-05 +6 *19835:A *20717:A1 0.000113626 +7 *24425:D *20717:A1 1.34288e-05 +8 *1619:44 *20717:A1 2.65667e-05 +9 *1809:142 *5046:10 0.000106688 +10 *1809:153 *5046:10 1.5714e-05 +11 *2046:26 *20717:A1 8.87923e-05 +12 *3860:47 *20717:A1 0.00107488 +13 *4374:48 *5046:10 0.0002873 +14 *4838:86 *5046:10 0.000111708 +15 *4935:90 *20717:A1 0.000131716 +*RES +1 *24425:Q *5046:10 25.0964 +2 *5046:10 *20717:A1 38.0802 +3 *5046:10 *22055:A 9.24915 +*END + +*D_NET *5047 0.00301284 +*CONN +*I *20661:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19921:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24463:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20661:A1 0.000101904 +2 *19921:A 0.000273665 +3 *24463:Q 0.00061279 +4 *5047:5 0.000988358 +5 *20661:A1 *5853:243 0.000195669 +6 *24444:D *5047:5 0.00013082 +7 *482:66 *20661:A1 0.000195669 +8 *2888:17 *19921:A 7.33158e-05 +9 *4820:71 *19921:A 6.97183e-05 +10 *4904:114 *5047:5 3.31745e-05 +11 *4904:116 *19921:A 6.23875e-05 +12 *4904:116 *5047:5 0.000220563 +13 *4904:118 *19921:A 5.481e-05 +*RES +1 *24463:Q *5047:5 18.5689 +2 *5047:5 *19921:A 23.1623 +3 *5047:5 *20661:A1 22.1574 +*END + +*D_NET *5048 0.00152345 +*CONN +*I *20678:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21779:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24452:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20678:A1 0.000329392 +2 *21779:A 0 +3 *24452:Q 0.000192588 +4 *5048:10 0.00052198 +5 *20678:A1 *21625:A 0.000125522 +6 *5048:10 *5855:99 1.69664e-05 +7 *24452:D *20678:A1 0.000164843 +8 *1585:19 *5048:10 0.000119186 +9 *2564:18 *5048:10 4.6012e-05 +10 *2671:10 *20678:A1 3.99086e-06 +11 *2795:7 *20678:A1 2.96784e-06 +*RES +1 *24452:Q *5048:10 22.0517 +2 *5048:10 *21779:A 9.24915 +3 *5048:10 *20678:A1 16.6519 +*END + +*D_NET *5049 0.00183873 +*CONN +*I *20677:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21625:A I *D sky130_fd_sc_hd__inv_2 +*I *24453:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20677:A1 0.000121119 +2 *21625:A 0.000208774 +3 *24453:Q 0 +4 *5049:5 0.000329893 +5 *20677:A1 *24452:SET_B 9.5331e-05 +6 *20677:A2 *20677:A1 0.000110297 +7 *20677:A2 *21625:A 1.1246e-05 +8 *20678:A1 *21625:A 0.000125522 +9 *20678:B1 *21625:A 2.53427e-05 +10 *20678:B2 *21625:A 2.71542e-05 +11 *24452:D *21625:A 4.89898e-06 +12 *24453:D *20677:A1 0.000161234 +13 *2620:40 *20677:A1 9.04083e-05 +14 *2620:40 *21625:A 8.52802e-05 +15 *2671:10 *21625:A 0.000380122 +16 *2796:18 *21625:A 1.41761e-05 +17 *4840:198 *20677:A1 4.27003e-05 +18 *4840:198 *21625:A 5.22654e-06 +*RES +1 *24453:Q *5049:5 13.7491 +2 *5049:5 *21625:A 21.8988 +3 *5049:5 *20677:A1 18.523 +*END + +*D_NET *5050 0.000976997 +*CONN +*I *21480:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20676:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24454:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21480:A 0 +2 *20676:A1 0.000142448 +3 *24454:Q 5.98921e-05 +4 *5050:8 0.000202341 +5 *5050:8 *5861:89 4.0605e-06 +6 *24454:D *20676:A1 0.000158371 +7 *1438:169 *20676:A1 4.74356e-05 +8 *1688:34 *5050:8 6.64907e-05 +9 *1724:48 *5050:8 1.91391e-05 +10 *2033:34 *20676:A1 0.000157266 +11 *2796:18 *5050:8 0.000119553 +*RES +1 *24454:Q *5050:8 20.4964 +2 *5050:8 *20676:A1 14.0477 +3 *5050:8 *21480:A 9.24915 +*END + +*D_NET *5051 0.00325363 +*CONN +*I *20660:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21910:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24464:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20660:A1 0.000164431 +2 *21910:A 0.000483643 +3 *24464:Q 0.000625843 +4 *5051:5 0.00127392 +5 *20660:A1 *5861:363 3.91685e-05 +6 *21910:A *20944:B1 6.08467e-05 +7 *24464:D *20660:A1 0.000240675 +8 *24464:CLK *21910:A 6.11872e-05 +9 *480:20 *20660:A1 0.000298311 +10 *4826:84 *20660:A1 5.60364e-06 +*RES +1 *24464:Q *5051:5 17.4597 +2 *5051:5 *21910:A 16.676 +3 *5051:5 *20660:A1 24.2337 +*END + +*D_NET *5052 0.00179438 +*CONN +*I *20659:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21854:A I *D sky130_fd_sc_hd__inv_2 +*I *24465:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20659:A1 9.4149e-05 +2 *21854:A 0 +3 *24465:Q 0.000465816 +4 *5052:11 0.000559965 +5 *20658:B2 *5052:11 2.16355e-05 +6 *20659:B2 *20659:A1 7.0575e-05 +7 *20659:B2 *5052:11 0.000156955 +8 *24465:D *20659:A1 0.000154145 +9 *24465:CLK *5052:11 6.1708e-05 +10 *2473:39 *5052:11 0.000103002 +11 *4805:36 *5052:11 0.000106427 +*RES +1 *24465:Q *5052:11 26.9346 +2 *5052:11 *21854:A 9.24915 +3 *5052:11 *20659:A1 12.2151 +*END + +*D_NET *5053 0.00248325 +*CONN +*I *20658:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21727:A I *D sky130_fd_sc_hd__clkinv_8 +*I *24466:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20658:A1 0.000521234 +2 *21727:A 0 +3 *24466:Q 9.02576e-05 +4 *5053:10 0.000611491 +5 *20658:A1 *20658:B1 0.000474559 +6 *5053:10 *20695:B1 0.000107496 +7 *20658:A2 *20658:A1 4.21051e-05 +8 *20695:B2 *5053:10 4.2372e-05 +9 *2467:87 *5053:10 0.000143912 +10 *4813:125 *20658:A1 5.481e-05 +11 *4819:12 *20658:A1 0.00025456 +12 *4941:8 *5053:10 0.000140451 +*RES +1 *24466:Q *5053:10 22.1896 +2 *5053:10 *21727:A 9.24915 +3 *5053:10 *20658:A1 23.5242 +*END + +*D_NET *5054 0.00337877 +*CONN +*I *20657:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21515:A I *D sky130_fd_sc_hd__inv_2 +*I *24467:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20657:A1 0.000195574 +2 *21515:A 0.000174383 +3 *24467:Q 0.000520357 +4 *5054:7 0.000890314 +5 *20657:A1 *5853:251 0.000128693 +6 *21515:A *5853:251 0.000231554 +7 *5054:7 *5855:227 0.000158371 +8 *24467:D *20657:A1 7.50872e-05 +9 *482:66 *20657:A1 0.000131376 +10 *482:66 *21515:A 0.000123118 +11 *1742:80 *20657:A1 0.000101987 +12 *2287:94 *20657:A1 3.46618e-05 +13 *2287:94 *5054:7 0.000196814 +14 *2404:67 *21515:A 0.000351426 +15 *2572:9 *21515:A 6.50586e-05 +*RES +1 *24467:Q *5054:7 21.6824 +2 *5054:7 *21515:A 20.4627 +3 *5054:7 *20657:A1 28.661 +*END + +*D_NET *5055 0.00208292 +*CONN +*I *21394:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20656:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24468:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21394:A 0.000120486 +2 *20656:A1 5.53699e-05 +3 *24468:Q 0.000466121 +4 *5055:7 0.000641976 +5 *20656:A1 *5853:243 0.000173931 +6 *20656:A1 *5903:18 0.000168835 +7 *21394:A *5853:243 0.000155886 +8 *21394:A *5903:18 0.000161484 +9 *5055:7 *24468:RESET_B 3.56986e-05 +10 *5055:7 *5864:690 2.16355e-05 +11 *24468:D *5055:7 5.481e-05 +12 *2040:30 *5055:7 5.04829e-06 +13 *2355:7 *21394:A 2.16355e-05 +*RES +1 *24468:Q *5055:7 22.7916 +2 *5055:7 *20656:A1 16.8269 +3 *5055:7 *21394:A 18.129 +*END + +*D_NET *5056 0.00274137 +*CONN +*I *20655:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21271:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24469:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20655:A1 1.854e-05 +2 *21271:A 0.000279259 +3 *24469:Q 0.000410619 +4 *5056:9 0.000708418 +5 *5056:9 *20695:B1 5.30803e-05 +6 *5056:9 *5417:26 0.000122844 +7 *5056:9 *5853:232 2.44599e-05 +8 *20655:A2 *5056:9 0.000156076 +9 *20655:B1 *21271:A 2.58757e-05 +10 *20659:B2 *20655:A1 6.58022e-06 +11 *20659:B2 *21271:A 0.000110634 +12 *20939:B1 *21271:A 0.000206566 +13 *24466:D *5056:9 2.16355e-05 +14 *24469:D *21271:A 6.08467e-05 +15 *24469:D *5056:9 4.97617e-05 +16 *2028:72 *20655:A1 2.53145e-06 +17 *2028:72 *21271:A 1.31787e-05 +18 *2028:72 *5056:9 1.65872e-05 +19 *2473:39 *21271:A 0.000163772 +20 *4805:36 *21271:A 0.000167264 +21 *4873:26 *5056:9 0.000122844 +*RES +1 *24469:Q *5056:9 28.9842 +2 *5056:9 *21271:A 27.2346 +3 *5056:9 *20655:A1 9.82786 +*END + +*D_NET *5057 0.00315217 +*CONN +*I *20654:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21215:A I *D sky130_fd_sc_hd__inv_2 +*I *24470:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20654:A1 8.20752e-05 +2 *21215:A 0.000379557 +3 *24470:Q 0.000573026 +4 *5057:10 0.00103466 +5 *5057:10 *24309:RESET_B 4.58102e-06 +6 *5057:10 *5861:363 7.82162e-06 +7 *5057:10 *5862:347 3.52746e-06 +8 *5057:10 *5863:23 8.20978e-05 +9 *20654:B1 *20654:A1 6.08467e-05 +10 *20654:B2 *20654:A1 5.46615e-05 +11 *20654:B2 *21215:A 4.17531e-06 +12 *24470:D *20654:A1 7.23857e-05 +13 *24470:D *21215:A 0.000209298 +14 *502:14 *5057:10 7.86728e-05 +15 *1418:79 *21215:A 3.15317e-05 +16 *2282:9 *21215:A 6.3657e-05 +17 *2319:55 *21215:A 0.000163067 +18 *2323:14 *21215:A 1.27749e-05 +19 *2520:68 *5057:10 0.000111708 +20 *2906:55 *21215:A 0.00012205 +*RES +1 *24470:Q *5057:10 26.9052 +2 *5057:10 *21215:A 28.3197 +3 *5057:10 *20654:A1 12.408 +*END + +*D_NET *5058 0.00262159 +*CONN +*I *20680:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19777:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24450:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20680:A1 0.000444291 +2 *19777:A 9.66601e-05 +3 *24450:Q 0 +4 *5058:5 0.000540951 +5 *20680:A1 *5861:89 6.50727e-05 +6 *20680:A2 *20680:A1 6.50727e-05 +7 *21752:B1 *20680:A1 0.000684509 +8 *1732:12 *19777:A 0.000199346 +9 *1732:12 *20680:A1 0.000160481 +10 *2552:14 *20680:A1 7.09666e-06 +11 *2552:31 *20680:A1 8.96447e-06 +12 *2661:7 *20680:A1 1.41689e-05 +13 *2661:13 *19777:A 0.000199346 +14 *2661:13 *20680:A1 0.000135629 +*RES +1 *24450:Q *5058:5 13.7491 +2 *5058:5 *19777:A 17.6574 +3 *5058:5 *20680:A1 26.2846 +*END + +*D_NET *5059 0.00155154 +*CONN +*I *22015:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20679:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24451:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22015:A 5.62929e-05 +2 *20679:A1 9.77615e-05 +3 *24451:Q 6.81811e-05 +4 *5059:8 0.000222236 +5 *20679:A2 *20679:A1 0.000207266 +6 *2033:5 *20679:A1 0.000116764 +7 *2033:5 *22015:A 9.90203e-05 +8 *2033:14 *5059:8 4.60197e-05 +9 *2537:8 *5059:8 0.000115772 +10 *2779:14 *20679:A1 0.000353686 +11 *2779:14 *22015:A 0.000160617 +12 *3029:7 *22015:A 7.92757e-06 +*RES +1 *24451:Q *5059:8 20.4964 +2 *5059:8 *20679:A1 13.8789 +3 *5059:8 *22015:A 11.6605 +*END + +*D_NET *5060 0.00282398 +*CONN +*I *20623:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19994:A I *D sky130_fd_sc_hd__inv_2 +*I *24489:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20623:A1 7.47602e-05 +2 *19994:A 0.000405261 +3 *24489:Q 0.000565299 +4 *5060:5 0.00104532 +5 *19994:A *5909:26 7.25274e-05 +6 *24489:D *19994:A 3.82228e-05 +7 *24489:D *5060:5 4.97617e-05 +8 *24489:CLK *19994:A 1.03403e-05 +9 *1576:28 *19994:A 7.25077e-06 +10 *1750:15 *19994:A 6.08467e-05 +11 *2016:12 *20623:A1 0.000202367 +12 *2177:78 *20623:A1 0.000215896 +13 *4805:56 *19994:A 7.6125e-05 +*RES +1 *24489:Q *5060:5 16.9051 +2 *5060:5 *19994:A 24.8261 +3 *5060:5 *20623:A1 22.1574 +*END + +*D_NET *5061 0.00381356 +*CONN +*I *21835:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20640:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24478:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21835:A 0.000182853 +2 *20640:A1 0.000756576 +3 *24478:Q 0.000191041 +4 *5061:9 0.00113047 +5 *20640:A1 *20641:A1 5.41227e-05 +6 *21835:A *20611:B1 0.000340928 +7 *21835:A *21918:A 6.50586e-05 +8 *22435:B1 *21835:A 0.000441318 +9 *24478:D *20640:A1 0.000133068 +10 *24498:D *5061:9 0 +11 *24498:CLK *5061:9 0.000116258 +12 *1461:249 *21835:A 0.000110473 +13 *2021:11 *20640:A1 1.19856e-05 +14 *2021:17 *20640:A1 2.21251e-05 +15 *2836:8 *20640:A1 0.000101148 +16 *2932:8 *21835:A 2.99929e-05 +17 *2971:15 *21835:A 6.08467e-05 +18 *2971:23 *21835:A 2.43314e-05 +19 *3411:29 *20640:A1 2.04806e-05 +20 *3671:76 *21835:A 3.39588e-06 +21 *4927:54 *20640:A1 1.49042e-05 +22 *4927:54 *5061:9 2.18523e-06 +*RES +1 *24478:Q *5061:9 13.7704 +2 *5061:9 *20640:A1 29.6782 +3 *5061:9 *21835:A 26.7899 +*END + +*D_NET *5062 0.00193056 +*CONN +*I *21672:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20639:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24479:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21672:A 0.000148478 +2 *20639:A1 0.000255864 +3 *24479:Q 0 +4 *5062:5 0.000404342 +5 *20639:A1 *5860:9 1.37367e-05 +6 *20639:A1 *5869:386 7.77701e-05 +7 *20639:A1 *5869:445 8.71358e-05 +8 *21672:A *5851:131 5.04829e-06 +9 *21672:A *5869:445 0.000123532 +10 *19635:C1 *20639:A1 0.000197781 +11 *19635:C1 *21672:A 0.000117949 +12 *20638:A2 *20639:A1 4.61086e-05 +13 *20639:B2 *20639:A1 3.99086e-06 +14 *24479:D *20639:A1 0.000111708 +15 *1515:85 *21672:A 0.000258142 +16 *2632:47 *21672:A 7.89747e-05 +*RES +1 *24479:Q *5062:5 13.7491 +2 *5062:5 *20639:A1 21.1538 +3 *5062:5 *21672:A 19.3535 +*END + +*D_NET *5063 0.00283649 +*CONN +*I *21541:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20638:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24480:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21541:A 0.000148883 +2 *20638:A1 0.000549864 +3 *24480:Q 0 +4 *5063:4 0.000698748 +5 *20638:A2 *20638:A1 6.08467e-05 +6 *21676:A1 *21541:A 0.000118738 +7 *24480:D *20638:A1 0.000154145 +8 *24480:CLK *20638:A1 9.21475e-05 +9 *1515:85 *21541:A 0.00025175 +10 *1715:49 *20638:A1 2.41274e-06 +11 *1764:43 *20638:A1 0.000194175 +12 *1947:167 *20638:A1 0.000197716 +13 *2708:7 *21541:A 0.00025175 +14 *4863:157 *21541:A 0.000115313 +*RES +1 *24480:Q *5063:4 9.24915 +2 *5063:4 *20638:A1 30.2594 +3 *5063:4 *21541:A 23.8535 +*END + +*D_NET *5064 0.00340569 +*CONN +*I *20622:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21976:A I *D sky130_fd_sc_hd__inv_2 +*I *24490:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20622:A1 7.07486e-05 +2 *21976:A 0.000230873 +3 *24490:Q 0.000899007 +4 *5064:5 0.00120063 +5 *24226:CLK *5064:5 0.000242682 +6 *1652:28 *21976:A 6.12686e-06 +7 *2990:7 *21976:A 3.81056e-05 +8 *2990:36 *20622:A1 0.000134176 +9 *4866:121 *20622:A1 0.000137587 +10 *4908:19 *21976:A 0.000200794 +11 *4908:71 *21976:A 1.41976e-05 +12 *4908:71 *5064:5 9.05137e-05 +13 *4908:77 *5064:5 0.000140252 +*RES +1 *24490:Q *5064:5 23.0057 +2 *5064:5 *21976:A 14.8675 +3 *5064:5 *20622:A1 20.9116 +*END + +*D_NET *5065 0.003579 +*CONN +*I *20621:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21841:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24491:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20621:A1 0.000564318 +2 *21841:A 0.000295511 +3 *24491:Q 5.02115e-05 +4 *5065:7 0.00091004 +5 *20621:A1 *20621:B1 3.53056e-05 +6 *20621:A1 *24491:RESET_B 5.30254e-05 +7 *20621:A1 *5592:76 4.31603e-06 +8 *21841:A *24491:RESET_B 4.99006e-05 +9 *5065:7 *24491:RESET_B 4.3271e-05 +10 *20617:B2 *21841:A 0.000111802 +11 *20621:A2 *20621:A1 3.03047e-05 +12 *24491:CLK *5065:7 5.51483e-06 +13 *24495:CLK *5065:7 1.41976e-05 +14 *527:22 *21841:A 6.08467e-05 +15 *539:14 *21841:A 0.000510157 +16 *2015:91 *21841:A 0.000154145 +17 *2311:17 *21841:A 0.000107496 +18 *3153:102 *21841:A 3.20392e-05 +19 *3153:112 *20621:A1 0.000252278 +20 *3306:22 *20621:A1 0.000123772 +21 *3306:22 *21841:A 0.000148483 +22 *4906:115 *20621:A1 2.20663e-05 +*RES +1 *24491:Q *5065:7 15.0271 +2 *5065:7 *21841:A 24.3984 +3 *5065:7 *20621:A1 23.8144 +*END + +*D_NET *5066 0.00275168 +*CONN +*I *21692:A I *D sky130_fd_sc_hd__inv_6 +*I *20620:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24492:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21692:A 7.99722e-05 +2 *20620:A1 0.00056661 +3 *24492:Q 0.000295055 +4 *5066:5 0.000941637 +5 *20620:A2 *20620:A1 2.50858e-05 +6 *20620:B2 *20620:A1 0 +7 *24492:D *20620:A1 7.6719e-06 +8 *24492:CLK *20620:A1 0.000273114 +9 *24492:CLK *5066:5 6.35148e-05 +10 *2203:7 *5066:5 0.00020502 +11 *2906:63 *21692:A 0.000127911 +12 *3041:31 *20620:A1 4.0999e-05 +13 *4819:28 *21692:A 0.00012509 +*RES +1 *24492:Q *5066:5 13.8548 +2 *5066:5 *20620:A1 20.0277 +3 *5066:5 *21692:A 20.9116 +*END + +*D_NET *5067 0.00387683 +*CONN +*I *20619:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21538:A I *D sky130_fd_sc_hd__inv_2 +*I *24493:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20619:A1 0 +2 *21538:A 0.000163667 +3 *24493:Q 0.000884301 +4 *5067:14 0.00104797 +5 *21538:A *20619:B1 6.08467e-05 +6 *20619:B2 *21538:A 0.000110306 +7 *1551:75 *5067:14 7.50872e-05 +8 *2015:18 *5067:14 8.62625e-06 +9 *2015:29 *5067:14 5.1573e-05 +10 *2474:14 *5067:14 7.50872e-05 +11 *2546:5 *5067:14 0.0011185 +12 *4823:123 *5067:14 0.000143032 +13 *4908:98 *21538:A 0.000137836 +*RES +1 *24493:Q *5067:14 44.2531 +2 *5067:14 *21538:A 14.0718 +3 *5067:14 *20619:A1 9.24915 +*END + +*D_NET *5068 0.00274806 +*CONN +*I *20618:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21393:A I *D sky130_fd_sc_hd__inv_2 +*I *24494:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20618:A1 0 +2 *21393:A 0.000145263 +3 *24494:Q 0.000388971 +4 *5068:15 0.000534234 +5 *5068:15 *24494:RESET_B 1.21461e-06 +6 *5068:15 *5862:634 5.20546e-06 +7 *20618:B1 *21393:A 6.08467e-05 +8 *20618:B2 *21393:A 3.73237e-05 +9 *20618:B2 *5068:15 6.63489e-05 +10 *22673:B1 *21393:A 3.13254e-05 +11 *22673:B1 *5068:15 2.91891e-05 +12 *1450:176 *21393:A 0.000391697 +13 *1450:176 *5068:15 0.000629249 +14 *2267:70 *5068:15 8.93134e-05 +15 *2301:45 *5068:15 6.08467e-05 +16 *2301:57 *5068:15 2.95757e-05 +17 *2379:58 *5068:15 6.77655e-05 +18 *2379:63 *5068:15 6.215e-05 +19 *2397:10 *5068:15 9.59081e-05 +20 *2453:7 *21393:A 2.16355e-05 +*RES +1 *24494:Q *5068:15 30.3327 +2 *5068:15 *21393:A 14.4335 +3 *5068:15 *20618:A1 9.24915 +*END + +*D_NET *5069 0.00391579 +*CONN +*I *20617:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21269:A I *D sky130_fd_sc_hd__inv_2 +*I *24495:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20617:A1 0.000293932 +2 *21269:A 0.000342042 +3 *24495:Q 0.000514746 +4 *5069:5 0.00115072 +5 *5069:5 *24491:RESET_B 1.75921e-05 +6 *5069:5 *24495:RESET_B 0.000147046 +7 *20617:A2 *20617:A1 0.000161234 +8 *20617:B1 *20617:A1 6.85769e-05 +9 *24495:D *20617:A1 0.000369852 +10 *24495:D *21269:A 2.99733e-05 +11 *24495:D *5069:5 7.92757e-06 +12 *24495:CLK *20617:A1 0 +13 *539:14 *20617:A1 3.33173e-06 +14 *1418:79 *20617:A1 0.000234833 +15 *1557:107 *21269:A 6.5353e-05 +16 *2311:13 *5069:5 8.58902e-06 +17 *2332:13 *21269:A 1.03403e-05 +18 *2379:63 *21269:A 0.000117271 +19 *3153:112 *21269:A 0.00025175 +20 *4816:124 *21269:A 0.000120681 +*RES +1 *24495:Q *5069:5 16.6278 +2 *5069:5 *21269:A 26.9346 +3 *5069:5 *20617:A1 27.6176 +*END + +*D_NET *5070 0.00257945 +*CONN +*I *21208:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20616:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24496:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21208:A 0.00016947 +2 *20616:A1 8.35773e-05 +3 *24496:Q 0.000554166 +4 *5070:7 0.000807213 +5 *20622:B2 *5070:7 0.000161911 +6 *24496:D *5070:7 5.481e-05 +7 *1576:16 *21208:A 6.36477e-05 +8 *2177:78 *20616:A1 0.00013282 +9 *2177:78 *21208:A 0.000219477 +10 *2546:5 *21208:A 4.03336e-05 +11 *3192:12 *20616:A1 5.32468e-05 +12 *3192:12 *21208:A 7.61102e-05 +13 *4806:11 *21208:A 0.000162663 +*RES +1 *24496:Q *5070:7 21.6824 +2 *5070:7 *20616:A1 16.4116 +3 *5070:7 *21208:A 19.9053 +*END + +*D_NET *5071 0.00124038 +*CONN +*I *20037:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20642:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24476:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20037:A 0.000107811 +2 *20642:A1 0.00013946 +3 *24476:Q 0 +4 *5071:4 0.000247271 +5 *20037:A *24476:RESET_B 2.16355e-05 +6 *20037:A *5860:46 0.000258128 +7 *20037:A *5869:308 0 +8 *20037:A *5869:319 9.80912e-05 +9 *20642:A1 *5801:78 0.000163723 +10 *20642:A1 *5869:308 3.0577e-05 +11 *20642:A2 *20642:A1 6.08467e-05 +12 *20642:B2 *20642:A1 1.65872e-05 +13 *24476:D *20642:A1 7.50872e-05 +14 *1467:138 *20642:A1 2.11606e-05 +*RES +1 *24476:Q *5071:4 9.24915 +2 *5071:4 *20642:A1 22.6049 +3 *5071:4 *20037:A 13.3243 +*END + +*D_NET *5072 0.00351909 +*CONN +*I *21918:A I *D sky130_fd_sc_hd__inv_2 +*I *20641:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24477:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21918:A 2.4283e-05 +2 *20641:A1 0.000632196 +3 *24477:Q 0 +4 *5072:30 8.90059e-05 +5 *5072:29 0.000319476 +6 *5072:4 0.000886948 +7 *20641:A1 *20640:B1 0.000283468 +8 *20641:A1 *5476:51 0 +9 *21918:A *20611:B1 0.000113968 +10 *19970:B2 *20641:A1 0 +11 *20640:A1 *20641:A1 5.41227e-05 +12 *20640:B2 *20641:A1 6.08467e-05 +13 *20641:A2 *20641:A1 7.05306e-05 +14 *21835:A *21918:A 6.50586e-05 +15 *24477:CLK *20641:A1 3.55968e-05 +16 *1715:48 *20641:A1 3.38973e-05 +17 *1947:181 *20641:A1 1.2977e-05 +18 *2021:17 *20641:A1 9.05259e-05 +19 *2021:19 *20641:A1 1.19721e-05 +20 *2836:8 *20641:A1 3.67536e-05 +21 *2971:15 *20641:A1 0.000200794 +22 *2971:15 *21918:A 1.70925e-05 +23 *2971:15 *5072:29 0.000324557 +24 *3411:29 *20641:A1 0.000155021 +25 *4927:54 *20641:A1 0 +*RES +1 *24477:Q *5072:4 9.24915 +2 *5072:4 *20641:A1 36.2006 +3 *5072:4 *5072:29 13.3002 +4 *5072:29 *5072:30 81.1229 +5 *5072:30 *21918:A 19.7763 +*END + +*D_NET *5073 0.00324859 +*CONN +*I *20045:A I *D sky130_fd_sc_hd__inv_2 +*I *20585:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24515:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20045:A 0.000119171 +2 *20585:A1 0.00019444 +3 *24515:Q 0.000113217 +4 *5073:10 0.000426828 +5 *19893:A *5073:10 8.83972e-05 +6 *19927:A *20585:A1 0.000200794 +7 *20585:A2 *20585:A1 2.57986e-05 +8 *1435:45 *20045:A 0.000340742 +9 *1435:45 *20585:A1 0.000186187 +10 *1449:45 *5073:10 0.0001699 +11 *1563:46 *5073:10 0.000174759 +12 *1757:57 *20585:A1 3.75352e-05 +13 *1762:138 *5073:10 8.41713e-05 +14 *3016:22 *20045:A 0.000191856 +15 *3016:22 *20585:A1 0.000894796 +*RES +1 *24515:Q *5073:10 22.7428 +2 *5073:10 *20585:A1 19.4008 +3 *5073:10 *20045:A 13.8789 +*END + +*D_NET *5074 0.00167717 +*CONN +*I *21817:A I *D sky130_fd_sc_hd__inv_2 +*I *20602:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24504:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21817:A 0.000154666 +2 *20602:A1 7.53871e-05 +3 *24504:Q 0.000114408 +4 *5074:10 0.000344462 +5 *5074:10 *5860:132 0.000166212 +6 *20597:A *21817:A 3.75603e-05 +7 *20601:B2 *5074:10 1.33419e-05 +8 *24504:D *20602:A1 6.08467e-05 +9 *1690:43 *20602:A1 2.15184e-05 +10 *1690:43 *21817:A 2.15348e-05 +11 *1753:37 *5074:10 3.75221e-05 +12 *2009:41 *20602:A1 0.000160617 +13 *2009:41 *21817:A 0.000299284 +14 *2808:20 *5074:10 0.00016981 +*RES +1 *24504:Q *5074:10 22.1882 +2 *5074:10 *20602:A1 11.6364 +3 *5074:10 *21817:A 13.8789 +*END + +*D_NET *5075 0.00248895 +*CONN +*I *21704:A I *D sky130_fd_sc_hd__inv_2 +*I *20601:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24505:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21704:A 0.000171452 +2 *20601:A1 0.000570024 +3 *24505:Q 0.000120503 +4 *5075:8 0.000861979 +5 *20601:A1 *20601:B1 1.47978e-05 +6 *21704:A *20582:B1 7.84719e-05 +7 *5075:8 *5481:23 0.00011818 +8 *20601:A2 *20601:A1 9.97985e-05 +9 *24505:D *20601:A1 5.53366e-06 +10 *1497:36 *21704:A 6.64455e-05 +11 *1497:36 *5075:8 5.52321e-05 +12 *1697:97 *21704:A 3.65454e-05 +13 *1753:37 *20601:A1 1.75637e-06 +14 *1783:100 *5075:8 8.62625e-06 +15 *2009:5 *20601:A1 3.83429e-05 +16 *2971:41 *20601:A1 0.000100493 +17 *2992:12 *21704:A 6.42535e-05 +18 *2992:12 *5075:8 5.20315e-05 +19 *4843:641 *5075:8 2.44829e-05 +*RES +1 *24505:Q *5075:8 17.6896 +2 *5075:8 *20601:A1 23.0086 +3 *5075:8 *21704:A 18.4879 +*END + +*D_NET *5076 0.00310585 +*CONN +*I *21521:A I *D sky130_fd_sc_hd__inv_2 +*I *20600:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24506:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21521:A 0.000196552 +2 *20600:A1 0.000163009 +3 *24506:Q 0.000433159 +4 *5076:10 0.00079272 +5 *20600:A1 *5851:211 0.00013471 +6 *5076:10 *5860:132 0.000275857 +7 *5076:10 *5860:141 0 +8 *20603:A2 *20600:A1 6.08467e-05 +9 *24503:D *20600:A1 2.16355e-05 +10 *1439:260 *5076:10 6.27699e-05 +11 *1735:16 *21521:A 0.000151436 +12 *1735:16 *5076:10 1.25395e-05 +13 *2009:48 *20600:A1 0.000131169 +14 *2447:9 *20600:A1 2.99978e-05 +15 *2447:9 *21521:A 1.58551e-05 +16 *2808:20 *5076:10 0.000620306 +17 *3014:39 *5076:10 3.28898e-06 +*RES +1 *24506:Q *5076:10 31.1858 +2 *5076:10 *20600:A1 23.2989 +3 *5076:10 *21521:A 14.4094 +*END + +*D_NET *5077 0.00146108 +*CONN +*I *21988:A I *D sky130_fd_sc_hd__inv_2 +*I *20584:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24516:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21988:A 0.000114214 +2 *20584:A1 2.1294e-05 +3 *24516:Q 0.000213105 +4 *5077:8 0.000348612 +5 *20584:A1 *20584:B1 9.95922e-06 +6 *24516:D *20584:A1 2.75742e-06 +7 *24516:D *5077:8 0.000230591 +8 *1537:267 *21988:A 6.08467e-05 +9 *1688:118 *21988:A 0.000118231 +10 *1688:118 *5077:8 7.91779e-05 +11 *1739:41 *21988:A 0.000122272 +12 *1739:41 *5077:8 7.91779e-05 +13 *3016:7 *21988:A 6.08467e-05 +*RES +1 *24516:Q *5077:8 18.0869 +2 *5077:8 *20584:A1 14.2676 +3 *5077:8 *21988:A 17.2938 +*END + +*D_NET *5078 0.0047559 +*CONN +*I *21884:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20583:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24517:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21884:A 0.000874968 +2 *20583:A1 0.000448353 +3 *24517:Q 0 +4 *5078:4 0.00132332 +5 *20583:A1 *5830:41 4.19401e-06 +6 *20575:A *20583:A1 0.000725327 +7 *21844:A2 *20583:A1 0.000375169 +8 *21844:B1 *21884:A 0 +9 *1696:31 *21884:A 6.50586e-05 +10 *1710:8 *21884:A 0.000114679 +11 *1711:18 *20583:A1 0 +12 *1735:36 *21884:A 0.000224381 +13 *2587:16 *21884:A 0.000114679 +14 *2858:8 *21884:A 0.000107496 +15 *2966:23 *20583:A1 6.44576e-05 +16 *2966:27 *21884:A 1.03403e-05 +17 *4922:11 *21884:A 0.000303478 +*RES +1 *24517:Q *5078:4 9.24915 +2 *5078:4 *20583:A1 31.776 +3 *5078:4 *21884:A 34.1686 +*END + +*D_NET *5079 0.00486272 +*CONN +*I *21722:A I *D sky130_fd_sc_hd__inv_8 +*I *20582:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24518:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21722:A 0.000651894 +2 *20582:A1 0.000294309 +3 *24518:Q 0 +4 *5079:5 0.000946203 +5 *20582:A1 *5830:41 5.31056e-05 +6 *21722:A *5830:41 5.72746e-05 +7 *19939:A *21722:A 3.82228e-05 +8 *19939:B *21722:A 0.000204812 +9 *19945:A2 *21722:A 0.000678081 +10 *19945:B2 *21722:A 0.000203756 +11 *20582:A2 *20582:A1 6.50586e-05 +12 *1471:68 *21722:A 2.07087e-05 +13 *1472:12 *21722:A 6.27782e-05 +14 *1696:10 *21722:A 9.02099e-06 +15 *1711:16 *20582:A1 0.000211007 +16 *1711:16 *21722:A 0.000217629 +17 *1792:76 *20582:A1 0.000286663 +18 *2732:17 *20582:A1 0.000801355 +19 *4821:17 *21722:A 6.08467e-05 +*RES +1 *24518:Q *5079:5 13.7491 +2 *5079:5 *20582:A1 26.6999 +3 *5079:5 *21722:A 33.0952 +*END + +*D_NET *5080 0.00165645 +*CONN +*I *20581:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21445:A I *D sky130_fd_sc_hd__inv_2 +*I *24519:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20581:A1 0.000161977 +2 *21445:A 0 +3 *24519:Q 0.000139464 +4 *5080:9 0.000301441 +5 *19739:B *5080:9 5.82465e-05 +6 *20581:A2 *20581:A1 0.000112149 +7 *1497:22 *20581:A1 0.000440512 +8 *1497:22 *5080:9 0.000105652 +9 *1739:22 *5080:9 3.55296e-05 +10 *2003:48 *20581:A1 3.31745e-05 +11 *2003:48 *5080:9 0.000260374 +12 *2004:33 *20581:A1 7.92757e-06 +*RES +1 *24519:Q *5080:9 23.8535 +2 *5080:9 *21445:A 9.24915 +3 *5080:9 *20581:A1 14.9881 +*END + +*D_NET *5081 0.00185784 +*CONN +*I *21386:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20580:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24520:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21386:A 8.94051e-05 +2 *20580:A1 0.000311819 +3 *24520:Q 0 +4 *5081:4 0.000401224 +5 *19998:A1 *20580:A1 0 +6 *20580:A2 *20580:A1 0.000158357 +7 *21390:B1 *21386:A 0 +8 *1783:101 *20580:A1 1.92336e-05 +9 *2003:24 *20580:A1 0.000313481 +10 *2004:5 *20580:A1 0.000164829 +11 *2004:90 *20580:A1 0.000102003 +12 *2445:23 *20580:A1 7.97098e-06 +13 *2445:23 *21386:A 0.000107496 +14 *2753:67 *21386:A 2.16355e-05 +15 *2951:28 *20580:A1 0.000160384 +*RES +1 *24520:Q *5081:4 9.24915 +2 *5081:4 *20580:A1 27.7651 +3 *5081:4 *21386:A 11.9257 +*END + +*D_NET *5082 0.0793634 +*CONN +*I *20579:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21281:A I *D sky130_fd_sc_hd__inv_2 +*I *24521:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20579:A1 0 +2 *21281:A 0.000213686 +3 *24521:Q 0.000471169 +4 *5082:101 0.00640741 +5 *5082:99 0.00779757 +6 *5082:93 0.00265524 +7 *5082:80 0.00220614 +8 *5082:72 0.00193143 +9 *5082:62 0.0037685 +10 *5082:60 0.00394527 +11 *5082:57 0.00275882 +12 *5082:43 0.00495108 +13 *5082:40 0.00314572 +14 *5082:38 4.54963e-05 +15 *5082:37 0.000561575 +16 *5082:34 0.00115728 +17 *5082:17 0.00111237 +18 *5082:34 *5860:104 1.5714e-05 +19 *5082:34 *5917:560 4.10997e-05 +20 *5082:37 *20684:B1 9.95234e-05 +21 *5082:37 *21460:A 0.000326591 +22 *5082:37 *5851:196 0.000414264 +23 *5082:43 *24658:RESET_B 0.000752741 +24 *5082:43 *24659:RESET_B 0.000107496 +25 *5082:43 *5442:39 1.41853e-05 +26 *5082:57 *21119:A1 0.000317756 +27 *5082:57 *22834:A1 0.000158357 +28 *5082:57 *22837:A1 0.000211478 +29 *5082:57 *5442:39 1.41976e-05 +30 *5082:60 *5929:38 0.00245014 +31 *5082:62 *5929:37 8.45892e-05 +32 *5082:62 *5929:38 0 +33 *5082:72 *5909:38 6.78021e-06 +34 *5082:93 *5815:68 2.45143e-05 +35 *5082:101 *5868:77 0.000153519 +36 *19987:B *5082:17 1.09551e-05 +37 *20579:B2 *5082:34 6.50586e-05 +38 *20684:A2 *5082:37 0.000113968 +39 *20684:B2 *5082:37 6.08467e-05 +40 *20887:A *5082:101 3.31745e-05 +41 *21118:A *5082:57 0.000319815 +42 *21119:B2 *5082:57 1.28832e-05 +43 *21461:A1 *5082:37 0.000438523 +44 *21461:A2 *5082:34 0.000205421 +45 *22777:B1 *21281:A 0.000573511 +46 *22777:B1 *5082:101 0.000261552 +47 *22834:A2 *5082:57 1.00981e-05 +48 *22834:B1 *5082:57 7.98171e-06 +49 *22986:A *5082:62 3.57291e-06 +50 *23034:D *5082:62 2.01653e-05 +51 *23037:B1 *5082:62 7.86847e-05 +52 *23048:A *5082:99 5.481e-05 +53 *23118:B *5082:80 0.000125695 +54 *23140:A1 *5082:72 4.49203e-05 +55 *23255:B *5082:62 0.000161452 +56 *23361:B *5082:62 0.000168381 +57 *23362:A *5082:62 4.06262e-05 +58 *23481:B *5082:62 1.49869e-05 +59 *23481:C *5082:62 0.000145547 +60 *23520:A *5082:72 4.31485e-06 +61 *23526:C1 *5082:62 0.000201335 +62 *23528:B *5082:62 0 +63 *23565:B *5082:93 1.75155e-06 +64 *23570:D *5082:72 0.000642591 +65 *23637:A2 *5082:99 4.90621e-05 +66 *23637:A3 *5082:93 1.03403e-05 +67 *23637:A3 *5082:99 5.04829e-06 +68 *23637:B1 *5082:99 9.2932e-05 +69 *23641:A1 *5082:93 1.65872e-05 +70 *23641:A2 *5082:93 0.000107496 +71 *23641:A3 *5082:93 7.50872e-05 +72 *23665:A1 *5082:93 2.41274e-06 +73 *23724:A *5082:62 0.000159461 +74 *23725:C1 *5082:93 0.00104922 +75 *23745:B1 *5082:62 0.000168359 +76 *23782:B *5082:72 0 +77 *23806:B *5082:101 0.000391697 +78 *23825:A *5082:101 6.08467e-05 +79 *23825:C *5082:101 5.5493e-05 +80 *23825:D *5082:101 1.65872e-05 +81 *24423:CLK *5082:101 0.000263017 +82 *24449:D *5082:37 0.000932893 +83 *24515:CLK *5082:17 1.65872e-05 +84 *24521:D *5082:34 2.77625e-06 +85 *74:32 *5082:60 0.000254941 +86 *460:8 *5082:43 0.000207815 +87 *657:310 *5082:57 0.000107496 +88 *1424:8 *5082:34 1.91391e-05 +89 *1438:78 *5082:34 0.000142028 +90 *1471:185 *5082:62 0.000225576 +91 *1485:84 *5082:43 0.000967278 +92 *1573:15 *5082:34 3.65454e-05 +93 *1587:63 *5082:62 0.000213435 +94 *1587:72 *5082:62 0.00147083 +95 *1604:11 *5082:17 3.02534e-05 +96 *1604:15 *5082:17 0.000251863 +97 *1604:15 *5082:34 0.000433585 +98 *1729:63 *5082:101 0.000353686 +99 *1740:98 *5082:17 0.000375224 +100 *1762:138 *5082:17 0.000307023 +101 *1792:97 *5082:17 0.000154991 +102 *1845:178 *5082:57 0.000149628 +103 *1947:219 *5082:37 1.32002e-05 +104 *2003:71 *5082:17 0.000110306 +105 *2138:59 *5082:101 8.12984e-05 +106 *2412:12 *5082:57 0.000153225 +107 *2412:12 *5082:60 0.00230935 +108 *2516:31 *5082:37 6.31931e-05 +109 *2516:31 *5082:43 0.000669444 +110 *2517:5 *5082:37 0.000111708 +111 *2518:8 *5082:34 0.000251655 +112 *2537:30 *5082:101 0.000267069 +113 *2538:13 *21281:A 0.000203595 +114 *2592:28 *5082:101 0.00150989 +115 *2612:32 *5082:60 0 +116 *2612:32 *5082:62 0.000136451 +117 *2672:13 *5082:101 5.35691e-05 +118 *2964:35 *5082:34 1.49891e-05 +119 *3829:97 *5082:80 0.000829322 +120 *3842:170 *5082:62 0.000275354 +121 *3860:151 *5082:62 0.000131537 +122 *3862:37 *5082:62 0 +123 *3868:151 *5082:99 7.98171e-06 +124 *3874:132 *5082:62 0.000706613 +125 *3874:136 *5082:62 0.000594106 +126 *3874:194 *5082:62 0.000327305 +127 *3891:133 *5082:80 0.0006033 +128 *3895:26 *5082:62 0.000516354 +129 *3897:11 *5082:62 0 +130 *3897:64 *5082:62 9.38683e-05 +131 *3923:17 *5082:80 0.000425331 +132 *3923:17 *5082:93 3.80039e-05 +133 *3941:9 *5082:62 3.77659e-05 +134 *3958:8 *5082:99 1.04978e-05 +135 *3974:146 *5082:62 0.000289065 +136 *4001:92 *5082:72 0.000628496 +137 *4001:92 *5082:80 9.57557e-06 +138 *4001:106 *5082:72 0.000344399 +139 *4018:11 *5082:99 9.86369e-06 +140 *4019:32 *5082:62 0.000767386 +141 *4019:34 *5082:62 4.30282e-05 +142 *4019:38 *5082:62 9.28816e-05 +143 *4051:18 *5082:62 7.77309e-06 +144 *4126:145 *5082:93 1.3857e-05 +145 *4138:97 *5082:93 0.000901248 +146 *4269:6 *5082:62 0 +147 *4270:8 *5082:62 0.000148159 +148 *4386:8 *5082:62 0.000161458 +149 *4416:14 *5082:101 0.000258222 +150 *4432:8 *5082:62 0.000200251 +151 *4464:10 *5082:72 5.68225e-06 +152 *4464:10 *5082:80 0.000304735 +153 *4478:6 *5082:62 2.14422e-05 +154 *4496:31 *5082:99 0.00013434 +155 *4496:42 *5082:99 2.3527e-05 +156 *4544:22 *5082:93 7.14746e-05 +157 *4570:13 *5082:99 5.08234e-05 +158 *4627:23 *5082:72 4.68957e-05 +159 *4650:9 *5082:101 3.61993e-05 +160 *4683:6 *5082:80 0.00229453 +161 *4683:6 *5082:93 4.70498e-05 +162 *4717:25 *5082:99 5.18718e-05 +163 *4725:10 *5082:101 1.49006e-05 +164 *4844:339 *5082:17 0.000237034 +165 *4844:339 *5082:34 1.03403e-05 +166 *4921:26 *5082:17 0.000269083 +*RES +1 *24521:Q *5082:17 35.8722 +2 *5082:17 *5082:34 27.6678 +3 *5082:34 *5082:37 32.7113 +4 *5082:37 *5082:38 57.9449 +5 *5082:38 *5082:40 9.24915 +6 *5082:40 *5082:43 49.5526 +7 *5082:43 *5082:57 46.6416 +8 *5082:57 *5082:60 46.5449 +9 *5082:60 *5082:62 104.815 +10 *5082:62 *5082:72 22.145 +11 *5082:72 *5082:80 48.5234 +12 *5082:80 *5082:93 47.1411 +13 *5082:93 *5082:99 29.2367 +14 *5082:99 *5082:101 91.6784 +15 *5082:101 *21281:A 16.5072 +16 *5082:17 *20579:A1 9.24915 +*END + +*D_NET *5083 0.0028762 +*CONN +*I *21207:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20578:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24522:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21207:A 0.000157093 +2 *20578:A1 0.000404765 +3 *24522:Q 0 +4 *5083:5 0.000561858 +5 *21207:A *5726:21 2.48809e-05 +6 *21207:A *5726:29 0.00017747 +7 *476:54 *21207:A 0.000247443 +8 *1485:21 *20578:A1 0.000716776 +9 *1711:16 *20578:A1 7.84361e-05 +10 *1711:16 *21207:A 5.75757e-05 +11 *1783:101 *20578:A1 4.0752e-05 +12 *2003:20 *20578:A1 6.50586e-05 +13 *2753:67 *20578:A1 0.00018775 +14 *2753:67 *21207:A 0.00015634 +*RES +1 *24522:Q *5083:5 13.7491 +2 *5083:5 *20578:A1 26.2846 +3 *5083:5 *21207:A 19.7687 +*END + +*D_NET *5084 0.00260899 +*CONN +*I *20035:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20604:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24502:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20035:A 0.00011599 +2 *20604:A1 0.000238215 +3 *24502:Q 0 +4 *5084:5 0.000354205 +5 *20604:A1 *20604:B1 3.58531e-05 +6 *20604:A1 *5860:401 0.00085897 +7 *24520:CLK *20035:A 0.000203011 +8 *24520:CLK *20604:A1 0.000115772 +9 *1471:78 *20604:A1 0.000357464 +10 *2579:16 *20035:A 0.000213736 +11 *2579:16 *20604:A1 0.000115772 +*RES +1 *24502:Q *5084:5 13.7491 +2 *5084:5 *20604:A1 26.1722 +3 *5084:5 *20035:A 18.0727 +*END + +*D_NET *5085 0.00177605 +*CONN +*I *21989:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20603:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24503:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21989:A 0.000187508 +2 *20603:A1 3.39772e-05 +3 *24503:Q 5.17022e-05 +4 *5085:7 0.000273188 +5 *20603:A1 *20603:B1 7.20593e-05 +6 *20603:A1 *5851:211 7.20593e-05 +7 *21989:A *20603:B1 8.27312e-05 +8 *21989:A *5851:211 0.000147736 +9 *21933:B2 *21989:A 0.000360159 +10 *24503:D *5085:7 1.8078e-05 +11 *2010:23 *21989:A 7.09666e-06 +12 *2447:9 *5085:7 0.000200794 +13 *2942:15 *21989:A 0.000143891 +14 *4926:16 *21989:A 1.75688e-05 +15 *4926:28 *5085:7 0.000107496 +*RES +1 *24503:Q *5085:7 16.1364 +2 *5085:7 *20603:A1 15.1659 +3 *5085:7 *21989:A 20.8779 +*END + +*D_NET *5086 0.0188383 +*CONN +*I *19886:A I *D sky130_fd_sc_hd__inv_2 +*I *20216:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24763:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19886:A 0.000269924 +2 *20216:A1 5.23708e-05 +3 *24763:Q 0.000301724 +4 *5086:24 0.00521676 +5 *5086:23 0.00494684 +6 *5086:21 7.10213e-05 +7 *5086:20 0.000234864 +8 *5086:7 0.000517937 +9 *20216:A1 *20216:B1 9.03922e-05 +10 *5086:20 *20216:B1 0.000210003 +11 *20727:B *20216:A1 8.69672e-05 +12 *20727:B *5086:20 0.000215601 +13 *21199:B1 *5086:24 2.42023e-05 +14 *21199:C1 *5086:24 0.00113659 +15 *22391:C1 *5086:24 1.65872e-05 +16 *24763:CLK *5086:7 2.61955e-05 +17 *24951:A *5086:24 0.00170933 +18 *1610:195 *5086:24 0.00220336 +19 *2262:58 *5086:20 0.000253916 +20 *2263:45 *5086:24 2.42156e-05 +21 *2293:16 *19886:A 0.000281209 +22 *2294:39 *5086:20 0.000251935 +23 *2340:15 *5086:24 3.82228e-05 +24 *2349:15 *5086:24 4.33655e-05 +25 *2350:20 *5086:24 0.000198857 +26 *2601:23 *19886:A 1.23467e-05 +27 *3070:32 *19886:A 0.000354956 +28 *3134:214 *5086:24 5.5525e-06 +29 *4835:49 *5086:7 3.59525e-05 +30 *4844:681 *19886:A 7.09666e-06 +*RES +1 *24763:Q *5086:7 18.0775 +2 *5086:7 *20216:A1 15.5811 +3 *5086:7 *5086:20 21.0145 +4 *5086:20 *5086:21 81.1229 +5 *5086:21 *5086:23 9.24915 +6 *5086:23 *5086:24 78.3679 +7 *5086:24 *19886:A 25.8947 +*END + +*D_NET *5087 0.00270363 +*CONN +*I *21862:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20222:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24760:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21862:A 0.000183656 +2 *20222:A1 0.000281081 +3 *24760:Q 0.000129572 +4 *5087:8 0.000594309 +5 *21862:A *20224:A1 0.000154145 +6 *21862:A *5860:147 1.03403e-05 +7 *5087:8 *24758:RESET_B 0.000267394 +8 *20222:A2 *20222:A1 2.65831e-05 +9 *24758:D *21862:A 5.61217e-05 +10 *24758:CLK *20222:A1 4.87439e-05 +11 *24760:D *20222:A1 0.000175485 +12 *1502:57 *21862:A 0.000247216 +13 *1502:57 *5087:8 0.000169093 +14 *1744:95 *5087:8 0.000271606 +15 *2955:5 *20222:A1 8.82839e-05 +16 *4928:74 *21862:A 0 +17 *4928:74 *5087:8 0 +18 *4928:85 *21862:A 0 +*RES +1 *24760:Q *5087:8 19.7687 +2 *5087:8 *20222:A1 21.8606 +3 *5087:8 *21862:A 19.9053 +*END + +*D_NET *5088 0.00182548 +*CONN +*I *21668:A I *D sky130_fd_sc_hd__inv_2 +*I *20221:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24761:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21668:A 0.000110215 +2 *20221:A1 0.000167745 +3 *24761:Q 0 +4 *5088:4 0.00027796 +5 *20221:A1 *19627:B1 5.21506e-05 +6 *20221:B2 *20221:A1 3.20069e-06 +7 *1731:39 *21668:A 0.000211492 +8 *1760:8 *21668:A 0.000143047 +9 *2442:8 *20221:A1 0.000224538 +10 *2561:22 *20221:A1 5.40939e-05 +11 *2561:22 *21668:A 2.24789e-05 +12 *2964:10 *21668:A 0.000143047 +13 *4876:66 *20221:A1 0.000137293 +14 *4876:66 *21668:A 0.000278223 +*RES +1 *24761:Q *5088:4 9.24915 +2 *5088:4 *20221:A1 24.128 +3 *5088:4 *21668:A 24.1308 +*END + +*D_NET *5089 0.00217391 +*CONN +*I *21562:A I *D sky130_fd_sc_hd__inv_2 +*I *20220:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24762:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21562:A 3.78387e-05 +2 *20220:A1 0.00017392 +3 *24762:Q 0.000120362 +4 *5089:10 0.000332121 +5 *5089:10 *24762:SET_B 7.20343e-06 +6 *1697:10 *5089:10 0.000144928 +7 *2426:53 *5089:10 0.000148338 +8 *2619:5 *20220:A1 0.00079784 +9 *2619:5 *21562:A 6.08467e-05 +10 *2838:13 *21562:A 9.95922e-06 +11 *2838:17 *20220:A1 0.000323964 +12 *2838:17 *21562:A 1.65872e-05 +*RES +1 *24762:Q *5089:10 21.773 +2 *5089:10 *20220:A1 18.2916 +3 *5089:10 *21562:A 10.5513 +*END + +*D_NET *5090 0.010925 +*CONN +*I *20215:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22025:A I *D sky130_fd_sc_hd__inv_2 +*I *24764:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20215:A1 0 +2 *22025:A 0.00232655 +3 *24764:Q 0.000334085 +4 *5090:21 0.00299896 +5 *5090:13 0.00100649 +6 *22025:A *21092:B1 0.000121647 +7 *5090:13 *20215:B1 1.09551e-05 +8 *5090:13 *21634:A 0.000107496 +9 *5090:13 *5859:151 0.000247443 +10 *5090:21 *20215:B1 1.09551e-05 +11 *5090:21 *5859:132 0.00065509 +12 *5090:21 *5859:151 2.15184e-05 +13 *20215:A2 *5090:13 0.000253916 +14 *20215:B2 *5090:13 5.62524e-05 +15 *20215:B2 *5090:21 0.000148355 +16 *21091:A2 *22025:A 4.83074e-05 +17 *21092:A2 *22025:A 7.92757e-06 +18 *21092:B2 *22025:A 2.16355e-05 +19 *22297:A2 *5090:21 9.42609e-05 +20 *22319:A1 *22025:A 3.01683e-06 +21 *22319:B2 *22025:A 0 +22 *22339:A2 *22025:A 6.7671e-06 +23 *22361:A2 *22025:A 1.63105e-05 +24 *22361:B1 *22025:A 1.15389e-05 +25 *22361:B2 *22025:A 0.000107496 +26 *22362:A1 *22025:A 2.16355e-05 +27 *22362:A2 *22025:A 7.92757e-06 +28 *22362:B1 *22025:A 2.16355e-05 +29 *22362:C1 *22025:A 4.41269e-05 +30 *22363:A2 *22025:A 1.03403e-05 +31 *22363:B1 *22025:A 2.16355e-05 +32 *22363:C1 *22025:A 2.65831e-05 +33 *22404:A *5090:21 0.000107496 +34 *24213:D *22025:A 7.98171e-06 +35 *1596:134 *5090:13 0.000143732 +36 *2257:17 *5090:21 0.000735398 +37 *2404:11 *22025:A 0.000155413 +38 *2486:44 *5090:13 0.000140307 +39 *2676:17 *5090:13 6.3657e-05 +40 *2989:5 *22025:A 3.26015e-05 +41 *2989:5 *5090:21 4.09681e-05 +42 *3039:15 *22025:A 6.08467e-05 +43 *3162:181 *5090:21 0.000462658 +44 *3162:187 *5090:21 1.92172e-05 +45 *3164:180 *22025:A 3.01683e-06 +46 *3166:166 *22025:A 1.56569e-05 +47 *3257:20 *5090:13 1.33419e-05 +48 *3320:10 *22025:A 3.17103e-05 +49 *3320:10 *5090:21 1.92336e-05 +50 *4815:51 *5090:21 0.00010088 +*RES +1 *24764:Q *5090:13 28.6211 +2 *5090:13 *5090:21 24.2338 +3 *5090:21 *22025:A 45.5154 +4 *5090:13 *20215:A1 9.24915 +*END + +*D_NET *5091 0.0164586 +*CONN +*I *20214:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21890:A I *D sky130_fd_sc_hd__inv_2 +*I *24765:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20214:A1 0.000369696 +2 *21890:A 2.56332e-05 +3 *24765:Q 0.000629915 +4 *5091:26 9.66546e-05 +5 *5091:25 0.0026461 +6 *5091:22 0.00334326 +7 *5091:9 0.00176779 +8 *21890:A *5853:178 1.94584e-05 +9 *5091:22 *5853:171 0.000295764 +10 *5091:22 *5859:151 0.00020502 +11 *5091:25 *5352:8 0.000266051 +12 *5091:25 *5853:171 0.000275689 +13 *5091:25 *5853:178 2.13434e-05 +14 *20727:B *20214:A1 0.000665075 +15 *22339:B2 *5091:25 0.000295072 +16 *22394:D *5091:22 0.000250244 +17 *24213:CLK *21890:A 5.88657e-05 +18 *24213:CLK *5091:25 1.99131e-05 +19 *1551:154 *5091:22 0.000127428 +20 *1592:189 *20214:A1 8.24027e-05 +21 *1596:134 *5091:22 0.000121884 +22 *1741:40 *5091:9 0.000247164 +23 *1741:40 *5091:22 5.86131e-05 +24 *2254:11 *5091:22 8.91927e-05 +25 *2294:44 *5091:22 8.56518e-05 +26 *2321:36 *20214:A1 0.00022228 +27 *2321:43 *20214:A1 0.00046376 +28 *2452:14 *20214:A1 5.60804e-05 +29 *2452:18 *5091:9 0.000152669 +30 *2465:10 *5091:9 0.000152669 +31 *2499:39 *5091:22 0.000480689 +32 *2906:8 *5091:25 0.000105515 +33 *2989:32 *5091:9 0.000766897 +34 *2989:32 *5091:22 0.000358009 +35 *3168:198 *5091:25 0.00113081 +36 *3347:9 *5091:22 0.000258222 +37 *4812:18 *20214:A1 6.21488e-06 +38 *4815:35 *5091:9 0.000220713 +39 *4845:229 *20214:A1 2.01653e-05 +*RES +1 *24765:Q *5091:9 21.912 +2 *5091:9 *5091:22 36.2912 +3 *5091:22 *5091:25 49.3494 +4 *5091:25 *5091:26 81.1229 +5 *5091:26 *21890:A 19.2217 +6 *5091:9 *20214:A1 21.1041 +*END + +*D_NET *5092 0.00354403 +*CONN +*I *20213:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21634:A I *D sky130_fd_sc_hd__inv_2 +*I *24766:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20213:A1 0.000128811 +2 *21634:A 0.000122124 +3 *24766:Q 0.000913709 +4 *5092:8 0.00116464 +5 *20215:B2 *21634:A 0.000247443 +6 *24766:CLK *20213:A1 1.32191e-05 +7 *24766:CLK *5092:8 1.05272e-06 +8 *1886:49 *20213:A1 3.30256e-05 +9 *2266:24 *5092:8 0 +10 *2676:17 *21634:A 0.000140949 +11 *2676:17 *5092:8 0.000364437 +12 *2989:33 *5092:8 2.26283e-05 +13 *3257:20 *20213:A1 0.000164985 +14 *3257:20 *5092:8 4.42033e-05 +15 *4815:51 *20213:A1 1.79503e-05 +16 *4815:51 *21634:A 4.97617e-05 +17 *4845:229 *20213:A1 7.5909e-06 +18 *5090:13 *21634:A 0.000107496 +*RES +1 *24766:Q *5092:8 27.1207 +2 *5092:8 *21634:A 18.3548 +3 *5092:8 *20213:A1 17.6574 +*END + +*D_NET *5093 0.00509694 +*CONN +*I *20212:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21556:A I *D sky130_fd_sc_hd__inv_2 +*I *24767:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20212:A1 0.000424343 +2 *21556:A 0.000229906 +3 *24767:Q 0.000834528 +4 *5093:7 0.00148878 +5 *20212:A1 *20212:B1 2.42222e-05 +6 *20212:A1 *5893:18 0.000192228 +7 *5093:7 *24767:RESET_B 5.79739e-05 +8 *5093:7 *5862:454 9.55447e-05 +9 *20211:B2 *20212:A1 2.20583e-05 +10 *20212:A2 *20212:A1 8.77154e-06 +11 *20689:B *5093:7 6.46269e-05 +12 *21436:B2 *21556:A 0.000276909 +13 *24767:CLK *5093:7 4.43826e-05 +14 *502:11 *21556:A 0.000209326 +15 *1591:9 *20212:A1 0.000205101 +16 *1591:9 *5093:7 9.94793e-06 +17 *2305:40 *20212:A1 0.000234279 +18 *2305:40 *21556:A 2.57465e-06 +19 *2305:40 *5093:7 1.43983e-05 +20 *2461:12 *20212:A1 8.65834e-05 +21 *2461:12 *21556:A 0.000288951 +22 *2530:64 *21556:A 7.77744e-05 +23 *3343:22 *20212:A1 0.000199423 +24 *3359:14 *20212:A1 4.3116e-06 +*RES +1 *24767:Q *5093:7 25.5646 +2 *5093:7 *21556:A 22.1209 +3 *5093:7 *20212:A1 34.373 +*END + +*D_NET *5094 0.00284699 +*CONN +*I *20211:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21431:A I *D sky130_fd_sc_hd__inv_2 +*I *24768:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20211:A1 0 +2 *21431:A 0.00019745 +3 *24768:Q 0.00062539 +4 *5094:12 0.00082284 +5 *5094:12 *24768:RESET_B 0.000155578 +6 *24768:D *5094:12 9.07365e-05 +7 *1551:154 *21431:A 3.50326e-05 +8 *1652:87 *21431:A 3.39118e-05 +9 *1886:8 *21431:A 5.13937e-05 +10 *1886:19 *21431:A 2.21587e-05 +11 *1886:19 *5094:12 0.000111709 +12 *2305:40 *21431:A 0.000205006 +13 *2421:42 *21431:A 4.15661e-05 +14 *2433:31 *5094:12 0.000136973 +15 *2490:18 *21431:A 3.33993e-05 +16 *2490:18 *5094:12 6.28334e-06 +17 *3348:39 *21431:A 0.000207816 +18 *4843:784 *21431:A 6.9747e-05 +*RES +1 *24768:Q *5094:12 25.2317 +2 *5094:12 *21431:A 20.4599 +3 *5094:12 *20211:A1 13.7491 +*END + +*D_NET *5095 0.00161247 +*CONN +*I *21274:A I *D sky130_fd_sc_hd__inv_2 +*I *20210:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24769:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21274:A 3.90158e-05 +2 *20210:A1 4.23536e-05 +3 *24769:Q 0.000423296 +4 *5095:13 0.000504666 +5 *20210:A2 *20210:A1 1.65872e-05 +6 *20210:A2 *21274:A 0.00011593 +7 *20210:A2 *5095:13 6.89354e-07 +8 *20210:B2 *20210:A1 6.08467e-05 +9 *20210:B2 *5095:13 8.79845e-05 +10 *24769:D *20210:A1 7.92757e-06 +11 *2485:24 *5095:13 0.000155896 +12 *2493:41 *5095:13 0.000157279 +*RES +1 *24769:Q *5095:13 26.5407 +2 *5095:13 *20210:A1 10.5271 +3 *5095:13 *21274:A 11.0576 +*END + +*D_NET *5096 0.00289267 +*CONN +*I *21179:A I *D sky130_fd_sc_hd__inv_2 +*I *20209:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24770:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21179:A 0.0002274 +2 *20209:A1 2.94132e-05 +3 *24770:Q 0.000827403 +4 *5096:9 0.00108422 +5 *21179:A *24768:RESET_B 6.50586e-05 +6 *5096:9 *5862:475 3.4123e-05 +7 *5096:9 *5862:491 3.79135e-05 +8 *20209:A2 *20209:A1 1.81626e-05 +9 *20209:B1 *21179:A 1.2601e-05 +10 *22389:A1 *20209:A1 0.000116755 +11 *22389:A1 *5096:9 6.08467e-05 +12 *22404:C *21179:A 0 +13 *24770:D *5096:9 5.40779e-05 +14 *24770:CLK *21179:A 7.19237e-05 +15 *1635:106 *21179:A 7.08288e-05 +16 *2262:85 *21179:A 0.000121095 +17 *2433:31 *21179:A 6.08467e-05 +*RES +1 *24770:Q *5096:9 21.8121 +2 *5096:9 *20209:A1 10.5271 +3 *5096:9 *21179:A 24.1998 +*END + +*D_NET *5097 0.00184193 +*CONN +*I *19940:A I *D sky130_fd_sc_hd__inv_2 +*I *20224:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24758:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19940:A 9.71122e-06 +2 *20224:A1 0.000265218 +3 *24758:Q 0.000113246 +4 *5097:8 0.000388175 +5 *19940:A *5852:26 2.15348e-05 +6 *20224:A1 *20224:B1 0.000214341 +7 *20224:A1 *5852:26 0.00015938 +8 *20224:A1 *5860:147 1.90101e-05 +9 *5097:8 *5671:10 3.98412e-05 +10 *20224:A2 *20224:A1 2.99287e-05 +11 *21862:A *20224:A1 0.000154145 +12 *24758:D *20224:A1 4.78069e-06 +13 *2445:10 *5097:8 3.98412e-05 +14 *4863:23 *19940:A 6.50727e-05 +15 *4863:23 *20224:A1 0.000317707 +*RES +1 *24758:Q *5097:8 21.3269 +2 *5097:8 *20224:A1 19.0391 +3 *5097:8 *19940:A 9.97254 +*END + +*D_NET *5098 0.00267753 +*CONN +*I *21950:A I *D sky130_fd_sc_hd__inv_2 +*I *20223:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24759:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21950:A 0.000189715 +2 *20223:A1 0.000254992 +3 *24759:Q 0.000177477 +4 *5098:7 0.000622184 +5 *20223:A1 *20220:B1 1.72799e-05 +6 *21950:A *5671:19 0.000245645 +7 *24759:D *20223:A1 0.000164829 +8 *514:85 *20223:A1 0.000180515 +9 *1502:49 *20223:A1 8.62625e-06 +10 *1502:49 *21950:A 0.00027591 +11 *1760:8 *20223:A1 7.9427e-05 +12 *1760:8 *21950:A 4.6074e-05 +13 *1889:16 *21950:A 0.000110306 +14 *3177:99 *5098:7 6.65788e-05 +15 *4928:85 *20223:A1 0.000155243 +16 *4928:86 *5098:7 8.27319e-05 +*RES +1 *24759:Q *5098:7 16.691 +2 *5098:7 *20223:A1 22.263 +3 *5098:7 *21950:A 20.5964 +*END + +*D_NET *5099 0.00283325 +*CONN +*I *20547:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20031:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24541:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20547:A1 0.000117464 +2 *20031:A 0.000187659 +3 *24541:Q 0.000345752 +4 *5099:7 0.000650875 +5 *20031:A *5861:43 0.000319222 +6 *20547:A1 *5861:43 0.000402069 +7 *24541:D *5099:7 3.6455e-05 +8 *1725:220 *20031:A 2.23259e-05 +9 *1884:150 *20031:A 0.000312027 +10 *1884:150 *20547:A1 0.000409212 +11 *4888:184 *5099:7 3.01886e-05 +*RES +1 *24541:Q *5099:7 18.6321 +2 *5099:7 *20031:A 21.0117 +3 *5099:7 *20547:A1 20.9794 +*END + +*D_NET *5100 0.00229357 +*CONN +*I *20564:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21812:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24530:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20564:A1 0.000263611 +2 *21812:A 1.84029e-05 +3 *24530:Q 5.96836e-05 +4 *5100:8 8.94243e-05 +5 *5100:7 7.10213e-05 +6 *5100:5 0.000323294 +7 *20564:A1 *20564:B1 0.000591158 +8 *20561:A *20564:A1 0.000193069 +9 *20564:A2 *20564:A1 6.08467e-05 +10 *1506:128 *21812:A 2.4442e-05 +11 *1690:108 *20564:A1 4.66485e-05 +12 *1732:67 *21812:A 6.31931e-05 +13 *1784:55 *20564:A1 0.000170577 +14 *2785:102 *20564:A1 5.88657e-05 +15 *2785:102 *5100:5 8.87577e-05 +16 *2852:19 *20564:A1 0.000170577 +*RES +1 *24530:Q *5100:5 10.2498 +2 *5100:5 *5100:7 9.24915 +3 *5100:7 *5100:8 81.1229 +4 *5100:8 *21812:A 19.2217 +5 *5100:5 *20564:A1 28.8743 +*END + +*D_NET *5101 0.00164463 +*CONN +*I *21729:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20563:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24531:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21729:A 0.000153893 +2 *20563:A1 0.000138338 +3 *24531:Q 0 +4 *5101:4 0.000292231 +5 *20563:A1 *20563:B1 0.000350659 +6 *20563:A1 *5801:92 0.000169093 +7 *21729:A *5456:31 1.99131e-05 +8 *20528:B2 *20563:A1 0.000107496 +9 *20561:A *21729:A 6.36477e-05 +10 *20563:A2 *20563:A1 0.000111802 +11 *24922:A *20563:A1 4.88626e-05 +12 *1998:19 *21729:A 1.96041e-05 +13 *3089:22 *20563:A1 0.000169093 +*RES +1 *24531:Q *5101:4 9.24915 +2 *5101:4 *20563:A1 25.3779 +3 *5101:4 *21729:A 13.2037 +*END + +*D_NET *5102 0.00448085 +*CONN +*I *20562:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21526:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24532:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20562:A1 0.00138732 +2 *21526:A 0 +3 *24532:Q 6.46928e-05 +4 *5102:9 0.00145202 +5 *20562:A1 *20562:B1 7.25301e-05 +6 *20562:A1 *20565:B1 1.66626e-05 +7 *20562:A1 *24529:RESET_B 1.91114e-05 +8 *20562:A1 *5111:7 3.85531e-05 +9 *20562:A1 *5680:29 4.58529e-05 +10 *5102:9 *5801:91 0.000119171 +11 *5102:9 *5856:183 0.000115746 +12 *20562:A2 *20562:A1 1.09551e-05 +13 *20562:B2 *20562:A1 0.000107496 +14 *20565:A2 *20562:A1 0.00014272 +15 *24529:CLK *20562:A1 4.30017e-06 +16 *1485:142 *20562:A1 0.000267713 +17 *2603:69 *20562:A1 7.50015e-05 +18 *2993:48 *20562:A1 0.000446985 +19 *2998:13 *20562:A1 3.31745e-05 +20 *2998:13 *5102:9 6.08467e-05 +*RES +1 *24532:Q *5102:9 21.2198 +2 *5102:9 *21526:A 9.24915 +3 *5102:9 *20562:A1 48.071 +*END + +*D_NET *5103 0.00190119 +*CONN +*I *20546:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21972:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24542:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20546:A1 0.00022647 +2 *21972:A 6.85051e-05 +3 *24542:Q 6.25966e-05 +4 *5103:8 0.000357571 +5 *5103:8 *24542:SET_B 3.75221e-05 +6 *20546:B2 *20546:A1 5.04829e-06 +7 *1654:10 *21972:A 0.000247231 +8 *1654:10 *5103:8 9.25219e-05 +9 *1800:184 *21972:A 0.000247231 +10 *1800:184 *5103:8 9.75356e-05 +11 *1991:30 *20546:A1 0.000453443 +12 *2076:36 *20546:A1 5.51483e-06 +*RES +1 *24542:Q *5103:8 16.0272 +2 *5103:8 *21972:A 18.0727 +3 *5103:8 *20546:A1 19.464 +*END + +*D_NET *5104 0.00113751 +*CONN +*I *21827:A I *D sky130_fd_sc_hd__inv_2 +*I *20545:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24543:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21827:A 0 +2 *20545:A1 5.94455e-05 +3 *24543:Q 0.000183427 +4 *5104:10 0.000242872 +5 *5104:10 *5866:259 0.000121644 +6 *1770:8 *5104:10 1.02718e-05 +7 *1770:12 *5104:10 3.45017e-05 +8 *1992:70 *20545:A1 9.18538e-05 +9 *2843:11 *5104:10 1.07248e-05 +10 *4840:100 *20545:A1 0.00021569 +11 *4888:28 *5104:10 0.000167076 +*RES +1 *24543:Q *5104:10 22.7442 +2 *5104:10 *20545:A1 12.2151 +3 *5104:10 *21827:A 9.24915 +*END + +*D_NET *5105 0.00205916 +*CONN +*I *21728:A I *D sky130_fd_sc_hd__inv_6 +*I *20544:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24544:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21728:A 0 +2 *20544:A1 0.000251076 +3 *24544:Q 0.000209354 +4 *5105:9 0.000460431 +5 *20544:A2 *20544:A1 0.000284656 +6 *20544:A2 *5105:9 6.50586e-05 +7 *24348:D *5105:9 5.04829e-06 +8 *1656:8 *5105:9 6.25975e-05 +9 *1656:12 *5105:9 0 +10 *1800:184 *5105:9 6.31954e-05 +11 *2809:14 *5105:9 0.000266832 +12 *4822:50 *5105:9 1.92336e-05 +13 *4840:100 *20544:A1 7.92757e-06 +14 *4840:100 *5105:9 0.000162959 +15 *4841:131 *20544:A1 0.000200794 +*RES +1 *24544:Q *5105:9 26.0719 +2 *5105:9 *20544:A1 16.6519 +3 *5105:9 *21728:A 9.24915 +*END + +*D_NET *5106 0.00190689 +*CONN +*I *20543:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21474:A I *D sky130_fd_sc_hd__inv_2 +*I *24545:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20543:A1 0.000185756 +2 *21474:A 0 +3 *24545:Q 0.00022664 +4 *5106:8 0.000412396 +5 *20543:A1 *20543:B1 3.50982e-05 +6 *20543:A1 *5859:28 0.00017528 +7 *5106:8 *24545:RESET_B 3.12041e-05 +8 *5106:8 *5859:28 3.31882e-05 +9 *5106:8 *5859:71 8.58902e-06 +10 *24545:D *20543:A1 7.58194e-05 +11 *1725:218 *5106:8 0.00016906 +12 *1991:43 *20543:A1 0.000263107 +13 *1992:29 *20543:A1 2.29454e-05 +14 *2531:6 *5106:8 0.00016768 +15 *2985:8 *20543:A1 7.59214e-05 +16 *2985:8 *5106:8 2.42029e-05 +*RES +1 *24545:Q *5106:8 20.5992 +2 *5106:8 *21474:A 13.7491 +3 *5106:8 *20543:A1 21.3173 +*END + +*D_NET *5107 0.0018169 +*CONN +*I *21356:A I *D sky130_fd_sc_hd__inv_2 +*I *20542:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24546:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21356:A 0.000134303 +2 *20542:A1 0.000433793 +3 *24546:Q 0 +4 *5107:4 0.000568096 +5 *20542:A1 *20540:A1 0.000259589 +6 *20542:A1 *21191:A 0.000188843 +7 *20542:A2 *20542:A1 2.16355e-05 +8 *20542:B1 *20542:A1 1.19726e-05 +9 *2339:8 *20542:A1 0.000101133 +10 *2358:8 *20542:A1 9.75356e-05 +*RES +1 *24546:Q *5107:4 9.24915 +2 *5107:4 *20542:A1 29.1236 +3 *5107:4 *21356:A 11.5158 +*END + +*D_NET *5108 0.00138125 +*CONN +*I *21342:A I *D sky130_fd_sc_hd__inv_2 +*I *20541:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24547:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21342:A 0 +2 *20541:A1 0.00018832 +3 *24547:Q 0.000182411 +4 *5108:10 0.000370731 +5 *20541:A1 *5867:348 0.000217937 +6 *24461:CLK *5108:10 4.58003e-05 +7 *1784:130 *5108:10 0 +8 *1991:43 *20541:A1 3.14978e-05 +9 *2374:18 *5108:10 6.31665e-05 +10 *2404:7 *20541:A1 0.000164843 +11 *4844:653 *20541:A1 0.000116539 +*RES +1 *24547:Q *5108:10 22.1896 +2 *5108:10 *20541:A1 16.0973 +3 *5108:10 *21342:A 9.24915 +*END + +*D_NET *5109 0.00259877 +*CONN +*I *21191:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20540:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24548:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21191:A 7.42281e-05 +2 *20540:A1 0.000381775 +3 *24548:Q 5.33891e-05 +4 *5109:8 0.000509392 +5 *20540:B1 *20540:A1 7.07114e-05 +6 *20542:A1 *20540:A1 0.000259589 +7 *20542:A1 *21191:A 0.000188843 +8 *20542:A2 *20540:A1 0.00028932 +9 *20542:B1 *20540:A1 0.000351331 +10 *20542:B1 *21191:A 3.4123e-05 +11 *24548:D *20540:A1 6.92705e-05 +12 *1991:48 *20540:A1 3.67708e-05 +13 *2881:17 *5109:8 0.000148144 +14 *2886:8 *20540:A1 0 +15 *2893:13 *20540:A1 1.5254e-05 +16 *4823:8 *5109:8 2.20056e-05 +17 *4823:12 *5109:8 9.4622e-05 +*RES +1 *24548:Q *5109:8 20.9116 +2 *5109:8 *20540:A1 31.0285 +3 *5109:8 *21191:A 11.6364 +*END + +*D_NET *5110 0.00347959 +*CONN +*I *20566:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19965:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24528:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20566:A1 0.000245842 +2 *19965:A 0.000255669 +3 *24528:Q 0 +4 *5110:4 0.000501511 +5 *20566:A1 *20566:B1 0.000629249 +6 *20566:A1 *5592:19 1.5613e-05 +7 *20528:B2 *20566:A1 0.000298399 +8 *20566:A2 *20566:A1 0.000205101 +9 *20566:B2 *20566:A1 5.04829e-06 +10 *1066:22 *19965:A 0.000110306 +11 *1998:44 *20566:A1 1.65872e-05 +12 *2852:19 *20566:A1 0.000150364 +13 *3089:22 *19965:A 0.000458138 +14 *4799:10 *19965:A 0.000445136 +15 *4799:10 *20566:A1 0.000142631 +*RES +1 *24528:Q *5110:4 9.24915 +2 *5110:4 *19965:A 28.3113 +3 *5110:4 *20566:A1 28.7055 +*END + +*D_NET *5111 0.00414295 +*CONN +*I *20565:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21984:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24529:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20565:A1 0.000234552 +2 *21984:A 0.000515177 +3 *24529:Q 0.000386969 +4 *5111:7 0.0011367 +5 *20565:A1 *25378:A 6.08467e-05 +6 *20565:A1 *5868:6 1.48503e-05 +7 *5111:7 *24529:RESET_B 5.37479e-05 +8 *20562:A1 *5111:7 3.85531e-05 +9 *20565:A2 *20565:A1 6.08467e-05 +10 *20565:B2 *20565:A1 4.07355e-05 +11 *24532:D *20565:A1 6.70887e-06 +12 *1427:87 *20565:A1 0.000344954 +13 *1448:232 *20565:A1 9.054e-05 +14 *1448:232 *21984:A 0.000333113 +15 *1699:42 *20565:A1 2.3527e-05 +16 *1763:43 *20565:A1 0.000261669 +17 *1763:43 *21984:A 0.000345298 +18 *4855:13 *20565:A1 4.23733e-05 +19 *4855:13 *21984:A 0.000111722 +20 *4916:5 *21984:A 4.00689e-05 +*RES +1 *24529:Q *5111:7 18.9094 +2 *5111:7 *21984:A 27.5517 +3 *5111:7 *20565:A1 22.9542 +*END + +*D_NET *5112 0.00199292 +*CONN +*I *20509:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20033:A I *D sky130_fd_sc_hd__inv_2 +*I *24567:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20509:A1 0.000196311 +2 *20033:A 0.000236766 +3 *24567:Q 0 +4 *5112:4 0.000433077 +5 *20033:A *21195:A 0.000204507 +6 *20509:B1 *20033:A 0.000167047 +7 *20509:B1 *20509:A1 0.000244147 +8 *24567:D *20509:A1 1.37189e-05 +9 *2287:9 *20033:A 0.000164815 +10 *2984:8 *20033:A 0.000332528 +*RES +1 *24567:Q *5112:4 9.24915 +2 *5112:4 *20033:A 27.067 +3 *5112:4 *20509:A1 13.047 +*END + +*D_NET *5113 0.0010819 +*CONN +*I *21840:A I *D sky130_fd_sc_hd__inv_2 +*I *20526:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24556:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21840:A 0.000156725 +2 *20526:A1 8.63825e-05 +3 *24556:Q 0.000120887 +4 *5113:10 0.000363995 +5 *20526:A1 *20534:B1 2.16608e-05 +6 *21840:A *20534:B1 5.16586e-05 +7 *5113:10 *5858:96 6.07942e-05 +8 *20526:A2 *20526:A1 2.16355e-05 +9 *20526:A2 *21840:A 0 +10 *2838:76 *5113:10 4.03231e-05 +11 *2943:78 *5113:10 0.000157839 +*RES +1 *24556:Q *5113:10 21.773 +2 *5113:10 *20526:A1 11.0817 +3 *5113:10 *21840:A 12.7697 +*END + +*D_NET *5114 0.00245486 +*CONN +*I *21673:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20525:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24557:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21673:A 5.55293e-05 +2 *20525:A1 0.000333147 +3 *24557:Q 0 +4 *5114:5 0.000388676 +5 *20525:A1 *20525:B1 3.13805e-06 +6 *20525:A1 *5854:67 0.000209043 +7 *20525:A1 *5854:73 0.000187875 +8 *21673:A *5456:31 3.82228e-05 +9 *20521:B *21673:A 4.33979e-05 +10 *24557:CLK *20525:A1 0.000410154 +11 *24582:CLK *20525:A1 0.000279895 +12 *24922:A *20525:A1 5.69151e-05 +13 *1729:64 *20525:A1 0.000115772 +14 *1729:64 *21673:A 7.30564e-05 +15 *1773:42 *20525:A1 0.000115772 +16 *1773:42 *21673:A 3.67708e-05 +17 *4858:10 *21673:A 0.000107496 +*RES +1 *24557:Q *5114:5 13.7491 +2 *5114:5 *20525:A1 27.0885 +3 *5114:5 *21673:A 16.4439 +*END + +*D_NET *5115 0.00239027 +*CONN +*I *20524:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21465:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24558:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20524:A1 0.000303221 +2 *21465:A 6.56717e-05 +3 *24558:Q 0.000304528 +4 *5115:7 0.000673421 +5 *20524:A1 *20528:A1 6.87482e-05 +6 *20524:A1 *5681:19 2.04806e-05 +7 *20524:A1 *5856:168 0.000264044 +8 *20524:A1 *5856:183 9.34533e-05 +9 *20524:A1 *5858:112 3.33173e-06 +10 *20524:A1 *5860:696 0.000230505 +11 *21465:A *5681:19 6.03102e-05 +12 *21465:A *5860:696 0.000145396 +13 *5115:7 *5681:19 0.000119727 +14 *5115:7 *5856:183 1.37563e-05 +15 *20524:A2 *20524:A1 9.70097e-06 +16 *1066:22 *20524:A1 1.39721e-05 +*RES +1 *24558:Q *5115:7 18.3548 +2 *5115:7 *21465:A 16.4116 +3 *5115:7 *20524:A1 24.0578 +*END + +*D_NET *5116 0.00227365 +*CONN +*I *20508:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21970:A I *D sky130_fd_sc_hd__inv_2 +*I *24568:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20508:A1 0.000141525 +2 *21970:A 0.00012665 +3 *24568:Q 0.000493744 +4 *5116:7 0.00076192 +5 *21970:A *20507:A1 7.97624e-06 +6 *20508:A2 *20508:A1 0.000128501 +7 *20508:A2 *21970:A 0.000208432 +8 *24568:D *20508:A1 7.50872e-05 +9 *24568:D *21970:A 4.9073e-05 +10 *24568:D *5116:7 2.16355e-05 +11 *490:46 *20508:A1 3.22339e-05 +12 *490:46 *21970:A 7.12674e-05 +13 *1979:49 *21970:A 6.50727e-05 +14 *1980:13 *5116:7 2.00115e-05 +15 *1980:33 *21970:A 1.61631e-05 +16 *2984:7 *21970:A 1.19856e-05 +17 *4839:293 *20508:A1 4.2372e-05 +*RES +1 *24568:Q *5116:7 20.2959 +2 *5116:7 *21970:A 18.7961 +3 *5116:7 *20508:A1 18.1049 +*END + +*D_NET *5117 0.00208281 +*CONN +*I *20507:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21830:A I *D sky130_fd_sc_hd__inv_2 +*I *24569:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20507:A1 0.000291455 +2 *21830:A 0 +3 *24569:Q 0.000126148 +4 *5117:11 0.000417603 +5 *20507:A1 *5906:38 9.2668e-05 +6 *5117:11 *24597:RESET_B 8.92568e-06 +7 *5117:11 *5906:38 1.03403e-05 +8 *20507:B1 *20507:A1 5.0187e-05 +9 *20508:A2 *20507:A1 4.94e-06 +10 *21970:A *20507:A1 7.97624e-06 +11 *24568:CLK *20507:A1 0 +12 *24568:CLK *5117:11 0.00018643 +13 *24569:D *5117:11 2.20583e-05 +14 *1980:16 *20507:A1 1.19971e-05 +15 *1980:33 *20507:A1 0.000151149 +16 *2570:10 *20507:A1 0.0003565 +17 *2570:10 *5117:11 6.50586e-05 +18 *4840:283 *5117:11 0.000169063 +19 *4840:284 *5117:11 0.000110306 +*RES +1 *24569:Q *5117:11 23.7435 +2 *5117:11 *21830:A 9.24915 +3 *5117:11 *20507:A1 28.0893 +*END + +*D_NET *5118 0.00140545 +*CONN +*I *21688:A I *D sky130_fd_sc_hd__inv_6 +*I *20506:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24570:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21688:A 0 +2 *20506:A1 0.000184871 +3 *24570:Q 0.000207872 +4 *5118:10 0.000392743 +5 *5118:10 *21313:A 0.000160617 +6 *20504:A2 *5118:10 0 +7 *20504:B2 *5118:10 0.000148114 +8 *20506:A2 *20506:A1 0.000118166 +9 *1980:9 *20506:A1 0.000116755 +10 *4841:232 *20506:A1 7.63166e-05 +*RES +1 *24570:Q *5118:10 22.7442 +2 *5118:10 *20506:A1 14.9881 +3 *5118:10 *21688:A 9.24915 +*END + +*D_NET *5119 0.00149336 +*CONN +*I *20505:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21532:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24571:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20505:A1 0.000215987 +2 *21532:A 0 +3 *24571:Q 0.000185902 +4 *5119:10 0.000401888 +5 *5119:10 *24571:RESET_B 1.8696e-05 +6 *5119:10 *5866:417 2.14428e-07 +7 *20505:B2 *20505:A1 1.03434e-05 +8 *20509:A2 *20505:A1 1.88827e-05 +9 *1979:11 *20505:A1 0.00031994 +10 *2869:14 *5119:10 0.000151741 +11 *4838:274 *5119:10 0.000148129 +12 *4842:148 *20505:A1 2.16355e-05 +*RES +1 *24571:Q *5119:10 22.7442 +2 *5119:10 *21532:A 9.24915 +3 *5119:10 *20505:A1 15.5668 +*END + +*D_NET *5120 0.00164147 +*CONN +*I *20504:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21391:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24572:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20504:A1 0.000414113 +2 *21391:A 0 +3 *24572:Q 0.000191903 +4 *5120:10 0.000606016 +5 *20504:A1 *21313:A 5.13151e-05 +6 *5120:10 *24513:RESET_B 6.17339e-05 +7 *5120:10 *5888:8 0 +8 *5120:10 *5932:13 8.29362e-06 +9 *5120:10 *5932:17 0.000147963 +10 *20504:A2 *20504:A1 2.65667e-05 +11 *2375:7 *20504:A1 5.75554e-05 +12 *2451:7 *20504:A1 1.09551e-05 +13 *4841:302 *5120:10 0 +14 *4842:192 *20504:A1 6.50586e-05 +*RES +1 *24572:Q *5120:10 23.5748 +2 *5120:10 *21391:A 9.24915 +3 *5120:10 *20504:A1 18.8703 +*END + +*D_NET *5121 0.00410832 +*CONN +*I *20503:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21276:A I *D sky130_fd_sc_hd__inv_2 +*I *24573:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20503:A1 4.02706e-05 +2 *21276:A 0.000287603 +3 *24573:Q 0.00103121 +4 *5121:13 0.00135909 +5 *5121:13 *5866:651 9.27233e-05 +6 *24574:D *20503:A1 4.27003e-05 +7 *24574:D *21276:A 1.44467e-05 +8 *24574:CLK *5121:13 2.33737e-05 +9 *2287:9 *21276:A 0.000629908 +10 *2339:7 *21276:A 5.04829e-06 +11 *2869:17 *21276:A 0.000221251 +12 *4823:8 *21276:A 0 +13 *4844:96 *20503:A1 9.40059e-05 +14 *4844:96 *21276:A 0.000266681 +*RES +1 *24573:Q *5121:13 37.814 +2 *5121:13 *21276:A 25.3119 +3 *5121:13 *20503:A1 15.5811 +*END + +*D_NET *5122 0.00248808 +*CONN +*I *20502:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21230:A I *D sky130_fd_sc_hd__inv_2 +*I *24574:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20502:A1 0.000287872 +2 *21230:A 6.82141e-05 +3 *24574:Q 0 +4 *5122:4 0.000356086 +5 *20502:A1 *24573:RESET_B 0.00012568 +6 *21230:A *24574:RESET_B 1.61631e-05 +7 *2375:10 *20502:A1 0.000367283 +8 *2570:14 *20502:A1 0.000888972 +9 *4845:85 *20502:A1 0.000377813 +*RES +1 *24574:Q *5122:4 9.24915 +2 *5122:4 *21230:A 10.9612 +3 *5122:4 *20502:A1 34.246 +*END + +*D_NET *5123 0.00271946 +*CONN +*I *20016:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20528:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24554:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20016:A 0.000143541 +2 *20528:A1 0.000292883 +3 *24554:Q 5.53578e-05 +4 *5123:6 0.000491782 +5 *20016:A *5851:84 0.00020502 +6 *20528:A1 *5592:19 0.000610613 +7 *20528:A1 *5592:33 8.29362e-05 +8 *20528:A1 *5860:696 9.14501e-05 +9 *20524:A1 *20528:A1 6.87482e-05 +10 *20524:B2 *20528:A1 2.65667e-05 +11 *1597:20 *20016:A 0.000171082 +12 *1597:20 *5123:6 0.000114192 +13 *2523:52 *20016:A 7.63448e-05 +14 *4927:151 *20016:A 2.77564e-05 +15 *4927:151 *5123:6 0.000114192 +16 *4927:160 *20016:A 0.000146997 +*RES +1 *24554:Q *5123:6 15.9964 +2 *5123:6 *20528:A1 23.9249 +3 *5123:6 *20016:A 19.6294 +*END + +*D_NET *5124 0.00255118 +*CONN +*I *21990:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20527:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24555:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21990:A 0.000100779 +2 *20527:A1 0.000258653 +3 *24555:Q 8.91309e-05 +4 *5124:8 0.000448562 +5 *20527:A1 *20533:B1 4.91225e-06 +6 *20527:A1 *5854:51 1.31872e-05 +7 *20527:A1 *5904:114 0.000829326 +8 *21990:A *5904:114 0.000235492 +9 *5124:8 *5851:83 0.000148591 +10 *24555:D *20527:A1 1.75125e-05 +11 *1575:19 *5124:8 0.000148591 +12 *1985:56 *20527:A1 2.57847e-05 +13 *2660:94 *20527:A1 0.000142677 +14 *2660:94 *21990:A 8.79845e-05 +*RES +1 *24555:Q *5124:8 21.3269 +2 *5124:8 *20527:A1 18.8462 +3 *5124:8 *21990:A 12.7697 +*END + +*D_NET *5125 0.00170738 +*CONN +*I *20471:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20014:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24593:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20471:A1 0.000137106 +2 *20014:A 0.00012098 +3 *24593:Q 0.000363824 +4 *5125:7 0.00062191 +5 *20014:A *24637:SET_B 3.42931e-05 +6 *20014:A *5898:255 4.58003e-05 +7 *20471:A1 *24637:SET_B 1.12605e-05 +8 *20471:B2 *20471:A1 1.09738e-05 +9 *24593:CLK *5125:7 4.65531e-05 +10 *1619:8 *20014:A 7.56859e-06 +11 *1619:8 *20471:A1 6.57953e-05 +12 *1770:7 *20014:A 1.03403e-05 +13 *1967:10 *20014:A 4.70005e-05 +14 *1967:10 *20471:A1 0.000121741 +15 *2996:14 *20471:A1 0 +16 *4887:48 *5125:7 6.22333e-05 +*RES +1 *24593:Q *5125:7 19.1867 +2 *5125:7 *20014:A 17.2744 +3 *5125:7 *20471:A1 18.9032 +*END + +*D_NET *5126 0.00178308 +*CONN +*I *21846:A I *D sky130_fd_sc_hd__inv_2 +*I *20488:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24582:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21846:A 0.000156645 +2 *20488:A1 0.000527513 +3 *24582:Q 0 +4 *5126:4 0.000684158 +5 *20488:A1 *20488:B1 5.83326e-05 +6 *20488:A2 *20488:A1 1.4827e-05 +7 *24582:D *20488:A1 2.61955e-05 +8 *1603:48 *20488:A1 0.000152382 +9 *1733:26 *20488:A1 0.000157253 +10 *4849:33 *20488:A1 5.76947e-06 +*RES +1 *24582:Q *5126:4 9.24915 +2 *5126:4 *20488:A1 27.946 +3 *5126:4 *21846:A 12.3477 +*END + +*D_NET *5127 0.00226974 +*CONN +*I *21706:A I *D sky130_fd_sc_hd__inv_2 +*I *20487:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24583:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21706:A 0.000273274 +2 *20487:A1 0.000394003 +3 *24583:Q 0 +4 *5127:4 0.000667277 +5 *20487:A1 *20487:B1 4.31603e-06 +6 *20487:B2 *20487:A1 6.1478e-06 +7 *22479:B1 *20487:A1 0.000671592 +8 *1560:36 *20487:A1 9.32704e-05 +9 *1569:71 *20487:A1 3.82228e-05 +10 *2614:38 *20487:A1 9.66954e-05 +11 *2649:37 *21706:A 2.49391e-05 +*RES +1 *24583:Q *5127:4 9.24915 +2 *5127:4 *20487:A1 29.0084 +3 *5127:4 *21706:A 13.5895 +*END + +*D_NET *5128 0.00316311 +*CONN +*I *20486:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21516:A I *D sky130_fd_sc_hd__inv_2 +*I *24584:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20486:A1 0.000293491 +2 *21516:A 0.000144965 +3 *24584:Q 0.000153645 +4 *5128:8 0.000592102 +5 *19812:B *20486:A1 0.000353561 +6 *1454:59 *21516:A 0.000225748 +7 *1454:59 *5128:8 9.75356e-05 +8 *1501:76 *20486:A1 1.92172e-05 +9 *1541:145 *20486:A1 0.00019786 +10 *1716:46 *21516:A 1.27831e-06 +11 *1716:46 *5128:8 2.0388e-06 +12 *2522:32 *5128:8 0.000160617 +13 *2583:9 *21516:A 6.08467e-05 +14 *2597:29 *21516:A 7.94466e-05 +15 *2709:24 *20486:A1 0.000644221 +16 *2709:24 *5128:8 7.14746e-05 +17 *2998:13 *21516:A 6.50586e-05 +*RES +1 *24584:Q *5128:8 17.4137 +2 *5128:8 *21516:A 18.7961 +3 *5128:8 *20486:A1 24.4554 +*END + +*D_NET *5129 0.00128288 +*CONN +*I *20470:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21982:A I *D sky130_fd_sc_hd__inv_4 +*I *24594:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20470:A1 0.00011313 +2 *21982:A 0.000170923 +3 *24594:Q 0 +4 *5129:4 0.000284054 +5 *20466:B1 *21982:A 0.000417876 +6 *20470:B1 *20470:A1 3.85049e-05 +7 *24594:D *20470:A1 5.75508e-05 +8 *24594:D *21982:A 1.51705e-05 +9 *1967:33 *20470:A1 2.53145e-06 +10 *1967:33 *21982:A 0 +11 *1968:32 *21982:A 3.88655e-06 +12 *1968:34 *21982:A 5.33358e-06 +13 *1968:38 *21982:A 0.000173919 +*RES +1 *24594:Q *5129:4 9.24915 +2 *5129:4 *21982:A 26.3113 +3 *5129:4 *20470:A1 12.4924 +*END + +*D_NET *5130 0.00261352 +*CONN +*I *21853:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20469:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24595:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21853:A 0.00015017 +2 *20469:A1 0.00026862 +3 *24595:Q 0.000251799 +4 *5130:10 0.000670589 +5 *20469:A1 *19898:A 0.000536581 +6 *20469:A1 *21964:A 0.000105847 +7 *21853:A *19898:A 0.000332239 +8 *5130:10 *21964:A 0 +9 *20469:A2 *20469:A1 6.50727e-05 +10 *20469:B1 *20469:A1 6.08467e-05 +11 *1656:7 *20469:A1 6.50727e-05 +12 *4824:25 *5130:10 7.16893e-05 +13 *4840:325 *21853:A 3.49902e-05 +*RES +1 *24595:Q *5130:10 23.1595 +2 *5130:10 *20469:A1 18.2916 +3 *5130:10 *21853:A 13.8789 +*END + +*D_NET *5131 0.000934678 +*CONN +*I *21720:A I *D sky130_fd_sc_hd__clkinv_8 +*I *20468:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24596:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21720:A 8.1605e-05 +2 *20468:A1 0.000208987 +3 *24596:Q 0 +4 *5131:4 0.000290592 +5 *20468:A2 *20468:A1 6.50586e-05 +6 *20468:B2 *20468:A1 0.000169041 +7 *1967:67 *20468:A1 2.95757e-05 +8 *1967:74 *20468:A1 4.66492e-05 +9 *2737:6 *20468:A1 0 +10 *2978:8 *20468:A1 1.90218e-05 +11 *4830:11 *20468:A1 2.41483e-05 +*RES +1 *24596:Q *5131:4 9.24915 +2 *5131:4 *20468:A1 24.4053 +3 *5131:4 *21720:A 11.0817 +*END + +*D_NET *5132 0.00135817 +*CONN +*I *21513:A I *D sky130_fd_sc_hd__inv_4 +*I *20467:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24597:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21513:A 5.05104e-05 +2 *20467:A1 0.000240568 +3 *24597:Q 0 +4 *5132:4 0.000291078 +5 *20467:A1 *24597:RESET_B 7.68538e-06 +6 *20467:A1 *5906:38 0.000164843 +7 *21513:A *24597:RESET_B 6.50586e-05 +8 *21513:A *5906:38 1.00846e-05 +9 *24597:D *20467:A1 0.000164829 +10 *24597:CLK *20467:A1 0.000118166 +11 *1741:6 *20467:A1 0.000148129 +12 *1967:33 *20467:A1 3.75217e-05 +13 *4887:17 *20467:A1 5.96936e-05 +*RES +1 *24597:Q *5132:4 9.24915 +2 *5132:4 *20467:A1 26.8194 +3 *5132:4 *21513:A 10.9612 +*END + +*D_NET *5133 0.00148589 +*CONN +*I *21407:A I *D sky130_fd_sc_hd__clkinv_8 +*I *20466:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24598:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21407:A 2.70753e-05 +2 *20466:A1 0.000362371 +3 *24598:Q 0 +4 *5133:4 0.000389447 +5 *20466:A1 *24598:RESET_B 0.000123479 +6 *20466:A1 *5932:13 0.000329533 +7 *21407:A *24598:RESET_B 0.000113968 +8 *21407:A *5932:13 2.20702e-05 +9 *1967:42 *20466:A1 4.41664e-05 +10 *1968:32 *20466:A1 7.37782e-05 +*RES +1 *24598:Q *5133:4 9.24915 +2 *5133:4 *20466:A1 30.8087 +3 *5133:4 *21407:A 10.5271 +*END + +*D_NET *5134 0.00809155 +*CONN +*I *21313:A I *D sky130_fd_sc_hd__inv_2 +*I *20465:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24599:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21313:A 0.00167719 +2 *20465:A1 0.000885473 +3 *24599:Q 0 +4 *5134:4 0.00256266 +5 *21313:A *5288:9 8.05457e-05 +6 *20504:A1 *21313:A 5.13151e-05 +7 *20504:A2 *21313:A 0.000609505 +8 *20508:B2 *20465:A1 1.7883e-05 +9 *20508:B2 *21313:A 2.99287e-05 +10 *24570:D *21313:A 0.000150236 +11 *24595:CLK *20465:A1 0.000376555 +12 *24599:D *20465:A1 9.19886e-06 +13 *2809:6 *20465:A1 0.000443332 +14 *4839:282 *20465:A1 4.36638e-05 +15 *4839:282 *21313:A 1.65351e-05 +16 *4839:293 *21313:A 0.00083573 +17 *4842:182 *21313:A 5.73392e-05 +18 *4842:192 *21313:A 2.7318e-06 +19 *4887:26 *20465:A1 8.10996e-05 +20 *5118:10 *21313:A 0.000160617 +*RES +1 *24599:Q *5134:4 9.24915 +2 *5134:4 *20465:A1 37.0403 +3 *5134:4 *21313:A 44.4062 +*END + +*D_NET *5135 0.00334626 +*CONN +*I *21195:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20464:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24600:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21195:A 0.000324685 +2 *20464:A1 0.000522936 +3 *24600:Q 0 +4 *5135:4 0.000847621 +5 *20464:A1 *24600:RESET_B 0.000126185 +6 *20464:A1 *5866:422 0.000302374 +7 *21195:A *24600:RESET_B 3.072e-06 +8 *21195:A *5898:255 3.58951e-06 +9 *20033:A *21195:A 0.000204507 +10 *20464:A2 *20464:A1 6.08467e-05 +11 *20509:B1 *20464:A1 4.58666e-05 +12 *20509:B1 *21195:A 9.75356e-05 +13 *24600:D *20464:A1 0.000162583 +14 *1656:8 *20464:A1 0.000274843 +15 *1656:8 *21195:A 0 +16 *2287:9 *21195:A 0.000104868 +17 *2984:8 *20464:A1 0 +18 *2984:8 *21195:A 7.77309e-06 +19 *4845:80 *20464:A1 0.000256971 +*RES +1 *24600:Q *5135:4 9.24915 +2 *5135:4 *20464:A1 36.9035 +3 *5135:4 *21195:A 26.68 +*END + +*D_NET *5136 0.00261872 +*CONN +*I *20041:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20490:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24580:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20041:A 0.000110225 +2 *20490:A1 0.000906299 +3 *24580:Q 0 +4 *5136:4 0.00101652 +5 *20490:A1 *20490:B1 3.75603e-05 +6 *20489:A2 *20490:A1 0.000336653 +7 *20490:A2 *20490:A1 0.000124062 +8 *24580:CLK *20490:A1 9.95542e-06 +9 *74:44 *20041:A 2.78272e-05 +10 *74:44 *20490:A1 2.29263e-05 +11 *1794:60 *20041:A 2.16355e-05 +12 *1797:41 *20041:A 5.04829e-06 +*RES +1 *24580:Q *5136:4 9.24915 +2 *5136:4 *20490:A1 22.7525 +3 *5136:4 *20041:A 12.0704 +*END + +*D_NET *5137 0.00167522 +*CONN +*I *21983:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20489:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24581:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21983:A 0.000158506 +2 *20489:A1 0.000454603 +3 *24581:Q 0 +4 *5137:4 0.000613109 +5 *20489:A1 *24581:RESET_B 4.59816e-06 +6 *1569:78 *20489:A1 6.72613e-05 +7 *1764:71 *20489:A1 3.99086e-06 +8 *1764:71 *21983:A 0.00025175 +9 *2709:37 *20489:A1 0.0001214 +*RES +1 *24581:Q *5137:4 9.24915 +2 *5137:4 *20489:A1 25.5173 +3 *5137:4 *21983:A 13.5895 +*END + +*D_NET *5138 0.00122098 +*CONN +*I *20433:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20043:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24619:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20433:A1 0.000103373 +2 *20043:A 0 +3 *24619:Q 9.20893e-05 +4 *5138:10 0.000195463 +5 *20433:A1 *21852:A 2.54419e-05 +6 *20430:B2 *20433:A1 0.000160617 +7 *20430:B2 *5138:10 4.5539e-05 +8 *20433:B1 *20433:A1 2.65667e-05 +9 *24619:D *20433:A1 0.000413266 +10 *24622:CLK *5138:10 6.37652e-06 +11 *2978:8 *5138:10 0.00012568 +12 *4841:124 *20433:A1 2.65667e-05 +*RES +1 *24619:Q *5138:10 20.9425 +2 *5138:10 *20043:A 9.24915 +3 *5138:10 *20433:A1 14.4335 +*END + +*D_NET *5139 0.000946803 +*CONN +*I *20450:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21897:A I *D sky130_fd_sc_hd__inv_2 +*I *24608:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20450:A1 1.42184e-05 +2 *21897:A 9.56872e-05 +3 *24608:Q 5.80873e-05 +4 *5139:5 0.000167993 +5 *5139:5 *20450:B1 6.50586e-05 +6 *20450:A2 *20450:A1 9.95922e-06 +7 *20450:A2 *21897:A 5.81826e-05 +8 *20450:B2 *5139:5 9.06436e-05 +9 *24608:CLK *21897:A 0 +10 *24608:CLK *5139:5 0.000137293 +11 *1961:11 *21897:A 0.000177588 +12 *1961:11 *5139:5 1.65872e-05 +13 *4863:154 *21897:A 2.4732e-05 +14 *4863:154 *5139:5 3.07726e-05 +*RES +1 *24608:Q *5139:5 11.9137 +2 *5139:5 *21897:A 13.5413 +3 *5139:5 *20450:A1 9.82786 +*END + +*D_NET *5140 0.00172695 +*CONN +*I *20449:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21721:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24609:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20449:A1 0.000182946 +2 *21721:A 0.000101258 +3 *24609:Q 0 +4 *5140:5 0.000284203 +5 *21721:A *24609:RESET_B 5.04829e-06 +6 *21721:A *5854:578 6.08467e-05 +7 *21721:A *5858:179 5.97576e-05 +8 *21721:A *5869:84 4.97617e-05 +9 *20449:A2 *20449:A1 0.000107496 +10 *20451:A2 *20449:A1 6.89789e-05 +11 *20451:B2 *20449:A1 0.000344954 +12 *24609:D *20449:A1 3.99086e-06 +13 *2510:36 *20449:A1 0.000141505 +14 *2510:36 *21721:A 8.37812e-05 +15 *4799:22 *20449:A1 0.000152229 +16 *4799:22 *21721:A 8.01987e-05 +*RES +1 *24609:Q *5140:5 13.7491 +2 *5140:5 *21721:A 17.5531 +3 *5140:5 *20449:A1 20.8779 +*END + +*D_NET *5141 0.00260913 +*CONN +*I *21464:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20448:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24610:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21464:A 0.0001235 +2 *20448:A1 0.000194307 +3 *24610:Q 0 +4 *5141:5 0.000317808 +5 *20448:A1 *20448:B1 0.00015901 +6 *20448:A1 *5851:143 3.0577e-05 +7 *20448:A1 *5851:153 4.15661e-05 +8 *20448:A1 *5851:171 0.000154145 +9 *20448:A1 *5869:212 7.01935e-06 +10 *20448:A1 *5869:236 4.92187e-05 +11 *21464:A *5851:143 0.000109859 +12 *20448:A2 *20448:A1 0.00015607 +13 *1467:138 *21464:A 0.000347214 +14 *1784:32 *20448:A1 0.000272735 +15 *1784:32 *21464:A 0.000116971 +16 *2803:61 *21464:A 0.000347214 +17 *2810:38 *20448:A1 0.0001682 +18 *2810:40 *20448:A1 1.37169e-05 +*RES +1 *24610:Q *5141:5 13.7491 +2 *5141:5 *20448:A1 22.3996 +3 *5141:5 *21464:A 20.0474 +*END + +*D_NET *5142 0.00110679 +*CONN +*I *21971:A I *D sky130_fd_sc_hd__inv_2 +*I *20432:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24620:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21971:A 0 +2 *20432:A1 0.000200867 +3 *24620:Q 0.000192936 +4 *5142:10 0.000393803 +5 *20432:A2 *20432:A1 2.08043e-05 +6 *24620:D *20432:A1 6.89424e-06 +7 *1607:14 *5142:10 0.00015321 +8 *2868:11 *5142:10 0.000138276 +*RES +1 *24620:Q *5142:10 22.4669 +2 *5142:10 *20432:A1 13.2037 +3 *5142:10 *21971:A 9.24915 +*END + +*D_NET *5143 0.00255676 +*CONN +*I *20431:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21877:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24621:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20431:A1 8.4988e-05 +2 *21877:A 0.000116205 +3 *24621:Q 0.000445017 +4 *5143:8 0.00064621 +5 *21877:A *21312:A 2.65831e-05 +6 *5143:8 *24626:RESET_B 1.40978e-05 +7 *5143:8 *5866:543 0.000179332 +8 *20426:B2 *5143:8 1.86242e-05 +9 *20431:A2 *20431:A1 0.0002296 +10 *20540:B1 *21877:A 0 +11 *20540:B1 *5143:8 0 +12 *1884:121 *20431:A1 0.000413252 +13 *1955:72 *20431:A1 1.00981e-05 +14 *1955:92 *20431:A1 2.59398e-05 +15 *1956:49 *5143:8 3.29175e-05 +16 *2886:8 *21877:A 0.000139435 +17 *2886:8 *5143:8 0.000152826 +18 *4826:25 *21877:A 2.16355e-05 +*RES +1 *24621:Q *5143:8 22.5417 +2 *5143:8 *21877:A 17.135 +3 *5143:8 *20431:A1 18.3548 +*END + +*D_NET *5144 0.0014736 +*CONN +*I *20430:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21705:A I *D sky130_fd_sc_hd__inv_6 +*I *24622:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20430:A1 0.000234405 +2 *21705:A 0 +3 *24622:Q 0.000233237 +4 *5144:11 0.000467642 +5 *20430:A1 *5857:14 9.01687e-05 +6 *5144:11 *5857:14 4.31539e-05 +7 *5144:11 *5859:14 0.000171273 +8 *20430:A2 *20430:A1 6.27718e-05 +9 *1656:8 *5144:11 0.000138988 +10 *1789:6 *5144:11 3.19611e-05 +*RES +1 *24622:Q *5144:11 24.0222 +2 *5144:11 *21705:A 9.24915 +3 *5144:11 *20430:A1 14.9881 +*END + +*D_NET *5145 0.00159756 +*CONN +*I *20429:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21446:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24623:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20429:A1 9.84707e-05 +2 *21446:A 5.00512e-05 +3 *24623:Q 0.000341879 +4 *5145:7 0.000490401 +5 *21446:A *5866:303 5.74949e-05 +6 *1741:6 *20429:A1 0.000268195 +7 *1741:6 *21446:A 0.000119523 +8 *2737:10 *20429:A1 0.00011362 +9 *2737:10 *21446:A 3.17325e-05 +10 *4888:67 *5145:7 2.61955e-05 +*RES +1 *24623:Q *5145:7 18.3548 +2 *5145:7 *21446:A 15.9964 +3 *5145:7 *20429:A1 18.4879 +*END + +*D_NET *5146 0.00210914 +*CONN +*I *21357:A I *D sky130_fd_sc_hd__inv_2 +*I *20428:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24624:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21357:A 0.000116551 +2 *20428:A1 0.000479641 +3 *24624:Q 0 +4 *5146:4 0.000596192 +5 *20428:A1 *24624:RESET_B 5.37479e-05 +6 *20428:A1 *5888:8 1.94327e-05 +7 *20428:A2 *20428:A1 2.4344e-05 +8 *24954:A *20428:A1 1.71199e-05 +9 *24954:A *21357:A 7.68112e-05 +10 *2339:8 *20428:A1 5.99717e-05 +11 *4824:87 *21357:A 0.000264586 +12 *4825:28 *20428:A1 0.00040074 +*RES +1 *24624:Q *5146:4 9.24915 +2 *5146:4 *20428:A1 29.0378 +3 *5146:4 *21357:A 13.903 +*END + +*D_NET *5147 0.00299103 +*CONN +*I *21286:A I *D sky130_fd_sc_hd__inv_2 +*I *20427:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24625:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21286:A 2.16062e-05 +2 *20427:A1 0.000210036 +3 *24625:Q 0.000135793 +4 *5147:9 0.000367435 +5 *20427:A1 *5857:46 0.000785018 +6 *5147:9 *5857:46 0.000406808 +7 *5147:9 *5888:8 0.000116986 +8 *20428:A2 *20427:A1 2.6046e-05 +9 *1955:103 *20427:A1 0.000184946 +10 *2375:12 *5147:9 0.000116986 +11 *4844:100 *20427:A1 1.07248e-05 +12 *4844:108 *20427:A1 0.000106245 +13 *4845:112 *20427:A1 0.000339395 +14 *4845:112 *5147:9 0.000163008 +15 *4893:84 *20427:A1 0 +*RES +1 *24625:Q *5147:9 25.102 +2 *5147:9 *20427:A1 30.6452 +3 *5147:9 *21286:A 9.82786 +*END + +*D_NET *5148 0.00259992 +*CONN +*I *21227:A I *D sky130_fd_sc_hd__inv_2 +*I *20426:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24626:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21227:A 0 +2 *20426:A1 8.7533e-05 +3 *24626:Q 0.00067922 +4 *5148:10 0.000766753 +5 *5148:10 *24626:RESET_B 2.57516e-05 +6 *5148:10 *5866:601 2.87914e-05 +7 *20426:B1 *20426:A1 5.04829e-06 +8 *24626:D *5148:10 7.50872e-05 +9 *24626:CLK *20426:A1 9.63981e-05 +10 *1884:121 *20426:A1 0.000536595 +11 *1955:92 *20426:A1 7.68538e-06 +12 *1955:103 *20426:A1 7.97944e-05 +13 *2135:70 *20426:A1 2.39581e-05 +14 *2135:70 *5148:10 6.08467e-05 +15 *2294:8 *5148:10 7.50722e-05 +16 *2294:12 *5148:10 1.76347e-05 +17 *2530:11 *5148:10 1.61918e-05 +18 *4823:8 *5148:10 1.75625e-05 +*RES +1 *24626:Q *5148:10 29.3995 +2 *5148:10 *20426:A1 15.5427 +3 *5148:10 *21227:A 9.24915 +*END + +*D_NET *5149 0.00132065 +*CONN +*I *20047:A I *D sky130_fd_sc_hd__inv_2 +*I *20452:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24606:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20047:A 3.54593e-05 +2 *20452:A1 0.000201195 +3 *24606:Q 0 +4 *5149:5 0.000236654 +5 *20047:A *20413:B1 6.27782e-05 +6 *20047:A *5860:636 6.27782e-05 +7 *20452:A2 *20452:A1 2.58616e-05 +8 *24606:D *20452:A1 0.00015607 +9 *1442:63 *20452:A1 5.04829e-06 +10 *1442:73 *20047:A 2.1032e-05 +11 *1442:73 *20452:A1 2.53347e-05 +12 *1818:48 *20452:A1 7.33918e-05 +13 *2274:30 *20452:A1 2.65331e-05 +14 *2274:38 *20452:A1 0.00025233 +15 *2836:8 *20047:A 4.53418e-05 +16 *2836:8 *20452:A1 9.08421e-05 +*RES +1 *24606:Q *5149:5 13.7491 +2 *5149:5 *20452:A1 20.7414 +3 *5149:5 *20047:A 15.474 +*END + +*D_NET *5150 0.00239107 +*CONN +*I *21960:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20451:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24607:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21960:A 5.90172e-05 +2 *20451:A1 0.000961545 +3 *24607:Q 0 +4 *5150:4 0.00102056 +5 *20451:A1 *24607:RESET_B 0.000100397 +6 *20451:A2 *20451:A1 1.03403e-05 +7 *20451:B2 *20451:A1 8.67924e-06 +8 *24607:D *20451:A1 2.99978e-05 +9 *24607:CLK *20451:A1 5.07314e-05 +10 *1730:83 *20451:A1 8.47289e-05 +11 *1730:83 *21960:A 6.50727e-05 +*RES +1 *24607:Q *5150:4 9.24915 +2 *5150:4 *20451:A1 23.3071 +3 *5150:4 *21960:A 10.9612 +*END + +*D_NET *5151 0.00167872 +*CONN +*I *19963:A I *D sky130_fd_sc_hd__inv_2 +*I *20394:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24645:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19963:A 0.000278361 +2 *20394:A1 6.86865e-05 +3 *24645:Q 9.30019e-05 +4 *5151:5 0.000440049 +5 *20778:A *19963:A 1.5714e-05 +6 *24950:A *19963:A 4.01733e-05 +7 *1153:15 *19963:A 0.000169442 +8 *1942:67 *19963:A 3.20069e-06 +9 *1942:84 *19963:A 1.67453e-05 +10 *2551:8 *20394:A1 0.000114441 +11 *2764:42 *19963:A 0.000247443 +12 *2764:42 *5151:5 0.000137293 +13 *2950:22 *20394:A1 3.84518e-05 +14 *4889:183 *20394:A1 1.5714e-05 +*RES +1 *24645:Q *5151:5 10.8044 +2 *5151:5 *20394:A1 20.4964 +3 *5151:5 *19963:A 24.1616 +*END + +*D_NET *5152 0.00129745 +*CONN +*I *21896:A I *D sky130_fd_sc_hd__inv_2 +*I *20412:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24634:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21896:A 0.000169744 +2 *20412:A1 2.1308e-05 +3 *24634:Q 8.32903e-05 +4 *5152:5 0.000274342 +5 *5152:5 *20412:B1 3.31745e-05 +6 *5152:5 *24634:SET_B 1.33419e-05 +7 *20412:A2 *21896:A 0.000165521 +8 *20412:B2 *5152:5 6.08467e-05 +9 *22467:A1 *21896:A 9.88e-06 +10 *24634:D *21896:A 9.54357e-06 +11 *24634:D *5152:5 2.1547e-05 +12 *1949:52 *21896:A 0.000176031 +13 *1950:10 *21896:A 1.88014e-05 +14 *2745:10 *21896:A 1.32772e-05 +15 *2864:82 *21896:A 0.000111208 +16 *2864:82 *5152:5 0.000115596 +*RES +1 *24634:Q *5152:5 12.4683 +2 *5152:5 *20412:A1 9.82786 +3 *5152:5 *21896:A 24.684 +*END + +*D_NET *5153 0.00443952 +*CONN +*I *21723:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20411:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24635:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21723:A 6.35546e-05 +2 *20411:A1 0.000321774 +3 *24635:Q 0.000398551 +4 *5153:10 0.000783879 +5 *20411:A1 *20411:B1 3.60363e-05 +6 *20411:A1 *5854:606 1.55995e-05 +7 *5153:10 *5854:606 0.000178971 +8 *19920:B *20411:A1 2.65831e-05 +9 *20407:B *20411:A1 3.31745e-05 +10 *20411:A2 *20411:A1 6.36477e-05 +11 *1501:34 *20411:A1 6.08467e-05 +12 *1501:34 *21723:A 0.000165302 +13 *1501:42 *5153:10 2.69795e-05 +14 *1675:15 *20411:A1 0.000792901 +15 *1675:15 *21723:A 0.000207266 +16 *1677:139 *20411:A1 0.000121023 +17 *1947:196 *20411:A1 2.29454e-05 +18 *1949:5 *20411:A1 0.000688824 +19 *2745:10 *20411:A1 0.000212109 +20 *2994:29 *5153:10 5.96936e-05 +21 *4832:127 *5153:10 0.000146388 +22 *4931:44 *5153:10 1.34685e-05 +*RES +1 *24635:Q *5153:10 26.0719 +2 *5153:10 *20411:A1 24.4163 +3 *5153:10 *21723:A 12.2151 +*END + +*D_NET *5154 0.00202987 +*CONN +*I *21447:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20410:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24636:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21447:A 0.000132963 +2 *20410:A1 0.000308933 +3 *24636:Q 0 +4 *5154:5 0.000441896 +5 *20410:A1 *5869:262 0.000393863 +6 *21788:B2 *20410:A1 0.000227475 +7 *1466:66 *20410:A1 9.34404e-05 +8 *1466:66 *21447:A 0.000233232 +9 *1501:53 *20410:A1 3.59505e-05 +10 *1501:53 *21447:A 7.52065e-05 +11 *2521:27 *21447:A 6.48631e-05 +12 *2971:23 *20410:A1 2.20471e-05 +*RES +1 *24636:Q *5154:5 13.7491 +2 *5154:5 *20410:A1 23.5144 +3 *5154:5 *21447:A 18.4879 +*END + +*D_NET *5155 0.00366798 +*CONN +*I *21905:A I *D sky130_fd_sc_hd__inv_2 +*I *20393:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24646:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21905:A 0.000501289 +2 *20393:A1 0.00070864 +3 *24646:Q 0 +4 *5155:4 0.00120993 +5 *20393:A1 *24455:SET_B 4.31793e-05 +6 *20393:A1 *24646:SET_B 0.000218959 +7 *21905:A *24646:SET_B 0.00029282 +8 *21905:A *5867:231 7.09666e-06 +9 *21905:A *5867:251 0.000168752 +10 *21905:A *5918:20 5.02079e-05 +11 *24646:D *20393:A1 2.16355e-05 +12 *1707:16 *20393:A1 9.31248e-05 +13 *1741:6 *21905:A 0.000160282 +14 *1958:92 *21905:A 3.20069e-06 +15 *1958:109 *21905:A 6.14146e-05 +16 *2846:16 *21905:A 1.39725e-05 +17 *4888:142 *20393:A1 2.37827e-05 +18 *4942:16 *20393:A1 8.96998e-05 +*RES +1 *24646:Q *5155:4 9.24915 +2 *5155:4 *20393:A1 29.4009 +3 *5155:4 *21905:A 39.7077 +*END + +*D_NET *5156 0.00150463 +*CONN +*I *21811:A I *D sky130_fd_sc_hd__inv_2 +*I *20392:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24647:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21811:A 4.57815e-05 +2 *20392:A1 0.000459777 +3 *24647:Q 9.58563e-05 +4 *5156:10 0.000601415 +5 *5156:10 *24647:RESET_B 6.50727e-05 +6 *20392:A2 *20392:A1 2.65831e-05 +7 *1439:438 *5156:10 9.85369e-05 +8 *1789:65 *21811:A 1.33737e-05 +9 *2843:12 *5156:10 3.73831e-05 +10 *4840:173 *20392:A1 6.08467e-05 +*RES +1 *24647:Q *5156:10 20.8045 +2 *5156:10 *20392:A1 17.737 +3 *5156:10 *21811:A 10.5151 +*END + +*D_NET *5157 0.00168982 +*CONN +*I *21693:A I *D sky130_fd_sc_hd__clkinv_8 +*I *20391:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24648:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21693:A 6.87177e-05 +2 *20391:A1 0.000146442 +3 *24648:Q 4.92183e-05 +4 *5157:8 0.000264378 +5 *20391:A1 *5853:71 0.000444738 +6 *21693:A *5853:71 5.73392e-05 +7 *20391:A2 *20391:A1 0.000207266 +8 *20391:B2 *20391:A1 3.31745e-05 +9 *20778:A *5157:8 0.000205332 +10 *2963:22 *5157:8 0.000208915 +11 *4826:12 *21693:A 4.30017e-06 +*RES +1 *24648:Q *5157:8 21.7421 +2 *5157:8 *20391:A1 14.9881 +3 *5157:8 *21693:A 11.0817 +*END + +*D_NET *5158 0.00138244 +*CONN +*I *21463:A I *D sky130_fd_sc_hd__inv_2 +*I *20390:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24649:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21463:A 0.000150241 +2 *20390:A1 0.000101305 +3 *24649:Q 3.4293e-05 +4 *5158:8 0.000285839 +5 *20390:A2 *20390:A1 0.000258142 +6 *20390:A2 *21463:A 0.000142194 +7 *24649:D *20390:A1 0.000158371 +8 *2298:16 *5158:8 0.000101148 +9 *2551:8 *5158:8 0.000101148 +10 *2837:17 *20390:A1 1.65872e-05 +11 *2837:17 *21463:A 3.31745e-05 +*RES +1 *24649:Q *5158:8 20.0811 +2 *5158:8 *20390:A1 12.7456 +3 *5158:8 *21463:A 12.7697 +*END + +*D_NET *5159 0.00221282 +*CONN +*I *20389:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21392:A I *D sky130_fd_sc_hd__inv_2 +*I *24650:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20389:A1 8.03463e-05 +2 *21392:A 0.000104765 +3 *24650:Q 0.000171046 +4 *5159:8 0.000356156 +5 *20385:A *5159:8 2.41274e-06 +6 *20389:A2 *20389:A1 0.000351426 +7 *20389:B1 *20389:A1 1.92336e-05 +8 *24651:CLK *5159:8 2.8182e-06 +9 *1418:203 *21392:A 0.000107496 +10 *1586:117 *21392:A 0.000132995 +11 *1586:117 *5159:8 0.000152073 +12 *1619:58 *20389:A1 0.000400335 +13 *1783:28 *21392:A 0.000129398 +14 *1783:28 *5159:8 0.00015917 +15 *3035:40 *21392:A 4.31539e-05 +*RES +1 *24650:Q *5159:8 18.1049 +2 *5159:8 *21392:A 17.6896 +3 *5159:8 *20389:A1 18.3548 +*END + +*D_NET *5160 0.00170333 +*CONN +*I *20388:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21288:A I *D sky130_fd_sc_hd__inv_2 +*I *24651:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20388:A1 0.000493649 +2 *21288:A 0.000171236 +3 *24651:Q 0 +4 *5160:4 0.000664885 +5 *20388:A1 *5867:147 6.08467e-05 +6 *20388:A1 *5867:166 6.12686e-06 +7 *20384:A *20388:A1 4.27003e-05 +8 *20388:B1 *20388:A1 3.66648e-05 +9 *2728:44 *20388:A1 0.00012609 +10 *2843:16 *20388:A1 0.000101133 +*RES +1 *24651:Q *5160:4 9.24915 +2 *5160:4 *21288:A 12.7938 +3 *5160:4 *20388:A1 29.1236 +*END + +*D_NET *5161 0.00300334 +*CONN +*I *20387:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21226:A I *D sky130_fd_sc_hd__inv_2 +*I *24652:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20387:A1 0.000273162 +2 *21226:A 0.000218768 +3 *24652:Q 7.25459e-05 +4 *5161:6 0.000564476 +5 *20384:A *21226:A 0.000155444 +6 *20387:A2 *20387:A1 6.08467e-05 +7 *20434:A *21226:A 3.92776e-05 +8 *20434:A *5161:6 4.49912e-05 +9 *24652:D *20387:A1 2.9164e-05 +10 *1741:21 *5161:6 1.47202e-05 +11 *1884:159 *20387:A1 0.000161911 +12 *2728:44 *21226:A 0.000247443 +13 *2843:12 *21226:A 0.000163297 +14 *2843:12 *5161:6 0.000100957 +15 *2843:16 *21226:A 1.51325e-05 +16 *3220:12 *20387:A1 4.15527e-05 +17 *4845:678 *20387:A1 0.00016833 +18 *4869:23 *20387:A1 0.000631321 +*RES +1 *24652:Q *5161:6 15.9964 +2 *5161:6 *21226:A 20.5992 +3 *5161:6 *20387:A1 24.0937 +*END + +*D_NET *5162 0.00246276 +*CONN +*I *19917:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20414:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24632:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19917:A 4.46055e-05 +2 *20414:A1 0.000333731 +3 *24632:Q 5.78071e-05 +4 *5162:8 0.000436144 +5 *20414:A1 *5854:586 1.82799e-05 +6 *20414:A1 *5854:606 0.000163062 +7 *20414:A2 *20414:A1 0.000111708 +8 *20414:B2 *20414:A1 0.000111722 +9 *24635:D *20414:A1 2.81678e-06 +10 *24635:CLK *20414:A1 6.24716e-05 +11 *1683:29 *19917:A 0.000258142 +12 *1683:29 *20414:A1 0.000158451 +13 *1761:74 *20414:A1 0 +14 *1762:40 *20414:A1 7.29679e-05 +15 *1762:40 *5162:8 0.000108707 +16 *1804:96 *19917:A 0.000243944 +17 *1804:96 *20414:A1 0.000161234 +18 *2702:35 *5162:8 0.000116971 +*RES +1 *24632:Q *5162:8 20.4964 +2 *5162:8 *20414:A1 28.4563 +3 *5162:8 *19917:A 12.191 +*END + +*D_NET *5163 0.00212574 +*CONN +*I *21991:A I *D sky130_fd_sc_hd__inv_2 +*I *20413:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24633:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21991:A 0.000244317 +2 *20413:A1 0.000191975 +3 *24633:Q 0 +4 *5163:5 0.000436292 +5 *20413:A1 *20413:B1 6.27718e-05 +6 *20413:A1 *5860:636 2.07503e-05 +7 *20410:B2 *20413:A1 0.000157732 +8 *20413:B2 *20413:A1 6.08467e-05 +9 *24636:D *20413:A1 0 +10 *24636:D *21991:A 0 +11 *1461:249 *20413:A1 3.34615e-05 +12 *1803:13 *20413:A1 2.07503e-05 +13 *1950:41 *20413:A1 2.86829e-05 +14 *2713:27 *21991:A 0 +15 *2971:23 *20413:A1 9.2415e-05 +16 *2971:23 *21991:A 0.000313505 +17 *3208:77 *20413:A1 0 +18 *3208:77 *21991:A 0 +19 *3368:74 *21991:A 0.000320511 +20 *3411:23 *21991:A 0.000141728 +*RES +1 *24633:Q *5163:5 13.7491 +2 *5163:5 *20413:A1 19.7659 +3 *5163:5 *21991:A 23.2301 +*END + +*D_NET *5164 0.00256686 +*CONN +*I *19618:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19974:A I *D sky130_fd_sc_hd__inv_2 +*I *24895:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19618:A1 0.000109566 +2 *19974:A 0.0003916 +3 *24895:Q 0.000223009 +4 *5164:5 0.000724175 +5 *21384:B2 *5164:5 0.000184939 +6 *21384:C1 *19618:A1 0.000160948 +7 *24895:CLK *19974:A 0.00017442 +8 *24895:CLK *5164:5 5.9852e-05 +9 *516:25 *19974:A 0.000143047 +10 *1453:15 *19618:A1 1.91246e-05 +11 *1453:31 *19618:A1 0.000211698 +12 *1669:113 *19618:A1 1.21711e-05 +13 *1669:129 *19618:A1 5.66868e-06 +14 *2981:24 *19974:A 0.000146645 +*RES +1 *24895:Q *5164:5 13.0229 +2 *5164:5 *19974:A 26.0719 +3 *5164:5 *19618:A1 22.5727 +*END + +*D_NET *5165 0.00250564 +*CONN +*I *21879:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20373:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24660:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21879:A 8.01228e-05 +2 *20373:A1 0.00046041 +3 *24660:Q 0.000133677 +4 *5165:7 0.00067421 +5 *20373:A2 *20373:A1 0.000164815 +6 *21863:A1 *5165:7 2.8079e-05 +7 *21863:B1 *5165:7 0.000107496 +8 *24660:D *20373:A1 6.50586e-05 +9 *1448:213 *20373:A1 0.00028283 +10 *1715:29 *21879:A 1.07248e-05 +11 *2785:30 *20373:A1 0.000212491 +12 *2785:30 *21879:A 0.000129183 +13 *2844:24 *20373:A1 3.07997e-05 +14 *2844:24 *21879:A 2.82066e-05 +15 *2939:28 *20373:A1 9.75356e-05 +*RES +1 *24660:Q *5165:7 15.8591 +2 *5165:7 *20373:A1 27.2545 +3 *5165:7 *21879:A 16.4116 +*END + +*D_NET *5166 0.00276297 +*CONN +*I *21726:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20372:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24661:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21726:A 0.000130993 +2 *20372:A1 0.000189536 +3 *24661:Q 7.98254e-05 +4 *5166:7 0.000400354 +5 *20372:A2 *20372:A1 0.00027103 +6 *24661:D *20372:A1 0.000171288 +7 *1448:213 *20372:A1 0.000251655 +8 *1801:49 *20372:A1 1.92336e-05 +9 *1936:22 *20372:A1 0.000158371 +10 *2510:28 *20372:A1 0.000194879 +11 *2510:28 *21726:A 0.000289862 +12 *2877:31 *5166:7 0.000107496 +13 *2993:20 *20372:A1 0.000199976 +14 *2993:20 *21726:A 0.000298473 +*RES +1 *24661:Q *5166:7 15.0271 +2 *5166:7 *20372:A1 22.8176 +3 *5166:7 *21726:A 19.3184 +*END + +*D_NET *5167 0.00157926 +*CONN +*I *21458:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20371:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24662:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21458:A 0.000103039 +2 *20371:A1 0.000236268 +3 *24662:Q 6.79676e-05 +4 *5167:8 0.000407274 +5 *21458:A *5851:192 2.88923e-05 +6 *5167:8 *5856:232 0.000115746 +7 *5167:8 *5869:163 0.000119171 +8 *24662:D *20371:A1 0.000154145 +9 *1937:43 *20371:A1 0.000149285 +10 *1937:43 *21458:A 0.000107496 +11 *2749:10 *21458:A 8.998e-05 +*RES +1 *24662:Q *5167:8 20.4964 +2 *5167:8 *20371:A1 14.9881 +3 *5167:8 *21458:A 12.7456 +*END + +*D_NET *5168 0.00168442 +*CONN +*I *21961:A I *D sky130_fd_sc_hd__inv_2 +*I *19617:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24896:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21961:A 1.10281e-05 +2 *19617:A1 6.2754e-05 +3 *24896:Q 0.0003794 +4 *5168:13 0.000453182 +5 *5168:13 *24901:RESET_B 0.000237075 +6 *19617:A2 *19617:A1 5.97411e-05 +7 *19617:A2 *21961:A 4.05771e-05 +8 *19617:A2 *5168:13 0.000123966 +9 *19617:B2 *19617:A1 1.41976e-05 +10 *24896:D *19617:A1 0.000160617 +11 *2426:89 *5168:13 5.88009e-05 +12 *2907:6 *5168:13 6.22114e-05 +13 *2975:5 *19617:A1 7.68538e-06 +14 *4919:36 *5168:13 1.31784e-05 +*RES +1 *24896:Q *5168:13 25.2963 +2 *5168:13 *19617:A1 11.6364 +3 *5168:13 *21961:A 9.82786 +*END + +*D_NET *5169 0.00205313 +*CONN +*I *21809:A I *D sky130_fd_sc_hd__inv_2 +*I *19616:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24897:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21809:A 0.000121325 +2 *19616:A1 9.82838e-05 +3 *24897:Q 0.000365976 +4 *5169:7 0.000585585 +5 *19616:A1 *5857:328 4.51931e-05 +6 *21809:A *5857:328 5.36542e-05 +7 *19611:B2 *5169:7 0.000201214 +8 *21234:B1 *21809:A 6.08467e-05 +9 *24902:CLK *21809:A 6.08467e-05 +10 *2581:30 *21809:A 0.000107052 +11 *4919:49 *19616:A1 8.62625e-06 +12 *4919:49 *21809:A 0.000216821 +13 *4919:53 *19616:A1 0.000127708 +*RES +1 *24897:Q *5169:7 19.464 +2 *5169:7 *19616:A1 16.4116 +3 *5169:7 *21809:A 18.7961 +*END + +*D_NET *5170 0.00240377 +*CONN +*I *21740:A I *D sky130_fd_sc_hd__clkinv_8 +*I *19615:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24898:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21740:A 0 +2 *19615:A1 0.000275976 +3 *24898:Q 0.00010135 +4 *5170:10 0.000377326 +5 *19615:A1 *19615:B1 3.58602e-05 +6 *19615:A1 *5854:424 0.00082875 +7 *5170:10 *24898:RESET_B 2.58616e-05 +8 *21742:A1 *5170:10 0.000161178 +9 *1428:85 *5170:10 4.58897e-06 +10 *1690:17 *5170:10 2.16355e-05 +11 *2388:8 *5170:10 0.000185971 +12 *4866:32 *19615:A1 0.000385272 +*RES +1 *24898:Q *5170:10 22.4655 +2 *5170:10 *19615:A1 21.2816 +3 *5170:10 *21740:A 9.24915 +*END + +*D_NET *5171 0.00116997 +*CONN +*I *21520:A I *D sky130_fd_sc_hd__inv_2 +*I *19614:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24899:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21520:A 5.03269e-05 +2 *19614:A1 0.000222677 +3 *24899:Q 0 +4 *5171:4 0.000273004 +5 *21520:A *24899:RESET_B 0.000112947 +6 *19614:A2 *19614:A1 0.000253345 +7 *21742:A2 *19614:A1 9.76996e-05 +8 *2760:10 *19614:A1 6.22703e-05 +9 *2907:6 *19614:A1 9.76996e-05 +*RES +1 *24899:Q *5171:4 9.24915 +2 *5171:4 *19614:A1 24.6868 +3 *5171:4 *21520:A 10.9612 +*END + +*D_NET *5172 0.00250698 +*CONN +*I *21358:A I *D sky130_fd_sc_hd__inv_2 +*I *19613:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24900:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21358:A 0.000278654 +2 *19613:A1 0.000451854 +3 *24900:Q 0.00015391 +4 *5172:10 0.000884418 +5 *19613:A1 *21306:A 6.50586e-05 +6 *21358:A *5859:258 4.79948e-05 +7 *21358:A *5870:779 0 +8 *5172:10 *5859:258 0.000118991 +9 *5172:10 *5870:779 0 +10 *19613:B1 *19613:A1 0.000169714 +11 *24900:D *19613:A1 6.50727e-05 +12 *1557:34 *5172:10 0 +13 *1557:42 *21358:A 2.65667e-05 +14 *2093:10 *21358:A 5.47232e-06 +15 *2368:19 *19613:A1 0.000115934 +16 *2418:10 *21358:A 5.82695e-05 +17 *3076:67 *19613:A1 6.50727e-05 +*RES +1 *24900:Q *5172:10 17.7307 +2 *5172:10 *19613:A1 24.4554 +3 *5172:10 *21358:A 20.4627 +*END + +*D_NET *5173 0.0030532 +*CONN +*I *21306:A I *D sky130_fd_sc_hd__inv_2 +*I *19612:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24901:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21306:A 0.000252248 +2 *19612:A1 0.000214675 +3 *24901:Q 0.00035349 +4 *5173:7 0.000820412 +5 *19612:A2 *19612:A1 0.000107496 +6 *19613:A1 *21306:A 6.50586e-05 +7 *19613:B1 *21306:A 2.65667e-05 +8 *24927:A *19612:A1 5.46928e-05 +9 *1428:54 *19612:A1 6.42637e-05 +10 *1428:54 *21306:A 0.000157451 +11 *2420:42 *19612:A1 0.000162814 +12 *2420:42 *21306:A 0.000414213 +13 *4844:317 *19612:A1 0.000205006 +14 *4844:317 *5173:7 0.000140712 +15 *4919:29 *19612:A1 1.40978e-05 +*RES +1 *24901:Q *5173:7 18.9094 +2 *5173:7 *19612:A1 20.8779 +3 *5173:7 *21306:A 22.5333 +*END + +*D_NET *5174 0.002975 +*CONN +*I *21233:A I *D sky130_fd_sc_hd__inv_2 +*I *19611:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24902:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21233:A 0.000158362 +2 *19611:A1 0.000189838 +3 *24902:Q 0.000410734 +4 *5174:7 0.000758933 +5 *19611:A2 *19611:A1 0.000158357 +6 *24902:D *19611:A1 0.000111708 +7 *1741:93 *19611:A1 0.000158357 +8 *2420:39 *19611:A1 3.61667e-05 +9 *2420:42 *19611:A1 8.50356e-05 +10 *2420:42 *21233:A 0.000411942 +11 *4866:6 *21233:A 0.000162544 +12 *4866:8 *19611:A1 8.86481e-05 +13 *4866:8 *21233:A 0.000244377 +*RES +1 *24902:Q *5174:7 18.9094 +2 *5174:7 *19611:A1 20.1868 +3 *5174:7 *21233:A 21.3947 +*END + +*D_NET *5175 0.00269265 +*CONN +*I *19926:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20375:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24658:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19926:A 0.000183141 +2 *20375:A1 0.000105116 +3 *24658:Q 0.000652506 +4 *5175:8 0.000940762 +5 *20375:A1 *5860:260 2.59877e-05 +6 *5175:8 *5860:260 0 +7 *19778:C *19926:A 6.08467e-05 +8 *24658:D *5175:8 7.12632e-06 +9 *24659:CLK *19926:A 0 +10 *24659:CLK *20375:A1 2.88772e-06 +11 *470:21 *5175:8 1.01044e-05 +12 *1433:17 *19926:A 0.000200794 +13 *1522:13 *5175:8 0.000114018 +14 *1937:31 *19926:A 0 +15 *2627:16 *19926:A 0.000226281 +16 *2627:16 *20375:A1 0 +17 *2627:16 *5175:8 0.000110675 +18 *2937:11 *19926:A 5.24081e-05 +*RES +1 *24658:Q *5175:8 23.9296 +2 *5175:8 *20375:A1 16.5338 +3 *5175:8 *19926:A 20.0446 +*END + +*D_NET *5176 0.00108737 +*CONN +*I *21985:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20374:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24659:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21985:A 5.66371e-05 +2 *20374:A1 2.04554e-05 +3 *24659:Q 4.04225e-05 +4 *5176:7 0.000117515 +5 *24659:D *5176:7 0.000207266 +6 *24659:CLK *5176:7 0.000207266 +7 *1753:26 *20374:A1 5.41227e-05 +8 *1753:26 *21985:A 0.000169093 +9 *2993:20 *20374:A1 5.41227e-05 +10 *2993:20 *21985:A 0.000160467 +*RES +1 *24659:Q *5176:7 16.1364 +2 *5176:7 *20374:A1 14.7506 +3 *5176:7 *21985:A 16.8269 +*END + +*D_NET *5177 0.00154639 +*CONN +*I *19931:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21154:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24187:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19931:A 0 +2 *21154:A1 0.000185142 +3 *24187:Q 7.74678e-05 +4 *5177:5 0.00026261 +5 *21154:A2 *21154:A1 6.08467e-05 +6 *21154:B1 *21154:A1 0.000347214 +7 *657:218 *21154:A1 5.23916e-05 +8 *2232:10 *5177:5 2.22317e-05 +9 *2232:22 *21154:A1 0.000154145 +10 *2468:8 *21154:A1 0.000238552 +11 *2624:6 *21154:A1 6.92004e-05 +12 *4838:68 *21154:A1 7.65861e-05 +*RES +1 *24187:Q *5177:5 10.8044 +2 *5177:5 *21154:A1 26.6237 +3 *5177:5 *19931:A 9.24915 +*END + +*D_NET *5178 0.00255771 +*CONN +*I *21769:A I *D sky130_fd_sc_hd__clkinv_4 +*I *19626:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24892:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21769:A 0.000278286 +2 *19626:A1 0.000182521 +3 *24892:Q 6.25048e-05 +4 *5178:7 0.000523311 +5 *19626:A1 *20379:B1 2.65061e-05 +6 *19626:A1 *21457:A 0.000133932 +7 *24890:CLK *19626:A1 0.000122784 +8 *24890:CLK *21769:A 4.79289e-05 +9 *24894:CLK *21769:A 0.000142704 +10 *1427:22 *21769:A 0.00010817 +11 *1439:333 *19626:A1 1.14958e-05 +12 *1439:333 *21769:A 0.000102977 +13 *1442:146 *21769:A 4.58897e-06 +14 *1515:20 *21769:A 1.43848e-05 +15 *1755:19 *5178:7 8.41713e-05 +16 *2514:13 *19626:A1 0.000155865 +17 *2785:14 *21769:A 2.65667e-05 +18 *2993:15 *19626:A1 0.000344755 +19 *4926:89 *21769:A 0.000184263 +*RES +1 *24892:Q *5178:7 14.7498 +2 *5178:7 *19626:A1 21.2102 +3 *5178:7 *21769:A 22.5333 +*END + +*D_NET *5179 0.00206893 +*CONN +*I *21666:A I *D sky130_fd_sc_hd__inv_2 +*I *19625:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24893:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21666:A 0.000150761 +2 *19625:A1 0 +3 *24893:Q 0.000192264 +4 *5179:8 0.000343025 +5 *21666:A *5854:178 4.64238e-05 +6 *5179:8 *5854:180 0.000163633 +7 *24893:D *5179:8 5.97411e-05 +8 *1458:18 *21666:A 7.09666e-06 +9 *1506:143 *21666:A 0.000136695 +10 *1506:143 *5179:8 0.000160222 +11 *1668:50 *21666:A 0.000404533 +12 *1697:22 *21666:A 0.000404533 +*RES +1 *24893:Q *5179:8 18.6595 +2 *5179:8 *19625:A1 13.7491 +3 *5179:8 *21666:A 21.0173 +*END + +*D_NET *5180 0.00254064 +*CONN +*I *21546:A I *D sky130_fd_sc_hd__inv_2 +*I *19624:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24894:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21546:A 8.16419e-05 +2 *19624:A1 0.000271558 +3 *24894:Q 0 +4 *5180:5 0.0003532 +5 *19624:A1 *5812:22 0.000152255 +6 *21546:A *5812:22 6.54001e-05 +7 *21546:A *5917:460 0.000154145 +8 *1515:20 *21546:A 5.481e-05 +9 *1699:7 *19624:A1 0.000843694 +10 *2704:10 *19624:A1 0.000152255 +11 *2704:10 *21546:A 7.25274e-05 +12 *4926:88 *19624:A1 0.000339154 +*RES +1 *24894:Q *5180:5 13.7491 +2 *5180:5 *19624:A1 26.424 +3 *5180:5 *21546:A 16.9985 +*END + +*D_NET *5181 0.00185896 +*CONN +*I *21940:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21153:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24188:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21940:A 0 +2 *21153:A1 0.000340109 +3 *24188:Q 0.000115183 +4 *5181:10 0.000455292 +5 *5181:10 *5868:397 6.66538e-05 +6 *21153:A2 *21153:A1 0.000160617 +7 *21153:B1 *21153:A1 0.000343373 +8 *21153:B2 *21153:A1 6.50586e-05 +9 *4739:5 *5181:10 1.47567e-06 +10 *4838:40 *5181:10 4.01437e-05 +11 *4844:41 *21153:A1 0.000271058 +*RES +1 *24188:Q *5181:10 21.3577 +2 *5181:10 *21153:A1 20.5341 +3 *5181:10 *21940:A 9.24915 +*END + +*D_NET *5182 0.00121923 +*CONN +*I *21767:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21152:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24189:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21767:A 0.000104993 +2 *21152:A1 0 +3 *24189:Q 0.000182371 +4 *5182:7 0.000287364 +5 *21767:A *24398:RESET_B 0.000164829 +6 *21767:A *5815:24 5.92192e-05 +7 *21152:A2 *21767:A 0.000164829 +8 *21152:A2 *5182:7 0 +9 *21152:B2 *5182:7 2.65667e-05 +10 *24189:CLK *21767:A 1.92336e-05 +11 *24189:CLK *5182:7 3.06209e-05 +12 *4842:52 *21767:A 0.000148114 +13 *4884:46 *21767:A 3.10924e-05 +*RES +1 *24189:Q *5182:7 12.2151 +2 *5182:7 *21152:A1 9.24915 +3 *5182:7 *21767:A 23.2989 +*END + +*D_NET *5183 0.00161863 +*CONN +*I *21680:A I *D sky130_fd_sc_hd__inv_8 +*I *21151:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24190:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21680:A 3.40164e-05 +2 *21151:A1 0.000311434 +3 *24190:Q 0 +4 *5183:4 0.000345451 +5 *21151:A1 *24190:RESET_B 0.000164843 +6 *21680:A *24190:RESET_B 7.24449e-05 +7 *21151:A2 *21151:A1 6.08467e-05 +8 *24190:D *21151:A1 0.000169041 +9 *2624:6 *21151:A1 5.5807e-05 +10 *4841:33 *21151:A1 1.07472e-05 +11 *4844:26 *21151:A1 0 +12 *4869:118 *21151:A1 5.5941e-05 +13 *4869:127 *21151:A1 5.08501e-05 +14 *4869:127 *21680:A 0.000175485 +15 *4885:29 *21151:A1 0.000111722 +*RES +1 *24190:Q *5183:4 9.24915 +2 *5183:4 *21151:A1 27.5669 +3 *5183:4 *21680:A 11.6605 +*END + +*D_NET *5184 0.00144132 +*CONN +*I *21547:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21150:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24191:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21547:A 0.000195546 +2 *21150:A1 0.000256115 +3 *24191:Q 0 +4 *5184:4 0.000451661 +5 *21547:A *5856:28 2.51623e-05 +6 *2232:36 *21150:A1 0.000167076 +7 *2468:8 *21150:A1 8.8567e-05 +8 *2624:6 *21150:A1 0.000196638 +9 *4842:52 *21150:A1 2.83829e-05 +10 *4881:169 *21150:A1 3.21758e-05 +*RES +1 *24191:Q *5184:4 9.24915 +2 *5184:4 *21150:A1 26.3478 +3 *5184:4 *21547:A 13.1796 +*END + +*D_NET *5185 0.000661947 +*CONN +*I *21408:A I *D sky130_fd_sc_hd__inv_6 +*I *21149:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24192:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21408:A 5.18879e-05 +2 *21149:A1 3.05896e-05 +3 *24192:Q 5.20352e-05 +4 *5185:8 0.000134513 +5 *24192:D *21149:A1 0.000107496 +6 *24192:D *21408:A 6.50727e-05 +7 *24402:CLK *21408:A 0 +8 *2232:46 *5185:8 9.60366e-05 +9 *4843:63 *21149:A1 4.31703e-05 +10 *4843:63 *21408:A 4.33655e-05 +11 *4869:118 *5185:8 3.77804e-05 +*RES +1 *24192:Q *5185:8 20.0811 +2 *5185:8 *21149:A1 10.5271 +3 *5185:8 *21408:A 11.1059 +*END + +*D_NET *5186 0.00218521 +*CONN +*I *21305:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21148:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24193:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21305:A 0.000178992 +2 *21148:A1 0.00034542 +3 *24193:Q 2.06324e-05 +4 *5186:5 0.000545045 +5 *21148:A1 *24585:SET_B 2.23124e-05 +6 *21148:A1 *5458:108 2.85274e-05 +7 *21148:A1 *5868:406 9.21574e-05 +8 *21148:A1 *5868:421 0.000116704 +9 *21305:A *5458:108 0.000118677 +10 *5186:5 *24193:RESET_B 0 +11 *24585:CLK *21148:A1 0.000218621 +12 *2592:6 *21148:A1 0 +13 *4844:41 *21148:A1 0.000498125 +*RES +1 *24193:Q *5186:5 9.82786 +2 *5186:5 *21148:A1 30.9775 +3 *5186:5 *21305:A 14.4094 +*END + +*D_NET *5187 0.00158454 +*CONN +*I *21221:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21147:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24194:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21221:A 7.20632e-05 +2 *21147:A1 0.000308717 +3 *24194:Q 9.62195e-05 +4 *5187:8 0.000477 +5 *5187:8 *5868:440 0.0001682 +6 *2232:78 *21147:A1 0.000158002 +7 *4845:21 *21147:A1 0.000261877 +8 *4845:21 *21221:A 4.24629e-05 +*RES +1 *24194:Q *5187:8 21.3269 +2 *5187:8 *21147:A1 17.737 +3 *5187:8 *21221:A 11.1059 +*END + +*D_NET *5188 0.00784286 +*CONN +*I *19999:A I *D sky130_fd_sc_hd__inv_2 +*I *19628:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24890:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19999:A 0 +2 *19628:A1 0.000947944 +3 *24890:Q 0 +4 *5188:24 0.00170054 +5 *5188:4 0.00264849 +6 *19628:A2 *19628:A1 7.98603e-06 +7 *19937:A1 *5188:24 0.00189454 +8 *20346:A *5188:24 3.67586e-05 +9 *24890:D *19628:A1 0.000109355 +10 *1467:22 *5188:24 5.05252e-05 +11 *1687:17 *19628:A1 4.41502e-05 +12 *1687:17 *5188:24 2.12542e-05 +13 *1792:37 *5188:24 0.00013697 +14 *2580:16 *5188:24 1.81264e-05 +15 *2833:8 *5188:24 0.000226229 +*RES +1 *24890:Q *5188:4 9.24915 +2 *5188:4 *19628:A1 23.5724 +3 *5188:4 *5188:24 40.8849 +4 *5188:24 *19999:A 9.24915 +*END + +*D_NET *5189 0.00203863 +*CONN +*I *21929:A I *D sky130_fd_sc_hd__clkinv_4 +*I *19627:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24891:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21929:A 0.000115008 +2 *19627:A1 0.000501255 +3 *24891:Q 0.000129353 +4 *5189:10 0.000745616 +5 *5189:10 *5812:22 9.75356e-05 +6 *19627:A2 *19627:A1 2.65831e-05 +7 *24891:D *19627:A1 0.000113968 +8 *1461:23 *19627:A1 3.14978e-05 +9 *1587:30 *19627:A1 0.000135048 +10 *1587:30 *21929:A 2.61955e-05 +11 *1698:81 *5189:10 9.04668e-05 +12 *2942:15 *5189:10 2.61037e-05 +*RES +1 *24891:Q *5189:10 21.3591 +2 *5189:10 *19627:A1 21.4504 +3 *5189:10 *21929:A 11.6605 +*END + +*D_NET *5190 0.00154216 +*CONN +*I *20367:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19910:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24663:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20367:A1 0.000161028 +2 *19910:A 7.29461e-05 +3 *24663:Q 0.000305487 +4 *5190:7 0.000539462 +5 *19910:A *20367:B1 3.55296e-05 +6 *20367:A1 *20367:B1 5.13974e-05 +7 *19915:A1 *5190:7 2.22167e-05 +8 *21588:B1 *19910:A 0.000146645 +9 *21588:B1 *20367:A1 0.000195187 +10 *4844:383 *5190:7 1.22615e-05 +*RES +1 *24663:Q *5190:7 18.0775 +2 *5190:7 *19910:A 16.4116 +3 *5190:7 *20367:A1 18.3902 +*END + +*D_NET *5191 0.00281544 +*CONN +*I *21842:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20354:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24673:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21842:A 0.000309955 +2 *20354:A1 0.000412749 +3 *24673:Q 2.78636e-05 +4 *5191:7 0.000750567 +5 *19671:C *21842:A 3.41459e-05 +6 *19672:S *21842:A 6.08467e-05 +7 *20356:A2 *20354:A1 0.000466359 +8 *1710:8 *20354:A1 0.000182401 +9 *1710:8 *21842:A 0.000211264 +10 *1930:51 *20354:A1 1.65872e-05 +11 *2399:6 *20354:A1 9.2346e-06 +12 *2446:27 *5191:7 3.75221e-05 +13 *2466:16 *20354:A1 0 +14 *2466:16 *21842:A 0 +15 *2587:16 *21842:A 0.000149783 +16 *2928:24 *20354:A1 6.98314e-05 +17 *4922:11 *21842:A 7.63284e-05 +*RES +1 *24673:Q *5191:7 14.1952 +2 *5191:7 *20354:A1 25.036 +3 *5191:7 *21842:A 22.0325 +*END + +*D_NET *5192 0.00136903 +*CONN +*I *20353:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21714:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24674:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20353:A1 0.000360889 +2 *21714:A 0 +3 *24674:Q 6.92438e-05 +4 *5192:8 0.000430133 +5 *20353:A1 *5854:351 6.50586e-05 +6 *20353:A1 *5870:306 0.00023617 +7 *20353:A2 *20353:A1 3.14978e-05 +8 *2739:14 *5192:8 0.000122083 +9 *2742:9 *5192:8 5.39608e-05 +*RES +1 *24674:Q *5192:8 20.4964 +2 *5192:8 *21714:A 9.24915 +3 *5192:8 *20353:A1 18.6774 +*END + +*D_NET *5193 0.00186256 +*CONN +*I *21529:A I *D sky130_fd_sc_hd__inv_2 +*I *20352:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24675:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21529:A 8.73602e-05 +2 *20352:A1 0.00038607 +3 *24675:Q 0.00015334 +4 *5193:8 0.00062677 +5 *20352:A1 *5852:21 1.41976e-05 +6 *24674:D *20352:A1 9.14834e-05 +7 *24675:D *20352:A1 2.16355e-05 +8 *1439:242 *5193:8 0.000118166 +9 *1492:46 *21529:A 8.67371e-05 +10 *1492:46 *5193:8 4.59797e-05 +11 *2466:16 *21529:A 8.46168e-05 +12 *2466:16 *5193:8 4.59797e-05 +13 *4863:81 *20352:A1 0.000100226 +*RES +1 *24675:Q *5193:8 17.2744 +2 *5193:8 *20352:A1 23.3703 +3 *5193:8 *21529:A 17.2421 +*END + +*D_NET *5194 0.00167666 +*CONN +*I *21977:A I *D sky130_fd_sc_hd__inv_2 +*I *20366:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24664:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21977:A 5.69806e-05 +2 *20366:A1 0.000123387 +3 *24664:Q 0.000247323 +4 *5194:7 0.000427691 +5 *20366:A1 *20366:B1 0.000235968 +6 *20366:A1 *5851:365 0.000193754 +7 *20366:A1 *5851:389 4.34752e-05 +8 *21977:A *20236:B1 4.76283e-05 +9 *21977:A *20366:B1 6.43474e-05 +10 *21977:A *5851:389 0.000115573 +11 *5194:7 *20233:B1 0.0001126 +12 *24664:D *5194:7 7.92757e-06 +*RES +1 *24664:Q *5194:7 17.5229 +2 *5194:7 *20366:A1 18.4879 +3 *5194:7 *21977:A 15.9964 +*END + +*D_NET *5195 0.00285548 +*CONN +*I *21878:A I *D sky130_fd_sc_hd__inv_2 +*I *20365:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24665:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21878:A 0.000226156 +2 *20365:A1 0.00035927 +3 *24665:Q 0.000254305 +4 *5195:5 0.000839731 +5 *20365:A1 *24665:RESET_B 0.000104609 +6 *20357:A *21878:A 0.000113968 +7 *20358:A *21878:A 0.000104731 +8 *24665:D *20365:A1 2.33193e-05 +9 *516:26 *21878:A 0.000113584 +10 *522:32 *21878:A 0.000403258 +11 *2299:8 *20365:A1 4.5539e-05 +12 *2426:68 *20365:A1 8.62625e-06 +13 *2426:71 *20365:A1 4.30148e-05 +14 *2426:71 *5195:5 8.67969e-05 +15 *2756:14 *20365:A1 1.46079e-05 +16 *4829:23 *21878:A 0.000113968 +*RES +1 *24665:Q *5195:5 13.3002 +2 *5195:5 *20365:A1 24.5474 +3 *5195:5 *21878:A 27.1727 +*END + +*D_NET *5196 0.00197387 +*CONN +*I *21715:A I *D sky130_fd_sc_hd__inv_8 +*I *20364:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24666:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21715:A 6.32229e-05 +2 *20364:A1 0.000509323 +3 *24666:Q 0 +4 *5196:5 0.000572546 +5 *20364:A1 *20364:B1 1.09551e-05 +6 *20364:A1 *5871:286 7.14746e-05 +7 *20364:A1 *5871:297 0.000119553 +8 *21715:A *5871:297 0.000135905 +9 *20364:A2 *20364:A1 2.16355e-05 +10 *23985:A0 *20364:A1 4.69494e-05 +11 *23985:A0 *21715:A 6.17194e-05 +12 *24289:D *20364:A1 0.000191021 +13 *24289:CLK *20364:A1 0.000118166 +14 *521:11 *20364:A1 4.96417e-05 +15 *521:18 *20364:A1 1.75637e-06 +*RES +1 *24666:Q *5196:5 13.7491 +2 *5196:5 *20364:A1 24.0903 +3 *5196:5 *21715:A 16.4116 +*END + +*D_NET *5197 0.0020217 +*CONN +*I *21519:A I *D sky130_fd_sc_hd__inv_2 +*I *20363:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24667:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21519:A 0.000163725 +2 *20363:A1 0 +3 *24667:Q 0.000420314 +4 *5197:8 0.00058404 +5 *20367:A2 *21519:A 5.22654e-06 +6 *20367:A2 *5197:8 5.82465e-05 +7 *24667:CLK *5197:8 0.000156351 +8 *24978:A *21519:A 0.000207266 +9 *1933:16 *21519:A 6.07931e-05 +10 *2903:8 *21519:A 0.00015537 +11 *2903:8 *5197:8 0.000143032 +12 *4915:88 *5197:8 6.73351e-05 +*RES +1 *24667:Q *5197:8 22.1265 +2 *5197:8 *20363:A1 13.7491 +3 *5197:8 *21519:A 19.2141 +*END + +*D_NET *5198 0.00301036 +*CONN +*I *21380:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20362:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24668:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21380:A 0.000110217 +2 *20362:A1 0.000848222 +3 *24668:Q 7.65268e-05 +4 *5198:7 0.00103497 +5 *20362:A1 *5871:297 0 +6 *519:11 *5198:7 0.000111722 +7 *550:25 *21380:A 6.50586e-05 +8 *1933:62 *20362:A1 0.000112045 +9 *2290:30 *21380:A 2.65667e-05 +10 *2428:16 *20362:A1 4.69495e-06 +11 *4829:10 *20362:A1 4.8878e-06 +12 *4843:525 *20362:A1 2.7961e-05 +13 *4843:525 *21380:A 7.40982e-05 +14 *4843:531 *20362:A1 3.12828e-05 +15 *4843:545 *20362:A1 4.0919e-05 +16 *4875:24 *20362:A1 0.000197563 +17 *4875:24 *21380:A 0.000243633 +*RES +1 *24668:Q *5198:7 15.0271 +2 *5198:7 *20362:A1 26.6702 +3 *5198:7 *21380:A 18.7961 +*END + +*D_NET *5199 0.0019696 +*CONN +*I *21316:A I *D sky130_fd_sc_hd__inv_2 +*I *20361:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24669:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21316:A 9.68377e-05 +2 *20361:A1 3.86028e-05 +3 *24669:Q 0.000219335 +4 *5199:9 0.000354776 +5 *20361:A1 *24749:SET_B 0.000158357 +6 *5199:9 *24749:SET_B 0.000453443 +7 *2273:6 *21316:A 0.000144531 +8 *2290:30 *5199:9 0.000163855 +9 *2378:11 *21316:A 5.04829e-06 +10 *2385:14 *21316:A 0.000139435 +11 *2962:33 *5199:9 4.51259e-05 +12 *4844:382 *20361:A1 6.46887e-05 +13 *4844:382 *5199:9 8.55661e-05 +*RES +1 *24669:Q *5199:9 26.4871 +2 *5199:9 *20361:A1 11.0817 +3 *5199:9 *21316:A 21.635 +*END + +*D_NET *5200 0.00185551 +*CONN +*I *21200:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20360:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24670:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21200:A 0 +2 *20360:A1 0.000322802 +3 *24670:Q 0.000285482 +4 *5200:10 0.000608284 +5 *5200:10 *24670:RESET_B 0.000100397 +6 *20360:A2 *20360:A1 0.000208627 +7 *21206:A1 *20360:A1 0.000211249 +8 *23985:A0 *5200:10 6.65118e-05 +9 *548:21 *5200:10 2.56848e-05 +10 *2736:10 *5200:10 3.00174e-06 +11 *4875:30 *5200:10 2.34654e-05 +*RES +1 *24670:Q *5200:10 23.4382 +2 *5200:10 *20360:A1 17.7611 +3 *5200:10 *21200:A 9.24915 +*END + +*D_NET *5201 0.00226944 +*CONN +*I *19953:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20356:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24671:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19953:A 0.000127486 +2 *20356:A1 0.000197793 +3 *24671:Q 0.000257565 +4 *5201:8 0.000582845 +5 *5201:8 *24671:RESET_B 0.000154501 +6 *5201:8 *5858:443 3.83494e-05 +7 *20356:A2 *19953:A 0 +8 *20356:A2 *20356:A1 0.000211492 +9 *1492:46 *19953:A 0.000145498 +10 *1492:46 *5201:8 9.8511e-05 +11 *2399:6 *19953:A 0.000218227 +12 *2399:6 *5201:8 9.49135e-05 +13 *2936:14 *20356:A1 6.08467e-05 +14 *3014:30 *20356:A1 8.1407e-05 +*RES +1 *24671:Q *5201:8 19.0776 +2 *5201:8 *20356:A1 18.9094 +3 *5201:8 *19953:A 18.0727 +*END + +*D_NET *5202 0.00105476 +*CONN +*I *21922:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20355:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24672:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21922:A 8.00469e-05 +2 *20355:A1 0 +3 *24672:Q 0.000200024 +4 *5202:8 0.000280071 +5 *1785:12 *21922:A 5.44824e-05 +6 *1785:12 *5202:8 1.12605e-05 +7 *1930:11 *21922:A 1.23804e-05 +8 *1930:11 *5202:8 1.09738e-05 +9 *1930:14 *5202:8 6.88784e-05 +10 *1931:15 *5202:8 1.30854e-05 +11 *4878:27 *5202:8 0.000217937 +12 *4922:38 *21922:A 0.00010562 +*RES +1 *24672:Q *5202:8 17.9683 +2 *5202:8 *20355:A1 13.7491 +3 *5202:8 *21922:A 16.4116 +*END + +*D_NET *5203 0.00154863 +*CONN +*I *20027:A I *D sky130_fd_sc_hd__inv_6 +*I *20405:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24637:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20027:A 0 +2 *20405:A1 0.000128875 +3 *24637:Q 0.000124931 +4 *5203:10 0.000253806 +5 *5203:10 *24637:SET_B 3.21004e-06 +6 *20405:B1 *20405:A1 0.000411006 +7 *1619:8 *5203:10 0.000143032 +8 *2287:9 *20405:A1 0.000259373 +9 *4838:190 *5203:10 0 +10 *4838:205 *20405:A1 0.000224395 +*RES +1 *24637:Q *5203:10 21.3577 +2 *5203:10 *20405:A1 16.6519 +3 *5203:10 *20027:A 9.24915 +*END + +*D_NET *5204 0.00420061 +*CONN +*I *21828:A I *D sky130_fd_sc_hd__inv_2 +*I *20381:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24655:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21828:A 0.000470245 +2 *20381:A1 0.000600694 +3 *24655:Q 7.45263e-05 +4 *5204:7 0.00114546 +5 *20381:A1 *20381:B1 0.000229324 +6 *20381:A1 *5856:243 5.75901e-05 +7 *20011:A2 *21828:A 4.58003e-05 +8 *20011:B1 *21828:A 1.43934e-05 +9 *20011:B2 *21828:A 6.2138e-05 +10 *20381:A2 *20381:A1 0.000113968 +11 *24655:D *20381:A1 6.50586e-05 +12 *464:10 *5204:7 1.43041e-05 +13 *468:8 *20381:A1 0.000116971 +14 *468:8 *21828:A 0.000184123 +15 *1506:143 *20381:A1 0.000265168 +16 *1763:37 *21828:A 9.95922e-06 +17 *1765:15 *21828:A 0.000158371 +18 *2703:21 *21828:A 6.96567e-05 +19 *2836:8 *20381:A1 2.15801e-05 +20 *2844:16 *21828:A 1.35161e-05 +21 *2878:32 *20381:A1 0.000287164 +22 *2878:32 *21828:A 0.000180593 +*RES +1 *24655:Q *5204:7 14.7498 +2 *5204:7 *20381:A1 31.2676 +3 *5204:7 *21828:A 25.2477 +*END + +*D_NET *5205 0.00364145 +*CONN +*I *21667:A I *D sky130_fd_sc_hd__inv_2 +*I *20380:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24656:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21667:A 0.000344346 +2 *20380:A1 0.000283424 +3 *24656:Q 0 +4 *5205:5 0.000627771 +5 *20380:A1 *5860:260 3.23588e-05 +6 *20380:A2 *20380:A1 0.00011818 +7 *468:8 *21667:A 0.000353686 +8 *1506:87 *20380:A1 2.04806e-05 +9 *1515:34 *20380:A1 1.07248e-05 +10 *1515:34 *21667:A 0.000303048 +11 *1753:30 *20380:A1 0.00019704 +12 *1753:30 *21667:A 0.00035138 +13 *2976:9 *20380:A1 0.00049786 +14 *4863:99 *20380:A1 0.000444724 +15 *4863:107 *20380:A1 3.83172e-05 +16 *4894:76 *21667:A 1.81083e-05 +*RES +1 *24656:Q *5205:5 13.7491 +2 *5205:5 *20380:A1 25.036 +3 *5205:5 *21667:A 25.0304 +*END + +*D_NET *5206 0.00320679 +*CONN +*I *21457:A I *D sky130_fd_sc_hd__inv_2 +*I *20379:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24657:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21457:A 0.000204024 +2 *20379:A1 0.000209695 +3 *24657:Q 0.0002397 +4 *5206:10 0.000653418 +5 *20379:A1 *20379:B1 8.02929e-05 +6 *21457:A *19626:B1 7.78924e-05 +7 *21457:A *20379:B1 0.000512786 +8 *19626:A1 *21457:A 0.000133932 +9 *20379:A2 *20379:A1 0.000208627 +10 *1692:19 *5206:10 4.69808e-06 +11 *2627:10 *5206:10 0.00027077 +12 *2878:25 *5206:10 0.000111483 +13 *2964:25 *20379:A1 3.54295e-05 +14 *2993:15 *20379:A1 0.000442925 +15 *2993:15 *21457:A 2.11197e-05 +*RES +1 *24657:Q *5206:10 24.6812 +2 *5206:10 *20379:A1 16.6278 +3 *5206:10 *21457:A 17.4235 +*END + +*D_NET *5207 0.00214111 +*CONN +*I *20404:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21949:A I *D sky130_fd_sc_hd__inv_6 +*I *24638:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20404:A1 7.21782e-05 +2 *21949:A 0.000238062 +3 *24638:Q 0.000254481 +4 *5207:8 0.000564721 +5 *5207:8 *5673:9 9.58242e-05 +6 *1619:8 *21949:A 9.7418e-05 +7 *1619:8 *5207:8 4.12119e-05 +8 *1707:8 *21949:A 0 +9 *1707:8 *5207:8 0 +10 *2963:8 *21949:A 1.82696e-05 +11 *4839:267 *20404:A1 0.000228812 +12 *4842:128 *20404:A1 0.000530137 +*RES +1 *24638:Q *5207:8 18.9368 +2 *5207:8 *21949:A 20.1489 +3 *5207:8 *20404:A1 19.464 +*END + +*D_NET *5208 0.00319418 +*CONN +*I *21852:A I *D sky130_fd_sc_hd__inv_2 +*I *20403:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24639:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21852:A 0.000556895 +2 *20403:A1 0.00041672 +3 *24639:Q 0 +4 *5208:4 0.000973615 +5 *20430:B2 *21852:A 6.73186e-05 +6 *20433:A1 *21852:A 2.54419e-05 +7 *20433:B1 *21852:A 0.000169041 +8 *24619:D *20403:A1 6.25697e-05 +9 *24619:D *21852:A 0.000105894 +10 *24620:CLK *21852:A 3.01683e-06 +11 *24639:D *20403:A1 4.31703e-05 +12 *24639:CLK *20403:A1 5.82695e-05 +13 *1799:7 *21852:A 0.000213739 +14 *1945:34 *20403:A1 0.000166349 +15 *2369:8 *20403:A1 7.40074e-05 +16 *4838:225 *21852:A 9.55447e-05 +17 *4841:124 *21852:A 0.000162583 +*RES +1 *24639:Q *5208:4 9.24915 +2 *5208:4 *20403:A1 29.8147 +3 *5208:4 *21852:A 25.0192 +*END + +*D_NET *5209 0.00115858 +*CONN +*I *21739:A I *D sky130_fd_sc_hd__inv_8 +*I *20402:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24640:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21739:A 5.37348e-05 +2 *20402:A1 0.000111244 +3 *24640:Q 4.82366e-05 +4 *5209:8 0.000213215 +5 *5209:8 *5673:9 5.53934e-05 +6 *5209:8 *5868:761 0.000127179 +7 *24640:D *20402:A1 0.000162583 +8 *4841:203 *20402:A1 0.000222154 +9 *4841:203 *21739:A 0.000164843 +*RES +1 *24640:Q *5209:8 20.4964 +2 *5209:8 *20402:A1 13.4931 +3 *5209:8 *21739:A 11.0817 +*END + +*D_NET *5210 0.00144272 +*CONN +*I *21466:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20401:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24641:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21466:A 6.53319e-05 +2 *20401:A1 7.39712e-05 +3 *24641:Q 7.8961e-05 +4 *5210:8 0.000218264 +5 *20401:A1 *5853:611 4.45173e-05 +6 *21466:A *5853:611 0.000207266 +7 *20401:A2 *20401:A1 0.000213725 +8 *20401:A2 *5210:8 8.62625e-06 +9 *20401:B2 *20401:A1 0.000158357 +10 *1946:30 *20401:A1 0.000164843 +11 *1946:30 *21466:A 3.58044e-05 +12 *2367:10 *5210:8 3.00073e-05 +13 *2950:20 *5210:8 0 +14 *2963:20 *5210:8 0.000143047 +*RES +1 *24641:Q *5210:8 20.9116 +2 *5210:8 *20401:A1 13.3243 +3 *5210:8 *21466:A 11.6364 +*END + +*D_NET *5211 0.00154514 +*CONN +*I *20400:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21388:A I *D sky130_fd_sc_hd__clkinv_8 +*I *24642:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20400:A1 0.000154504 +2 *21388:A 9.33762e-05 +3 *24642:Q 0.000250058 +4 *5211:7 0.000497938 +5 *20400:B2 *20400:A1 1.62258e-05 +6 *24948:A *5211:7 0.000126839 +7 *3033:22 *21388:A 8.01837e-05 +8 *4838:186 *20400:A1 1.62258e-05 +9 *4840:49 *20400:A1 0.00018643 +10 *4840:49 *21388:A 0.000113074 +11 *4843:24 *20400:A1 3.20069e-06 +12 *4843:35 *20400:A1 7.08723e-06 +13 *4843:35 *21388:A 0 +*RES +1 *24642:Q *5211:7 20.0186 +2 *5211:7 *21388:A 17.2421 +3 *5211:7 *20400:A1 18.1679 +*END + +*D_NET *5212 0.00287386 +*CONN +*I *21285:A I *D sky130_fd_sc_hd__inv_2 +*I *20399:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24643:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21285:A 0.000143721 +2 *20399:A1 0.000619435 +3 *24643:Q 0.000245862 +4 *5212:5 0.00100902 +5 *20399:A1 *5251:25 4.45694e-05 +6 *21285:A *5866:287 4.31539e-05 +7 *5212:5 *5251:25 2.42518e-05 +8 *20399:B1 *20399:A1 0 +9 *24643:D *20399:A1 0.000231138 +10 *1619:8 *21285:A 7.55575e-05 +11 *1708:137 *20399:A1 6.50727e-05 +12 *1770:8 *21285:A 0.000264583 +13 *4888:69 *21285:A 0.000107496 +*RES +1 *24643:Q *5212:5 12.7456 +2 *5212:5 *20399:A1 19.5937 +3 *5212:5 *21285:A 24.2659 +*END + +*D_NET *5213 0.00329697 +*CONN +*I *21196:A I *D sky130_fd_sc_hd__inv_2 +*I *20398:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24644:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21196:A 0.000211391 +2 *20398:A1 0.000912278 +3 *24644:Q 0 +4 *5213:4 0.00112367 +5 *20398:B2 *20398:A1 3.75603e-05 +6 *24644:D *20398:A1 5.81185e-06 +7 *24644:CLK *20398:A1 0.000141245 +8 *1770:8 *21196:A 0.000237053 +9 *1966:6 *21196:A 0.000190042 +10 *2347:14 *21196:A 2.19276e-05 +11 *4826:23 *21196:A 0.000118166 +12 *4888:69 *20398:A1 0.000264649 +13 *4888:69 *21196:A 3.31745e-05 +*RES +1 *24644:Q *5213:4 9.24915 +2 *5213:4 *20398:A1 24.127 +3 *5213:4 *21196:A 25.1287 +*END + +*D_NET *5214 0.00256696 +*CONN +*I *20000:A I *D sky130_fd_sc_hd__inv_2 +*I *20383:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24653:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20000:A 0.000234765 +2 *20383:A1 0.000313481 +3 *24653:Q 4.79387e-05 +4 *5214:6 0.000596185 +5 *20000:A *25379:A 0.000411006 +6 *20000:A *5860:257 0.00044779 +7 *20000:A *5869:6 3.27269e-05 +8 *20000:A *5898:51 1.92172e-05 +9 *20383:A1 *5874:89 2.02035e-05 +10 *1692:19 *20383:A1 0 +11 *2516:16 *20000:A 9.25521e-05 +12 *2516:16 *5214:6 1.27071e-05 +13 *2563:8 *20383:A1 2.37478e-05 +14 *2758:16 *5214:6 7.50722e-05 +15 *2784:23 *20000:A 0.000131593 +16 *2784:23 *5214:6 0.00010797 +*RES +1 *24653:Q *5214:6 15.7888 +2 *5214:6 *20383:A1 21.5271 +3 *5214:6 *20000:A 23.7581 +*END + +*D_NET *5215 0.00238777 +*CONN +*I *21962:A I *D sky130_fd_sc_hd__inv_2 +*I *20382:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24654:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21962:A 0.000223101 +2 *20382:A1 0.000199487 +3 *24654:Q 0 +4 *5215:5 0.000422588 +5 *20382:A1 *20382:B1 2.15184e-05 +6 *20382:A1 *5869:6 5.96936e-05 +7 *21962:A *20381:B1 0 +8 *21962:A *5869:6 8.45896e-06 +9 *21962:A *5869:21 0.000107496 +10 *20381:B2 *21962:A 7.29654e-05 +11 *20382:A2 *20382:A1 6.08467e-05 +12 *1755:21 *20382:A1 0.000227664 +13 *1784:16 *21962:A 0.000175775 +14 *1939:24 *20382:A1 0.00045219 +15 *2516:16 *20382:A1 0.000148144 +16 *2516:16 *21962:A 0.000207847 +*RES +1 *24654:Q *5215:5 13.7491 +2 *5215:5 *20382:A1 23.2598 +3 *5215:5 *21962:A 21.0386 +*END + +*D_NET *5216 0.00108098 +*CONN +*I *19972:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20444:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24611:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19972:A 3.45858e-05 +2 *20444:A1 0.000134145 +3 *24611:Q 0 +4 *5216:4 0.000168731 +5 *24429:CLK *19972:A 4.17481e-05 +6 *24429:CLK *20444:A1 0.00013829 +7 *1708:116 *20444:A1 0.000204267 +8 *1958:92 *20444:A1 7.66151e-05 +9 *2764:29 *19972:A 0.000122098 +10 *2764:29 *20444:A1 0.000142487 +11 *4860:23 *19972:A 1.80122e-05 +*RES +1 *24611:Q *5216:4 9.24915 +2 *5216:4 *20444:A1 23.7127 +3 *5216:4 *19972:A 10.8044 +*END + +*D_NET *5217 0.00247762 +*CONN +*I *21847:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20420:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24629:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21847:A 0.000285866 +2 *20420:A1 0.000472396 +3 *24629:Q 0 +4 *5217:4 0.000758262 +5 *1467:198 *20420:A1 0.000119376 +6 *1733:26 *20420:A1 0 +7 *1733:26 *21847:A 0.000206132 +8 *2655:38 *20420:A1 8.91253e-05 +9 *2655:38 *21847:A 0.000190989 +10 *2844:67 *20420:A1 0.000203604 +11 *4852:11 *20420:A1 0.000151872 +12 *4852:11 *21847:A 0 +*RES +1 *24629:Q *5217:4 9.24915 +2 *5217:4 *20420:A1 29.1516 +3 *5217:4 *21847:A 24.6826 +*END + +*D_NET *5218 0.00199728 +*CONN +*I *21691:A I *D sky130_fd_sc_hd__inv_2 +*I *20419:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24630:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21691:A 3.62384e-05 +2 *20419:A1 0.000325205 +3 *24630:Q 0 +4 *5218:4 0.000361443 +5 *20419:A1 *24630:RESET_B 4.94655e-05 +6 *20419:A1 *5854:122 0.000340742 +7 *21691:A *24630:RESET_B 0.000117376 +8 *20419:A2 *20419:A1 0.000107496 +9 *20559:B *20419:A1 1.20637e-06 +10 *24630:D *20419:A1 3.99086e-06 +11 *1427:99 *20419:A1 0.000156955 +12 *1427:99 *21691:A 4.58907e-05 +13 *2523:59 *20419:A1 2.27055e-05 +14 *2710:36 *20419:A1 0.000212476 +15 *2844:56 *20419:A1 0.000216088 +*RES +1 *24630:Q *5218:4 9.24915 +2 *5218:4 *20419:A1 29.7048 +3 *5218:4 *21691:A 11.1059 +*END + +*D_NET *5219 0.00178256 +*CONN +*I *21514:A I *D sky130_fd_sc_hd__inv_2 +*I *20418:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24631:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21514:A 2.1308e-05 +2 *20418:A1 0.000253238 +3 *24631:Q 0.000205664 +4 *5219:11 0.00048021 +5 *20418:A1 *20418:B1 1.58551e-05 +6 *20418:A1 *20490:B1 0.000200573 +7 *20418:A1 *5682:16 7.90842e-05 +8 *5219:11 *20490:B1 3.40351e-05 +9 *5219:11 *5682:16 0.000113107 +10 *20422:A2 *20418:A1 2.16355e-05 +11 *21491:A *5219:11 8.86481e-05 +12 *24580:CLK *5219:11 0.000156955 +13 *74:36 *5219:11 2.69685e-05 +14 *74:44 *5219:11 6.08467e-05 +15 *1733:26 *5219:11 2.44316e-05 +*RES +1 *24631:Q *5219:11 24.7109 +2 *5219:11 *20418:A1 16.6519 +3 *5219:11 *21514:A 9.82786 +*END + +*D_NET *5220 0.00311763 +*CONN +*I *20443:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21942:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24612:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20443:A1 0.000190541 +2 *21942:A 0.000164262 +3 *24612:Q 0.000503813 +4 *5220:7 0.000858617 +5 *20443:A1 *5859:497 1.53472e-05 +6 *5220:7 *24612:SET_B 0.000147046 +7 *5220:7 *5859:95 2.10441e-05 +8 *5220:7 *5865:17 8.41713e-05 +9 *20443:A2 *20443:A1 0.000172622 +10 *24612:D *20443:A1 1.91391e-05 +11 *1958:31 *5220:7 3.56986e-05 +12 *2589:20 *20443:A1 7.50872e-05 +13 *2589:20 *21942:A 0.000405607 +14 *2984:20 *20443:A1 1.00004e-05 +15 *2984:20 *21942:A 0.00040645 +16 *2985:20 *20443:A1 8.17829e-06 +*RES +1 *24612:Q *5220:7 20.8505 +2 *5220:7 *21942:A 21.3947 +3 *5220:7 *20443:A1 19.6268 +*END + +*D_NET *5221 0.00172089 +*CONN +*I *21834:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20442:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24613:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21834:A 6.50177e-05 +2 *20442:A1 0.000363048 +3 *24613:Q 8.96654e-05 +4 *5221:5 0.000517731 +5 *21712:B2 *21834:A 9.96839e-05 +6 *24613:CLK *20442:A1 5.61932e-05 +7 *24613:CLK *5221:5 1.03403e-05 +8 *1550:32 *21834:A 6.51637e-05 +9 *1607:29 *21834:A 3.88655e-06 +10 *1770:31 *21834:A 2.31673e-05 +11 *1958:8 *20442:A1 0.000270548 +12 *1958:15 *20442:A1 1.10793e-05 +13 *1958:92 *20442:A1 1.10793e-05 +14 *2797:47 *20442:A1 9.43801e-05 +15 *4840:155 *20442:A1 3.12828e-05 +16 *4942:22 *20442:A1 8.62625e-06 +*RES +1 *24613:Q *5221:5 10.5271 +2 *5221:5 *20442:A1 26.8996 +3 *5221:5 *21834:A 20.4964 +*END + +*D_NET *5222 0.00137683 +*CONN +*I *20441:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21685:A I *D sky130_fd_sc_hd__inv_6 +*I *24614:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20441:A1 0.000303479 +2 *21685:A 0 +3 *24614:Q 0.000168931 +4 *5222:9 0.00047241 +5 *20441:A1 *5855:561 0.000217937 +6 *20441:A2 *20441:A1 6.08467e-05 +7 *1627:202 *5222:9 0.000153225 +8 *4872:17 *5222:9 0 +*RES +1 *24614:Q *5222:9 22.7442 +2 *5222:9 *21685:A 9.24915 +3 *5222:9 *20441:A1 16.0973 +*END + +*D_NET *5223 0.00182318 +*CONN +*I *20440:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21544:A I *D sky130_fd_sc_hd__inv_2 +*I *24615:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20440:A1 0.000147521 +2 *21544:A 6.63958e-05 +3 *24615:Q 4.2187e-05 +4 *5223:6 0.000256104 +5 *20440:A1 *5867:266 0.00025175 +6 *20436:A *20440:A1 0.000162583 +7 *20706:B2 *21544:A 5.22654e-06 +8 *24433:D *21544:A 0.000151726 +9 *24433:CLK *20440:A1 9.45897e-05 +10 *24615:D *20440:A1 0.000164815 +11 *2809:18 *21544:A 1.41761e-05 +12 *2826:10 *21544:A 0.000252312 +13 *2826:10 *5223:6 8.37979e-05 +14 *4822:50 *21544:A 3.39633e-05 +15 *4822:50 *5223:6 9.60366e-05 +*RES +1 *24615:Q *5223:6 15.5811 +2 *5223:6 *21544:A 18.0727 +3 *5223:6 *20440:A1 19.464 +*END + +*D_NET *5224 0.00197452 +*CONN +*I *20439:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21361:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24616:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20439:A1 0.000200605 +2 *21361:A 0.000155766 +3 *24616:Q 4.27557e-05 +4 *5224:6 0.000399127 +5 *20439:B1 *20439:A1 0.000374973 +6 *1418:169 *20439:A1 7.85927e-05 +7 *1418:181 *20439:A1 6.06688e-05 +8 *1620:141 *21361:A 4.31485e-06 +9 *1620:143 *21361:A 0.000177425 +10 *1620:143 *5224:6 0.000113471 +11 *2349:12 *21361:A 0.000184978 +12 *2349:12 *5224:6 0.000122098 +13 *3131:206 *21361:A 5.97411e-05 +*RES +1 *24616:Q *5224:6 15.9964 +2 *5224:6 *21361:A 19.0748 +3 *5224:6 *20439:A1 20.5973 +*END + +*D_NET *5225 0.0014644 +*CONN +*I *21277:A I *D sky130_fd_sc_hd__inv_2 +*I *20438:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24617:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21277:A 0 +2 *20438:A1 8.36189e-05 +3 *24617:Q 0.000220743 +4 *5225:9 0.000304361 +5 *20439:A2 *5225:9 2.69685e-05 +6 *1958:38 *20438:A1 7.39264e-05 +7 *1958:38 *5225:9 0.000300565 +8 *2331:8 *5225:9 0.000129134 +9 *2340:15 *20438:A1 0.000258128 +10 *2340:15 *5225:9 1.65872e-05 +11 *2416:14 *5225:9 3.83923e-05 +12 *2764:29 *20438:A1 1.19721e-05 +*RES +1 *24617:Q *5225:9 24.4081 +2 *5225:9 *20438:A1 12.7697 +3 *5225:9 *21277:A 9.24915 +*END + +*D_NET *5226 0.00299872 +*CONN +*I *20437:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21190:A I *D sky130_fd_sc_hd__inv_2 +*I *24618:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20437:A1 0.000427702 +2 *21190:A 0.000228406 +3 *24618:Q 0.000179807 +4 *5226:7 0.000835914 +5 *21190:A *5865:165 6.50727e-05 +6 *20437:A2 *20437:A1 6.08467e-05 +7 *24618:D *20437:A1 0.000107496 +8 *24618:CLK *5226:7 3.96696e-05 +9 *1627:202 *20437:A1 0.000145633 +10 *1627:202 *21190:A 0.000438613 +11 *1725:205 *20437:A1 9.55754e-05 +12 *2464:10 *21190:A 0.000169882 +13 *2464:10 *5226:7 0.000157107 +14 *2764:11 *21190:A 1.03403e-05 +15 *4891:8 *20437:A1 3.23931e-05 +16 *4891:8 *21190:A 4.2664e-06 +*RES +1 *24618:Q *5226:7 16.691 +2 *5226:7 *21190:A 22.5333 +3 *5226:7 *20437:A1 23.6509 +*END + +*D_NET *5227 0.00189959 +*CONN +*I *20422:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20005:A I *D sky130_fd_sc_hd__inv_2 +*I *24627:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20422:A1 0.00010686 +2 *20005:A 0.000104635 +3 *24627:Q 0.000305706 +4 *5227:8 0.000517201 +5 *20005:A *20489:B1 0.000164829 +6 *5227:8 *24631:RESET_B 7.98171e-06 +7 *20421:B2 *20005:A 9.80784e-05 +8 *20421:B2 *5227:8 4.63742e-05 +9 *20422:A2 *20422:A1 3.01098e-05 +10 *20422:B2 *20422:A1 0 +11 *24627:D *5227:8 8.07099e-05 +12 *1462:30 *20005:A 0.000160617 +13 *1729:64 *5227:8 4.83562e-06 +14 *2933:26 *20005:A 0.000101489 +15 *2933:26 *5227:8 0.000170162 +*RES +1 *24627:Q *5227:8 19.6294 +2 *5227:8 *20005:A 17.829 +3 *5227:8 *20422:A1 15.7747 +*END + +*D_NET *5228 0.00247238 +*CONN +*I *21965:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20421:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24628:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21965:A 0.000144308 +2 *20421:A1 0.000306877 +3 *24628:Q 0 +4 *5228:4 0.000451186 +5 *20421:A2 *20421:A1 6.3657e-05 +6 *24628:D *20421:A1 6.08467e-05 +7 *74:36 *21965:A 0.000177213 +8 *1459:87 *20421:A1 0.000107496 +9 *1471:219 *20421:A1 2.37827e-05 +10 *1541:142 *20421:A1 0.000162873 +11 *1762:63 *21965:A 0.000171237 +12 *1764:65 *20421:A1 6.08467e-05 +13 *2561:47 *20421:A1 0.000115371 +14 *2561:47 *21965:A 5.8334e-05 +15 *2710:29 *20421:A1 0.000166456 +16 *3002:42 *20421:A1 0.000294093 +17 *4870:116 *20421:A1 1.67533e-05 +18 *4927:11 *20421:A1 6.3215e-05 +19 *4927:11 *21965:A 2.7837e-05 +*RES +1 *24628:Q *5228:4 9.24915 +2 *5228:4 *20421:A1 29.9835 +3 *5228:4 *21965:A 23.5748 +*END + +*D_NET *5229 0.00219843 +*CONN +*I *19890:A I *D sky130_fd_sc_hd__inv_2 +*I *20172:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24784:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19890:A 0.000115786 +2 *20172:A1 0.000228345 +3 *24784:Q 0.000369126 +4 *5229:5 0.000713257 +5 *1864:22 *20172:A1 1.12606e-05 +6 *2256:27 *20172:A1 6.75453e-05 +7 *2256:27 *5229:5 0.000162627 +8 *2313:11 *19890:A 0.000219978 +9 *2313:11 *20172:A1 0 +10 *3076:32 *19890:A 0.000159766 +11 *3076:42 *19890:A 0 +12 *3076:42 *20172:A1 0.000150741 +*RES +1 *24784:Q *5229:5 14.6867 +2 *5229:5 *20172:A1 23.1595 +3 *5229:5 *19890:A 22.5727 +*END + +*D_NET *5230 0.00313946 +*CONN +*I *21849:A I *D sky130_fd_sc_hd__inv_2 +*I *20202:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24773:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21849:A 0.000318514 +2 *20202:A1 0.00012595 +3 *24773:Q 0.000371382 +4 *5230:7 0.000815846 +5 *20197:B *21849:A 0.000155093 +6 *20202:B2 *20202:A1 4.15661e-05 +7 *21725:A1 *21849:A 6.08467e-05 +8 *24773:D *20202:A1 7.9849e-05 +9 *24773:CLK *5230:7 3.24705e-06 +10 *1439:152 *5230:7 0.000224119 +11 *1552:35 *21849:A 0.000148833 +12 *1691:72 *20202:A1 3.68122e-05 +13 *1691:72 *21849:A 9.52959e-05 +14 *2638:35 *5230:7 4.03336e-05 +15 *2865:11 *21849:A 6.1438e-05 +16 *3053:6 *20202:A1 0.000217716 +17 *3053:6 *21849:A 0.000262396 +18 *3053:14 *21849:A 1.79629e-05 +19 *3144:23 *21849:A 6.08467e-05 +20 *4920:19 *5230:7 1.4091e-06 +*RES +1 *24773:Q *5230:7 19.1867 +2 *5230:7 *20202:A1 18.0727 +3 *5230:7 *21849:A 23.7791 +*END + +*D_NET *5231 0.00316666 +*CONN +*I *21650:A I *D sky130_fd_sc_hd__inv_2 +*I *20201:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24774:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21650:A 0.000225745 +2 *20201:A1 0.00062764 +3 *24774:Q 0.000283776 +4 *5231:5 0.00113716 +5 *21650:A *21063:B1 8.714e-05 +6 *21650:A *5857:298 4.82779e-06 +7 *21650:A *5870:542 0.000107052 +8 *21371:B1 *21650:A 0 +9 *21689:B2 *20201:A1 0.000230974 +10 *21689:B2 *5231:5 0.000165189 +11 *24774:CLK *20201:A1 1.41086e-05 +12 *1439:145 *21650:A 3.30802e-05 +13 *1715:127 *21650:A 5.1493e-06 +14 *2609:24 *21650:A 0.000244818 +*RES +1 *24774:Q *5231:5 13.8548 +2 *5231:5 *20201:A1 19.1356 +3 *5231:5 *21650:A 24.7053 +*END + +*D_NET *5232 0.00238909 +*CONN +*I *21581:A I *D sky130_fd_sc_hd__inv_2 +*I *20200:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24775:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21581:A 8.03494e-05 +2 *20200:A1 0.000189607 +3 *24775:Q 0.000746864 +4 *5232:5 0.00101682 +5 *5232:5 *24775:RESET_B 2.57847e-05 +6 *20200:A2 *20200:A1 2.53534e-05 +7 *21915:B2 *5232:5 1.31897e-05 +8 *24775:D *20200:A1 2.0126e-05 +9 *24775:D *5232:5 1.19751e-05 +10 *24775:CLK *21581:A 0.000131309 +11 *1552:8 *21581:A 0.000127711 +*RES +1 *24775:Q *5232:5 19.4008 +2 *5232:5 *20200:A1 13.7583 +3 *5232:5 *21581:A 20.9116 +*END + +*D_NET *5233 0.00341394 +*CONN +*I *22027:A I *D sky130_fd_sc_hd__inv_2 +*I *20171:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24785:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *22027:A 0.000143199 +2 *20171:A1 0.000315055 +3 *24785:Q 0.00078315 +4 *5233:7 0.0012414 +5 *20171:A1 *20171:B1 0.000284037 +6 *20171:A1 *5859:233 0.000106568 +7 *22027:A *5859:233 0.000182381 +8 *20171:B2 *20171:A1 1.37189e-05 +9 *24785:D *20171:A1 0 +10 *24785:D *22027:A 0 +11 *24785:CLK *5233:7 0.000254675 +12 *1864:22 *20171:A1 0 +13 *1864:22 *22027:A 0 +14 *1864:27 *20171:A1 0 +15 *3076:46 *20171:A1 1.2693e-05 +16 *3076:46 *22027:A 1.68812e-05 +17 *4866:102 *5233:7 2.1228e-06 +18 *4911:147 *5233:7 5.80553e-05 +*RES +1 *24785:Q *5233:7 25.2873 +2 *5233:7 *20171:A1 20.7627 +3 *5233:7 *22027:A 18.0727 +*END + +*D_NET *5234 0.00355973 +*CONN +*I *21784:A I *D sky130_fd_sc_hd__inv_2 +*I *20170:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24786:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21784:A 0.000170884 +2 *20170:A1 0.000174508 +3 *24786:Q 0.000712752 +4 *5234:7 0.00105814 +5 *20170:A1 *20170:B1 6.08467e-05 +6 *5234:7 *24786:RESET_B 0.000100397 +7 *20170:B2 *20170:A1 4.34569e-05 +8 *24786:D *21784:A 0 +9 *24786:D *5234:7 0.000165521 +10 *24786:CLK *5234:7 0.000133275 +11 *1450:126 *20170:A1 0 +12 *1450:126 *21784:A 0 +13 *1709:78 *20170:A1 0.000151726 +14 *1709:78 *21784:A 0.000263483 +15 *2800:14 *21784:A 7.16893e-05 +16 *2924:70 *21784:A 7.16893e-05 +17 *3091:20 *20170:A1 0.000311781 +18 *4804:21 *20170:A1 6.95745e-05 +19 *4833:70 *21784:A 0 +*RES +1 *24786:Q *5234:7 24.4554 +2 *5234:7 *20170:A1 20.4627 +3 *5234:7 *21784:A 20.3205 +*END + +*D_NET *5235 0.00278573 +*CONN +*I *21640:A I *D sky130_fd_sc_hd__inv_4 +*I *20169:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24787:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21640:A 2.06324e-05 +2 *20169:A1 0.000209473 +3 *24787:Q 0.000449734 +4 *5235:11 0.00067984 +5 *20169:A1 *20169:B1 9.8407e-05 +6 *20169:A1 *5853:379 0.000101133 +7 *5235:11 *24787:RESET_B 0.000153519 +8 *20169:A2 *20169:A1 3.75382e-05 +9 *24701:CLK *5235:11 7.39264e-05 +10 *24787:CLK *20169:A1 0 +11 *24787:CLK *5235:11 0.000192319 +12 *1742:76 *5235:11 7.7321e-05 +13 *2256:14 *5235:11 0.000174175 +14 *2278:28 *20169:A1 2.82537e-05 +15 *2423:57 *5235:11 0.000253916 +16 *4804:109 *20169:A1 0.000175689 +17 *4911:100 *20169:A1 5.481e-05 +18 *4911:100 *5235:11 5.04829e-06 +*RES +1 *24787:Q *5235:11 32.202 +2 *5235:11 *20169:A1 25.0162 +3 *5235:11 *21640:A 9.82786 +*END + +*D_NET *5236 0.00663765 +*CONN +*I *21580:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20168:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24788:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21580:A 0.000877273 +2 *20168:A1 2.77808e-05 +3 *24788:Q 0.00093695 +4 *5236:7 0.001842 +5 *21580:A *21246:A 4.38155e-05 +6 *21580:A *21399:A 0.000343349 +7 *21580:A *5853:365 0.00012545 +8 *21580:A *5862:32 1.94615e-05 +9 *21580:A *5862:47 9.42737e-05 +10 *5236:7 *24788:RESET_B 7.13972e-05 +11 *20167:B1 *21580:A 7.19237e-05 +12 *20168:A2 *5236:7 1.65872e-05 +13 *24788:D *5236:7 6.44964e-06 +14 *24788:CLK *5236:7 0.000124083 +15 *24789:CLK *21580:A 0.000323418 +16 *1864:33 *20168:A1 3.04407e-05 +17 *2381:26 *20168:A1 2.39535e-05 +18 *2381:26 *21580:A 0.000243671 +19 *2637:7 *21580:A 6.50727e-05 +20 *2896:37 *21580:A 0.00076537 +21 *2900:60 *21580:A 3.4309e-05 +22 *4911:118 *20168:A1 5.50027e-05 +23 *4911:133 *20168:A1 2.24484e-05 +24 *4911:133 *21580:A 0.000473167 +*RES +1 *24788:Q *5236:7 26.6738 +2 *5236:7 *20168:A1 15.1659 +3 *5236:7 *21580:A 46.6179 +*END + +*D_NET *5237 0.00268393 +*CONN +*I *21399:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20167:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24789:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21399:A 0.000136113 +2 *20167:A1 4.57298e-05 +3 *24789:Q 0.000441506 +4 *5237:5 0.000623349 +5 *5237:5 *24789:RESET_B 5.60664e-05 +6 *5237:5 *5909:17 0.000340503 +7 *20167:A2 *20167:A1 3.67398e-05 +8 *20167:B1 *20167:A1 0.000144443 +9 *20167:B1 *5237:5 0.000111722 +10 *21580:A *21399:A 0.000343349 +11 *24789:D *5237:5 5.40779e-05 +12 *1864:5 *20167:A1 6.98337e-06 +13 *2381:26 *21399:A 0.000343349 +*RES +1 *24789:Q *5237:5 21.0646 +2 *5237:5 *20167:A1 11.1059 +3 *5237:5 *21399:A 24.6489 +*END + +*D_NET *5238 0.00207511 +*CONN +*I *20166:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21349:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24790:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20166:A1 0.000102258 +2 *21349:A 0 +3 *24790:Q 0.000491581 +4 *5238:10 0.000593839 +5 *20166:A2 *20166:A1 6.50586e-05 +6 *20169:A2 *20166:A1 6.89789e-05 +7 *20169:B2 *20166:A1 1.00981e-05 +8 *24790:CLK *5238:10 0.000178365 +9 *1439:170 *5238:10 5.53928e-05 +10 *2381:26 *5238:10 0.000143901 +11 *2411:5 *20166:A1 0.000304791 +12 *4821:59 *5238:10 6.08467e-05 +*RES +1 *24790:Q *5238:10 27.1811 +2 *5238:10 *21349:A 9.24915 +3 *5238:10 *20166:A1 13.3243 +*END + +*D_NET *5239 0.00335594 +*CONN +*I *21246:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20165:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24791:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21246:A 0.000229419 +2 *20165:A1 0 +3 *24791:Q 0.00087932 +4 *5239:15 0.000340498 +5 *5239:8 0.000156554 +6 *5239:7 0.000924796 +7 *5239:7 *24791:RESET_B 0.000103626 +8 *5239:7 *24806:SET_B 6.08467e-05 +9 *20165:B1 *21246:A 3.99086e-06 +10 *20165:B1 *5239:15 4.11658e-05 +11 *20165:B2 *21246:A 7.34948e-06 +12 *21580:A *21246:A 4.38155e-05 +13 *24791:D *21246:A 6.98334e-06 +14 *24791:D *5239:7 0.000296519 +15 *24791:D *5239:15 6.88186e-05 +16 *24791:CLK *5239:15 6.08467e-05 +17 *2394:7 *5239:7 2.17924e-05 +18 *2900:60 *21246:A 0.000109598 +*RES +1 *24791:Q *5239:7 30.8684 +2 *5239:7 *5239:8 57.9449 +3 *5239:8 *5239:15 12.3839 +4 *5239:15 *20165:A1 9.24915 +5 *5239:15 *21246:A 22.9077 +*END + +*D_NET *5240 0.002134 +*CONN +*I *20204:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19794:A I *D sky130_fd_sc_hd__inv_2 +*I *24771:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20204:A1 5.63315e-05 +2 *19794:A 0.000351679 +3 *24771:Q 0.00026858 +4 *5240:5 0.000676591 +5 *20204:A1 *5860:498 9.32927e-05 +6 *5240:5 *22057:A 6.08467e-05 +7 *5240:5 *24771:RESET_B 4.94655e-05 +8 *1691:71 *20204:A1 3.49122e-05 +9 *2471:17 *19794:A 6.25697e-05 +10 *2471:17 *5240:5 3.0935e-05 +11 *2650:14 *19794:A 0.000130833 +12 *2815:14 *19794:A 0.000317966 +*RES +1 *24771:Q *5240:5 13.3002 +2 *5240:5 *19794:A 27.1755 +3 *5240:5 *20204:A1 20.0811 +*END + +*D_NET *5241 0.00297497 +*CONN +*I *20203:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22057:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24772:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20203:A1 3.22273e-05 +2 *22057:A 0.000188674 +3 *24772:Q 0.000503256 +4 *5241:10 0.000724158 +5 *22057:A *24771:RESET_B 0.000210067 +6 *5241:10 *19617:B1 1.86178e-05 +7 *5241:10 *5859:352 9.32927e-05 +8 *5241:10 *5859:374 3.92776e-05 +9 *20203:A2 *20203:A1 0.000107496 +10 *20203:A2 *22057:A 0.000251655 +11 *20203:B2 *22057:A 6.08467e-05 +12 *24927:A *22057:A 1.91391e-05 +13 *2468:130 *5241:10 0.000204964 +14 *2471:17 *20203:A1 3.31745e-05 +15 *2471:17 *22057:A 4.73598e-05 +16 *2875:12 *22057:A 7.82239e-06 +17 *2876:8 *22057:A 0.00012883 +18 *2894:8 *5241:10 0.000149977 +19 *4844:324 *22057:A 9.32891e-05 +20 *5240:5 *22057:A 6.08467e-05 +*RES +1 *24772:Q *5241:10 27.5963 +2 *5241:10 *22057:A 25.5173 +3 *5241:10 *20203:A1 10.5271 +*END + +*D_NET *5242 0.0009977 +*CONN +*I *20482:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19967:A I *D sky130_fd_sc_hd__inv_4 +*I *24585:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20482:A1 2.09808e-05 +2 *19967:A 9.75055e-05 +3 *24585:Q 0.000165739 +4 *5242:8 0.000284225 +5 *20482:A2 *20482:A1 6.50586e-05 +6 *20482:B2 *20482:A1 0.000118166 +7 *21153:B1 *19967:A 3.67708e-05 +8 *24585:D *5242:8 9.67077e-05 +9 *24592:CLK *19967:A 2.29151e-05 +10 *24592:CLK *5242:8 6.08697e-06 +11 *4838:30 *5242:8 4.80148e-05 +12 *4838:37 *19967:A 2.66039e-05 +13 *4838:37 *5242:8 8.92568e-06 +14 *4839:36 *5242:8 0 +15 *4841:40 *19967:A 0 +*RES +1 *24585:Q *5242:8 17.8276 +2 *5242:8 *19967:A 16.4116 +3 *5242:8 *20482:A1 15.0271 +*END + +*D_NET *5243 0.00359782 +*CONN +*I *21822:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20458:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24603:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21822:A 0.000268342 +2 *20458:A1 0.000209796 +3 *24603:Q 6.25048e-05 +4 *5243:7 0.000540643 +5 *20458:A1 *5856:296 0.000523665 +6 *20458:A1 *5856:377 0.000111722 +7 *20458:A1 *5858:415 4.95492e-05 +8 *21822:A *5856:293 0.000125724 +9 *20458:A2 *20458:A1 0.000517206 +10 *514:93 *5243:7 8.41713e-05 +11 *1964:10 *20458:A1 0.000244071 +12 *1964:10 *21822:A 0.00010836 +13 *1964:12 *20458:A1 2.95757e-05 +14 *2946:8 *20458:A1 0.000270131 +15 *2946:8 *21822:A 0.000452365 +*RES +1 *24603:Q *5243:7 14.7498 +2 *5243:7 *20458:A1 25.7272 +3 *5243:7 *21822:A 22.6404 +*END + +*D_NET *5244 0.0023624 +*CONN +*I *21732:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20457:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24604:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21732:A 6.45301e-05 +2 *20457:A1 0.000293284 +3 *24604:Q 0 +4 *5244:4 0.000357814 +5 *20457:A1 *24604:RESET_B 0.000151272 +6 *24604:D *20457:A1 7.92757e-06 +7 *1497:36 *20457:A1 0.000194336 +8 *1964:7 *20457:A1 0.000160462 +9 *2426:53 *20457:A1 0.000453443 +10 *2632:18 *20457:A1 3.32822e-05 +11 *2632:18 *21732:A 5.97411e-05 +12 *2835:14 *20457:A1 0.000185987 +13 *4878:27 *20457:A1 0.000258128 +14 *4878:27 *21732:A 0.000142194 +*RES +1 *24604:Q *5244:4 9.24915 +2 *5244:4 *20457:A1 30.2594 +3 *5244:4 *21732:A 11.6605 +*END + +*D_NET *5245 0.00165745 +*CONN +*I *21504:A I *D sky130_fd_sc_hd__inv_2 +*I *20456:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24605:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21504:A 6.84174e-05 +2 *20456:A1 0.000266168 +3 *24605:Q 0 +4 *5245:4 0.000334586 +5 *20456:A1 *5851:301 8.90486e-05 +6 *20456:A1 *5856:377 0.000170592 +7 *20456:A2 *20456:A1 0.000328363 +8 *24605:D *20456:A1 0.000175485 +9 *1473:5 *20456:A1 2.42138e-05 +10 *2561:16 *21504:A 5.91958e-05 +11 *2741:7 *21504:A 6.22539e-05 +12 *2741:14 *21504:A 1.41976e-05 +13 *2926:9 *21504:A 1.58551e-05 +14 *2946:8 *20456:A1 4.90694e-05 +*RES +1 *24605:Q *5245:4 9.24915 +2 *5245:4 *20456:A1 28.1509 +3 *5245:4 *21504:A 11.6605 +*END + +*D_NET *5246 0.00204965 +*CONN +*I *21904:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20481:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24586:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21904:A 0 +2 *20481:A1 0.000502803 +3 *24586:Q 0.000194929 +4 *5246:8 0.000697732 +5 *5246:8 *24586:SET_B 1.7022e-05 +6 *20481:B2 *20481:A1 5.04829e-06 +7 *1970:81 *20481:A1 0.00031994 +8 *2685:8 *20481:A1 6.14756e-06 +9 *2685:8 *5246:8 5.08234e-05 +10 *2818:12 *20481:A1 6.11693e-05 +11 *2818:12 *5246:8 5.53903e-05 +12 *2918:6 *20481:A1 0.00012445 +13 *2950:13 *5246:8 1.41976e-05 +*RES +1 *24586:Q *5246:8 17.9669 +2 *5246:8 *20481:A1 26.0087 +3 *5246:8 *21904:A 13.7491 +*END + +*D_NET *5247 0.00261676 +*CONN +*I *20480:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21821:A I *D sky130_fd_sc_hd__inv_2 +*I *24587:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20480:A1 0.000220263 +2 *21821:A 0.00024709 +3 *24587:Q 0 +4 *5247:5 0.000467353 +5 *20480:A2 *20480:A1 0.000520748 +6 *24587:D *20480:A1 0.000169041 +7 *24590:CLK *20480:A1 7.13972e-05 +8 *1856:8 *21821:A 3.8079e-05 +9 *1970:8 *20480:A1 6.43474e-05 +10 *1970:8 *21821:A 0.000217357 +11 *1970:23 *20480:A1 2.95757e-05 +12 *4739:18 *20480:A1 0.000101133 +13 *4739:18 *21821:A 0.000263114 +14 *4839:69 *21821:A 0.000207266 +*RES +1 *24587:Q *5247:5 13.7491 +2 *5247:5 *21821:A 22.5389 +3 *5247:5 *20480:A1 22.4052 +*END + +*D_NET *5248 0.000992366 +*CONN +*I *21679:A I *D sky130_fd_sc_hd__inv_12 +*I *20479:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24588:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21679:A 0.000122669 +2 *20479:A1 0.000180095 +3 *24588:Q 2.99109e-05 +4 *5248:6 0.000332675 +5 *20479:A2 *20479:A1 6.50586e-05 +6 *20479:B2 *20479:A1 0.000122378 +7 *4838:30 *20479:A1 6.59061e-05 +8 *4838:30 *21679:A 4.95259e-05 +9 *4839:30 *20479:A1 0 +10 *4841:112 *20479:A1 2.41483e-05 +11 *4845:26 *20479:A1 0 +*RES +1 *24588:Q *5248:6 14.4819 +2 *5248:6 *20479:A1 19.3507 +3 *5248:6 *21679:A 16.8269 +*END + +*D_NET *5249 0.00180065 +*CONN +*I *21507:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20478:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24589:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21507:A 9.54433e-05 +2 *20478:A1 0.000110172 +3 *24589:Q 4.82361e-05 +4 *5249:8 0.000253852 +5 *5249:8 *5458:107 0.00015324 +6 *20478:A2 *20478:A1 0.000224381 +7 *20754:B1 *20478:A1 0.00057454 +8 *20754:B1 *21507:A 8.27401e-05 +9 *4839:64 *5249:8 1.1246e-05 +10 *4842:28 *5249:8 0.000127194 +11 *4842:29 *20478:A1 0.00011961 +*RES +1 *24589:Q *5249:8 20.9116 +2 *5249:8 *20478:A1 16.0973 +3 *5249:8 *21507:A 11.6364 +*END + +*D_NET *5250 0.0144686 +*CONN +*I *21373:A I *D sky130_fd_sc_hd__inv_4 +*I *20477:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24590:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21373:A 2.92125e-05 +2 *20477:A1 0.00026352 +3 *24590:Q 0 +4 *5250:32 0.00256625 +5 *5250:27 0.00433787 +6 *5250:4 0.00206435 +7 *20477:A1 *5458:104 0.000123653 +8 *5250:27 *5861:25 0.000444724 +9 *5250:27 *5861:35 0.0011029 +10 *5250:32 *24483:RESET_B 3.82228e-05 +11 *5250:32 *24542:SET_B 1.27749e-05 +12 *5250:32 *5867:369 0.000128466 +13 *5250:32 *5867:416 0.000118938 +14 *20547:A2 *5250:27 4.58003e-05 +15 *24386:D *5250:27 0.00030253 +16 *24483:D *5250:32 0.000222733 +17 *24483:CLK *5250:32 0.000181997 +18 *24542:D *5250:27 0.000174526 +19 *24542:CLK *5250:27 2.16355e-05 +20 *1991:85 *5250:27 3.82228e-05 +21 *1992:14 *5250:27 3.40877e-05 +22 *2076:36 *21373:A 2.65667e-05 +23 *2298:8 *20477:A1 0.000144546 +24 *2347:26 *5250:27 0.000207266 +25 *2347:26 *5250:32 0.000138827 +26 *2348:8 *5250:32 0.000253826 +27 *2602:12 *20477:A1 0.000148129 +28 *2818:23 *20477:A1 0.000553213 +29 *2843:11 *5250:27 3.82228e-05 +30 *4823:12 *5250:32 0.000118858 +31 *4843:43 *20477:A1 0.000338824 +32 *4843:63 *20477:A1 3.75603e-05 +33 *4892:86 *5250:32 0.000210308 +*RES +1 *24590:Q *5250:4 9.24915 +2 *5250:4 *20477:A1 31.0874 +3 *5250:4 *5250:27 39.9556 +4 *5250:27 *5250:32 46.1507 +5 *5250:32 *21373:A 14.4725 +*END + +*D_NET *5251 0.0136036 +*CONN +*I *21312:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20476:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24591:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21312:A 0.00114123 +2 *20476:A1 0.000841526 +3 *24591:Q 0 +4 *5251:31 0.00198754 +5 *5251:25 0.00271292 +6 *5251:4 0.00270813 +7 *5251:25 *20880:B1 2.38634e-05 +8 *20398:B1 *20476:A1 0.000589182 +9 *20399:A1 *5251:25 4.45694e-05 +10 *20399:B1 *20476:A1 7.86847e-05 +11 *20399:B1 *5251:25 0.000315903 +12 *20429:A2 *5251:25 1.33419e-05 +13 *20429:B2 *5251:25 1.40978e-05 +14 *21485:A *21312:A 2.16355e-05 +15 *21877:A *21312:A 2.65831e-05 +16 *24349:D *21312:A 0.000154145 +17 *24349:D *5251:31 0.000162975 +18 *24349:CLK *5251:31 3.24105e-05 +19 *24623:D *5251:25 0.000500092 +20 *24643:D *5251:25 2.94103e-05 +21 *1708:137 *5251:25 9.80242e-07 +22 *1741:6 *5251:31 0.000393884 +23 *1970:45 *20476:A1 1.07248e-05 +24 *1970:52 *20476:A1 6.43474e-05 +25 *2374:14 *21312:A 6.50727e-05 +26 *2448:20 *5251:25 3.00073e-05 +27 *2542:7 *21312:A 0.000220183 +28 *2809:6 *5251:31 0 +29 *2853:8 *5251:25 7.14746e-05 +30 *2893:7 *21312:A 0.00046622 +31 *4826:23 *5251:31 5.04829e-06 +32 *4826:25 *21312:A 0.000402007 +33 *4826:25 *5251:31 1.06276e-05 +34 *4888:55 *5251:31 7.25424e-05 +35 *4888:67 *5251:31 0.000176996 +36 *4986:11 *21312:A 0.000190999 +37 *5212:5 *5251:25 2.42518e-05 +*RES +1 *24591:Q *5251:4 9.24915 +2 *5251:4 *20476:A1 32.5906 +3 *5251:4 *5251:25 45.7816 +4 *5251:25 *5251:31 21.3514 +5 *5251:31 *21312:A 40.9098 +*END + +*D_NET *5252 0.00198587 +*CONN +*I *20475:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21231:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24592:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20475:A1 0.00043594 +2 *21231:A 0.000115219 +3 *24592:Q 0 +4 *5252:5 0.000551159 +5 *21231:A *24592:RESET_B 0.000324151 +6 *20475:A2 *20475:A1 0.000171273 +7 *24592:D *20475:A1 1.00937e-05 +8 *2818:12 *20475:A1 8.07794e-05 +9 *2818:12 *21231:A 3.98472e-05 +10 *2918:6 *20475:A1 0.000168546 +11 *2918:6 *21231:A 8.88627e-05 +*RES +1 *24592:Q *5252:5 13.7491 +2 *5252:5 *21231:A 18.6623 +3 *5252:5 *20475:A1 24.7601 +*END + +*D_NET *5253 0.00290954 +*CONN +*I *19982:A I *D sky130_fd_sc_hd__inv_2 +*I *20460:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24601:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19982:A 0 +2 *20460:A1 0.000260032 +3 *24601:Q 0.0002041 +4 *5253:9 0.000464132 +5 *20460:A1 *5852:26 3.31745e-05 +6 *20460:A1 *5856:377 3.48089e-05 +7 *5253:9 *5852:26 0.000212753 +8 *20460:A2 *20460:A1 5.92192e-05 +9 *514:98 *5253:9 0.000148144 +10 *1668:34 *20460:A1 0.000553199 +11 *1739:7 *5253:9 0.000315461 +12 *2946:8 *20460:A1 0.00021459 +13 *4863:58 *20460:A1 0.000258537 +14 *4863:58 *5253:9 8.82125e-05 +15 *4863:61 *5253:9 6.31809e-05 +*RES +1 *24601:Q *5253:9 27.1811 +2 *5253:9 *20460:A1 29.536 +3 *5253:9 *19982:A 9.24915 +*END + +*D_NET *5254 0.00120728 +*CONN +*I *21941:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20459:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24602:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21941:A 0 +2 *20459:A1 0.000149978 +3 *24602:Q 9.36867e-05 +4 *5254:9 0.000243665 +5 *20459:A1 *5870:126 8.67474e-05 +6 *5254:9 *24602:RESET_B 0 +7 *20459:A2 *20459:A1 0.000175485 +8 *20460:B2 *20459:A1 2.4541e-05 +9 *20460:B2 *5254:9 6.72719e-05 +10 *24602:D *20459:A1 0.000171288 +11 *1964:14 *5254:9 0.000122083 +12 *1964:33 *20459:A1 2.18145e-05 +13 *1964:33 *5254:9 5.0715e-05 +*RES +1 *24602:Q *5254:9 21.7744 +2 *5254:9 *20459:A1 14.9881 +3 *5254:9 *21941:A 9.24915 +*END + +*D_NET *5255 0.00295092 +*CONN +*I *20520:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19933:A I *D sky130_fd_sc_hd__inv_4 +*I *24559:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20520:A1 0.000153789 +2 *19933:A 0.000456855 +3 *24559:Q 0.000400025 +4 *5255:5 0.00101067 +5 *19933:A *24898:RESET_B 0.000108987 +6 *5255:5 *24371:RESET_B 2.3786e-05 +7 *5255:5 *24559:SET_B 0.000195173 +8 *5255:5 *24898:RESET_B 5.18745e-05 +9 *20520:A2 *20520:A1 6.27718e-05 +10 *24559:D *20520:A1 0.00015901 +11 *24559:CLK *19933:A 6.97525e-05 +12 *494:26 *20520:A1 8.8371e-05 +13 *547:38 *20520:A1 8.8371e-05 +14 *2759:27 *20520:A1 8.14875e-05 +*RES +1 *24559:Q *5255:5 15.2413 +2 *5255:5 *19933:A 16.5072 +3 *5255:5 *20520:A1 23.6017 +*END + +*D_NET *5256 0.00330801 +*CONN +*I *20496:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21829:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24577:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20496:A1 0.00021683 +2 *21829:A 0.000211792 +3 *24577:Q 0.000119703 +4 *5256:7 0.000548324 +5 *21829:A *20494:A1 0.000347214 +6 *21829:A *5853:35 2.07503e-05 +7 *5256:7 *24577:SET_B 1.11917e-05 +8 *20496:A2 *20496:A1 0.000160617 +9 *1439:438 *20496:A1 5.99955e-05 +10 *1593:18 *20496:A1 0.000765357 +11 *1593:18 *21829:A 0.000243633 +12 *1856:7 *20496:A1 1.65872e-05 +13 *1976:18 *20496:A1 0.000107496 +14 *2685:25 *20496:A1 4.23937e-05 +15 *2764:48 *20496:A1 6.43474e-05 +16 *2764:48 *21829:A 0.000250814 +17 *2837:17 *21829:A 0.000120962 +*RES +1 *24577:Q *5256:7 15.3044 +2 *5256:7 *21829:A 22.6783 +3 *5256:7 *20496:A1 23.6509 +*END + +*D_NET *5257 0.00181782 +*CONN +*I *21660:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20495:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24578:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21660:A 0 +2 *20495:A1 0.000313397 +3 *24578:Q 0.000289083 +4 *5257:10 0.00060248 +5 *20495:A1 *20495:B1 6.08467e-05 +6 *20495:A2 *20495:A1 6.50727e-05 +7 *24578:D *20495:A1 1.80122e-05 +8 *2602:12 *5257:10 0.000329489 +9 *2837:8 *5257:10 0.000139441 +*RES +1 *24578:Q *5257:10 26.0663 +2 *5257:10 *20495:A1 15.5427 +3 *5257:10 *21660:A 9.24915 +*END + +*D_NET *5258 0.00215819 +*CONN +*I *20494:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21508:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24579:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20494:A1 0.000319926 +2 *21508:A 8.00821e-05 +3 *24579:Q 0 +4 *5258:4 0.000400008 +5 *20494:A1 *5853:35 0.000821773 +6 *21508:A *5866:130 0 +7 *20494:A2 *20494:A1 0.000107496 +8 *21829:A *20494:A1 0.000347214 +9 *2564:8 *20494:A1 5.04734e-05 +10 *2987:15 *20494:A1 3.1218e-05 +*RES +1 *24579:Q *5258:4 9.24915 +2 *5258:4 *21508:A 11.3711 +3 *5258:4 *20494:A1 30.1176 +*END + +*D_NET *5259 0.00298114 +*CONN +*I *21935:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20519:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24560:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21935:A 0 +2 *20519:A1 0.000165703 +3 *24560:Q 0.000642206 +4 *5259:17 0.000807909 +5 *20519:A1 *5859:262 0.000137691 +6 *5259:17 *24561:RESET_B 0.000107496 +7 *20519:A2 *20519:A1 0.00013415 +8 *20519:B2 *5259:17 5.97411e-05 +9 *24561:CLK *20519:A1 6.92705e-05 +10 *24561:CLK *5259:17 0.000370104 +11 *506:36 *5259:17 4.90621e-05 +12 *1741:93 *5259:17 6.27643e-05 +13 *2428:90 *5259:17 0.000144531 +14 *2576:42 *5259:17 0.000148114 +15 *2949:10 *20519:A1 1.82679e-05 +16 *2949:55 *20519:A1 7.68538e-06 +17 *2949:55 *5259:17 3.79844e-06 +18 *4843:509 *5259:17 5.26446e-05 +*RES +1 *24560:Q *5259:17 42.7889 +2 *5259:17 *20519:A1 23.2989 +3 *5259:17 *21935:A 9.24915 +*END + +*D_NET *5260 0.001684 +*CONN +*I *20518:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21880:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24561:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20518:A1 0.000125319 +2 *21880:A 0 +3 *24561:Q 0.000252909 +4 *5260:10 0.000378228 +5 *20518:A1 *5857:263 0.00036077 +6 *20519:A2 *20518:A1 6.08467e-05 +7 *1450:113 *20518:A1 7.15656e-05 +8 *1982:46 *20518:A1 0.000113968 +9 *2100:7 *20518:A1 6.92705e-05 +10 *2720:24 *5260:10 3.75398e-05 +11 *2949:55 *5260:10 0.000213586 +12 *4845:359 *5260:10 0 +*RES +1 *24561:Q *5260:10 23.2989 +2 *5260:10 *21880:A 9.24915 +3 *5260:10 *20518:A1 14.9881 +*END + +*D_NET *5261 0.00281622 +*CONN +*I *21733:A I *D sky130_fd_sc_hd__inv_8 +*I *20517:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24562:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21733:A 0.000128058 +2 *20517:A1 0.000136646 +3 *24562:Q 0.00026143 +4 *5261:8 0.000526134 +5 *20517:A1 *20517:B1 3.41459e-05 +6 *21364:A1 *21733:A 6.50586e-05 +7 *24938:A *21733:A 0.000178718 +8 *24938:A *5261:8 0.000286717 +9 *545:37 *21733:A 4.47841e-05 +10 *545:37 *5261:8 7.18071e-05 +11 *2428:87 *20517:A1 0.000562459 +12 *2759:27 *5261:8 2.23124e-05 +13 *4866:32 *20517:A1 7.20265e-05 +14 *4914:12 *20517:A1 0.000425925 +*RES +1 *24562:Q *5261:8 20.5964 +2 *5261:8 *20517:A1 22.2611 +3 *5261:8 *21733:A 17.9655 +*END + +*D_NET *5262 0.00243675 +*CONN +*I *20516:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21539:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24563:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20516:A1 5.06474e-05 +2 *21539:A 0.000446207 +3 *24563:Q 0.000263376 +4 *5262:5 0.00076023 +5 *21539:A *5859:258 2.69065e-05 +6 *24563:D *21539:A 1.37029e-05 +7 *24563:D *5262:5 4.65615e-06 +8 *24565:CLK *21539:A 0.000163827 +9 *4827:24 *20516:A1 9.32891e-05 +10 *4844:354 *21539:A 0.000277317 +11 *4865:6 *20516:A1 9.32891e-05 +12 *4919:15 *21539:A 7.90605e-05 +13 *4919:18 *21539:A 1.03403e-05 +14 *4919:108 *21539:A 0.000153898 +*RES +1 *24563:Q *5262:5 12.7456 +2 *5262:5 *21539:A 29.3911 +3 *5262:5 *20516:A1 20.0811 +*END + +*D_NET *5263 0.00138113 +*CONN +*I *21363:A I *D sky130_fd_sc_hd__inv_2 +*I *20515:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24564:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21363:A 2.3034e-05 +2 *20515:A1 0.000190137 +3 *24564:Q 0.000167763 +4 *5263:9 0.000380934 +5 *24564:D *20515:A1 0.000164829 +6 *545:32 *5263:9 3.5353e-05 +7 *1982:38 *20515:A1 9.97706e-05 +8 *1982:43 *20515:A1 6.50586e-05 +9 *2095:14 *5263:9 3.5353e-05 +10 *2384:103 *20515:A1 0.000134996 +11 *2384:103 *5263:9 8.39059e-05 +*RES +1 *24564:Q *5263:9 22.4683 +2 *5263:9 *20515:A1 14.964 +3 *5263:9 *21363:A 9.82786 +*END + +*D_NET *5264 0.00328998 +*CONN +*I *20514:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21317:A I *D sky130_fd_sc_hd__inv_2 +*I *24565:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20514:A1 0.000157532 +2 *21317:A 0.000247354 +3 *24565:Q 0.000551639 +4 *5264:5 0.000956525 +5 *21317:A *5956:52 0.000225306 +6 *20514:B2 *20514:A1 2.1203e-06 +7 *24565:D *20514:A1 8.22812e-05 +8 *1557:34 *21317:A 6.99486e-05 +9 *1557:34 *5264:5 0.00016347 +10 *1557:62 *5264:5 0.000262495 +11 *2392:24 *21317:A 0.000277679 +12 *4827:24 *20514:A1 1.84167e-05 +13 *4827:29 *20514:A1 3.77568e-05 +14 *4827:29 *21317:A 3.88655e-06 +15 *4843:509 *20514:A1 0.000205311 +16 *4865:6 *21317:A 2.82537e-05 +*RES +1 *24565:Q *5264:5 17.737 +2 *5264:5 *21317:A 25.651 +3 *5264:5 *20514:A1 22.5727 +*END + +*D_NET *5265 0.00252449 +*CONN +*I *21189:A I *D sky130_fd_sc_hd__inv_2 +*I *20513:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24566:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21189:A 0.000132233 +2 *20513:A1 0.000551982 +3 *24566:Q 0.000207385 +4 *5265:5 0.0008916 +5 *21189:A *5870:733 6.08467e-05 +6 *5265:5 *24566:RESET_B 2.23479e-05 +7 *24566:D *20513:A1 7.50872e-05 +8 *1557:62 *21189:A 2.16355e-05 +9 *1982:75 *20513:A1 0 +10 *1982:91 *20513:A1 0 +11 *2100:12 *20513:A1 0.000130517 +12 *3469:10 *21189:A 0.000212999 +13 *3472:20 *21189:A 0.000217857 +*RES +1 *24566:Q *5265:5 12.191 +2 *5265:5 *20513:A1 26.6265 +3 *5265:5 *21189:A 23.2961 +*END + +*D_NET *5266 0.00150751 +*CONN +*I *19975:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20498:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24575:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19975:A 5.7765e-05 +2 *20498:A1 0.000488945 +3 *24575:Q 4.8467e-05 +4 *5266:8 0.000595177 +5 *24575:D *20498:A1 0.000115934 +6 *1976:48 *20498:A1 6.08467e-05 +7 *2602:12 *5266:8 0.000101148 +8 *2837:8 *5266:8 3.92275e-05 +*RES +1 *24575:Q *5266:8 20.0811 +2 *5266:8 *20498:A1 18.8462 +3 *5266:8 *19975:A 10.5513 +*END + +*D_NET *5267 0.00172489 +*CONN +*I *21973:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20497:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24576:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21973:A 0.000152766 +2 *20497:A1 0.000359334 +3 *24576:Q 4.24986e-05 +4 *5267:6 0.000554599 +5 *20497:A2 *20497:A1 5.89344e-05 +6 *20497:B1 *20497:A1 5.04829e-06 +7 *24576:D *20497:A1 0.000154145 +8 *2564:8 *21973:A 0.00011048 +9 *2564:8 *5267:6 9.2346e-06 +10 *2918:6 *21973:A 0.000113939 +11 *2918:6 *5267:6 3.95141e-05 +12 *4126:68 *21973:A 2.40736e-05 +13 *4840:186 *21973:A 2.16355e-05 +14 *4889:8 *5267:6 7.86847e-05 +*RES +1 *24576:Q *5267:6 15.5811 +2 *5267:6 *20497:A1 21.306 +3 *5267:6 *21973:A 19.3507 +*END + +*D_NET *5268 0.00167576 +*CONN +*I *19950:A I *D sky130_fd_sc_hd__inv_4 +*I *20558:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24533:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19950:A 9.82731e-05 +2 *20558:A1 0.000240953 +3 *24533:Q 8.46883e-05 +4 *5268:10 0.000423915 +5 *20558:A1 *21802:A 0.000171288 +6 *5268:10 *5868:723 2.692e-05 +7 *24533:D *20558:A1 0.000162583 +8 *4828:13 *19950:A 0.000126609 +9 *4828:13 *20558:A1 0.000242997 +10 *4886:37 *5268:10 9.75356e-05 +*RES +1 *24533:Q *5268:10 20.5272 +2 *5268:10 *20558:A1 17.1824 +3 *5268:10 *19950:A 12.2151 +*END + +*D_NET *5269 0.00144618 +*CONN +*I *21774:A I *D sky130_fd_sc_hd__inv_2 +*I *20534:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24551:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21774:A 0.000177862 +2 *20534:A1 0.000197836 +3 *24551:Q 9.86664e-05 +4 *5269:10 0.000474365 +5 *5269:10 *5904:86 0.000162788 +6 *20533:A2 *20534:A1 9.32983e-05 +7 *1988:15 *20534:A1 6.08467e-05 +8 *2608:26 *5269:10 0.000166213 +9 *2838:76 *20534:A1 7.92757e-06 +10 *2856:9 *5269:10 6.37652e-06 +*RES +1 *24551:Q *5269:10 21.773 +2 *5269:10 *20534:A1 13.3002 +3 *5269:10 *21774:A 12.7697 +*END + +*D_NET *5270 0.00245077 +*CONN +*I *21694:A I *D sky130_fd_sc_hd__inv_2 +*I *20533:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24552:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21694:A 9.87425e-05 +2 *20533:A1 0.000216624 +3 *24552:Q 0.000202204 +4 *5270:10 0.00051757 +5 *20533:A1 *20533:B1 3.41459e-05 +6 *20533:A1 *5904:91 0.000158371 +7 *21694:A *21770:A 0.000110306 +8 *21694:A *5904:91 9.55447e-05 +9 *22770:B1 *5270:10 0.000109869 +10 *24920:A *20533:A1 6.91179e-05 +11 *1740:139 *20533:A1 1.65872e-05 +12 *1740:139 *5270:10 7.36804e-06 +13 *1744:179 *20533:A1 6.08467e-05 +14 *1744:179 *21694:A 0.000349336 +15 *1744:179 *5270:10 2.95757e-05 +16 *1775:12 *5270:10 0.0001389 +17 *2614:35 *20533:A1 6.11872e-05 +18 *2614:35 *5270:10 1.54212e-05 +19 *3533:79 *5270:10 0.00010072 +20 *4850:68 *21694:A 5.8334e-05 +*RES +1 *24552:Q *5270:10 24.2687 +2 *5270:10 *20533:A1 15.5427 +3 *5270:10 *21694:A 13.8789 +*END + +*D_NET *5271 0.00178698 +*CONN +*I *21534:A I *D sky130_fd_sc_hd__inv_2 +*I *20532:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24553:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21534:A 0.000444428 +2 *20532:A1 7.54415e-05 +3 *24553:Q 4.386e-05 +4 *5271:8 0.000563729 +5 *20532:A1 *20532:B1 6.36477e-05 +6 *21534:A *20535:A1 7.77744e-05 +7 *5271:8 *5858:59 7.39022e-06 +8 *20532:A2 *20532:A1 0.000113968 +9 *20532:B2 *20532:A1 4.22713e-05 +10 *20532:B2 *21534:A 0.000159493 +11 *20535:A2 *21534:A 2.137e-05 +12 *24553:D *5271:8 7.50722e-05 +13 *1582:8 *5271:8 9.85369e-05 +*RES +1 *24553:Q *5271:8 20.0811 +2 *5271:8 *20532:A1 11.6364 +3 *5271:8 *21534:A 18.8703 +*END + +*D_NET *5272 0.00205042 +*CONN +*I *20557:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21936:A I *D sky130_fd_sc_hd__inv_4 +*I *24534:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20557:A1 0 +2 *21936:A 0.000196355 +3 *24534:Q 0.000260186 +4 *5272:8 0.000456541 +5 *5272:8 *24534:SET_B 7.14746e-05 +6 *5272:8 *5868:531 0.000237392 +7 *24534:D *5272:8 7.92757e-06 +8 *2685:7 *21936:A 6.50586e-05 +9 *4828:13 *21936:A 0.000231956 +10 *4828:13 *5272:8 8.89094e-05 +11 *4842:24 *21936:A 9.92077e-05 +12 *4842:24 *5272:8 1.1246e-05 +13 *4844:67 *21936:A 0.000324166 +*RES +1 *24534:Q *5272:8 19.3549 +2 *5272:8 *21936:A 21.5691 +3 *5272:8 *20557:A1 13.7491 +*END + +*D_NET *5273 0.00236394 +*CONN +*I *21837:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20556:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24535:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21837:A 8.87234e-05 +2 *20556:A1 4.80767e-05 +3 *24535:Q 9.63469e-05 +4 *5273:8 0.000233147 +5 *20556:A1 *5934:25 0.000271044 +6 *21837:A *20555:A1 9.65932e-05 +7 *21837:A *5934:25 0.000755469 +8 *5273:8 *5656:13 2.66039e-05 +9 *20555:A2 *21837:A 0.000171288 +10 *20555:B2 *21837:A 0.000175485 +11 *20556:A2 *20556:A1 0.000169041 +12 *20556:B1 *20556:A1 3.75603e-05 +13 *20556:B2 *20556:A1 1.00981e-05 +14 *24536:D *5273:8 0 +15 *1994:70 *20556:A1 6.92705e-05 +16 *1994:70 *21837:A 0.000102003 +17 *1995:21 *21837:A 7.6719e-06 +18 *4841:179 *21837:A 5.51483e-06 +*RES +1 *24535:Q *5273:8 20.4964 +2 *5273:8 *20556:A1 12.7697 +3 *5273:8 *21837:A 17.7611 +*END + +*D_NET *5274 0.00276398 +*CONN +*I *21711:A I *D sky130_fd_sc_hd__inv_6 +*I *20555:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24536:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21711:A 5.35135e-05 +2 *20555:A1 0.000407216 +3 *24536:Q 6.97636e-05 +4 *5274:5 0.000530493 +5 *20555:A1 *24536:RESET_B 5.69771e-05 +6 *20555:A1 *5659:10 1.77537e-06 +7 *20555:A1 *5661:10 5.85596e-05 +8 *20555:A1 *5934:25 7.45422e-05 +9 *21711:A *24536:RESET_B 0.000195436 +10 *21711:A *5661:10 2.71397e-05 +11 *5274:5 *24536:RESET_B 0.000103983 +12 *20555:A2 *20555:A1 6.92705e-05 +13 *21837:A *20555:A1 9.65932e-05 +14 *24536:D *20555:A1 0 +15 *24536:CLK *20555:A1 1.4091e-06 +16 *24596:CLK *20555:A1 2.42203e-05 +17 *24596:CLK *21711:A 0.000228593 +18 *2853:8 *20555:A1 0 +19 *4841:191 *20555:A1 0.000593901 +20 *4886:46 *20555:A1 0.000170592 +*RES +1 *24536:Q *5274:5 10.5271 +2 *5274:5 *20555:A1 32.0598 +3 *5274:5 *21711:A 21.6378 +*END + +*D_NET *5275 0.00316409 +*CONN +*I *21545:A I *D sky130_fd_sc_hd__inv_2 +*I *20554:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24537:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21545:A 0.000441817 +2 *20554:A1 0.000311976 +3 *24537:Q 8.48079e-05 +4 *5275:5 0.000838601 +5 *21545:A *20553:A1 6.08467e-05 +6 *21545:A *24537:RESET_B 0.000114769 +7 *21545:A *5868:575 0.000231567 +8 *5275:5 *24537:RESET_B 4.24629e-05 +9 *20553:B2 *20554:A1 0.000196882 +10 *20554:B2 *20554:A1 5.04829e-06 +11 *25364:A *20554:A1 0.000253367 +12 *1994:15 *20554:A1 0.000110197 +13 *2448:10 *20554:A1 2.05628e-05 +14 *2950:13 *21545:A 2.65667e-05 +15 *3033:22 *21545:A 0.000240318 +16 *4843:35 *21545:A 1.94615e-05 +17 *4886:89 *20554:A1 0.000164842 +*RES +1 *24537:Q *5275:5 10.5271 +2 *5275:5 *20554:A1 28.1509 +3 *5275:5 *21545:A 28.4563 +*END + +*D_NET *5276 0.00202565 +*CONN +*I *21381:A I *D sky130_fd_sc_hd__inv_6 +*I *20553:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24538:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21381:A 0.000255854 +2 *20553:A1 0.000444529 +3 *24538:Q 0 +4 *5276:4 0.000700383 +5 *21545:A *20553:A1 6.08467e-05 +6 *24538:D *20553:A1 0.000111352 +7 *25368:A *20553:A1 0.000261135 +8 *1946:14 *20553:A1 2.15326e-05 +9 *2950:13 *20553:A1 4.5355e-05 +10 *4843:35 *20553:A1 0.000124658 +*RES +1 *24538:Q *5276:4 9.24915 +2 *5276:4 *20553:A1 29.6782 +3 *5276:4 *21381:A 13.1796 +*END + +*D_NET *5277 0.00218928 +*CONN +*I *20552:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21307:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24539:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20552:A1 0.00035744 +2 *21307:A 0.000184708 +3 *24539:Q 0.000106761 +4 *5277:8 0.000648909 +5 *21307:A *5906:38 3.6455e-05 +6 *5277:8 *24594:SET_B 6.48865e-05 +7 *20557:A2 *20552:A1 2.65667e-05 +8 *24539:D *20552:A1 6.92705e-05 +9 *4839:257 *20552:A1 0.000188911 +10 *4839:257 *21307:A 0 +11 *4842:124 *5277:8 6.08467e-05 +12 *4843:22 *21307:A 7.12459e-05 +13 *4843:22 *5277:8 3.90689e-06 +14 *4886:8 *20552:A1 0.000118166 +15 *4886:8 *5277:8 3.00073e-05 +16 *4886:68 *21307:A 0.0002212 +*RES +1 *24539:Q *5277:8 16.3045 +2 *5277:8 *21307:A 19.9053 +3 *5277:8 *20552:A1 23.3462 +*END + +*D_NET *5278 0.00192817 +*CONN +*I *21220:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20551:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24540:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21220:A 0.000212311 +2 *20551:A1 0.000419341 +3 *24540:Q 0 +4 *5278:4 0.000631652 +5 *21220:A *24593:SET_B 1.65872e-05 +6 *24642:CLK *20551:A1 0 +7 *24948:A *20551:A1 1.64198e-05 +8 *2448:10 *20551:A1 3.31733e-05 +9 *2448:10 *21220:A 0.000154145 +10 *2853:8 *20551:A1 0.000181333 +11 *3033:16 *20551:A1 2.652e-05 +12 *3033:22 *21220:A 5.0459e-05 +13 *4843:35 *21220:A 0.000122068 +14 *4845:55 *20551:A1 6.41655e-05 +15 *4886:89 *20551:A1 0 +*RES +1 *24540:Q *5278:4 9.24915 +2 *5278:4 *20551:A1 28.0839 +3 *5278:4 *21220:A 22.8836 +*END + +*D_NET *5279 0.00136641 +*CONN +*I *20536:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20019:A I *D sky130_fd_sc_hd__inv_2 +*I *24549:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20536:A1 0.000234772 +2 *20019:A 7.089e-05 +3 *24549:Q 0 +4 *5279:5 0.000305662 +5 *20019:A *5904:86 8.10996e-05 +6 *20536:A1 *5904:77 6.08467e-05 +7 *20536:A1 *5904:86 0.00015689 +8 *20536:A2 *20536:A1 6.50586e-05 +9 *22413:B1 *20019:A 4.6535e-05 +10 *2593:24 *20536:A1 9.95234e-05 +11 *2614:35 *20019:A 7.7517e-05 +12 *2614:35 *20536:A1 0.000167615 +*RES +1 *24549:Q *5279:5 13.7491 +2 *5279:5 *20019:A 16.4439 +3 *5279:5 *20536:A1 20.8779 +*END + +*D_NET *5280 0.00228797 +*CONN +*I *21943:A I *D sky130_fd_sc_hd__inv_2 +*I *20535:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24550:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21943:A 0.000192329 +2 *20535:A1 0.000182249 +3 *24550:Q 4.52678e-05 +4 *5280:8 0.000419846 +5 *5280:8 *5855:60 9.69016e-05 +6 *20532:B2 *20535:A1 8.67924e-06 +7 *20535:A2 *20535:A1 6.08467e-05 +8 *21534:A *20535:A1 7.77744e-05 +9 *22434:B1 *5280:8 8.04174e-05 +10 *22501:A1 *21943:A 6.3657e-05 +11 *22501:A2 *21943:A 7.92757e-06 +12 *22501:B1 *20535:A1 0.000315378 +13 *22501:B1 *21943:A 5.32501e-05 +14 *22501:B2 *21943:A 1.96267e-05 +15 *2591:7 *20535:A1 2.58106e-05 +16 *2591:7 *21943:A 0.000593379 +17 *3059:18 *5280:8 1.3023e-05 +18 *3134:142 *21943:A 3.16037e-05 +*RES +1 *24550:Q *5280:8 20.0811 +2 *5280:8 *20535:A1 15.4221 +3 *5280:8 *21943:A 16.6519 +*END + +*D_NET *5281 0.00268895 +*CONN +*I *20596:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19861:A I *D sky130_fd_sc_hd__inv_2 +*I *24507:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20596:A1 0.000236531 +2 *19861:A 0.000274419 +3 *24507:Q 0.000289998 +4 *5281:5 0.000800948 +5 *19861:A *24114:A 0.00026818 +6 *19861:A *5918:32 0.000271778 +7 *20596:A1 *24509:RESET_B 1.94839e-05 +8 mgmt_gpio_out[20] *20596:A1 5.66868e-06 +9 *20596:B2 *20596:A1 4.58003e-05 +10 *23888:A *20596:A1 0.000109033 +11 *24507:D *19861:A 5.85117e-05 +12 *24507:D *5281:5 6.04131e-05 +13 *4824:25 *20596:A1 0.00011818 +14 *4838:274 *20596:A1 0.000130009 +*RES +1 *24507:Q *5281:5 13.5775 +2 *5281:5 *19861:A 25.9297 +3 *5281:5 *20596:A1 25.9269 +*END + +*D_NET *5282 0.00256088 +*CONN +*I *21836:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20572:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24525:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21836:A 0.000183439 +2 *20572:A1 0.000311124 +3 *24525:Q 0 +4 *5282:4 0.000494563 +5 *20572:A1 *20572:B1 0.000449111 +6 *20572:A1 *24525:SET_B 8.41713e-05 +7 *21836:A *24525:SET_B 0.000116623 +8 *21836:A *5869:464 6.50727e-05 +9 *21836:A *5869:485 0.000115573 +10 *20570:A2 *20572:A1 2.16355e-05 +11 *1448:232 *20572:A1 0.000279013 +12 *2993:42 *21836:A 0.000115573 +13 *4797:38 *20572:A1 0.000275401 +14 *4870:116 *20572:A1 4.9579e-05 +*RES +1 *24525:Q *5282:4 9.24915 +2 *5282:4 *20572:A1 29.5641 +3 *5282:4 *21836:A 22.6063 +*END + +*D_NET *5283 0.00251271 +*CONN +*I *21674:A I *D sky130_fd_sc_hd__inv_2 +*I *20571:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24526:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21674:A 0.000331443 +2 *20571:A1 0.000387218 +3 *24526:Q 0 +4 *5283:4 0.000718661 +5 *20571:A1 *20571:B1 3.07122e-05 +6 *20571:A1 *24526:RESET_B 6.50586e-05 +7 *21674:A *20571:B1 3.28493e-05 +8 *21674:A *24526:RESET_B 4.66492e-05 +9 *21674:A *5854:126 0.000140827 +10 *24479:D *20571:A1 2.16355e-05 +11 *24479:CLK *20571:A1 0.000107496 +12 *24526:D *20571:A1 0.000154145 +13 *1784:32 *21674:A 0.000182293 +14 *1784:47 *21674:A 1.17614e-05 +15 *2810:40 *20571:A1 8.71956e-05 +16 *2993:42 *21674:A 6.71498e-05 +17 *3089:12 *20571:A1 9.07931e-05 +18 *4799:22 *21674:A 3.68178e-05 +*RES +1 *24526:Q *5283:4 9.24915 +2 *5283:4 *20571:A1 27.074 +3 *5283:4 *21674:A 26.0663 +*END + +*D_NET *5284 0.00262147 +*CONN +*I *21540:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20570:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24527:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21540:A 0.000801997 +2 *20570:A1 0.00014795 +3 *24527:Q 0 +4 *5284:4 0.000949947 +5 *20570:A1 *5851:577 6.08467e-05 +6 *21540:A *24525:SET_B 5.45775e-05 +7 *21540:A *24527:RESET_B 2.17516e-05 +8 *20570:B2 *20570:A1 5.04829e-06 +9 *20572:B2 *20570:A1 1.65872e-05 +10 *24525:D *21540:A 0.000162034 +11 *24527:D *20570:A1 3.82228e-05 +12 *24527:CLK *20570:A1 2.16355e-05 +13 *24527:CLK *21540:A 2.16355e-05 +14 *1731:93 *20570:A1 0.00014663 +15 *4801:22 *20570:A1 0.000139435 +16 *4916:71 *21540:A 3.31745e-05 +*RES +1 *24527:Q *5284:4 9.24915 +2 *5284:4 *20570:A1 22.913 +3 *5284:4 *21540:A 23.3554 +*END + +*D_NET *5285 0.00306514 +*CONN +*I *20595:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22022:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24508:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20595:A1 0 +2 *22022:A 0.000180662 +3 *24508:Q 0.000899358 +4 *5285:7 0.00108002 +5 *20595:B2 *22022:A 6.08467e-05 +6 *24508:D *5285:7 2.20702e-05 +7 *2869:14 *22022:A 0.000240665 +8 *4838:274 *22022:A 0.000237053 +9 *4839:320 *5285:7 0.000151854 +10 *4843:126 *22022:A 3.40557e-05 +11 *4843:126 *5285:7 1.62073e-05 +12 *4843:131 *5285:7 2.41054e-05 +13 *4895:48 *5285:7 0.000118245 +*RES +1 *24508:Q *5285:7 23.5844 +2 *5285:7 *22022:A 24.9599 +3 *5285:7 *20595:A1 9.24915 +*END + +*D_NET *5286 0.00176844 +*CONN +*I *20594:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21870:A I *D sky130_fd_sc_hd__inv_2 +*I *24509:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20594:A1 7.01686e-05 +2 *21870:A 0 +3 *24509:Q 0.000559696 +4 *5286:10 0.000629864 +5 *5286:10 *24509:RESET_B 0.000117235 +6 *20594:A2 *20594:A1 3.01683e-06 +7 *20596:A2 *20594:A1 3.14978e-05 +8 *24509:D *20594:A1 0.000118792 +9 *24509:D *5286:10 9.39891e-06 +10 *2006:83 *20594:A1 7.73911e-05 +11 *4840:325 *5286:10 0 +12 *4840:341 *5286:10 0 +13 *4895:117 *5286:10 0.000151383 +*RES +1 *24509:Q *5286:10 27.875 +2 *5286:10 *21870:A 9.24915 +3 *5286:10 *20594:A1 12.2151 +*END + +*D_NET *5287 0.00181481 +*CONN +*I *20593:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21606:A I *D sky130_fd_sc_hd__inv_4 +*I *24510:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20593:A1 0.000272822 +2 *21606:A 0 +3 *24510:Q 0.000372773 +4 *5287:10 0.000645595 +5 *5287:10 *24510:RESET_B 5.37479e-05 +6 *20593:B1 *20593:A1 6.50586e-05 +7 *23896:A *20593:A1 0.000148106 +8 *24510:D *5287:10 0 +9 *24713:CLK *5287:10 4.46465e-05 +10 *2006:71 *20593:A1 6.99486e-05 +11 *2006:77 *20593:A1 2.65667e-05 +12 *2813:8 *5287:10 5.04734e-05 +13 *4840:344 *5287:10 6.50727e-05 +*RES +1 *24510:Q *5287:10 24.5474 +2 *5287:10 *21606:A 9.24915 +3 *5287:10 *20593:A1 17.2065 +*END + +*D_NET *5288 0.00212936 +*CONN +*I *20592:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21509:A I *D sky130_fd_sc_hd__inv_2 +*I *24511:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20592:A1 0 +2 *21509:A 8.8035e-05 +3 *24511:Q 0.0002109 +4 *5288:9 0.000298935 +5 *20592:A2 *5288:9 0.000118166 +6 *20592:B2 *21509:A 6.08467e-05 +7 *21313:A *5288:9 8.05457e-05 +8 *24511:D *21509:A 0.000115934 +9 *24511:D *5288:9 0.000175485 +10 *2869:11 *21509:A 0.000167076 +11 *2886:8 *5288:9 9.60366e-05 +12 *4839:293 *21509:A 4.84374e-05 +13 *4842:176 *21509:A 6.08467e-05 +14 *4842:182 *21509:A 2.15348e-05 +15 *4842:182 *5288:9 3.12017e-05 +16 *4842:192 *5288:9 0.000517601 +17 *4895:43 *5288:9 3.77804e-05 +*RES +1 *24511:Q *5288:9 28.0144 +2 *5288:9 *21509:A 13.3243 +3 *5288:9 *20592:A1 9.24915 +*END + +*D_NET *5289 0.00308831 +*CONN +*I *20591:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21427:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24512:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20591:A1 0.000346875 +2 *21427:A 0.000233147 +3 *24512:Q 0 +4 *5289:5 0.000580022 +5 *20591:A1 *20293:A1 2.04806e-05 +6 *20591:A1 *24512:RESET_B 3.56986e-05 +7 *20591:A1 *5509:13 4.58003e-05 +8 *20591:A1 *5866:685 9.4975e-05 +9 *21427:A *20293:A1 0.000112073 +10 *21427:A *5891:14 0 +11 *21427:A *5906:38 9.80912e-05 +12 *20293:B2 *21427:A 0.000113968 +13 *24512:D *20591:A1 6.5475e-05 +14 *24512:CLK *20591:A1 0.000113002 +15 *2487:13 *21427:A 6.59414e-05 +16 *4843:140 *20591:A1 3.75603e-05 +17 *4843:150 *21427:A 0.000118166 +18 *4843:898 *21427:A 9.75243e-05 +19 *4872:214 *20591:A1 0.00072971 +20 *4896:62 *20591:A1 5.41227e-05 +21 *4896:62 *21427:A 0.00012568 +*RES +1 *24512:Q *5289:5 13.7491 +2 *5289:5 *21427:A 22.4681 +3 *5289:5 *20591:A1 28.8086 +*END + +*D_NET *5290 0.00200892 +*CONN +*I *21318:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20590:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24513:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21318:A 0 +2 *20590:A1 0.000157176 +3 *24513:Q 0.000459731 +4 *5290:10 0.000616906 +5 *20589:B2 *20590:A1 1.92172e-05 +6 *20589:B2 *5290:10 3.31733e-05 +7 *24514:CLK *5290:10 0 +8 *2006:15 *5290:10 0 +9 *2006:30 *20590:A1 0.000342704 +10 *2006:30 *5290:10 7.77309e-06 +11 *2006:44 *20590:A1 4.51831e-05 +12 *2006:71 *5290:10 0 +13 *2007:52 *20590:A1 0.000213725 +14 *2375:10 *5290:10 0 +15 *4841:232 *5290:10 2.18523e-06 +16 *4841:241 *5290:10 3.73573e-05 +17 *4843:150 *5290:10 7.37927e-05 +*RES +1 *24513:Q *5290:10 27.1755 +2 *5290:10 *20590:A1 14.9881 +3 *5290:10 *21318:A 9.24915 +*END + +*D_NET *5291 0.00193977 +*CONN +*I *20589:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21197:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24514:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20589:A1 0.000177556 +2 *21197:A 0.00017208 +3 *24514:Q 0.000248205 +4 *5291:8 0.000597841 +5 *5291:8 *5504:23 1.79672e-05 +6 *5291:8 *5907:31 0.000266832 +7 *20589:A2 *20589:A1 0.000113968 +8 *24514:D *20589:A1 0.000164829 +9 *2813:8 *21197:A 0 +10 *2813:8 *5291:8 0 +11 *4826:28 *21197:A 0 +12 *4826:28 *5291:8 0 +13 *4845:95 *20589:A1 0.00016789 +14 *4896:25 *21197:A 1.2601e-05 +*RES +1 *24514:Q *5291:8 20.184 +2 *5291:8 *21197:A 18.0727 +3 *5291:8 *20589:A1 19.464 +*END + +*D_NET *5292 0.00217462 +*CONN +*I *20007:A I *D sky130_fd_sc_hd__inv_2 +*I *20574:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24523:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20007:A 0.000245 +2 *20574:A1 0.000185285 +3 *24523:Q 0 +4 *5292:4 0.000430285 +5 *20574:A1 *20574:B1 0.000397407 +6 *20574:A2 *20574:A1 0.000200794 +7 *1485:123 *20574:A1 4.50678e-05 +8 *1485:126 *20574:A1 6.08467e-05 +9 *1485:140 *20574:A1 9.34404e-05 +10 *1763:14 *20007:A 0.000200794 +11 *2000:17 *20574:A1 0.00020502 +12 *4936:8 *20574:A1 0.000110675 +*RES +1 *24523:Q *5292:4 9.24915 +2 *5292:4 *20574:A1 26.2353 +3 *5292:4 *20007:A 14.6987 +*END + +*D_NET *5293 0.00272633 +*CONN +*I *21957:A I *D sky130_fd_sc_hd__inv_2 +*I *20573:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24524:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21957:A 5.17217e-05 +2 *20573:A1 0.00103876 +3 *24524:Q 0 +4 *5293:4 0.00109049 +5 *20573:A1 *5858:571 3.75603e-05 +6 *20573:A1 *5860:31 9.2932e-05 +7 *20573:A2 *20573:A1 9.95922e-06 +8 *24524:D *20573:A1 5.67833e-06 +9 *24524:CLK *20573:A1 0.000105179 +10 *1784:32 *21957:A 0.0001454 +11 *4798:53 *20573:A1 3.24705e-06 +12 *4799:22 *21957:A 0.0001454 +*RES +1 *24524:Q *5293:4 9.24915 +2 *5293:4 *20573:A1 24.127 +3 *5293:4 *21957:A 20.9116 +*END + +*D_NET *5294 0.00239894 +*CONN +*I *20634:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19792:A I *D sky130_fd_sc_hd__inv_2 +*I *24481:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20634:A1 0 +2 *19792:A 0.000189379 +3 *24481:Q 0.000205873 +4 *5294:11 0.000395252 +5 *20634:B1 *19792:A 0.000711486 +6 *20882:A1 *19792:A 2.41483e-05 +7 *20884:A2 *19792:A 0 +8 *1789:23 *19792:A 0.000516674 +9 *1789:28 *19792:A 0.000119483 +10 *1789:28 *5294:11 0.000113968 +11 *2077:19 *5294:11 5.40939e-05 +12 *2441:8 *5294:11 3.42931e-05 +13 *2566:18 *5294:11 3.42931e-05 +*RES +1 *24481:Q *5294:11 22.9144 +2 *5294:11 *19792:A 19.4731 +3 *5294:11 *20634:A1 9.24915 +*END + +*D_NET *5295 0.0027352 +*CONN +*I *21785:A I *D sky130_fd_sc_hd__inv_2 +*I *20610:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24499:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21785:A 0 +2 *20610:A1 0.000423311 +3 *24499:Q 8.47093e-05 +4 *5295:10 0.000508021 +5 *20610:A1 *19903:A 2.58144e-05 +6 *20610:A1 *20612:A1 2.99978e-05 +7 *20610:A1 *5856:526 0 +8 *20610:A2 *20610:A1 0.000115934 +9 *20612:B2 *20610:A1 7.84936e-05 +10 *1604:68 *20610:A1 6.50586e-05 +11 *1661:9 *5295:10 1.82895e-05 +12 *2804:7 *20610:A1 0.000755951 +13 *2976:45 *5295:10 9.8511e-05 +14 *2976:50 *20610:A1 6.08467e-05 +15 *2994:29 *20610:A1 0.000353686 +16 *3407:23 *5295:10 4.42742e-06 +17 *3428:10 *5295:10 0.000112148 +*RES +1 *24499:Q *5295:10 20.9425 +2 *5295:10 *20610:A1 24.344 +3 *5295:10 *21785:A 9.24915 +*END + +*D_NET *5296 0.0060284 +*CONN +*I *21620:A I *D sky130_fd_sc_hd__inv_2 +*I *20609:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24500:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21620:A 0.00105206 +2 *20609:A1 8.18177e-05 +3 *24500:Q 0.000124279 +4 *5296:14 0.000132547 +5 *5296:13 0.000167407 +6 *5296:8 0.00129302 +7 *20608:B2 *20609:A1 2.53145e-06 +8 *20609:A2 *20609:A1 2.02995e-05 +9 *22499:A1 *21620:A 9.92464e-05 +10 *22738:B2 *21620:A 4.50451e-05 +11 *23925:B *21620:A 1.22858e-05 +12 *1604:91 *21620:A 0.00123956 +13 *1761:17 *20609:A1 0.00010831 +14 *1797:20 *21620:A 5.38612e-06 +15 *2013:54 *20609:A1 8.67924e-06 +16 *2509:15 *20609:A1 0.000203113 +17 *2509:15 *21620:A 2.43314e-05 +18 *2509:15 *5296:8 6.51527e-05 +19 *2509:15 *5296:13 0.000152164 +20 *2522:32 *21620:A 2.0875e-05 +21 *2573:8 *21620:A 1.52927e-05 +22 *2612:77 *5296:8 0.000110306 +23 *2708:32 *21620:A 1.27831e-06 +24 *3208:83 *21620:A 0.000978256 +25 *3208:83 *5296:8 6.51527e-05 +*RES +1 *24500:Q *5296:8 16.4439 +2 *5296:8 *5296:13 15.5817 +3 *5296:13 *5296:14 57.9449 +4 *5296:14 *20609:A1 21.4642 +5 *5296:8 *21620:A 44.6488 +*END + +*D_NET *5297 0.00163906 +*CONN +*I *20608:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21452:A I *D sky130_fd_sc_hd__inv_2 +*I *24501:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20608:A1 0.000237956 +2 *21452:A 0 +3 *24501:Q 0.000261588 +4 *5297:8 0.000499544 +5 *20608:A1 *5869:421 4.33979e-05 +6 *5297:8 *5869:421 0.000221577 +7 *20608:B2 *20608:A1 0.000140101 +8 *21571:B1 *5297:8 6.3657e-05 +9 *1501:70 *5297:8 1.5714e-05 +10 *1515:85 *20608:A1 9.51479e-06 +11 *1598:11 *5297:8 1.66961e-05 +12 *2933:40 *20608:A1 2.22198e-05 +13 *2933:40 *5297:8 0.000107098 +*RES +1 *24501:Q *5297:8 20.4571 +2 *5297:8 *21452:A 13.7491 +3 *5297:8 *20608:A1 18.2712 +*END + +*D_NET *5298 0.00174813 +*CONN +*I *20633:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22032:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24482:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20633:A1 8.49731e-05 +2 *22032:A 7.22321e-05 +3 *24482:Q 0.000131101 +4 *5298:8 0.000288306 +5 *20633:A1 *5859:84 5.04829e-06 +6 *5298:8 *5867:348 0.000131817 +7 *20633:A2 *20633:A1 0.000190414 +8 *24482:D *20633:A1 0.000164829 +9 *2776:10 *22032:A 0.000242119 +10 *2776:10 *5298:8 9.25219e-05 +11 *2996:38 *22032:A 0.000247231 +12 *2996:38 *5298:8 9.75356e-05 +*RES +1 *24482:Q *5298:8 17.1364 +2 *5298:8 *22032:A 18.0727 +3 *5298:8 *20633:A1 16.691 +*END + +*D_NET *5299 0.000861698 +*CONN +*I *20632:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21803:A I *D sky130_fd_sc_hd__inv_2 +*I *24483:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20632:A1 0.000116001 +2 *21803:A 0 +3 *24483:Q 0.000144443 +4 *5299:9 0.000260443 +5 *20632:A2 *20632:A1 6.08467e-05 +6 *1991:48 *5299:9 3.58457e-05 +7 *1992:39 *5299:9 0.000127194 +8 *2076:36 *20632:A1 3.37866e-05 +9 *2076:36 *5299:9 8.31378e-05 +*RES +1 *24483:Q *5299:9 22.8836 +2 *5299:9 *21803:A 9.24915 +3 *5299:9 *20632:A1 12.2151 +*END + +*D_NET *5300 0.00168801 +*CONN +*I *20631:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21644:A I *D sky130_fd_sc_hd__inv_6 +*I *24484:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20631:A1 0.00025436 +2 *21644:A 0 +3 *24484:Q 0.000337435 +4 *5300:11 0.000591796 +5 *20631:A1 *5855:609 0.000205279 +6 *20631:A1 *5855:619 4.19921e-05 +7 *5300:11 *5855:619 2.40736e-05 +8 *20631:A2 *20631:A1 1.43983e-05 +9 *20736:B2 *20631:A1 0 +10 *24484:D *20631:A1 7.98171e-06 +11 *2081:6 *5300:11 6.31665e-05 +12 *2499:10 *5300:11 0.000131592 +13 *2499:23 *5300:11 4.80103e-06 +14 *4814:7 *5300:11 1.11381e-05 +*RES +1 *24484:Q *5300:11 25.1315 +2 *5300:11 *21644:A 9.24915 +3 *5300:11 *20631:A1 15.5427 +*END + +*D_NET *5301 0.00159891 +*CONN +*I *20630:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21553:A I *D sky130_fd_sc_hd__inv_2 +*I *24485:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20630:A1 8.59194e-05 +2 *21553:A 0 +3 *24485:Q 0.000373958 +4 *5301:10 0.000459878 +5 *5301:10 *24485:RESET_B 6.1189e-05 +6 *20630:A2 *20630:A1 6.08467e-05 +7 *20705:B2 *5301:10 0.000200863 +8 *24485:D *20630:A1 0.000154145 +9 *2448:41 *20630:A1 8.65375e-05 +10 *4844:665 *5301:10 0.00011557 +*RES +1 *24485:Q *5301:10 25.102 +2 *5301:10 *21553:A 9.24915 +3 *5301:10 *20630:A1 12.2151 +*END + +*D_NET *5302 0.00171792 +*CONN +*I *21438:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20629:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24486:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21438:A 0 +2 *20629:A1 8.60278e-05 +3 *24486:Q 0.000153738 +4 *5302:9 0.000239766 +5 *20629:A1 *5714:26 7.15081e-05 +6 *5302:9 *5714:26 0.000469312 +7 *5302:9 *5893:16 5.94319e-06 +8 *2018:104 *20629:A1 0.000111708 +9 *2081:6 *5302:9 0.000140451 +10 *4824:101 *20629:A1 0.000308989 +11 *4824:101 *5302:9 0.000130481 +*RES +1 *24486:Q *5302:9 24.9627 +2 *5302:9 *20629:A1 13.3243 +3 *5302:9 *21438:A 9.24915 +*END + +*D_NET *5303 0.00366914 +*CONN +*I *20628:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21322:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24487:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20628:A1 0 +2 *21322:A 0.000319152 +3 *24487:Q 0.000813131 +4 *5303:9 0.00113228 +5 *21322:A *5888:14 0.000462537 +6 *5303:9 *24487:RESET_B 0.000110099 +7 *20628:A2 *5303:9 6.08467e-05 +8 *20628:B1 *21322:A 6.08467e-05 +9 *24487:D *5303:9 1.62206e-05 +10 *24487:CLK *5303:9 0.000103077 +11 *490:21 *5303:9 9.18742e-05 +12 *2250:16 *21322:A 0.000460851 +13 *2498:11 *5303:9 3.82228e-05 +*RES +1 *24487:Q *5303:9 23.4759 +2 *5303:9 *21322:A 28.9971 +3 *5303:9 *20628:A1 9.24915 +*END + +*D_NET *5304 0.00320893 +*CONN +*I *21185:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20627:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24488:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21185:A 0.000235779 +2 *20627:A1 5.69967e-05 +3 *24488:Q 0.000493208 +4 *5304:11 0.000785984 +5 *24488:D *21185:A 9.60366e-05 +6 *1629:33 *21185:A 8.38894e-05 +7 *1884:86 *21185:A 0.000303692 +8 *2018:93 *21185:A 0.000520555 +9 *2018:104 *20627:A1 6.08467e-05 +10 *2018:104 *5304:11 0.000264614 +11 *2485:8 *5304:11 0.000101133 +12 *2530:34 *5304:11 3.92275e-05 +13 *2610:19 *21185:A 8.8837e-05 +14 *4845:205 *20627:A1 2.57365e-05 +15 *4845:205 *5304:11 5.23916e-05 +*RES +1 *24488:Q *5304:11 28.1832 +2 *5304:11 *20627:A1 10.5271 +3 *5304:11 *21185:A 29.9429 +*END + +*D_NET *5305 0.00174619 +*CONN +*I *19903:A I *D sky130_fd_sc_hd__inv_2 +*I *20612:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24497:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19903:A 8.42014e-05 +2 *20612:A1 0.000235518 +3 *24497:Q 0.000131271 +4 *5305:8 0.00045099 +5 *20610:A1 *19903:A 2.58144e-05 +6 *20610:A1 *20612:A1 2.99978e-05 +7 *24497:D *20612:A1 0.000107496 +8 *1706:46 *5305:8 0.000270766 +9 *1732:92 *5305:8 4.18215e-06 +10 *2994:29 *19903:A 5.04829e-06 +11 *2994:29 *20612:A1 0.000136314 +12 *3411:16 *5305:8 0.000264587 +*RES +1 *24497:Q *5305:8 23.4032 +2 *5305:8 *20612:A1 14.964 +3 *5305:8 *19903:A 11.1059 +*END + +*D_NET *5306 0.00222711 +*CONN +*I *22009:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20611:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24498:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22009:A 0.00015134 +2 *20611:A1 0 +3 *24498:Q 0.000285642 +4 *5306:10 0.000436982 +5 *20605:A *5306:10 6.98423e-06 +6 *20611:A2 *22009:A 0.000162739 +7 *1501:53 *5306:10 9.9063e-05 +8 *1501:70 *5306:10 1.94327e-05 +9 *1677:150 *5306:10 0.000114523 +10 *2012:33 *22009:A 0.000193069 +11 *2013:15 *22009:A 0.000158451 +12 *2013:19 *22009:A 0.000110297 +13 *2521:27 *5306:10 0.000155049 +14 *2851:14 *22009:A 8.60943e-05 +15 *3005:14 *22009:A 0.000247443 +*RES +1 *24498:Q *5306:10 24.2687 +2 *5306:10 *20611:A1 9.24915 +3 *5306:10 *22009:A 16.0973 +*END + +*D_NET *5307 0.00106074 +*CONN +*I *20672:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19868:A I *D sky130_fd_sc_hd__inv_2 +*I *24455:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20672:A1 8.47327e-05 +2 *19868:A 0 +3 *24455:Q 9.80314e-05 +4 *5307:10 0.000182764 +5 *20672:A1 *5861:43 0 +6 *20672:A1 *5861:58 1.97124e-05 +7 *20672:A2 *20672:A1 6.77055e-05 +8 *20672:A2 *5307:10 0.000169093 +9 *24455:D *20672:A1 0.000160617 +10 *2448:29 *5307:10 2.99291e-05 +11 *2843:12 *5307:10 0.000163982 +12 *4888:120 *5307:10 8.41713e-05 +*RES +1 *24455:Q *5307:10 22.3276 +2 *5307:10 *19868:A 9.24915 +3 *5307:10 *20672:A1 12.2151 +*END + +*D_NET *5308 0.00150977 +*CONN +*I *21873:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20648:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24473:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21873:A 0.000154512 +2 *20648:A1 0.000282348 +3 *24473:Q 7.66273e-05 +4 *5308:10 0.000513487 +5 *20141:B *5308:10 9.75356e-05 +6 *20648:B1 *20648:A1 0.000109503 +7 *24473:D *20648:A1 0.000160617 +8 *2056:8 *5308:10 3.92275e-05 +9 *2889:10 *20648:A1 1.21461e-06 +10 *2889:10 *21873:A 3.61799e-05 +11 *4869:42 *5308:10 3.8519e-05 +*RES +1 *24473:Q *5308:10 20.5272 +2 *5308:10 *20648:A1 15.5186 +3 *5308:10 *21873:A 12.7697 +*END + +*D_NET *5309 0.00204616 +*CONN +*I *21700:A I *D sky130_fd_sc_hd__inv_2 +*I *20647:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24474:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21700:A 7.16088e-05 +2 *20647:A1 0.00041868 +3 *24474:Q 0 +4 *5309:4 0.000490289 +5 *20647:A1 *24473:SET_B 8.37812e-05 +6 *20647:A1 *5866:167 0.00011214 +7 *20497:B1 *21700:A 0 +8 *24474:D *20647:A1 0.000111722 +9 *24474:CLK *20647:A1 1.89836e-05 +10 *24474:CLK *21700:A 5.04829e-06 +11 *1585:8 *20647:A1 4.3116e-06 +12 *2614:7 *20647:A1 0.00010227 +13 *2660:10 *20647:A1 0.000155444 +14 *2660:15 *20647:A1 6.50727e-05 +15 *3860:43 *20647:A1 0.000406808 +*RES +1 *24474:Q *5309:4 9.24915 +2 *5309:4 *20647:A1 31.508 +3 *5309:4 *21700:A 10.9612 +*END + +*D_NET *5310 0.0031426 +*CONN +*I *21557:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20646:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24475:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21557:A 0.000460092 +2 *20646:A1 0.000160847 +3 *24475:Q 0 +4 *5310:4 0.000620939 +5 *20646:A1 *5815:24 0.000182639 +6 *21557:A *5458:88 0 +7 *21557:A *5918:20 0.00103482 +8 *20646:A2 *20646:A1 0.000156593 +9 *20647:B2 *21557:A 5.04829e-06 +10 *20717:B1 *21557:A 1.67522e-05 +11 *1593:10 *20646:A1 0.000200794 +12 *1593:10 *21557:A 6.08467e-05 +13 *1619:44 *21557:A 1.38414e-05 +14 *1688:11 *21557:A 1.66626e-05 +15 *1829:105 *21557:A 5.90915e-05 +16 *2025:32 *20646:A1 2.16355e-05 +17 *2025:32 *21557:A 1.65872e-05 +18 *2056:8 *21557:A 4.12833e-05 +19 *2468:8 *21557:A 2.01503e-05 +20 *2783:8 *20646:A1 1.2693e-05 +21 *3065:8 *21557:A 4.12833e-05 +*RES +1 *24475:Q *5310:4 9.24915 +2 *5310:4 *20646:A1 24.1294 +3 *5310:4 *21557:A 28.3093 +*END + +*D_NET *5311 0.00155209 +*CONN +*I *20671:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22033:A I *D sky130_fd_sc_hd__inv_2 +*I *24456:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20671:A1 0.000256685 +2 *22033:A 0 +3 *24456:Q 0.000191026 +4 *5311:10 0.000447711 +5 *20671:A1 *5859:47 0.000196306 +6 *20671:A2 *20671:A1 6.08467e-05 +7 *24456:D *20671:A1 0.000160617 +8 *1654:12 *5311:10 0.000115761 +9 *1725:220 *5311:10 3.9516e-06 +10 *2809:14 *5311:10 0.000119186 +*RES +1 *24456:Q *5311:10 22.0517 +2 *5311:10 *22033:A 9.24915 +3 *5311:10 *20671:A1 16.0973 +*END + +*D_NET *5312 0.000714512 +*CONN +*I *21810:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20670:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24457:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21810:A 0 +2 *20670:A1 9.22555e-05 +3 *24457:Q 0.000105559 +4 *5312:13 0.000197815 +5 *20670:B1 *20670:A1 9.29945e-06 +6 *20670:B1 *5312:13 0 +7 *24457:D *5312:13 3.8397e-05 +8 *1725:220 *20670:A1 3.10783e-05 +9 *1725:220 *5312:13 3.14978e-05 +10 *1787:10 *20670:A1 0.000111708 +11 *2846:16 *5312:13 9.69016e-05 +*RES +1 *24457:Q *5312:13 21.962 +2 *5312:13 *20670:A1 12.3839 +3 *5312:13 *21810:A 9.24915 +*END + +*D_NET *5313 0.00285945 +*CONN +*I *20669:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21608:A I *D sky130_fd_sc_hd__inv_2 +*I *24458:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20669:A1 6.79572e-05 +2 *21608:A 0.000227985 +3 *24458:Q 0.000304819 +4 *5313:7 0.000600761 +5 *21608:A *23950:A1 0.000203151 +6 *21608:A *5888:13 0.000167076 +7 *21608:A *5925:22 2.02035e-05 +8 *5313:7 *24458:RESET_B 5.98634e-05 +9 *20669:B2 *20669:A1 7.29227e-05 +10 *20669:B2 *21608:A 4.31485e-06 +11 *23950:A0 *21608:A 0.000181333 +12 *24458:D *5313:7 2.65667e-05 +13 *24458:CLK *20669:A1 0.000151726 +14 *2053:20 *20669:A1 0 +15 *2053:20 *21608:A 0 +16 *4843:212 *5313:7 0.000404908 +17 *4872:17 *21608:A 3.93117e-06 +18 *4872:30 *21608:A 1.94022e-05 +19 *4872:33 *5313:7 0.000171243 +20 *4881:185 *21608:A 0.000171288 +*RES +1 *24458:Q *5313:7 25.01 +2 *5313:7 *21608:A 21.9815 +3 *5313:7 *20669:A1 16.8269 +*END + +*D_NET *5314 0.00234363 +*CONN +*I *21578:A I *D sky130_fd_sc_hd__inv_2 +*I *20668:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24459:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21578:A 0.00015832 +2 *20668:A1 0.00034957 +3 *24459:Q 0 +4 *5314:4 0.000507889 +5 *20668:A1 *5853:97 3.75603e-05 +6 *20668:A1 *5853:107 5.37475e-05 +7 *21578:A *5853:107 5.481e-05 +8 *20668:A2 *20668:A1 0 +9 *2448:41 *20668:A1 0.000191007 +10 *2448:41 *21578:A 4.97617e-05 +11 *2996:38 *21578:A 0.000170953 +12 *3036:16 *21578:A 0.000170953 +13 *3045:12 *20668:A1 0.000258852 +14 *3046:11 *20668:A1 0.000260473 +15 *4892:20 *20668:A1 7.97337e-05 +*RES +1 *24459:Q *5314:4 9.24915 +2 *5314:4 *20668:A1 30.2942 +3 *5314:4 *21578:A 23.5748 +*END + +*D_NET *5315 0.00286646 +*CONN +*I *20667:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21404:A I *D sky130_fd_sc_hd__inv_2 +*I *24460:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20667:A1 0 +2 *21404:A 0.000182359 +3 *24460:Q 0.000425473 +4 *5315:8 0.000607832 +5 *21404:A *5853:137 1.91246e-05 +6 *21404:A *5865:178 0.000141468 +7 *20667:A2 *21404:A 3.12828e-05 +8 *20667:A2 *5315:8 0.000318118 +9 *20667:B1 *21404:A 0.000205834 +10 *24460:D *5315:8 0.000299901 +11 *491:8 *21404:A 5.51306e-05 +12 *1629:33 *5315:8 0.000127541 +13 *2464:10 *21404:A 1.65872e-05 +14 *2610:11 *5315:8 0.00017345 +15 *2610:19 *5315:8 0.000247443 +16 *4872:8 *21404:A 8.6297e-06 +17 *4872:8 *5315:8 6.28334e-06 +*RES +1 *24460:Q *5315:8 28.2215 +2 *5315:8 *21404:A 19.9053 +3 *5315:8 *20667:A1 13.7491 +*END + +*D_NET *5316 0.00166123 +*CONN +*I *21268:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20666:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24461:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21268:A 0 +2 *20666:A1 0.000122967 +3 *24461:Q 0.000131129 +4 *5316:9 0.000254095 +5 *5316:9 *5867:514 6.31809e-05 +6 *1789:40 *20666:A1 3.99086e-06 +7 *2030:29 *20666:A1 0.000107101 +8 *2030:29 *5316:9 0.000307037 +9 *2031:7 *20666:A1 0.000347214 +10 *2031:7 *5316:9 6.88782e-05 +11 *2331:7 *5316:9 0.000107496 +12 *2416:10 *5316:9 0.000148144 +*RES +1 *24461:Q *5316:9 24.4081 +2 *5316:9 *20666:A1 13.8789 +3 *5316:9 *21268:A 9.24915 +*END + +*D_NET *5317 0.00313622 +*CONN +*I *21250:A I *D sky130_fd_sc_hd__inv_2 +*I *20665:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24462:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21250:A 0 +2 *20665:A1 0.000148251 +3 *24462:Q 0.000411055 +4 *5317:10 0.000559307 +5 *20665:A1 *5921:94 0.000263138 +6 *5317:10 *24462:RESET_B 2.17474e-05 +7 *5317:10 *5714:10 0.000357105 +8 *20665:A2 *20665:A1 0.000107496 +9 *20665:B1 *20665:A1 0.000714501 +10 *2487:14 *5317:10 3.47953e-05 +11 *4816:9 *5317:10 6.36373e-06 +12 *4831:63 *5317:10 0.00051246 +*RES +1 *24462:Q *5317:10 30.4975 +2 *5317:10 *20665:A1 17.7611 +3 *5317:10 *21250:A 9.24915 +*END + +*D_NET *5318 0.00180343 +*CONN +*I *19788:A I *D sky130_fd_sc_hd__inv_2 +*I *20650:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24471:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19788:A 0.000174792 +2 *20650:A1 0.000323731 +3 *24471:Q 3.4293e-05 +4 *5318:8 0.000532816 +5 *20650:A2 *20650:A1 6.08467e-05 +6 *20749:B *19788:A 6.08467e-05 +7 *24471:D *20650:A1 0.000154145 +8 *1947:11 *19788:A 0.000107496 +9 *1947:22 *19788:A 3.13546e-05 +10 *1947:22 *20650:A1 0.000116825 +11 *2056:8 *5318:8 0.000101148 +12 *2531:21 *19788:A 3.99086e-06 +13 *2889:10 *5318:8 0.000101148 +*RES +1 *24471:Q *5318:8 20.0811 +2 *5318:8 *20650:A1 16.6278 +3 *5318:8 *19788:A 13.3243 +*END + +*D_NET *5319 0.00204271 +*CONN +*I *20649:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22021:A I *D sky130_fd_sc_hd__inv_2 +*I *24472:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20649:A1 0.000129222 +2 *22021:A 0 +3 *24472:Q 0.000650269 +4 *5319:10 0.000779492 +5 *20649:A2 *20649:A1 6.50586e-05 +6 *24472:D *5319:10 0.000297646 +7 *2660:10 *5319:10 0.000121019 +*RES +1 *24472:Q *5319:10 29.5388 +2 *5319:10 *22021:A 9.24915 +3 *5319:10 *20649:A1 12.2151 +*END + +*D_NET *5320 0.00133302 +*CONN +*I *19888:A I *D sky130_fd_sc_hd__inv_2 +*I *20710:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24429:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19888:A 0 +2 *20710:A1 0.000180416 +3 *24429:Q 0.000390623 +4 *5320:10 0.000571039 +5 *20710:A2 *20710:A1 2.53145e-06 +6 *24429:D *5320:10 8.94215e-05 +7 *2042:28 *20710:A1 8.27225e-06 +8 *2042:41 *20710:A1 5.63168e-05 +9 *2601:8 *5320:10 6.97621e-06 +10 *3070:20 *5320:10 2.74235e-05 +*RES +1 *24429:Q *5320:10 24.6854 +2 *5320:10 *20710:A1 13.7342 +3 *5320:10 *19888:A 9.24915 +*END + +*D_NET *5321 0.00184882 +*CONN +*I *21818:A I *D sky130_fd_sc_hd__inv_2 +*I *20686:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24447:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21818:A 6.27421e-05 +2 *20686:A1 0.000304521 +3 *24447:Q 4.59027e-05 +4 *5321:8 0.000413166 +5 *20686:A2 *20686:A1 2.16355e-05 +6 *21819:B2 *20686:A1 0.000493634 +7 *21819:B2 *21818:A 9.32983e-05 +8 *24447:D *20686:A1 6.50586e-05 +9 *1466:41 *5321:8 9.34145e-05 +10 *1764:13 *20686:A1 0.00014376 +11 *1764:13 *21818:A 1.82679e-05 +12 *2810:20 *5321:8 9.34145e-05 +*RES +1 *24447:Q *5321:8 20.0811 +2 *5321:8 *20686:A1 18.2916 +3 *5321:8 *21818:A 11.1059 +*END + +*D_NET *5322 0.00186277 +*CONN +*I *21597:A I *D sky130_fd_sc_hd__inv_2 +*I *20685:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24448:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21597:A 6.01857e-05 +2 *20685:A1 0.000321255 +3 *24448:Q 0.000122813 +4 *5322:14 0.000504253 +5 *20685:A1 *20685:B1 8.39223e-05 +6 *22423:A1 *20685:A1 0.000154145 +7 *22423:A1 *21597:A 0.000144254 +8 *22511:A1 *20685:A1 2.69785e-05 +9 *22512:B1 *20685:A1 0.00011971 +10 *22512:B1 *21597:A 6.11872e-05 +11 *24448:D *20685:A1 0.000107496 +12 *1604:42 *5322:14 0.000104253 +13 *2749:11 *5322:14 1.91332e-05 +14 *2943:29 *5322:14 9.7112e-06 +15 *2943:52 *5322:14 2.34697e-05 +*RES +1 *24448:Q *5322:14 21.3283 +2 *5322:14 *20685:A1 17.737 +3 *5322:14 *21597:A 11.6605 +*END + +*D_NET *5323 0.00140905 +*CONN +*I *21460:A I *D sky130_fd_sc_hd__inv_2 +*I *20684:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24449:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21460:A 0.000127416 +2 *20684:A1 0 +3 *24449:Q 6.95497e-05 +4 *5323:10 0.000196966 +5 *20684:A2 *21460:A 0.000113968 +6 *20684:B2 *5323:10 4.17481e-05 +7 *1947:219 *21460:A 0.000344367 +8 *2700:12 *5323:10 9.24241e-05 +9 *2703:36 *5323:10 9.60216e-05 +10 *5082:37 *21460:A 0.000326591 +*RES +1 *24449:Q *5323:10 20.5272 +2 *5323:10 *20684:A1 9.24915 +3 *5323:10 *21460:A 15.7115 +*END + +*D_NET *5324 0.00187247 +*CONN +*I *20709:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22001:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24430:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20709:A1 6.26431e-05 +2 *22001:A 0.000153451 +3 *24430:Q 0.000238177 +4 *5324:8 0.000454271 +5 *20709:A1 *25375:A 0.000111708 +6 *1418:195 *22001:A 7.22498e-05 +7 *2042:10 *20709:A1 0.000213725 +8 *2043:41 *20709:A1 5.99691e-05 +9 *3047:16 *22001:A 4.70582e-05 +10 *3047:16 *5324:8 6.74667e-05 +11 *3070:20 *22001:A 0.000130315 +12 *3070:20 *5324:8 0.000160467 +13 *4890:75 *5324:8 0.000100969 +*RES +1 *24430:Q *5324:8 19.4914 +2 *5324:8 *22001:A 18.2442 +3 *5324:8 *20709:A1 16.691 +*END + +*D_NET *5325 0.00112099 +*CONN +*I *21855:A I *D sky130_fd_sc_hd__inv_2 +*I *20708:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24431:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21855:A 0 +2 *20708:A1 0.000118704 +3 *24431:Q 0.000143513 +4 *5325:10 0.000262218 +5 *5325:10 *24431:RESET_B 1.91391e-05 +6 *20708:B2 *20708:A1 0.000122255 +7 *2797:36 *5325:10 6.08467e-05 +8 *2819:18 *5325:10 4.01315e-05 +9 *2868:12 *5325:10 0.000121533 +10 *2871:7 *20708:A1 0.000209326 +11 *4860:18 *5325:10 2.33211e-05 +*RES +1 *24431:Q *5325:10 21.635 +2 *5325:10 *20708:A1 13.3243 +3 *5325:10 *21855:A 9.24915 +*END + +*D_NET *5326 0.00160453 +*CONN +*I *21602:A I *D sky130_fd_sc_hd__inv_4 +*I *20707:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24432:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21602:A 3.83575e-05 +2 *20707:A1 0.000324286 +3 *24432:Q 0 +4 *5326:5 0.000362643 +5 *20706:A2 *20707:A1 5.04829e-06 +6 *24432:D *20707:A1 0.000158371 +7 *1790:188 *20707:A1 0.000154145 +8 *2042:56 *20707:A1 2.16355e-05 +9 *2042:70 *20707:A1 0.000170817 +10 *2589:12 *20707:A1 9.85369e-05 +11 *2589:12 *21602:A 5.2092e-05 +12 *4826:61 *21602:A 6.08467e-05 +13 *4840:134 *20707:A1 9.85369e-05 +14 *4840:134 *21602:A 5.92192e-05 +*RES +1 *24432:Q *5326:5 13.7491 +2 *5326:5 *20707:A1 22.9598 +3 *5326:5 *21602:A 15.474 +*END + +*D_NET *5327 0.00128312 +*CONN +*I *21527:A I *D sky130_fd_sc_hd__inv_2 +*I *20706:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24433:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21527:A 0 +2 *20706:A1 9.85368e-05 +3 *24433:Q 0.000236712 +4 *5327:10 0.000335248 +5 *20706:A1 *20706:B1 0 +6 *20706:A1 *5853:92 6.50586e-05 +7 *5327:10 *5867:280 3.07384e-05 +8 *20706:A2 *20706:A1 7.63448e-05 +9 *24433:D *20706:A1 1.19721e-05 +10 *2984:19 *20706:A1 0.000154145 +11 *3047:14 *5327:10 0.000135376 +12 *3070:20 *5327:10 0.000138988 +*RES +1 *24433:Q *5327:10 23.8535 +2 *5327:10 *20706:A1 12.7697 +3 *5327:10 *21527:A 9.24915 +*END + +*D_NET *5328 0.00309679 +*CONN +*I *20705:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21428:A I *D sky130_fd_sc_hd__inv_2 +*I *24434:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20705:A1 0.00046043 +2 *21428:A 0.000129718 +3 *24434:Q 9.96354e-05 +4 *5328:8 0.000689784 +5 *20705:A1 *24614:RESET_B 0.000160617 +6 *20441:B2 *5328:8 6.08467e-05 +7 *1629:40 *21428:A 0.000301119 +8 *2018:25 *21428:A 0.000298318 +9 *2331:8 *21428:A 0.000134323 +10 *2331:8 *5328:8 0.000170592 +11 *2375:12 *21428:A 0.000137921 +12 *2375:12 *5328:8 0.000161966 +13 *4843:202 *20705:A1 0.000248362 +14 *4891:51 *20705:A1 4.31539e-05 +*RES +1 *24434:Q *5328:8 17.5503 +2 *5328:8 *21428:A 19.9081 +3 *5328:8 *20705:A1 24.4554 +*END + +*D_NET *5329 0.00118028 +*CONN +*I *20704:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21280:A I *D sky130_fd_sc_hd__inv_2 +*I *24435:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20704:A1 0.000295537 +2 *21280:A 0 +3 *24435:Q 6.50012e-05 +4 *5329:8 0.000360538 +5 *20704:A2 *20704:A1 2.65667e-05 +6 *24435:D *20704:A1 0.000158357 +7 *1418:181 *20704:A1 3.59177e-05 +8 *1418:181 *5329:8 0.000119182 +9 *2374:26 *5329:8 0.000119182 +*RES +1 *24435:Q *5329:8 20.4964 +2 *5329:8 *21280:A 9.24915 +3 *5329:8 *20704:A1 15.5427 +*END + +*D_NET *5330 0.00428998 +*CONN +*I *21178:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20703:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24436:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21178:A 0.000529156 +2 *20703:A1 0.000913472 +3 *24436:Q 0 +4 *5330:4 0.00144263 +5 *20703:A1 *24436:RESET_B 0.000153519 +6 *21178:A *24436:RESET_B 0.000286286 +7 *21178:A *5865:161 0.000103139 +8 *24436:D *20703:A1 1.19721e-05 +9 *24436:CLK *20703:A1 0.000160617 +10 *2331:8 *21178:A 0.000157406 +11 *2369:24 *21178:A 0.000263125 +12 *2375:12 *21178:A 7.02358e-06 +13 *2416:14 *21178:A 6.29183e-05 +14 *3034:25 *21178:A 1.40268e-05 +15 *4839:119 *21178:A 1.96759e-05 +16 *4845:668 *20703:A1 4.03772e-05 +17 *4860:38 *21178:A 0.000124641 +*RES +1 *24436:Q *5330:4 9.24915 +2 *5330:4 *20703:A1 22.7525 +3 *5330:4 *21178:A 37.4554 +*END + +*D_NET *5331 0.0031987 +*CONN +*I *19880:A I *D sky130_fd_sc_hd__inv_2 +*I *20688:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24445:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19880:A 0.000293669 +2 *20688:A1 0.000258017 +3 *24445:Q 0.000111179 +4 *5331:5 0.000662865 +5 *20688:A1 *5563:45 0.000162371 +6 *20688:A1 *5860:75 1.81797e-05 +7 *20688:A1 *5860:91 2.1203e-06 +8 *20685:B2 *20688:A1 5.35941e-05 +9 *1501:34 *20688:A1 0.000153783 +10 *1573:8 *19880:A 0.000273256 +11 *1684:15 *19880:A 0.000197295 +12 *1684:15 *5331:5 0.000158357 +13 *1689:51 *20688:A1 0.000153783 +14 *2517:13 *19880:A 0.000273256 +15 *2700:26 *20688:A1 0.000426973 +*RES +1 *24445:Q *5331:5 11.0817 +2 *5331:5 *20688:A1 30.0665 +3 *5331:5 *19880:A 26.2056 +*END + +*D_NET *5332 0.00161967 +*CONN +*I *22002:A I *D sky130_fd_sc_hd__inv_2 +*I *20687:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24446:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22002:A 0 +2 *20687:A1 0.000205389 +3 *24446:Q 0.000119005 +4 *5332:10 0.000324394 +5 *20687:A1 *5917:560 0.000294093 +6 *20687:A1 *5917:577 0.000211492 +7 *1466:41 *5332:10 0.000133978 +8 *1537:267 *20687:A1 6.46887e-05 +9 *1604:29 *5332:10 0.000133978 +10 *1639:20 *5332:10 6.08467e-05 +11 *1801:17 *20687:A1 7.18062e-05 +*RES +1 *24446:Q *5332:10 21.635 +2 *5332:10 *20687:A1 16.0973 +3 *5332:10 *22002:A 9.24915 +*END + +*D_NET *5333 0.00204254 +*CONN +*I *20748:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19849:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24403:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20748:A1 0.000166569 +2 *19849:A 0.000119008 +3 *24403:Q 0.000125957 +4 *5333:7 0.000411534 +5 *19849:A *5857:19 0.000321905 +6 *20744:B2 *19849:A 5.29437e-05 +7 *20744:B2 *20748:A1 2.61934e-05 +8 *2589:10 *20748:A1 5.0132e-05 +9 *3045:10 *19849:A 0.000136838 +10 *3045:10 *20748:A1 0.00012884 +11 *4825:12 *5333:7 0.000184939 +12 *4893:17 *19849:A 0.000317679 +*RES +1 *24403:Q *5333:7 15.8591 +2 *5333:7 *19849:A 19.9081 +3 *5333:7 *20748:A1 18.5612 +*END + +*D_NET *5334 0.00217511 +*CONN +*I *20724:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21824:A I *D sky130_fd_sc_hd__inv_2 +*I *24421:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20724:A1 0.000232198 +2 *21824:A 0.00019646 +3 *24421:Q 0 +4 *5334:5 0.000428658 +5 *20724:A1 *20724:B1 1.88152e-05 +6 *20724:A1 *5868:49 8.5511e-05 +7 *21824:A *5868:49 2.77564e-05 +8 *20724:A2 *20724:A1 0.000394498 +9 *24421:D *20724:A1 0.000158451 +10 *1438:119 *21824:A 0.000151481 +11 *1521:46 *21824:A 5.23916e-05 +12 *1732:50 *21824:A 9.40212e-05 +13 *1755:76 *20724:A1 3.57291e-06 +14 *1763:59 *20724:A1 9.12416e-06 +15 *1763:59 *21824:A 0.000289285 +16 *1784:58 *20724:A1 0 +17 *1809:26 *21824:A 7.77309e-06 +18 *4799:6 *21824:A 2.51125e-05 +*RES +1 *24421:Q *5334:5 13.7491 +2 *5334:5 *21824:A 20.8751 +3 *5334:5 *20724:A1 20.7414 +*END + +*D_NET *5335 0.00224949 +*CONN +*I *21639:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20723:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24422:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21639:A 0.000114337 +2 *20723:A1 0.000168108 +3 *24422:Q 0.000148505 +4 *5335:11 0.00043095 +5 *20723:A1 *20723:B1 8.54654e-05 +6 *21639:A *20723:B1 6.08467e-05 +7 *21639:A *5854:27 0.000205101 +8 *5335:11 *5858:80 9.49244e-05 +9 *20723:A2 *20723:A1 0.000154145 +10 *2048:26 *20723:A1 0.000200794 +11 *3671:31 *20723:A1 0.000491387 +12 *4797:24 *5335:11 9.49244e-05 +*RES +1 *24422:Q *5335:11 21.8052 +2 *5335:11 *20723:A1 16.0732 +3 *5335:11 *21639:A 12.7697 +*END + +*D_NET *5336 0.00205725 +*CONN +*I *21510:A I *D sky130_fd_sc_hd__inv_2 +*I *20722:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24423:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21510:A 0.000162572 +2 *20722:A1 0.000276387 +3 *24423:Q 9.0692e-05 +4 *5336:8 0.000529652 +5 *20722:A1 *24345:SET_B 0.000164829 +6 *21510:A *5851:36 0.000203604 +7 *20722:A2 *20722:A1 0.000154145 +8 *1784:58 *20722:A1 0 +9 *1784:71 *21510:A 3.55296e-05 +10 *1784:71 *5336:8 5.19216e-05 +11 *2048:9 *5336:8 3.75221e-05 +12 *2049:7 *20722:A1 3.31745e-05 +13 *4797:24 *21510:A 0.000143047 +14 *4797:24 *5336:8 0.000174175 +*RES +1 *24423:Q *5336:8 17.273 +2 *5336:8 *20722:A1 20.1968 +3 *5336:8 *21510:A 18.7989 +*END + +*D_NET *5337 0.00211067 +*CONN +*I *20747:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22031:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24404:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20747:A1 0 +2 *22031:A 0.000167386 +3 *24404:Q 0.000592373 +4 *5337:10 0.000759759 +5 *20747:A2 *22031:A 2.59921e-05 +6 *20747:B1 *22031:A 0.000110567 +7 *20747:B2 *22031:A 0.000111722 +8 *24404:D *22031:A 6.50586e-05 +9 *24404:D *5337:10 7.50872e-05 +10 *1979:35 *22031:A 1.61631e-05 +11 *3036:10 *5337:10 0 +12 *4895:62 *5337:10 0.000186564 +*RES +1 *24404:Q *5337:10 28.2917 +2 *5337:10 *22031:A 14.4335 +3 *5337:10 *20747:A1 9.24915 +*END + +*D_NET *5338 0.00131903 +*CONN +*I *20746:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21865:A I *D sky130_fd_sc_hd__inv_2 +*I *24405:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20746:A1 7.39423e-05 +2 *21865:A 0 +3 *24405:Q 0.00041409 +4 *5338:10 0.000488032 +5 *20746:A2 *20746:A1 3.13805e-06 +6 *24405:D *20746:A1 0.000162294 +7 *2054:42 *20746:A1 6.65367e-05 +8 *2054:46 *20746:A1 3.07133e-05 +9 *2262:13 *5338:10 2.88767e-05 +10 *4825:180 *5338:10 4.63668e-05 +11 *4844:100 *5338:10 5.03545e-06 +*RES +1 *24405:Q *5338:10 25.102 +2 *5338:10 *21865:A 9.24915 +3 *5338:10 *20746:A1 12.2151 +*END + +*D_NET *5339 0.00137035 +*CONN +*I *21699:A I *D sky130_fd_sc_hd__inv_2 +*I *20745:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24406:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21699:A 0 +2 *20745:A1 0.000101208 +3 *24406:Q 0.000336732 +4 *5339:9 0.00043794 +5 *20745:A2 *20745:A1 3.75217e-05 +6 *24406:D *20745:A1 1.99131e-05 +7 *489:7 *5339:9 1.19856e-05 +8 *489:93 *5339:9 1.43848e-05 +9 *4831:71 *5339:9 5.54078e-05 +10 *4841:252 *20745:A1 0.000355254 +11 *4872:167 *5339:9 0 +*RES +1 *24406:Q *5339:9 26.2112 +2 *5339:9 *20745:A1 13.903 +3 *5339:9 *21699:A 9.24915 +*END + +*D_NET *5340 0.00179784 +*CONN +*I *20744:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21473:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24407:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20744:A1 0 +2 *21473:A 0.000136237 +3 *24407:Q 0.000382317 +4 *5340:9 0.000518554 +5 *20428:B2 *5340:9 0 +6 *20744:B1 *21473:A 6.25991e-05 +7 *20744:B2 *21473:A 6.50586e-05 +8 *24954:A *5340:9 0.000114624 +9 *2530:7 *5340:9 1.5006e-05 +10 *2868:11 *21473:A 1.19856e-05 +11 *2868:11 *5340:9 4.00689e-05 +12 *2886:8 *5340:9 3.42931e-05 +13 *4893:10 *5340:9 0.000411006 +14 *4893:77 *5340:9 6.08697e-06 +*RES +1 *24407:Q *5340:9 29.1236 +2 *5340:9 *21473:A 12.7697 +3 *5340:9 *20744:A1 9.24915 +*END + +*D_NET *5341 0.00211182 +*CONN +*I *20743:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21413:A I *D sky130_fd_sc_hd__inv_2 +*I *24408:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20743:A1 0.000340469 +2 *21413:A 0.000119973 +3 *24408:Q 0.00014391 +4 *5341:8 0.000604352 +5 *20743:A1 *5867:572 0.000240452 +6 *24408:D *20743:A1 0.000159038 +7 *2054:30 *20743:A1 2.65667e-05 +8 *2487:14 *21413:A 5.85136e-05 +9 *2487:14 *5341:8 2.15326e-05 +10 *2813:16 *20743:A1 5.58433e-05 +11 *4831:71 *21413:A 0.000232898 +12 *4831:71 *5341:8 8.5976e-05 +13 *4841:252 *5341:8 2.22974e-05 +*RES +1 *24408:Q *5341:8 16.8591 +2 *5341:8 *21413:A 18.0727 +3 *5341:8 *20743:A1 23.3462 +*END + +*D_NET *5342 0.00195923 +*CONN +*I *20742:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21287:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24409:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20742:A1 9.23338e-05 +2 *21287:A 0.000184178 +3 *24409:Q 0.000460131 +4 *5342:10 0.000736643 +5 *21287:A *5866:610 0 +6 *24409:D *20742:A1 1.0779e-05 +7 *24409:D *21287:A 2.34305e-05 +8 *24409:CLK *5342:10 0.000261987 +9 *490:42 *21287:A 1.5714e-05 +10 *2005:10 *20742:A1 2.19437e-05 +11 *2005:10 *21287:A 8.2867e-05 +12 *2005:10 *5342:10 1.5714e-05 +13 *2054:42 *20742:A1 5.35113e-05 +14 *4824:84 *20742:A1 0 +15 *4824:84 *21287:A 0 +*RES +1 *24409:Q *5342:10 21.6846 +2 *5342:10 *21287:A 18.2803 +3 *5342:10 *20742:A1 16.204 +*END + +*D_NET *5343 0.00161516 +*CONN +*I *20741:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21184:A I *D sky130_fd_sc_hd__inv_2 +*I *24410:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20741:A1 0.000253809 +2 *21184:A 0 +3 *24410:Q 0.000140771 +4 *5343:9 0.00039458 +5 *20739:A *20741:A1 6.08467e-05 +6 *20741:A2 *20741:A1 0.000160617 +7 *2054:7 *20741:A1 0.000111722 +8 *2417:14 *20741:A1 0.000147964 +9 *2417:14 *5343:9 0.000228593 +10 *2473:8 *5343:9 5.54078e-05 +11 *4824:87 *20741:A1 6.08467e-05 +12 *4872:167 *5343:9 0 +*RES +1 *24410:Q *5343:9 22.8836 +2 *5343:9 *21184:A 9.24915 +3 *5343:9 *20741:A1 16.6519 +*END + +*D_NET *5344 0.00215301 +*CONN +*I *19866:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20726:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24419:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19866:A 8.25445e-05 +2 *20726:A1 0.000237455 +3 *24419:Q 0 +4 *5344:5 0.000319999 +5 *20726:A1 *20892:B1 0.000637779 +6 *20726:A1 *5861:143 1.61631e-05 +7 *20726:A2 *20726:A1 0.000200794 +8 *24419:CLK *19866:A 6.65341e-05 +9 *1483:19 *20726:A1 7.12965e-05 +10 *1947:89 *19866:A 8.52652e-05 +11 *1947:89 *20726:A1 0.000166426 +12 *2660:26 *20726:A1 2.4189e-05 +13 *4797:22 *19866:A 7.81379e-05 +14 *4797:22 *20726:A1 0.000166426 +*RES +1 *24419:Q *5344:5 13.7491 +2 *5344:5 *20726:A1 24.2055 +3 *5344:5 *19866:A 16.9985 +*END + +*D_NET *5345 0.00166269 +*CONN +*I *21919:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20725:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24420:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21919:A 8.90642e-05 +2 *20725:A1 0.000427238 +3 *24420:Q 3.84314e-05 +4 *5345:8 0.000554734 +5 *20725:A1 *20725:B1 0.00011708 +6 *20725:A1 *5858:96 0.000101939 +7 *24420:D *20725:A1 0.000118166 +8 *2523:44 *5345:8 9.85369e-05 +9 *2680:13 *20725:A1 8.97566e-06 +10 *2680:13 *21919:A 9.98615e-06 +11 *2680:13 *5345:8 9.85369e-05 +*RES +1 *24420:Q *5345:8 20.0811 +2 *5345:8 *20725:A1 18.8462 +3 *5345:8 *21919:A 11.1059 +*END + +*D_NET *5346 0.00793762 +*CONN +*I *21095:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19959:A I *D sky130_fd_sc_hd__inv_2 +*I *24210:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21095:A1 0 +2 *19959:A 0.000595356 +3 *24210:Q 0.000943783 +4 *5346:7 0.00153914 +5 *5346:7 *21095:B1 5.04829e-06 +6 *5346:7 *24210:SET_B 0.000100397 +7 *21095:A2 *5346:7 0.000267557 +8 *1550:32 *19959:A 0.000111708 +9 *1608:156 *5346:7 2.35335e-05 +10 *1619:62 *19959:A 0.000131495 +11 *1721:167 *19959:A 0.00228408 +12 *2821:11 *19959:A 0.00170274 +13 *2871:8 *19959:A 0.000118854 +14 *4860:18 *19959:A 0.00011393 +*RES +1 *24210:Q *5346:7 23.0298 +2 *5346:7 *19959:A 47.1468 +3 *5346:7 *21095:A1 9.24915 +*END + +*D_NET *5347 0.00359901 +*CONN +*I *21802:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20146:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24802:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21802:A 0.000633372 +2 *20146:A1 0.000436817 +3 *24802:Q 0 +4 *5347:4 0.00107019 +5 *20146:A1 *5656:13 3.8519e-05 +6 *21802:A *24802:SET_B 8.87554e-05 +7 *21802:A *5656:13 9.48555e-05 +8 *20146:B1 *20146:A1 0.000213739 +9 *20558:A1 *21802:A 0.000171288 +10 *24533:CLK *20146:A1 0.000328035 +11 *1994:56 *21802:A 1.07248e-05 +12 *1994:63 *21802:A 0.000315161 +13 *1995:24 *20146:A1 0 +14 *2853:8 *21802:A 0 +15 *4828:13 *21802:A 0.000175485 +16 *4841:175 *20146:A1 2.20702e-05 +*RES +1 *24802:Q *5347:4 9.24915 +2 *5347:4 *20146:A1 30.8154 +3 *5347:4 *21802:A 32.7523 +*END + +*D_NET *5348 0.00209517 +*CONN +*I *20145:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21646:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24803:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20145:A1 0.000477155 +2 *21646:A 0.000185819 +3 *24803:Q 0 +4 *5348:5 0.000662974 +5 *20145:A1 *21567:A 3.9252e-05 +6 *20557:B1 *20145:A1 0 +7 *24534:D *20145:A1 3.64415e-05 +8 *24534:CLK *20145:A1 2.65831e-05 +9 *24803:D *20145:A1 6.08467e-05 +10 *4828:13 *20145:A1 0 +11 *4832:10 *20145:A1 0.000189481 +12 *4832:10 *21646:A 0.000141533 +13 *4839:248 *20145:A1 0 +14 *4839:248 *21646:A 0 +15 *4840:40 *21646:A 1.47102e-05 +16 *4844:67 *21646:A 0.000260374 +*RES +1 *24803:Q *5348:5 13.7491 +2 *5348:5 *21646:A 19.3535 +3 *5348:5 *20145:A1 24.2591 +*END + +*D_NET *5349 0.000849388 +*CONN +*I *20144:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21535:A I *D sky130_fd_sc_hd__inv_4 +*I *24804:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20144:A1 5.58224e-05 +2 *21535:A 0.000118013 +3 *24804:Q 0 +4 *5349:4 0.000173835 +5 *20144:A1 *5868:494 0.00022791 +6 *21535:A *5868:477 0 +7 *1858:17 *21535:A 4.21114e-05 +8 *1858:19 *21535:A 3.67528e-06 +9 *4842:15 *20144:A1 6.99486e-05 +10 *4842:15 *21535:A 0.000136538 +11 *4842:21 *20144:A1 2.15348e-05 +*RES +1 *24804:Q *5349:4 9.24915 +2 *5349:4 *21535:A 21.7421 +3 *5349:4 *20144:A1 12.2151 +*END + +*D_NET *5350 0.00220088 +*CONN +*I *22030:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21094:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24211:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *22030:A 0 +2 *21094:A1 0.000102423 +3 *24211:Q 0.00028705 +4 *5350:11 0.000389473 +5 *5350:11 *24210:SET_B 0.000302809 +6 *5350:11 *5861:298 1.9101e-05 +7 *21094:A2 *21094:A1 0.000135046 +8 *719:16 *21094:A1 0.000156946 +9 *1627:148 *5350:11 0.000158371 +10 *2806:8 *5350:11 9.25083e-05 +11 *3106:229 *5350:11 6.37652e-06 +12 *3146:221 *5350:11 3.39118e-05 +13 *4834:69 *21094:A1 0.000107496 +14 *4834:69 *5350:11 4.47134e-05 +15 *4956:27 *21094:A1 5.97862e-05 +16 *4956:27 *5350:11 0.000304871 +*RES +1 *24211:Q *5350:11 28.1762 +2 *5350:11 *21094:A1 13.3243 +3 *5350:11 *22030:A 9.24915 +*END + +*D_NET *5351 0.00145511 +*CONN +*I *21093:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21790:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24212:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21093:A1 0.000104891 +2 *21790:A 0 +3 *24212:Q 0.000334525 +4 *5351:10 0.000439416 +5 *5351:10 *24212:RESET_B 3.34561e-05 +6 *21093:A2 *21093:A1 6.08467e-05 +7 *24212:D *21093:A1 0.000164829 +8 *24212:CLK *5351:10 6.86098e-05 +9 *1595:28 *5351:10 8.62625e-06 +10 *1959:40 *21093:A1 6.36477e-05 +11 *1959:40 *5351:10 1.5714e-05 +12 *2208:97 *5351:10 3.31733e-05 +13 *2209:17 *21093:A1 0.000110131 +14 *2776:19 *5351:10 1.72464e-05 +*RES +1 *24212:Q *5351:10 23.9928 +2 *5351:10 *21790:A 9.24915 +3 *5351:10 *21093:A1 13.3243 +*END + +*D_NET *5352 0.0152562 +*CONN +*I *21607:A I *D sky130_fd_sc_hd__inv_6 +*I *21092:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19746:B I *D sky130_fd_sc_hd__or3_4 +*I *24213:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21607:A 0.000363178 +2 *21092:A1 0.000121924 +3 *19746:B 2.18332e-05 +4 *24213:Q 0 +5 *5352:19 0.00368659 +6 *5352:18 0.00400387 +7 *5352:8 0.000813336 +8 *5352:4 0.000715473 +9 *21607:A *5853:178 8.33808e-05 +10 *5352:8 *5853:178 0.000190958 +11 *5352:18 *21091:A1 4.40499e-05 +12 *5352:18 *21451:A 7.09666e-06 +13 *19874:B1 *19746:B 0 +14 *19874:B1 *5352:19 0.000239763 +15 *19874:B2 *5352:19 0.000775039 +16 *22339:A2 *21607:A 0.000163056 +17 *22339:B2 *21607:A 6.50727e-05 +18 *22363:A1 *21607:A 0.000132899 +19 *22383:B2 *21607:A 0.000163056 +20 *24213:D *21092:A1 0.000110371 +21 *24214:D *5352:19 6.98337e-06 +22 *24214:CLK *5352:19 2.16355e-05 +23 *1439:28 *5352:18 1.52551e-05 +24 *1521:11 *19746:B 0 +25 *1521:11 *5352:19 1.92336e-05 +26 *1601:16 *5352:19 0.000553183 +27 *1790:158 *5352:18 0.000594469 +28 *2208:41 *5352:8 0 +29 *2373:32 *21607:A 0.000158357 +30 *2770:18 *21092:A1 2.31725e-05 +31 *2770:18 *5352:18 1.1976e-05 +32 *2806:8 *21092:A1 1.72594e-05 +33 *2806:8 *5352:18 2.09155e-05 +34 *2869:32 *5352:18 5.57532e-05 +35 *2978:29 *5352:18 0.000647992 +36 *3162:167 *5352:19 1.92384e-05 +37 *3168:198 *21607:A 0.000865654 +38 *3168:198 *5352:8 0.000258128 +39 *5091:25 *5352:8 0.000266051 +*RES +1 *24213:Q *5352:4 9.24915 +2 *5352:4 *5352:8 15.9391 +3 *5352:8 *5352:18 14.6236 +4 *5352:18 *5352:19 53.9653 +5 *5352:19 *19746:B 9.82786 +6 *5352:8 *21092:A1 16.8269 +7 *5352:4 *21607:A 32.4484 +*END + +*D_NET *5353 0.00286543 +*CONN +*I *21091:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21451:A I *D sky130_fd_sc_hd__inv_2 +*I *24214:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21091:A1 0.000172291 +2 *21451:A 0.000120358 +3 *24214:Q 0.000564404 +4 *5353:7 0.000857053 +5 *21091:A1 *5853:178 7.94607e-05 +6 *24214:D *5353:7 5.481e-05 +7 *1790:158 *5353:7 0.000260374 +8 *2869:32 *21091:A1 4.36158e-05 +9 *2869:32 *21451:A 0.000134227 +10 *3162:167 *5353:7 6.6305e-06 +11 *3164:180 *5353:7 5.99691e-05 +12 *3215:10 *21091:A1 0.000236803 +13 *3215:10 *21451:A 0.000224284 +14 *5352:18 *21091:A1 4.40499e-05 +15 *5352:18 *21451:A 7.09666e-06 +*RES +1 *24214:Q *5353:7 22.237 +2 *5353:7 *21451:A 18.0727 +3 *5353:7 *21091:A1 18.9032 +*END + +*D_NET *5354 0.00256192 +*CONN +*I *21090:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21420:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24215:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21090:A1 0.000494342 +2 *21420:A 9.11893e-05 +3 *24215:Q 0.000338354 +4 *5354:7 0.000923886 +5 *21090:A2 *21090:A1 0.000111708 +6 *21090:B1 *21090:A1 1.41976e-05 +7 *21090:B2 *21090:A1 6.50727e-05 +8 *24215:D *21090:A1 7.20596e-05 +9 *1439:421 *21090:A1 0.00012401 +10 *1439:421 *21420:A 7.50872e-05 +11 *2305:7 *5354:7 6.50727e-05 +12 *4834:46 *21090:A1 5.99082e-05 +13 *4834:46 *21420:A 4.80867e-05 +14 *4843:251 *21090:A1 3.19327e-05 +15 *4843:251 *21420:A 4.7013e-05 +*RES +1 *24215:Q *5354:7 18.3548 +2 *5354:7 *21420:A 16.4116 +3 *5354:7 *21090:A1 25.2317 +*END + +*D_NET *5355 0.00284312 +*CONN +*I *21089:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21347:A I *D sky130_fd_sc_hd__inv_2 +*I *24216:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21089:A1 6.07222e-05 +2 *21347:A 0.000309998 +3 *24216:Q 0.000609371 +4 *5355:5 0.000980091 +5 *21347:A *24216:RESET_B 4.88955e-05 +6 *21347:A *5865:165 0.000298318 +7 *5355:5 *24216:RESET_B 0.000193695 +8 *20667:B1 *21089:A1 3.77659e-05 +9 *491:8 *21089:A1 8.98279e-05 +10 *2208:103 *21089:A1 3.51086e-06 +11 *2209:7 *21089:A1 1.62258e-05 +12 *2257:11 *21347:A 0.000116986 +13 *2464:10 *21347:A 1.9101e-05 +14 *2464:12 *21347:A 3.84518e-05 +15 *2764:11 *5355:5 2.01592e-05 +*RES +1 *24216:Q *5355:5 17.737 +2 *5355:5 *21347:A 24.5474 +3 *5355:5 *21089:A1 20.274 +*END + +*D_NET *5356 0.00179108 +*CONN +*I *21088:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21176:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24217:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21088:A1 0.000128536 +2 *21176:A 0 +3 *24217:Q 0.000259847 +4 *5356:9 0.000388383 +5 *21088:A1 *5880:17 8.41339e-05 +6 *21088:A1 *5880:31 3.44677e-06 +7 *5356:9 *5880:17 0.000555179 +8 *24217:D *21088:A1 0.000115934 +9 *480:207 *5356:9 5.54078e-05 +10 *2208:129 *21088:A1 7.30161e-05 +11 *2498:12 *5356:9 0.000127194 +*RES +1 *24217:Q *5356:9 26.7658 +2 *5356:9 *21176:A 9.24915 +3 *5356:9 *21088:A1 13.3243 +*END + +*D_NET *5357 0.00231296 +*CONN +*I *20148:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19807:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24800:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20148:A1 0.000419589 +2 *19807:A 0.000344851 +3 *24800:Q 0 +4 *5357:5 0.000764439 +5 *19807:A *5898:255 0.000208792 +6 *20148:A1 *22019:A 9.63981e-05 +7 *1856:8 *19807:A 8.62625e-06 +8 *3033:16 *20148:A1 0.00031994 +9 *4842:24 *19807:A 7.586e-05 +10 *4842:24 *20148:A1 4.44689e-05 +11 *4845:55 *20148:A1 2.99978e-05 +12 *4885:137 *19807:A 0 +13 *4885:137 *20148:A1 0 +*RES +1 *24800:Q *5357:5 13.7491 +2 *5357:5 *19807:A 23.7875 +3 *5357:5 *20148:A1 24.7601 +*END + +*D_NET *5358 0.00162853 +*CONN +*I *22019:A I *D sky130_fd_sc_hd__inv_4 +*I *20147:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24801:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22019:A 0.000153655 +2 *20147:A1 0.000186908 +3 *24801:Q 6.28507e-05 +4 *5358:5 0.000403414 +5 *20148:A1 *22019:A 9.63981e-05 +6 *20148:B2 *5358:5 0.00011818 +7 *24800:CLK *20147:A1 1.93378e-05 +8 *1857:13 *20147:A1 1.28326e-05 +9 *1857:17 *20147:A1 1.07248e-05 +10 *4838:22 *20147:A1 0.000174206 +11 *4838:24 *20147:A1 0.000114955 +12 *4838:30 *20147:A1 5.92342e-05 +13 *4885:12 *20147:A1 7.28028e-05 +14 *4885:79 *20147:A1 0.000143032 +*RES +1 *24801:Q *5358:5 11.0817 +2 *5358:5 *20147:A1 25.4794 +3 *5358:5 *22019:A 13.3243 +*END + +*D_NET *5359 0.00296118 +*CONN +*I *21076:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19804:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24223:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21076:A1 0.000170685 +2 *19804:A 0.000253039 +3 *24223:Q 0.000702569 +4 *5359:5 0.00112629 +5 *21076:A1 *5862:267 0.000126295 +6 *21076:A1 *5862:282 9.34404e-05 +7 *21076:A1 *5862:293 4.40272e-05 +8 *21076:A1 *5862:319 5.74949e-05 +9 *24223:D *21076:A1 0.000236166 +10 *24223:CLK *19804:A 3.20011e-05 +11 *24223:CLK *5359:5 8.9616e-05 +12 *1557:107 *19804:A 4.28856e-07 +13 *4811:18 *21076:A1 2.91222e-05 +*RES +1 *24223:Q *5359:5 18.5689 +2 *5359:5 *19804:A 13.1796 +3 *5359:5 *21076:A1 24.2337 +*END + +*D_NET *5360 0.00253287 +*CONN +*I *21848:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21082:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24220:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21848:A 0.000182135 +2 *21082:A1 0.00019287 +3 *24220:Q 5.74528e-05 +4 *5360:7 0.000432458 +5 *21082:A1 *21913:A 3.31882e-05 +6 *21082:A1 *5830:22 1.38872e-05 +7 *21848:A *24220:SET_B 5.16917e-05 +8 *21848:A *5830:22 4.078e-05 +9 *21717:B1 *21848:A 0.000118166 +10 *21914:A2 *5360:7 9.06436e-05 +11 *21914:B1 *21082:A1 2.99929e-05 +12 *21914:B1 *21848:A 3.49272e-05 +13 *2561:91 *21082:A1 0.000388791 +14 *2836:5 *21082:A1 0.000865882 +*RES +1 *24220:Q *5360:7 14.7498 +2 *5360:7 *21082:A1 25.8693 +3 *5360:7 *21848:A 19.3507 +*END + +*D_NET *5361 0.00163491 +*CONN +*I *21633:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21081:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24221:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21633:A 0.000139004 +2 *21081:A1 0.0004542 +3 *24221:Q 0 +4 *5361:5 0.000593204 +5 *21081:A1 *21081:B1 3.75603e-05 +6 *21081:A1 *24220:SET_B 0 +7 *21081:A1 *5830:22 2.19276e-05 +8 *21633:A *24220:SET_B 0 +9 *21633:A *5870:276 0 +10 *24221:D *21081:A1 7.22498e-05 +11 *1439:243 *21081:A1 7.86847e-05 +12 *1439:243 *21633:A 0.000238076 +*RES +1 *24221:Q *5361:5 13.7491 +2 *5361:5 *21081:A1 25.6176 +3 *5361:5 *21633:A 18.0727 +*END + +*D_NET *5362 0.0025454 +*CONN +*I *21575:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21080:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24222:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21575:A 0.000591545 +2 *21080:A1 0.000429371 +3 *24222:Q 0 +4 *5362:4 0.00102092 +5 *21575:A *24220:SET_B 0 +6 *21079:A *21575:A 4.41269e-05 +7 *21717:B1 *21575:A 3.82654e-05 +8 *24222:CLK *21080:A1 0.000177869 +9 *24222:CLK *21575:A 1.92172e-05 +10 *514:98 *21080:A1 3.90143e-05 +11 *1472:14 *21080:A1 2.61857e-05 +12 *2205:22 *21575:A 0 +13 *2205:24 *21575:A 0 +14 *2205:26 *21575:A 0 +15 *2864:11 *21575:A 0 +16 *4863:61 *21080:A1 0.000158885 +*RES +1 *24222:Q *5362:4 9.24915 +2 *5362:4 *21080:A1 27.3981 +3 *5362:4 *21575:A 28.9786 +*END + +*D_NET *5363 0.00207128 +*CONN +*I *21075:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22036:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24224:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21075:A1 0.000132381 +2 *22036:A 0 +3 *24224:Q 0.000535885 +4 *5363:10 0.000668266 +5 *21075:A1 *21075:B1 0.000344968 +6 *5363:10 *5853:260 0.000127911 +7 *1557:96 *5363:10 0.000131508 +8 *2267:63 *21075:A1 1.7883e-05 +9 *2301:45 *5363:10 1.63504e-05 +10 *4805:47 *21075:A1 8.79845e-05 +11 *4810:82 *5363:10 8.14286e-06 +*RES +1 *24224:Q *5363:10 26.9038 +2 *5363:10 *22036:A 9.24915 +3 *5363:10 *21075:A1 13.8789 +*END + +*D_NET *5364 0.00313695 +*CONN +*I *21892:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21074:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24225:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21892:A 0.000181682 +2 *21074:A1 4.37687e-05 +3 *24225:Q 0.000726996 +4 *5364:8 0.000952447 +5 *20894:A *21074:A1 1.92336e-05 +6 *21074:A2 *21074:A1 6.50586e-05 +7 *24225:D *5364:8 6.87503e-05 +8 *1551:83 *21892:A 8.35235e-06 +9 *1551:83 *5364:8 1.02986e-05 +10 *2202:27 *21892:A 2.82537e-05 +11 *2266:63 *21892:A 6.08467e-05 +12 *2584:66 *21892:A 0.000354417 +13 *2610:63 *21074:A1 0.000113968 +14 *2908:63 *21892:A 0.000312309 +15 *2908:63 *5364:8 9.96222e-05 +16 *4813:37 *21892:A 6.08467e-05 +17 *4823:99 *5364:8 3.00965e-05 +*RES +1 *24225:Q *5364:8 24.4842 +2 *5364:8 *21074:A1 15.6059 +3 *5364:8 *21892:A 21.7028 +*END + +*D_NET *5365 0.00255261 +*CONN +*I *21654:A I *D sky130_fd_sc_hd__inv_4 +*I *21073:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24226:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21654:A 0.000139586 +2 *21073:A1 0.000253315 +3 *24226:Q 6.82022e-05 +4 *5365:6 0.000461103 +5 *21073:A1 *6041:DIODE 0.000247443 +6 *21073:A1 *6042:DIODE 6.08467e-05 +7 *21073:A1 *6222:DIODE 0.000200794 +8 *21073:A1 *21073:B1 0.000107496 +9 *21073:A1 *5859:210 6.13232e-05 +10 *21654:A *19565:A1 8.79845e-05 +11 *21073:A2 *21073:A1 2.16355e-05 +12 *510:55 *21654:A 0.000130808 +13 *510:55 *5365:6 0.000162595 +14 *2397:63 *21654:A 0.000247443 +15 *2468:111 *21654:A 0.000139435 +16 *2468:111 *5365:6 0.000162595 +*RES +1 *24226:Q *5365:6 16.8269 +2 *5365:6 *21073:A1 21.1278 +3 *5365:6 *21654:A 19.3535 +*END + +*D_NET *5366 0.00195824 +*CONN +*I *21072:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21573:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24227:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21072:A1 0.000178024 +2 *21573:A 0 +3 *24227:Q 0.000261336 +4 *5366:8 0.00043936 +5 *21072:A1 *5859:210 1.00981e-05 +6 *21072:A2 *21072:A1 8.38894e-05 +7 *21073:B2 *21072:A1 0.000260374 +8 *1576:7 *5366:8 3.17103e-05 +9 *2202:31 *21072:A1 5.30254e-05 +10 *2394:41 *21072:A1 0.000137344 +11 *2394:41 *5366:8 0.000115573 +12 *2635:80 *5366:8 6.08467e-05 +13 *2908:63 *21072:A1 0.000207489 +14 *2908:63 *5366:8 0.000119171 +*RES +1 *24227:Q *5366:8 18.9382 +2 *5366:8 *21573:A 13.7491 +3 *5366:8 *21072:A1 21.0145 +*END + +*D_NET *5367 0.00255765 +*CONN +*I *21432:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21071:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24228:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21432:A 0.000111967 +2 *21071:A1 0.000112657 +3 *24228:Q 0.000594438 +4 *5367:7 0.000819063 +5 *21432:A *21032:B1 6.36477e-05 +6 *5367:7 *24228:RESET_B 5.99529e-06 +7 *1551:83 *21071:A1 0.000199926 +8 *1551:83 *21432:A 0.000115313 +9 *2203:50 *21071:A1 3.93264e-05 +10 *2203:50 *21432:A 1.72594e-05 +11 *4805:47 *5367:7 0.000286177 +12 *4817:104 *21071:A1 8.91927e-05 +13 *4817:104 *21432:A 9.19575e-05 +14 *4843:385 *21071:A1 1.07248e-05 +*RES +1 *24228:Q *5367:7 22.7916 +2 *5367:7 *21071:A1 17.6574 +3 *5367:7 *21432:A 17.135 +*END + +*D_NET *5368 0.00169316 +*CONN +*I *21070:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21335:A I *D sky130_fd_sc_hd__inv_2 +*I *24229:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21070:A1 8.48132e-05 +2 *21335:A 0 +3 *24229:Q 0.000321358 +4 *5368:9 0.000406171 +5 *5368:9 *24342:RESET_B 5.481e-05 +6 *21070:A2 *21070:A1 0.000111722 +7 *24229:D *21070:A1 0.000158371 +8 *1742:76 *5368:9 0.000115534 +9 *4810:22 *5368:9 0.000115534 +10 *4820:64 *21070:A1 3.07561e-05 +11 *4820:64 *5368:9 0.000294093 +*RES +1 *24229:Q *5368:9 26.7658 +2 *5368:9 *21335:A 9.24915 +3 *5368:9 *21070:A1 12.2151 +*END + +*D_NET *5369 0.00299977 +*CONN +*I *21069:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21244:A I *D sky130_fd_sc_hd__inv_2 +*I *24230:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21069:A1 0 +2 *21244:A 0.000217454 +3 *24230:Q 0.000976307 +4 *5369:7 0.00119376 +5 *5369:7 *24230:RESET_B 0.000100397 +6 *21069:B1 *21244:A 1.58551e-05 +7 *21069:B2 *21244:A 0.000148561 +8 *24230:D *5369:7 0.00025046 +9 *2389:81 *5369:7 9.24676e-05 +10 *4904:57 *5369:7 4.51214e-06 +*RES +1 *24230:Q *5369:7 23.3071 +2 *5369:7 *21244:A 14.5782 +3 *5369:7 *21069:A1 9.24915 +*END + +*D_NET *5370 0.00207065 +*CONN +*I *19882:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21084:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24218:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19882:A 0.000189504 +2 *21084:A1 0.000364767 +3 *24218:Q 0 +4 *5370:4 0.000554271 +5 *21084:A1 *5860:401 0.000198211 +6 *21084:B2 *21084:A1 4.42142e-05 +7 *24218:D *21084:A1 3.24105e-05 +8 *1471:78 *19882:A 0.000373061 +9 *1573:33 *21084:A1 1.43983e-05 +10 *2205:8 *21084:A1 0.000129742 +11 *2205:12 *21084:A1 2.77419e-05 +12 *2446:15 *19882:A 6.73186e-05 +13 *2505:23 *21084:A1 4.91225e-06 +14 *2951:28 *19882:A 2.66039e-05 +15 *2951:28 *21084:A1 4.34944e-05 +*RES +1 *24218:Q *5370:4 9.24915 +2 *5370:4 *21084:A1 26.345 +3 *5370:4 *19882:A 24.5474 +*END + +*D_NET *5371 0.00170583 +*CONN +*I *22046:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21083:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24219:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22046:A 0.000103123 +2 *21083:A1 0.000242657 +3 *24219:Q 0 +4 *5371:4 0.000345779 +5 *21083:A2 *21083:A1 6.50586e-05 +6 *21084:B2 *21083:A1 5.04829e-06 +7 *24219:D *21083:A1 0.000160617 +8 *24672:CLK *21083:A1 0.000182356 +9 *2205:22 *21083:A1 0.000271044 +10 *2426:61 *22046:A 5.85252e-05 +11 *2951:28 *21083:A1 0 +12 *3060:11 *21083:A1 7.02172e-06 +13 *3177:63 *22046:A 0.0002646 +*RES +1 *24219:Q *5371:4 9.24915 +2 *5371:4 *21083:A1 25.7932 +3 *5371:4 *22046:A 13.1796 +*END + +*D_NET *5372 0.00342599 +*CONN +*I *19818:A I *D sky130_fd_sc_hd__inv_2 +*I *20140:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24805:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19818:A 0.000209329 +2 *20140:A1 0.00010085 +3 *24805:Q 0.000606517 +4 *5372:7 0.000916696 +5 *5372:7 *24805:SET_B 0.000223492 +6 *24805:D *20140:A1 0.00012568 +7 *24805:CLK *5372:7 0.000140256 +8 *24807:CLK *5372:7 1.70615e-05 +9 *482:40 *19818:A 0.000136376 +10 *482:40 *20140:A1 5.61695e-05 +11 *1439:113 *19818:A 4.97617e-05 +12 *1576:7 *19818:A 6.08467e-05 +13 *2468:115 *19818:A 0.000318027 +14 *2468:115 *20140:A1 0.000264137 +15 *2635:80 *19818:A 0.000200794 +*RES +1 *24805:Q *5372:7 23.0689 +2 *5372:7 *20140:A1 18.4879 +3 *5372:7 *19818:A 22.1209 +*END + +*D_NET *5373 0.0027869 +*CONN +*I *21889:A I *D sky130_fd_sc_hd__inv_2 +*I *21063:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24233:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21889:A 4.74798e-05 +2 *21063:A1 0.00036735 +3 *24233:Q 0.000127339 +4 *5373:16 0.000542168 +5 *24234:CLK *21063:A1 7.13972e-05 +6 *24234:CLK *21889:A 4.97617e-05 +7 *1680:71 *5373:16 0.000140767 +8 *2609:28 *21063:A1 0.000202693 +9 *2693:19 *5373:16 4.18567e-05 +10 *2894:20 *21063:A1 0.00020123 +11 *3487:81 *5373:16 1.28568e-05 +12 *3487:82 *5373:16 0.000137356 +13 *4866:110 *21063:A1 0.000635692 +14 *4866:110 *21889:A 0.000154145 +15 *4920:13 *21063:A1 5.481e-05 +*RES +1 *24233:Q *5373:16 22.3517 +2 *5373:16 *21063:A1 29.9513 +3 *5373:16 *21889:A 11.0817 +*END + +*D_NET *5374 0.00214002 +*CONN +*I *21656:A I *D sky130_fd_sc_hd__inv_2 +*I *21062:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24234:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21656:A 6.44748e-05 +2 *21062:A1 0.000783047 +3 *24234:Q 0 +4 *5374:4 0.000847522 +5 *21063:A2 *21062:A1 6.08467e-05 +6 *24234:D *21062:A1 3.99086e-06 +7 *24234:CLK *21062:A1 0.000127536 +8 *2596:17 *21062:A1 0.000110306 +9 *3162:11 *21062:A1 7.71538e-05 +10 *3162:11 *21656:A 6.08467e-05 +11 *3162:21 *21062:A1 4.29314e-06 +*RES +1 *24234:Q *5374:4 9.24915 +2 *5374:4 *21062:A1 22.9213 +3 *5374:4 *21656:A 10.9612 +*END + +*D_NET *5375 0.00243143 +*CONN +*I *21061:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21533:A I *D sky130_fd_sc_hd__inv_2 +*I *24235:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21061:A1 6.24467e-05 +2 *21533:A 0.00037453 +3 *24235:Q 0.000542496 +4 *5375:5 0.000979472 +5 *21061:A1 *5853:334 0.000110649 +6 *5375:5 *24235:RESET_B 5.37479e-05 +7 *2590:17 *21533:A 1.41307e-05 +8 *2894:39 *21061:A1 0.000110649 +9 *3134:9 *21533:A 5.04952e-05 +10 *3134:9 *5375:5 0.000128293 +11 *3134:20 *21533:A 4.52041e-06 +*RES +1 *24235:Q *5375:5 17.1824 +2 *5375:5 *21533:A 14.8434 +3 *5375:5 *21061:A1 20.4964 +*END + +*D_NET *5376 0.00271479 +*CONN +*I *20139:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22038:A I *D sky130_fd_sc_hd__inv_2 +*I *24806:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20139:A1 3.37297e-05 +2 *22038:A 0.000444601 +3 *24806:Q 0.000527081 +4 *5376:8 0.00100541 +5 *24806:D *20139:A1 0.000113968 +6 *1854:19 *20139:A1 7.92757e-06 +7 *1854:30 *20139:A1 2.16355e-05 +8 *1854:30 *22038:A 0.00018643 +9 *1855:8 *22038:A 4.82656e-05 +10 *3052:13 *22038:A 1.03403e-05 +11 *4832:84 *22038:A 0.000238537 +12 *4832:84 *5376:8 3.10924e-05 +13 *4938:8 *22038:A 1.14755e-05 +14 *4938:8 *5376:8 3.42931e-05 +*RES +1 *24806:Q *5376:8 21.5733 +2 *5376:8 *22038:A 24.5203 +3 *5376:8 *20139:A1 15.0271 +*END + +*D_NET *5377 0.00357226 +*CONN +*I *21798:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20138:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24807:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21798:A 0.00017975 +2 *20138:A1 9.85251e-05 +3 *24807:Q 0.000691185 +4 *5377:7 0.00096946 +5 *5377:7 *24805:SET_B 0.000326544 +6 *2635:80 *21798:A 0.000164815 +7 *2924:57 *20138:A1 0.000255443 +8 *2924:57 *21798:A 0.00032228 +9 *4938:8 *20138:A1 0.000253959 +10 *4938:8 *21798:A 0.000310294 +*RES +1 *24807:Q *5377:7 23.9008 +2 *5377:7 *20138:A1 18.4879 +3 *5377:7 *21798:A 21.5663 +*END + +*D_NET *5378 0.00299426 +*CONN +*I *21697:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20137:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24808:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21697:A 0 +2 *20137:A1 0.000503628 +3 *24808:Q 0.000208339 +4 *5378:9 0.000711967 +5 *20137:A1 *5855:318 0.000406794 +6 *20137:A1 *5864:441 0.00044897 +7 *500:60 *20137:A1 0.000216103 +8 *2291:32 *5378:9 0.00012063 +9 *2924:61 *20137:A1 0.000219686 +10 *2978:68 *5378:9 0.00012063 +11 *4807:8 *20137:A1 3.7516e-05 +*RES +1 *24808:Q *5378:9 23.4382 +2 *5378:9 *20137:A1 33.8764 +3 *5378:9 *21697:A 9.24915 +*END + +*D_NET *5379 0.00308944 +*CONN +*I *21579:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20136:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24809:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21579:A 0 +2 *20136:A1 0.000181806 +3 *24809:Q 0.0006035 +4 *5379:11 0.000785307 +5 *20136:A1 *24812:RESET_B 0.000158371 +6 *20136:A1 *5526:21 4.21218e-06 +7 *20136:A1 *5526:32 9.44349e-05 +8 *5379:11 *24812:RESET_B 9.55447e-05 +9 *5379:11 *5864:441 8.78109e-05 +10 *1854:37 *5379:11 9.60366e-05 +11 *1854:50 *5379:11 0.000223691 +12 *1854:61 *5379:11 0.000150595 +13 *4832:84 *5379:11 0.00047392 +14 *4843:445 *20136:A1 0.000134212 +*RES +1 *24809:Q *5379:11 33.5787 +2 *5379:11 *20136:A1 24.0633 +3 *5379:11 *21579:A 9.24915 +*END + +*D_NET *5380 0.00342544 +*CONN +*I *20135:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21414:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24810:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20135:A1 0 +2 *21414:A 0.000180104 +3 *24810:Q 0.000875221 +4 *5380:13 0.00105532 +5 *20135:A2 *21414:A 1.66771e-05 +6 *20135:B2 *21414:A 1.91391e-05 +7 *24100:TE_B *5380:13 6.08467e-05 +8 *24810:D *5380:13 0.000107496 +9 *1652:34 *21414:A 0 +10 *2295:42 *5380:13 0.000259082 +11 *2391:34 *5380:13 0.000259082 +12 *2520:91 *21414:A 0 +13 *2896:49 *5380:13 5.65567e-05 +14 *2924:57 *21414:A 1.66771e-05 +15 *4808:135 *21414:A 0.000300569 +16 *4808:158 *5380:13 0.000190903 +17 *4832:82 *21414:A 2.27135e-05 +18 *4843:432 *5380:13 5.04829e-06 +*RES +1 *24810:Q *5380:13 35.5509 +2 *5380:13 *21414:A 26.1763 +3 *5380:13 *20135:A1 9.24915 +*END + +*D_NET *5381 0.00195635 +*CONN +*I *20134:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21332:A I *D sky130_fd_sc_hd__inv_2 +*I *24811:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20134:A1 0.000268301 +2 *21332:A 0 +3 *24811:Q 0.000287078 +4 *5381:12 0.000555379 +5 *20134:A1 *5861:482 0.00012741 +6 *5381:12 *5859:408 3.86207e-06 +7 *5381:12 *5861:482 0.000138073 +8 *20134:A2 *20134:A1 3.25073e-05 +9 *24811:D *20134:A1 0.000154145 +10 *1854:5 *20134:A1 7.92757e-06 +11 *1854:19 *20134:A1 5.37805e-05 +12 *4910:83 *20134:A1 3.5534e-06 +13 *4910:83 *5381:12 0.000270217 +14 *4910:92 *20134:A1 5.41178e-05 +*RES +1 *24811:Q *5381:12 20.2572 +2 *5381:12 *21332:A 13.7491 +3 *5381:12 *20134:A1 21.0173 +*END + +*D_NET *5382 0.00233983 +*CONN +*I *21237:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20133:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24812:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21237:A 0.000160839 +2 *20133:A1 8.95366e-05 +3 *24812:Q 0.000493111 +4 *5382:10 0.000743487 +5 *21237:A *20136:B1 0.000154145 +6 *24810:CLK *5382:10 3.82228e-05 +7 *24812:D *20133:A1 7.50722e-05 +8 *24812:D *5382:10 0 +9 *24812:CLK *5382:10 0.000166842 +10 *324:17 *20133:A1 3.65295e-05 +11 *324:17 *21237:A 2.3625e-05 +12 *324:17 *5382:10 1.9101e-05 +13 *4845:318 *20133:A1 0.000228993 +14 *4845:318 *21237:A 0.000110323 +*RES +1 *24812:Q *5382:10 21.6918 +2 *5382:10 *20133:A1 17.865 +3 *5382:10 *21237:A 18.0366 +*END + +*D_NET *5383 0.00176335 +*CONN +*I *21065:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19901:A I *D sky130_fd_sc_hd__inv_2 +*I *24231:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21065:A1 0.00017258 +2 *19901:A 0.000155998 +3 *24231:Q 0 +4 *5383:4 0.000328579 +5 *21065:A1 *21065:B1 0.000154145 +6 *24231:D *21065:A1 1.1718e-05 +7 *522:18 *19901:A 0.000115772 +8 *1660:40 *19901:A 0.000296903 +9 *3114:29 *19901:A 2.16355e-05 +10 *3179:29 *19901:A 0.000393863 +11 *3502:105 *19901:A 0.000112159 +*RES +1 *24231:Q *5383:4 9.24915 +2 *5383:4 *19901:A 25.102 +3 *5383:4 *21065:A1 12.2151 +*END + +*D_NET *5384 0.00401934 +*CONN +*I *21064:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21917:A I *D sky130_fd_sc_hd__inv_2 +*I *24232:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21064:A1 0 +2 *21917:A 0.000441032 +3 *24232:Q 0.00036585 +4 *5384:13 0.000806882 +5 *21064:A2 *21917:A 6.63489e-05 +6 *21064:A2 *5384:13 2.49328e-05 +7 *21064:B2 *21917:A 6.08467e-05 +8 *22040:A1 *21917:A 0.000157503 +9 *22165:A *5384:13 2.27135e-05 +10 *1648:25 *5384:13 0.000182562 +11 *1648:29 *21917:A 4.62514e-05 +12 *2408:10 *5384:13 0.000140451 +13 *3052:41 *21917:A 0.000155641 +14 *3139:96 *5384:13 9.68101e-05 +15 *3151:17 *5384:13 0.000200794 +16 *3157:37 *21917:A 0.000782448 +17 *3157:37 *5384:13 0.000468276 +*RES +1 *24232:Q *5384:13 31.2562 +2 *5384:13 *21917:A 25.6702 +3 *5384:13 *21064:A1 9.24915 +*END + +*D_NET *5385 0.0026413 +*CONN +*I *19781:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21032:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24242:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19781:A 0.000219375 +2 *21032:A1 0.000179197 +3 *24242:Q 0.0002817 +4 *5385:7 0.000680272 +5 *19781:A *5862:634 0.000207883 +6 *21032:A1 *21032:B1 0.000156946 +7 *5385:7 *24242:SET_B 4.32761e-05 +8 *5385:7 *5862:250 4.14681e-05 +9 *20990:A *5385:7 7.0842e-05 +10 *21032:A2 *21032:A1 6.36477e-05 +11 *24242:D *21032:A1 0.000122083 +12 *2301:45 *19781:A 5.64297e-06 +13 *2425:40 *19781:A 8.8011e-05 +14 *2425:40 *21032:A1 0.000122083 +15 *2642:14 *21032:A1 2.77564e-05 +16 *2906:56 *21032:A1 1.19374e-05 +17 *3076:28 *19781:A 0.000147887 +18 *3076:28 *21032:A1 2.95537e-05 +19 *4845:575 *21032:A1 2.95884e-05 +20 *4908:189 *5385:7 0.000112149 +*RES +1 *24242:Q *5385:7 20.8505 +2 *5385:7 *21032:A1 20.0446 +3 *5385:7 *19781:A 20.5331 +*END + +*D_NET *5386 0.00237149 +*CONN +*I *21860:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21038:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24239:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21860:A 6.85389e-05 +2 *21038:A1 0.000332122 +3 *24239:Q 0.000367888 +4 *5386:7 0.000768548 +5 *21038:A1 *21038:B1 5.04829e-06 +6 *21038:A1 *5851:400 2.25207e-05 +7 *21860:A *5851:400 2.31171e-05 +8 *5386:7 *5726:29 3.59433e-06 +9 *5386:7 *5726:31 1.00937e-05 +10 *21038:A2 *21038:A1 3.75221e-05 +11 *24239:D *21038:A1 0.000126245 +12 *496:31 *21038:A1 4.77168e-06 +13 *2180:27 *21038:A1 7.00923e-05 +14 *2180:36 *21038:A1 1.48503e-05 +15 *2641:19 *21038:A1 0.000275488 +16 *2641:19 *21860:A 0.000115451 +17 *2875:11 *5386:7 0.000125601 +*RES +1 *24239:Q *5386:7 19.1867 +2 *5386:7 *21038:A1 22.4531 +3 *5386:7 *21860:A 15.9964 +*END + +*D_NET *5387 0.00242117 +*CONN +*I *21596:A I *D sky130_fd_sc_hd__inv_2 +*I *21037:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24240:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21596:A 0.000119704 +2 *21037:A1 0.0001677 +3 *24240:Q 0.0005419 +4 *5387:7 0.000829304 +5 *21037:A1 *5859:352 0.000104062 +6 *21596:A *5859:352 2.91565e-05 +7 *5387:7 *24240:RESET_B 0.000159977 +8 *24240:D *21037:A1 0.000186445 +9 *24240:D *5387:7 6.08467e-05 +10 *1731:13 *21037:A1 7.65861e-05 +11 *1731:13 *5387:7 8.42844e-05 +12 *4918:49 *21037:A1 1.86362e-05 +13 *4918:60 *21037:A1 7.77309e-06 +14 *4918:60 *21596:A 3.47987e-05 +*RES +1 *24240:Q *5387:7 21.6824 +2 *5387:7 *21037:A1 19.2207 +3 *5387:7 *21596:A 15.9964 +*END + +*D_NET *5388 0.00214072 +*CONN +*I *21448:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21036:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24241:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21448:A 8.41666e-05 +2 *21036:A1 0 +3 *24241:Q 0.00063193 +4 *5388:8 0.000716097 +5 *21036:A2 *21448:A 0.000216968 +6 *2645:21 *5388:8 6.4386e-05 +7 *2815:8 *21448:A 0.000225028 +8 *2815:8 *5388:8 8.69817e-05 +9 *2972:18 *21448:A 8.6297e-06 +10 *2972:18 *5388:8 0.000106536 +*RES +1 *24241:Q *5388:8 23.5144 +2 *5388:8 *21036:A1 13.7491 +3 *5388:8 *21448:A 18.0727 +*END + +*D_NET *5389 0.00302029 +*CONN +*I *21031:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21916:A I *D sky130_fd_sc_hd__inv_2 +*I *24243:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21031:A1 0 +2 *21916:A 0.000147502 +3 *24243:Q 0.000927845 +4 *5389:7 0.00107535 +5 *21916:A *5859:215 3.12828e-05 +6 *21916:A *5859:230 0.000193915 +7 *5389:7 *5853:313 0.0002008 +8 *5389:7 *5853:353 1.33419e-05 +9 *21026:B1 *21916:A 0.000110306 +10 *24243:CLK *5389:7 3.82228e-05 +11 *2177:37 *5389:7 1.46563e-05 +12 *2177:51 *5389:7 7.92757e-06 +13 *2930:17 *21916:A 4.6535e-05 +14 *4866:121 *21916:A 0.000212612 +*RES +1 *24243:Q *5389:7 23.0298 +2 *5389:7 *21916:A 23.8507 +3 *5389:7 *21031:A1 9.24915 +*END + +*D_NET *5390 0.00288856 +*CONN +*I *21871:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21030:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24244:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21871:A 7.8527e-05 +2 *21030:A1 3.1906e-05 +3 *24244:Q 0.000867662 +4 *5390:7 0.000978095 +5 *5390:7 *24244:RESET_B 5.51483e-06 +6 *24247:CLK *5390:7 0.000100739 +7 *2397:10 *21030:A1 7.25274e-05 +8 *2397:10 *21871:A 0.000125206 +9 *3153:102 *21030:A1 7.6125e-05 +10 *3153:102 *21871:A 0.000118079 +11 *4843:385 *5390:7 0.000111722 +12 *4908:146 *5390:7 0.000175849 +13 *4908:148 *5390:7 0.00014661 +*RES +1 *24244:Q *5390:7 27.2284 +2 *5390:7 *21030:A1 15.1659 +3 *5390:7 *21871:A 16.4116 +*END + +*D_NET *5391 0.00244321 +*CONN +*I *21613:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21029:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24245:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21613:A 0 +2 *21029:A1 0.000466686 +3 *24245:Q 0.000189209 +4 *5391:10 0.000655895 +5 *21029:A1 *21029:B1 6.41655e-05 +6 *21029:A2 *21029:A1 4.76927e-05 +7 *24245:D *21029:A1 8.96314e-06 +8 *1450:136 *5391:10 0.000291452 +9 *1557:96 *5391:10 0.000188997 +10 *2282:84 *21029:A1 0.000336521 +11 *2300:60 *21029:A1 0.000115864 +12 *2636:14 *5391:10 6.51527e-05 +13 *4806:81 *5391:10 1.26094e-05 +*RES +1 *24245:Q *5391:10 24.5418 +2 *5391:10 *21029:A1 22.2944 +3 *5391:10 *21613:A 9.24915 +*END + +*D_NET *5392 0.00247193 +*CONN +*I *21454:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21028:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24246:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21454:A 0.000109181 +2 *21028:A1 7.80775e-05 +3 *24246:Q 0.000654974 +4 *5392:7 0.000842233 +5 *5392:7 *24246:RESET_B 8.75812e-05 +6 *24246:D *5392:7 6.08467e-05 +7 *24246:CLK *5392:7 0.000115056 +8 *531:30 *21028:A1 3.28899e-05 +9 *531:30 *21454:A 7.40222e-05 +10 *2177:78 *21454:A 7.23432e-05 +11 *2467:114 *21028:A1 8.69672e-05 +12 *2467:114 *21454:A 0.000257759 +*RES +1 *24246:Q *5392:7 23.3462 +2 *5392:7 *21028:A1 15.5811 +3 *5392:7 *21454:A 18.4879 +*END + +*D_NET *5393 0.00295535 +*CONN +*I *21421:A I *D sky130_fd_sc_hd__inv_2 +*I *21027:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24247:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21421:A 0.000138938 +2 *21027:A1 0.000220168 +3 *24247:Q 0.000594047 +4 *5393:7 0.000953152 +5 *5393:7 *24247:RESET_B 0.000153482 +6 *21027:B1 *21027:A1 8.22267e-05 +7 *21027:B1 *21421:A 9.87783e-05 +8 *21027:B2 *21027:A1 2.72614e-05 +9 *22711:A1 *21421:A 0.000200794 +10 *24247:D *21027:A1 0.000115451 +11 *24247:CLK *21421:A 0.000107496 +12 *1583:21 *21027:A1 3.17658e-05 +13 *1610:44 *21027:A1 8.04727e-05 +14 *1610:44 *21421:A 3.58199e-05 +15 *2304:19 *5393:7 8.57243e-06 +16 *2310:10 *5393:7 7.37511e-05 +17 *3263:33 *21421:A 3.31745e-05 +*RES +1 *24247:Q *5393:7 22.237 +2 *5393:7 *21027:A1 20.1076 +3 *5393:7 *21421:A 18.3836 +*END + +*D_NET *5394 0.0035439 +*CONN +*I *21341:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21026:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24248:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21341:A 0.000339288 +2 *21026:A1 6.87302e-06 +3 *24248:Q 0.000718306 +4 *5394:8 0.00106447 +5 *21341:A *5853:313 0.000207266 +6 *21341:A *5853:334 0.000406139 +7 *5394:8 *24248:RESET_B 0.000153421 +8 *21028:B2 *21341:A 0.000154145 +9 *24248:D *5394:8 1.9101e-05 +10 *24248:CLK *5394:8 9.26783e-06 +11 *518:46 *21341:A 5.79911e-05 +12 *518:46 *5394:8 2.60426e-05 +13 *1573:101 *5394:8 0.000102784 +14 *2177:13 *21341:A 1.19618e-05 +15 *2178:17 *21341:A 0.00014642 +16 *2403:7 *21341:A 1.41181e-05 +17 *2467:114 *21341:A 5.68944e-05 +18 *2467:114 *5394:8 3.02737e-05 +19 *2930:17 *5394:8 1.91391e-05 +*RES +1 *24248:Q *5394:8 25.1782 +2 *5394:8 *21026:A1 13.9446 +3 *5394:8 *21341:A 25.0548 +*END + +*D_NET *5395 0.00344924 +*CONN +*I *21240:A I *D sky130_fd_sc_hd__inv_2 +*I *21025:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24249:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21240:A 0.000196222 +2 *21025:A1 0.000127331 +3 *24249:Q 0.00028105 +4 *5395:11 0.000604604 +5 *24249:D *21240:A 9.28861e-05 +6 *512:38 *5395:11 5.11466e-05 +7 *1573:141 *21025:A1 1.54795e-05 +8 *1610:44 *21025:A1 3.63738e-05 +9 *1610:54 *21240:A 4.41652e-05 +10 *2178:62 *5395:11 5.11466e-05 +11 *2307:19 *21240:A 6.36477e-05 +12 *2458:21 *21240:A 6.36477e-05 +13 *2572:23 *5395:11 6.50586e-05 +14 *2813:47 *21025:A1 0.000233633 +15 *2813:47 *5395:11 0.000544368 +16 *4816:125 *21025:A1 0.000239955 +17 *4816:125 *5395:11 0.000513541 +18 *4843:352 *21240:A 0.00022499 +*RES +1 *24249:Q *5395:11 19.8652 +2 *5395:11 *21025:A1 18.2676 +3 *5395:11 *21240:A 22.7877 +*END + +*D_NET *5396 0.00108577 +*CONN +*I *19892:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21040:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24237:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19892:A 4.8502e-05 +2 *21040:A1 0.0001371 +3 *24237:Q 0 +4 *5396:5 0.000185602 +5 *19892:A *5481:31 2.65831e-05 +6 *21040:A2 *21040:A1 0.000163418 +7 *24237:D *19892:A 4.33979e-05 +8 *24237:D *21040:A1 7.86847e-05 +9 *24239:CLK *19892:A 1.12605e-05 +10 *24239:CLK *21040:A1 2.81002e-05 +11 *1650:7 *19892:A 2.65831e-05 +12 *1780:36 *21040:A1 7.09666e-06 +13 *1802:206 *21040:A1 2.29454e-05 +14 *2815:8 *19892:A 3.49272e-05 +15 *2815:8 *21040:A1 5.94601e-05 +16 *2900:13 *21040:A1 0.000212109 +*RES +1 *24237:Q *5396:5 13.7491 +2 *5396:5 *21040:A1 19.2141 +3 *5396:5 *19892:A 15.8893 +*END + +*D_NET *5397 0.00227467 +*CONN +*I *22039:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21039:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24238:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22039:A 6.62878e-05 +2 *21039:A1 8.28754e-05 +3 *24238:Q 0.000574294 +4 *5397:10 0.000723457 +5 *21039:A2 *21039:A1 6.50727e-05 +6 *21524:A1 *21039:A1 8.62625e-06 +7 *21524:A1 *5397:10 0.000163982 +8 *24899:CLK *5397:10 0.000225415 +9 *1669:94 *5397:10 7.50872e-05 +10 *1691:71 *22039:A 3.31882e-05 +11 *1701:39 *21039:A1 0.000107496 +12 *2875:12 *22039:A 1.33152e-05 +13 *2875:12 *5397:10 4.91334e-05 +14 *4833:21 *21039:A1 7.92757e-06 +15 *4918:10 *21039:A1 4.00504e-05 +16 *4918:10 *22039:A 3.84663e-05 +*RES +1 *24238:Q *5397:10 25.0066 +2 *5397:10 *21039:A1 16.5538 +3 *5397:10 *22039:A 15.7888 +*END + +*D_NET *5398 0.00279073 +*CONN +*I *20999:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19785:A I *D sky130_fd_sc_hd__inv_2 +*I *24268:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20999:A1 1.35935e-05 +2 *19785:A 0.000162425 +3 *24268:Q 0.000991306 +4 *5398:7 0.00116732 +5 *19785:A *5861:397 9.5562e-05 +6 *5398:7 *5864:607 0.000176582 +7 *20999:A2 *5398:7 1.03403e-05 +8 *24073:S *19785:A 7.92757e-06 +9 *24073:S *5398:7 7.24875e-06 +10 *24268:D *20999:A1 8.67924e-06 +11 *24268:D *5398:7 2.85789e-05 +12 *24268:CLK *5398:7 0.000121159 +*RES +1 *24268:Q *5398:7 23.9944 +2 *5398:7 *19785:A 13.3243 +3 *5398:7 *20999:A1 9.82786 +*END + +*D_NET *5399 0.00233428 +*CONN +*I *21867:A I *D sky130_fd_sc_hd__inv_2 +*I *21019:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24252:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21867:A 1.69719e-05 +2 *21019:A1 0.000456531 +3 *24252:Q 0 +4 *5399:20 6.77015e-05 +5 *5399:19 0.00011162 +6 *5399:4 0.000517421 +7 *21019:A1 *19816:A 0.000317693 +8 *21019:A1 *21019:B1 3.34189e-05 +9 *21019:A1 *24252:SET_B 2.32606e-05 +10 *21019:A1 *5868:206 0.000234837 +11 *21867:A *5868:206 5.88657e-05 +12 *5399:19 *5868:206 7.17276e-05 +13 *21019:A2 *21019:A1 0.000158357 +14 *24252:D *21019:A1 0.000107496 +15 *4126:79 *21019:A1 9.75356e-05 +16 *4137:73 *21019:A1 0 +17 *4838:101 *21867:A 6.08467e-05 +*RES +1 *24252:Q *5399:4 9.24915 +2 *5399:4 *21019:A1 29.5697 +3 *5399:4 *5399:19 10.2498 +4 *5399:19 *5399:20 57.9449 +5 *5399:20 *21867:A 19.2217 +*END + +*D_NET *5400 0.000947614 +*CONN +*I *21645:A I *D sky130_fd_sc_hd__inv_2 +*I *21018:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24253:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21645:A 0.000183616 +2 *21018:A1 0.000106877 +3 *24253:Q 0 +4 *5400:4 0.000290494 +5 *21018:A1 *24253:RESET_B 1.5613e-05 +6 *21018:B1 *21018:A1 2.65831e-05 +7 *21018:B2 *21018:A1 6.50586e-05 +8 *2175:18 *21645:A 0.000123582 +9 *4935:26 *21645:A 1.9101e-05 +10 *4935:43 *21645:A 0.000116689 +*RES +1 *24253:Q *5400:4 9.24915 +2 *5400:4 *21018:A1 12.2151 +3 *5400:4 *21645:A 23.0524 +*END + +*D_NET *5401 0.00353463 +*CONN +*I *21558:A I *D sky130_fd_sc_hd__inv_2 +*I *21017:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24254:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21558:A 0 +2 *21017:A1 0.000658991 +3 *24254:Q 0.000316682 +4 *5401:11 0.000975673 +5 *21017:A1 *21017:B1 1.64789e-05 +6 *20984:B2 *5401:11 8.62625e-06 +7 *24254:D *21017:A1 3.62662e-06 +8 *495:8 *5401:11 0.0003014 +9 *657:199 *21017:A1 0.000300565 +10 *2173:9 *21017:A1 5.04829e-06 +11 *2174:9 *21017:A1 5.46928e-05 +12 *2620:47 *5401:11 0.000207883 +13 *2684:8 *21017:A1 7.50722e-05 +14 *3089:36 *21017:A1 7.14746e-05 +15 *3857:42 *21017:A1 0.00037487 +16 *3857:42 *5401:11 4.94594e-05 +17 *4665:58 *5401:11 0.000114083 +*RES +1 *24254:Q *5401:11 26.929 +2 *5401:11 *21017:A1 32.2771 +3 *5401:11 *21558:A 9.24915 +*END + +*D_NET *5402 0.00213578 +*CONN +*I *20998:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22006:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24269:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20998:A1 0.000171124 +2 *22006:A 0 +3 *24269:Q 0.00041271 +4 *5402:11 0.000583834 +5 *20998:A1 *20998:B1 0 +6 *20998:A1 *24274:RESET_B 0.000112278 +7 *5402:11 *24274:RESET_B 0.000156946 +8 *5402:11 *5864:585 7.83506e-06 +9 *23866:A *5402:11 0.000388086 +10 *1439:81 *5402:11 0.000186893 +11 *2391:19 *5402:11 4.97617e-05 +12 *3257:61 *5402:11 6.63077e-05 +*RES +1 *24269:Q *5402:11 29.4275 +2 *5402:11 *22006:A 9.24915 +3 *5402:11 *20998:A1 13.3243 +*END + +*D_NET *5403 0.00229733 +*CONN +*I *20997:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21872:A I *D sky130_fd_sc_hd__inv_2 +*I *24270:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20997:A1 0.000120664 +2 *21872:A 0 +3 *24270:Q 0.000601918 +4 *5403:10 0.000722581 +5 *20997:A1 *24103:A 0 +6 *5403:10 *24270:RESET_B 4.28856e-07 +7 *5403:10 *5528:12 0.000287118 +8 *20999:A2 *20997:A1 1.96041e-05 +9 *24270:D *5403:10 0.00024372 +10 *476:143 *5403:10 8.21849e-06 +11 *1439:75 *20997:A1 2.54369e-05 +12 *2170:78 *20997:A1 6.08467e-05 +13 *2170:78 *5403:10 3.20069e-06 +14 *2446:126 *5403:10 0.000203595 +*RES +1 *24270:Q *5403:10 30.0878 +2 *5403:10 *21872:A 9.24915 +3 *5403:10 *20997:A1 12.2151 +*END + +*D_NET *5404 0.00116947 +*CONN +*I *21649:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20996:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24271:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21649:A 0.000164779 +2 *20996:A1 0.000265538 +3 *24271:Q 0 +4 *5404:4 0.000430317 +5 *20996:A1 *20996:B1 5.97482e-05 +6 *20996:A1 *5855:261 1.91246e-05 +7 *20996:A2 *20996:A1 2.09776e-05 +8 *20996:B2 *20996:A1 9.51479e-06 +9 *24271:D *21649:A 4.19052e-05 +10 *1917:29 *20996:A1 2.0833e-05 +11 *2471:56 *20996:A1 0.000136736 +*RES +1 *24271:Q *5404:4 9.24915 +2 *5404:4 *20996:A1 25.3485 +3 *5404:4 *21649:A 12.0704 +*END + +*D_NET *5405 0.00417045 +*CONN +*I *20995:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21585:A I *D sky130_fd_sc_hd__inv_2 +*I *24272:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20995:A1 0 +2 *21585:A 0.000227041 +3 *24272:Q 0.000880328 +4 *5405:11 0.00110737 +5 *20996:B2 *5405:11 0.000170921 +6 *482:45 *21585:A 0.000300565 +7 *1450:164 *21585:A 4.56831e-05 +8 *2170:7 *5405:11 6.08467e-05 +9 *2170:10 *21585:A 3.31733e-05 +10 *2170:15 *5405:11 0.000540257 +11 *2171:7 *5405:11 6.12686e-06 +12 *2333:38 *21585:A 9.60334e-05 +13 *2642:14 *21585:A 6.08467e-05 +14 *2813:47 *21585:A 0.000244635 +15 *2924:51 *5405:11 0.000169078 +16 *4817:97 *5405:11 3.10304e-06 +17 *4843:407 *5405:11 0.000165481 +18 *4845:575 *21585:A 1.65872e-05 +19 *4909:109 *5405:11 4.2372e-05 +*RES +1 *24272:Q *5405:11 36.0842 +2 *5405:11 *21585:A 27.1783 +3 *5405:11 *20995:A1 9.24915 +*END + +*D_NET *5406 0.00278782 +*CONN +*I *20994:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21419:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24273:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20994:A1 0.000126006 +2 *21419:A 0.00021582 +3 *24273:Q 0.000786719 +4 *5406:5 0.00112855 +5 *20994:A1 *19564:A0 0.000223615 +6 *20994:A2 *20994:A1 2.08979e-05 +7 *24273:CLK *21419:A 2.61955e-05 +8 *2423:78 *20994:A1 0.00021864 +9 *2989:67 *5406:5 3.24705e-06 +10 *4843:407 *20994:A1 3.81301e-05 +*RES +1 *24273:Q *5406:5 19.4008 +2 *5406:5 *21419:A 12.625 +3 *5406:5 *20994:A1 24.0382 +*END + +*D_NET *5407 0.00216464 +*CONN +*I *21329:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20993:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24274:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21329:A 0.000142077 +2 *20993:A1 0 +3 *24274:Q 0.000646365 +4 *5407:10 0.000788442 +5 *20993:B1 *21329:A 2.65831e-05 +6 *20993:B2 *21329:A 2.27026e-05 +7 *24274:D *5407:10 6.23875e-05 +8 *2171:49 *5407:10 4.97617e-05 +9 *2391:19 *21329:A 0.000138151 +10 *2391:19 *5407:10 6.08467e-05 +11 *2813:42 *5407:10 3.44245e-05 +12 *2813:47 *5407:10 4.19797e-05 +13 *4825:102 *5407:10 0.000137403 +14 *4904:34 *5407:10 1.35213e-05 +*RES +1 *24274:Q *5407:10 28.8449 +2 *5407:10 *20993:A1 9.24915 +3 *5407:10 *21329:A 13.3484 +*END + +*D_NET *5408 0.00319828 +*CONN +*I *20992:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21245:A I *D sky130_fd_sc_hd__inv_2 +*I *24275:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20992:A1 0.000127881 +2 *21245:A 0.000298132 +3 *24275:Q 0.000713842 +4 *5408:5 0.00113985 +5 *21245:A *5417:30 5.05252e-05 +6 *20994:B2 *5408:5 2.16355e-05 +7 *24275:D *5408:5 1.03403e-05 +8 *24275:CLK *21245:A 0.000361412 +9 *510:51 *21245:A 1.87469e-05 +10 *2170:35 *20992:A1 1.07248e-05 +11 *2170:48 *20992:A1 0.000244592 +12 *2486:106 *21245:A 6.73186e-05 +13 *2813:47 *20992:A1 0.000101567 +14 *4843:407 *5408:5 3.17103e-05 +*RES +1 *24275:Q *5408:5 18.8462 +2 *5408:5 *21245:A 24.5797 +3 *5408:5 *20992:A1 22.9879 +*END + +*D_NET *5409 0.00244352 +*CONN +*I *21021:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19816:A I *D sky130_fd_sc_hd__inv_2 +*I *24250:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21021:A1 0.000184863 +2 *19816:A 0.000190977 +3 *24250:Q 4.95488e-05 +4 *5409:6 0.000425389 +5 *19816:A *21019:B1 6.08467e-05 +6 *19816:A *5856:47 0.000150632 +7 *19816:A *5856:65 2.95757e-05 +8 *21019:A1 *19816:A 0.000317693 +9 *21021:A2 *19816:A 0.000207394 +10 *21021:A2 *21021:A1 0.000406794 +11 *21021:B2 *21021:A1 2.57365e-05 +12 *24250:D *21021:A1 0.000164829 +13 *1829:95 *21021:A1 7.92757e-06 +14 *2174:30 *19816:A 8.62625e-06 +15 *4137:73 *19816:A 8.47466e-05 +16 *4137:73 *5409:6 4.27148e-05 +17 *4146:35 *19816:A 3.79336e-05 +18 *4841:70 *19816:A 7.77309e-06 +19 *4841:70 *5409:6 3.95141e-05 +*RES +1 *24250:Q *5409:6 15.5811 +2 *5409:6 *19816:A 22.1237 +3 *5409:6 *21021:A1 19.464 +*END + +*D_NET *5410 0.00224801 +*CONN +*I *22013:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21020:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24251:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22013:A 7.94069e-05 +2 *21020:A1 0.00018027 +3 *24251:Q 0.000103058 +4 *5410:8 0.000362735 +5 *21020:A1 *21020:B1 0.000857267 +6 *22013:A *20987:A1 0 +7 *22013:A *21020:B1 0.000156625 +8 *5410:8 *5856:74 0 +9 *1574:12 *5410:8 0.000158391 +10 *1809:103 *5410:8 4.69495e-06 +11 *4550:40 *21020:A1 0.00032889 +12 *4550:40 *5410:8 1.66771e-05 +*RES +1 *24251:Q *5410:8 21.3269 +2 *5410:8 *21020:A1 18.8462 +3 *5410:8 *22013:A 11.6605 +*END + +*D_NET *5411 0.00164801 +*CONN +*I *19833:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20964:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24294:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19833:A 0 +2 *20964:A1 0.000138432 +3 *24294:Q 0.000257887 +4 *5411:10 0.000396319 +5 *5411:10 *5857:97 0.000185003 +6 *20690:A *20964:A1 7.12965e-05 +7 *20963:A2 *20964:A1 0.000158371 +8 *20964:A2 *20964:A1 0.000107496 +9 *480:14 *5411:10 0.0001886 +10 *2162:28 *5411:10 0.000144608 +*RES +1 *24294:Q *5411:10 26.0705 +2 *5411:10 *20964:A1 13.3243 +3 *5411:10 *19833:A 9.24915 +*END + +*D_NET *5412 0.00208063 +*CONN +*I *21791:A I *D sky130_fd_sc_hd__inv_2 +*I *20986:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24278:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21791:A 0.000127025 +2 *20986:A1 0.000174592 +3 *24278:Q 7.01496e-05 +4 *5412:10 0.000371767 +5 *20650:B1 *21791:A 7.01509e-05 +6 *20986:A2 *20986:A1 6.08467e-05 +7 *20988:A2 *20986:A1 0.000258142 +8 *20988:A2 *21791:A 0.000241964 +9 *24278:D *20986:A1 0.000160617 +10 *24471:CLK *5412:10 6.37652e-06 +11 *1947:22 *20986:A1 7.92757e-06 +12 *1947:22 *21791:A 7.6719e-06 +13 *2167:51 *20986:A1 0.000107496 +14 *2535:8 *5412:10 9.75356e-05 +15 *2807:7 *21791:A 1.41976e-05 +16 *4138:127 *5412:10 0.000101148 +17 *4838:113 *21791:A 0.000203019 +*RES +1 *24278:Q *5412:10 20.5272 +2 *5412:10 *20986:A1 14.4094 +3 *5412:10 *21791:A 13.8789 +*END + +*D_NET *5413 0.00193705 +*CONN +*I *20985:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21603:A I *D sky130_fd_sc_hd__inv_2 +*I *24279:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20985:A1 0.000129092 +2 *21603:A 0.000187659 +3 *24279:Q 5.46726e-05 +4 *5413:6 0.000371424 +5 *20985:A2 *20985:A1 0.000253916 +6 *1521:21 *21603:A 0.000253916 +7 *2167:33 *21603:A 2.95757e-05 +8 *2167:33 *5413:6 8.86481e-05 +9 *2655:19 *21603:A 0.000100477 +10 *3069:6 *21603:A 7.62739e-05 +11 *3857:42 *20985:A1 1.65872e-05 +12 *4932:56 *20985:A1 6.08467e-05 +13 *4932:66 *21603:A 0.00022684 +14 *4932:66 *5413:6 1.83423e-05 +15 *4932:147 *5413:6 6.87762e-05 +*RES +1 *24279:Q *5413:6 15.5811 +2 *5413:6 *21603:A 21.0145 +3 *5413:6 *20985:A1 17.2456 +*END + +*D_NET *5414 0.00265731 +*CONN +*I *21551:A I *D sky130_fd_sc_hd__inv_2 +*I *20984:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24280:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21551:A 0.000205812 +2 *20984:A1 0.00068011 +3 *24280:Q 0 +4 *5414:4 0.000885922 +5 *20984:A1 *5851:646 1.07248e-05 +6 *20863:A1 *21551:A 5.47093e-05 +7 *20863:A2 *21551:A 6.08467e-05 +8 *1582:43 *20984:A1 5.97411e-05 +9 *1729:51 *21551:A 0.000442555 +10 *2553:11 *20984:A1 1.48623e-05 +11 *3069:6 *20984:A1 0.000131625 +12 *4932:66 *20984:A1 0.000110401 +*RES +1 *24280:Q *5414:4 9.24915 +2 *5414:4 *20984:A1 28.8449 +3 *5414:4 *21551:A 15.9767 +*END + +*D_NET *5415 0.00180692 +*CONN +*I *22037:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20963:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24295:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *22037:A 0 +2 *20963:A1 0.0001571 +3 *24295:Q 0.000454046 +4 *5415:11 0.000611147 +5 *20963:A1 *20963:B1 6.08467e-05 +6 *20690:A *20963:A1 8.91065e-05 +7 *20690:A *5415:11 0.000158357 +8 *20963:A2 *20963:A1 6.3657e-05 +9 *24295:CLK *5415:11 4.28856e-07 +10 *1608:107 *5415:11 0.000139038 +11 *2319:33 *5415:11 5.34022e-05 +12 *4903:19 *5415:11 1.97951e-05 +*RES +1 *24295:Q *5415:11 27.0726 +2 *5415:11 *20963:A1 13.3243 +3 *5415:11 *22037:A 9.24915 +*END + +*D_NET *5416 0.00250653 +*CONN +*I *20962:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21804:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24296:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20962:A1 4.53013e-05 +2 *21804:A 0.00026523 +3 *24296:Q 0.000551036 +4 *5416:5 0.000861567 +5 *20962:A1 *5861:330 9.32891e-05 +6 *21804:A *5924:42 2.92708e-05 +7 *5416:5 *24296:RESET_B 3.66588e-05 +8 *24296:D *21804:A 6.08467e-05 +9 *24296:D *5416:5 0.000107496 +10 *24296:CLK *21804:A 6.43474e-05 +11 *24301:CLK *21804:A 5.61969e-05 +12 *2297:52 *21804:A 3.7516e-05 +13 *2297:52 *5416:5 8.56861e-05 +14 *2319:23 *5416:5 0.000118796 +15 *4824:120 *20962:A1 9.32891e-05 +*RES +1 *24296:Q *5416:5 17.737 +2 *5416:5 *21804:A 23.4382 +3 *5416:5 *20962:A1 20.0811 +*END + +*D_NET *5417 0.0182766 +*CONN +*I *21637:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20961:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24297:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21637:A 0 +2 *20961:A1 0.000374916 +3 *24297:Q 0.000223465 +4 *5417:30 0.00112246 +5 *5417:26 0.00220945 +6 *5417:22 0.00222983 +7 *5417:5 0.00174123 +8 *5417:5 *24297:RESET_B 1.91114e-05 +9 *5417:22 *20699:B1 3.55968e-05 +10 *5417:22 *5855:219 6.85388e-05 +11 *5417:26 *24469:RESET_B 0.000238694 +12 *5417:26 *5862:411 7.01887e-05 +13 *5417:26 *5864:634 0.00043716 +14 *5417:26 *5864:677 0.000100706 +15 *5417:26 *5910:36 1.63456e-05 +16 *5417:30 *5855:227 0.000124342 +17 *5417:30 *5855:244 0.000191367 +18 *5417:30 *5910:36 0.000935148 +19 *20693:B2 *5417:26 0.000170518 +20 *20961:A2 *20961:A1 2.16355e-05 +21 *21245:A *5417:30 5.05252e-05 +22 *24297:D *20961:A1 0.000253439 +23 *24297:CLK *20961:A1 3.82228e-05 +24 *24466:D *5417:26 8.6931e-05 +25 *25002:A *5417:5 0.000353561 +26 *490:62 *5417:22 0.000257307 +27 *510:47 *5417:30 0.00013475 +28 *510:51 *5417:30 4.49608e-05 +29 *1543:11 *5417:30 7.50722e-05 +30 *2287:53 *5417:22 0.000239827 +31 *2319:26 *20961:A1 1.77405e-05 +32 *2391:89 *5417:26 7.67332e-05 +33 *2421:78 *5417:26 1.66771e-05 +34 *2423:93 *5417:30 0.000378743 +35 *2468:107 *5417:30 0.000163982 +36 *2530:52 *5417:22 0.000845088 +37 *2635:35 *20961:A1 5.23101e-05 +38 *2635:35 *5417:5 7.62484e-05 +39 *2813:30 *5417:22 0 +40 *3011:24 *5417:22 0.000101601 +41 *3011:30 *5417:22 0 +42 *4812:80 *5417:5 6.39068e-05 +43 *4818:16 *5417:22 0.000792618 +44 *4818:16 *5417:26 2.10564e-05 +45 *4825:102 *5417:30 0.001172 +46 *4844:207 *5417:26 0.000341838 +47 *4844:214 *5417:26 0.00155457 +48 *4844:214 *5417:30 1.35134e-05 +49 *4873:26 *5417:22 0.000150398 +50 *4873:26 *5417:26 0.000429757 +51 *4903:119 *20961:A1 1.19726e-05 +52 *4903:126 *20961:A1 7.68538e-06 +53 *5056:9 *5417:26 0.000122844 +*RES +1 *24297:Q *5417:5 16.0732 +2 *5417:5 *20961:A1 27.3527 +3 *5417:5 *5417:22 42.3979 +4 *5417:22 *5417:26 43.6015 +5 *5417:26 *5417:30 44.7184 +6 *5417:30 *21637:A 9.24915 +*END + +*D_NET *5418 0.00211121 +*CONN +*I *21574:A I *D sky130_fd_sc_hd__inv_2 +*I *20960:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24298:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21574:A 0 +2 *20960:A1 7.30219e-05 +3 *24298:Q 0.000685192 +4 *5418:10 0.000758214 +5 *20960:A1 *5853:227 0.000116986 +6 *20960:A1 *5862:521 0.000198759 +7 *5418:10 *5862:536 3.91317e-05 +8 *24298:D *5418:10 6.56365e-05 +9 *1742:86 *5418:10 3.74542e-05 +10 *1742:93 *5418:10 8.72537e-06 +11 *4820:83 *5418:10 0.000122834 +12 *4903:7 *5418:10 5.25497e-06 +*RES +1 *24298:Q *5418:10 28.8449 +2 *5418:10 *20960:A1 12.3839 +3 *5418:10 *21574:A 9.24915 +*END + +*D_NET *5419 0.00220564 +*CONN +*I *20959:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21401:A I *D sky130_fd_sc_hd__inv_2 +*I *24299:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20959:A1 0 +2 *21401:A 0.000202938 +3 *24299:Q 0.000334852 +4 *5419:9 0.00053779 +5 *21401:A *24770:RESET_B 0 +6 *21401:A *5859:155 1.54577e-05 +7 *21401:A *5862:491 4.2372e-05 +8 *5419:9 *5859:155 7.11474e-06 +9 *20959:A2 *5419:9 0.000251655 +10 *20959:B1 *21401:A 6.08467e-05 +11 *20959:B2 *21401:A 3.54024e-05 +12 *20960:A2 *5419:9 0.000251669 +13 *24299:D *5419:9 0.000111708 +14 *478:54 *5419:9 9.85369e-05 +15 *2161:25 *5419:9 0.000154145 +16 *2433:20 *5419:9 0.000101148 +*RES +1 *24299:Q *5419:9 28.0144 +2 *5419:9 *21401:A 13.8789 +3 *5419:9 *20959:A1 9.24915 +*END + +*D_NET *5420 0.00252982 +*CONN +*I *21311:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20958:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24300:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21311:A 5.43396e-05 +2 *20958:A1 0.000144543 +3 *24300:Q 0.00085969 +4 *5420:5 0.00105857 +5 *21311:A *5855:178 0.000144156 +6 *5420:5 *24300:RESET_B 1.8696e-05 +7 *20958:A2 *20958:A1 1.61606e-05 +8 *20962:B2 *20958:A1 0 +9 *24300:D *20958:A1 1.03434e-05 +10 *24300:D *5420:5 7.63448e-05 +11 *4820:83 *21311:A 0.000144156 +12 *4903:166 *20958:A1 1.4091e-06 +13 *4903:166 *5420:5 1.4091e-06 +*RES +1 *24300:Q *5420:5 21.0646 +2 *5420:5 *20958:A1 11.9257 +3 *5420:5 *21311:A 20.9116 +*END + +*D_NET *5421 0.00259829 +*CONN +*I *20957:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21182:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24301:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20957:A1 0 +2 *21182:A 9.04335e-05 +3 *24301:Q 0.000259681 +4 *5421:9 0.000350115 +5 *21182:A *5855:166 0.000261023 +6 *5421:9 *5855:166 0.000538037 +7 *20957:A2 *5421:9 0.000247443 +8 *20957:B2 *21182:A 6.40572e-05 +9 *20957:B2 *5421:9 1.15389e-05 +10 *20961:A2 *5421:9 0.000496444 +11 *20961:B2 *5421:9 0.000107496 +12 *2473:39 *5421:9 8.60109e-05 +13 *4844:183 *5421:9 8.60109e-05 +*RES +1 *24301:Q *5421:9 29.1236 +2 *5421:9 *21182:A 12.7697 +3 *5421:9 *20957:A1 9.24915 +*END + +*D_NET *5422 0.00263854 +*CONN +*I *20988:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19811:A I *D sky130_fd_sc_hd__inv_2 +*I *24276:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20988:A1 0.000304316 +2 *19811:A 0.000215785 +3 *24276:Q 0.000142457 +4 *5422:8 0.000662557 +5 *19811:A *5858:8 4.23937e-05 +6 *5422:8 *5858:8 3.60268e-05 +7 *20650:A2 *19811:A 0.000247216 +8 *20988:A2 *20988:A1 2.16355e-05 +9 *2024:17 *19811:A 0.000158353 +10 *2024:17 *5422:8 9.75356e-05 +11 *2231:6 *19811:A 8.82194e-05 +12 *2883:11 *20988:A1 9.79209e-05 +13 *4106:72 *19811:A 0.000524122 +*RES +1 *24276:Q *5422:8 16.8591 +2 *5422:8 *19811:A 24.7167 +3 *5422:8 *20988:A1 20.0186 +*END + +*D_NET *5423 0.00300216 +*CONN +*I *22026:A I *D sky130_fd_sc_hd__inv_2 +*I *20987:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24277:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22026:A 0.000164858 +2 *20987:A1 0.000988862 +3 *24277:Q 0 +4 *5423:4 0.00115372 +5 *20987:A1 *24277:RESET_B 4.22325e-05 +6 *20987:A1 *5858:686 2.85531e-06 +7 *22026:A *24277:RESET_B 1.58551e-05 +8 *22026:A *5858:8 7.77309e-06 +9 *20987:A2 *20987:A1 1.43848e-05 +10 *22013:A *20987:A1 0 +11 *24277:D *20987:A1 0.000155087 +12 *1569:9 *22026:A 0.000252327 +13 *4106:72 *22026:A 0.000196638 +14 *4739:36 *22026:A 7.56859e-06 +*RES +1 *24277:Q *5423:4 9.24915 +2 *5423:4 *20987:A1 23.5724 +3 *5423:4 *22026:A 23.8507 +*END + +*D_NET *5424 0.00208194 +*CONN +*I *20945:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19857:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24307:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20945:A1 1.96433e-05 +2 *19857:A 0.000107626 +3 *24307:Q 0.000467264 +4 *5424:13 0.000594534 +5 *20945:A1 *20945:B1 6.64392e-05 +6 *5424:13 *20945:B1 6.47364e-05 +7 *5424:13 *5862:441 8.08437e-05 +8 *20945:A2 *19857:A 0.000105826 +9 *20945:A2 *5424:13 0.000114584 +10 *2421:67 *19857:A 5.87522e-05 +11 *4816:89 *5424:13 0.000317417 +12 *4816:96 *5424:13 8.42687e-05 +*RES +1 *24307:Q *5424:13 28.4846 +2 *5424:13 *19857:A 12.9385 +3 *5424:13 *20945:A1 10.5513 +*END + +*D_NET *5425 0.00184022 +*CONN +*I *21799:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20951:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24304:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21799:A 0.000190198 +2 *20951:A1 3.07534e-05 +3 *24304:Q 0.000341908 +4 *5425:8 0.000562859 +5 *20951:A1 *20951:B1 5.04829e-06 +6 *20951:A1 *5960:47 2.16608e-05 +7 *21799:A *5745:33 0 +8 *21799:A *5871:119 0.000254213 +9 *5425:8 *20951:B1 2.97479e-05 +10 *5425:8 *24304:SET_B 0.000156748 +11 *5425:8 *24667:RESET_B 8.41713e-05 +12 *5425:8 *5856:436 2.75814e-05 +13 *5425:8 *5871:119 1.21643e-05 +14 *20951:A2 *21799:A 1.13617e-05 +15 *24304:D *20951:A1 0.000111802 +*RES +1 *24304:Q *5425:8 19.9095 +2 *5425:8 *20951:A1 15.0271 +3 *5425:8 *21799:A 19.3673 +*END + +*D_NET *5426 0.0013034 +*CONN +*I *21621:A I *D sky130_fd_sc_hd__inv_2 +*I *20950:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24305:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21621:A 7.98664e-05 +2 *20950:A1 0.000134532 +3 *24305:Q 0.000183717 +4 *5426:7 0.000398115 +5 *20950:A1 *24305:RESET_B 2.25948e-05 +6 *21621:A *24305:RESET_B 3.73525e-05 +7 *24305:D *20950:A1 7.50722e-05 +8 *24305:CLK *5426:7 0.000108071 +9 *2159:29 *20950:A1 0 +10 *2970:8 *20950:A1 0.000205864 +11 *2970:8 *21621:A 5.8218e-05 +*RES +1 *24305:Q *5426:7 16.691 +2 *5426:7 *20950:A1 18.9764 +3 *5426:7 *21621:A 16.4116 +*END + +*D_NET *5427 0.00218602 +*CONN +*I *21584:A I *D sky130_fd_sc_hd__inv_2 +*I *20949:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24306:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21584:A 0 +2 *20949:A1 0.0003667 +3 *24306:Q 0.000529266 +4 *5427:10 0.000895967 +5 *20949:A1 *24305:RESET_B 4.47713e-05 +6 *5427:10 *20949:B1 0.000148817 +7 *5427:10 *5871:131 4.72779e-05 +8 *2158:12 *20949:A1 0.000153225 +*RES +1 *24306:Q *5427:10 27.3204 +2 *5427:10 *20949:A1 27.1811 +3 *5427:10 *21584:A 9.24915 +*END + +*D_NET *5428 0.00239491 +*CONN +*I *20944:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22050:A I *D sky130_fd_sc_hd__inv_2 +*I *24308:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20944:A1 0.000176869 +2 *22050:A 0.000168998 +3 *24308:Q 0.000276827 +4 *5428:5 0.000622694 +5 *20944:A1 *20944:B1 6.53173e-05 +6 *20944:A1 *5924:40 0.000193228 +7 *5428:5 *24464:SET_B 7.82422e-05 +8 *5428:5 *5862:368 0.000110949 +9 *20944:A2 *22050:A 3.53797e-05 +10 *24308:CLK *22050:A 0.000160617 +11 *2355:17 *22050:A 0.000178703 +12 *2355:17 *5428:5 0.000133859 +13 *4872:78 *20944:A1 0.000193228 +*RES +1 *24308:Q *5428:5 16.9051 +2 *5428:5 *22050:A 15.1569 +3 *5428:5 *20944:A1 23.99 +*END + +*D_NET *5429 0.00274231 +*CONN +*I *21761:A I *D sky130_fd_sc_hd__inv_2 +*I *20943:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24309:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21761:A 0.00028838 +2 *20943:A1 2.32465e-05 +3 *24309:Q 0.000780132 +4 *5429:15 0.00109176 +5 *24309:D *5429:15 5.53541e-05 +6 *24470:CLK *5429:15 7.12207e-05 +7 *2156:46 *20943:A1 3.01683e-06 +8 *2156:46 *21761:A 8.08828e-06 +9 *2156:46 *5429:15 1.21461e-06 +10 *2520:53 *5429:15 0.000292238 +11 *2777:7 *21761:A 2.57847e-05 +12 *4816:96 *5429:15 2.98286e-05 +13 *4904:122 *5429:15 7.20484e-05 +*RES +1 *24309:Q *5429:15 34.6161 +2 *5429:15 *20943:A1 10.2378 +3 *5429:15 *21761:A 15.0604 +*END + +*D_NET *5430 0.00317728 +*CONN +*I *20942:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21631:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24310:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20942:A1 0.000824197 +2 *21631:A 3.83993e-05 +3 *24310:Q 0.000332187 +4 *5430:8 0.00119478 +5 *20942:A1 *20941:B1 0.000160481 +6 *21631:A *5862:329 2.88498e-05 +7 *5430:8 *20942:B1 6.19989e-05 +8 *5430:8 *5862:329 5.61803e-05 +9 *20941:A2 *20942:A1 0.000123386 +10 *20941:B2 *20942:A1 4.74307e-05 +11 *1418:79 *20942:A1 2.55661e-06 +12 *2446:145 *5430:8 4.23622e-05 +13 *2503:48 *20942:A1 8.6297e-06 +14 *2679:41 *21631:A 7.47581e-05 +15 *2679:41 *5430:8 0.00018108 +*RES +1 *24310:Q *5430:8 20.7386 +2 *5430:8 *21631:A 15.1659 +3 *5430:8 *20942:A1 35.424 +*END + +*D_NET *5431 0.00375881 +*CONN +*I *20941:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21470:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24311:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20941:A1 0.000276393 +2 *21470:A 9.18865e-05 +3 *24311:Q 0.000706739 +4 *5431:7 0.00107502 +5 *20941:A1 *20941:B1 2.99287e-05 +6 *20941:B2 *20941:A1 6.3657e-05 +7 *24311:D *20941:A1 0.000316242 +8 *1557:113 *21470:A 3.41459e-05 +9 *1573:231 *5431:7 0.000570226 +10 *3306:29 *20941:A1 0.000207515 +11 *3306:29 *21470:A 9.03922e-05 +12 *4906:103 *20941:A1 0.000134859 +13 *4906:115 *20941:A1 7.48435e-05 +14 *4906:115 *21470:A 8.69672e-05 +*RES +1 *24311:Q *5431:7 25.01 +2 *5431:7 *21470:A 16.5698 +3 *5431:7 *20941:A1 23.3722 +*END + +*D_NET *5432 0.00297594 +*CONN +*I *20940:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21415:A I *D sky130_fd_sc_hd__inv_2 +*I *24312:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20940:A1 0.000227456 +2 *21415:A 0.000361296 +3 *24312:Q 0.000184765 +4 *5432:5 0.000773518 +5 *20940:A2 *20940:A1 0.000103482 +6 *20940:B1 *20940:A1 5.04829e-06 +7 *20944:B2 *5432:5 0.000200794 +8 *21998:B2 *20940:A1 9.54357e-06 +9 *24312:D *20940:A1 0.000171273 +10 *24312:CLK *21415:A 0.000124625 +11 *24312:CLK *5432:5 1.15389e-05 +12 *2155:13 *21415:A 8.01837e-05 +13 *2156:67 *20940:A1 0.000167665 +14 *2156:82 *20940:A1 2.13165e-05 +15 *2355:17 *21415:A 7.13972e-05 +16 *2475:18 *21415:A 6.08467e-05 +17 *2676:22 *21415:A 1.43055e-05 +18 *3064:13 *21415:A 4.97617e-05 +19 *3306:32 *21415:A 0.000148144 +20 *4843:312 *20940:A1 0.000188982 +*RES +1 *24312:Q *5432:5 12.191 +2 *5432:5 *21415:A 26.7953 +3 *5432:5 *20940:A1 25.9861 +*END + +*D_NET *5433 0.00428359 +*CONN +*I *21292:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20939:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24313:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21292:A 0.00063758 +2 *20939:A1 0.000322138 +3 *24313:Q 0 +4 *5433:5 0.000959718 +5 *20939:B1 *20939:A1 0.000260962 +6 *20939:B2 *20939:A1 0.00034859 +7 *20945:B2 *20939:A1 0.000446263 +8 *477:177 *21292:A 5.04194e-06 +9 *1635:106 *21292:A 8.28334e-05 +10 *1652:65 *21292:A 6.85305e-05 +11 *1663:27 *21292:A 2.47273e-05 +12 *1688:75 *21292:A 0 +13 *2870:21 *21292:A 0.00111149 +14 *2906:52 *21292:A 1.5714e-05 +15 *4872:78 *20939:A1 0 +*RES +1 *24313:Q *5433:5 13.7491 +2 *5433:5 *20939:A1 24.7601 +3 *5433:5 *21292:A 23.7673 +*END + +*D_NET *5434 0.00313235 +*CONN +*I *20938:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21257:A I *D sky130_fd_sc_hd__inv_2 +*I *24314:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20938:A1 0 +2 *21257:A 0.000486113 +3 *24314:Q 0.000445406 +4 *5434:9 0.000931518 +5 *21257:A *5904:45 7.6719e-06 +6 *5434:9 *5904:45 1.55995e-05 +7 *20937:A *5434:9 0.000239718 +8 *20938:A2 *5434:9 0.000300565 +9 *20938:B1 *21257:A 2.65831e-05 +10 *20938:B1 *5434:9 7.34948e-06 +11 *22028:B2 *21257:A 4.0919e-05 +12 *22712:B1 *21257:A 0.000238578 +13 *1418:79 *5434:9 0.000135973 +14 *1610:74 *21257:A 8.45896e-06 +15 *2301:63 *21257:A 0.000171278 +16 *2452:36 *21257:A 7.66244e-05 +*RES +1 *24314:Q *5434:9 28.7055 +2 *5434:9 *21257:A 28.8956 +3 *5434:9 *20938:A1 9.24915 +*END + +*D_NET *5435 0.0017227 +*CONN +*I *19878:A I *D sky130_fd_sc_hd__inv_2 +*I *20953:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24302:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19878:A 0 +2 *20953:A1 0.000104213 +3 *24302:Q 0.000386512 +4 *5435:10 0.000490726 +5 *20953:A1 *5858:459 0.000130555 +6 *5435:10 *5745:33 0.000136838 +7 *543:33 *5435:10 0.000186143 +8 *2645:12 *5435:10 0.000140451 +9 *4829:23 *20953:A1 0.000147265 +*RES +1 *24302:Q *5435:10 26.0719 +2 *5435:10 *20953:A1 12.9385 +3 *5435:10 *19878:A 9.24915 +*END + +*D_NET *5436 0.00145553 +*CONN +*I *22000:A I *D sky130_fd_sc_hd__inv_2 +*I *20952:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24303:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22000:A 0.000107712 +2 *20952:A1 1.80379e-05 +3 *24303:Q 0.000174167 +4 *5436:9 0.000299917 +5 *20952:A1 *5858:459 1.92336e-05 +6 *20952:A1 *5870:340 0.000113968 +7 *22000:A *5870:341 4.86688e-06 +8 *22000:A *5870:359 2.50627e-05 +9 *5436:9 *5870:340 0.000167076 +10 *5436:9 *5870:341 0.000168473 +11 *20952:A2 *20952:A1 6.92705e-05 +12 *20952:A2 *5436:9 0.000201759 +13 *2158:10 *5436:9 2.55661e-06 +14 *2158:12 *5436:9 3.25182e-05 +15 *2970:8 *22000:A 5.09095e-05 +*RES +1 *24303:Q *5436:9 24.1294 +2 *5436:9 *20952:A1 10.5271 +3 *5436:9 *22000:A 20.9116 +*END + +*D_NET *5437 0.0113427 +*CONN +*I *23942:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *19754:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24835:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *23942:A1 0.000447264 +2 *19754:A1 0.000704823 +3 *24835:Q 0 +4 *5437:23 0.00207781 +5 *5437:4 0.00233537 +6 *23942:A1 *5475:31 1.07248e-05 +7 *23942:A1 *5475:38 0.000648335 +8 *23942:A1 *5488:25 0.000201214 +9 *5437:23 *5443:30 4.47134e-05 +10 *5437:23 *5443:39 0.00059763 +11 *5437:23 *5485:172 1.01177e-05 +12 *19754:A2 *19754:A1 6.78596e-05 +13 *20054:A2 *19754:A1 0.000256703 +14 *22836:B *5437:23 6.08467e-05 +15 *22838:A *5437:23 1.19721e-05 +16 *23943:A0 *23942:A1 0.000100493 +17 *24793:D *5437:23 3.24037e-05 +18 *24835:D *19754:A1 3.99086e-06 +19 *24835:RESET_B *19754:A1 5.37479e-05 +20 *460:16 *5437:23 0.00238477 +21 *1726:6 *23942:A1 0.000644752 +22 *2218:68 *5437:23 3.02534e-05 +23 *4864:11 *5437:23 0.000262112 +24 *4864:13 *19754:A1 0.000239189 +25 *4864:13 *5437:23 0.000115615 +*RES +1 *24835:Q *5437:4 9.24915 +2 *5437:4 *19754:A1 22.9213 +3 *5437:4 *5437:23 49.2541 +4 *5437:23 *23942:A1 31.6773 +*END + +*D_NET *5438 0.0103621 +*CONN +*I *24063:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24061:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22826:B I *D sky130_fd_sc_hd__nand2_1 +*I *22825:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21134:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24025:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *22827:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *24199:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *24063:A1 2.44231e-05 +2 *24061:A0 0.000166593 +3 *22826:B 1.54799e-05 +4 *22825:A 9.5051e-05 +5 *21134:A1 0.000350742 +6 *24025:A1 7.98254e-05 +7 *22827:A2 2.75624e-05 +8 *24199:Q 0.000157078 +9 *5438:61 0.000867411 +10 *5438:45 0.000110531 +11 *5438:43 0.000775824 +12 *5438:26 0.000494993 +13 *5438:17 0.000177863 +14 *5438:8 0.000237857 +15 *21134:A1 *21131:A1 1.71784e-05 +16 *21134:A1 *5473:104 1.68716e-05 +17 *22825:A *5439:30 0.000366603 +18 *22825:A *5485:27 0.000366603 +19 *22826:B *5439:30 0.00011818 +20 *22827:A2 *22827:A1 6.92705e-05 +21 *22827:A2 *5916:35 0.00033061 +22 *24061:A0 *24051:A1 0.000114694 +23 *24063:A1 *24063:A0 6.50727e-05 +24 *24063:A1 *5485:91 0.000102003 +25 *5438:8 *5476:16 0.000162741 +26 *5438:17 *5473:104 8.62625e-06 +27 *5438:17 *5476:16 6.17817e-05 +28 *5438:26 *5473:104 9.24241e-05 +29 *5438:26 *5476:16 8.37979e-05 +30 *5438:43 *5473:104 0.000102647 +31 *5438:43 *5476:16 0.000235022 +32 *5438:61 *24063:A0 4.88955e-05 +33 *5438:61 *5439:56 0.000761245 +34 *5438:61 *5476:16 0.000801623 +35 *5438:61 *5485:91 0.000474864 +36 *21131:A2 *21134:A1 1.90281e-05 +37 *21131:B1 *21134:A1 6.50727e-05 +38 *21131:B2 *21134:A1 0.000128182 +39 *21134:B2 *21134:A1 6.98337e-06 +40 *22828:A *22826:B 6.65878e-05 +41 *24024:A0 *22825:A 5.04829e-06 +42 *24025:A0 *22827:A2 0.00011818 +43 *24199:D *21134:A1 0.000111722 +44 *24200:D *21134:A1 0.000107496 +45 *24200:CLK *21134:A1 5.04829e-06 +46 *24200:CLK *5438:43 3.51249e-05 +47 *24200:CLK *5438:61 9.99386e-06 +48 *24201:CLK *5438:61 9.36754e-05 +49 *463:15 *24061:A0 6.51637e-05 +50 *1587:44 *5438:8 0.000123176 +51 *1690:59 *22827:A2 6.50954e-05 +52 *1690:59 *24025:A1 0.000107496 +53 *1697:28 *22825:A 3.31745e-05 +54 *1697:28 *22826:B 1.27911e-05 +55 *1845:138 *24061:A0 0 +56 *2505:43 *5438:8 7.49459e-05 +57 *3014:56 *5438:8 0 +58 *3014:56 *5438:17 0 +59 *3757:8 *5438:61 7.50722e-05 +60 *3757:17 *5438:61 0.00010836 +61 *3757:26 *5438:61 0.00080953 +62 *4863:114 *24061:A0 0.000107496 +63 *4878:40 *24061:A0 2.56521e-05 +*RES +1 *24199:Q *5438:8 19.7687 +2 *5438:8 *22827:A2 17.2456 +3 *5438:8 *5438:17 1.41674 +4 *5438:17 *24025:A1 15.0271 +5 *5438:17 *5438:26 1.832 +6 *5438:26 *21134:A1 23.1774 +7 *5438:26 *5438:43 4.32351 +8 *5438:43 *5438:45 4.5 +9 *5438:45 *22825:A 14.4094 +10 *5438:45 *22826:B 10.5271 +11 *5438:43 *5438:61 33.2303 +12 *5438:61 *24061:A0 22.1896 +13 *5438:61 *24063:A1 10.5271 +*END + +*D_NET *5439 0.00986419 +*CONN +*I *24063:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24051:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24026:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21131:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22826:A I *D sky130_fd_sc_hd__nand2_1 +*I *22827:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *24200:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *24063:A0 2.64455e-05 +2 *24051:A1 0.000277163 +3 *24026:A1 1.82692e-05 +4 *21131:A1 0.000191007 +5 *22826:A 0 +6 *22827:A1 9.20315e-05 +7 *24200:Q 0.000603676 +8 *5439:56 0.000872129 +9 *5439:44 0.000869979 +10 *5439:30 0.000679269 +11 *5439:21 0.000333737 +12 *5439:7 0.000824371 +13 *22827:A1 *5473:104 1.92172e-05 +14 *22827:A1 *5916:35 1.92172e-05 +15 *24051:A1 *24051:A0 0.000113968 +16 *24051:A1 *24053:A0 3.31882e-05 +17 *5439:44 *5440:13 2.82537e-05 +18 *5439:44 *5440:29 1.4426e-05 +19 *5439:56 *5440:29 0.000222462 +20 *5439:56 *5440:38 0.000137739 +21 *5439:56 *5476:26 0.000108386 +22 *21128:B1 *5439:56 0.000135644 +23 *21131:A2 *21131:A1 0.00015574 +24 *21131:B1 *21131:A1 2.65831e-05 +25 *21131:B2 *21131:A1 1.03434e-05 +26 *21134:A1 *21131:A1 1.71784e-05 +27 *22825:A *5439:30 0.000366603 +28 *22826:B *5439:30 0.00011818 +29 *22827:A2 *22827:A1 6.92705e-05 +30 *22827:B1 *22827:A1 0.000250814 +31 *22827:B1 *5439:21 6.43474e-05 +32 *22828:A *5439:30 4.94582e-05 +33 *24026:A0 *5439:44 0.000242432 +34 *24061:A0 *24051:A1 0.000114694 +35 *24063:A1 *24063:A0 6.50727e-05 +36 *24200:CLK *5439:21 2.68066e-05 +37 *463:15 *24051:A1 9.36612e-05 +38 *463:15 *24063:A0 4.31703e-05 +39 *463:15 *5439:56 4.9933e-05 +40 *1459:39 *24051:A1 1.65872e-05 +41 *1690:56 *22827:A1 0.000222669 +42 *1690:56 *5439:21 7.50872e-05 +43 *1690:59 *22827:A1 6.50727e-05 +44 *1697:22 *5439:7 9.19632e-06 +45 *1845:138 *24051:A1 1.37874e-05 +46 *1845:138 *5439:56 0.000371767 +47 *2469:19 *24051:A1 6.23875e-05 +48 *2561:26 *24051:A1 0 +49 *2922:33 *5439:44 0.000371733 +50 *2922:33 *5439:56 4.6348e-05 +51 *3014:56 *22827:A1 7.77309e-06 +52 *3014:56 *5439:21 2.82537e-05 +53 *3177:111 *21131:A1 0 +54 *3177:111 *24026:A1 6.27718e-05 +55 *3177:111 *5439:44 0 +56 *3757:8 *5439:30 0.000169041 +57 *4863:128 *21131:A1 8.15803e-05 +58 *4863:128 *5439:44 4.34932e-05 +59 *4864:124 *24026:A1 2.16355e-05 +60 *5438:61 *24063:A0 4.88955e-05 +61 *5438:61 *5439:56 0.000761245 +*RES +1 *24200:Q *5439:7 21.6824 +2 *5439:7 *22827:A1 19.3507 +3 *5439:7 *5439:21 7.8953 +4 *5439:21 *22826:A 9.24915 +5 *5439:21 *5439:30 11.3481 +6 *5439:30 *21131:A1 19.5141 +7 *5439:30 *5439:44 10.0393 +8 *5439:44 *24026:A1 14.4725 +9 *5439:44 *5439:56 26.8678 +10 *5439:56 *24051:A1 26.4815 +11 *5439:56 *24063:A0 10.5271 +*END + +*D_NET *5440 0.0102443 +*CONN +*I *24053:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *22831:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *24051:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24027:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *22830:A I *D sky130_fd_sc_hd__and3_1 +*I *21128:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22829:B1 I *D sky130_fd_sc_hd__o2bb2a_1 +*I *22829:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *24201:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *24053:A1 0.000293443 +2 *22831:A1 0 +3 *24051:A0 0.000249825 +4 *24027:A1 0 +5 *22830:A 7.39971e-05 +6 *21128:A1 6.70156e-05 +7 *22829:B1 5.5685e-05 +8 *22829:A1_N 0 +9 *24201:Q 0.000836837 +10 *5440:67 0.000612478 +11 *5440:50 0.000354163 +12 *5440:47 0.000520064 +13 *5440:38 0.000466429 +14 *5440:29 0.000533645 +15 *5440:13 0.000267901 +16 *5440:8 0.00121994 +17 *21128:A1 *24026:S 2.41274e-06 +18 *24051:A0 *24027:S 6.08467e-05 +19 *24051:A0 *24053:A0 0.000179655 +20 *24053:A1 *24053:A0 6.08467e-05 +21 *5440:29 *24026:S 0.00013791 +22 *5440:38 *5476:26 4.3663e-05 +23 *5440:47 *5476:26 0.000206431 +24 *5440:50 *21125:A1 6.50727e-05 +25 *5440:50 *24053:A0 0.000207266 +26 *5440:50 *5441:17 0.000164829 +27 *5440:67 *5476:26 0.000141001 +28 *21125:B1 *22830:A 6.73351e-05 +29 *21128:A2 *21128:A1 2.16355e-05 +30 *21156:A *5440:8 0.000139931 +31 *22831:A2 *24053:A1 6.50727e-05 +32 *22831:A2 *5440:67 1.65872e-05 +33 *22832:B *24053:A1 2.41483e-05 +34 *22832:B *5440:67 7.92757e-06 +35 *24026:A0 *5440:13 0.000238835 +36 *24027:A0 *24053:A1 0.000113968 +37 *24051:A1 *24051:A0 0.000113968 +38 *24052:A0 *24053:A1 0.000164843 +39 *24201:D *5440:29 4.17508e-05 +40 *24201:D *5440:38 3.31882e-05 +41 *24201:RESET_B *5440:8 0.000154404 +42 *24201:CLK *5440:8 1.43698e-05 +43 *24201:CLK *5440:38 0.000177761 +44 *24201:CLK *5440:47 8.61913e-05 +45 *24201:CLK *5440:67 3.63743e-05 +46 *1459:39 *24051:A0 0.000118166 +47 *1755:21 *21128:A1 2.77625e-06 +48 *2469:11 *5440:67 7.85874e-06 +49 *2469:19 *24051:A0 7.6719e-06 +50 *2803:41 *22829:B1 0.000289953 +51 *2803:41 *5440:13 0.000183822 +52 *2922:19 *22829:B1 4.0752e-05 +53 *2922:33 *22829:B1 0.00017409 +54 *2922:33 *5440:13 0.00018802 +55 *3014:69 *24051:A0 1.41976e-05 +56 *3014:69 *5440:50 9.54448e-05 +57 *3177:111 *5440:8 6.27718e-05 +58 *3177:111 *5440:13 0.000112747 +59 *3177:111 *5440:29 1.37421e-05 +60 *4864:124 *5440:8 4.02079e-05 +61 *4867:8 *5440:67 2.33193e-05 +62 *4867:20 *5440:50 2.44829e-05 +63 *4876:74 *24053:A1 0.000101718 +64 *5439:44 *5440:13 2.82537e-05 +65 *5439:44 *5440:29 1.4426e-05 +66 *5439:56 *5440:29 0.000222462 +67 *5439:56 *5440:38 0.000137739 +*RES +1 *24201:Q *5440:8 26.852 +2 *5440:8 *5440:13 12.5161 +3 *5440:13 *22829:A1_N 9.24915 +4 *5440:13 *22829:B1 12.5466 +5 *5440:8 *5440:29 6.0578 +6 *5440:29 *21128:A1 15.0271 +7 *5440:29 *5440:38 8.89128 +8 *5440:38 *22830:A 15.5817 +9 *5440:38 *5440:47 3.90826 +10 *5440:47 *5440:50 9.10562 +11 *5440:50 *24027:A1 9.24915 +12 *5440:50 *24051:A0 17.2065 +13 *5440:47 *5440:67 10.6589 +14 *5440:67 *22831:A1 9.24915 +15 *5440:67 *24053:A1 17.7611 +*END + +*D_NET *5441 0.00815955 +*CONN +*I *24053:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24055:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24021:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *22831:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *22830:C I *D sky130_fd_sc_hd__and3_1 +*I *21125:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24202:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *24053:A0 0.000315263 +2 *24055:A1 0.00021549 +3 *24021:A1 3.00134e-05 +4 *22831:B1 0 +5 *22830:C 0.000120887 +6 *21125:A1 0.000215927 +7 *24202:Q 0 +8 *5441:38 0.000727875 +9 *5441:29 0.00055689 +10 *5441:19 0.000195406 +11 *5441:17 0.000379675 +12 *5441:4 0.000280339 +13 *21125:A1 *5476:26 0.000189012 +14 *24021:A1 *24021:S 6.08467e-05 +15 *24021:A1 *5476:34 0.000107496 +16 *24053:A0 *24027:S 6.08467e-05 +17 *24055:A1 *24022:A1 5.74336e-05 +18 *24055:A1 *24055:A0 1.92172e-05 +19 *24055:A1 *5442:19 0.000173047 +20 *24055:A1 *5476:34 6.50727e-05 +21 *21124:A *21125:A1 0.000268798 +22 *21125:B1 *21125:A1 0.000108054 +23 *21125:B1 *22830:C 0.000120685 +24 *22831:A2 *22830:C 8.27671e-05 +25 *22831:A2 *5441:29 0.000216103 +26 *22831:A2 *5441:38 1.07248e-05 +27 *22835:A *24055:A1 6.08467e-05 +28 *24051:A0 *24053:A0 0.000179655 +29 *24051:A1 *24053:A0 3.31882e-05 +30 *24052:A0 *24053:A0 7.86847e-05 +31 *24053:A1 *24053:A0 6.08467e-05 +32 *24056:A0 *24055:A1 7.68538e-06 +33 *24201:CLK *22830:C 2.85176e-05 +34 *24201:CLK *5441:29 2.65e-05 +35 *24202:D *21125:A1 1.2693e-05 +36 *24202:RESET_B *21125:A1 9.70894e-05 +37 *463:15 *24053:A0 9.57557e-06 +38 *1422:13 *24055:A1 7.34948e-06 +39 *1459:42 *24053:A0 0.000101118 +40 *1522:21 *24055:A1 0.000263561 +41 *1668:59 *5441:38 0.000370242 +42 *2469:19 *24053:A0 0.00046622 +43 *2561:26 *24053:A0 0 +44 *2922:33 *21125:A1 1.89331e-05 +45 *3014:69 *5441:29 0.000148144 +46 *3014:69 *5441:38 0.00091188 +47 *3757:26 *22830:C 0.000111326 +48 *3761:7 *24021:A1 1.65872e-05 +49 *3761:7 *24055:A1 0.000125893 +50 *4867:20 *21125:A1 7.98171e-06 +51 *5440:50 *21125:A1 6.50727e-05 +52 *5440:50 *24053:A0 0.000207266 +53 *5440:50 *5441:17 0.000164829 +*RES +1 *24202:Q *5441:4 9.24915 +2 *5441:4 *21125:A1 26.5166 +3 *5441:4 *5441:17 1.8326 +4 *5441:17 *5441:19 4.5 +5 *5441:19 *22830:C 18.062 +6 *5441:19 *5441:29 3.90826 +7 *5441:29 *22831:B1 13.7491 +8 *5441:29 *5441:38 21.6963 +9 *5441:38 *24021:A1 10.5271 +10 *5441:38 *24055:A1 19.0391 +11 *5441:17 *24053:A0 29.9835 +*END + +*D_NET *5442 0.00916132 +*CONN +*I *21122:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24065:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24055:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22833:A I *D sky130_fd_sc_hd__nand2_1 +*I *22834:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *24022:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24203:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21122:A1 0.000508532 +2 *24065:A1 3.61632e-05 +3 *24055:A0 1.4552e-05 +4 *22833:A 1.90605e-05 +5 *22834:A1 4.60805e-05 +6 *24022:A1 0.000578722 +7 *24203:Q 0.000228876 +8 *5442:39 0.000175882 +9 *5442:19 0.000820524 +10 *5442:18 0.000347255 +11 *5442:13 0.000738501 +12 *5442:8 0.0012381 +13 *24022:A1 *24021:S 8.44896e-05 +14 *24022:A1 *5476:34 0.000307037 +15 *24022:A1 *5485:172 2.16355e-05 +16 *24065:A1 *24065:A0 6.08467e-05 +17 *5442:13 *24065:A0 0.000268954 +18 *19586:B1_N *24065:A1 1.65872e-05 +19 *21122:A2 *21122:A1 6.78549e-05 +20 *22833:B *22833:A 4.80635e-06 +21 *22834:A2 *22834:A1 2.65667e-05 +22 *22835:A *24022:A1 3.07848e-05 +23 *24055:A1 *24022:A1 5.74336e-05 +24 *24055:A1 *24055:A0 1.92172e-05 +25 *24055:A1 *5442:19 0.000173047 +26 *24056:A0 *24055:A0 6.08467e-05 +27 *24056:A0 *5442:19 0.000166937 +28 *24066:A0 *5442:13 3.78098e-05 +29 *24203:RESET_B *5442:8 0.000107496 +30 *24797:D *21122:A1 3.82228e-05 +31 *448:15 *21122:A1 0.000166937 +32 *460:8 *5442:39 0.000207394 +33 *474:6 *5442:13 0 +34 *1459:42 *5442:13 0 +35 *1459:42 *5442:18 0.000351215 +36 *1485:84 *5442:39 6.50586e-05 +37 *1485:105 *5442:39 0.000186445 +38 *1705:20 *5442:8 0.000171908 +39 *2561:26 *5442:8 0.000281505 +40 *2561:26 *5442:13 0.000831533 +41 *2561:26 *5442:18 0 +42 *2937:11 *24065:A1 3.31745e-05 +43 *2937:11 *5442:13 0.000120757 +44 *3014:72 *5442:8 3.44169e-05 +45 *3014:72 *5442:13 0.000134668 +46 *3758:18 *22834:A1 3.82228e-05 +47 *3758:18 *5442:39 4.0752e-05 +48 *4876:83 *5442:39 7.77309e-06 +49 *5082:43 *5442:39 1.41853e-05 +50 *5082:57 *22834:A1 0.000158357 +51 *5082:57 *5442:39 1.41976e-05 +*RES +1 *24203:Q *5442:8 20.5964 +2 *5442:8 *5442:13 22.9771 +3 *5442:13 *5442:18 15.3998 +4 *5442:18 *5442:19 4.60562 +5 *5442:19 *24022:A1 20.3412 +6 *5442:19 *5442:39 14.1863 +7 *5442:39 *22834:A1 11.0817 +8 *5442:39 *22833:A 9.82786 +9 *5442:18 *24055:A0 9.97254 +10 *5442:13 *24065:A1 10.5271 +11 *5442:8 *21122:A1 24.4795 +*END + +*D_NET *5443 0.00815437 +*CONN +*I *24016:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24058:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24065:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *22836:A I *D sky130_fd_sc_hd__nand2_1 +*I *22837:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *21119:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24204:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *24016:A1 0.000615417 +2 *24058:A1 4.18661e-05 +3 *24065:A0 0.000199144 +4 *22836:A 0 +5 *22837:A1 6.73811e-05 +6 *21119:A1 0.000185692 +7 *24204:Q 0.000229838 +8 *5443:39 0.000533771 +9 *5443:30 0.00037831 +10 *5443:25 0.000852124 +11 *5443:10 0.000253073 +12 *5443:8 0.000380995 +13 *24016:A1 *22839:A 0.000205101 +14 *24016:A1 *24016:S 3.30162e-05 +15 *24016:A1 *5485:172 0.000103188 +16 *5443:8 *22102:A2 0.00026468 +17 *19586:B1_N *24065:A0 0.000116755 +18 *21116:B1 *24016:A1 1.83795e-06 +19 *21119:B2 *21119:A1 6.27744e-05 +20 *22836:B *5443:30 6.08467e-05 +21 *22837:B1 *24016:A1 2.95757e-05 +22 *22837:B1 *5443:8 3.31733e-05 +23 *22837:B1 *5443:25 0.000369375 +24 *22838:A *24016:A1 0.000273032 +25 *22838:A *5443:30 6.08467e-05 +26 *22839:B *24016:A1 2.20702e-05 +27 *24022:A0 *5443:25 0.000146645 +28 *24065:A1 *24065:A0 6.08467e-05 +29 *1485:105 *24058:A1 9.35069e-05 +30 *1485:105 *5443:39 0.000248745 +31 *1699:16 *5443:8 0 +32 *1699:16 *5443:25 0 +33 *1699:20 *24016:A1 7.46648e-06 +34 *1699:20 *5443:25 8.18789e-05 +35 *1725:33 *24016:A1 2.53624e-06 +36 *1725:33 *5443:8 7.31951e-05 +37 *2219:46 *21119:A1 0.000108071 +38 *2219:46 *5443:8 3.77206e-05 +39 *2219:59 *21119:A1 3.01683e-06 +40 *2937:11 *24065:A0 4.00959e-05 +41 *3762:5 *5443:30 9.75243e-05 +42 *4876:83 *24058:A1 8.63646e-05 +43 *4876:83 *5443:39 0.000252342 +44 *5082:57 *21119:A1 0.000317756 +45 *5082:57 *22837:A1 0.000211478 +46 *5437:23 *5443:30 4.47134e-05 +47 *5437:23 *5443:39 0.00059763 +48 *5442:13 *24065:A0 0.000268954 +*RES +1 *24204:Q *5443:8 20.5992 +2 *5443:8 *5443:10 4.5 +3 *5443:10 *21119:A1 16.0973 +4 *5443:10 *22837:A1 11.6364 +5 *5443:8 *5443:25 6.81502 +6 *5443:25 *5443:30 7.46592 +7 *5443:30 *22836:A 9.24915 +8 *5443:30 *5443:39 15.6475 +9 *5443:39 *24065:A0 19.464 +10 *5443:39 *24058:A1 15.5811 +11 *5443:25 *24016:A1 26.1424 +*END + +*D_NET *5444 0.0049481 +*CONN +*I *21116:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22840:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *24058:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24060:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *22839:A I *D sky130_fd_sc_hd__nand2_1 +*I *22842:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *24023:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24205:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21116:A1 0 +2 *22840:A1 2.64699e-05 +3 *24058:A0 0.000200792 +4 *24060:A1 0.000125648 +5 *22839:A 9.1699e-05 +6 *22842:A1 1.81917e-05 +7 *24023:A1 0.000106127 +8 *24205:Q 0.000182207 +9 *5444:47 0.000470686 +10 *5444:36 0.000333316 +11 *5444:32 0.000286028 +12 *5444:21 0.000479381 +13 *5444:14 0.000459415 +14 *5444:9 0.000236492 +15 *22839:A *24016:S 2.55558e-05 +16 *22842:A1 *22841:A 1.09551e-05 +17 *24058:A0 *5485:148 0.000177066 +18 *5444:14 *5791:23 9.49244e-05 +19 *5444:32 *22841:A 6.08467e-05 +20 *5444:32 *24060:A0 7.14746e-05 +21 *5444:32 *5485:191 1.51658e-05 +22 *5444:36 *5485:172 1.37367e-05 +23 *5444:36 *5485:191 2.19131e-05 +24 *5444:47 *24016:S 4.58003e-05 +25 *5444:47 *5485:148 6.63489e-05 +26 *22838:A *5444:36 9.12416e-06 +27 *22839:B *22839:A 3.01146e-05 +28 *22842:A3 *5444:21 1.82679e-05 +29 *22842:A3 *5444:32 7.92757e-06 +30 *22842:B2 *22840:A1 2.65667e-05 +31 *22842:B2 *5444:32 8.98279e-05 +32 *24016:A1 *22839:A 0.000205101 +33 *24023:A0 *24023:A1 0.000164829 +34 *24023:A0 *5444:21 0.000200794 +35 *24205:D *5444:9 7.99425e-05 +36 *448:15 *24060:A1 6.34998e-05 +37 *475:12 *5444:36 0.000100172 +38 *1515:60 *24060:A1 0.000112467 +39 *1699:20 *5444:32 1.77873e-05 +40 *1699:20 *5444:36 8.06958e-05 +41 *1818:29 *5444:14 3.73831e-05 +42 *2704:53 *24060:A1 4.97407e-05 +43 *2939:13 *5444:21 1.28832e-05 +44 *4867:8 *24060:A1 2.07391e-05 +*RES +1 *24205:Q *5444:9 12.9385 +2 *5444:9 *5444:14 10.832 +3 *5444:14 *24023:A1 11.0817 +4 *5444:14 *5444:21 4.60562 +5 *5444:21 *22842:A1 9.82786 +6 *5444:21 *5444:32 8.4405 +7 *5444:32 *5444:36 7.993 +8 *5444:36 *22839:A 12.7938 +9 *5444:36 *5444:47 3.49641 +10 *5444:47 *24060:A1 22.1574 +11 *5444:47 *24058:A0 14.4094 +12 *5444:32 *22840:A1 14.4725 +13 *5444:9 *21116:A1 9.24915 +*END + +*D_NET *5445 0.00482847 +*CONN +*I *22842:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *22841:A I *D sky130_fd_sc_hd__inv_2 +*I *24060:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *21113:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24206:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22842:B1 4.98177e-06 +2 *22841:A 8.48373e-05 +3 *24060:A0 0.000370724 +4 *21113:A1 0.000125658 +5 *24206:Q 0.000171552 +6 *5445:43 8.98191e-05 +7 *5445:22 0.00100137 +8 *5445:10 0.000927857 +9 *5445:10 *5801:65 1.41976e-05 +10 *5445:10 *5801:73 3.31745e-05 +11 *5445:22 *5485:199 1.29348e-05 +12 *21113:A2 *21113:A1 0.000260235 +13 *21113:A2 *5445:22 0.000186565 +14 *21113:B2 *21113:A1 1.92336e-05 +15 *21122:A2 *5445:22 0.000297132 +16 *22842:A1 *22841:A 1.09551e-05 +17 *22842:A3 *22841:A 5.90172e-05 +18 *22842:A3 *22842:B1 2.7381e-05 +19 *22842:B2 *24060:A0 0.000189318 +20 *22842:B2 *5445:22 3.20069e-06 +21 *448:15 *24060:A0 6.20642e-05 +22 *448:15 *5445:22 0 +23 *1699:20 *24060:A0 0.00012568 +24 *1699:20 *5445:22 0.000310111 +25 *1818:29 *5445:10 0.000154073 +26 *4864:11 *5445:10 0.000154073 +27 *5444:32 *22841:A 6.08467e-05 +28 *5444:32 *24060:A0 7.14746e-05 +*RES +1 *24206:Q *5445:10 22.6049 +2 *5445:10 *21113:A1 12.7456 +3 *5445:10 *5445:22 17.8873 +4 *5445:22 *24060:A0 31.2652 +5 *5445:22 *5445:43 4.5 +6 *5445:43 *22841:A 12.2392 +7 *5445:43 *22842:B1 9.57467 +*END + +*D_NET *5446 0.00620106 +*CONN +*I *21098:A I *D sky130_fd_sc_hd__and3_1 +*I *24075:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19775:B I *D sky130_fd_sc_hd__or3_2 +*I *20106:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *20059:A I *D sky130_fd_sc_hd__clkinv_2 +*I *24207:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21098:A 0 +2 *24075:A0 9.52583e-05 +3 *19775:B 0.000139015 +4 *20106:A2 3.07124e-05 +5 *20059:A 0 +6 *24207:Q 0.000116374 +7 *5446:32 0.000287566 +8 *5446:23 0.000560575 +9 *5446:20 0.000962678 +10 *5446:8 0.000602483 +11 *19775:B *5447:80 0.000213725 +12 *20106:A2 *20106:B1 6.08467e-05 +13 *24075:A0 *5448:64 0.000211478 +14 *5446:8 *5447:25 0.000172691 +15 *5446:20 *21098:C 4.65865e-05 +16 *5446:20 *5447:25 0.00068049 +17 *5446:20 *5447:46 0.000207379 +18 *5446:20 *5447:55 2.95757e-05 +19 *5446:20 *5448:10 5.41377e-05 +20 *5446:20 *5448:35 0.000137404 +21 *5446:23 *24028:A1 1.59078e-05 +22 *5446:23 *5447:46 3.67528e-06 +23 *5446:23 *5447:55 1.81988e-05 +24 *5446:23 *5449:27 0 +25 *5446:32 *24028:S 0 +26 *21099:B1 *5446:20 9.74251e-05 +27 *21102:A *5446:8 0 +28 *21102:A *5446:20 0 +29 *22120:D *24075:A0 5.24081e-05 +30 *484:33 *24075:A0 6.92705e-05 +31 *1813:23 *5446:20 0 +32 *1839:12 *24075:A0 1.17096e-05 +33 *1839:12 *5446:32 1.79672e-05 +34 *1861:18 *5446:23 0.000215905 +35 *1862:15 *24075:A0 6.88675e-05 +36 *1862:15 *5446:23 0.000219436 +37 *1862:15 *5446:32 9.49244e-05 +38 *1862:18 *5446:23 0.000147985 +39 *1862:33 *5446:8 7.98425e-06 +40 *2211:8 *5446:23 8.01687e-05 +41 *2213:7 *5446:8 0.00011818 +42 *2864:43 *5446:20 0 +43 *2951:19 *5446:20 7.32832e-05 +44 *2955:29 *5446:20 0.000213725 +45 *4867:75 *5446:8 6.50586e-05 +*RES +1 *24207:Q *5446:8 18.1049 +2 *5446:8 *20059:A 13.7491 +3 *5446:8 *5446:20 23.8317 +4 *5446:20 *5446:23 19.2048 +5 *5446:23 *20106:A2 14.4725 +6 *5446:23 *5446:32 1.832 +7 *5446:32 *19775:B 16.1364 +8 *5446:32 *24075:A0 17.5531 +9 *5446:20 *21098:A 9.24915 +*END + +*D_NET *5447 0.0136167 +*CONN +*I *20075:A I *D sky130_fd_sc_hd__nor2_1 +*I *24075:S I *D sky130_fd_sc_hd__mux2_1 +*I *19775:A I *D sky130_fd_sc_hd__or3_2 +*I *20122:A I *D sky130_fd_sc_hd__or4_1 +*I *20106:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *20058:A I *D sky130_fd_sc_hd__inv_2 +*I *21098:C I *D sky130_fd_sc_hd__and3_1 +*I *20071:D1 I *D sky130_fd_sc_hd__o2111a_1 +*I *20067:B I *D sky130_fd_sc_hd__and3_1 +*I *21103:A1 I *D sky130_fd_sc_hd__o21ba_1 +*I *24208:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20075:A 8.61474e-05 +2 *24075:S 0 +3 *19775:A 0 +4 *20122:A 0.000121159 +5 *20106:A1 2.86212e-05 +6 *20058:A 0.000206937 +7 *21098:C 8.30758e-06 +8 *20071:D1 4.18702e-05 +9 *20067:B 0 +10 *21103:A1 0 +11 *24208:Q 0.000536895 +12 *5447:98 0.000264752 +13 *5447:80 0.000282524 +14 *5447:75 0.000428239 +15 *5447:64 0.000186859 +16 *5447:55 0.000479886 +17 *5447:46 0.000340681 +18 *5447:37 0.000112892 +19 *5447:36 0.000204713 +20 *5447:28 0.000341978 +21 *5447:25 0.000744124 +22 *5447:14 0.000943339 +23 *20071:D1 *20071:B1 0.000268361 +24 *20071:D1 *20071:C1 5.04829e-06 +25 *20106:A1 *20106:B1 6.08467e-05 +26 *20122:A *20122:C 0.000157707 +27 *20122:A *24028:S 0.000176484 +28 *5447:14 *5810:23 0.000266846 +29 *5447:14 *5929:42 3.42931e-05 +30 *5447:25 *21099:A1 2.21765e-05 +31 *5447:55 *5448:35 0.00054872 +32 *5447:64 *5448:35 0.000158906 +33 *5447:75 *5448:35 7.09666e-06 +34 *5447:75 *5448:57 3.92639e-05 +35 *5447:80 *5448:64 0.000353232 +36 *5447:98 *5448:64 4.0752e-05 +37 *19775:B *5447:80 0.000213725 +38 *20068:B *20071:D1 0.000219466 +39 *20122:B *20122:A 1.41976e-05 +40 *20122:D *20122:A 2.16355e-05 +41 *21102:A *5447:25 0 +42 *21103:B1_N *5447:25 0 +43 *24208:CLK *5447:14 0.000110701 +44 *24209:RESET_B *5447:25 3.34802e-05 +45 *24209:RESET_B *5447:46 3.74738e-05 +46 *24209:CLK *5447:28 0.000307037 +47 *24209:CLK *5447:36 0.000208801 +48 *24743:D *5447:14 0.000331985 +49 *24743:D *5447:25 0.000808875 +50 *484:33 *20075:A 8.65522e-05 +51 *484:33 *5447:98 1.28832e-05 +52 *1813:35 *20075:A 4.58003e-05 +53 *1845:32 *5447:14 0.000120546 +54 *1861:18 *5447:55 0.000532701 +55 *1861:18 *5447:64 0.000151779 +56 *1861:18 *5447:75 0.000130768 +57 *2211:8 *5447:46 0 +58 *2619:29 *20058:A 0.000610561 +59 *2951:19 *21098:C 4.65865e-05 +60 *4867:75 *5447:14 2.6046e-05 +61 *4867:75 *5447:25 0.000180316 +62 *4867:79 *5447:14 0.000706489 +63 *5446:8 *5447:25 0.000172691 +64 *5446:20 *21098:C 4.65865e-05 +65 *5446:20 *5447:25 0.00068049 +66 *5446:20 *5447:46 0.000207379 +67 *5446:20 *5447:55 2.95757e-05 +68 *5446:23 *5447:46 3.67528e-06 +69 *5446:23 *5447:55 1.81988e-05 +*RES +1 *24208:Q *5447:14 43.0933 +2 *5447:14 *21103:A1 13.7491 +3 *5447:14 *5447:25 19.7611 +4 *5447:25 *5447:28 7.99641 +5 *5447:28 *20067:B 9.24915 +6 *5447:28 *5447:36 11.6364 +7 *5447:36 *5447:37 81.1229 +8 *5447:37 *20071:D1 21.4401 +9 *5447:25 *5447:46 3.90826 +10 *5447:46 *21098:C 14.2796 +11 *5447:46 *5447:55 10.9675 +12 *5447:55 *20058:A 20.5732 +13 *5447:55 *5447:64 3.07775 +14 *5447:64 *20106:A1 14.4725 +15 *5447:64 *5447:75 7.1625 +16 *5447:75 *5447:80 5.76305 +17 *5447:80 *20122:A 22.4655 +18 *5447:80 *19775:A 9.24915 +19 *5447:75 *5447:98 3.37585 +20 *5447:98 *24075:S 9.24915 +21 *5447:98 *20075:A 12.2151 +*END + +*D_NET *5448 0.00916056 +*CONN +*I *20067:A I *D sky130_fd_sc_hd__and3_1 +*I *20057:A I *D sky130_fd_sc_hd__inv_2 +*I *20078:A1 I *D sky130_fd_sc_hd__a41o_1 +*I *24075:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *19775:C I *D sky130_fd_sc_hd__or3_2 +*I *20122:C I *D sky130_fd_sc_hd__or4_1 +*I *20106:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *20071:B1 I *D sky130_fd_sc_hd__o2111a_1 +*I *21099:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24209:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20067:A 2.42418e-05 +2 *20057:A 0.000174275 +3 *20078:A1 0.000239149 +4 *24075:A1 0 +5 *19775:C 0 +6 *20122:C 0.000122586 +7 *20106:B1 0.000168989 +8 *20071:B1 0.000182634 +9 *21099:A1 0.000125645 +10 *24209:Q 0 +11 *5448:64 0.000311378 +12 *5448:59 0.000188792 +13 *5448:57 0.000384912 +14 *5448:50 0.000377986 +15 *5448:35 0.000646947 +16 *5448:10 0.000331347 +17 *5448:7 0.000473789 +18 *5448:4 5.49542e-05 +19 *20071:B1 *20071:C1 7.92757e-06 +20 *20071:B1 *5474:60 2.41274e-06 +21 *20122:C *24028:S 1.19971e-05 +22 *20067:C *20071:B1 7.93303e-06 +23 *20068:B *20071:B1 1.27911e-05 +24 *20071:D1 *20071:B1 0.000268361 +25 *20078:A4 *20078:A1 0.000160617 +26 *20078:B1 *20078:A1 2.65667e-05 +27 *20106:A1 *20106:B1 6.08467e-05 +28 *20106:A2 *20106:B1 6.08467e-05 +29 *20122:A *20122:C 0.000157707 +30 *20122:B *20078:A1 0.000160617 +31 *21099:B1 *5448:10 5.05252e-05 +32 *21099:B1 *5448:35 0.000148144 +33 *21103:B1_N *21099:A1 3.67708e-05 +34 *22120:A_N *20071:B1 2.37936e-05 +35 *22120:A_N *20078:A1 5.05703e-05 +36 *22120:A_N *5448:35 0.000205121 +37 *22120:A_N *5448:57 0.000126716 +38 *22120:B_N *20078:A1 0.000211478 +39 *22120:D *20078:A1 7.50872e-05 +40 *24075:A0 *5448:64 0.000211478 +41 *24209:RESET_B *21099:A1 0.000141194 +42 *24209:CLK *20071:B1 0.000736671 +43 *24209:CLK *21099:A1 0.000258142 +44 *24825:D *20078:A1 2.20702e-05 +45 *484:26 *20078:A1 1.70161e-05 +46 *484:33 *20078:A1 3.24632e-05 +47 *484:33 *5448:64 1.92172e-05 +48 *1534:8 *5448:64 1.84293e-05 +49 *1819:23 *20071:B1 2.16355e-05 +50 *1861:18 *20078:A1 5.36536e-06 +51 *1861:18 *5448:57 9.98029e-06 +52 *2211:8 *21099:A1 0.000172706 +53 *2625:16 *20122:C 1.66626e-05 +54 *2864:43 *20067:A 4.98818e-05 +55 *2864:43 *20071:B1 0.000163411 +56 *2864:43 *21099:A1 0.000167249 +57 *2864:43 *5448:7 6.08467e-05 +58 *5446:20 *5448:10 5.41377e-05 +59 *5446:20 *5448:35 0.000137404 +60 *5447:25 *21099:A1 2.21765e-05 +61 *5447:55 *5448:35 0.00054872 +62 *5447:64 *5448:35 0.000158906 +63 *5447:75 *5448:35 7.09666e-06 +64 *5447:75 *5448:57 3.92639e-05 +65 *5447:80 *5448:64 0.000353232 +66 *5447:98 *5448:64 4.0752e-05 +*RES +1 *24209:Q *5448:4 9.24915 +2 *5448:4 *5448:7 5.2234 +3 *5448:7 *5448:10 5.50149 +4 *5448:10 *21099:A1 24.684 +5 *5448:10 *20071:B1 18.3157 +6 *5448:7 *5448:35 21.3544 +7 *5448:35 *20106:B1 13.7342 +8 *5448:35 *5448:50 1.278 +9 *5448:50 *5448:57 8.89679 +10 *5448:57 *5448:59 4.5 +11 *5448:59 *5448:64 7.01694 +12 *5448:64 *20122:C 21.7421 +13 *5448:64 *19775:C 9.24915 +14 *5448:59 *24075:A1 9.24915 +15 *5448:57 *20078:A1 22.197 +16 *5448:50 *20057:A 12.7456 +17 *5448:4 *20067:A 9.97254 +*END + +*D_NET *5449 0.00771159 +*CONN +*I *21109:A I *D sky130_fd_sc_hd__nand2_1 +*I *22845:B2 I *D sky130_fd_sc_hd__a22o_1 +*I *24029:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *22843:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20118:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24815:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21109:A 0.000852755 +2 *22845:B2 2.77485e-05 +3 *24029:A1 0.000106597 +4 *22843:A 0 +5 *20118:A1 0 +6 *24815:Q 0.000616914 +7 *5449:38 0.0010186 +8 *5449:27 0.000847856 +9 *5449:18 0.000807516 +10 *5449:8 0.000821272 +11 *21109:A *20105:A 6.08467e-05 +12 *21109:A *5450:31 0.000163431 +13 *5449:8 *5811:44 0 +14 *5449:8 *5916:20 0 +15 *5449:18 *5916:20 0 +16 *5449:27 *24028:S 0 +17 *5449:38 *22845:B1 5.68957e-05 +18 *5449:38 *5450:31 0 +19 *20107:A3 *21109:A 0.00032508 +20 *20118:S *5449:8 6.28168e-05 +21 *20118:S *5449:18 0.000169078 +22 *20119:A *5449:8 0 +23 *21109:B *21109:A 9.80242e-07 +24 *22845:A1 *22845:B2 5.01077e-05 +25 *24028:A0 *5449:27 0.000208218 +26 *24029:A0 *5449:27 0 +27 *24029:A0 *5449:38 0 +28 *24815:RESET_B *5449:8 0.000118166 +29 *561:5 *5449:27 6.50727e-05 +30 *561:14 *24029:A1 7.48633e-05 +31 *561:14 *5449:27 5.14448e-05 +32 *561:14 *5449:38 0.000106584 +33 *561:28 *21109:A 0.000616745 +34 *561:28 *5449:38 5.13462e-05 +35 *1838:5 *21109:A 9.02553e-05 +36 *2447:7 *22845:B2 6.50586e-05 +37 *2505:50 *21109:A 0.000271326 +38 *2625:16 *5449:27 4.01386e-06 +39 *2864:44 *5449:8 0 +40 *2864:44 *5449:27 0 +41 *2864:44 *5449:38 0 +42 *5446:23 *5449:27 0 +*RES +1 *24815:Q *5449:8 28.6256 +2 *5449:8 *20118:A1 13.7491 +3 *5449:8 *5449:18 9.96496 +4 *5449:18 *22843:A 9.24915 +5 *5449:18 *5449:27 19.3792 +6 *5449:27 *24029:A1 15.5817 +7 *5449:27 *5449:38 3.95711 +8 *5449:38 *22845:B2 15.0513 +9 *5449:38 *21109:A 35.4389 +*END + +*D_NET *5450 0.00459187 +*CONN +*I *22845:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24030:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20105:B I *D sky130_fd_sc_hd__nor2_1 +*I *22844:A I *D sky130_fd_sc_hd__inv_2 +*I *20113:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24816:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22845:B1 0.000131373 +2 *24030:A1 9.74349e-05 +3 *20105:B 1.98947e-05 +4 *22844:A 0 +5 *20113:A1 0 +6 *24816:Q 0.000244242 +7 *5450:31 0.000445106 +8 *5450:26 0.000522467 +9 *5450:18 0.000259416 +10 *5450:8 0.00044034 +11 *20105:B *20105:A 6.08467e-05 +12 *24030:A1 *24030:S 6.08467e-05 +13 *5450:8 *5916:20 0 +14 *5450:18 *5916:20 0 +15 *20118:S *5450:8 0 +16 *21109:A *5450:31 0.000163431 +17 *22845:A1 *5450:26 0.000164843 +18 *24167:CLK *24030:A1 0.000111708 +19 *24816:RESET_B *5450:8 3.18172e-05 +20 *561:28 *20105:B 2.16355e-05 +21 *1841:5 *24030:A1 2.15184e-05 +22 *1841:16 *5450:8 0 +23 *1841:16 *5450:18 0 +24 *2447:7 *5450:18 9.40969e-05 +25 *2447:7 *5450:26 0.000103139 +26 *2505:50 *24030:A1 0.000212506 +27 *2505:50 *5450:31 0.000318306 +28 *2864:44 *24030:A1 0.000205364 +29 *2864:44 *5450:31 0.000739583 +30 *3766:5 *5450:26 6.50586e-05 +31 *5449:38 *22845:B1 5.68957e-05 +32 *5449:38 *5450:31 0 +*RES +1 *24816:Q *5450:8 18.6595 +2 *5450:8 *20113:A1 13.7491 +3 *5450:8 *5450:18 9.54971 +4 *5450:18 *22844:A 9.24915 +5 *5450:18 *5450:26 7.44181 +6 *5450:26 *5450:31 13.6667 +7 *5450:31 *20105:B 14.4725 +8 *5450:31 *24030:A1 18.9354 +9 *5450:26 *22845:B1 15.9842 +*END + +*D_NET *5451 0.0028957 +*CONN +*I *20109:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20105:A I *D sky130_fd_sc_hd__nor2_1 +*I *22846:B1 I *D sky130_fd_sc_hd__a21oi_1 +*I *22847:A3 I *D sky130_fd_sc_hd__a31oi_1 +*I *24817:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20109:A1 3.91656e-05 +2 *20105:A 0.000185512 +3 *22846:B1 0 +4 *22847:A3 4.03452e-05 +5 *24817:Q 0.000200864 +6 *5451:27 0.000220225 +7 *5451:12 0.000201067 +8 *5451:8 0.000366038 +9 *5451:8 *5735:12 6.26227e-05 +10 *20105:B *20105:A 6.08467e-05 +11 *20109:S *20109:A1 7.48633e-05 +12 *21109:A *20105:A 6.08467e-05 +13 *22846:A2 *20105:A 0 +14 *22847:A1 *20105:A 9.32983e-05 +15 *22847:A1 *22847:A3 0.000248542 +16 *22847:A1 *5451:27 9.55447e-05 +17 *22847:A2 *20105:A 2.78381e-05 +18 *22847:A2 *22847:A3 1.00846e-05 +19 *22847:A2 *5451:12 2.99929e-05 +20 *22847:A2 *5451:27 7.92757e-06 +21 *22847:B1 *20105:A 1.80296e-05 +22 *22847:B1 *22847:A3 5.14966e-06 +23 *22847:B1 *5451:27 2.16355e-05 +24 *24167:CLK *20109:A1 0.000220183 +25 *561:28 *20105:A 2.32849e-05 +26 *1734:18 *5451:8 0.000311563 +27 *1734:18 *5451:12 0.000243663 +28 *1838:5 *20105:A 2.65667e-05 +29 *2405:20 *5451:12 0 +*RES +1 *24817:Q *5451:8 20.0418 +2 *5451:8 *5451:12 8.82351 +3 *5451:12 *22847:A3 12.191 +4 *5451:12 *5451:27 1.278 +5 *5451:27 *22846:B1 9.24915 +6 *5451:27 *20105:A 14.4335 +7 *5451:8 *20109:A1 16.1364 +*END + +*D_NET *5452 0.120267 +*CONN +*I *24069:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *22088:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22064:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22076:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22100:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22063:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *24069:A1 1.74326e-05 +2 *22088:A1 1.56578e-05 +3 *22064:A2 0.00179992 +4 *22076:A1 0 +5 *22100:A1 0.000200684 +6 *22063:Y 0.00030217 +7 *5452:79 0.00381199 +8 *5452:70 0.00375573 +9 *5452:69 0.00174367 +10 *5452:67 0.00249682 +11 *5452:65 0.00254943 +12 *5452:57 0.000426248 +13 *5452:54 0.0013653 +14 *5452:51 0.00173532 +15 *5452:36 0.00140317 +16 *5452:33 0.00388643 +17 *5452:23 0.0109797 +18 *5452:11 0.0151777 +19 *5452:10 0.00792593 +20 *22064:A2 *23315:A 0.000154145 +21 *22064:A2 *5456:174 7.26748e-05 +22 *22064:A2 *5629:41 0.000399216 +23 *22064:A2 *5836:20 7.60183e-05 +24 *22088:A1 *5921:20 1.91391e-05 +25 *22088:A1 *5927:30 1.27831e-06 +26 *22100:A1 *22100:B1 6.11872e-05 +27 *5452:11 *20585:B1 0.00151934 +28 *5452:11 *5860:100 0.000204088 +29 *5452:23 *21895:A 0.000210393 +30 *5452:23 *5455:24 0.000267949 +31 *5452:23 *5457:34 0 +32 *5452:23 *5458:22 0 +33 *5452:23 *5800:32 0.00876692 +34 *5452:23 *5800:42 6.95086e-06 +35 *5452:23 *5801:58 0.000149334 +36 *5452:23 *5852:74 0 +37 *5452:23 *5926:35 0.00114829 +38 *5452:23 *5926:46 0.000184045 +39 *5452:23 *5927:68 0.000111957 +40 *5452:23 *5928:29 0 +41 *5452:33 *5457:67 0.00243512 +42 *5452:33 *5593:20 0.0010593 +43 *5452:33 *5800:42 6.40387e-05 +44 *5452:33 *5800:49 0.000283369 +45 *5452:33 *5919:40 3.12734e-06 +46 *5452:51 *5825:14 0.000646917 +47 *5452:54 *5456:119 3.63743e-05 +48 *5452:54 *5456:130 0.000281225 +49 *5452:54 *5834:14 0.000519961 +50 *5452:54 *5926:19 0.000176181 +51 *5452:67 *5624:67 0.000107496 +52 ser_rx *5452:33 0 +53 wb_dat_o[10] *5452:33 2.83752e-05 +54 wb_dat_o[9] *5452:33 6.19747e-05 +55 *19779:A *5452:11 0.000569967 +56 *20054:A3 *5452:23 0.000848418 +57 *21116:B2 *5452:11 5.02666e-05 +58 *21863:B1 *5452:11 0 +59 *21864:B2 *5452:11 0.000108161 +60 *21864:C1 *5452:11 5.74943e-05 +61 *21927:B1 *5452:11 0.000217232 +62 *21963:B1 *5452:11 0.00293515 +63 *22076:A2 *5452:57 0.000485128 +64 *22076:A2 *5452:65 9.73139e-06 +65 *22088:B2 *22088:A1 2.1203e-06 +66 *22089:A1 *5452:23 0.00022362 +67 *22096:B2 *5452:54 5.75768e-05 +68 *22962:A *5452:67 5.95369e-05 +69 *22964:A *5452:67 1.87397e-05 +70 *23226:A *5452:51 0.000122068 +71 *23333:B *5452:51 1.41761e-05 +72 *23407:A *5452:67 0.000111722 +73 *23706:D_N *5452:70 0.000122098 +74 *23798:C *5452:70 7.66319e-05 +75 *23839:B *5452:70 9.37698e-05 +76 *23840:A *5452:70 0.000179303 +77 *25180:A *5452:33 9.06627e-05 +78 *475:12 *5452:11 0.0015544 +79 *543:12 *5452:10 7.02687e-05 +80 *657:143 *5452:23 0.000116408 +81 *657:171 *5452:23 0.00212059 +82 *1448:52 *5452:11 5.81141e-05 +83 *1449:59 *5452:11 4.31122e-06 +84 *1450:20 *5452:11 5.76913e-05 +85 *1471:169 *5452:23 0.000147024 +86 *1510:64 *5452:11 7.20648e-06 +87 *1537:9 *5452:11 0.000262449 +88 *1537:267 *5452:11 0.00167166 +89 *1551:17 *5452:11 0.000117931 +90 *1651:29 *5452:11 6.23101e-05 +91 *1680:34 *5452:10 2.44829e-05 +92 *1708:34 *5452:11 0 +93 *1710:18 *5452:11 3.22316e-06 +94 *1714:24 *5452:11 0.00023081 +95 *1734:26 *5452:11 0.00329277 +96 *1734:26 *5452:23 0.000247147 +97 *1801:16 *5452:11 0.000362238 +98 *1801:38 *5452:11 0.000224096 +99 *1804:17 *5452:11 0 +100 *2283:13 *5452:23 0.00016622 +101 *2328:13 *22064:A2 0.000598002 +102 *2328:13 *5452:79 0.00153278 +103 *2632:36 *5452:23 0.000114645 +104 *2650:14 *5452:10 0 +105 *2650:22 *5452:10 0.000136984 +106 *2715:24 *5452:23 0.000115767 +107 *2719:22 *5452:11 0.00131925 +108 *2878:44 *5452:11 0 +109 *2928:35 *5452:10 0.000208999 +110 *2975:33 *5452:11 0.00154525 +111 *3087:8 *5452:54 0 +112 *3087:24 *5452:54 0.000668239 +113 *3088:8 *5452:54 2.36494e-05 +114 *3088:10 *5452:54 1.32509e-05 +115 *3177:148 *5452:11 5.83513e-05 +116 *3779:11 *5452:67 0.000103294 +117 *3779:24 *5452:65 2.36781e-05 +118 *3779:24 *5452:67 1.55025e-05 +119 *3784:22 *5452:67 7.77744e-05 +120 *3860:14 *5452:70 7.24043e-05 +121 *3861:17 *5452:51 0.000158371 +122 *3861:18 *5452:36 0.00189125 +123 *3868:213 *5452:23 0.000160651 +124 *3868:279 *5452:33 8.78512e-06 +125 *3872:7 *5452:67 0.000637162 +126 *3883:18 *5452:23 0 +127 *3883:18 *5452:33 0.00670743 +128 *3902:23 *5452:33 1.67272e-05 +129 *3931:8 *5452:54 0.000611065 +130 *3931:26 *5452:51 0.000175689 +131 *3974:39 *5452:70 0 +132 *3981:38 *5452:67 0.00115395 +133 *4126:45 *5452:70 0 +134 *4126:48 *5452:67 1.8078e-05 +135 *4134:30 *5452:51 0.00047634 +136 *4236:28 *5452:23 0.000148965 +137 *4242:8 *5452:51 0.000159406 +138 *4242:12 *5452:51 0.000607401 +139 *4246:8 *5452:36 0.00191878 +140 *4336:8 *5452:36 9.22013e-06 +141 *4336:8 *5452:51 0.00025974 +142 *4336:8 *5452:54 0.000571893 +143 *4371:24 *5452:70 0.000746128 +144 *4374:22 *5452:70 4.92721e-05 +145 *4524:27 *5452:33 0 +146 *4609:6 *5452:70 0 +147 *4609:17 *5452:70 0 +148 *4665:82 *5452:70 0.000114456 +149 *4670:22 *5452:70 2.29151e-05 +150 *4699:8 *5452:70 0.000122098 +151 *4873:74 *5452:79 0.000988428 +*RES +1 *22063:Y *5452:10 25.8338 +2 *5452:10 *5452:11 25.2916 +3 *5452:11 *5452:23 26.46 +4 *5452:23 *5452:33 20.8567 +5 *5452:33 *5452:36 37.2683 +6 *5452:36 *22100:A1 13.3002 +7 *5452:36 *5452:51 42.9453 +8 *5452:51 *5452:54 45.781 +9 *5452:54 *5452:57 6.70347 +10 *5452:57 *22076:A1 9.24915 +11 *5452:57 *5452:65 1.71204 +12 *5452:65 *5452:67 57.8476 +13 *5452:67 *5452:69 4.5 +14 *5452:69 *5452:70 47.0945 +15 *5452:70 *5452:79 43.1535 +16 *5452:79 *22064:A2 47.0103 +17 *5452:23 *22088:A1 17.4965 +18 *5452:11 *24069:A1 17.4965 +*END + +*D_NET *5453 0.109207 +*CONN +*I *24068:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21903:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22075:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22099:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22087:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21902:Y O *D sky130_fd_sc_hd__nand3_4 +*CAP +1 *24068:A1 0.00124741 +2 *21903:A2 0.000959573 +3 *22075:A1 0.000422232 +4 *22099:A1 3.5247e-05 +5 *22087:A1 0.000376029 +6 *21902:Y 0.00731405 +7 *5453:106 0.00277093 +8 *5453:105 0.00152352 +9 *5453:103 0.00114551 +10 *5453:86 0.00201199 +11 *5453:71 0.00229027 +12 *5453:70 0.000815617 +13 *5453:68 0.00140011 +14 *5453:54 0.00179331 +15 *5453:51 0.001245 +16 *5453:40 0.00175496 +17 *5453:29 0.00330997 +18 *5453:21 0.00898663 +19 *21903:A2 *5836:7 1.75155e-06 +20 *22075:A1 *22075:B1 1.65872e-05 +21 *22075:A1 *25348:A 0.000160617 +22 *22087:A1 *5840:19 5.51483e-06 +23 *22087:A1 *5840:37 6.08467e-05 +24 *22099:A1 *5817:11 0 +25 *5453:21 *5919:40 0.000996751 +26 *5453:21 *5924:20 0.00130854 +27 *5453:21 *5924:37 0.000664906 +28 *5453:29 *5456:106 4.90121e-07 +29 *5453:40 *5454:64 5.04829e-06 +30 *5453:40 *5928:29 0.000156672 +31 *5453:40 *5931:22 0.00107143 +32 *5453:51 *5454:73 0.000258661 +33 *5453:51 *5454:75 0.000137855 +34 *5453:51 *5456:107 0.000399167 +35 *5453:54 *25332:A 0.000131434 +36 *5453:54 *5817:11 1.54577e-05 +37 *5453:68 *25361:A 0.000394843 +38 *5453:68 *5817:11 0.000147001 +39 *5453:68 *5818:10 1.44818e-05 +40 *5453:68 *5849:8 9.25219e-05 +41 *5453:68 *5850:13 0.000106958 +42 *5453:68 *5926:19 0.000114645 +43 *5453:71 *5927:8 0.00203528 +44 *5453:86 *5454:96 0.000216887 +45 *5453:86 *5457:123 0.000191501 +46 *5453:86 *5927:8 0.00279695 +47 *5453:86 *5930:14 0 +48 *5453:103 *5454:64 0.000200794 +49 *5453:103 *5456:106 4.3271e-05 +50 *5453:106 *5930:14 0.000297987 +51 *19769:B1 *24068:A1 1.15857e-05 +52 *19790:B1 *5453:21 0.00108089 +53 *21833:A *5453:21 6.08467e-05 +54 *22065:B2 *21903:A2 4.58003e-05 +55 *22075:A2 *22075:A1 4.31703e-05 +56 *22075:B2 *22075:A1 0.000199 +57 *22081:A *5453:29 2.16355e-05 +58 *22087:A2 *22087:A1 3.99086e-06 +59 *22099:A2 *5453:54 6.50586e-05 +60 *22099:A2 *5453:68 0.000355299 +61 *22099:B2 *5453:54 3.82228e-05 +62 *22762:B1 *5453:21 0.000109796 +63 *22773:A2 *5453:21 5.60804e-05 +64 *22773:B1 *5453:21 1.27988e-05 +65 *23329:A2 *22087:A1 6.50586e-05 +66 *23618:A *5453:29 0.000394588 +67 *23712:A *5453:29 0.000254541 +68 *23780:B *5453:29 4.78069e-06 +69 *23813:D *5453:29 0.0011467 +70 *24131:D *5453:54 6.50586e-05 +71 *24132:CLK *5453:68 0.000260374 +72 *24139:D *22087:A1 3.99086e-06 +73 *24147:D *22075:A1 7.92757e-06 +74 *24155:D *21903:A2 0.000117009 +75 *24830:D *24068:A1 0.00022826 +76 *24830:CLK_N *24068:A1 1.03403e-05 +77 *1485:170 *5453:21 0.000225002 +78 *1546:29 *5453:21 0.000648104 +79 *1547:26 *5453:21 0.000645673 +80 *1566:31 *5453:21 0.0006713 +81 *1569:54 *5453:21 0.00105592 +82 *1587:48 *5453:106 0.00167094 +83 *1587:121 *5453:21 0.000297135 +84 *1690:68 *5453:106 0.00341905 +85 *1690:72 *5453:106 0.000164545 +86 *1755:33 *24068:A1 3.4123e-05 +87 *1755:58 *5453:106 0.00568529 +88 *1755:76 *5453:21 0.00524478 +89 *1795:53 *5453:21 0.00078429 +90 *1796:12 *5453:21 0.000242596 +91 *2330:51 *21903:A2 4.58003e-05 +92 *2429:11 *24068:A1 4.80635e-06 +93 *2660:52 *5453:29 0.000125054 +94 *2674:8 *5453:21 0.000242596 +95 *2857:52 *5453:21 5.20546e-06 +96 *2863:27 *5453:21 0.000157936 +97 *3002:69 *5453:21 0.000290684 +98 *3033:50 *5453:21 0.000707457 +99 *3080:33 *22075:A1 0 +100 *3084:7 *5453:29 1.4091e-06 +101 *3084:35 *22087:A1 0.000107496 +102 *3087:48 *5453:68 8.50796e-05 +103 *3087:50 *5453:68 0.000363709 +104 *3106:81 *5453:21 0.00214401 +105 *3106:129 *5453:21 0.00023067 +106 *3529:80 *5453:21 0.00136949 +107 *3829:97 *5453:29 3.17103e-05 +108 *3860:101 *5453:40 7.5119e-05 +109 *3860:101 *5453:51 0.00156758 +110 *3868:188 *5453:106 0.000767201 +111 *3877:134 *5453:21 0.000104754 +112 *3883:18 *5453:51 0.000111681 +113 *3892:12 *5453:103 0.00172258 +114 *3892:23 *5453:103 0 +115 *3894:16 *5453:40 0.00107861 +116 *3903:20 *5453:106 0.00267756 +117 *3920:26 *5453:29 2.09482e-05 +118 *3923:17 *5453:29 0.000125132 +119 *3989:12 *5453:51 0.00119784 +120 *3989:12 *5453:71 0.00421151 +121 *3989:12 *5453:86 0.00411311 +122 *4001:91 *5453:29 0.000484995 +123 *4006:24 *5453:71 0.000902102 +124 *4106:157 *5453:21 0.000517783 +125 *4137:47 *5453:21 5.81336e-05 +126 *4149:18 *5453:40 7.87165e-05 +127 *4149:18 *5453:51 0.00468176 +128 *4149:18 *5453:71 0.000287058 +129 *4374:73 *5453:68 0.000679835 +130 *4517:27 *5453:29 0.000156946 +131 *4524:27 *5453:103 0.000384116 +132 *4619:26 *5453:21 0.000269689 +133 *4646:18 *5453:29 0.000120378 +*RES +1 *21902:Y *5453:21 49.6618 +2 *5453:21 *5453:29 42.8112 +3 *5453:29 *5453:40 39.6605 +4 *5453:40 *22087:A1 21.7065 +5 *5453:40 *5453:51 76.5774 +6 *5453:51 *5453:54 12.9878 +7 *5453:54 *22099:A1 10.2378 +8 *5453:54 *5453:68 48.1522 +9 *5453:68 *5453:70 4.5 +10 *5453:70 *5453:71 69.1029 +11 *5453:71 *22075:A1 24.3831 +12 *5453:71 *5453:86 74.0859 +13 *5453:86 *21903:A2 28.3376 +14 *5453:29 *5453:103 49.4059 +15 *5453:103 *5453:105 4.5 +16 *5453:105 *5453:106 134.297 +17 *5453:106 *24068:A1 32.9915 +*END + +*D_NET *5454 0.100648 +*CONN +*I *22086:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22074:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21747:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22098:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24070:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21746:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *22086:A1 0.000215428 +2 *22074:A1 0.000514625 +3 *21747:A2 0.000353698 +4 *22098:A1 0.000497685 +5 *24070:A1 0.000429013 +6 *21746:Y 0.0108057 +7 *5454:96 0.00100048 +8 *5454:92 0.00176835 +9 *5454:88 0.00134214 +10 *5454:75 0.00206982 +11 *5454:73 0.00174406 +12 *5454:68 0.00209213 +13 *5454:64 0.0012393 +14 *5454:56 0.00133512 +15 *5454:28 0.00167742 +16 *5454:26 0.0018724 +17 *5454:23 0.000749499 +18 *5454:17 0.00119092 +19 *5454:15 0.0108057 +20 *21747:A2 *21747:B1 0.000171288 +21 *22074:A1 *22073:B1 0.000154145 +22 *22074:A1 *22074:B1 0.000301419 +23 *22074:A1 *5827:20 0.000317707 +24 *22074:A1 *5829:5 0.000269642 +25 *22086:A1 *22086:B1 0.000211464 +26 *22086:A1 *5929:28 1.41976e-05 +27 *22098:A1 *25336:A 0.000457669 +28 *24070:A1 *19948:A 2.44829e-05 +29 *24070:A1 *24070:A0 2.16355e-05 +30 *5454:15 *5592:70 0.00132603 +31 *5454:15 *5593:46 6.96105e-06 +32 *5454:15 *5852:117 0 +33 *5454:15 *5924:20 4.33066e-05 +34 *5454:15 *5925:11 6.94288e-05 +35 *5454:23 *23918:B 5.04829e-06 +36 *5454:23 *5593:20 1.41396e-05 +37 *5454:26 *5456:50 0.00131418 +38 *5454:26 *5456:61 0 +39 *5454:28 *21561:A 4.80148e-05 +40 *5454:28 *5785:6 0 +41 *5454:56 *5593:20 0.000423187 +42 *5454:64 *5931:22 0.000805874 +43 *5454:68 *5928:29 0.000441682 +44 *5454:73 *5456:107 0.0017547 +45 *5454:75 *5820:20 9.95297e-05 +46 *5454:88 *5455:47 0.000227585 +47 *5454:88 *5820:20 0.000167681 +48 *5454:92 *5455:47 0.00234934 +49 *5454:92 *5457:108 0.0022918 +50 *5454:96 *5927:8 0.00204692 +51 *5454:96 *5930:14 0.000647344 +52 spi_sdi *5454:56 0.000153427 +53 *19766:B1 *24070:A1 6.73022e-05 +54 *20021:A2 *5454:15 0.00135027 +55 *21604:B1 *5454:15 0.00269013 +56 *21605:A1 *5454:15 0.000154145 +57 *22073:B2 *22074:A1 2.2234e-05 +58 *22074:A2 *22074:A1 1.58551e-05 +59 *22086:A2 *22086:A1 2.65831e-05 +60 *22086:B2 *22086:A1 6.56531e-05 +61 *22098:B2 *22098:A1 1.09199e-05 +62 *22794:A2 *5454:15 6.23101e-05 +63 *22972:A *5454:15 3.63525e-06 +64 *23381:B *5454:15 0.00201157 +65 *23573:B2 *5454:15 2.58204e-05 +66 *23582:B1 *5454:15 0.000152832 +67 *23776:B *5454:56 0.00031917 +68 *24129:CLK *5454:75 0.000110668 +69 *24149:D *22074:A1 2.13584e-05 +70 *24831:CLK_N *5454:28 0.00111449 +71 *25003:A *5454:28 4.15201e-05 +72 *25018:A *5454:28 9.94918e-05 +73 *25019:A *5454:28 2.09735e-05 +74 *25020:A *5454:28 3.21112e-05 +75 *25022:A *5454:28 0.000112251 +76 *25023:A *5454:28 0.000165169 +77 *25128:A *5454:26 4.40325e-05 +78 *25181:A *5454:56 2.07556e-06 +79 *25184:A *5454:56 1.91391e-05 +80 *25185:A *5454:15 8.77814e-05 +81 *1443:60 *5454:15 0.00282559 +82 *1455:74 *5454:28 0.00317599 +83 *1537:125 *5454:15 0.00129494 +84 *1560:35 *5454:15 6.77424e-05 +85 *1587:121 *5454:15 0.00422265 +86 *1588:107 *5454:15 1.5714e-05 +87 *1603:48 *5454:15 0.000190636 +88 *1625:14 *5454:15 0.000279294 +89 *1631:34 *5454:15 0.000396587 +90 *1662:87 *5454:15 1.5714e-05 +91 *1690:72 *5454:23 0.000233793 +92 *1690:72 *5454:26 0.00205663 +93 *1690:72 *5454:28 0.000304134 +94 *1764:94 *5454:15 1.67867e-05 +95 *2338:72 *5454:15 5.83513e-05 +96 *2624:45 *5454:15 0.00045679 +97 *2660:94 *5454:15 0.000131246 +98 *2784:42 *5454:15 0.000232269 +99 *2838:45 *5454:56 0.000266422 +100 *3083:19 *5454:75 0 +101 *3084:41 *22086:A1 5.51483e-06 +102 *3084:54 *22086:A1 5.51483e-06 +103 *3087:7 *22074:A1 0.000300565 +104 *3474:111 *5454:15 0.000111938 +105 *3537:58 *5454:15 0.000156955 +106 *3791:142 *5454:26 0.000181974 +107 *3791:154 *5454:26 5.93828e-05 +108 *3791:154 *5454:28 0.00127278 +109 *3840:85 *22086:A1 0.000300565 +110 *3842:141 *5454:15 2.68045e-05 +111 *3842:241 *5454:15 0.000124521 +112 *3883:18 *5454:28 0.000100822 +113 *3892:12 *5454:68 0.00164802 +114 *3894:16 *5454:64 0.000805874 +115 *3903:20 *5454:23 0.000276444 +116 *3903:20 *5454:56 0.00090191 +117 *3989:12 *5454:75 0.0023155 +118 *3989:12 *5454:88 0.00280983 +119 *3989:12 *5454:92 2.12476e-05 +120 *4006:22 *5454:96 0.001208 +121 *4126:161 *5454:15 3.25264e-05 +122 *4149:17 *5454:88 0.0015869 +123 *4233:33 *5454:15 0.000944511 +124 *4336:7 *22074:A1 2.41274e-06 +125 *4488:19 *5454:15 6.00856e-05 +126 *4528:17 *5454:15 0 +127 *4879:8 *5454:73 0.000248002 +128 *4879:8 *5454:75 4.46284e-06 +129 *4879:10 *5454:73 0.000333177 +130 *4879:12 *5454:73 0.000432635 +131 *4879:14 *5454:73 0.000112183 +132 *4879:50 *5454:75 6.56568e-05 +133 *4879:57 *5454:75 5.29763e-05 +134 *4879:59 *5454:75 0.000344934 +135 *5453:40 *5454:64 5.04829e-06 +136 *5453:51 *5454:73 0.000258661 +137 *5453:51 *5454:75 0.000137855 +138 *5453:86 *5454:96 0.000216887 +139 *5453:103 *5454:64 0.000200794 +*RES +1 *21746:Y *5454:15 46.9355 +2 *5454:15 *5454:17 3.36879 +3 *5454:17 *5454:23 14.8091 +4 *5454:23 *5454:26 36.4078 +5 *5454:26 *5454:28 67.6495 +6 *5454:28 *24070:A1 22.7916 +7 *5454:17 *5454:56 45.4759 +8 *5454:56 *5454:64 26.2395 +9 *5454:64 *5454:68 38.2105 +10 *5454:68 *5454:73 48.7976 +11 *5454:73 *5454:75 42.7343 +12 *5454:75 *22098:A1 22.1164 +13 *5454:75 *5454:88 47.2044 +14 *5454:88 *5454:92 45.1581 +15 *5454:92 *5454:96 48.3075 +16 *5454:96 *21747:A2 21.6824 +17 *5454:92 *22074:A1 24.4163 +18 *5454:68 *22086:A1 16.0973 +*END + +*D_NET *5455 0.0975975 +*CONN +*I *24067:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *22097:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21593:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *22073:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *22085:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *21592:Y O *D sky130_fd_sc_hd__nand4_2 +*CAP +1 *24067:A1 0 +2 *22097:A1 0 +3 *21593:A2 0.000293073 +4 *22073:A1 0.000259187 +5 *22085:A1 0 +6 *21592:Y 0.00926155 +7 *5455:70 0.00110781 +8 *5455:69 0.00110781 +9 *5455:56 0.00226314 +10 *5455:47 0.00344041 +11 *5455:46 0.00143036 +12 *5455:42 0.000232233 +13 *5455:39 0.00178945 +14 *5455:32 0.00292448 +15 *5455:30 0.00131873 +16 *5455:24 0.0016736 +17 *5455:23 0.00171705 +18 *5455:19 0.00113887 +19 *5455:12 0.0101863 +20 *21593:A2 *25319:A 0.000144695 +21 *5455:19 *5674:11 0.000304315 +22 *5455:19 *5674:15 0.000201628 +23 *5455:23 *5674:11 0.000298399 +24 *5455:24 *5828:13 0.000219893 +25 *5455:24 *5926:35 0.00106666 +26 *5455:30 *5828:13 0.000140067 +27 *5455:32 *5800:49 0.000198204 +28 *5455:32 *5828:13 8.17829e-06 +29 *5455:32 *5921:20 0.000412688 +30 *5455:39 *5457:82 0.000462268 +31 *5455:39 *5457:89 1.05255e-05 +32 *5455:39 *5800:49 0.000373668 +33 *5455:39 *5822:16 0.00055968 +34 *5455:39 *5929:10 0.000853671 +35 *5455:46 *5820:13 1.15389e-05 +36 *5455:46 *5820:20 0.000307037 +37 *5455:47 *5457:108 0.000102979 +38 *5455:47 *5820:20 3.31882e-05 +39 *5455:47 *5927:16 0 +40 *5455:56 *21747:B1 7.74579e-05 +41 *5455:56 *25316:A 0 +42 *5455:56 *5457:108 0.000332628 +43 *5455:56 *5457:123 0.00126291 +44 *5455:56 *5833:17 0.000345967 +45 *5455:56 *5837:31 0.000115428 +46 *5455:56 *5928:18 0.000116362 +47 *5455:56 *5930:10 0.000221217 +48 *5455:56 *5931:14 0 +49 *5455:56 *5931:16 0 +50 *5455:56 *5932:11 0 +51 *5455:70 *5462:11 0.00080881 +52 spimemio_flash_io0_di *5455:56 7.64507e-05 +53 wb_dat_o[18] *5455:47 1.33972e-05 +54 wb_dat_o[19] *5455:47 3.01656e-05 +55 *20054:A3 *5455:70 0.000116286 +56 *20608:A2 *5455:12 1.16429e-05 +57 *21468:A2 *5455:12 5.60804e-05 +58 *21592:C *5455:12 1.91246e-05 +59 *21593:B2 *21593:A2 2.32594e-05 +60 *22073:A2 *22073:A1 6.92705e-05 +61 *22073:B2 *22073:A1 0.000169041 +62 *22082:B2 *5455:39 0.000524152 +63 *22088:A2 *5455:32 0.000359397 +64 *22097:A2 *5455:42 4.66492e-05 +65 *22097:A2 *5455:46 6.50586e-05 +66 *22097:B2 *5455:42 6.08467e-05 +67 *22097:B2 *5455:46 0.000160617 +68 *22099:B2 *5455:39 0.000440324 +69 *22502:B2 *5455:12 0.000106353 +70 *22727:A1 *5455:12 4.08035e-05 +71 *22755:A1 *5455:12 3.70027e-06 +72 *23025:A *5455:70 0.000174202 +73 *23253:B *5455:19 6.36477e-05 +74 *23253:C *5455:19 0.00021498 +75 *23257:A *5455:19 0.00087814 +76 *23327:A *5455:24 0.00015324 +77 *23344:B *5455:24 0.000683408 +78 *23344:B *5455:30 4.63031e-05 +79 *23344:B *5455:32 7.09666e-06 +80 *23347:A *5455:24 0.000127179 +81 *23348:A *5455:24 0.00104811 +82 *23354:B *5455:24 0.000122951 +83 *23354:D *5455:70 0 +84 *23475:B *5455:24 9.46352e-05 +85 *23611:A3 *5455:32 1.76936e-05 +86 *23619:A1 *5455:24 1.69268e-05 +87 *23619:C1 *5455:24 7.65861e-05 +88 *23775:B *5455:24 0.000172676 +89 *23919:A *5455:24 0.000120681 +90 *24149:D *22073:A1 3.82228e-05 +91 *24157:D *21593:A2 1.00937e-05 +92 *25187:A *5455:56 0.000135651 +93 *25188:A *5455:56 9.02432e-05 +94 *25190:A *5455:56 1.14979e-05 +95 *522:17 *5455:12 1.10948e-05 +96 *657:129 *5455:70 0.000144457 +97 *1427:48 *5455:70 0.000454681 +98 *1435:125 *5455:12 0.000222389 +99 *1455:82 *5455:24 0.000118219 +100 *1459:70 *5455:12 0.000130291 +101 *1537:36 *5455:12 3.25008e-05 +102 *1604:91 *5455:12 0.000108997 +103 *1642:39 *5455:12 0.00024083 +104 *1722:40 *5455:12 1.86911e-06 +105 *1783:48 *5455:12 0.00107534 +106 *1808:69 *5455:12 0.000130551 +107 *2274:63 *5455:12 0.00536885 +108 *2505:54 *5455:70 0.000118002 +109 *2521:27 *5455:12 0.00169315 +110 *2563:26 *5455:12 2.98463e-05 +111 *2620:76 *5455:12 4.32885e-05 +112 *2932:61 *5455:12 3.74499e-06 +113 *2933:40 *5455:12 0.0021409 +114 *3066:26 *5455:12 0.000765738 +115 *3071:57 *5455:12 7.12632e-06 +116 *3083:19 *5455:47 5.28075e-05 +117 *3083:40 *5455:39 0.000773373 +118 *3083:42 *5455:32 5.64017e-05 +119 *3083:42 *5455:39 0.000711728 +120 *3083:44 *5455:32 0.000914857 +121 *3083:46 *5455:32 0.000655881 +122 *3083:48 *5455:32 0.000873168 +123 *3083:50 *5455:32 0.000305004 +124 *3084:76 *5455:39 7.95411e-05 +125 *3088:24 *5455:39 0.000961929 +126 *3088:26 *5455:39 0.000322418 +127 *3088:28 *5455:39 0.000831075 +128 *3139:75 *5455:12 0.000160292 +129 *3463:27 *5455:12 0.000852003 +130 *3466:47 *5455:12 0.000315857 +131 *3519:93 *5455:12 3.15253e-05 +132 *3682:22 *5455:12 1.16089e-05 +133 *3840:147 *5455:70 5.4039e-05 +134 *3902:23 *5455:39 0.0023979 +135 *3902:60 *5455:24 0.000413126 +136 *3904:8 *5455:70 0.000685825 +137 *3904:17 *5455:70 0.000125985 +138 *4089:27 *5455:12 4.00225e-05 +139 *4149:17 *5455:47 0.000765014 +140 *4231:11 *5455:24 1.31067e-05 +141 *4236:19 *5455:24 0.00038228 +142 *4236:28 *5455:24 0.000896836 +143 *4250:17 *5455:32 0.00217614 +144 *4252:6 *5455:24 7.06079e-06 +145 *4252:21 *5455:24 3.09611e-05 +146 *4255:18 *5455:24 0.000148129 +147 *4326:100 *5455:70 0.00220022 +148 *4373:32 *5455:32 0.0013893 +149 *4373:32 *5455:39 0.000329771 +150 *4597:29 *5455:12 0.000135121 +151 *4597:29 *5455:19 0.000540918 +152 *4880:10 *5455:47 0.000626829 +153 *4880:17 *5455:47 0.00132804 +154 *4880:26 *5455:47 0.000383657 +155 *4880:35 *5455:47 0.000574083 +156 *4880:45 *22073:A1 0.000135344 +157 *4880:45 *5455:47 0.000194592 +158 *4880:45 *5455:56 5.26029e-05 +159 *4882:62 *5455:56 0.000800529 +160 *4882:87 *5455:56 0.000387625 +161 *4882:96 *5455:56 0.00095531 +162 *5452:23 *5455:24 0.000267949 +163 *5454:88 *5455:47 0.000227585 +164 *5454:92 *5455:47 0.00234934 +*RES +1 *21592:Y *5455:12 46.5466 +2 *5455:12 *5455:19 29.2643 +3 *5455:19 *5455:23 7.99641 +4 *5455:23 *5455:24 67.2342 +5 *5455:24 *5455:30 4.1281 +6 *5455:30 *5455:32 64.3275 +7 *5455:32 *22085:A1 13.7491 +8 *5455:32 *5455:39 93.1875 +9 *5455:39 *5455:42 5.2234 +10 *5455:42 *5455:46 10.7694 +11 *5455:46 *5455:47 66.6113 +12 *5455:47 *22073:A1 20.5732 +13 *5455:47 *5455:56 79.4842 +14 *5455:56 *21593:A2 21.1278 +15 *5455:42 *22097:A1 9.24915 +16 *5455:19 *5455:69 4.5 +17 *5455:69 *5455:70 51.247 +18 *5455:70 *24067:A1 13.7491 +*END + +*D_NET *5456 0.124676 +*CONN +*I *22084:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21444:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22072:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22096:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24071:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21443:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *22084:A1 0.000398459 +2 *21444:A2 0 +3 *22072:A1 0.000479579 +4 *22096:A1 4.68518e-05 +5 *24071:A1 5.93202e-05 +6 *6219:DIODE 1.50004e-05 +7 *21443:Y 0.000235656 +8 *5456:178 0.000741935 +9 *5456:174 0.00105813 +10 *5456:151 0.00291055 +11 *5456:150 0.00325784 +12 *5456:140 0.00212817 +13 *5456:133 0.00316483 +14 *5456:130 0.00240899 +15 *5456:119 0.00140921 +16 *5456:113 0.00220519 +17 *5456:112 0.00130977 +18 *5456:109 0.000636134 +19 *5456:107 0.00094256 +20 *5456:106 0.0015531 +21 *5456:82 0.000607819 +22 *5456:79 0.00167788 +23 *5456:72 0.00160447 +24 *5456:61 0.00127859 +25 *5456:50 0.00162308 +26 *5456:43 0.00141512 +27 *5456:41 0.00401055 +28 *5456:31 0.00968946 +29 *5456:29 0.00658197 +30 *5456:11 0.00217555 +31 *5456:10 0.00150815 +32 *6219:DIODE *24071:A0 6.08467e-05 +33 *22072:A1 *5835:16 0.000291989 +34 *22072:A1 *5929:10 1.69261e-05 +35 *22084:A1 *25357:A 0.000313481 +36 *24071:A1 *24071:A0 0.000205101 +37 *5456:31 *24557:RESET_B 5.69771e-05 +38 *5456:41 *5852:117 0.00234817 +39 *5456:41 *5920:19 0 +40 *5456:41 *5924:20 0 +41 *5456:50 *25208:A 0.000347371 +42 *5456:50 *5593:20 0.000718761 +43 *5456:61 *23922:A 1.5613e-05 +44 *5456:61 *5563:9 0.000341908 +45 *5456:61 *5563:21 2.16355e-05 +46 *5456:61 *5785:6 0.000618662 +47 *5456:72 *5927:48 0.000789009 +48 *5456:79 *5800:32 0.000192761 +49 *5456:106 *5593:20 3.54714e-05 +50 *5456:113 *5928:18 0.000279329 +51 *5456:113 *5928:29 0.000659068 +52 *5456:113 *5931:22 0.00660398 +53 *5456:119 *25344:A 7.41247e-05 +54 *5456:119 *5821:15 0.000148839 +55 *5456:174 *5834:14 0.000722847 +56 *5456:174 *5836:20 0.000498378 +57 *5456:178 *5627:8 0.000517337 +58 *5456:178 *5834:14 0.00113683 +59 *5456:178 *5836:20 0.000224043 +60 irq[0] *5456:50 1.42599e-05 +61 irq[2] *5456:50 3.01656e-05 +62 *19762:A *5456:82 0.000144531 +63 *19763:B1 *5456:82 0 +64 *19766:A2 *5456:82 0 +65 *19847:A *5456:31 0.000303361 +66 *21359:A2 *5456:11 0.000332346 +67 *21593:A1 *5456:178 3.31882e-05 +68 *21647:A1 *5456:29 9.95922e-06 +69 *21647:A1 *5456:31 0.000675434 +70 *21673:A *5456:31 3.82228e-05 +71 *21729:A *5456:31 1.99131e-05 +72 *22064:A2 *5456:174 7.26748e-05 +73 *22069:A *22072:A1 1.63986e-05 +74 *22084:A2 *22084:A1 2.16355e-05 +75 *22096:A2 *5456:119 6.50586e-05 +76 *22096:B2 *22096:A1 6.08467e-05 +77 *22096:B2 *5456:119 0.000118536 +78 *22255:A1 *5456:11 0.000158451 +79 *22471:A1 *5456:31 0.00122324 +80 *22784:A2 *5456:29 5.01835e-05 +81 *23025:B *5456:72 5.04829e-06 +82 *23094:B *5456:151 3.31745e-05 +83 *23280:B *5456:41 0.000217937 +84 *23336:A *5456:151 3.58044e-05 +85 *23344:A *5456:50 4.80397e-05 +86 *23396:A *5456:151 7.92757e-06 +87 *23396:B *5456:151 6.44964e-06 +88 *23461:B1 *5456:151 0.000117097 +89 *23487:A *5456:41 0.000253916 +90 *23487:B *5456:41 6.08467e-05 +91 *23523:A2 *5456:41 0.000158451 +92 *23582:A2 *5456:41 7.54269e-06 +93 *23582:B1 *5456:41 0.000252264 +94 *23698:A1 *5456:140 0.000242778 +95 *23698:A1 *5456:150 1.86389e-05 +96 *23698:A2 *5456:150 0.000250247 +97 *23731:D *5456:41 1.66626e-05 +98 *23776:B *5456:106 5.04829e-06 +99 *23923:A *5456:61 0.000154145 +100 *24138:CLK *5456:50 0.00040018 +101 *24138:CLK *5456:106 4.15201e-05 +102 *24531:D *5456:31 9.34535e-05 +103 *24531:CLK *5456:31 5.04054e-06 +104 *24832:D *5456:82 0 +105 *25032:A *5456:61 9.61451e-05 +106 *25033:A *5456:107 1.84545e-05 +107 *25114:A *5456:61 4.51176e-05 +108 *25181:A *5456:41 1.2128e-05 +109 *25186:A *5456:50 0.000189638 +110 *459:97 *5456:82 0.000162873 +111 *657:143 *5456:72 9.76262e-05 +112 *657:143 *5456:79 7.9399e-05 +113 *657:171 *5456:50 7.8756e-07 +114 *657:171 *5456:61 0.000191219 +115 *1427:116 *5456:29 1.66626e-05 +116 *1471:185 *6219:DIODE 3.53967e-05 +117 *1471:185 *24071:A1 0.000242609 +118 *1542:34 *5456:29 1.91753e-05 +119 *1566:11 *5456:29 0.000107496 +120 *1575:119 *5456:31 6.7671e-06 +121 *1605:11 *5456:31 8.67649e-05 +122 *1625:83 *5456:29 0.000375008 +123 *1649:143 *5456:10 1.4979e-05 +124 *1690:72 *5456:50 1.87269e-05 +125 *1731:78 *5456:82 0 +126 *1755:58 *5456:106 0.00119275 +127 *1800:76 *5456:11 0.00236149 +128 *1829:59 *5456:112 0 +129 *1998:19 *5456:31 0.000233148 +130 *2286:53 *5456:11 3.82228e-05 +131 *2329:28 *5456:178 0.000634916 +132 *2329:49 *5456:178 0.000770836 +133 *2329:58 *5456:178 0.000379538 +134 *2405:26 *5456:79 0.00126798 +135 *2426:8 *5456:79 0.000962446 +136 *2502:26 *5456:82 0.000142942 +137 *2618:18 *5456:79 0.000129339 +138 *2619:44 *5456:79 1.39895e-05 +139 *2686:27 *5456:11 1.41976e-05 +140 *2686:27 *5456:29 0.00130348 +141 *2686:27 *5456:31 5.51483e-06 +142 *2686:29 *5456:11 4.11889e-05 +143 *2686:40 *5456:10 0.000375213 +144 *2709:5 *5456:31 0.00154778 +145 *2750:10 *5456:31 0.000113968 +146 *2793:17 *5456:29 0.000107496 +147 *2827:61 *5456:11 0.000676212 +148 *2827:61 *5456:29 3.75221e-05 +149 *2838:45 *5456:106 0 +150 *2851:48 *5456:29 1.66626e-05 +151 *2963:93 *5456:41 3.28524e-05 +152 *3060:43 *5456:72 2.23124e-05 +153 *3087:8 *5456:119 7.77309e-06 +154 *3087:8 *5456:130 0.000281497 +155 *3204:165 *5456:10 7.12079e-05 +156 *3527:54 *5456:11 0.000205101 +157 *3527:70 *5456:11 0.00126141 +158 *3529:80 *5456:29 0.0013824 +159 *3671:51 *5456:31 9.94284e-06 +160 *3671:51 *5456:41 9.46767e-05 +161 *3786:22 *5456:140 8.12681e-05 +162 *3786:30 *5456:140 0.000175674 +163 *3791:142 *5456:61 0.000129242 +164 *3791:154 *5456:61 0.00111851 +165 *3791:161 *5456:72 0.000214104 +166 *3791:161 *5456:79 5.33358e-06 +167 *3800:65 *5456:133 0.000190043 +168 *3852:8 *5456:140 8.42221e-05 +169 *3852:12 *5456:140 0.00032478 +170 *3856:39 *5456:140 0.0010924 +171 *3860:101 *5456:107 0.00277408 +172 *3860:123 *5456:50 3.61701e-05 +173 *3864:12 *5456:150 2.20585e-05 +174 *3868:33 *5456:133 6.50727e-05 +175 *3868:33 *5456:140 9.24241e-05 +176 *3868:180 *5456:107 0.000499069 +177 *3872:167 *5456:41 6.08467e-05 +178 *3872:179 *5456:41 0.000107496 +179 *3891:42 *5456:82 0.000277916 +180 *3894:16 *5456:113 0.00559685 +181 *3903:16 *5456:61 0.000180061 +182 *3903:20 *5456:106 0.00155663 +183 *3978:9 *5456:140 0.000134027 +184 *4002:9 *5456:151 7.63284e-05 +185 *4003:8 *5456:150 6.87503e-05 +186 *4003:9 *5456:150 0.00113084 +187 *4008:13 *5456:41 4.31539e-05 +188 *4024:70 *5456:41 3.16033e-05 +189 *4030:6 *5456:140 1.55462e-05 +190 *4031:17 *5456:140 0.000144214 +191 *4111:12 *5456:133 0.000631321 +192 *4146:10 *5456:150 0.000739581 +193 *4228:16 *5456:140 0 +194 *4250:17 *5456:41 0 +195 *4262:8 *5456:72 4.42033e-05 +196 *4302:8 *5456:140 0.000155075 +197 *4302:8 *5456:150 0.00012973 +198 *4305:7 *5456:151 0.000166262 +199 *4326:103 *5456:79 0.000656415 +200 *4326:113 *5456:79 0.000355936 +201 *4377:16 *5456:106 0.000171298 +202 *4394:10 *5456:41 6.08467e-05 +203 *4415:29 *5456:41 0.000190823 +204 *4491:28 *5456:41 0.000110297 +205 *4525:14 *5456:72 0.00078415 +206 *4677:11 *5456:50 1.32746e-05 +207 *4677:27 *5456:50 0.000238628 +208 *4701:18 *5456:41 3.42244e-05 +209 *4748:19 *5456:41 2.02035e-05 +210 *4751:19 *5456:31 0.000107496 +211 *4797:29 *5456:31 5.23916e-05 +212 *4848:7 *5456:11 0.0012974 +213 *4848:27 *5456:11 3.03541e-05 +214 *4858:10 *5456:31 0.000145861 +215 *4879:14 *5456:107 0.000209086 +216 *4879:16 *5456:107 0.000122205 +217 *4880:60 *22072:A1 0.000158286 +218 *4880:66 *22072:A1 0.000145665 +219 *5452:54 *5456:119 3.63743e-05 +220 *5452:54 *5456:130 0.000281225 +221 *5453:29 *5456:106 4.90121e-07 +222 *5453:51 *5456:107 0.000399167 +223 *5453:103 *5456:106 4.3271e-05 +224 *5454:26 *5456:50 0.00131418 +225 *5454:26 *5456:61 0 +226 *5454:73 *5456:107 0.0017547 +*RES +1 *21443:Y *5456:10 23.4382 +2 *5456:10 *5456:11 50.3604 +3 *5456:11 *5456:29 40.2033 +4 *5456:29 *5456:31 86.1323 +5 *5456:31 *5456:41 48.2299 +6 *5456:41 *5456:43 3.36879 +7 *5456:43 *5456:50 38.9116 +8 *5456:50 *5456:61 43.4011 +9 *5456:61 *5456:72 29.0279 +10 *5456:72 *5456:79 44.3117 +11 *5456:79 *5456:82 19.6201 +12 *5456:82 *6219:DIODE 9.97254 +13 *5456:82 *24071:A1 12.191 +14 *5456:43 *5456:106 49.4849 +15 *5456:106 *5456:107 59.5521 +16 *5456:107 *5456:109 4.5 +17 *5456:109 *5456:112 9.10562 +18 *5456:112 *5456:113 105.645 +19 *5456:113 *5456:119 27.7782 +20 *5456:119 *22096:A1 15.0271 +21 *5456:119 *5456:130 15.0523 +22 *5456:130 *5456:133 49.0371 +23 *5456:133 *5456:140 37.3116 +24 *5456:140 *5456:150 42.1993 +25 *5456:150 *5456:151 30.1174 +26 *5456:151 *22072:A1 30.986 +27 *5456:151 *5456:174 21.049 +28 *5456:174 *5456:178 44.3276 +29 *5456:178 *21444:A2 9.24915 +30 *5456:109 *22084:A1 18.3157 +*END + +*D_NET *5457 0.125672 +*CONN +*I *21355:A2 I *D sky130_fd_sc_hd__o22a_1 +*I *22071:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22095:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22083:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24072:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21354:Y O *D sky130_fd_sc_hd__nand4_4 +*CAP +1 *21355:A2 0.000388048 +2 *22071:A1 0.000339548 +3 *22095:A1 3.46883e-05 +4 *22083:A1 0 +5 *24072:A1 0.000833712 +6 *21354:Y 0.0127781 +7 *5457:123 0.0015719 +8 *5457:108 0.00228522 +9 *5457:107 0.00125024 +10 *5457:93 0.00144524 +11 *5457:89 0.0019201 +12 *5457:82 0.00138466 +13 *5457:67 0.00233433 +14 *5457:34 0.00578457 +15 *5457:17 0.00794571 +16 *5457:13 0.0138253 +17 *21355:A2 *6075:DIODE 2.23124e-05 +18 *21355:A2 *21355:B1 3.82228e-05 +19 *21355:A2 *5842:24 2.65831e-05 +20 *21355:A2 *5931:48 1.43983e-05 +21 *21355:A2 *5932:11 0.000566364 +22 *21355:A2 *5932:13 6.30029e-05 +23 *22071:A1 *22071:B1 2.16355e-05 +24 *22071:A1 *5831:14 1.87469e-05 +25 *22071:A1 *5833:13 0.000143266 +26 *22071:A1 *5931:16 5.41377e-05 +27 *5457:13 *20536:B1 0 +28 *5457:13 *5861:157 0.000109235 +29 *5457:13 *5920:19 0.00044846 +30 *5457:17 *5926:19 0.000298304 +31 *5457:34 *5928:29 0.010936 +32 *5457:34 *5930:14 0.000786921 +33 *5457:67 *25359:A 6.57142e-05 +34 *5457:67 *5800:49 0.000653631 +35 *5457:67 *5847:8 5.66868e-06 +36 *5457:67 *5927:26 5.05252e-05 +37 *5457:82 *5927:26 9.00364e-06 +38 *5457:89 *22100:B1 4.61503e-05 +39 *5457:89 *25333:A 0.000282324 +40 *5457:89 *25335:A 9.71323e-06 +41 *5457:89 *5800:49 0.000176256 +42 *5457:89 *5818:10 7.15593e-05 +43 *5457:89 *5821:18 0.000190795 +44 *5457:89 *5822:16 0.000379553 +45 *5457:89 *5927:24 0.000101434 +46 *5457:89 *5927:26 0.000744771 +47 *5457:93 *23011:C 4.90694e-05 +48 *5457:93 *25337:A 0 +49 *5457:93 *5631:86 0 +50 *5457:93 *5926:19 0.000142484 +51 *5457:93 *5929:10 0.00214395 +52 *5457:107 *5822:8 3.82228e-05 +53 *5457:107 *5826:28 0.000165495 +54 *5457:107 *5929:10 0.000165495 +55 *5457:123 *5930:10 0.000224814 +56 *5457:123 *5930:14 0 +57 wb_ack_o *5457:17 3.10239e-05 +58 wb_ack_o *5457:34 4.27811e-05 +59 wb_dat_o[3] *5457:67 4.38072e-06 +60 wb_dat_o[4] *5457:67 0.000133521 +61 wb_dat_o[5] *5457:67 0.000160195 +62 wb_dat_o[6] *5457:67 4.40272e-05 +63 *19760:B2 *24072:A1 3.31745e-05 +64 *19767:A *24072:A1 1.58551e-05 +65 *19832:A1 *5457:13 0.00137799 +66 *21235:A2 *5457:13 0.000203439 +67 *21235:B2 *5457:13 0.00379751 +68 *21236:D *5457:13 0.000207243 +69 *21629:A2 *5457:13 6.26377e-05 +70 *21630:A1 *5457:13 0.00282381 +71 *21630:B1 *5457:13 5.61895e-06 +72 *22017:B1 *5457:13 0.00086373 +73 *22047:A1 *5457:13 3.85683e-05 +74 *22054:C1 *5457:13 1.43499e-05 +75 *22071:B2 *22071:A1 0.000174815 +76 *22083:B2 *5457:67 0.000179298 +77 *22083:B2 *5457:82 6.08467e-05 +78 *22095:A2 *22095:A1 0.000158371 +79 *22095:A2 *5457:93 9.55447e-05 +80 *22095:B2 *22095:A1 0.000154145 +81 *22095:B2 *5457:93 0.000107496 +82 *22099:B2 *5457:89 5.41227e-05 +83 *22271:C *5457:13 1.75569e-05 +84 *22325:A2 *5457:13 8.04547e-05 +85 *22604:A1 *5457:13 0.000126151 +86 *22758:A1 *5457:13 0.00477946 +87 *23118:A *5457:13 1.95974e-05 +88 *23241:C1 *5457:17 0 +89 *23618:C *5457:13 0 +90 *23746:A *5457:13 0.000315968 +91 *23858:A2 *5457:13 5.1835e-05 +92 *23858:A3 *5457:13 4.16078e-05 +93 *24129:D *5457:89 0.000149628 +94 *24130:D *5457:89 5.20546e-06 +95 *24135:D *5457:107 1.87611e-05 +96 *24136:D *5457:93 6.62579e-05 +97 *24143:D *5457:67 6.92705e-05 +98 *24144:D *5457:82 9.60366e-05 +99 *24833:D *24072:A1 7.14746e-05 +100 *25013:A *5457:34 0.000159241 +101 *25014:A *5457:34 3.85867e-05 +102 *25182:A *5457:17 8.72328e-06 +103 *25182:A *5457:34 2.07258e-05 +104 *25187:A *5457:123 0.000139234 +105 *25188:A *5457:123 9.37204e-05 +106 *1525:51 *24072:A1 7.50722e-05 +107 *1526:63 *24072:A1 0.000544589 +108 *1581:21 *5457:13 0.0016242 +109 *1582:81 *5457:13 0.000158593 +110 *1592:115 *5457:13 0.000101585 +111 *1595:101 *5457:13 6.44644e-05 +112 *1620:60 *5457:13 0.000771963 +113 *1634:66 *5457:13 0.000125884 +114 *1705:16 *5457:34 0 +115 *1716:24 *5457:13 5.60804e-05 +116 *1733:45 *5457:13 0 +117 *1755:33 *24072:A1 0.000417285 +118 *1801:70 *5457:13 0.00142929 +119 *2117:180 *5457:13 0.000136252 +120 *2331:29 *5457:13 1.91391e-05 +121 *2350:48 *5457:13 1.91391e-05 +122 *2367:79 *5457:13 1.23955e-05 +123 *2405:26 *5457:34 0.000594172 +124 *2426:8 *5457:34 0.000597755 +125 *2601:58 *5457:13 8.41266e-06 +126 *2660:52 *5457:13 1.80583e-05 +127 *2777:28 *5457:13 4.28539e-05 +128 *2888:81 *5457:13 0.000114078 +129 *2949:71 *5457:13 0.000177419 +130 *2963:71 *5457:13 0.000313088 +131 *3022:45 *5457:13 0.000231974 +132 *3026:35 *5457:13 1.1475e-05 +133 *3051:54 *5457:13 4.79809e-06 +134 *3066:11 *5457:13 6.97609e-06 +135 *3083:30 *5457:82 0.000430875 +136 *3084:76 *5457:82 2.95757e-05 +137 *3087:42 *5457:93 0.000866424 +138 *3087:48 *5457:93 0.000102632 +139 *3088:10 *5457:93 0 +140 *3120:163 *5457:13 0.000169351 +141 *3134:142 *5457:13 0.000965136 +142 *3829:206 *5457:13 0 +143 *3842:99 *5457:13 3.61672e-05 +144 *3842:105 *5457:13 0.000301175 +145 *3868:151 *5457:13 1.51692e-05 +146 *3883:18 *5457:34 0.00831191 +147 *3902:23 *5457:89 0.00075293 +148 *3989:12 *5457:108 0.00343902 +149 *3989:12 *5457:123 0.00230216 +150 *4106:157 *5457:13 0.000184516 +151 *4138:97 *5457:13 4.12026e-05 +152 *4373:22 *5457:67 5.41227e-05 +153 *4529:25 *5457:13 0.000155692 +154 *4684:34 *5457:13 0.000236744 +155 *4751:27 *5457:13 4.16709e-05 +156 *4798:33 *5457:13 0 +157 *4879:7 *5457:67 0.00018576 +158 *4879:7 *5457:82 4.66492e-05 +159 *4879:8 *5457:67 0.000557831 +160 *4879:10 *5457:67 0.000504946 +161 *4879:50 *5457:67 5.92342e-05 +162 *4934:19 *5457:13 0.000514406 +163 *5452:23 *5457:34 0 +164 *5452:33 *5457:67 0.00243512 +165 *5453:86 *5457:123 0.000191501 +166 *5454:92 *5457:108 0.0022918 +167 *5455:39 *5457:82 0.000462268 +168 *5455:39 *5457:89 1.05255e-05 +169 *5455:47 *5457:108 0.000102979 +170 *5455:56 *5457:108 0.000332628 +171 *5455:56 *5457:123 0.00126291 +*RES +1 *21354:Y *5457:13 49.5376 +2 *5457:13 *5457:17 1.92556 +3 *5457:17 *5457:34 44.1031 +4 *5457:34 *24072:A1 32.7594 +5 *5457:17 *5457:67 48.4298 +6 *5457:67 *22083:A1 9.24915 +7 *5457:67 *5457:82 19.4275 +8 *5457:82 *5457:89 49.8325 +9 *5457:89 *5457:93 43.3217 +10 *5457:93 *22095:A1 11.0817 +11 *5457:93 *5457:107 25.0656 +12 *5457:107 *5457:108 56.23 +13 *5457:108 *22071:A1 30.7435 +14 *5457:108 *5457:123 57.4758 +15 *5457:123 *21355:A2 25.5646 +*END + +*D_NET *5458 0.169446 +*CONN +*I *22070:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *21267:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22094:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *22082:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *6220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *24017:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21262:Y O *D sky130_fd_sc_hd__nand4b_4 +*CAP +1 *22070:A1 0 +2 *21267:A1 0 +3 *22094:A1 0.00518476 +4 *22082:A1 0.000318035 +5 *6220:DIODE 0 +6 *24017:A1 0.000185367 +7 *21262:Y 0.000291672 +8 *5458:128 0.000699036 +9 *5458:122 0.00112405 +10 *5458:114 0.00205701 +11 *5458:108 0.00738883 +12 *5458:107 0.00654252 +13 *5458:104 0.00216753 +14 *5458:94 0.00248164 +15 *5458:88 0.00695109 +16 *5458:71 0.0112221 +17 *5458:65 0.00116002 +18 *5458:64 0.00113163 +19 *5458:56 0.00181566 +20 *5458:55 0.00339293 +21 *5458:37 0.00416425 +22 *5458:22 0.00702621 +23 *5458:13 0.0215239 +24 *5458:10 0.0128635 +25 *22082:A1 *25361:A 2.16355e-05 +26 *22094:A1 *5918:20 0 +27 *5458:13 *19813:A 0.00165107 +28 *5458:13 *20536:B1 0 +29 *5458:13 *5851:70 8.0285e-05 +30 *5458:13 *5918:14 0.00012683 +31 *5458:13 *5929:28 0.000305072 +32 *5458:13 *6001:38 1.43499e-05 +33 *5458:22 *24070:A0 0.000155987 +34 *5458:22 *5852:74 0.00109631 +35 *5458:22 *5852:117 0.00411247 +36 *5458:22 *5926:19 2.58866e-05 +37 *5458:37 *5926:19 0.000701233 +38 *5458:65 *5925:17 0.00028271 +39 *5458:94 *20440:B1 0.000103807 +40 *5458:104 *24590:RESET_B 0.000139435 +41 *5458:108 *21057:B1 1.67299e-05 +42 *5458:108 *24193:RESET_B 2.65667e-05 +43 *5458:114 *23064:B 0.00175448 +44 *5458:122 *5833:9 0.000107496 +45 *5458:122 *5842:26 0.000242149 +46 *5458:128 *5612:12 0.000337376 +47 *5458:128 *5628:14 0.00119732 +48 *5458:128 *5842:26 0.00193358 +49 *19796:A *5458:13 0.000324539 +50 *19801:A2 *5458:13 0.000108465 +51 *19820:B2 *5458:13 0.0031038 +52 *20054:A3 *5458:22 0.000392904 +53 *20477:A1 *5458:104 0.000123653 +54 *20529:A *5458:13 9.41458e-05 +55 *20717:B1 *5458:88 0 +56 *20718:A2 *5458:88 0 +57 *21057:B2 *5458:108 3.7141e-05 +58 *21148:A1 *5458:108 2.85274e-05 +59 *21305:A *5458:108 0.000118677 +60 *21557:A *5458:88 0 +61 *21869:A1 *5458:10 1.67675e-05 +62 *22047:B1 *5458:13 9.17511e-05 +63 *22054:C1 *5458:13 4.43994e-05 +64 *22236:B2 *5458:13 0.00247339 +65 *22269:A1 *5458:13 7.91786e-05 +66 *22413:A2 *5458:13 1.27941e-05 +67 *22618:A2 *5458:10 7.94462e-05 +68 *22740:A2 *5458:13 1.22761e-05 +69 *22772:B2 *5458:13 0.00124475 +70 *23018:A *5458:55 0.000237292 +71 *23064:C *5458:114 2.65831e-05 +72 *23130:A *5458:55 4.54793e-05 +73 *23174:B *5458:56 6.08467e-05 +74 *23175:A *5458:56 0.00108412 +75 *23178:A *5458:56 7.80895e-05 +76 *23179:A *5458:56 6.73022e-05 +77 *23429:B_N *5458:37 0.000158304 +78 *23470:A2 *5458:55 0.000208242 +79 *23591:A *5458:56 0.000118219 +80 *23600:B *5458:65 9.83892e-05 +81 *23602:A *5458:71 0.000436096 +82 *23611:A2 *5458:22 0.00122539 +83 *23611:A2 *5458:37 0.000196776 +84 *23643:A1 *5458:13 7.3322e-05 +85 *23681:B *5458:65 5.12412e-05 +86 *23795:C *5458:114 6.99486e-05 +87 *23797:C *5458:56 0.000108735 +88 *23836:B *5458:55 2.09446e-05 +89 *23841:A2 *5458:13 0.000108407 +90 *23858:A3 *5458:13 0 +91 *23927:A *5458:108 2.69785e-05 +92 *23930:A *5458:108 1.03403e-05 +93 *23930:B *5458:108 0.000166477 +94 *24017:S *24017:A1 5.04829e-06 +95 *24193:D *5458:108 6.44964e-06 +96 *24193:CLK *5458:108 7.89747e-05 +97 *24236:D *5458:108 6.36324e-06 +98 *24236:CLK *5458:108 0.000441565 +99 *24385:CLK *5458:56 0.000158371 +100 *24433:CLK *5458:94 5.92192e-05 +101 *566:127 *5458:64 0.000357898 +102 *657:85 *24017:A1 0.000123409 +103 *1467:118 *5458:22 0.000115931 +104 *1467:124 *5458:22 0.000121065 +105 *1483:13 *5458:65 0.00019935 +106 *1483:13 *5458:71 9.92046e-06 +107 *1483:14 *5458:65 0.00267321 +108 *1543:29 *5458:10 1.5714e-05 +109 *1543:29 *5458:13 0.00131208 +110 *1557:133 *5458:10 0.000767056 +111 *1569:34 *5458:13 1.7003e-05 +112 *1574:38 *5458:13 0.000114078 +113 *1575:19 *5458:13 0.000365174 +114 *1619:44 *5458:88 8.04747e-05 +115 *1629:55 *5458:88 0.000175603 +116 *1641:138 *5458:10 0.000153545 +117 *1708:116 *5458:94 0.00158151 +118 *1725:144 *5458:13 0.00213279 +119 *1731:70 *5458:22 0.000303331 +120 *1755:32 *5458:22 0.000133823 +121 *1809:80 *5458:13 3.92592e-05 +122 *1829:105 *5458:88 0 +123 *1958:109 *5458:94 8.03007e-05 +124 *2076:34 *5458:94 2.025e-05 +125 *2076:34 *5458:104 0.00154862 +126 *2076:36 *5458:94 1.48787e-05 +127 *2077:80 *5458:104 7.41203e-05 +128 *2197:14 *5458:108 0.000423936 +129 *2298:8 *5458:104 0.000310079 +130 *2367:10 *5458:108 0.000217425 +131 *2508:23 *5458:13 0.000703792 +132 *2620:37 *5458:88 0 +133 *2685:8 *5458:107 0.00222977 +134 *2711:16 *5458:22 0.000182051 +135 *2783:56 *5458:13 0.00050949 +136 *2785:74 *5458:13 1.43499e-05 +137 *2818:23 *5458:104 0.000264586 +138 *2978:8 *5458:94 0.000179333 +139 *3002:69 *5458:13 0.000603044 +140 *3079:5 *5458:122 2.61028e-05 +141 *3082:22 *5458:88 0.000157969 +142 *3087:31 *22094:A1 4.80972e-05 +143 *3474:175 *5458:10 0.000769857 +144 *3523:98 *5458:13 0.000524977 +145 *3537:88 *5458:13 6.03237e-05 +146 *3682:22 *5458:13 1.43499e-05 +147 *3827:41 *5458:55 0.000793986 +148 *3833:20 *22094:A1 0.000106409 +149 *3833:56 *5458:55 1.01234e-05 +150 *3840:75 *22082:A1 0.000113107 +151 *3840:138 *5458:22 0.00204915 +152 *3842:84 *5458:55 0 +153 *3842:141 *5458:13 0.000839537 +154 *3842:336 *5458:108 0.000127759 +155 *3842:336 *5458:114 0.000209876 +156 *3860:143 *5458:22 0.000108606 +157 *3861:18 *5458:37 0.000118725 +158 *3861:29 *5458:37 0.000161931 +159 *3861:46 *5458:37 0.00177979 +160 *3861:54 *5458:37 0.000349182 +161 *3874:77 *5458:13 6.76982e-05 +162 *3883:11 *22094:A1 0 +163 *3899:29 *5458:37 0.00057745 +164 *3900:36 *5458:22 0.000108025 +165 *3901:31 *5458:22 0.000107489 +166 *3902:50 *5458:22 0.000103904 +167 *3904:21 *5458:22 0.000150388 +168 *3909:40 *5458:55 0.000130551 +169 *3917:26 *5458:55 9.38715e-05 +170 *3918:24 *5458:37 0.000128282 +171 *3922:96 *5458:37 2.6825e-05 +172 *3926:23 *5458:55 0.000241485 +173 *3931:8 *22094:A1 4.44823e-05 +174 *3931:46 *5458:37 0.000165056 +175 *3934:21 *5458:22 0.00104468 +176 *3934:21 *5458:37 8.89496e-05 +177 *3938:30 *5458:22 0.000112451 +178 *3958:27 *5458:64 0.000347214 +179 *3958:28 *5458:65 0.000229889 +180 *4006:17 *5458:114 0.000606265 +181 *4006:17 *5458:122 9.06655e-05 +182 *4009:72 *5458:13 6.03122e-05 +183 *4010:12 *5458:55 0.000134164 +184 *4024:70 *5458:22 0.00101985 +185 *4081:11 *5458:56 0.000110297 +186 *4084:5 *5458:56 2.16355e-05 +187 *4106:11 *5458:114 0.000296659 +188 *4126:54 *5458:104 2.8182e-06 +189 *4126:161 *5458:13 7.93457e-06 +190 *4137:54 *5458:56 0.00015687 +191 *4137:69 *5458:56 0.000593332 +192 *4138:97 *5458:13 1.60578e-06 +193 *4209:40 *5458:65 0.000547956 +194 *4233:26 *5458:37 0.000317599 +195 *4233:28 *5458:37 0.000691523 +196 *4233:33 *5458:22 4.9573e-05 +197 *4241:46 *5458:55 3.73052e-05 +198 *4246:8 *5458:37 0.000501488 +199 *4254:48 *5458:22 0.00021575 +200 *4326:85 *5458:22 0.000264583 +201 *4371:36 *5458:65 3.11451e-05 +202 *4371:36 *5458:71 0.000469034 +203 *4380:14 *5458:22 0.000230509 +204 *4495:13 *22094:A1 0 +205 *4519:22 *22082:A1 0.000108653 +206 *4529:25 *5458:13 0.000329183 +207 *4538:61 *5458:13 1.00978e-05 +208 *4547:35 *5458:13 0.000135087 +209 *4585:17 *5458:64 0.000205567 +210 *4656:8 *5458:64 0.000202142 +211 *4664:33 *5458:13 0 +212 *4676:27 *5458:13 2.84346e-06 +213 *4681:20 *5458:13 2.2397e-05 +214 *4735:11 *5458:55 0 +215 *4735:12 *5458:37 0.000130501 +216 *4739:51 *5458:13 6.88661e-06 +217 *4788:27 *5458:108 1.34424e-05 +218 *4797:18 *5458:65 0.000599715 +219 *4798:33 *5458:13 0 +220 *4839:64 *5458:107 0.000213263 +221 *4842:28 *5458:107 0.000659527 +222 *4871:11 *5458:55 0 +223 *4881:177 *5458:104 0.000185942 +224 *4888:5 *5458:94 0.000107496 +225 *4888:94 *5458:94 0.000343533 +226 *4888:96 *5458:94 0.000389379 +227 *4888:98 *5458:94 0.000916442 +228 *5249:8 *5458:107 0.00015324 +229 *5452:23 *5458:22 0 +*RES +1 *21262:Y *5458:10 29.4458 +2 *5458:10 *5458:13 30.2194 +3 *5458:13 *5458:22 35.0173 +4 *5458:22 *24017:A1 13.8789 +5 *5458:22 *6220:DIODE 9.24915 +6 *5458:13 *5458:37 10.6001 +7 *5458:37 *22082:A1 20.3515 +8 *5458:37 *5458:55 14.2363 +9 *5458:55 *5458:56 45.6463 +10 *5458:56 *5458:64 21.4593 +11 *5458:64 *5458:65 49.7936 +12 *5458:65 *5458:71 12.5776 +13 *5458:71 *22094:A1 28.8432 +14 *5458:71 *5458:88 16.9574 +15 *5458:88 *5458:94 46.3239 +16 *5458:94 *5458:104 49.3554 +17 *5458:104 *5458:107 43.2894 +18 *5458:107 *5458:108 85.855 +19 *5458:108 *5458:114 47.6356 +20 *5458:114 *5458:122 18.6525 +21 *5458:122 *5458:128 45.333 +22 *5458:128 *21267:A1 9.24915 +23 *5458:122 *22070:A1 9.24915 +*END + +*D_NET *5459 0.00326262 +*CONN +*I *24069:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20054:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *24828:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *24069:A0 0.000290559 +2 *20054:B1 0.000104665 +3 *24828:Q 0.000394513 +4 *5459:8 0.000789738 +5 *19754:B1 *24069:A0 0 +6 *20054:A1 *20054:B1 2.60315e-05 +7 *20054:A2 *20054:B1 0.000577521 +8 *20054:B2 *20054:B1 6.50727e-05 +9 *24828:CLK_N *5459:8 0.000100721 +10 *1525:10 *24069:A0 2.09495e-05 +11 *1525:10 *5459:8 0.000344093 +12 *1525:11 *20054:B1 9.97706e-05 +13 *1525:13 *20054:B1 0.000295072 +14 *1525:97 *20054:B1 4.66492e-05 +15 *1526:28 *24069:A0 9.90494e-05 +16 *4867:169 *24069:A0 8.21849e-06 +*RES +1 *24828:Q *5459:8 22.3996 +2 *5459:8 *20054:B1 20.2959 +3 *5459:8 *24069:A0 21.0527 +*END + +*D_NET *5460 0.00367682 +*CONN +*I *24068:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19772:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24829:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *24068:A0 0.000388399 +2 *19772:A1 0.000194227 +3 *24829:Q 0.00014345 +4 *5460:8 0.000726076 +5 *19772:A2 *19772:A1 0.000164829 +6 *19772:B1 *19772:A1 6.08467e-05 +7 *19772:B2 *19772:A1 6.12686e-06 +8 *1467:118 *24068:A0 0.000314086 +9 *1467:118 *5460:8 6.71756e-05 +10 *1467:124 *24068:A0 0.000233756 +11 *1525:38 *19772:A1 0.000239718 +12 *1526:28 *24068:A0 9.75356e-05 +13 *1526:28 *5460:8 5.80207e-05 +14 *1526:41 *24068:A0 0.000134406 +15 *1526:50 *24068:A0 0.000744628 +16 *4867:169 *5460:8 0.000103543 +*RES +1 *24829:Q *5460:8 18.1049 +2 *5460:8 *19772:A1 18.9094 +3 *5460:8 *24068:A0 31.3607 +*END + +*D_NET *5461 0.00435176 +*CONN +*I *24070:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19769:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24830:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *24070:A0 0.000428885 +2 *19769:A1 0.000168159 +3 *24830:Q 0 +4 *5461:5 0.000597044 +5 *19766:B2 *24070:A0 0.000164815 +6 *19769:A2 *19769:A1 0.000247443 +7 *24070:A1 *24070:A0 2.16355e-05 +8 *24070:S *24070:A0 1.92336e-05 +9 *1427:48 *19769:A1 0.000198492 +10 *1427:48 *24070:A0 0.000910415 +11 *1731:70 *19769:A1 0.000203603 +12 *1731:70 *24070:A0 0.00092114 +13 *2955:35 *19769:A1 0.000298318 +14 *4870:60 *19769:A1 1.65872e-05 +15 *5458:22 *24070:A0 0.000155987 +*RES +1 *24830:Q *5461:5 13.7491 +2 *5461:5 *19769:A1 21.1538 +3 *5461:5 *24070:A0 33.6114 +*END + +*D_NET *5462 0.00333968 +*CONN +*I *24067:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19766:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24831:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *24067:A0 0 +2 *19766:A1 0.000141513 +3 *24831:Q 0.00039414 +4 *5462:11 0.000535653 +5 *19766:A2 *19766:A1 0.000349354 +6 *19766:A2 *5462:11 9.81698e-05 +7 *19766:B2 *19766:A1 2.08133e-05 +8 *23621:A_N *5462:11 1.83795e-06 +9 *24831:D *19766:A1 0.00015888 +10 *1427:48 *5462:11 0.000821783 +11 *2505:54 *5462:11 8.72115e-06 +12 *5455:70 *5462:11 0.00080881 +*RES +1 *24831:Q *5462:11 35.3706 +2 *5462:11 *19766:A1 13.8548 +3 *5462:11 *24067:A0 9.24915 +*END + +*D_NET *5463 0.00153525 +*CONN +*I *24071:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19763:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *24832:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *24071:A0 0.000315514 +2 *19763:A1 0.000152487 +3 *24832:Q 0 +4 *5463:4 0.000468001 +5 *6219:DIODE *24071:A0 6.08467e-05 +6 *19766:A2 *19763:A1 1.44611e-05 +7 *24071:A1 *24071:A0 0.000205101 +8 *24832:D *19763:A1 1.81949e-05 +9 *24832:RESET_B *19763:A1 0.000117376 +10 *24832:RESET_B *24071:A0 0.00014575 +11 *1471:185 *24071:A0 7.5301e-06 +12 *1525:64 *19763:A1 2.99929e-05 +*RES +1 *24832:Q *5463:4 9.24915 +2 *5463:4 *19763:A1 21.3591 +3 *5463:4 *24071:A0 14.4094 +*END + +*D_NET *5464 0.00242104 +*CONN +*I *19760:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24072:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24833:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *19760:A1 0.000194038 +2 *24072:A0 0 +3 *24833:Q 0.000784993 +4 *5464:8 0.00097903 +5 *19757:B1 *5464:8 7.14746e-05 +6 *19760:A2 *19760:A1 6.89706e-05 +7 *19760:B1 *19760:A1 1.91391e-05 +8 *24833:D *19760:A1 0.000165521 +9 *24833:D *5464:8 1.21461e-06 +10 *459:72 *5464:8 1.55462e-05 +11 *459:85 *19760:A1 5.19289e-05 +12 *459:85 *5464:8 1.12605e-05 +13 *657:111 *19760:A1 6.78364e-06 +14 *1525:51 *19760:A1 0 +15 *2612:32 *19760:A1 1.41181e-05 +16 *2955:35 *5464:8 2.78249e-05 +17 *2955:40 *5464:8 9.19632e-06 +*RES +1 *24833:Q *5464:8 25.4541 +2 *5464:8 *24072:A0 13.7491 +3 *5464:8 *19760:A1 19.2382 +*END + +*D_NET *5465 0.00225029 +*CONN +*I *19757:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24017:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24834:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *19757:A1 0.000179078 +2 *24017:A0 2.84781e-05 +3 *24834:Q 0.000276947 +4 *5465:7 0.000484503 +5 *19757:B1 *19757:A1 0.000116156 +6 *24834:RESET_B *5465:7 0.000151353 +7 *459:72 *19757:A1 0.000196638 +8 *459:72 *24017:A0 7.50722e-05 +9 *1427:47 *19757:A1 1.55995e-05 +10 *1697:45 *19757:A1 0.000231395 +11 *1697:45 *24017:A0 7.86847e-05 +12 *1756:43 *5465:7 3.29167e-05 +13 *1783:54 *19757:A1 1.55462e-05 +14 *2502:23 *19757:A1 5.04829e-06 +15 *2877:11 *19757:A1 0.000362878 +*RES +1 *24834:Q *5465:7 17.8002 +2 *5465:7 *24017:A0 15.1659 +3 *5465:7 *19757:A1 22.7024 +*END + +*D_NET *5466 0.00298728 +*CONN +*I *23957:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *20102:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20099:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24818:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23957:A0 0.000171402 +2 *20102:A1 0.000135777 +3 *20099:B1 0.00030767 +4 *24818:Q 0.000227121 +5 *5466:27 0.000452598 +6 *5466:7 0.000680209 +7 *20099:B1 *20099:A1 0.000138794 +8 *20099:B1 *23958:A0 1.79807e-05 +9 *20099:B1 *5467:15 0 +10 *20102:A1 *5801:105 0.000113197 +11 *23957:A0 *5801:105 0.000142276 +12 *5466:27 *20102:B1 0 +13 *20102:A2 *20099:B1 1.82696e-05 +14 *20102:A2 *20102:A1 0.000118166 +15 *1809:184 *20099:B1 0.000275256 +16 *1829:157 *20099:B1 9.35753e-06 +17 *2194:12 *20099:B1 0 +18 *2194:12 *23957:A0 0.000101148 +19 *2194:12 *5466:27 0 +20 *4840:7 *5466:7 7.48633e-05 +21 *4873:103 *20099:B1 3.20069e-06 +*RES +1 *24818:Q *5466:7 17.2456 +2 *5466:7 *20099:B1 31.9028 +3 *5466:7 *5466:27 7.57775 +4 *5466:27 *20102:A1 13.3002 +5 *5466:27 *23957:A0 23.5776 +*END + +*D_NET *5467 0.00303975 +*CONN +*I *23958:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *20099:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20096:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24819:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23958:A0 0.00026873 +2 *20099:A1 0.000251704 +3 *20096:B1 0.000115697 +4 *24819:Q 0 +5 *5467:15 0.000736767 +6 *5467:5 0.00033203 +7 *20099:B1 *20099:A1 0.000138794 +8 *20099:B1 *23958:A0 1.79807e-05 +9 *20099:B1 *5467:15 0 +10 *1809:181 *20096:B1 0.000174175 +11 *1809:181 *5467:15 0.000549605 +12 *1809:184 *20099:A1 2.1801e-05 +13 *1829:157 *20096:B1 0 +14 *1830:23 *20096:B1 6.08467e-05 +15 *2194:12 *23958:A0 0 +16 *2194:12 *5467:15 0 +17 *3860:14 *20096:B1 1.43848e-05 +18 *4840:7 *23958:A0 5.27412e-05 +19 *4840:9 *23958:A0 2.86013e-06 +20 *4873:103 *20096:B1 3.20069e-06 +21 *4873:103 *20099:A1 4.58003e-05 +22 *4873:103 *5467:15 0.000252638 +*RES +1 *24819:Q *5467:5 13.7491 +2 *5467:5 *20096:B1 17.5503 +3 *5467:5 *5467:15 10.5523 +4 *5467:15 *20099:A1 20.0909 +5 *5467:15 *23958:A0 18.523 +*END + +*D_NET *5468 0.00486047 +*CONN +*I *23959:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *20096:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20093:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24820:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23959:A0 0.00106242 +2 *20096:A1 0.000234494 +3 *20093:B1 2.19481e-05 +4 *24820:Q 0.000157975 +5 *5468:7 0.000256442 +6 *5468:5 0.00122039 +7 *20093:B1 *5469:19 8.52652e-05 +8 *20096:A1 *5469:19 0.000123582 +9 *23959:A0 *5801:102 0.000362988 +10 *23959:A0 *5907:60 7.02362e-05 +11 *20080:A *23959:A0 2.22339e-05 +12 *20084:B2 *20096:A1 0.000113968 +13 *20087:B2 *23959:A0 7.03198e-05 +14 *20090:A2 *23959:A0 0 +15 *20093:B2 *20093:B1 3.98327e-05 +16 *20093:B2 *20096:A1 4.87198e-05 +17 *20096:A2 *20096:A1 0.000283016 +18 *24824:D *23959:A0 3.00073e-05 +19 *24824:CLK *23959:A0 0.00011818 +20 *1829:137 *23959:A0 0 +21 *1829:142 *23959:A0 1.43848e-05 +22 *1829:148 *23959:A0 3.93679e-06 +23 *1829:148 *5468:5 1.56102e-05 +24 *1830:13 *20096:A1 1.03434e-05 +25 *1830:23 *20096:A1 1.7146e-05 +26 *3860:14 *20096:A1 0.00047703 +*RES +1 *24820:Q *5468:5 11.6364 +2 *5468:5 *5468:7 4.5 +3 *5468:7 *20093:B1 15.1659 +4 *5468:7 *20096:A1 25.063 +5 *5468:5 *23959:A0 43.2782 +*END + +*D_NET *5469 0.00641783 +*CONN +*I *23960:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *20090:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20093:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24821:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23960:A0 0.00133241 +2 *20090:B1 0 +3 *20093:A1 0 +4 *24821:Q 0.000830105 +5 *5469:19 0.00169807 +6 *5469:8 0.00119577 +7 *23960:A0 *20189:A1 4.18032e-05 +8 *23960:A0 *23957:S 0 +9 *23960:A0 *23959:A1 1.05099e-05 +10 *23960:A0 *23960:A1 7.1205e-05 +11 *23960:A0 *24779:RESET_B 0 +12 *23960:A0 *5907:60 0 +13 *23960:A0 *6005:5 9.09602e-06 +14 *20080:A *5469:8 0 +15 *20080:A *5469:19 0 +16 *20090:A2 *23960:A0 3.67528e-06 +17 *20090:A2 *5469:19 0.000118004 +18 *20093:B1 *5469:19 8.52652e-05 +19 *20093:B2 *5469:19 4.3116e-06 +20 *20096:A1 *5469:19 0.000123582 +21 *24821:D *5469:8 5.385e-05 +22 *24822:D *23960:A0 0 +23 *1829:137 *5469:19 7.75932e-05 +24 *1829:142 *5469:19 0.000109019 +25 *1830:24 *5469:19 1.03986e-05 +26 *1830:42 *5469:19 0.000214505 +27 *2328:11 *5469:8 2.10976e-05 +28 *3860:14 *5469:8 0 +29 *3860:14 *5469:19 0 +30 *4841:11 *23960:A0 0.000226281 +31 *4842:8 *23960:A0 0 +32 *4843:11 *23960:A0 4.28856e-07 +33 *4873:61 *5469:8 0.000180849 +*RES +1 *24821:Q *5469:8 27.9456 +2 *5469:8 *20093:A1 13.7491 +3 *5469:8 *5469:19 14.7781 +4 *5469:19 *20090:B1 13.7491 +5 *5469:19 *23960:A0 40.8184 +*END + +*D_NET *5470 0.00417855 +*CONN +*I *23961:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *20087:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20090:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24822:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23961:A0 0.000724769 +2 *20087:B1 0 +3 *20090:A1 0.000139003 +4 *24822:Q 2.91059e-05 +5 *5470:23 0.000757212 +6 *5470:14 0.000230316 +7 *5470:13 0.00035837 +8 *5470:7 0.000328605 +9 *23961:A0 *20084:B1 0 +10 *23961:A0 *5471:10 0 +11 *23961:A0 *5801:102 0.000320304 +12 *23961:A0 *5907:60 0 +13 *23961:A0 *6001:252 7.65985e-05 +14 *5470:13 *5801:102 9.24241e-05 +15 *5470:23 *20084:B1 0 +16 *5470:23 *5801:102 7.15574e-05 +17 *20087:A2 *5470:13 3.42931e-05 +18 *20087:A2 *5470:23 2.22342e-05 +19 *20087:B2 *20090:A1 0.000110779 +20 *20088:A *20090:A1 6.31727e-05 +21 *20089:A *5470:13 0.000309282 +22 *20090:A2 *20090:A1 6.50586e-05 +23 *24822:RESET_B *5470:7 0.000160617 +24 *24823:CLK *23961:A0 0 +25 *4841:11 *5470:7 0.000160617 +26 *4842:9 *23961:A0 0.000124234 +*RES +1 *24822:Q *5470:7 15.5817 +2 *5470:7 *5470:13 19.0776 +3 *5470:13 *5470:14 104.301 +4 *5470:14 *20090:A1 22.5493 +5 *5470:7 *5470:23 1.41674 +6 *5470:23 *20087:B1 13.7491 +7 *5470:23 *23961:A0 29.6038 +*END + +*D_NET *5471 0.00572789 +*CONN +*I *23962:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *20087:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20084:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24823:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23962:A0 0.000184383 +2 *20087:A1 8.42544e-05 +3 *20084:B1 0.000989488 +4 *24823:Q 0 +5 *5471:10 0.00145618 +6 *5471:4 0.000566819 +7 *20084:B1 *20084:A1 0.000580998 +8 *23962:A0 *6001:223 0.000143032 +9 *23962:A0 *6001:229 7.81379e-05 +10 *20087:A2 *20084:B1 0 +11 *20089:A *20084:B1 0.000169078 +12 *23961:A0 *20084:B1 0 +13 *23961:A0 *5471:10 0 +14 *24822:RESET_B *20087:A1 9.63981e-05 +15 *24823:D *20087:A1 0.000175485 +16 *24823:D *5471:10 0.000102584 +17 *24823:RESET_B *20084:B1 0 +18 *24823:RESET_B *5471:10 0 +19 *24823:CLK *20087:A1 0.000122378 +20 *24823:CLK *5471:10 4.27375e-06 +21 *657:276 *20084:B1 0 +22 *4796:9 *23962:A0 2.54335e-05 +23 *4840:9 *23962:A0 0.000357898 +24 *4840:9 *5471:10 0.000366369 +25 *4841:11 *20087:A1 2.01982e-05 +26 *4844:13 *23962:A0 0.000204507 +27 *4881:91 *20084:B1 0 +28 *4881:100 *20084:B1 0 +29 *4881:100 *5471:10 0 +30 *5470:23 *20084:B1 0 +*RES +1 *24823:Q *5471:4 9.24915 +2 *5471:4 *5471:10 17.1989 +3 *5471:10 *20084:B1 35.6613 +4 *5471:10 *20087:A1 17.8002 +5 *5471:4 *23962:A0 26.2084 +*END + +*D_NET *5472 0.00298807 +*CONN +*I *23963:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *20084:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24824:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23963:A0 0.000580017 +2 *20084:A1 0.000278704 +3 *24824:Q 8.53514e-05 +4 *5472:6 0.000944073 +5 *20084:A2 *20084:A1 0.000113968 +6 *20084:B1 *20084:A1 0.000580998 +7 *24824:D *20084:A1 3.99086e-06 +8 *566:106 *23963:A0 0 +9 *566:106 *5472:6 0 +10 *4845:10 *23963:A0 0.000129171 +11 *4881:91 *23963:A0 9.25219e-05 +12 *4881:91 *5472:6 0.000179271 +*RES +1 *24824:Q *5472:6 16.8269 +2 *5472:6 *20084:A1 22.7916 +3 *5472:6 *23963:A0 23.5144 +*END + +*D_NET *5473 0.032599 +*CONN +*I *20308:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *23944:S I *D sky130_fd_sc_hd__mux2_4 +*I *22137:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *23954:S I *D sky130_fd_sc_hd__mux2_1 +*I *22132:A I *D sky130_fd_sc_hd__or2_1 +*I *22128:A I *D sky130_fd_sc_hd__nor2_1 +*I *24703:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20308:B1 0 +2 *23944:S 0 +3 *22137:A_N 0 +4 *23954:S 0 +5 *22132:A 0 +6 *22128:A 0.000604177 +7 *24703:Q 0 +8 *5473:104 0.00234224 +9 *5473:86 0.00146746 +10 *5473:73 0.00467604 +11 *5473:69 0.00200688 +12 *5473:54 0.00158705 +13 *5473:42 0.0028312 +14 *5473:39 0.00102867 +15 *5473:11 0.00152127 +16 *5473:4 0.00184215 +17 *22128:A *22127:B 1.64789e-05 +18 *22128:A *22132:B 0.000122378 +19 *22128:A *25259:A 7.16754e-05 +20 *22128:A *25322:A 0.000789099 +21 *22128:A *5736:7 0.000115301 +22 *22128:A *5916:12 0.000332573 +23 *22128:A *5931:25 1.03403e-05 +24 *22128:A *5931:31 8.62721e-05 +25 *5473:11 *5805:26 0.000413748 +26 *5473:11 *5809:11 0.000124038 +27 *5473:39 *20151:A 6.75138e-05 +28 *5473:39 *20308:A1 0.000387915 +29 *5473:39 *5791:17 0.000309506 +30 *5473:39 *5814:18 0.000202488 +31 *5473:42 *5486:10 0 +32 *5473:54 *5731:11 0.000244701 +33 *5473:54 *5807:18 0.000248284 +34 *5473:69 *22123:B1 7.86825e-06 +35 *5473:69 *5474:63 4.69204e-06 +36 *5473:69 *5477:26 0.000516817 +37 *5473:69 *5477:31 4.34237e-05 +38 *5473:69 *5482:75 0 +39 *5473:69 *5486:10 0 +40 *5473:69 *5486:27 0 +41 *5473:69 *5804:28 0 +42 *5473:73 *5474:63 0.000236172 +43 *5473:73 *5474:70 0 +44 *5473:73 *5477:14 3.33173e-06 +45 *5473:73 *5477:26 4.34148e-05 +46 *5473:86 *20152:B 0.000209104 +47 *5473:104 *23944:A1 4.80635e-06 +48 *5473:104 *5916:35 0.000159853 +49 *20073:A *5473:73 1.66626e-05 +50 *20107:A3 *5473:86 0.000247443 +51 *20154:A2 *5473:39 3.62662e-06 +52 *20306:A *5473:11 0.000201811 +53 *20308:A2 *5473:39 3.01683e-06 +54 *21103:A2 *5473:54 8.50305e-05 +55 *21131:A2 *5473:104 0.000470585 +56 *21131:B2 *5473:104 1.65872e-05 +57 *21134:A1 *5473:104 1.68716e-05 +58 *21134:B2 *5473:104 6.73022e-05 +59 *21139:B *5473:73 0.000116971 +60 *21139:C *5473:73 0.000127164 +61 *21164:A *5473:86 3.86121e-05 +62 *22107:A2 *5473:69 0 +63 *22123:A2 *5473:69 0 +64 *22130:A *22128:A 8.28112e-05 +65 *22133:A *5473:11 4.31539e-05 +66 *22136:A *22128:A 0 +67 *22827:A1 *5473:104 1.92172e-05 +68 *22827:B1 *5473:104 3.51113e-05 +69 *24166:D *5473:69 0.000770298 +70 *24166:CLK *5473:69 7.41058e-05 +71 *24169:D *5473:54 9.18559e-06 +72 *24169:D *5473:69 0 +73 *24169:RESET_B *5473:42 7.14746e-05 +74 *24169:RESET_B *5473:69 9.76184e-05 +75 *24169:CLK *5473:54 0.000530151 +76 *24200:CLK *5473:104 4.53168e-05 +77 *24207:RESET_B *5473:54 3.22223e-05 +78 *24208:CLK *5473:42 3.17266e-05 +79 *24799:CLK *5473:39 3.6455e-05 +80 *24825:RESET_B *5473:73 3.53276e-05 +81 *24826:D *5473:69 0.000248859 +82 *74:32 *5473:73 0.000559924 +83 *484:26 *5473:86 2.86215e-05 +84 *657:22 *5473:73 0.000516406 +85 *1471:96 *5473:104 4.30017e-06 +86 *1471:111 *5473:104 1.5006e-05 +87 *1522:32 *5473:73 7.45404e-05 +88 *1522:40 *5473:73 0.000243187 +89 *1690:59 *5473:104 0.000264572 +90 *1690:67 *5473:86 0.00158283 +91 *1731:65 *5473:86 9.97203e-05 +92 *1822:8 *5473:69 5.41227e-05 +93 *1862:47 *5473:39 2.82583e-05 +94 *1862:47 *5473:69 5.66868e-06 +95 *2955:17 *5473:69 7.50722e-05 +96 *3103:8 *5473:86 0.000255962 +97 *4864:51 *5473:69 5.68225e-06 +98 *4864:51 *5473:73 0 +99 *4864:56 *5473:69 0.000289525 +100 *4864:89 *5473:54 3.82228e-05 +101 *5438:17 *5473:104 8.62625e-06 +102 *5438:26 *5473:104 9.24241e-05 +103 *5438:43 *5473:104 0.000102647 +*RES +1 *24703:Q *5473:4 9.24915 +2 *5473:4 *5473:11 34.8698 +3 *5473:11 *22128:A 40.703 +4 *5473:11 *22132:A 9.24915 +5 *5473:4 *5473:39 31.799 +6 *5473:39 *5473:42 7.1625 +7 *5473:42 *5473:54 49.7976 +8 *5473:54 *23954:S 9.24915 +9 *5473:42 *5473:69 38.2154 +10 *5473:69 *5473:73 34.3615 +11 *5473:73 *5473:86 45.3831 +12 *5473:86 *22137:A_N 9.24915 +13 *5473:73 *5473:104 47.9876 +14 *5473:104 *23944:S 9.24915 +15 *5473:39 *20308:B1 9.24915 +*END + +*D_NET *5474 0.0221589 +*CONN +*I *23955:S I *D sky130_fd_sc_hd__mux2_2 +*I *23953:S I *D sky130_fd_sc_hd__mux2_2 +*I *19923:C I *D sky130_fd_sc_hd__or3_1 +*I *20248:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *22135:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22129:A I *D sky130_fd_sc_hd__or2b_1 +*I *22127:A I *D sky130_fd_sc_hd__nor2_1 +*I *24743:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *23955:S 0.000107402 +2 *23953:S 0.000317694 +3 *19923:C 0 +4 *20248:B1 1.0553e-05 +5 *22135:A_N 0 +6 *22129:A 0.000160297 +7 *22127:A 7.3745e-05 +8 *24743:Q 7.14973e-05 +9 *5474:73 0.00109877 +10 *5474:70 0.00244911 +11 *5474:63 0.00264475 +12 *5474:60 0.00156917 +13 *5474:40 0.00175462 +14 *5474:20 0.00039911 +15 *5474:15 0.00113135 +16 *5474:7 0.00208199 +17 *20248:B1 *20248:A1 2.7381e-05 +18 *20248:B1 *20248:A3 4.65314e-06 +19 *22127:A *22135:B 0 +20 *22127:A *5735:24 0 +21 *22127:A *5917:14 1.07248e-05 +22 *22129:A *25322:A 0.000277488 +23 *23953:S *21409:A 3.82228e-05 +24 *23955:S *23955:A1 2.16355e-05 +25 *5474:15 *5740:10 0.000228593 +26 *5474:15 *5804:28 0 +27 *5474:15 *5929:42 0.000128976 +28 *5474:15 *5929:45 0.000436133 +29 *5474:20 *22135:B 0 +30 *5474:20 *5735:24 0 +31 *5474:20 *5929:45 2.41483e-05 +32 *5474:20 *5929:47 4.82966e-05 +33 *5474:20 *5929:49 2.65831e-05 +34 *5474:40 *5804:28 0 +35 *5474:40 *5929:42 0.000555221 +36 *5474:60 *20070:A 4.58003e-05 +37 *5474:63 *5477:26 0.000292705 +38 *5474:63 *5812:53 0.000283053 +39 *5474:63 *5852:53 0 +40 *5474:70 *20333:A1 0.000136483 +41 *5474:70 *24015:S 0 +42 *5474:70 *5898:64 0 +43 *5474:70 *5898:66 0 +44 *5474:70 *5898:73 0 +45 *5474:70 *5898:120 0 +46 *20071:A1 *5474:60 7.92757e-06 +47 *20071:B1 *5474:60 2.41274e-06 +48 *20247:A *5474:15 2.05988e-05 +49 *20247:A *5474:40 3.24339e-05 +50 *20248:A2 *5474:40 5.22654e-06 +51 *20248:A2 *5474:60 5.79254e-05 +52 *20248:B2 *5474:40 3.20069e-06 +53 *20248:B2 *5474:60 5.96936e-05 +54 *20330:A *5474:70 1.40063e-05 +55 *20331:B *5474:70 0 +56 *20333:A2 *5474:70 1.5714e-05 +57 *20333:B2 *5474:70 5.04734e-05 +58 *21139:C *5474:63 0.000127164 +59 *21167:A *5474:73 7.89747e-05 +60 *22123:A2 *5474:60 0.000123582 +61 *22124:A2 *5474:60 1.777e-05 +62 *22133:A *5474:15 5.53789e-05 +63 *24168:D *5474:60 6.08467e-05 +64 *24168:D *5474:63 1.5714e-05 +65 *24169:D *5474:40 0.000264129 +66 *24209:CLK *5474:60 0.000330014 +67 *24686:RESET_B *5474:70 3.57139e-05 +68 *24703:CLK *5474:7 0.000206696 +69 *24743:RESET_B *5474:7 6.08467e-05 +70 *24743:RESET_B *5474:15 9.76854e-05 +71 *25202:A *23953:S 6.08467e-05 +72 *1471:128 *23955:S 2.16355e-05 +73 *1522:32 *5474:70 0.000190627 +74 *1522:40 *5474:70 6.57998e-05 +75 *1697:28 *5474:70 0.000315963 +76 *1731:50 *5474:63 3.51113e-05 +77 *1731:50 *5474:70 0.000315176 +78 *1756:31 *23955:S 0.000152357 +79 *1765:9 *23953:S 0.000277662 +80 *1765:9 *5474:73 0.000381997 +81 *1765:14 *5474:70 2.27131e-05 +82 *1815:8 *5474:60 2.71397e-05 +83 *1818:21 *5474:70 0 +84 *1845:144 *5474:70 0.000409334 +85 *2230:18 *5474:63 6.87762e-05 +86 *2405:17 *5474:70 0.00011935 +87 *2469:11 *23953:S 0.000224181 +88 *2469:11 *5474:73 0.000862456 +89 *2505:50 *23955:S 0.000152357 +90 *2510:21 *5474:70 0 +91 *2632:27 *5474:63 0 +92 *4864:56 *5474:60 1.43055e-05 +93 *5473:69 *5474:63 4.69204e-06 +94 *5473:73 *5474:63 0.000236172 +95 *5473:73 *5474:70 0 +*RES +1 *24743:Q *5474:7 16.1364 +2 *5474:7 *5474:15 33.7159 +3 *5474:15 *5474:20 9.13445 +4 *5474:20 *22127:A 15.1659 +5 *5474:20 *22129:A 16.691 +6 *5474:15 *22135:A_N 9.24915 +7 *5474:7 *5474:40 33.3912 +8 *5474:40 *20248:B1 14.0747 +9 *5474:40 *5474:60 31.5664 +10 *5474:60 *5474:63 27.2112 +11 *5474:63 *19923:C 13.7491 +12 *5474:63 *5474:70 50.0013 +13 *5474:70 *5474:73 28.5167 +14 *5474:73 *23953:S 18.8462 +15 *5474:73 *23955:S 22.0503 +*END + +*D_NET *5475 0.109358 +*CONN +*I *19562:S I *D sky130_fd_sc_hd__mux2_1 +*I *19563:S I *D sky130_fd_sc_hd__mux2_1 +*I *23943:S I *D sky130_fd_sc_hd__mux2_1 +*I *20154:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *24799:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *19562:S 0.000747145 +2 *19563:S 0 +3 *23943:S 0 +4 *20154:B1 0.000223868 +5 *24799:Q 0.00020054 +6 *5475:112 0.00170761 +7 *5475:110 0.00176759 +8 *5475:90 0.00137642 +9 *5475:83 0.0132293 +10 *5475:59 0.0152761 +11 *5475:38 0.00384281 +12 *5475:31 0.00223737 +13 *5475:29 0.00188497 +14 *5475:19 0.00276367 +15 *5475:8 0.00231379 +16 *19562:S *19562:A1 5.04829e-06 +17 *19562:S *25212:A 0.000485723 +18 *19562:S *5898:201 0.000469584 +19 *20154:B1 *5805:23 0.00102898 +20 *5475:8 *5860:180 0.000106165 +21 *5475:8 *5917:263 0 +22 *5475:19 *19657:A0 0.000208915 +23 *5475:19 *5476:16 0.000232933 +24 *5475:19 *5814:38 0 +25 *5475:19 *5852:41 0.000219212 +26 *5475:19 *5860:176 0.000178248 +27 *5475:19 *5860:180 0.000329597 +28 *5475:19 *5917:263 0 +29 *5475:19 *5917:269 0 +30 *5475:29 *21738:A 0.00090026 +31 *5475:29 *21928:A 0.000207266 +32 *5475:29 *5806:43 0.000930837 +33 *5475:31 *5806:43 0.000314737 +34 *5475:38 *6001:94 0.000134283 +35 *5475:38 *6001:98 0.000397958 +36 *5475:59 *24523:RESET_B 2.30883e-05 +37 *5475:59 *5674:34 0.000194461 +38 *5475:83 *24523:RESET_B 3.42038e-05 +39 *5475:83 *5869:354 2.25879e-05 +40 *5475:110 *5898:191 0.00036109 +41 *5475:112 *5898:194 0.00113195 +42 *5475:112 *5898:201 0.000367431 +43 *5475:112 *5902:32 4.15236e-05 +44 mgmt_gpio_oeb[6] *5475:83 0.000104754 +45 mgmt_gpio_oeb[7] *5475:90 4.51176e-05 +46 mgmt_gpio_out[6] *5475:90 0.000169846 +47 mgmt_gpio_out[7] *5475:110 0.000412486 +48 *19655:S *5475:19 3.43126e-05 +49 *19657:S *5475:19 6.6516e-05 +50 *20158:A1 *5475:59 2.22475e-05 +51 *21351:B1 *5475:59 0 +52 *21455:A2 *5475:83 0.000148027 +53 *21456:A2 *5475:83 6.03237e-05 +54 *21676:B1 *5475:59 5.70884e-05 +55 *21676:B1 *5475:83 0.000172917 +56 *21683:A1 *5475:59 0 +57 *21987:A1 *5475:83 1.70013e-05 +58 *21994:D *5475:83 0.000626758 +59 *22735:A2 *5475:83 0.000283587 +60 *22746:B2 *5475:83 2.52431e-05 +61 *23867:A *5475:112 0.000203254 +62 *23869:A *19562:S 1.65159e-05 +63 *23942:A1 *5475:31 1.07248e-05 +64 *23942:A1 *5475:38 0.000648335 +65 *24197:RESET_B *5475:19 0 +66 *24523:D *5475:59 0.00010906 +67 *24793:D *5475:38 0.00122989 +68 *24799:D *20154:B1 2.41916e-05 +69 *24925:A *5475:38 5.07834e-05 +70 *25174:A *5475:110 2.14262e-05 +71 *506:22 *5475:83 0.00341156 +72 *529:41 *5475:83 3.03785e-05 +73 *549:23 *5475:83 0.000948993 +74 *1467:134 *5475:59 0.000257295 +75 *1483:60 *5475:59 2.7413e-07 +76 *1506:99 *5475:38 0.0019154 +77 *1521:68 *5475:59 8.21849e-06 +78 *1522:52 *20154:B1 0.000419724 +79 *1537:36 *5475:83 9.29815e-06 +80 *1542:174 *5475:83 0.000107289 +81 *1587:137 *5475:59 6.88661e-06 +82 *1688:91 *5475:110 2.06284e-05 +83 *1709:40 *19562:S 0.000178344 +84 *1721:12 *5475:83 0.000261629 +85 *1726:6 *5475:29 6.04912e-06 +86 *1726:6 *5475:31 0.000748063 +87 *1726:6 *5475:38 0.000102468 +88 *1726:16 *5475:38 0.000570053 +89 *1740:110 *5475:83 0.000120804 +90 *1741:44 *19562:S 6.34651e-06 +91 *1744:125 *5475:59 0.000918583 +92 *1755:45 *5475:38 0.000150005 +93 *1788:21 *5475:83 5.24905e-05 +94 *1795:29 *5475:83 2.97033e-05 +95 *1808:40 *5475:59 0.000228467 +96 *1845:7 *20154:B1 5.07314e-05 +97 *1845:81 *5475:19 0 +98 *1845:92 *5475:19 0 +99 *1845:106 *5475:29 0.000224162 +100 *2001:10 *5475:59 0.00012568 +101 *2001:22 *5475:59 0.000157548 +102 *2257:73 *5475:112 3.45657e-05 +103 *2257:85 *5475:112 0.00075384 +104 *2266:55 *5475:110 0.00127351 +105 *2291:32 *5475:90 0.00174344 +106 *2291:32 *5475:110 0.000201273 +107 *2291:61 *5475:110 0.000174652 +108 *2295:39 *5475:83 3.31915e-06 +109 *2320:56 *19562:S 4.62112e-05 +110 *2389:72 *5475:112 0.0005997 +111 *2391:27 *5475:112 6.09945e-05 +112 *2404:73 *19562:S 3.39095e-05 +113 *2404:73 *5475:112 0.00112554 +114 *2404:101 *5475:83 0.00259763 +115 *2405:5 *5475:29 0.000595626 +116 *2407:22 *5475:83 0.00943434 +117 *2407:41 *5475:83 0.00135409 +118 *2423:69 *5475:83 0.000310684 +119 *2423:69 *5475:110 1.48618e-05 +120 *2433:106 *19562:S 9.71323e-06 +121 *2446:89 *5475:83 0.000423405 +122 *2446:89 *5475:90 0.00105143 +123 *2448:147 *5475:90 0.000249839 +124 *2448:147 *5475:110 5.58797e-05 +125 *2505:43 *5475:19 0 +126 *2510:73 *5475:83 0.000141089 +127 *2521:27 *5475:83 0.000113478 +128 *2531:91 *5475:83 0.000118427 +129 *2570:66 *19562:S 3.68147e-05 +130 *2610:83 *5475:83 7.44881e-05 +131 *2618:18 *5475:59 0.0002302 +132 *2618:18 *5475:83 0.000270813 +133 *2620:76 *5475:83 0.00057528 +134 *2623:11 *5475:29 7.65728e-05 +135 *2623:16 *5475:31 0 +136 *2623:18 *5475:31 0.000428152 +137 *2623:18 *5475:38 0.000216413 +138 *2629:37 *5475:110 1.36396e-05 +139 *2635:47 *19562:S 1.94227e-05 +140 *2687:42 *19562:S 0.000526419 +141 *2713:27 *5475:83 3.42163e-05 +142 *2729:77 *5475:110 0.000614093 +143 *2753:19 *5475:59 0.00017069 +144 *2833:57 *5475:83 0.00186602 +145 *2844:46 *5475:83 0.000108997 +146 *2882:74 *5475:110 3.62075e-06 +147 *2934:22 *5475:83 0.000630208 +148 *2942:7 *5475:29 1.61631e-05 +149 *2955:43 *5475:59 4.20654e-06 +150 *2978:68 *5475:90 0.000329672 +151 *2989:80 *5475:112 2.10564e-05 +152 *2989:91 *5475:83 0.00161375 +153 *2990:19 *5475:83 0.00114833 +154 *3014:48 *5475:19 0 +155 *3071:42 *5475:83 2.67173e-05 +156 *3072:20 *5475:112 9.93974e-05 +157 *3111:28 *5475:83 0.000224102 +158 *3124:47 *5475:83 0 +159 *3139:156 *5475:83 0.000376264 +160 *3417:29 *5475:83 0.000339786 +161 *3432:31 *5475:83 6.03122e-05 +162 *3671:76 *5475:83 0.000926458 +163 *4803:12 *5475:59 0.000753046 +164 *4821:125 *5475:112 0.000119289 +165 *4828:62 *19562:S 0.00107164 +166 *4833:77 *5475:83 0.00168084 +167 *4833:130 *19562:S 0.000604764 +*RES +1 *24799:Q *5475:8 19.49 +2 *5475:8 *20154:B1 25.5887 +3 *5475:8 *5475:19 52.4928 +4 *5475:19 *5475:29 45.2163 +5 *5475:29 *5475:31 33.1835 +6 *5475:31 *23943:S 13.7491 +7 *5475:31 *5475:38 55.1919 +8 *5475:38 *5475:59 45.6043 +9 *5475:59 *5475:83 43.0632 +10 *5475:83 *5475:90 34.1972 +11 *5475:90 *5475:110 29.8823 +12 *5475:110 *5475:112 46.4716 +13 *5475:112 *19563:S 13.7491 +14 *5475:112 *19562:S 48.7675 +*END + +*D_NET *5476 0.0975521 +*CONN +*I *19564:S I *D sky130_fd_sc_hd__mux2_1 +*I *21141:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24197:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *19564:S 0.002799 +2 *21141:B1 0.000425371 +3 *24197:Q 0.000105618 +4 *5476:69 0.00683014 +5 *5476:60 0.00471685 +6 *5476:51 0.00914021 +7 *5476:38 0.00940124 +8 *5476:34 0.00146043 +9 *5476:26 0.00183604 +10 *5476:16 0.00265851 +11 *5476:5 0.00186715 +12 *19564:S *5855:244 2.85881e-05 +13 *19564:S *5859:205 1.66626e-05 +14 *19564:S *5874:36 2.95481e-05 +15 *19564:S *5874:46 5.41967e-05 +16 *19564:S *5908:55 0.000347534 +17 *19564:S *5908:87 2.68417e-05 +18 *21141:B1 *5811:38 8.63818e-05 +19 *5476:16 *5485:27 0.000361637 +20 *5476:16 *5852:53 2.1558e-05 +21 *5476:34 *24021:S 2.81262e-05 +22 *5476:34 *24060:S 5.14923e-05 +23 *5476:38 *24060:S 4.97207e-06 +24 *5476:38 *6001:66 0.00208551 +25 *5476:51 *5869:331 0 +26 *5476:51 *6001:62 0.000616121 +27 *5476:51 *6001:66 5.6912e-05 +28 *5476:69 *20172:B1 0 +29 *20162:B *5476:69 1.91924e-05 +30 *20167:B1 *5476:69 0.00216928 +31 *20641:A1 *5476:51 0 +32 *20996:A2 *19564:S 0.000438346 +33 *20996:B2 *19564:S 5.04829e-06 +34 *21058:A *5476:69 0.00030135 +35 *21125:A1 *5476:26 0.000189012 +36 *22431:A1 *5476:51 0.000722977 +37 *23376:A *5476:38 0.000119471 +38 *24021:A0 *5476:26 0.000141533 +39 *24021:A0 *5476:34 6.08467e-05 +40 *24021:A1 *5476:34 0.000107496 +41 *24022:A1 *5476:34 0.000307037 +42 *24055:A1 *5476:34 6.50727e-05 +43 *24059:A0 *5476:34 0.000114107 +44 *24197:D *21141:B1 1.41291e-05 +45 *24201:CLK *5476:16 0.00029292 +46 *24202:RESET_B *5476:26 2.5386e-05 +47 *24232:CLK *5476:51 0.000107929 +48 *24887:D *5476:16 0.000169078 +49 *527:44 *19564:S 0.000352722 +50 *531:41 *5476:69 0.00151198 +51 *1419:239 *5476:69 0.000271488 +52 *1439:81 *19564:S 5.481e-05 +53 *1485:112 *5476:38 9.73797e-06 +54 *1515:60 *5476:26 0.000790028 +55 *1515:60 *5476:51 0.00035186 +56 *1648:25 *5476:69 0.000675313 +57 *1649:18 *5476:51 2.14262e-05 +58 *1649:57 *5476:51 0.000719041 +59 *1652:12 *5476:51 0.00335418 +60 *1652:12 *5476:69 1.85963e-05 +61 *1663:15 *5476:69 0 +62 *1668:59 *5476:34 0.000645237 +63 *1668:69 *5476:34 5.97091e-05 +64 *1668:69 *5476:38 0.00146716 +65 *1679:20 *19564:S 0.000108729 +66 *1680:71 *5476:60 1.58126e-05 +67 *1697:75 *5476:51 0.000231479 +68 *1699:11 *5476:26 7.65509e-05 +69 *1725:33 *5476:26 0 +70 *1726:28 *5476:51 0.000387626 +71 *1756:60 *5476:38 0 +72 *1756:60 *5476:51 0.00014979 +73 *1790:43 *5476:51 1.90389e-05 +74 *1793:15 *5476:51 7.51533e-05 +75 *1801:52 *5476:38 0 +76 *1804:64 *5476:51 0.000163327 +77 *1808:40 *5476:51 0.0016072 +78 *1845:92 *5476:16 0 +79 *1947:181 *5476:51 0 +80 *2089:37 *5476:69 0.00051479 +81 *2267:59 *19564:S 0.00439553 +82 *2380:84 *19564:S 0.000365838 +83 *2411:26 *5476:60 0.000148505 +84 *2415:19 *5476:69 0 +85 *2520:87 *19564:S 0.000656623 +86 *2561:38 *5476:51 4.04305e-05 +87 *2577:48 *5476:60 0.000328339 +88 *2632:19 *21141:B1 0.000438099 +89 *2632:19 *5476:5 0.000134849 +90 *2704:53 *5476:26 9.85811e-05 +91 *2713:27 *5476:51 0.000649349 +92 *2791:50 *19564:S 1.1085e-05 +93 *2844:46 *5476:51 5.60804e-05 +94 *2922:33 *5476:26 0.000355157 +95 *2922:54 *5476:51 0.00495953 +96 *2943:63 *5476:51 0 +97 *2950:96 *5476:69 0.000167187 +98 *2994:55 *5476:51 0.000848943 +99 *3014:48 *5476:16 0 +100 *3014:56 *5476:16 0 +101 *3014:69 *5476:34 0.0002234 +102 *3014:79 *5476:51 0.000900344 +103 *3052:38 *5476:60 0.00124433 +104 *3052:66 *5476:51 0.000121812 +105 *3091:52 *5476:69 0.00010511 +106 *3111:16 *5476:69 0.00105927 +107 *3112:8 *5476:69 0.000203595 +108 *3131:41 *5476:51 0.000291551 +109 *3136:24 *5476:69 0.000289914 +110 *3139:14 *5476:69 0.0013907 +111 *3139:22 *5476:60 0.000817903 +112 *3144:92 *5476:60 0.000145095 +113 *3151:17 *5476:69 0.000822953 +114 *3155:24 *5476:51 9.59618e-06 +115 *3157:54 *5476:51 0.00241934 +116 *3164:54 *5476:51 0.000153427 +117 *3177:111 *5476:16 0.00052591 +118 *3263:24 *19564:S 2.37478e-05 +119 *3369:19 *5476:51 0.000240759 +120 *3417:29 *5476:51 0.000323095 +121 *3467:28 *5476:69 0.000505361 +122 *3496:29 *5476:51 0 +123 *3500:89 *5476:51 0.000115679 +124 *3502:105 *5476:51 1.40449e-05 +125 *3719:44 *5476:51 6.21462e-05 +126 *3868:251 *5476:51 0.000139435 +127 *4805:92 *19564:S 0.000108729 +128 *4817:85 *19564:S 5.60804e-05 +129 *4827:79 *19564:S 9.0791e-05 +130 *4828:100 *19564:S 0.00325519 +131 *4829:70 *5476:69 0 +132 *4830:71 *5476:69 0.00141763 +133 *4856:18 *5476:51 2.14262e-05 +134 *4856:18 *5476:60 0.000282472 +135 *4867:8 *5476:26 0.000169986 +136 *4867:8 *5476:34 3.39588e-06 +137 *4867:115 *21141:B1 0.000107496 +138 *4920:74 *5476:51 7.92988e-05 +139 *5438:8 *5476:16 0.000162741 +140 *5438:17 *5476:16 6.17817e-05 +141 *5438:26 *5476:16 8.37979e-05 +142 *5438:43 *5476:16 0.000235022 +143 *5438:61 *5476:16 0.000801623 +144 *5439:56 *5476:26 0.000108386 +145 *5440:38 *5476:26 4.3663e-05 +146 *5440:47 *5476:26 0.000206431 +147 *5440:67 *5476:26 0.000141001 +148 *5475:19 *5476:16 0.000232933 +*RES +1 *24197:Q *5476:5 12.7456 +2 *5476:5 *21141:B1 22.1979 +3 *5476:5 *5476:16 49.9335 +4 *5476:16 *5476:26 46.8086 +5 *5476:26 *5476:34 28.1417 +6 *5476:34 *5476:38 40.2917 +7 *5476:38 *5476:51 46.9569 +8 *5476:51 *5476:60 38.1889 +9 *5476:60 *5476:69 48.292 +10 *5476:69 *19564:S 36.0531 +*END + +*D_NET *5477 0.00799373 +*CONN +*I *19923:B I *D sky130_fd_sc_hd__or3_1 +*I *20077:B1 I *D sky130_fd_sc_hd__o31a_1 +*I *20070:A I *D sky130_fd_sc_hd__inv_2 +*I *20248:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *22123:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *24825:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *19923:B 0 +2 *20077:B1 8.60914e-05 +3 *20070:A 2.9889e-05 +4 *20248:A3 0.000448285 +5 *22123:A1 1.5243e-05 +6 *24825:Q 0.000251892 +7 *5477:31 0.00069267 +8 *5477:26 0.000923003 +9 *5477:14 0.00111038 +10 *5477:9 0.000612203 +11 *20248:A3 *20248:A1 3.59469e-05 +12 *20248:A3 *22123:A3 1.00981e-05 +13 *20248:A3 *5804:25 2.52287e-06 +14 *5477:9 *5852:53 0.000269694 +15 *5477:9 *5898:73 0.000111594 +16 *5477:26 *5811:41 0.000160617 +17 *5477:31 *5804:25 3.21493e-05 +18 *19924:A *5477:9 0.000130777 +19 *20071:A1 *20070:A 6.08467e-05 +20 *20248:B1 *20248:A3 4.65314e-06 +21 *21139:B *20077:B1 0.000207266 +22 *21139:B *5477:26 4.5539e-05 +23 *22107:A2 *5477:26 1.05272e-06 +24 *22107:A2 *5477:31 3.5359e-05 +25 *22123:A2 *20248:A3 3.14978e-05 +26 *22123:A2 *22123:A1 1.64789e-05 +27 *22124:A2 *20070:A 6.08467e-05 +28 *24166:D *5477:31 6.50727e-05 +29 *24168:D *5477:26 3.74542e-05 +30 *24826:CLK *5477:26 6.92705e-05 +31 *657:22 *5477:14 0.000126643 +32 *1731:50 *5477:9 4.37999e-05 +33 *1822:8 *5477:31 5.05252e-05 +34 *2230:18 *5477:26 3.26264e-06 +35 *2426:38 *5477:9 0.000158357 +36 *2426:38 *5477:14 0.00036437 +37 *2619:19 *5477:26 3.58044e-05 +38 *2955:17 *5477:26 7.14746e-05 +39 *4864:51 *5477:14 0.000306642 +40 *4864:51 *5477:26 0.000328966 +41 *5473:69 *5477:26 0.000516817 +42 *5473:69 *5477:31 4.34237e-05 +43 *5473:73 *5477:14 3.33173e-06 +44 *5473:73 *5477:26 4.34148e-05 +45 *5474:60 *20070:A 4.58003e-05 +46 *5474:63 *5477:26 0.000292705 +*RES +1 *24825:Q *5477:9 26.8968 +2 *5477:9 *5477:14 14.1203 +3 *5477:14 *5477:26 32.0105 +4 *5477:26 *5477:31 10.5196 +5 *5477:31 *22123:A1 9.82786 +6 *5477:31 *20248:A3 16.9413 +7 *5477:26 *20070:A 15.0271 +8 *5477:14 *20077:B1 16.1364 +9 *5477:9 *19923:B 9.24915 +*END + +*D_NET *5478 0.00381517 +*CONN +*I *21141:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20069:A I *D sky130_fd_sc_hd__inv_2 +*I *22107:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *24826:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21141:A1 4.20073e-05 +2 *20069:A 2.94212e-05 +3 *22107:A1 0.000170943 +4 *24826:Q 0.000238577 +5 *5478:12 0.000534687 +6 *5478:7 0.000614907 +7 *20069:A *22124:B1 4.31539e-05 +8 *22107:A1 *22107:B1 5.04829e-06 +9 *22107:A1 *5791:8 5.66868e-06 +10 *22107:A1 *5804:25 6.89558e-05 +11 *22107:A1 *5812:53 0 +12 *5478:12 *5791:8 3.78422e-05 +13 *20072:B1 *22107:A1 2.95757e-05 +14 *20072:B1 *5478:12 5.29726e-05 +15 *22107:A2 *22107:A1 3.38174e-05 +16 *22107:A3 *22107:A1 3.58185e-05 +17 *22124:A1 *20069:A 6.50586e-05 +18 *1818:15 *21141:A1 8.61654e-05 +19 *1818:15 *5478:12 0.000639215 +20 *2230:18 *21141:A1 9.32927e-05 +21 *2230:18 *5478:12 0.000687725 +22 *2619:19 *5478:7 6.64528e-05 +23 *4864:72 *20069:A 1.92172e-05 +24 *4864:75 *22107:A1 0.000214651 +*RES +1 *24826:Q *5478:7 17.2456 +2 *5478:7 *5478:12 15.1933 +3 *5478:12 *22107:A1 19.5436 +4 *5478:12 *20069:A 15.0271 +5 *5478:7 *21141:A1 15.5811 +*END + +*D_NET *5479 0.0100575 +*CONN +*I *22102:A2 I *D sky130_fd_sc_hd__o21ai_4 +*I *20333:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24686:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *22102:A2 0.00109302 +2 *20333:A1 0.000588743 +3 *24686:Q 4.75252e-05 +4 *5479:23 0.00145185 +5 *5479:7 0.000995097 +6 *20333:A1 *5898:120 8.28759e-06 +7 *22102:A2 *24057:A0 0.000107496 +8 *20330:A *20333:A1 2.87573e-05 +9 *24686:RESET_B *20333:A1 6.85742e-05 +10 *1455:59 *22102:A2 2.86664e-05 +11 *1455:65 *22102:A2 0.000286661 +12 *1699:16 *20333:A1 0.000123389 +13 *1699:16 *5479:23 0.00105778 +14 *2704:39 *22102:A2 0.00258775 +15 *2803:44 *20333:A1 0.000123389 +16 *2803:44 *5479:23 0.00105938 +17 *5443:8 *22102:A2 0.00026468 +18 *5474:70 *20333:A1 0.000136483 +*RES +1 *24686:Q *5479:7 14.4725 +2 *5479:7 *20333:A1 33.9283 +3 *5479:7 *5479:23 23.7726 +4 *5479:23 *22102:A2 46.0218 +*END + +*D_NET *5480 0.000838804 +*CONN +*I *20124:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *19749:A I *D sky130_fd_sc_hd__inv_2 +*I *24814:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20124:A1 0 +2 *19749:A 0.000147769 +3 *24814:Q 0.000136855 +4 *5480:5 0.000284625 +5 *5480:5 *20124:A0 2.57847e-05 +6 *20124:S *19749:A 0.00019516 +7 *20125:A *5480:5 1.78942e-05 +8 *551:7 *19749:A 3.07159e-05 +9 *1756:31 *19749:A 0 +*RES +1 *24814:Q *5480:5 12.7456 +2 *5480:5 *19749:A 23.0201 +3 *5480:5 *20124:A1 9.24915 +*END + +*D_NET *5481 0.0318799 +*CONN +*I *23949:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *24175:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *23949:A1 0.000522412 +2 *24175:Q 0.00129061 +3 *5481:38 0.0014974 +4 *5481:31 0.00304894 +5 *5481:23 0.00328699 +6 *5481:19 0.00382612 +7 *5481:16 0.00390368 +8 *5481:16 *5860:257 0.000118485 +9 *5481:16 *5898:51 0.000323889 +10 *5481:31 *20026:A 6.1708e-05 +11 *5481:31 *24237:RESET_B 4.89898e-06 +12 *5481:31 *5870:392 4.34841e-05 +13 *6031:DIODE *5481:38 5.85252e-05 +14 *19621:A *5481:19 0.000574103 +15 *19621:B *5481:19 0.000307653 +16 *19627:A2 *5481:16 6.40861e-05 +17 *19627:B2 *5481:19 4.66492e-05 +18 *19892:A *5481:31 2.65831e-05 +19 *20029:A2 *5481:31 0.000107496 +20 *20029:A3 *5481:31 0.000746282 +21 *20029:B1 *5481:23 5.31074e-05 +22 *20383:B2 *5481:16 7.77309e-06 +23 *21390:B1 *5481:23 0.000326398 +24 *23990:A1 *5481:38 0.000209232 +25 *24237:D *5481:31 0.000332455 +26 *24505:CLK *5481:19 7.03358e-05 +27 *24520:D *5481:23 0.000353686 +28 *24893:D *5481:16 1.07248e-05 +29 *460:63 *5481:16 2.90979e-05 +30 *476:72 *23949:A1 0.000177879 +31 *496:30 *5481:38 0.000156355 +32 *500:95 *5481:38 1.41291e-05 +33 *501:14 *5481:38 0.000457641 +34 *530:18 *23949:A1 5.01835e-05 +35 *1457:31 *5481:16 0.000141915 +36 *1458:7 *5481:19 6.08467e-05 +37 *1485:21 *5481:23 3.01723e-05 +38 *1485:21 *5481:31 5.51483e-06 +39 *1650:7 *5481:31 7.67523e-06 +40 *1650:7 *5481:38 6.08467e-05 +41 *1668:47 *5481:19 9.15162e-05 +42 *1692:19 *5481:16 0.00148467 +43 *1783:89 *5481:16 0.000168894 +44 *1783:89 *5481:19 0.000141339 +45 *1783:101 *5481:23 0.00314482 +46 *2259:15 *5481:16 0.000315002 +47 *2563:8 *5481:16 0.000206178 +48 *2645:27 *23949:A1 7.6719e-06 +49 *2645:31 *23949:A1 2.15348e-05 +50 *2836:8 *5481:16 1.14055e-05 +51 *2907:6 *5481:38 0.000157854 +52 *3212:87 *23949:A1 0.00178412 +53 *4843:641 *5481:19 5.67816e-05 +54 *4843:641 *5481:23 4.89898e-06 +55 *4844:356 *23949:A1 8.48215e-05 +56 *4876:49 *23949:A1 8.65358e-05 +57 *4876:69 *5481:16 9.93916e-05 +58 *4950:57 *23949:A1 0.00147835 +59 *5075:8 *5481:23 0.00011818 +*RES +1 *24175:Q *5481:16 45.041 +2 *5481:16 *5481:19 39.5698 +3 *5481:19 *5481:23 38.4606 +4 *5481:23 *5481:31 33.3727 +5 *5481:31 *5481:38 32.2123 +6 *5481:38 *23949:A1 43.9529 +*END + +*D_NET *5482 0.0225112 +*CONN +*I *20129:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *20332:A I *D sky130_fd_sc_hd__nor2_1 +*I *20076:A I *D sky130_fd_sc_hd__clkinv_4 +*I *20152:A I *D sky130_fd_sc_hd__or3_1 +*I *20078:A2 I *D sky130_fd_sc_hd__a41o_1 +*I *20068:A I *D sky130_fd_sc_hd__nand2_1 +*I *20071:C1 I *D sky130_fd_sc_hd__o2111a_1 +*I *22123:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *20248:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *24028:S I *D sky130_fd_sc_hd__mux2_1 +*I *24029:S I *D sky130_fd_sc_hd__mux2_1 +*I *24030:S I *D sky130_fd_sc_hd__mux2_1 +*I *20081:A I *D sky130_fd_sc_hd__or3_1 +*I *24165:Q O *D sky130_fd_sc_hd__dfstp_4 +*CAP +1 *20129:A1 0.000513516 +2 *20332:A 0.000148004 +3 *20076:A 0.000428076 +4 *20152:A 0 +5 *20078:A2 0 +6 *20068:A 4.87571e-06 +7 *20071:C1 5.30446e-05 +8 *22123:A3 1.52639e-05 +9 *20248:A1 0.00014381 +10 *24028:S 0.000370299 +11 *24029:S 0.000101759 +12 *24030:S 0.000381221 +13 *20081:A 0 +14 *24165:Q 0.000691369 +15 *5482:75 0.000299566 +16 *5482:71 0.000240164 +17 *5482:69 0.000695609 +18 *5482:63 0.000927982 +19 *5482:42 0.000703129 +20 *5482:41 0.000949735 +21 *5482:37 0.000574768 +22 *5482:24 0.000786563 +23 *5482:22 0.000796961 +24 *5482:18 0.00046523 +25 *5482:10 0.000305093 +26 *5482:7 0.00129627 +27 *20129:A1 *20129:B1 0.000126754 +28 *20129:A1 *23955:A1 4.80635e-06 +29 *20129:A1 *5929:42 0 +30 *20248:A1 *5804:25 1.41976e-05 +31 *22123:A3 *5804:25 2.15348e-05 +32 *24028:S *24028:A1 3.18815e-05 +33 *5482:10 *5929:42 0 +34 *5482:18 *20081:C 0.000118166 +35 *5482:18 *5484:47 0.000143047 +36 *5482:18 *5898:120 0.000113968 +37 *5482:18 *5929:42 1.21887e-05 +38 *5482:22 *5484:47 0.000116971 +39 *5482:24 *5484:47 1.31528e-05 +40 *5482:37 *20152:B 0.000316513 +41 *5482:41 *20152:B 4.19766e-05 +42 *5482:69 *24028:A1 2.30104e-05 +43 *20060:C *5482:69 0.000140472 +44 *20068:B *5482:71 0.000111358 +45 *20068:B *5482:75 3.31882e-05 +46 *20071:B1 *20071:C1 7.92757e-06 +47 *20071:D1 *20071:C1 5.04829e-06 +48 *20072:A2 *20068:A 4.80635e-06 +49 *20072:B2 *5482:69 5.66868e-06 +50 *20077:A1 *5482:69 1.14755e-05 +51 *20078:B1 *5482:63 0.000101133 +52 *20078:B1 *5482:69 7.86847e-05 +53 *20081:B *5482:18 5.54078e-05 +54 *20082:A *5482:18 0.000156823 +55 *20107:A3 *5482:18 1.5254e-05 +56 *20107:B1 *24030:S 3.82228e-05 +57 *20122:A *24028:S 0.000176484 +58 *20122:C *24028:S 1.19971e-05 +59 *20248:A3 *20248:A1 3.59469e-05 +60 *20248:A3 *22123:A3 1.00981e-05 +61 *20248:B1 *20248:A1 2.7381e-05 +62 *20248:B2 *5482:75 7.31241e-05 +63 *20332:B *20332:A 0.000759199 +64 *22121:A1 *20076:A 9.08028e-06 +65 *22121:A2 *20076:A 7.93833e-06 +66 *22121:B2 *20076:A 0.000208242 +67 *22123:A2 *22123:A3 1.61631e-05 +68 *22123:A2 *5482:69 7.50722e-05 +69 *22123:A2 *5482:71 0.000122083 +70 *22123:A2 *5482:75 0.00020588 +71 *22125:A *24030:S 6.08467e-05 +72 *24030:A1 *24030:S 6.08467e-05 +73 *24165:D *5482:7 5.04829e-06 +74 *24165:SET_B *5482:7 5.79739e-05 +75 *24167:CLK *24030:S 0.00036195 +76 *24169:D *5482:75 3.67708e-05 +77 *459:31 *20129:A1 1.11737e-05 +78 *484:7 *20076:A 0.000107496 +79 *484:26 *5482:41 0 +80 *484:81 *5482:24 1.66626e-05 +81 *514:68 *5482:63 0.000216105 +82 *514:68 *5482:69 0.000515282 +83 *551:13 *20332:A 4.56831e-05 +84 *561:14 *24029:S 0.000114518 +85 *1471:117 *5482:24 8.04832e-05 +86 *1471:128 *20129:A1 0.000891773 +87 *1471:128 *5482:10 0.000111464 +88 *1471:128 *5482:18 6.41802e-05 +89 *1471:128 *5482:22 2.48286e-05 +90 *1471:128 *5482:24 3.74494e-05 +91 *1587:44 *5482:41 4.3116e-06 +92 *1697:33 *20332:A 0.000863181 +93 *1697:39 *20129:A1 5.93547e-06 +94 *1731:65 *24030:S 2.15656e-05 +95 *1731:65 *5482:24 0.000119996 +96 *1731:65 *5482:37 3.89332e-06 +97 *1783:67 *5482:7 4.4379e-05 +98 *1812:9 *5482:69 6.5713e-05 +99 *1815:34 *5482:69 0 +100 *1816:23 *5482:69 0.000466372 +101 *1839:12 *24028:S 0.000480257 +102 *1841:5 *24030:S 0.00041598 +103 *1861:11 *5482:41 0.000128001 +104 *1862:15 *5482:41 7.14746e-05 +105 *2426:38 *5482:63 0.000260388 +106 *2838:29 *24029:S 0.0001579 +107 *2838:29 *5482:42 0.000217668 +108 *2838:29 *5482:63 3.34025e-05 +109 *2951:19 *20068:A 0 +110 *2955:29 *5482:69 0 +111 *3097:6 *5482:24 0.000571325 +112 *3097:6 *5482:37 0.000362626 +113 *3097:6 *5482:41 0.000731874 +114 *3098:16 *20076:A 1.82679e-05 +115 *4867:28 *5482:7 0.000151519 +116 *5446:32 *24028:S 0 +117 *5449:27 *24028:S 0 +118 *5473:69 *5482:75 0 +*RES +1 *24165:Q *5482:7 24.4554 +2 *5482:7 *5482:10 6.74725 +3 *5482:10 *20081:A 9.24915 +4 *5482:10 *5482:18 13.0083 +5 *5482:18 *5482:22 2.98005 +6 *5482:22 *5482:24 11.1263 +7 *5482:24 *24030:S 26.7321 +8 *5482:24 *5482:37 6.65625 +9 *5482:37 *5482:41 18.3743 +10 *5482:41 *5482:42 6.26943 +11 *5482:42 *24029:S 13.3002 +12 *5482:42 *24028:S 29.2489 +13 *5482:41 *5482:63 12.8745 +14 *5482:63 *5482:69 21.8618 +15 *5482:69 *5482:71 2.24725 +16 *5482:71 *5482:75 9.23876 +17 *5482:75 *20248:A1 12.7697 +18 *5482:75 *22123:A3 9.97254 +19 *5482:71 *20071:C1 14.4725 +20 *5482:69 *20068:A 13.93 +21 *5482:63 *20078:A2 13.7491 +22 *5482:37 *20152:A 13.7491 +23 *5482:22 *20076:A 21.5859 +24 *5482:18 *20332:A 23.9249 +25 *5482:7 *20129:A1 29.8957 +*END + +*D_NET *5483 0.00229082 +*CONN +*I *22107:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *22824:A I *D sky130_fd_sc_hd__nor2_1 +*I *20154:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *24166:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22107:B1 0.000107428 +2 *22824:A 0 +3 *20154:A1 0.000103574 +4 *24166:Q 0 +5 *5483:15 0.000405261 +6 *5483:5 0.000401406 +7 *20154:A1 *5791:8 0.000237791 +8 *20154:A1 *5791:17 3.88655e-06 +9 *20154:A1 *5814:18 7.0814e-05 +10 *22107:B1 *5804:25 4.00824e-05 +11 *5483:15 *5791:8 0.000689199 +12 *5483:15 *5804:25 0 +13 *5483:15 *5814:18 0.000104911 +14 *21166:A *20154:A1 5.22654e-06 +15 *21166:A *5483:15 6.80864e-05 +16 *22107:A1 *22107:B1 5.04829e-06 +17 *552:7 *22107:B1 4.81015e-05 +18 *4937:8 *5483:15 0 +*RES +1 *24166:Q *5483:5 13.7491 +2 *5483:5 *20154:A1 18.0727 +3 *5483:5 *5483:15 16.7133 +4 *5483:15 *22824:A 9.24915 +5 *5483:15 *22107:B1 12.2151 +*END + +*D_NET *5484 0.0106046 +*CONN +*I *24015:S I *D sky130_fd_sc_hd__mux2_1 +*I *20063:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *19750:A I *D sky130_fd_sc_hd__inv_2 +*I *21110:A I *D sky130_fd_sc_hd__and3_1 +*I *22126:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20152:B I *D sky130_fd_sc_hd__or3_1 +*I *24167:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *24015:S 0.000126085 +2 *20063:B1 0.000817676 +3 *19750:A 0.000134988 +4 *21110:A 1.47608e-05 +5 *22126:B1 0 +6 *20152:B 0.000406095 +7 *24167:Q 0 +8 *5484:47 0.00111785 +9 *5484:35 0.000332415 +10 *5484:10 0.000428555 +11 *5484:7 0.00106929 +12 *5484:4 0.00102045 +13 *20063:B1 *20063:A1 2.93593e-05 +14 *20063:B1 *20063:A2 7.21909e-06 +15 *20063:B1 *20081:C 0.000154997 +16 *20063:B1 *5485:67 6.75696e-05 +17 *20152:B *20152:C 1.41976e-05 +18 *20152:B *22126:A1 3.75603e-05 +19 *20152:B *5485:37 0 +20 *20152:B *5916:35 6.50727e-05 +21 *24015:S *5485:31 1.41291e-05 +22 *24015:S *5898:64 0.000143047 +23 *5484:7 *5485:31 0.000145573 +24 *5484:7 *5485:37 1.75155e-06 +25 *20107:A3 *20063:B1 6.23875e-05 +26 *20107:A3 *5484:10 5.41227e-05 +27 *20107:A3 *5484:35 0.000467545 +28 *20107:A3 *5484:47 0.000343971 +29 *21110:C *21110:A 6.08467e-05 +30 *21111:B1 *21110:A 6.08467e-05 +31 *22126:B2 *20152:B 6.50586e-05 +32 *24165:SET_B *20063:B1 0.000157854 +33 *24167:D *5484:7 4.23874e-05 +34 *24167:RESET_B *5484:7 0.000106869 +35 *24167:CLK *20152:B 3.8122e-05 +36 *24167:CLK *5484:7 0.000304777 +37 *484:26 *20152:B 3.99312e-05 +38 *1523:7 *19750:A 2.65831e-05 +39 *1731:65 *20152:B 5.24566e-05 +40 *1816:56 *20063:B1 0.000658601 +41 *1829:31 *20063:B1 2.15348e-05 +42 *1861:11 *20152:B 2.1203e-06 +43 *3097:6 *5484:10 5.77352e-05 +44 *3097:6 *5484:35 0.000463835 +45 *3097:6 *5484:47 4.53418e-05 +46 *4864:30 *20063:B1 0 +47 *4867:28 *20063:B1 0.000224315 +48 *4867:159 *20063:B1 0.000257949 +49 *5473:86 *20152:B 0.000209104 +50 *5474:70 *24015:S 0 +51 *5482:18 *5484:47 0.000143047 +52 *5482:22 *5484:47 0.000116971 +53 *5482:24 *5484:47 1.31528e-05 +54 *5482:37 *20152:B 0.000316513 +55 *5482:41 *20152:B 4.19766e-05 +*RES +1 *24167:Q *5484:4 9.24915 +2 *5484:4 *5484:7 18.5339 +3 *5484:7 *5484:10 5.50149 +4 *5484:10 *20152:B 30.6584 +5 *5484:10 *22126:B1 9.24915 +6 *5484:7 *5484:35 8.47603 +7 *5484:35 *21110:A 14.4725 +8 *5484:35 *5484:47 11.4785 +9 *5484:47 *19750:A 12.2151 +10 *5484:47 *20063:B1 44.0843 +11 *5484:4 *24015:S 21.635 +*END + +*D_NET *5485 0.0430327 +*CONN +*I *24025:S I *D sky130_fd_sc_hd__mux2_1 +*I *24024:S I *D sky130_fd_sc_hd__mux2_1 +*I *21111:A1 I *D sky130_fd_sc_hd__o21ai_4 +*I *20081:C I *D sky130_fd_sc_hd__or3_1 +*I *24027:S I *D sky130_fd_sc_hd__mux2_1 +*I *24063:S I *D sky130_fd_sc_hd__mux2_1 +*I *24060:S I *D sky130_fd_sc_hd__mux2_1 +*I *24023:S I *D sky130_fd_sc_hd__mux2_1 +*I *24021:S I *D sky130_fd_sc_hd__mux2_1 +*I *24022:S I *D sky130_fd_sc_hd__mux2_1 +*I *24016:S I *D sky130_fd_sc_hd__mux2_1 +*I *24058:S I *D sky130_fd_sc_hd__mux2_1 +*I *24065:S I *D sky130_fd_sc_hd__mux2_1 +*I *24055:S I *D sky130_fd_sc_hd__mux2_1 +*I *24053:S I *D sky130_fd_sc_hd__mux2_1 +*I *24051:S I *D sky130_fd_sc_hd__mux2_1 +*I *24061:S I *D sky130_fd_sc_hd__mux2_1 +*I *24026:S I *D sky130_fd_sc_hd__mux2_1 +*I *22126:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20152:C I *D sky130_fd_sc_hd__or3_1 +*I *22124:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *24168:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *24025:S 1.16004e-05 +2 *24024:S 0 +3 *21111:A1 0 +4 *20081:C 0.000339893 +5 *24027:S 0.000215083 +6 *24063:S 0 +7 *24060:S 0.000369823 +8 *24023:S 1.90385e-05 +9 *24021:S 0.000127372 +10 *24022:S 0 +11 *24016:S 0.000288136 +12 *24058:S 0 +13 *24065:S 2.06838e-05 +14 *24055:S 3.5132e-05 +15 *24053:S 1.47608e-05 +16 *24051:S 0 +17 *24061:S 1.59132e-05 +18 *24026:S 0.000342569 +19 *22126:A1 1.96315e-05 +20 *20152:C 0.000241191 +21 *22124:B1 0.000775047 +22 *24168:Q 0.000343982 +23 *5485:200 6.45143e-05 +24 *5485:199 0.000500463 +25 *5485:191 0.00115643 +26 *5485:172 0.000691382 +27 *5485:160 0.000895634 +28 *5485:148 0.000706448 +29 *5485:140 0.00089458 +30 *5485:128 0.000737115 +31 *5485:119 0.000868492 +32 *5485:110 0.000737044 +33 *5485:103 0.000239701 +34 *5485:94 0.000227613 +35 *5485:91 0.000361249 +36 *5485:86 0.000540587 +37 *5485:72 0.00176248 +38 *5485:67 0.00198926 +39 *5485:62 0.000616173 +40 *5485:37 0.000638983 +41 *5485:31 0.00110352 +42 *5485:27 0.000660571 +43 *5485:21 0.00031209 +44 *5485:20 0.000951748 +45 *5485:7 0.00202688 +46 *20081:C *5898:120 0.000206373 +47 *20152:C *5916:35 0.00021569 +48 *20152:C *5929:42 2.92012e-05 +49 *22124:B1 *5804:25 6.02377e-05 +50 *24025:S *5916:35 4.88955e-05 +51 *24060:S *6001:66 7.86825e-06 +52 *5485:7 *5804:25 0.000114786 +53 *5485:21 *5916:35 0.000324166 +54 *5485:31 *24024:A1 0.000189558 +55 *5485:94 *24061:A1 0.000142836 +56 *5485:119 *5997:12 0 +57 *5485:128 *5997:12 0 +58 *5485:140 *5997:12 0 +59 *19574:A2 *5485:140 6.08467e-05 +60 *19574:B1 *5485:140 0.000113968 +61 *19586:A2 *24065:S 0.000111708 +62 *20063:B1 *20081:C 0.000154997 +63 *20063:B1 *5485:67 6.75696e-05 +64 *20069:A *22124:B1 4.31539e-05 +65 *20107:A3 *20152:C 0.00013822 +66 *20152:B *20152:C 1.41976e-05 +67 *20152:B *22126:A1 3.75603e-05 +68 *20152:B *5485:37 0 +69 *20333:A2 *5485:72 0.000413629 +70 *21111:A2 *5485:62 6.27718e-05 +71 *21111:A2 *5485:67 6.27782e-05 +72 *21111:B1 *5485:62 4.76794e-05 +73 *21111:B1 *5485:67 6.46815e-05 +74 *21128:A1 *24026:S 2.41274e-06 +75 *22124:A1 *22124:B1 3.43926e-05 +76 *22126:A2 *5485:37 1.43983e-05 +77 *22825:A *5485:27 0.000366603 +78 *22838:A *5485:172 0.000112861 +79 *22839:A *24016:S 2.55558e-05 +80 *22839:B *24016:S 0.000171572 +81 *22842:B2 *5485:199 1.91391e-05 +82 *24015:S *5485:31 1.41291e-05 +83 *24016:A1 *24016:S 3.30162e-05 +84 *24016:A1 *5485:172 0.000103188 +85 *24021:A0 *24021:S 6.08467e-05 +86 *24021:A1 *24021:S 6.08467e-05 +87 *24022:A1 *24021:S 8.44896e-05 +88 *24022:A1 *5485:172 2.16355e-05 +89 *24023:A0 *24023:S 5.0715e-05 +90 *24024:A0 *5485:27 5.20545e-05 +91 *24024:A0 *5485:31 1.00846e-05 +92 *24025:A0 *24025:S 6.08467e-05 +93 *24025:A0 *5485:21 6.08467e-05 +94 *24051:A0 *24027:S 6.08467e-05 +95 *24052:A0 *24053:S 6.08467e-05 +96 *24053:A0 *24027:S 6.08467e-05 +97 *24058:A0 *5485:148 0.000177066 +98 *24062:A0 *24061:S 2.65831e-05 +99 *24063:A1 *5485:91 0.000102003 +100 *24066:A0 *5485:140 9.18426e-05 +101 *24167:D *22126:A1 1.03434e-05 +102 *24167:D *5485:37 0.000118632 +103 *24167:RESET_B *5485:31 4.32761e-05 +104 *24167:CLK *20152:C 7.92757e-06 +105 *24167:CLK *22126:A1 0 +106 *24167:CLK *5485:37 2.77625e-06 +107 *24168:D *22124:B1 6.08467e-05 +108 *24168:CLK *22124:B1 1.43983e-05 +109 *24201:D *24026:S 8.86331e-05 +110 *24201:CLK *24026:S 1.96353e-05 +111 *448:15 *5485:191 2.38329e-05 +112 *448:15 *5485:199 1.38965e-05 +113 *475:12 *5485:172 1.66626e-05 +114 *475:12 *5485:191 8.37224e-05 +115 *1422:13 *24055:S 4.31539e-05 +116 *1485:84 *5485:94 0 +117 *1485:84 *5485:103 0 +118 *1485:84 *5485:110 0 +119 *1485:84 *5485:119 0 +120 *1515:60 *24060:S 2.07556e-06 +121 *1522:21 *24021:S 2.81262e-05 +122 *1522:21 *24055:S 4.31539e-05 +123 *1522:21 *5485:172 8.19046e-05 +124 *1522:40 *5485:20 0.00103086 +125 *1522:42 *5485:20 0.00093817 +126 *1522:46 *5485:20 0.000127179 +127 *1668:56 *24026:S 0.000108054 +128 *1690:59 *20152:C 8.72835e-05 +129 *1690:59 *5485:21 0.000113197 +130 *1697:28 *5485:27 0.000598582 +131 *1697:28 *5485:31 0.000871886 +132 *1699:20 *5485:172 0.000247216 +133 *1714:24 *24060:S 0 +134 *1714:24 *5485:191 4.89581e-05 +135 *1725:33 *24060:S 6.22502e-06 +136 *1725:33 *5485:172 0.000336893 +137 *1725:33 *5485:191 0.000108438 +138 *1731:59 *20152:C 0.000141935 +139 *1755:21 *24026:S 0.000550953 +140 *1816:23 *5485:37 0 +141 *1816:26 *5485:37 7.6719e-06 +142 *1816:56 *5485:67 0.000103146 +143 *1829:31 *20081:C 4.05831e-05 +144 *1829:31 *5485:67 4.89469e-06 +145 *1862:83 *5485:37 5.56367e-05 +146 *1862:83 *5485:62 0.000565777 +147 *1862:83 *5485:67 1.46312e-05 +148 *2412:10 *5485:62 0 +149 *2412:10 *5485:67 0 +150 *2502:11 *5485:72 0.000503678 +151 *2561:26 *24026:S 0.00025474 +152 *2561:26 *24027:S 0.000374288 +153 *2561:26 *5485:86 0.000126981 +154 *2704:53 *24060:S 7.82388e-06 +155 *2784:23 *5485:72 0 +156 *2803:44 *5485:172 0 +157 *2803:48 *5485:172 0.000237791 +158 *2878:44 *24060:S 0.000459919 +159 *2878:44 *5485:191 6.23101e-05 +160 *2937:11 *24065:S 0.000111708 +161 *2939:13 *24023:S 0.00011628 +162 *2939:13 *5485:199 0.0005469 +163 *2955:17 *5485:20 8.01741e-05 +164 *3014:56 *24027:S 3.06703e-05 +165 *3014:69 *24060:S 7.20648e-06 +166 *3097:6 *20152:C 0.00034709 +167 *3177:111 *5485:20 0 +168 *3177:111 *5485:27 0.00037186 +169 *3758:8 *24027:S 0.000105985 +170 *3761:7 *24021:S 0.000347214 +171 *3762:5 *5485:172 6.08467e-05 +172 *3763:7 *24016:S 0.000160617 +173 *4863:114 *24026:S 0.000111217 +174 *4863:114 *24027:S 6.44531e-05 +175 *4863:114 *24061:S 2.65831e-05 +176 *4863:114 *5485:86 5.11496e-05 +177 *4863:128 *5485:20 0.00140911 +178 *4864:72 *22124:B1 2.77625e-06 +179 *4867:8 *24060:S 0.000160061 +180 *4876:74 *24053:S 6.08467e-05 +181 *4878:40 *5485:94 0.000210932 +182 *4878:40 *5485:103 0.00015095 +183 *4878:40 *5485:110 0.000201273 +184 *4878:40 *5485:119 0.000861286 +185 *4878:40 *5485:128 0.000174043 +186 *4878:47 *5485:128 0.000200221 +187 *4878:47 *5485:140 0.000176217 +188 *4937:8 *5485:20 0 +189 *5437:23 *5485:172 1.01177e-05 +190 *5438:61 *5485:91 0.000474864 +191 *5440:29 *24026:S 0.00013791 +192 *5444:32 *5485:191 1.51658e-05 +193 *5444:36 *5485:172 1.37367e-05 +194 *5444:36 *5485:191 2.19131e-05 +195 *5444:47 *24016:S 4.58003e-05 +196 *5444:47 *5485:148 6.63489e-05 +197 *5445:22 *5485:199 1.29348e-05 +198 *5476:16 *5485:27 0.000361637 +199 *5476:34 *24021:S 2.81262e-05 +200 *5476:34 *24060:S 5.14923e-05 +201 *5476:38 *24060:S 4.97207e-06 +202 *5482:18 *20081:C 0.000118166 +203 *5484:7 *5485:31 0.000145573 +204 *5484:7 *5485:37 1.75155e-06 +*RES +1 *24168:Q *5485:7 14.9881 +2 *5485:7 *22124:B1 20.8114 +3 *5485:7 *5485:20 47.7894 +4 *5485:20 *5485:21 3.49641 +5 *5485:21 *5485:27 22.6391 +6 *5485:27 *5485:31 19.6431 +7 *5485:31 *5485:37 11.2404 +8 *5485:37 *20152:C 28.3382 +9 *5485:37 *22126:A1 10.2378 +10 *5485:31 *5485:62 15.3604 +11 *5485:62 *5485:67 12.6613 +12 *5485:67 *5485:72 10.612 +13 *5485:72 *24026:S 36.2122 +14 *5485:72 *5485:86 2.45487 +15 *5485:86 *5485:91 11.9028 +16 *5485:91 *5485:94 8.40826 +17 *5485:94 *24061:S 14.4725 +18 *5485:94 *5485:103 3.07775 +19 *5485:103 *24051:S 13.7491 +20 *5485:103 *5485:110 3.90826 +21 *5485:110 *24053:S 14.4725 +22 *5485:110 *5485:119 16.3658 +23 *5485:119 *24055:S 15.0271 +24 *5485:119 *5485:128 6.81502 +25 *5485:128 *24065:S 15.0271 +26 *5485:128 *5485:140 15.7869 +27 *5485:140 *24058:S 9.24915 +28 *5485:140 *5485:148 9.06656 +29 *5485:148 *24016:S 16.8207 +30 *5485:148 *5485:160 4.5 +31 *5485:160 *5485:172 30.131 +32 *5485:172 *24022:S 9.24915 +33 *5485:172 *24021:S 14.4094 +34 *5485:160 *5485:191 7.21953 +35 *5485:191 *5485:199 25.0117 +36 *5485:199 *5485:200 57.9449 +37 *5485:200 *24023:S 19.7763 +38 *5485:191 *24060:S 22.5066 +39 *5485:91 *24063:S 9.24915 +40 *5485:86 *24027:S 21.7028 +41 *5485:67 *20081:C 24.022 +42 *5485:62 *21111:A1 9.24915 +43 *5485:27 *24024:S 9.24915 +44 *5485:21 *24025:S 9.97254 +*END + +*D_NET *5486 0.00438299 +*CONN +*I *22824:B I *D sky130_fd_sc_hd__nor2_1 +*I *22123:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *20151:A I *D sky130_fd_sc_hd__inv_2 +*I *20308:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *24169:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22824:B 0.000216331 +2 *22123:B1 0.000361566 +3 *20151:A 3.26328e-05 +4 *20308:A1 5.06256e-05 +5 *24169:Q 4.85009e-05 +6 *5486:27 0.00107654 +7 *5486:10 0.000253512 +8 *5486:7 0.000717401 +9 *22824:B *5791:8 2.02035e-05 +10 *5486:10 *5805:26 1.8906e-05 +11 *5486:27 *5805:26 1.77537e-06 +12 *5486:27 *5812:53 0.000584731 +13 *20154:A3 *20151:A 0.00011818 +14 *20154:A3 *20308:A1 0.00013754 +15 *20154:A3 *5486:10 8.85814e-05 +16 *20308:A2 *20308:A1 0 +17 *22124:B2 *22123:B1 6.92522e-05 +18 *22124:B2 *22824:B 9.29269e-06 +19 *24166:D *5486:27 0 +20 *24166:RESET_B *5486:27 4.80148e-05 +21 *24168:D *22824:B 0 +22 *24169:D *22123:B1 0 +23 *1862:47 *20308:A1 3.14978e-05 +24 *4864:75 *22824:B 2.02035e-05 +25 *4864:75 *5486:27 0 +26 *4864:89 *5486:7 1.43983e-05 +27 *5473:39 *20151:A 6.75138e-05 +28 *5473:39 *20308:A1 0.000387915 +29 *5473:42 *5486:10 0 +30 *5473:69 *22123:B1 7.86825e-06 +31 *5473:69 *5486:10 0 +32 *5473:69 *5486:27 0 +*RES +1 *24169:Q *5486:7 14.4725 +2 *5486:7 *5486:10 9.23876 +3 *5486:10 *20308:A1 13.8789 +4 *5486:10 *20151:A 11.0817 +5 *5486:7 *5486:27 18.6965 +6 *5486:27 *22123:B1 18.3878 +7 *5486:27 *22824:B 18.0054 +*END + +*D_NET *5487 0.00166664 +*CONN +*I *20063:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *20129:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *24813:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20063:A2 0.000300783 +2 *20129:B1 0.000157554 +3 *24813:Q 5.77139e-05 +4 *5487:8 0.000516051 +5 *20063:A2 *20063:A1 6.50727e-05 +6 *20063:B1 *20063:A2 7.21909e-06 +7 *20129:A1 *20129:B1 0.000126754 +8 *24827:D *20063:A2 6.92705e-05 +9 *459:31 *20129:B1 1.86391e-05 +10 *1697:39 *5487:8 4.61732e-05 +11 *1816:56 *20063:A2 1.40978e-05 +12 *1829:31 *20063:A2 0.000260388 +13 *1829:34 *5487:8 2.692e-05 +*RES +1 *24813:Q *5487:8 20.0811 +2 *5487:8 *20129:B1 13.6016 +3 *5487:8 *20063:A2 17.2065 +*END + +*D_NET *5488 0.0110463 +*CONN +*I *24057:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *22102:A1 I *D sky130_fd_sc_hd__o21ai_4 +*I *20063:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *24827:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *24057:A0 0.000541236 +2 *22102:A1 0 +3 *20063:A1 0.000607577 +4 *24827:Q 0 +5 *5488:28 0.000598834 +6 *5488:25 0.00253105 +7 *5488:4 0.00308103 +8 *5488:25 *6034:DIODE 7.42988e-06 +9 *5488:28 *6001:116 0.000115772 +10 *20063:A2 *20063:A1 6.50727e-05 +11 *20063:B1 *20063:A1 2.93593e-05 +12 *22102:A2 *24057:A0 0.000107496 +13 *23942:A1 *5488:25 0.000201214 +14 *23943:A0 *5488:25 2.02247e-05 +15 *24449:CLK *24057:A0 0.000797158 +16 *476:21 *24057:A0 0.00121893 +17 *493:7 *5488:25 0.000207266 +18 *1439:356 *24057:A0 4.45548e-05 +19 *1455:59 *24057:A0 0.000163465 +20 *1522:26 *20063:A1 0 +21 *1764:31 *24057:A0 4.45548e-05 +22 *1816:56 *20063:A1 3.62662e-06 +23 *1845:144 *20063:A1 7.73065e-05 +24 *2412:12 *20063:A1 0 +25 *2510:21 *20063:A1 7.48797e-05 +26 *2563:8 *5488:28 0.000112174 +27 *2704:35 *24057:A0 7.94413e-05 +28 *2704:39 *24057:A0 6.63489e-05 +29 *3089:7 *24057:A0 0.000154145 +30 *3177:130 *24057:A0 7.39264e-05 +31 *4867:159 *20063:A1 2.22198e-05 +*RES +1 *24827:Q *5488:4 9.24915 +2 *5488:4 *20063:A1 38.1524 +3 *5488:4 *5488:25 48.1208 +4 *5488:25 *5488:28 6.74725 +5 *5488:28 *22102:A1 9.24915 +6 *5488:28 *24057:A0 41.3784 +*END + +*D_NET *5489 0.00217165 +*CONN +*I *19870:A I *D sky130_fd_sc_hd__inv_2 +*I *19746:A I *D sky130_fd_sc_hd__or3_4 +*I *19594:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24906:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19870:A 0.00010767 +2 *19746:A 0 +3 *19594:A1 0.000220007 +4 *24906:Q 0 +5 *5489:8 0.000306468 +6 *5489:5 0.000194131 +7 *19594:A1 *6040:DIODE 6.08467e-05 +8 *19594:A1 *5861:79 0.000304791 +9 *19594:A1 *5908:95 0.000160617 +10 *19874:B1 *19594:A1 1.03434e-05 +11 *19874:B1 *19870:A 5.93329e-05 +12 *19874:B1 *5489:8 8.20978e-05 +13 *20777:B *19870:A 6.97183e-05 +14 *20777:B *5489:8 0.000127594 +15 *1521:11 *19594:A1 9.80747e-05 +16 *1733:85 *19870:A 9.5562e-05 +17 *2766:6 *5489:8 2.04806e-05 +18 *3162:123 *19870:A 0.000253916 +*RES +1 *24906:Q *5489:5 13.7491 +2 *5489:5 *5489:8 7.1625 +3 *5489:8 *19594:A1 16.0973 +4 *5489:8 *19746:A 9.24915 +5 *5489:5 *19870:A 18.1077 +*END + +*D_NET *5490 0.00142878 +*CONN +*I *20347:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *19942:A I *D sky130_fd_sc_hd__inv_2 +*I *24676:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20347:A1 0.00019691 +2 *19942:A 0 +3 *24676:Q 7.48467e-06 +4 *5490:11 0.000208027 +5 *5490:8 6.18464e-05 +6 *5490:7 5.82142e-05 +7 *20347:A1 *24519:RESET_B 9.97706e-05 +8 *20347:A1 *5851:209 2.26985e-05 +9 *5490:7 *5917:524 6.65788e-05 +10 *5490:11 *24519:RESET_B 6.50586e-05 +11 *24519:CLK *20347:A1 0.000113968 +12 *24519:CLK *5490:7 6.65788e-05 +13 *24519:CLK *5490:11 6.23759e-05 +14 *1784:13 *20347:A1 0.000125179 +15 *1947:228 *20347:A1 9.21233e-05 +16 *2274:22 *20347:A1 0.000132269 +17 *2808:20 *20347:A1 3.05764e-05 +18 *2994:10 *20347:A1 1.91246e-05 +*RES +1 *24676:Q *5490:7 19.2217 +2 *5490:7 *5490:8 57.9449 +3 *5490:8 *5490:11 9.97254 +4 *5490:11 *19942:A 9.24915 +5 *5490:11 *20347:A1 29.1153 +*END + +*D_NET *5491 0.00269911 +*CONN +*I *21979:A I *D sky130_fd_sc_hd__clkinv_2 +*I *20345:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24677:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21979:A 0.00057411 +2 *20345:A1 0.000124388 +3 *24677:Q 0 +4 *5491:4 0.000698498 +5 *20345:A1 *5898:25 0.000114523 +6 *20345:A1 *5917:465 0.000116986 +7 *21979:A *5851:211 6.11515e-05 +8 *21979:A *5898:25 0.00060504 +9 *2808:20 *21979:A 0.00028383 +10 *4832:116 *20345:A1 0.000120584 +*RES +1 *24677:Q *5491:4 9.24915 +2 *5491:4 *20345:A1 21.7744 +3 *5491:4 *21979:A 30.6424 +*END + +*D_NET *5492 0.00204785 +*CONN +*I *23941:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *20318:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24695:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23941:A0 0.000163585 +2 *20318:A1 6.68648e-05 +3 *24695:Q 0.000186344 +4 *5492:7 0.000416794 +5 *20318:A1 *5785:65 0.00019364 +6 *23941:A0 *5725:10 0.000253916 +7 *23941:A0 *5785:65 0.00017506 +8 *5492:7 *5853:444 0.000152885 +9 *324:11 *20318:A1 0.000190042 +10 *324:11 *23941:A0 0.000217395 +11 *531:42 *23941:A0 3.13215e-05 +*RES +1 *24695:Q *5492:7 18.3548 +2 *5492:7 *20318:A1 17.2421 +3 *5492:7 *23941:A0 20.5992 +*END + +*D_NET *5493 0.0198984 +*CONN +*I *19562:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20326:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24689:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *19562:A0 0 +2 *20326:A1 0.000524728 +3 *24689:Q 0 +4 *5493:51 0.000887528 +5 *5493:43 0.00124151 +6 *5493:34 0.000882123 +7 *5493:33 0.00171899 +8 *5493:4 0.00171557 +9 *5493:34 *5902:18 0.00315322 +10 *5493:51 *19562:A1 6.36477e-05 +11 *5493:51 *21572:A 6.40334e-05 +12 *5493:51 *5898:220 0.00192587 +13 mgmt_gpio_out[13] *5493:33 3.09106e-06 +14 *20326:A2 *20326:A1 2.41274e-06 +15 *20696:A1 *5493:43 6.08467e-05 +16 *20696:A1 *5493:51 8.45896e-06 +17 *25137:A *5493:51 0.000113199 +18 *25141:A *5493:33 3.12919e-06 +19 *490:83 *5493:43 0.000295417 +20 *1555:70 *5493:34 0.00316635 +21 *1741:40 *5493:51 0 +22 *1920:34 *20326:A1 8.12381e-05 +23 *1920:40 *20326:A1 0.000198453 +24 *2287:74 *5493:43 3.71311e-05 +25 *2287:74 *5493:51 7.50872e-05 +26 *2320:35 *5493:33 0 +27 *2367:20 *5493:33 0.000108586 +28 *2367:49 *5493:51 7.12079e-05 +29 *2467:49 *5493:51 7.36804e-06 +30 *2545:18 *5493:33 0.000489156 +31 *2692:31 *5493:51 0.00123419 +32 *2729:61 *5493:43 0.000247443 +33 *2791:24 *5493:33 3.09529e-05 +34 *4814:64 *5493:51 0.000124228 +35 *4816:38 *20326:A1 0.000272616 +36 *4823:63 *5493:43 4.28856e-07 +37 *4825:64 *5493:33 1.3813e-05 +38 *4825:70 *5493:33 6.94919e-05 +39 *4828:62 *5493:51 0.000437535 +40 *4829:161 *5493:33 3.60995e-05 +41 *4833:173 *5493:33 0.000472372 +42 *5040:13 *5493:43 6.08467e-05 +*RES +1 *24689:Q *5493:4 9.24915 +2 *5493:4 *20326:A1 28.8715 +3 *5493:4 *5493:33 30.8491 +4 *5493:33 *5493:34 51.8699 +5 *5493:34 *5493:43 18.6453 +6 *5493:43 *5493:51 45.7471 +7 *5493:51 *19562:A0 9.24915 +*END + +*D_NET *5494 0.00901337 +*CONN +*I *20325:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24105:A I *D sky130_fd_sc_hd__ebufn_8 +*I *24690:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20325:A1 0.000131773 +2 *24105:A 0.000126097 +3 *24690:Q 0.000576736 +4 *5494:10 0.00111989 +5 *5494:7 0.0017023 +6 *5494:10 *5864:753 1.5254e-05 +7 *20325:A2 *20325:A1 6.08467e-05 +8 *23870:A *24105:A 9.43616e-05 +9 *24688:D *5494:10 0.000307428 +10 *598:8 *20325:A1 0.000264538 +11 *598:8 *5494:10 0.000314514 +12 *1608:98 *5494:10 0.000318429 +13 *1709:27 *20325:A1 0.000262473 +14 *1709:27 *5494:10 0.000317939 +15 *1709:40 *5494:10 0.00149591 +16 *2257:69 *5494:10 2.01503e-05 +17 *2287:82 *5494:10 0.000113821 +18 *2380:60 *5494:10 1.28326e-05 +19 *2467:55 *5494:10 1.9101e-05 +20 *2545:35 *20325:A1 1.65872e-05 +21 *4813:125 *24105:A 3.82228e-05 +22 *4819:111 *24105:A 0.000250254 +23 *4819:111 *5494:10 0.00132321 +24 *5041:10 *5494:10 0.000110696 +*RES +1 *24690:Q *5494:7 21.1278 +2 *5494:7 *5494:10 49.9335 +3 *5494:10 *24105:A 13.3002 +4 *5494:7 *20325:A1 19.7659 +*END + +*D_NET *5495 0.00327251 +*CONN +*I *20324:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24106:A I *D sky130_fd_sc_hd__ebufn_8 +*I *24691:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20324:A1 0 +2 *24106:A 0.000253779 +3 *24691:Q 0.000348135 +4 *5495:11 0.000601914 +5 *5495:11 *5898:228 5.54078e-05 +6 *20322:A2 *24106:A 0.000262339 +7 *23878:A *5495:11 1.61631e-05 +8 *23879:A *5495:11 1.41976e-05 +9 *480:134 *24106:A 0.00056755 +10 *1629:18 *24106:A 3.59318e-05 +11 *1920:20 *24106:A 8.88627e-05 +12 *1920:24 *24106:A 0.00047206 +13 *1920:34 *24106:A 4.88955e-05 +14 *2451:36 *24106:A 0.000102098 +15 *2692:12 *5495:11 0.000118485 +16 *4814:19 *24106:A 2.16355e-05 +17 *4814:26 *24106:A 4.58003e-05 +18 *4817:23 *5495:11 0.000211478 +19 *4819:121 *24106:A 7.77309e-06 +*RES +1 *24691:Q *5495:11 25.8254 +2 *5495:11 *24106:A 31.8826 +3 *5495:11 *20324:A1 9.24915 +*END + +*D_NET *5496 0.00228686 +*CONN +*I *20323:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19568:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24692:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20323:A1 0 +2 *19568:A0 0.000237478 +3 *24692:Q 0.000108021 +4 *5496:9 0.000345499 +5 *20323:A2 *5496:9 3.8122e-05 +6 *20323:B1 *19568:A0 0.000113968 +7 *20323:B1 *5496:9 0.000243509 +8 *20323:B2 *19568:A0 0.000113968 +9 *20323:B2 *5496:9 9.32983e-05 +10 *490:62 *19568:A0 0.000263247 +11 *1905:34 *5496:9 4.3116e-06 +12 *2530:44 *19568:A0 0.000603343 +13 *2813:26 *5496:9 0.000122098 +*RES +1 *24692:Q *5496:9 22.8836 +2 *5496:9 *19568:A0 30.0794 +3 *5496:9 *20323:A1 9.24915 +*END + +*D_NET *5497 0.00108138 +*CONN +*I *19567:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20322:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24693:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19567:A0 8.90849e-05 +2 *20322:A1 3.0861e-05 +3 *24693:Q 0.000105514 +4 *5497:6 0.00022546 +5 *20322:A2 *20322:A1 2.65667e-05 +6 *20322:B2 *20322:A1 0.000113968 +7 *2620:20 *19567:A0 9.14201e-05 +8 *2620:20 *5497:6 7.37927e-05 +9 *4815:26 *19567:A0 0.000208946 +10 *4815:26 *5497:6 6.46124e-05 +11 *4815:28 *5497:6 5.11593e-05 +*RES +1 *24693:Q *5497:6 17.2421 +2 *5497:6 *20322:A1 15.0271 +3 *5497:6 *19567:A0 17.6574 +*END + +*D_NET *5498 0.00175555 +*CONN +*I *19566:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20321:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24694:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19566:A0 0.000267274 +2 *20321:A1 0.000186795 +3 *24694:Q 0 +4 *5498:5 0.000454068 +5 *19566:A0 *19566:A1 2.65831e-05 +6 *19566:A0 *24046:A0 6.50586e-05 +7 *19566:A0 *5557:10 0.000240501 +8 *20321:A1 *5714:35 8.88534e-05 +9 *20731:B1 *20321:A1 8.39059e-05 +10 *24046:S *19566:A0 5.39608e-05 +11 *24046:S *20321:A1 5.22654e-06 +12 *2262:34 *19566:A0 3.6482e-05 +13 *2262:34 *20321:A1 1.90915e-05 +14 *2813:26 *19566:A0 0 +15 *2813:26 *20321:A1 0 +16 *4816:22 *20321:A1 0.000151382 +17 *4827:106 *20321:A1 4.3116e-06 +18 *4901:53 *20321:A1 7.20595e-05 +*RES +1 *24694:Q *5498:5 13.7491 +2 *5498:5 *20321:A1 20.4599 +3 *5498:5 *19566:A0 22.6811 +*END + +*D_NET *5499 0.00174584 +*CONN +*I *20343:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24110:A I *D sky130_fd_sc_hd__ebufn_8 +*I *24678:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20343:A1 0.00010041 +2 *24110:A 0 +3 *24678:Q 0.000402905 +4 *5499:8 0.000503316 +5 *20343:A1 *5714:38 0.000219154 +6 *20343:A1 *5865:535 2.16355e-05 +7 *5499:8 *5714:38 0.000130777 +8 *20343:A2 *20343:A1 1.41976e-05 +9 *4820:91 *20343:A1 0.000226267 +10 *4820:91 *5499:8 0.000127179 +*RES +1 *24678:Q *5499:8 21.1566 +2 *5499:8 *24110:A 13.7491 +3 *5499:8 *20343:A1 18.3808 +*END + +*D_NET *5500 0.00186899 +*CONN +*I *20342:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24111:A I *D sky130_fd_sc_hd__ebufn_8 +*I *24679:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20342:A1 0.000126367 +2 *24111:A 0 +3 *24679:Q 0.000411302 +4 *5500:8 0.000537668 +5 *20342:A1 *5714:38 0.000213119 +6 *5500:8 *5714:38 0.000121494 +7 *1924:63 *20342:A1 5.04829e-06 +8 *4819:137 *5500:8 0.000112367 +9 *4820:91 *20342:A1 0.000223478 +10 *4820:91 *5500:8 0.00011815 +*RES +1 *24679:Q *5500:8 21.1566 +2 *5500:8 *24111:A 13.7491 +3 *5500:8 *20342:A1 18.9354 +*END + +*D_NET *5501 0.00113619 +*CONN +*I *24112:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20341:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24680:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24112:A 0 +2 *20341:A1 6.77822e-05 +3 *24680:Q 0.000348024 +4 *5501:10 0.000415806 +5 *5501:10 *24725:RESET_B 3.6455e-05 +6 *5501:10 *5714:38 0.000127179 +7 *5501:10 *5906:20 1.73666e-05 +8 *23884:A *5501:10 4.84944e-05 +9 *4820:91 *5501:10 7.50872e-05 +*RES +1 *24680:Q *5501:10 25.102 +2 *5501:10 *20341:A1 10.5271 +3 *5501:10 *24112:A 9.24915 +*END + +*D_NET *5502 0.00165388 +*CONN +*I *24113:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20340:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24681:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24113:A 0.000299542 +2 *20340:A1 0.000477892 +3 *24681:Q 0 +4 *5502:5 0.000777434 +5 *20340:A1 *24681:RESET_B 0 +6 *20340:A1 *5906:14 0 +7 *24113:A *24681:RESET_B 0 +8 *24113:A *5714:38 0 +9 *24113:A *5906:14 0 +10 *23887:A *24113:A 0 +11 *24113:TE_B *24113:A 9.90116e-05 +12 *4828:44 *20340:A1 0 +*RES +1 *24681:Q *5502:5 13.7491 +2 *5502:5 *20340:A1 24.4786 +3 *5502:5 *24113:A 21.9843 +*END + +*D_NET *5503 0.0416116 +*CONN +*I *20317:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *23942:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24696:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20317:A1 2.18332e-05 +2 *23942:A0 0.00947597 +3 *24696:Q 0.000196558 +4 *5503:16 0.00974991 +5 *5503:9 0.000492325 +6 *23942:A0 *24064:A1 2.37478e-05 +7 *23942:A0 *5856:281 0.00149957 +8 *23942:A0 *5864:49 0.000723385 +9 *23942:A0 *5908:9 0 +10 *23942:A0 *6001:116 2.02035e-05 +11 *5503:16 *5855:341 7.59892e-05 +12 *5503:16 *5855:378 1.41396e-05 +13 *19946:B2 *23942:A0 0.000163315 +14 *19990:A1 *23942:A0 0.000727895 +15 *20317:B2 *5503:16 6.08467e-05 +16 *24696:D *5503:16 0.000127179 +17 *476:18 *23942:A0 8.75001e-05 +18 *482:151 *5503:9 0.000162529 +19 *531:51 *5503:16 0.000346565 +20 *1502:42 *23942:A0 0.000116084 +21 *1740:22 *23942:A0 0.00286822 +22 *1763:37 *23942:A0 0.000881118 +23 *1768:14 *23942:A0 0.000499188 +24 *1918:36 *5503:16 5.97411e-05 +25 *2103:45 *5503:9 0.000104553 +26 *2103:45 *5503:16 6.40265e-05 +27 *2145:21 *23942:A0 0.000432423 +28 *2301:11 *23942:A0 0.00977526 +29 *2333:38 *5503:9 0.000162529 +30 *2398:80 *5503:9 0.000189558 +31 *2398:80 *5503:16 7.34948e-06 +32 *2400:12 *23942:A0 0.000634725 +33 *2456:30 *23942:A0 3.03067e-05 +34 *2703:21 *23942:A0 0.000168531 +35 *2703:36 *23942:A0 0.000200588 +36 *2808:32 *23942:A0 0.000245683 +37 *2809:31 *23942:A0 0.000192198 +38 *4821:55 *23942:A0 0.00101004 +*RES +1 *24696:Q *5503:9 26.4871 +2 *5503:9 *5503:16 18.1119 +3 *5503:16 *23942:A0 49.6723 +4 *5503:9 *20317:A1 9.82786 +*END + +*D_NET *5504 0.0220444 +*CONN +*I *24114:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20339:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24682:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *24114:A 0.000796898 +2 *20339:A1 7.62629e-06 +3 *24682:Q 9.19449e-05 +4 *5504:26 0.00353402 +5 *5504:23 0.00278179 +6 *5504:18 0.00436855 +7 *5504:17 0.0045102 +8 *5504:6 0.000285894 +9 *24114:A *24509:RESET_B 2.86529e-05 +10 *24114:A *5866:438 0.000790332 +11 *24114:A *5866:444 6.04273e-05 +12 *24114:A *5866:499 0.00091706 +13 *24114:A *5918:32 0.00070111 +14 *5504:26 *5907:31 0.000182965 +15 *5504:26 *5907:33 0.000189437 +16 *19861:A *24114:A 0.00026818 +17 *20297:A *5504:18 0.000106069 +18 *20339:B2 *5504:6 6.29345e-05 +19 *20800:A1 *5504:18 0.000517234 +20 *20800:A2 *5504:18 3.31745e-05 +21 *20800:B1 *5504:18 6.50727e-05 +22 *20800:B2 *5504:18 2.39581e-05 +23 *23888:A *24114:A 1.44611e-05 +24 *24514:CLK *5504:26 1.84293e-05 +25 *24961:A *20339:A1 2.33246e-05 +26 *1914:7 *5504:18 1.16596e-05 +27 *1925:31 *20339:A1 8.29362e-06 +28 *1925:40 *5504:17 6.22712e-05 +29 *2487:13 *5504:18 0.000107496 +30 *2813:8 *5504:23 7.14746e-05 +31 *3036:10 *5504:26 0.000360145 +32 *4833:181 *5504:6 0.000190042 +33 *4833:181 *5504:17 0.000441823 +34 *4845:80 *5504:26 0.00034914 +35 *4845:95 *5504:18 4.43826e-05 +36 *5291:8 *5504:23 1.79672e-05 +*RES +1 *24682:Q *5504:6 17.2421 +2 *5504:6 *20339:A1 14.0264 +3 *5504:6 *5504:17 12.1455 +4 *5504:17 *5504:18 62.2844 +5 *5504:18 *5504:23 10.4167 +6 *5504:23 *5504:26 46.8187 +7 *5504:26 *24114:A 47.9708 +*END + +*D_NET *5505 0.0159991 +*CONN +*I *20338:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24115:A I *D sky130_fd_sc_hd__ebufn_8 +*I *24683:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *20338:A1 0.000109069 +2 *24115:A 0.00020304 +3 *24683:Q 0 +4 *5505:15 0.00254243 +5 *5505:9 0.00518213 +6 *5505:4 0.00295181 +7 *5505:9 *5515:9 1.62073e-05 +8 *5505:9 *5932:17 0.00221175 +9 *5505:15 *5893:8 0 +10 *20338:B1 *20338:A1 0 +11 *20338:B1 *5505:9 0.000200794 +12 *23890:A *24115:A 1.2693e-05 +13 *24683:CLK *20338:A1 7.09395e-05 +14 *24683:CLK *5505:9 0.000542253 +15 *1910:59 *5505:15 0.000372958 +16 *1924:96 *20338:A1 3.67528e-06 +17 *4823:156 *24115:A 0.000119659 +18 *4825:181 *24115:A 0 +19 *4829:187 *5505:15 5.53934e-05 +20 *4830:17 *5505:15 0.0003569 +21 *4843:898 *5505:15 0.00100535 +22 *4843:935 *20338:A1 4.20662e-05 +*RES +1 *24683:Q *5505:4 9.24915 +2 *5505:4 *5505:9 48.0576 +3 *5505:9 *5505:15 49.8146 +4 *5505:15 *24115:A 23.8184 +5 *5505:4 *20338:A1 21.3269 +*END + +*D_NET *5506 0.0185717 +*CONN +*I *24116:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20337:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24684:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *24116:A 0.000174667 +2 *20337:A1 8.43288e-05 +3 *24684:Q 0.000489796 +4 *5506:22 0.00220115 +5 *5506:21 0.00279236 +6 *5506:8 0.00134 +7 *24116:A *25226:A 0 +8 *24116:A *5918:51 0 +9 *5506:22 *21310:A 1.92336e-05 +10 *5506:22 *25229:A 0.000334808 +11 *5506:22 *25232:A 0.000530151 +12 *5506:22 *25234:A 0.000434578 +13 *5506:22 *5715:13 5.0334e-05 +14 *5506:22 *5715:18 0.000170009 +15 *5506:22 *5716:11 0.0031548 +16 *5506:22 *5716:15 0.000162116 +17 *5506:22 *5716:19 0.00109722 +18 *5506:22 *5918:51 0.00011322 +19 mgmt_gpio_oeb[28] *5506:22 0.000175485 +20 mgmt_gpio_out[23] *24116:A 0 +21 mgmt_gpio_out[23] *5506:22 0.000379505 +22 mgmt_gpio_out[32] *5506:8 0.000143032 +23 mgmt_gpio_out[32] *5506:21 0.00171504 +24 *20337:B1 *20337:A1 1.82895e-05 +25 *20341:A2 *5506:8 0.000111722 +26 *23890:A *24116:A 0 +27 *23914:A *5506:21 1.93378e-05 +28 *24116:TE_B *24116:A 3.67528e-06 +29 *24117:TE_B *24116:A 5.41227e-05 +30 *24117:TE_B *5506:22 7.68538e-06 +31 *24684:CLK *5506:8 8.39059e-05 +32 *2372:7 *5506:22 0.000734107 +33 *2372:13 *5506:22 0.00134786 +34 *2372:16 *5506:21 0 +35 *2451:13 *20337:A1 1.82895e-05 +36 *4825:181 *24116:A 3.67708e-05 +37 *4835:18 *5506:8 3.87866e-05 +38 *4835:18 *5506:21 0.000402936 +39 *4900:41 *5506:8 0.00013243 +*RES +1 *24684:Q *5506:8 23.2357 +2 *5506:8 *20337:A1 15.4899 +3 *5506:8 *5506:21 34.9844 +4 *5506:21 *5506:22 79.4771 +5 *5506:22 *24116:A 22.5727 +*END + +*D_NET *5507 0.0204566 +*CONN +*I *20336:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24117:A I *D sky130_fd_sc_hd__ebufn_8 +*I *24685:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *20336:A1 0.000156684 +2 *24117:A 0.000650953 +3 *24685:Q 0 +4 *5507:15 0.00187429 +5 *5507:14 0.00122333 +6 *5507:12 0.00142831 +7 *5507:11 0.00232687 +8 *5507:5 0.00105524 +9 *24117:A *5888:8 0 +10 *24117:A *5918:51 3.22726e-05 +11 *5507:11 *5867:572 0.000167076 +12 *5507:11 *5898:239 0.00280164 +13 *5507:12 *5867:577 8.63795e-06 +14 *5507:12 *5897:12 0.000156998 +15 *20285:A *5507:12 0 +16 *20289:A2 *5507:12 3.55296e-05 +17 *20336:B2 *20336:A1 0 +18 *20339:B2 *20336:A1 6.62135e-05 +19 *20339:B2 *5507:11 0.000122083 +20 *21563:B2 *5507:12 0.00024873 +21 *23890:A *24117:A 0 +22 *24377:D *5507:12 0.00012568 +23 *24380:CLK *5507:12 0.000143039 +24 *24708:CLK *5507:12 3.34862e-05 +25 *24717:D *5507:12 0 +26 *131:11 *24117:A 4.12119e-05 +27 *1910:97 *5507:12 0 +28 *1924:16 *20336:A1 3.67528e-06 +29 *2451:12 *5507:12 0.000162053 +30 *2467:9 *5507:15 0.00131386 +31 *2499:8 *5507:12 0 +32 *2499:10 *5507:12 0 +33 *4823:156 *24117:A 0 +34 *4824:70 *24117:A 0.000275068 +35 *4832:23 *5507:15 0.00424798 +36 *4832:32 *5507:12 0 +37 *4833:181 *20336:A1 0.000245732 +38 *4833:181 *5507:11 2.75427e-05 +39 *4841:262 *5507:12 0.000324663 +40 *4899:76 *5507:12 0.000388264 +41 *4899:82 *5507:12 0.00062841 +42 *4899:121 *5507:12 0.000141075 +*RES +1 *24685:Q *5507:5 13.7491 +2 *5507:5 *5507:11 41.9192 +3 *5507:11 *5507:12 46.264 +4 *5507:12 *5507:14 4.5 +5 *5507:14 *5507:15 46.2009 +6 *5507:15 *24117:A 35.4455 +7 *5507:5 *20336:A1 19.3673 +*END + +*D_NET *5508 0.00415729 +*CONN +*I *20294:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24118:A I *D sky130_fd_sc_hd__ebufn_8 +*I *24711:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20294:A1 0 +2 *24118:A 0.000269463 +3 *24711:Q 0.000196308 +4 *5508:9 0.000465771 +5 *5508:9 *5896:9 0.000169093 +6 *20294:B1 *24118:A 6.08467e-05 +7 *20294:B2 *24118:A 0.000426702 +8 *24119:TE_B *24118:A 2.90773e-05 +9 *2451:7 *24118:A 0.00121554 +10 *2451:7 *5508:9 0.000772115 +11 *4826:31 *24118:A 4.31539e-05 +12 *4827:135 *24118:A 3.07561e-05 +13 *4827:135 *5508:9 0.000309363 +14 *4830:28 *5508:9 0.000169093 +*RES +1 *24711:Q *5508:9 29.8147 +2 *5508:9 *24118:A 23.3071 +3 *5508:9 *20294:A1 9.24915 +*END + +*D_NET *5509 0.0036774 +*CONN +*I *24119:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20293:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24712:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24119:A 0.000436043 +2 *20293:A1 0.000134117 +3 *24712:Q 0.00037108 +4 *5509:13 0.00094124 +5 *20293:A1 *5891:14 0.000237053 +6 *20293:A1 *5906:38 1.41291e-05 +7 *24119:A *5891:14 0.000156046 +8 *5509:13 *5866:685 0.000421246 +9 *5509:13 *5866:696 5.03285e-05 +10 *20591:A1 *20293:A1 2.04806e-05 +11 *20591:A1 *5509:13 4.58003e-05 +12 *21427:A *20293:A1 0.000112073 +13 *24712:D *5509:13 1.07248e-05 +14 *24714:CLK *20293:A1 5.66868e-06 +15 *24714:CLK *24119:A 4.05593e-05 +16 *24714:CLK *5509:13 0 +17 *135:11 *24119:A 3.20069e-06 +18 *1910:35 *5509:13 7.88559e-05 +19 *2487:13 *20293:A1 4.81015e-05 +20 *2687:10 *5509:13 2.36813e-05 +21 *4841:241 *24119:A 0.000317693 +22 *4872:214 *5509:13 0.000197383 +23 *4896:62 *20293:A1 1.18938e-05 +24 *4896:62 *5509:13 0 +*RES +1 *24712:Q *5509:13 36.2895 +2 *5509:13 *20293:A1 19.3507 +3 *5509:13 *24119:A 25.3147 +*END + +*D_NET *5510 0.00254208 +*CONN +*I *24120:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20292:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24713:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24120:A 0.000196871 +2 *20292:A1 0 +3 *24713:Q 0.00049969 +4 *5510:8 0.000696561 +5 *24120:A *5891:14 8.33259e-05 +6 *24120:A *5918:78 0.000335824 +7 *5510:8 *24713:RESET_B 0.000110084 +8 *5510:8 *5891:14 2.26808e-05 +9 mgmt_gpio_out[24] *24120:A 1.80887e-05 +10 mgmt_gpio_out[26] *24120:A 2.71397e-05 +11 *23900:A *24120:A 6.66012e-05 +12 *24714:CLK *5510:8 0.000120819 +13 *134:8 *24120:A 0.000163982 +14 *134:8 *5510:8 8.86331e-05 +15 *135:11 *5510:8 8.62625e-06 +16 *2687:10 *24120:A 0 +17 *4828:21 *24120:A 2.65667e-05 +18 *4840:359 *24120:A 6.79599e-05 +19 *4840:359 *5510:8 8.62625e-06 +*RES +1 *24713:Q *5510:8 22.4052 +2 *5510:8 *20292:A1 13.7491 +3 *5510:8 *24120:A 22.563 +*END + +*D_NET *5511 0.0030489 +*CONN +*I *20291:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24121:A I *D sky130_fd_sc_hd__ebufn_8 +*I *24714:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20291:A1 0.000149381 +2 *24121:A 2.56167e-05 +3 *24714:Q 0.000730826 +4 *5511:8 0.000905824 +5 *20291:A1 *25230:A 0.00017419 +6 *20291:A1 *5918:78 0.00020502 +7 *5511:8 *24714:RESET_B 0.000177342 +8 *20291:A2 *24121:A 1.96574e-05 +9 *20291:B1 *20291:A1 6.08467e-05 +10 *20292:A2 *24121:A 5.04829e-06 +11 *23902:A *20291:A1 0.000212491 +12 *23902:A *5511:8 4.70104e-05 +13 *23903:A *20291:A1 0 +14 *23903:A *5511:8 0 +15 *24121:TE_B *24121:A 5.04829e-06 +16 *1910:59 *5511:8 3.42931e-05 +17 *1911:39 *20291:A1 1.96709e-05 +18 *1911:51 *20291:A1 2.16355e-05 +19 *2687:10 *5511:8 0 +20 *4829:187 *5511:8 9.24241e-05 +21 *4835:7 *5511:8 1.75155e-06 +22 *4840:359 *24121:A 0.000118166 +23 *4843:906 *5511:8 4.26566e-05 +*RES +1 *24714:Q *5511:8 26.5633 +2 *5511:8 *24121:A 15.0271 +3 *5511:8 *20291:A1 20.0446 +*END + +*D_NET *5512 0.00372027 +*CONN +*I *24122:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20290:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24715:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24122:A 0.000414586 +2 *20290:A1 0.00011781 +3 *24715:Q 0.000800693 +4 *5512:11 0.00133309 +5 *20290:A1 *5893:8 3.91628e-05 +6 *20290:A1 *5896:9 7.34872e-05 +7 *24122:A *5893:8 0.00017097 +8 *24122:A *5896:9 0.000279342 +9 *24711:CLK *5512:11 0.000213739 +10 *4842:195 *20290:A1 3.91685e-05 +11 *4899:27 *5512:11 0.000238222 +*RES +1 *24715:Q *5512:11 27.4213 +2 *5512:11 *20290:A1 17.2421 +3 *5512:11 *24122:A 26.3777 +*END + +*D_NET *5513 0.00221108 +*CONN +*I *24123:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20289:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24716:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24123:A 0.00019686 +2 *20289:A1 3.91348e-05 +3 *24716:Q 0.00044926 +4 *5513:7 0.000685256 +5 *20289:A1 *5897:12 9.96342e-05 +6 *24123:A *5897:12 0.000179318 +7 *24123:A *5918:78 2.41315e-05 +8 *5513:7 *24716:RESET_B 0.00010364 +9 *4835:17 *20289:A1 9.60216e-05 +10 *4835:17 *24123:A 0.000186445 +11 *4899:59 *5513:7 0.000151383 +*RES +1 *24716:Q *5513:7 21.1278 +2 *5513:7 *20289:A1 15.5811 +3 *5513:7 *24123:A 19.5088 +*END + +*D_NET *5514 0.00235781 +*CONN +*I *24096:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20316:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24697:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24096:A 0.000301155 +2 *20316:A1 0.000211697 +3 *24697:Q 0 +4 *5514:4 0.000512852 +5 *20316:A1 *5861:497 2.41274e-06 +6 *23860:A *24096:A 0.000194473 +7 *24697:CLK *20316:A1 9.80242e-07 +8 *74:12 *24096:A 3.11022e-05 +9 *535:42 *20316:A1 0.000331044 +10 *535:42 *24096:A 0.000243633 +11 *2882:19 *20316:A1 0.000322883 +12 *4804:118 *24096:A 5.07314e-05 +13 *4912:29 *20316:A1 5.20546e-06 +14 *4912:29 *24096:A 0.000149643 +*RES +1 *24697:Q *5514:4 9.24915 +2 *5514:4 *20316:A1 25.5117 +3 *5514:4 *24096:A 28.8421 +*END + +*D_NET *5515 0.00164098 +*CONN +*I *24124:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20288:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24717:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24124:A 0.000136756 +2 *20288:A1 0.000107482 +3 *24717:Q 0.000254769 +4 *5515:9 0.000499007 +5 *20288:A1 *5932:17 1.58551e-05 +6 *5515:9 *5932:17 7.88559e-05 +7 *20289:A2 *20288:A1 2.41483e-05 +8 *20289:A2 *24124:A 0 +9 *24717:D *20288:A1 0.00011818 +10 *1910:97 *20288:A1 0.000171273 +11 *1914:49 *5515:9 2.69064e-05 +12 *2316:8 *24124:A 0 +13 *2316:8 *5515:9 0 +14 *4832:32 *24124:A 0.000191541 +15 *5505:9 *5515:9 1.62073e-05 +*RES +1 *24717:Q *5515:9 24.6868 +2 *5515:9 *20288:A1 12.7456 +3 *5515:9 *24124:A 22.1574 +*END + +*D_NET *5516 0.00218111 +*CONN +*I *24125:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20287:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24718:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24125:A 1.69747e-05 +2 *20287:A1 0.000294557 +3 *24718:Q 5.69345e-05 +4 *5516:8 0.000368466 +5 *20287:A1 *5906:23 0.00018674 +6 *20287:B1 *20287:A1 0.000198737 +7 *20299:B1 *5516:8 0.000143032 +8 *23910:A *20287:A1 2.16355e-05 +9 *23910:A *24125:A 1.65872e-05 +10 *23910:A *5516:8 5.56367e-05 +11 *24718:D *20287:A1 0.000122098 +12 *1910:97 *20287:A1 5.22654e-06 +13 *1914:37 *5516:8 7.45179e-05 +14 *4832:32 *20287:A1 1.85012e-05 +15 *4844:775 *20287:A1 0.000540623 +16 *4844:775 *24125:A 6.08467e-05 +*RES +1 *24718:Q *5516:8 20.9116 +2 *5516:8 *20287:A1 31.3392 +3 *5516:8 *24125:A 9.97254 +*END + +*D_NET *5517 0.00290151 +*CONN +*I *19560:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20303:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24705:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19560:A0 0.000178439 +2 *20303:A1 0.000146468 +3 *24705:Q 0.000459411 +4 *5517:7 0.000784318 +5 *19560:A0 *5923:36 6.08467e-05 +6 *19560:A0 *5924:50 0.000394813 +7 *20303:A1 *5924:50 9.75356e-05 +8 *5517:7 *24705:RESET_B 0.000154501 +9 *20303:A2 *20303:A1 0.000216458 +10 *24705:D *20303:A1 6.64392e-05 +11 *1913:29 *19560:A0 0.000184108 +12 *1913:29 *20303:A1 9.35979e-05 +13 *2620:19 *5517:7 2.00989e-05 +14 *4836:46 *20303:A1 4.44802e-05 +*RES +1 *24705:Q *5517:7 20.5732 +2 *5517:7 *20303:A1 19.0776 +3 *5517:7 *19560:A0 21.2876 +*END + +*D_NET *5518 0.00215728 +*CONN +*I *20302:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19561:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24706:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20302:A1 0.000164257 +2 *19561:A0 0.000196655 +3 *24706:Q 0.000124744 +4 *5518:7 0.000485657 +5 *19561:A0 *19561:A1 0.000123176 +6 *19561:A0 *24127:A 0.000228593 +7 *19561:A0 *5594:16 0 +8 *19561:A0 *5924:50 7.64786e-05 +9 *20302:A1 *5594:16 0 +10 *20302:A1 *5924:50 8.09327e-05 +11 *5518:7 *24706:RESET_B 0.000159977 +12 *2294:25 *5518:7 0.000197314 +13 *2813:25 *5518:7 0.000171273 +14 *4815:11 *5518:7 5.04829e-06 +15 *4815:19 *5518:7 0.000116024 +16 *4839:377 *20302:A1 2.71542e-05 +*RES +1 *24706:Q *5518:7 20.5732 +2 *5518:7 *19561:A0 20.3233 +3 *5518:7 *20302:A1 17.6574 +*END + +*D_NET *5519 0.00218874 +*CONN +*I *24128:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20301:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24707:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24128:A 0.000201792 +2 *20301:A1 0.000548616 +3 *24707:Q 0 +4 *5519:5 0.000750408 +5 *20301:A1 *5522:9 5.2472e-05 +6 *20301:A1 *5903:6 2.692e-05 +7 *24128:A *25237:A 3.61993e-05 +8 *24128:A *5728:13 0.000271058 +9 *24128:A *5903:6 6.66454e-05 +10 mgmt_gpio_out[32] *20301:A1 0 +11 mgmt_gpio_out[32] *24128:A 0 +12 *20301:A2 *20301:A1 6.50727e-05 +13 *23915:A *24128:A 5.07314e-05 +14 *4840:370 *20301:A1 0.000118822 +*RES +1 *24707:Q *5519:5 13.7491 +2 *5519:5 *20301:A1 26.842 +3 *5519:5 *24128:A 21.0145 +*END + +*D_NET *5520 0.00155238 +*CONN +*I *20300:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *23945:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24708:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20300:A1 6.86932e-05 +2 *23945:A0 9.01698e-05 +3 *24708:Q 0.000266281 +4 *5520:8 0.000425144 +5 *23945:A0 *5594:16 0 +6 *23945:A0 *5727:8 0 +7 *5520:8 *24708:RESET_B 2.73711e-06 +8 *5520:8 *5867:732 3.18172e-05 +9 *24682:D *5520:8 0 +10 *1913:37 *20300:A1 0.000211478 +11 *1913:37 *5520:8 6.31954e-05 +12 *4841:276 *20300:A1 0.000362931 +13 *4842:230 *20300:A1 2.99304e-05 +*RES +1 *24708:Q *5520:8 19.2141 +2 *5520:8 *23945:A0 15.5811 +3 *5520:8 *20300:A1 17.8002 +*END + +*D_NET *5521 0.00314509 +*CONN +*I *23946:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20299:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24709:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23946:A0 0.000857228 +2 *20299:A1 2.40495e-05 +3 *24709:Q 0.000356152 +4 *5521:8 0.00123743 +5 *23946:A0 *5727:10 0.000266492 +6 *5521:8 *24709:RESET_B 5.60664e-05 +7 *5521:8 *5727:10 3.42819e-05 +8 *20299:A2 *20299:A1 6.36477e-05 +9 *20299:B1 *23946:A0 0 +10 *20299:B1 *5521:8 0 +11 *23910:A *23946:A0 0 +12 *24709:D *20299:A1 0.000114584 +13 *24710:D *23946:A0 5.09378e-05 +14 *24710:CLK *23946:A0 0 +15 *2451:13 *5521:8 8.4224e-05 +16 *4843:935 *23946:A0 0 +*RES +1 *24709:Q *5521:8 22.5417 +2 *5521:8 *20299:A1 15.0271 +3 *5521:8 *23946:A0 35.1713 +*END + +*D_NET *5522 0.00332427 +*CONN +*I *23947:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20298:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24710:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23947:A0 0.000439755 +2 *20298:A1 0.000134493 +3 *24710:Q 0.000175835 +4 *5522:9 0.000750082 +5 *23947:A0 *23946:S 4.17467e-05 +6 *23947:A0 *5727:10 0.000111247 +7 *23947:A0 *5918:78 0.000117376 +8 mgmt_gpio_out[31] *23947:A0 0.000101036 +9 *20298:A2 *20298:A1 0.000297045 +10 *20301:A1 *5522:9 5.2472e-05 +11 *20301:A2 *20298:A1 4.66492e-05 +12 *20301:A2 *5522:9 0.000228801 +13 *20301:B2 *20298:A1 1.37189e-05 +14 *23911:A *23947:A0 0.000148144 +15 *24710:D *20298:A1 0.000161262 +16 *1913:57 *23947:A0 2.95757e-05 +17 *4834:16 *5522:9 0 +18 *4835:17 *23947:A0 3.5957e-05 +19 *4836:55 *5522:9 3.77659e-05 +20 *4840:370 *23947:A0 1.87469e-05 +21 *4840:370 *5522:9 0.000366589 +22 *4843:916 *20298:A1 1.59756e-05 +*RES +1 *24710:Q *5522:9 25.2414 +2 *5522:9 *20298:A1 14.2888 +3 *5522:9 *23947:A0 30.9155 +*END + +*D_NET *5523 0.00286996 +*CONN +*I *24097:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20315:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24698:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24097:A 0.000100893 +2 *20315:A1 4.28898e-05 +3 *24698:Q 0.000846377 +4 *5523:7 0.000990161 +5 *5523:7 *24698:RESET_B 3.1566e-05 +6 *24698:D *5523:7 8.05465e-05 +7 *1918:8 *20315:A1 8.37812e-05 +8 *1918:15 *20315:A1 1.07248e-05 +9 *1918:15 *24097:A 0.000297856 +10 *2689:22 *20315:A1 7.50722e-05 +11 *2689:22 *24097:A 0.000310094 +*RES +1 *24698:Q *5523:7 25.01 +2 *5523:7 *20315:A1 15.1659 +3 *5523:7 *24097:A 19.3184 +*END + +*D_NET *5524 0.0040702 +*CONN +*I *24098:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20314:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24699:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24098:A 0.000461701 +2 *20314:A1 6.58601e-05 +3 *24699:Q 0.000496444 +4 *5524:7 0.001024 +5 *20314:A1 *5855:319 0.000247246 +6 *24098:A *5855:319 0.000716422 +7 *5524:7 *24699:RESET_B 6.07304e-07 +8 *22820:A *24098:A 6.19502e-05 +9 *1573:90 *24098:A 5.04829e-06 +10 *1918:55 *20314:A1 0.000250843 +11 *1918:55 *24098:A 6.43474e-05 +12 *1918:64 *24098:A 0.000648462 +13 *4806:84 *24098:A 1.99131e-05 +14 *4843:469 *24098:A 7.34948e-06 +*RES +1 *24699:Q *5524:7 20.0186 +2 *5524:7 *20314:A1 18.0727 +3 *5524:7 *24098:A 31.5379 +*END + +*D_NET *5525 0.00405941 +*CONN +*I *24099:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20313:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24700:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24099:A 0.000482153 +2 *20313:A1 0 +3 *24700:Q 0.000426229 +4 *5525:11 0.000908382 +5 *5525:11 *5874:50 0.000147005 +6 *20311:B1 *24099:A 3.17121e-05 +7 *20313:A2 *24099:A 1.65872e-05 +8 *20313:A2 *5525:11 6.08467e-05 +9 *23862:A *24099:A 7.02493e-05 +10 *24019:S *24099:A 3.21568e-05 +11 *24702:D *24099:A 0.000275721 +12 *482:104 *24099:A 0.000169122 +13 *482:122 *24099:A 0.000188843 +14 *482:122 *5525:11 3.31745e-05 +15 *1917:53 *24099:A 6.08467e-05 +16 *1917:64 *24099:A 5.98707e-05 +17 *2295:39 *5525:11 0.000150603 +18 *2300:44 *24099:A 0.000881365 +19 *4805:92 *24099:A 0 +20 *4807:8 *24099:A 1.2693e-05 +21 *4807:10 *24099:A 5.18515e-05 +*RES +1 *24700:Q *5525:11 27.3499 +2 *5525:11 *20313:A1 9.24915 +3 *5525:11 *24099:A 38.8294 +*END + +*D_NET *5526 0.0125144 +*CONN +*I *19565:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20312:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24701:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19565:A0 0 +2 *20312:A1 0.00029395 +3 *24701:Q 7.14967e-05 +4 *5526:32 0.000922972 +5 *5526:21 0.0021329 +6 *5526:7 0.00157537 +7 *5526:21 *24742:RESET_B 0.000169078 +8 *5526:32 *19565:A1 6.08467e-05 +9 *5526:32 *5855:298 1.72799e-05 +10 *5526:32 *5861:476 0.000171493 +11 *20136:A1 *5526:21 4.21218e-06 +12 *20136:A1 *5526:32 9.44349e-05 +13 *20139:B2 *5526:21 8.01687e-05 +14 *20140:A2 *5526:32 0.000144546 +15 *20254:B2 *20312:A1 1.83423e-05 +16 *20254:B2 *5526:21 0.000684873 +17 *20256:B2 *20312:A1 5.92192e-05 +18 *20312:B1 *20312:A1 0.000260235 +19 *20312:B2 *20312:A1 0.000179027 +20 *24048:S *20312:A1 5.98355e-05 +21 *24701:D *20312:A1 0.000144546 +22 *24806:D *5526:21 4.70267e-05 +23 *24809:D *5526:21 0.000273825 +24 *24810:CLK *5526:32 0.000137143 +25 *482:15 *5526:21 0.00134861 +26 *482:40 *5526:32 0.000208443 +27 *1855:8 *5526:21 6.64609e-05 +28 *1902:59 *5526:7 0.000118166 +29 *2333:38 *20312:A1 1.35879e-05 +30 *2333:38 *5526:21 0.000186036 +31 *2333:38 *5526:32 0.00127859 +32 *2397:63 *5526:32 0.000253916 +33 *2397:69 *5526:32 6.08467e-05 +34 *2397:72 *5526:21 9.49139e-05 +35 *2397:72 *5526:32 0.000337249 +36 *2441:66 *5526:21 0.000109657 +37 *2636:14 *5526:32 0.000158357 +38 *2949:60 *20312:A1 3.64956e-05 +39 *2949:60 *5526:21 0.000259633 +40 *4805:91 *5526:32 3.92275e-05 +41 *4843:445 *5526:21 0.000175076 +42 *4843:445 *5526:32 7.78568e-06 +43 *4843:457 *5526:21 0.000158528 +*RES +1 *24701:Q *5526:7 15.0271 +2 *5526:7 *20312:A1 23.3963 +3 *5526:7 *5526:21 49.2807 +4 *5526:21 *5526:32 48.3069 +5 *5526:32 *19565:A0 9.24915 +*END + +*D_NET *5527 0.0128601 +*CONN +*I *24101:A I *D sky130_fd_sc_hd__ebufn_8 +*I *20311:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24702:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24101:A 0 +2 *20311:A1 0.00033176 +3 *24702:Q 5.6729e-05 +4 *5527:36 0.00105473 +5 *5527:23 0.00167509 +6 *5527:7 0.00100885 +7 *20311:A1 *5874:46 1.85244e-05 +8 *20311:A1 *5874:50 0.00017136 +9 *20311:A1 *5909:14 0.000168797 +10 *5527:23 *25245:A 4.31485e-06 +11 *5527:23 *5874:46 0.00182719 +12 *5527:36 *5908:55 0.0011206 +13 *20311:A2 *20311:A1 0.000107496 +14 *22816:A *5527:36 0.000310934 +15 *23862:A *5527:7 0.000157107 +16 *23863:A *5527:7 6.31828e-05 +17 *1917:39 *20311:A1 0.000154145 +18 *2295:39 *5527:23 0.000153182 +19 *2295:42 *5527:23 8.05972e-06 +20 *2295:42 *5527:36 0.000571774 +21 *2301:18 *20311:A1 0.000407889 +22 *2301:18 *5527:23 0.00182055 +23 *2301:27 *5527:23 1.75951e-05 +24 *2301:27 *5527:36 0.00105842 +25 *2391:34 *5527:23 3.54949e-06 +26 *2471:54 *5527:36 0.000205849 +27 *2471:56 *5527:36 1.2693e-05 +28 *4809:52 *5527:36 4.2372e-05 +29 *4813:17 *5527:36 0.000327331 +*RES +1 *24702:Q *5527:7 15.5817 +2 *5527:7 *20311:A1 26.3326 +3 *5527:7 *5527:23 33.9163 +4 *5527:23 *5527:36 46.4322 +5 *5527:36 *24101:A 9.24915 +*END + +*D_NET *5528 0.0204033 +*CONN +*I *20328:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19564:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24687:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *20328:A1 0.000184631 +2 *19564:A0 0.000736538 +3 *24687:Q 9.51781e-05 +4 *5528:12 0.00190498 +5 *5528:10 0.00120085 +6 *5528:8 0.00122255 +7 *5528:7 0.00146995 +8 *19564:A0 *5864:558 0.000313073 +9 *5528:8 *24441:RESET_B 0.000912851 +10 *5528:12 *5592:102 3.77568e-05 +11 *20327:B2 *5528:7 1.82679e-05 +12 *20328:A2 *20328:A1 0.000453457 +13 *20994:A1 *19564:A0 0.000223615 +14 *20994:A2 *19564:A0 0.000467854 +15 *20996:A2 *19564:A0 2.57847e-05 +16 *21437:A *5528:8 1.97882e-05 +17 *22012:A *5528:12 0.00013415 +18 *24269:D *19564:A0 0.000109954 +19 *24270:D *5528:12 1.59723e-05 +20 *24273:D *19564:A0 0.000124157 +21 *24439:D *5528:12 0.000122083 +22 *24440:D *5528:8 0.00011316 +23 *1555:58 *5528:8 1.5714e-05 +24 *2170:71 *19564:A0 0.000177548 +25 *2170:71 *5528:12 1.30711e-05 +26 *2170:78 *5528:12 7.45956e-05 +27 *2380:60 *5528:8 6.54004e-05 +28 *2380:62 *5528:8 0.00250521 +29 *2380:62 *5528:12 0.000120212 +30 *2384:19 *20328:A1 0.000304777 +31 *2417:53 *5528:8 0.000226083 +32 *2423:78 *19564:A0 7.63193e-05 +33 *2520:87 *19564:A0 0.000356486 +34 *2570:45 *20328:A1 4.0752e-05 +35 *2978:29 *5528:8 0.000110809 +36 *2978:47 *5528:12 0.00125416 +37 *3257:44 *5528:8 9.92046e-06 +38 *3257:44 *5528:12 0.000409179 +39 *3257:51 *5528:12 0.000229652 +40 *4812:80 *5528:8 1.26179e-05 +41 *4812:87 *5528:8 0.000557224 +42 *4813:82 *19564:A0 0.000307271 +43 *4813:82 *5528:12 0.00105188 +44 *4814:28 *20328:A1 0.000252344 +45 *4814:28 *5528:8 0.000627422 +46 *4817:54 *5528:8 3.3409e-05 +47 *4819:117 *20328:A1 0.000259486 +48 *4819:117 *5528:8 0.00017416 +49 *4904:15 *5528:12 0.000372554 +50 *4904:90 *5528:12 0.000439735 +51 *4904:110 *5528:12 8.04839e-05 +52 *5042:8 *5528:8 5.11166e-05 +53 *5403:10 *5528:12 0.000287118 +*RES +1 *24687:Q *5528:7 15.0271 +2 *5528:7 *5528:8 52.7004 +3 *5528:8 *5528:10 0.732798 +4 *5528:10 *5528:12 50.0013 +5 *5528:12 *19564:A0 40.4991 +6 *5528:7 *20328:A1 23.6481 +*END + +*D_NET *5529 0.0167936 +*CONN +*I *20327:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *19563:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24688:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20327:A1 0.000160679 +2 *19563:A0 0 +3 *24688:Q 0.000211554 +4 *5529:29 0.00160406 +5 *5529:16 0.0021736 +6 *5529:11 0.00159526 +7 *5529:7 0.00139796 +8 *20327:A1 *5864:753 0.000365237 +9 *5529:11 *5864:747 0.000915206 +10 *5529:11 *5864:753 6.28168e-05 +11 *5529:11 *5898:220 0.000263427 +12 *5529:11 *5904:38 0.000208968 +13 *5529:16 *5898:205 0.000972829 +14 mgmt_gpio_oeb[10] *5529:29 1.03403e-05 +15 *19563:A1 *5529:29 6.3657e-05 +16 *20327:A2 *20327:A1 1.03403e-05 +17 *23868:A *5529:29 1.19726e-05 +18 *23871:A *5529:11 0.000143175 +19 *1608:98 *5529:11 3.04371e-05 +20 *1608:98 *5529:16 6.57318e-05 +21 *1612:24 *5529:11 0.00025056 +22 *1709:40 *5529:11 3.21376e-05 +23 *1709:47 *5529:29 3.99086e-06 +24 *1741:55 *5529:29 0 +25 *2287:82 *5529:11 0.00097461 +26 *2360:43 *5529:11 5.46889e-05 +27 *2367:49 *5529:11 0.000469944 +28 *2391:89 *5529:11 0.000209407 +29 *2433:85 *5529:16 0.000380382 +30 *2433:106 *5529:29 4.23405e-05 +31 *2446:126 *5529:29 5.04829e-06 +32 *2503:31 *5529:16 0.00140621 +33 *2610:36 *20327:A1 0.000368782 +34 *2610:36 *5529:11 0.000653589 +35 *2729:62 *5529:29 0.000639363 +36 *2989:33 *5529:7 0.000112149 +37 *2989:63 *5529:29 6.51628e-05 +38 *2989:67 *5529:29 0.000650712 +39 *4812:90 *5529:16 0.000116665 +40 *4812:105 *5529:29 9.00916e-06 +41 *4817:64 *5529:16 8.15723e-05 +*RES +1 *24688:Q *5529:7 18.3548 +2 *5529:7 *5529:11 48.1682 +3 *5529:11 *5529:16 39.0691 +4 *5529:16 *5529:29 45.1417 +5 *5529:29 *19563:A0 9.24915 +6 *5529:7 *20327:A1 21.4269 +*END + +*D_NET *5530 0.00265231 +*CONN +*I *24047:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20261:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24735:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24047:A0 0.000353675 +2 *20261:A1 0.000104008 +3 *24735:Q 0.000138152 +4 *5530:9 0.000595836 +5 *24047:A0 *24047:A1 6.50586e-05 +6 *20261:A2 *20261:A1 0.000319954 +7 *20261:A2 *5530:9 0.000253916 +8 *20261:B2 *20261:A1 1.41976e-05 +9 *24047:S *24047:A0 2.16355e-05 +10 *24698:CLK *5530:9 0.000107496 +11 *24735:D *20261:A1 0.000160617 +12 *482:136 *24047:A0 0.000134849 +13 *1901:47 *5530:9 3.77659e-05 +14 *2300:29 *20261:A1 5.51483e-06 +15 *2333:38 *24047:A0 0 +16 *3017:15 *24047:A0 5.04829e-06 +17 *4832:84 *5530:9 9.60216e-05 +18 *4866:70 *24047:A0 0.000238567 +*RES +1 *24735:Q *5530:9 23.023 +2 *5530:9 *20261:A1 13.3002 +3 *5530:9 *24047:A0 28.2875 +*END + +*D_NET *5531 0.00168822 +*CONN +*I *24040:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20270:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24729:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24040:A0 0 +2 *20270:A1 0.000106328 +3 *24729:Q 0.000287142 +4 *5531:10 0.00039347 +5 *20270:A1 *24040:A1 0.000461881 +6 *480:123 *5531:10 5.22654e-06 +7 *480:134 *5531:10 5.79399e-05 +8 *4816:29 *20270:A1 0.000224488 +9 *4819:121 *5531:10 0.000151741 +*RES +1 *24729:Q *5531:10 23.8535 +2 *5531:10 *20270:A1 14.9881 +3 *5531:10 *24040:A0 9.24915 +*END + +*D_NET *5532 0.00420518 +*CONN +*I *20269:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24039:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24730:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20269:A1 0.000528798 +2 *24039:A0 0.000377917 +3 *24730:Q 0.000135076 +4 *5532:6 0.00104179 +5 *24039:A0 *24039:A1 6.08467e-05 +6 *24039:A0 *25215:A 6.08467e-05 +7 mgmt_gpio_out[12] *24039:A0 0.00022778 +8 mgmt_gpio_out[12] *5532:6 0.000482245 +9 *20269:A2 *20269:A1 6.50586e-05 +10 *24039:S *24039:A0 0.000110306 +11 *24730:D *20269:A1 6.50727e-05 +12 *1709:27 *20269:A1 4.96417e-05 +13 *2610:29 *20269:A1 0.000298399 +14 *4833:172 *24039:A0 0.00022778 +15 *4833:172 *5532:6 0.000473619 +*RES +1 *24730:Q *5532:6 22.2252 +2 *5532:6 *24039:A0 24.6743 +3 *5532:6 *20269:A1 25.01 +*END + +*D_NET *5533 0.00211567 +*CONN +*I *24014:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20268:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24731:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24014:A0 0.000111577 +2 *20268:A1 0.000167822 +3 *24731:Q 0.000211712 +4 *5533:9 0.000491111 +5 *20268:A2 *20268:A1 6.50586e-05 +6 *24731:D *20268:A1 0.000164843 +7 *1629:12 *5533:9 1.21461e-06 +8 *4814:19 *24014:A0 0.000179271 +9 *4817:7 *20268:A1 4.84204e-05 +10 *4817:7 *5533:9 1.80887e-05 +11 *4819:121 *24014:A0 0.000372364 +12 *4820:91 *24014:A0 8.18934e-05 +13 *4825:61 *5533:9 0.000101148 +14 *4828:44 *5533:9 0.000101148 +*RES +1 *24731:Q *5533:9 23.5776 +2 *5533:9 *20268:A1 13.8548 +3 *5533:9 *24014:A0 24.6489 +*END + +*D_NET *5534 0.00180096 +*CONN +*I *20267:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *23965:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24732:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20267:A1 7.3686e-05 +2 *23965:A0 0.000195898 +3 *24732:Q 0.000320326 +4 *5534:7 0.00058991 +5 *5534:7 *24689:RESET_B 0.000159977 +6 *5534:7 *24732:RESET_B 7.3605e-05 +7 *23965:A1 *23965:A0 2.58757e-05 +8 *1919:72 *20267:A1 0 +9 *1919:72 *23965:A0 0 +10 *4815:28 *20267:A1 8.03995e-05 +11 *4815:28 *23965:A0 0.000281281 +*RES +1 *24732:Q *5534:7 18.9094 +2 *5534:7 *23965:A0 19.6266 +3 *5534:7 *20267:A1 15.5811 +*END + +*D_NET *5535 0.00209374 +*CONN +*I *20266:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24043:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24733:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20266:A1 0.000218 +2 *24043:A0 0 +3 *24733:Q 0.000386844 +4 *5535:8 0.000604844 +5 *5535:8 *5714:35 0.000106697 +6 *5535:8 *5865:640 7.14746e-05 +7 *20266:A2 *20266:A1 0.000211478 +8 *20266:B2 *20266:A1 1.92336e-05 +9 *2620:20 *20266:A1 9.92077e-05 +10 *2620:20 *5535:8 2.39535e-05 +11 *4815:26 *20266:A1 0.000226328 +12 *4815:26 *5535:8 0.00012568 +*RES +1 *24733:Q *5535:8 21.1566 +2 *5535:8 *24043:A0 13.7491 +3 *5535:8 *20266:A1 21.0145 +*END + +*D_NET *5536 0.00167233 +*CONN +*I *20265:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24046:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24734:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20265:A1 0 +2 *24046:A0 0.000286767 +3 *24734:Q 0.000215642 +4 *5536:8 0.000502409 +5 *24046:A0 *5557:10 1.19856e-05 +6 *5536:8 *24734:RESET_B 2.14428e-07 +7 *5536:8 *5865:508 2.26894e-05 +8 *19566:A0 *24046:A0 6.50586e-05 +9 *24046:A1 *24046:A0 0.000211407 +10 *2262:34 *24046:A0 0 +11 *4844:796 *24046:A0 0.000236808 +12 *4844:796 *5536:8 5.33121e-05 +13 *4845:755 *24046:A0 1.44611e-05 +14 *4845:755 *5536:8 5.1573e-05 +*RES +1 *24734:Q *5536:8 18.2442 +2 *5536:8 *24046:A0 24.3337 +3 *5536:8 *20265:A1 13.7491 +*END + +*D_NET *5537 0.00166534 +*CONN +*I *24050:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20283:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24719:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24050:A0 0 +2 *20283:A1 0.000184377 +3 *24719:Q 9.62854e-05 +4 *5537:9 0.000280662 +5 *20283:A1 *24726:RESET_B 4.62705e-05 +6 *5537:9 *5714:38 0 +7 *20343:B1 *20283:A1 2.41916e-05 +8 *20343:B1 *5537:9 1.79807e-05 +9 *24050:A1 *20283:A1 0.000725452 +10 *24050:A1 *5537:9 2.15348e-05 +11 *24050:S *20283:A1 2.15184e-05 +12 *24050:S *5537:9 6.08467e-05 +13 *24678:D *5537:9 0.000143047 +14 *1907:27 *20283:A1 2.16355e-05 +15 *1907:38 *20283:A1 2.15348e-05 +*RES +1 *24719:Q *5537:9 21.635 +2 *5537:9 *20283:A1 17.7611 +3 *5537:9 *24050:A0 9.24915 +*END + +*D_NET *5538 0.00227572 +*CONN +*I *24049:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20282:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24720:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24049:A0 0.000254901 +2 *20282:A1 0.000298201 +3 *24720:Q 0 +4 *5538:5 0.000553102 +5 *20282:A1 *5898:236 0.000523679 +6 *20282:A2 *20282:A1 6.08467e-05 +7 *24049:A1 *20282:A1 6.22703e-05 +8 *24049:A1 *24049:A0 0.000301926 +9 *477:69 *20282:A1 6.61356e-05 +10 *2297:25 *20282:A1 1.00846e-05 +11 *2297:26 *20282:A1 0 +12 *4900:107 *20282:A1 7.1916e-05 +13 *4900:107 *24049:A0 7.26606e-05 +*RES +1 *24720:Q *5538:5 13.7491 +2 *5538:5 *20282:A1 24.618 +3 *5538:5 *24049:A0 18.6623 +*END + +*D_NET *5539 0.00171054 +*CONN +*I *24045:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20281:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24721:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24045:A0 2.51802e-05 +2 *20281:A1 0.000512955 +3 *24721:Q 0 +4 *5539:5 0.000538135 +5 *20281:A1 *5714:38 0 +6 *20281:B1 *20281:A1 0.000108071 +7 *24045:A1 *20281:A1 8.01987e-05 +8 *24045:A1 *24045:A0 7.86847e-05 +9 *1924:72 *20281:A1 0.000299353 +10 *1924:72 *24045:A0 6.79599e-05 +*RES +1 *24721:Q *5539:5 13.7491 +2 *5539:5 *20281:A1 27.391 +3 *5539:5 *24045:A0 15.1659 +*END + +*D_NET *5540 0.00250442 +*CONN +*I *24042:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20280:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24722:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24042:A0 8.77615e-05 +2 *20280:A1 0.000784661 +3 *24722:Q 7.1622e-05 +4 *5540:5 0.000944045 +5 *20280:A1 *24722:RESET_B 6.1189e-05 +6 *24042:A0 *5714:38 4.70005e-05 +7 *5540:5 *24722:RESET_B 0.000102003 +8 *20280:A2 *20280:A1 2.16355e-05 +9 *24042:A1 *24042:A0 2.65667e-05 +10 *24042:S *24042:A0 2.65667e-05 +11 *24722:D *20280:A1 1.41291e-05 +12 *24722:CLK *20280:A1 0.000224381 +13 *1924:79 *24042:A0 5.04734e-05 +14 *2467:21 *20280:A1 4.23874e-05 +*RES +1 *24722:Q *5540:5 10.5271 +2 *5540:5 *20280:A1 21.6433 +3 *5540:5 *24042:A0 21.2198 +*END + +*D_NET *5541 0.00175963 +*CONN +*I *24044:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20260:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24736:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24044:A0 0.000159955 +2 *20260:A1 0 +3 *24736:Q 0.00032129 +4 *5541:8 0.000481245 +5 *24044:A0 *24044:A1 2.16355e-05 +6 *24044:A0 *5859:289 5.04829e-06 +7 *24044:A0 *5859:292 0.00018731 +8 *24044:A0 *5859:294 2.21587e-05 +9 *24044:A0 *5871:860 9.12416e-06 +10 *24044:A0 *5956:32 2.39535e-05 +11 *5541:8 *5859:294 0.000169932 +12 *5541:8 *5871:845 5.92342e-05 +13 *5541:8 *5871:860 0.000107115 +14 *24036:S *24044:A0 5.04734e-05 +15 *24736:CLK *5541:8 0.000102652 +16 *482:151 *24044:A0 2.04806e-05 +17 *2333:38 *24044:A0 1.8025e-05 +*RES +1 *24736:Q *5541:8 23.0963 +2 *5541:8 *20260:A1 13.7491 +3 *5541:8 *24044:A0 19.2113 +*END + +*D_NET *5542 0.00147631 +*CONN +*I *24038:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20279:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24723:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24038:A0 4.46402e-05 +2 *20279:A1 8.23319e-05 +3 *24723:Q 0.000211688 +4 *5542:7 0.00033866 +5 *20342:A2 *5542:7 0.000114902 +6 *1925:17 *20279:A1 0.00017775 +7 *1925:17 *24038:A0 8.23577e-05 +8 *1925:31 *24038:A0 6.70094e-05 +9 *4842:233 *20279:A1 0.000181242 +10 *4842:233 *24038:A0 0.00013751 +11 *4900:124 *5542:7 3.82228e-05 +*RES +1 *24723:Q *5542:7 19.464 +2 *5542:7 *20279:A1 17.2421 +3 *5542:7 *24038:A0 16.4116 +*END + +*D_NET *5543 0.00172186 +*CONN +*I *20278:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24031:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24724:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20278:A1 1.03162e-05 +2 *24031:A0 9.24119e-05 +3 *24724:Q 0.000298874 +4 *5543:11 0.000401602 +5 *20278:B1 *24031:A0 0.000287049 +6 *20340:A2 *5543:11 0.000118245 +7 *24031:A1 *24031:A0 6.92705e-05 +8 *24042:A1 *24031:A0 1.00846e-05 +9 *24042:A1 *5543:11 1.99131e-05 +10 *24042:S *24031:A0 0.00025457 +11 *24042:S *5543:11 0.000113968 +12 *144:8 *5543:11 4.55535e-05 +*RES +1 *24724:Q *5543:11 24.7162 +2 *5543:11 *24031:A0 14.6023 +3 *5543:11 *20278:A1 9.82786 +*END + +*D_NET *5544 0.00265611 +*CONN +*I *24032:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20277:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24725:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24032:A0 0.000219817 +2 *20277:A1 0.00013041 +3 *24725:Q 0.000510656 +4 *5544:7 0.000860883 +5 *20277:A1 *5903:6 0.000115374 +6 *24032:A0 *5903:6 9.71474e-05 +7 *5544:7 *24725:RESET_B 5.05841e-05 +8 *5544:7 *5906:20 1.46928e-05 +9 *20341:A2 *24032:A0 0.000126453 +10 *24032:A1 *24032:A0 0.000257349 +11 *24032:S *24032:A0 6.50586e-05 +12 *24725:D *5544:7 3.24105e-05 +13 *2451:13 *24032:A0 2.41483e-05 +14 *4844:786 *20277:A1 0.000111901 +15 *4844:786 *24032:A0 3.92275e-05 +*RES +1 *24725:Q *5544:7 21.1278 +2 *5544:7 *20277:A1 18.4879 +3 *5544:7 *24032:A0 22.1209 +*END + +*D_NET *5545 0.00218487 +*CONN +*I *24033:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20276:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24726:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24033:A0 7.18485e-05 +2 *20276:A1 0.000250674 +3 *24726:Q 0.000436867 +4 *5545:7 0.000759389 +5 *24033:A0 *5903:6 8.92568e-06 +6 *5545:7 *24726:RESET_B 0.000200794 +7 *20276:B1 *20276:A1 6.08467e-05 +8 *20276:B2 *20276:A1 3.81056e-05 +9 *24033:A1 *20276:A1 8.47669e-05 +10 *24033:A1 *24033:A0 5.04879e-05 +11 *24726:D *5545:7 0.000143875 +12 *24726:CLK *5545:7 9.80242e-07 +13 *2367:16 *20276:A1 3.20069e-06 +14 *2367:16 *24033:A0 5.99802e-05 +15 *4827:118 *20276:A1 0 +16 *4845:731 *20276:A1 1.41291e-05 +*RES +1 *24726:Q *5545:7 20.5732 +2 *5545:7 *20276:A1 20.0446 +3 *5545:7 *24033:A0 16.4116 +*END + +*D_NET *5546 0.00238181 +*CONN +*I *24036:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20259:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24737:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24036:A0 7.83609e-05 +2 *20259:A1 0.000358791 +3 *24737:Q 0 +4 *5546:4 0.000437152 +5 *20259:A1 *5857:209 0.000353686 +6 *20259:A1 *5861:492 2.62278e-05 +7 *20259:A2 *20259:A1 2.16355e-05 +8 *20310:A *20259:A1 0.000207266 +9 *20316:B1 *20259:A1 0.000146645 +10 *20316:B2 *20259:A1 0.000116893 +11 *24036:S *20259:A1 6.50727e-05 +12 *24036:S *24036:A0 0.000262934 +13 *24697:D *20259:A1 0.000122098 +14 *24737:D *20259:A1 1.19751e-05 +15 *2389:33 *20259:A1 3.14978e-05 +16 *2389:33 *24036:A0 0.000104099 +17 *3017:15 *20259:A1 3.74738e-05 +*RES +1 *24737:Q *5546:4 9.24915 +2 *5546:4 *20259:A1 30.814 +3 *5546:4 *24036:A0 12.7697 +*END + +*D_NET *5547 0.0038261 +*CONN +*I *24018:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20258:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24738:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24018:A0 0.000256281 +2 *20258:A1 0.000328543 +3 *24738:Q 0 +4 *5547:5 0.000584824 +5 *20258:A1 *5855:334 0.000759227 +6 *20258:A1 *5861:492 0.000296903 +7 *22822:A *24018:A0 0.000158357 +8 *482:136 *24018:A0 4.31703e-05 +9 *535:42 *20258:A1 0.000179286 +10 *535:42 *24018:A0 0.000466385 +11 *1901:47 *20258:A1 5.31074e-05 +12 *1901:56 *20258:A1 6.50727e-05 +13 *2882:19 *20258:A1 0.000179286 +14 *2882:19 *24018:A0 0.00045566 +*RES +1 *24738:Q *5547:5 13.7491 +2 *5547:5 *20258:A1 28.0878 +3 *5547:5 *24018:A0 25.3063 +*END + +*D_NET *5548 0.00217236 +*CONN +*I *24034:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20257:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24739:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24034:A0 2.78033e-05 +2 *20257:A1 0.000384504 +3 *24739:Q 0.000250044 +4 *5548:7 0.000662351 +5 *20257:A1 *24034:A1 0.000160617 +6 *20257:A1 *24739:RESET_B 3.31733e-05 +7 *20257:A1 *5864:352 0.000304434 +8 *24034:A0 *24739:RESET_B 7.50872e-05 +9 *24034:A0 *5864:352 6.79599e-05 +10 *20257:A2 *20257:A1 2.65831e-05 +11 *24739:D *20257:A1 1.01044e-05 +12 *482:133 *20257:A1 0.000121434 +13 *1918:48 *5548:7 4.82656e-05 +*RES +1 *24739:Q *5548:7 17.2456 +2 *5548:7 *20257:A1 25.1726 +3 *5548:7 *24034:A0 15.1659 +*END + +*D_NET *5549 0.00328398 +*CONN +*I *24037:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20256:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24740:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24037:A0 0.000192001 +2 *20256:A1 0.000316595 +3 *24740:Q 0 +4 *5549:5 0.000508596 +5 *20313:B1 *24037:A0 1.92172e-05 +6 *24037:A1 *24037:A0 6.78596e-05 +7 *24740:D *20256:A1 6.50586e-05 +8 *24999:A *20256:A1 0.00025175 +9 *482:122 *24037:A0 6.08467e-05 +10 *482:127 *20256:A1 0.000291934 +11 *482:127 *24037:A0 0.000304466 +12 *1901:21 *20256:A1 1.84293e-05 +13 *1917:64 *24037:A0 9.22013e-06 +14 *2384:88 *20256:A1 0.000495694 +15 *4805:96 *20256:A1 0.000291934 +16 *4805:96 *24037:A0 0.00033614 +17 *4938:7 *20256:A1 5.42421e-05 +*RES +1 *24740:Q *5549:5 13.7491 +2 *5549:5 *20256:A1 27.391 +3 *5549:5 *24037:A0 22.1209 +*END + +*D_NET *5550 0.00309596 +*CONN +*I *24048:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20255:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24741:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24048:A0 0.00017491 +2 *20255:A1 5.89154e-05 +3 *24741:Q 0.000592013 +4 *5550:7 0.000825839 +5 *20255:B1 *20255:A1 7.50722e-05 +6 *20312:B1 *24048:A0 0.000107496 +7 *24048:A1 *24048:A0 0.000107496 +8 *24741:D *5550:7 5.481e-05 +9 *2333:38 *20255:A1 0.000143032 +10 *2333:38 *24048:A0 0.000447513 +11 *4844:277 *20255:A1 7.50872e-05 +12 *4844:277 *24048:A0 0.000433775 +*RES +1 *24741:Q *5550:7 21.6824 +2 *5550:7 *20255:A1 16.4116 +3 *5550:7 *24048:A0 23.0879 +*END + +*D_NET *5551 0.0026043 +*CONN +*I *24019:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20254:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24742:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24019:A0 0.00017309 +2 *20254:A1 3.90736e-05 +3 *24742:Q 0.000320024 +4 *5551:8 0.000532188 +5 *20254:B1 *20254:A1 1.41976e-05 +6 *24742:D *20254:A1 1.65872e-05 +7 *1901:7 *20254:A1 3.81145e-05 +8 *2924:70 *5551:8 6.02377e-05 +9 *2949:60 *24019:A0 0.000557749 +10 *2949:60 *5551:8 0.00014663 +11 *4832:84 *24019:A0 0.000568474 +12 *4832:84 *5551:8 0.000137936 +*RES +1 *24742:Q *5551:8 19.9081 +2 *5551:8 *20254:A1 15.0513 +3 *5551:8 *24019:A0 23.8862 +*END + +*D_NET *5552 0.00364829 +*CONN +*I *20272:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24041:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24727:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20272:A1 0.000194393 +2 *24041:A0 0.000192042 +3 *24727:Q 0.000520167 +4 *5552:7 0.000906602 +5 *24041:A0 *20269:B1 0.000131757 +6 *24041:A0 *5855:202 0.000388232 +7 *24041:A0 *5855:467 7.14746e-05 +8 *5552:7 *24039:A1 2.61085e-05 +9 *5552:7 *24727:RESET_B 4.59816e-06 +10 *24041:S *24041:A0 0.000574384 +11 *2380:60 *20272:A1 0.000379456 +12 *4815:30 *20272:A1 0.000129067 +13 *4903:222 *20272:A1 0.000130009 +*RES +1 *24727:Q *5552:7 20.5732 +2 *5552:7 *24041:A0 24.0938 +3 *5552:7 *20272:A1 23.4504 +*END + +*D_NET *5553 0.00250678 +*CONN +*I *24020:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20271:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24728:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24020:A0 0 +2 *20271:A1 0.000296346 +3 *24728:Q 0.000347283 +4 *5553:9 0.000643629 +5 *5553:9 *5898:224 2.33193e-05 +6 *24020:A1 *20271:A1 0.000251655 +7 *24020:A1 *5553:9 4.66492e-05 +8 *24730:CLK *20271:A1 7.89747e-05 +9 *598:8 *5553:9 2.8182e-06 +10 *1920:42 *5553:9 0.00026818 +11 *2584:30 *20271:A1 9.60216e-05 +12 *4814:28 *20271:A1 9.24241e-05 +13 *4817:47 *20271:A1 0.000154145 +14 *4817:47 *5553:9 0.000205332 +*RES +1 *24728:Q *5553:9 26.4843 +2 *5553:9 *20271:A1 25.4102 +3 *5553:9 *24020:A0 9.24915 +*END + +*D_NET *5554 0.00179345 +*CONN +*I *24104:A I *D sky130_fd_sc_hd__ebufn_8 +*I *19562:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24104:A 0.000550947 +2 *19562:X 0.000550947 +3 *24104:A *19562:A1 4.81452e-05 +4 *24104:A *5898:217 6.3657e-05 +5 *24104:A *5904:38 0.000247494 +6 *24104:TE_B *24104:A 3.82228e-05 +7 *2570:66 *24104:A 0.00015324 +8 *4812:105 *24104:A 7.12415e-05 +9 *4828:62 *24104:A 6.9555e-05 +*RES +1 *19562:X *24104:A 42.0734 +*END + +*D_NET *5555 0.00127947 +*CONN +*I *24107:A I *D sky130_fd_sc_hd__ebufn_8 +*I *19568:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24107:A 3.23985e-05 +2 *19568:X 0.000362776 +3 *5555:8 9.18882e-05 +4 *5555:7 0.000422266 +5 *24107:A *5592:112 6.87482e-05 +6 *5555:7 *19568:S 0.000112149 +7 *480:73 *24107:A 0.00016303 +8 *480:73 *5555:7 2.62183e-05 +*RES +1 *19568:X *5555:7 23.6585 +2 *5555:7 *5555:8 81.1229 +3 *5555:8 *24107:A 20.3309 +*END + +*D_NET *5556 0.00401303 +*CONN +*I *24108:A I *D sky130_fd_sc_hd__ebufn_2 +*I *19567:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24108:A 0 +2 *19567:X 0.000529343 +3 *5556:10 0.000529343 +4 *5556:10 *5884:23 0.00137211 +5 *19567:S *5556:10 0.000307037 +6 *2460:20 *5556:10 0.000211656 +7 *2692:12 *5556:10 0.00053177 +8 *4817:41 *5556:10 0.00053177 +*RES +1 *19567:X *5556:10 43.2534 +2 *5556:10 *24108:A 9.24915 +*END + +*D_NET *5557 0.00304729 +*CONN +*I *24109:A I *D sky130_fd_sc_hd__ebufn_8 +*I *19566:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24109:A 0 +2 *19566:X 0.00088892 +3 *5557:10 0.00088892 +4 *5557:10 *19566:A1 2.81824e-05 +5 *5557:10 *5714:38 0.000143032 +6 *19566:A0 *5557:10 0.000240501 +7 *20266:A2 *5557:10 0.000227892 +8 *20266:B2 *5557:10 0.000258128 +9 *20324:B1 *5557:10 6.08467e-05 +10 *24014:A1 *5557:10 2.99978e-05 +11 *24046:A0 *5557:10 1.19856e-05 +12 *24733:D *5557:10 0.000111722 +13 *4820:91 *5557:10 0.000143032 +14 *4844:799 *5557:10 1.41291e-05 +*RES +1 *19566:X *5557:10 42.1554 +2 *5557:10 *24109:A 9.24915 +*END + +*D_NET *5558 0.00363163 +*CONN +*I *24126:A I *D sky130_fd_sc_hd__ebufn_8 +*I *19560:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24126:A 0 +2 *19560:X 0.000997082 +3 *5558:10 0.000997082 +4 *5558:10 *5727:8 0.00049553 +5 *5558:10 *5727:10 0.000205665 +6 *5558:10 *5924:50 0.000189565 +7 *20299:B1 *5558:10 0 +8 *1913:29 *5558:10 0.000633595 +9 *1914:8 *5558:10 0 +10 *2297:17 *5558:10 0.000113107 +11 *4842:230 *5558:10 0 +*RES +1 *19560:X *5558:10 48.3505 +2 *5558:10 *24126:A 9.24915 +*END + +*D_NET *5559 0.00134766 +*CONN +*I *24127:A I *D sky130_fd_sc_hd__ebufn_8 +*I *19561:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24127:A 0.000477827 +2 *19561:X 0.000477827 +3 *24127:A *19561:A1 1.00846e-05 +4 *19561:A0 *24127:A 0.000228593 +5 *1924:8 *24127:A 3.12316e-05 +6 *4834:26 *24127:A 0.000122098 +*RES +1 *19561:X *24127:A 36.015 +*END + +*D_NET *5560 0.00524115 +*CONN +*I *24100:A I *D sky130_fd_sc_hd__ebufn_8 +*I *19565:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24100:A 0 +2 *19565:X 0.00132455 +3 *5560:10 0.00132455 +4 *5560:10 *5864:494 0.000102647 +5 *24338:CLK *5560:10 0.000255186 +6 *535:25 *5560:10 0.000803499 +7 *1709:54 *5560:10 1.3813e-05 +8 *2380:84 *5560:10 0.000677082 +9 *2546:5 *5560:10 0.00073981 +*RES +1 *19565:X *5560:10 49.3456 +2 *5560:10 *24100:A 9.24915 +*END + +*D_NET *5561 0.000642043 +*CONN +*I *24102:A I *D sky130_fd_sc_hd__ebufn_8 +*I *19564:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24102:A 0.000118572 +2 *19564:X 0.000118572 +3 *476:142 *24102:A 2.61955e-05 +4 *500:48 *24102:A 0.000114086 +5 *2423:78 *24102:A 0.000110473 +6 *4810:82 *24102:A 0.000154145 +*RES +1 *19564:X *24102:A 31.5781 +*END + +*D_NET *5562 0.000986558 +*CONN +*I *24103:A I *D sky130_fd_sc_hd__ebufn_2 +*I *19563:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *24103:A 0.000331253 +2 *19563:X 0.000331253 +3 *20997:A1 *24103:A 0 +4 *24103:TE_B *24103:A 0.000154145 +5 *1439:75 *24103:A 1.97336e-05 +6 *2471:56 *24103:A 7.50872e-05 +7 *3257:61 *24103:A 7.50872e-05 +*RES +1 *19563:X *24103:A 33.5448 +*END + +*D_NET *5563 0.068705 +*CONN +*I *23941:S I *D sky130_fd_sc_hd__mux2_2 +*I *23948:S I *D sky130_fd_sc_hd__mux2_2 +*I *6140:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23922:B I *D sky130_fd_sc_hd__and2_1 +*I *25003:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23941:S 0.0031795 +2 *23948:S 8.36365e-06 +3 *6140:DIODE 0 +4 *23922:B 0 +5 *25003:X 0.000143844 +6 *5563:45 0.0122253 +7 *5563:44 0.0100826 +8 *5563:39 0.00240179 +9 *5563:35 0.00298102 +10 *5563:21 0.0017999 +11 *5563:9 0.000319324 +12 *23941:S *20852:A1 3.08367e-05 +13 *23948:S *5683:16 1.91246e-05 +14 *5563:9 *23922:A 6.50586e-05 +15 *5563:9 *5785:6 0.000355638 +16 *5563:21 *23922:A 0.000101459 +17 *5563:21 *5785:6 7.75615e-05 +18 *5563:35 *23922:A 5.481e-05 +19 *19758:A *5563:44 0 +20 *19956:A1 *5563:45 0.00284308 +21 *20688:A1 *5563:45 0.000162371 +22 *21689:B1 *23941:S 0.00280659 +23 *21689:B1 *5563:45 0.00202154 +24 *21731:A2 *5563:45 0.000265197 +25 *21863:B1 *5563:45 0 +26 *21938:A2 *5563:45 9.98683e-05 +27 *22952:A *5563:39 2.37478e-05 +28 *23034:A *5563:44 0.000205419 +29 *23363:A *5563:44 0.00019692 +30 *23365:C *5563:44 8.72537e-06 +31 *23431:A2 *5563:39 0.000123648 +32 *23435:C *5563:44 9.27724e-05 +33 *23478:A *5563:35 6.08467e-05 +34 *23478:B *5563:35 3.73237e-05 +35 *23478:B *5563:39 6.4767e-05 +36 *23847:C *5563:39 0.000109177 +37 *460:21 *5563:44 0.000167037 +38 *494:36 *23948:S 2.02035e-05 +39 *494:58 *5563:45 0.003174 +40 *502:26 *23941:S 0.000727527 +41 *514:41 *5563:44 0.000596532 +42 *657:143 *5563:35 0.000175674 +43 *657:146 *5563:21 7.3979e-05 +44 *1439:145 *23941:S 8.69737e-05 +45 *1439:170 *23941:S 4.68302e-05 +46 *1442:55 *5563:45 1.62993e-06 +47 *1443:49 *5563:45 1.98448e-05 +48 *1467:127 *5563:39 0.000635358 +49 *1684:45 *5563:45 0.000447246 +50 *1685:26 *5563:45 0.00301343 +51 *1706:118 *5563:45 0.000904596 +52 *1706:146 *5563:45 0.00508967 +53 *1709:87 *23941:S 0.000407097 +54 *1709:87 *5563:45 0.000120232 +55 *1725:33 *5563:45 0 +56 *1740:110 *5563:45 0.00302247 +57 *1742:76 *23941:S 0.000727527 +58 *1783:48 *5563:39 0.00160143 +59 *1786:43 *5563:44 0 +60 *2108:29 *23941:S 1.98294e-05 +61 *2283:13 *5563:45 0 +62 *2391:49 *23941:S 0 +63 *2412:12 *5563:44 0 +64 *2612:32 *5563:44 0.00116101 +65 *2700:26 *5563:45 0.000175258 +66 *2720:24 *23941:S 0.000225178 +67 *2720:24 *5563:45 0.000650027 +68 *2749:38 *5563:45 8.62819e-06 +69 *2878:44 *5563:45 0 +70 *2936:67 *5563:45 6.23101e-05 +71 *2999:26 *5563:45 0.000241905 +72 *3485:19 *5563:45 0 +73 *3791:154 *5563:9 3.08017e-05 +74 *3895:39 *5563:39 0.00013802 +75 *3895:41 *5563:39 0.000267063 +76 *3895:43 *5563:39 7.73967e-05 +77 *3897:43 *5563:39 9.32851e-05 +78 *3897:55 *5563:39 0.000120052 +79 *4236:61 *5563:39 1.50389e-06 +80 *4263:11 *5563:35 7.13469e-05 +81 *4264:13 *5563:35 1.97516e-05 +82 *4265:10 *5563:39 0.000152544 +83 *4328:31 *5563:35 9.69405e-05 +84 *4384:10 *5563:39 0.000253451 +85 *4525:14 *5563:35 0.00026818 +86 *4618:20 *5563:39 6.61215e-06 +87 *4802:18 *5563:45 0 +88 *4819:72 *5563:45 0.000115387 +89 *4830:71 *23941:S 5.75936e-05 +90 *5456:61 *5563:9 0.000341908 +91 *5456:61 *5563:21 2.16355e-05 +*RES +1 *25003:X *5563:9 25.3723 +2 *5563:9 *23922:B 9.24915 +3 *5563:9 *5563:21 12.9727 +4 *5563:21 *6140:DIODE 9.24915 +5 *5563:21 *5563:35 39.6513 +6 *5563:35 *5563:39 47.3461 +7 *5563:39 *5563:44 43.9591 +8 *5563:44 *5563:45 30.646 +9 *5563:45 *23948:S 17.4965 +10 *5563:45 *23941:S 38.6037 +*END + +*D_NET *5564 0.00510356 +*CONN +*I *21202:A I *D sky130_fd_sc_hd__inv_2 +*I *25004:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21202:A 0.000125975 +2 *25004:X 0.000739275 +3 *5564:12 0.00086525 +4 *21202:A *21886:A 0.000111708 +5 *21202:A *21966:A 9.421e-05 +6 *21202:A *5933:13 2.16355e-05 +7 *5564:12 *21709:A 7.20258e-05 +8 *5564:12 *21886:A 0.000120463 +9 *5564:12 *5922:11 0.0014881 +10 *5564:12 *5933:13 4.00791e-05 +11 *21326:A1 *5564:12 0 +12 *25004:A *5564:12 6.50727e-05 +13 *25134:A *5564:12 0 +14 *25150:A *5564:12 0.000171288 +15 *25161:A *5564:12 0.000171288 +16 *25172:A *5564:12 0.00033061 +17 *25183:A *5564:12 0.000171288 +18 *25194:A *5564:12 0.000171288 +19 *2385:10 *5564:12 6.89449e-05 +20 *2902:12 *21202:A 0.00027329 +21 *4875:35 *5564:12 1.77537e-06 +*RES +1 *25004:X *5564:12 47.7171 +2 *5564:12 *21202:A 14.4335 +*END + +*D_NET *5565 0.000211544 +*CONN +*I *19957:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25005:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19957:A 0.000105772 +2 *25005:X 0.000105772 +*RES +1 *25005:X *19957:A 20.7649 +*END + +*D_NET *5566 0.000574167 +*CONN +*I *21923:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25006:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21923:A 0.000129243 +2 *25006:X 0.000129243 +3 *21923:A *21925:A 7.92757e-06 +4 *21923:A *5927:68 0.000116986 +5 *21923:A *5938:8 0.000125695 +6 *2939:7 *21923:A 6.50727e-05 +*RES +1 *25006:X *21923:A 31.0235 +*END + +*D_NET *5567 0.00044583 +*CONN +*I *21861:A I *D sky130_fd_sc_hd__inv_2 +*I *25007:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21861:A 9.57508e-05 +2 *25007:X 9.57508e-05 +3 *21861:A *5785:24 0.000127164 +4 *25007:A *21861:A 0.000127164 +*RES +1 *25007:X *21861:A 30.4689 +*END + +*D_NET *5568 0.000421292 +*CONN +*I *21675:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25008:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21675:A 0.000152518 +2 *25008:X 0.000152518 +3 *21675:A *21895:A 5.53934e-05 +4 *21675:A *5785:24 5.53934e-05 +5 *2864:58 *21675:A 5.4694e-06 +*RES +1 *25008:X *21675:A 31.0235 +*END + +*D_NET *5569 0.00033137 +*CONN +*I *21937:A I *D sky130_fd_sc_hd__inv_2 +*I *25009:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21937:A 6.65003e-05 +2 *25009:X 6.65003e-05 +3 *2951:19 *21937:A 0.000118166 +4 *2955:29 *21937:A 8.02034e-05 +*RES +1 *25009:X *21937:A 21.3195 +*END + +*D_NET *5570 0.000853824 +*CONN +*I *21555:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25010:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21555:A 0.000304829 +2 *25010:X 0.000304829 +3 *21555:A *5926:46 0.000122083 +4 *21555:A *5927:68 0.000122083 +*RES +1 *25010:X *21555:A 33.242 +*END + +*D_NET *5571 0.00105365 +*CONN +*I *21369:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25011:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21369:A 0.000209416 +2 *25011:X 0.000209416 +3 *21369:A *5785:16 0.000341222 +4 *25011:A *21369:A 0.000267142 +5 *1455:74 *21369:A 0 +6 *2632:33 *21369:A 1.4091e-06 +7 *4870:60 *21369:A 2.50474e-05 +*RES +1 *25011:X *21369:A 34.7608 +*END + +*D_NET *5572 0.000224139 +*CONN +*I *21336:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25012:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21336:A 9.04928e-05 +2 *25012:X 9.04928e-05 +3 *2398:10 *21336:A 4.31539e-05 +*RES +1 *25012:X *21336:A 20.7649 +*END + +*D_NET *5573 0.000685577 +*CONN +*I *21217:A I *D sky130_fd_sc_hd__inv_2 +*I *25013:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21217:A 0.000202896 +2 *25013:X 0.000202896 +3 *21217:A *21895:A 8.62625e-06 +4 *21217:A *5785:16 0.000145477 +5 *21217:A *5927:64 5.32981e-05 +6 *24832:CLK_N *21217:A 4.58003e-05 +7 *2284:11 *21217:A 2.65831e-05 +*RES +1 *25013:X *21217:A 32.7168 +*END + +*D_NET *5574 0.000484364 +*CONN +*I *19948:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25014:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19948:A 0.000143662 +2 *25014:X 0.000143662 +3 *19948:A *5785:16 0.000122083 +4 *24070:A1 *19948:A 2.44829e-05 +5 *24831:CLK_N *19948:A 5.04734e-05 +*RES +1 *25014:X *19948:A 31.0235 +*END + +*D_NET *5575 0.00137174 +*CONN +*I *20023:A I *D sky130_fd_sc_hd__inv_2 +*I *25015:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20023:A 0.000124438 +2 *25015:X 0.000124438 +3 *20023:A *21911:A 0.000143032 +4 *2290:13 *20023:A 0.000489918 +5 *2387:7 *20023:A 0.000489918 +*RES +1 *25015:X *20023:A 35.321 +*END + +*D_NET *5576 0.00043106 +*CONN +*I *21934:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25016:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21934:A 9.72279e-05 +2 *25016:X 9.72279e-05 +3 *21934:A *5785:16 5.27799e-05 +4 *24831:CLK_N *21934:A 0.000122978 +5 *1829:49 *21934:A 6.08467e-05 +*RES +1 *25016:X *21934:A 30.4689 +*END + +*D_NET *5577 0.000266076 +*CONN +*I *21786:A I *D sky130_fd_sc_hd__inv_2 +*I *25017:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21786:A 0.000133038 +2 *25017:X 0.000133038 +*RES +1 *25017:X *21786:A 21.3195 +*END + +*D_NET *5578 0.000974208 +*CONN +*I *21678:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25018:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21678:A 0.000190965 +2 *25018:X 0.000190965 +3 *21678:A *5785:6 0.000320257 +4 *24831:CLK_N *21678:A 4.62225e-05 +5 *25018:A *21678:A 0.000217828 +6 *1755:57 *21678:A 7.97098e-06 +*RES +1 *25018:X *21678:A 34.3456 +*END + +*D_NET *5579 0.0004851 +*CONN +*I *21561:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25019:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21561:A 0.00014193 +2 *25019:X 0.00014193 +3 *21561:A *5785:6 0.000153225 +4 *5454:28 *21561:A 4.80148e-05 +*RES +1 *25019:X *21561:A 31.4388 +*END + +*D_NET *5580 0.000816725 +*CONN +*I *21366:A I *D sky130_fd_sc_hd__inv_2 +*I *25020:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21366:A 0.000312533 +2 *25020:X 0.000312533 +3 *21366:A *5926:42 5.53789e-05 +4 *21366:A *5927:48 0.000122083 +5 *3868:213 *21366:A 1.41976e-05 +*RES +1 *25020:X *21366:A 33.242 +*END + +*D_NET *5581 0.0004661 +*CONN +*I *21796:A I *D sky130_fd_sc_hd__inv_4 +*I *25021:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21796:A 0.000149196 +2 *25021:X 0.000149196 +3 *21796:A *5733:22 0.000127179 +4 *21796:A *5926:60 3.58321e-05 +5 *3060:27 *21796:A 4.69808e-06 +*RES +1 *25021:X *21796:A 31.0235 +*END + +*D_NET *5582 0.000554011 +*CONN +*I *21344:A I *D sky130_fd_sc_hd__inv_2 +*I *25022:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21344:A 0.000142446 +2 *25022:X 0.000142446 +3 *21344:A *5785:6 0.000115448 +4 *25022:A *21344:A 3.82228e-05 +5 *3791:154 *21344:A 0.000115448 +*RES +1 *25022:X *21344:A 31.0235 +*END + +*D_NET *5583 0.000545464 +*CONN +*I *21214:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25023:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21214:A 0.000126457 +2 *25023:X 0.000126457 +3 *21214:A *5785:6 0.000127164 +4 *25023:A *21214:A 3.82228e-05 +5 *3791:154 *21214:A 0.000127164 +*RES +1 *25023:X *21214:A 31.0235 +*END + +*D_NET *5584 0.000327637 +*CONN +*I *21681:A I *D sky130_fd_sc_hd__inv_2 +*I *25024:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21681:A 7.60759e-05 +2 *25024:X 7.60759e-05 +3 *2619:29 *21681:A 0.000175485 +*RES +1 *25024:X *21681:A 21.3195 +*END + +*D_NET *5585 0.000598128 +*CONN +*I *21568:A I *D sky130_fd_sc_hd__inv_2 +*I *25025:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21568:A 0.000197873 +2 *25025:X 0.000197873 +3 *20111:A *21568:A 3.75382e-05 +4 *20112:A *21568:A 0.000164843 +*RES +1 *25025:X *21568:A 23.7067 +*END + +*D_NET *5586 0.000969594 +*CONN +*I *21911:A I *D sky130_fd_sc_hd__inv_2 +*I *25026:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21911:A 0.0003697 +2 *25026:X 0.0003697 +3 *21911:A *21883:A 0 +4 *20023:A *21911:A 0.000143032 +5 *25015:A *21911:A 1.84293e-05 +6 *25026:A *21911:A 1.43983e-05 +7 *2859:8 *21911:A 5.43351e-05 +*RES +1 *25026:X *21911:A 35.4548 +*END + +*D_NET *5587 0.000484963 +*CONN +*I *21387:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25027:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21387:A 0.000146597 +2 *25027:X 0.000146597 +3 *21387:A *5733:22 0.000127179 +4 *2715:10 *21387:A 5.53934e-05 +5 *2838:33 *21387:A 9.19632e-06 +*RES +1 *25027:X *21387:A 31.0235 +*END + +*D_NET *5588 0.000548238 +*CONN +*I *21350:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25028:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21350:A 0.000197455 +2 *25028:X 0.000197455 +3 *21350:A *5733:20 0.000122098 +4 *4867:47 *21350:A 3.12316e-05 +*RES +1 *25028:X *21350:A 31.5781 +*END + +*D_NET *5589 0.000615609 +*CONN +*I *21192:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25029:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21192:A 0.000185722 +2 *25029:X 0.000185722 +3 *21192:A *5733:20 0.000122083 +4 *25029:A *21192:A 0.000122083 +*RES +1 *25029:X *21192:A 31.5781 +*END + +*D_NET *5590 0.00388017 +*CONN +*I *20009:A I *D sky130_fd_sc_hd__inv_2 +*I *25030:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20009:A 0 +2 *25030:X 0.000689044 +3 *5590:10 0.000689044 +4 *5590:10 *21669:A 0.000148144 +5 *5590:10 *5733:12 0.000209264 +6 *5590:10 *5785:24 0 +7 *5590:10 *5785:28 0.000261776 +8 *5590:10 *5801:12 0.000228741 +9 *5590:10 *5938:8 0.000306398 +10 *24167:CLK *5590:10 1.03403e-05 +11 *25030:A *5590:10 5.92342e-05 +12 *25031:A *5590:10 2.02035e-05 +13 *25200:A *5590:10 7.00991e-05 +14 *4867:43 *5590:10 0.000767618 +15 *4867:45 *5590:10 0.000338585 +16 *4867:47 *5590:10 8.16827e-05 +*RES +1 *25030:X *5590:10 45.6897 +2 *5590:10 *20009:A 13.7491 +*END + +*D_NET *5591 0.00806111 +*CONN +*I *21925:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25031:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21925:A 0.000643606 +2 *25031:X 0.000784432 +3 *5591:10 0.00142804 +4 *21925:A *21409:A 5.30211e-05 +5 *21925:A *23953:A1 6.60706e-05 +6 *21925:A *5926:46 3.51107e-05 +7 *21925:A *5926:54 0.000940225 +8 *21925:A *5926:56 0 +9 *5591:10 *5800:32 0.000111435 +10 *5591:10 *5926:54 5.08071e-06 +11 *5591:10 *5926:56 0.0014255 +12 *20110:A *5591:10 2.65831e-05 +13 *21923:A *21925:A 7.92757e-06 +14 *657:51 *21925:A 0.000642186 +15 *657:51 *5591:10 0 +16 *2283:13 *21925:A 0.000504672 +17 *2426:8 *21925:A 0.000100606 +18 *2937:10 *21925:A 2.95757e-05 +19 *2937:11 *21925:A 6.08467e-05 +20 *2939:7 *21925:A 8.79845e-05 +21 *3103:8 *5591:10 0.00110822 +*RES +1 *25031:X *5591:10 44.5351 +2 *5591:10 *21925:A 42.2875 +*END + +*D_NET *5592 0.0993362 +*CONN +*I *19568:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *20018:A I *D sky130_fd_sc_hd__inv_2 +*I *25032:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *19568:A1 0 +2 *6221:DIODE 0.000214184 +3 *20018:A 0.000149187 +4 *25032:X 0.000588481 +5 *5592:112 0.005704 +6 *5592:102 0.00778295 +7 *5592:87 0.00315086 +8 *5592:76 0.00222547 +9 *5592:70 0.00754363 +10 *5592:40 0.00671699 +11 *5592:33 0.00203803 +12 *5592:19 0.00392233 +13 *5592:17 0.00380959 +14 *5592:9 0.00212187 +15 *5592:9 *25210:A 0.000908052 +16 *5592:9 *5927:43 9.42362e-06 +17 *5592:9 *5927:46 6.63327e-05 +18 *5592:17 *23918:B 1.27193e-05 +19 *5592:19 *20566:B1 7.98171e-06 +20 *5592:19 *5860:696 2.77625e-06 +21 *5592:76 *20621:B1 4.31603e-06 +22 *5592:87 *5862:319 0.000173255 +23 *5592:102 *5874:17 6.21488e-06 +24 *5592:102 *5902:33 0.000626267 +25 *5592:102 *5908:87 0.00041347 +26 *5592:102 *5910:33 0.000107496 +27 irq[1] *5592:9 6.11074e-05 +28 mgmt_gpio_oeb[10] *5592:102 8.81803e-05 +29 mgmt_gpio_oeb[13] *5592:112 0 +30 mgmt_gpio_out[13] *5592:112 5.34179e-05 +31 *19899:A *5592:70 3.24863e-05 +32 *20021:A1 *5592:70 0.000484938 +33 *20021:A2 *20018:A 5.481e-05 +34 *20021:B1 *20018:A 3.41459e-05 +35 *20483:B *5592:33 0.000187606 +36 *20528:A1 *5592:19 0.000610613 +37 *20528:A1 *5592:33 8.29362e-05 +38 *20528:B2 *5592:19 0.000132467 +39 *20566:A1 *5592:19 1.5613e-05 +40 *20566:A2 *5592:19 4.97617e-05 +41 *20566:B2 *5592:19 0.000169041 +42 *20621:A1 *5592:76 4.31603e-06 +43 *20621:B2 *5592:87 9.12416e-06 +44 *21241:B1 *5592:76 0.000290748 +45 *21412:C1 *5592:102 0.00026853 +46 *21422:B1 *5592:76 3.12676e-05 +47 *21443:B *5592:70 0.000307331 +48 *21604:B1 *5592:70 0.00269825 +49 *21617:A1 *5592:70 0.000435083 +50 *21641:A1 *5592:70 5.8518e-05 +51 *21658:B1 *5592:70 0.000915597 +52 *21776:A2 *5592:70 0.000655651 +53 *22386:A1 *5592:76 3.29488e-05 +54 *22386:A2 *5592:76 9.95126e-05 +55 *22386:B2 *5592:76 8.72285e-06 +56 *22487:B *20018:A 0.000154145 +57 *22663:A2 *5592:70 8.09106e-06 +58 *22669:A1 *5592:70 0.00052237 +59 *22784:B1 *5592:70 0.000108957 +60 *23137:A1 *5592:17 2.29454e-05 +61 *23137:A2 *5592:17 0.000154145 +62 *23137:B2 *5592:17 2.07503e-05 +63 *23140:B1 *5592:17 0.000107496 +64 *23274:A *5592:19 0.000154145 +65 *23276:A *5592:19 0.000156946 +66 *23344:B *5592:9 0.000120218 +67 *23344:D *5592:9 1.9101e-05 +68 *23575:A2 *5592:19 0.000160617 +69 *23575:B1 *5592:17 7.5301e-06 +70 *23575:B2 *5592:19 0.000164843 +71 *23762:A *5592:19 0.000135701 +72 *23762:B *5592:19 8.29362e-06 +73 *23762:C *5592:19 8.41713e-05 +74 *24107:A *5592:112 6.87482e-05 +75 *24491:D *5592:87 1.61832e-05 +76 *24528:D *5592:19 0.000307023 +77 *24554:D *5592:19 0.000111722 +78 *24629:D *5592:33 8.79845e-05 +79 *24910:A *5592:70 0.000202101 +80 *480:73 *6221:DIODE 0.000298318 +81 *480:73 *5592:112 0.000258314 +82 *480:93 *5592:112 0.00010757 +83 *490:114 *5592:76 6.69861e-06 +84 *657:171 *5592:9 1.835e-05 +85 *1066:22 *5592:19 0.00016491 +86 *1443:60 *5592:70 0.0055456 +87 *1454:74 *5592:40 0.000247443 +88 *1556:35 *5592:102 0.000457763 +89 *1584:49 *5592:70 0.000650185 +90 *1592:93 *5592:70 0.000763413 +91 *1614:30 *5592:70 0.000140533 +92 *1631:34 *5592:70 0.000518479 +93 *1649:143 *5592:70 1.67867e-05 +94 *1658:27 *5592:70 0.000204902 +95 *1688:75 *5592:112 0 +96 *1709:40 *5592:102 0.000223844 +97 *1744:171 *5592:33 0.00063206 +98 *1764:94 *5592:70 0.000739923 +99 *1776:26 *5592:33 0.000113107 +100 *1776:26 *5592:40 9.21233e-05 +101 *1776:35 *5592:40 5.36085e-05 +102 *1776:44 *5592:40 0.000117341 +103 *1798:92 *5592:33 0.000357635 +104 *1800:75 *5592:70 0.000130477 +105 *1998:44 *5592:19 9.55447e-05 +106 *2093:68 *5592:70 0.000280042 +107 *2170:78 *5592:102 1.79503e-05 +108 *2256:114 *5592:76 0.000713935 +109 *2257:69 *5592:112 6.08131e-05 +110 *2307:30 *5592:76 0.000457332 +111 *2312:22 *5592:87 6.3214e-05 +112 *2320:56 *5592:112 0.000424255 +113 *2332:13 *5592:87 0.000400201 +114 *2332:17 *5592:87 3.58208e-05 +115 *2338:72 *5592:70 0.000240185 +116 *2360:43 *5592:112 0.0028197 +117 *2367:28 *5592:112 0.000117093 +118 *2384:45 *5592:87 0.00190089 +119 *2448:146 *5592:102 8.41266e-06 +120 *2467:34 *5592:112 5.74949e-05 +121 *2631:38 *5592:76 0.000726074 +122 *2631:70 *5592:70 8.73244e-06 +123 *2635:47 *5592:102 0.000604786 +124 *2649:37 *5592:33 0.000726854 +125 *2655:38 *5592:33 0.000599076 +126 *2679:18 *5592:112 0.000110809 +127 *2687:42 *5592:112 0.000635208 +128 *2687:68 *5592:102 6.26248e-06 +129 *2687:68 *5592:112 0.000605304 +130 *2692:12 *5592:112 6.20492e-05 +131 *2692:31 *5592:112 0.000113114 +132 *2729:62 *5592:112 0.000100364 +133 *2763:20 *5592:70 5.60804e-05 +134 *2777:60 *5592:70 6.30062e-05 +135 *2791:46 *5592:112 0.00238178 +136 *2791:50 *5592:70 2.83247e-05 +137 *2813:47 *5592:70 2.72284e-06 +138 *2882:74 *5592:102 0 +139 *2900:111 *5592:102 0.000395736 +140 *3020:14 *5592:102 0 +141 *3050:35 *5592:76 0.000303342 +142 *3058:8 *20018:A 0.000136548 +143 *3058:8 *5592:40 1.5714e-05 +144 *3134:72 *5592:33 0.000154145 +145 *3151:195 *5592:70 0.000153427 +146 *3185:184 *5592:76 0.000192598 +147 *3243:22 *5592:70 8.53491e-05 +148 *3342:12 *5592:76 0.000697614 +149 *3368:47 *5592:19 0.000440512 +150 *3452:21 *5592:40 0.000250856 +151 *3474:218 *5592:76 0.000487796 +152 *3509:175 *5592:70 0.000263719 +153 *3511:63 *5592:70 2.28545e-05 +154 *3513:121 *20018:A 0.000141054 +155 *3513:121 *5592:40 1.04747e-05 +156 *3537:88 *5592:70 0.000353163 +157 *3860:123 *5592:9 0.00010906 +158 *3860:125 *5592:9 9.57064e-05 +159 *3860:131 *5592:9 0.000639796 +160 *3886:27 *5592:19 2.16355e-05 +161 *3891:96 *5592:17 0.000164116 +162 *3891:96 *5592:19 1.15389e-05 +163 *3893:26 *5592:19 0.000731141 +164 *3917:72 *5592:9 0.00025372 +165 *3974:219 *5592:19 0.000250254 +166 *4017:9 *5592:19 0.00037825 +167 *4126:184 *5592:17 0.000458307 +168 *4151:7 *5592:17 5.99529e-06 +169 *4185:5 *5592:19 3.75404e-05 +170 *4231:39 *5592:17 6.08467e-05 +171 *4231:54 *5592:17 1.58551e-05 +172 *4290:19 *5592:19 0.000129053 +173 *4348:8 *5592:19 7.97098e-06 +174 *4475:12 *5592:17 0.000489283 +175 *4522:7 *5592:17 3.99086e-06 +176 *4600:22 *5592:19 1.88014e-05 +177 *4665:10 *5592:19 4.77168e-06 +178 *4811:18 *5592:87 5.75265e-05 +179 *4811:83 *5592:102 0.000974045 +180 *4814:64 *5592:102 0.000873557 +181 *4818:110 *5592:112 0.000830526 +182 *4826:84 *5592:87 1.5714e-05 +183 *4826:117 *5592:87 0.000134607 +184 *4827:89 *5592:102 0.00043404 +185 *4828:61 *5592:112 0.000401865 +186 *4828:80 *5592:102 0.000674043 +187 *4833:155 *5592:112 8.00779e-06 +188 *4845:270 *5592:87 0.000110297 +189 *5454:15 *5592:70 0.00132603 +190 *5528:12 *5592:102 3.77568e-05 +*RES +1 *25032:X *5592:9 43.914 +2 *5592:9 *5592:17 34.2166 +3 *5592:17 *5592:19 59.5114 +4 *5592:19 *5592:33 45.4201 +5 *5592:33 *5592:40 17.8897 +6 *5592:40 *20018:A 19.0306 +7 *5592:40 *5592:70 33.156 +8 *5592:70 *5592:76 46.7697 +9 *5592:76 *5592:87 46.7843 +10 *5592:87 *5592:102 44.9061 +11 *5592:102 *5592:112 45.03 +12 *5592:112 *6221:DIODE 12.7456 +13 *5592:112 *19568:A1 9.24915 +*END + +*D_NET *5593 0.0920414 +*CONN +*I *19565:S I *D sky130_fd_sc_hd__mux2_1 +*I *6222:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23918:B I *D sky130_fd_sc_hd__and2_1 +*I *25033:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *19565:S 1.47608e-05 +2 *6222:DIODE 0.000294167 +3 *23918:B 0.000473225 +4 *25033:X 0.00147166 +5 *5593:65 0.00151832 +6 *5593:57 0.00662423 +7 *5593:46 0.0138267 +8 *5593:20 0.0103567 +9 *6222:DIODE *6041:DIODE 3.8122e-05 +10 *5593:20 *5800:49 0.000330571 +11 *5593:46 *5856:168 3.30319e-05 +12 *5593:46 *5909:38 0.017703 +13 *5593:46 *5925:11 0.00796833 +14 *5593:57 *5909:38 0.00690437 +15 *5593:65 *5919:73 0.000393134 +16 spi_sdi *5593:20 1.86819e-05 +17 *19899:A *5593:46 0.000481628 +18 *20021:A1 *5593:46 2.95986e-05 +19 *20528:A2 *5593:46 0.000487631 +20 *21073:A1 *6222:DIODE 0.000200794 +21 *21604:A1 *5593:57 0.000402032 +22 *21636:B1 *5593:57 0.00258584 +23 *21801:B1 *5593:57 2.33103e-06 +24 *21801:C1 *5593:46 0.000531367 +25 *21807:C *5593:46 0.00010831 +26 *22488:A2 *5593:46 0.000168543 +27 *22488:C1 *5593:46 0.00019302 +28 *22665:A2 *5593:57 0.000573012 +29 *22798:B1 *5593:46 0.000870333 +30 *23241:C1 *5593:20 5.17932e-06 +31 *23776:B *5593:20 8.43942e-05 +32 *24138:CLK *5593:20 3.28754e-05 +33 *25033:A *5593:20 2.22007e-06 +34 *25179:A *5593:20 0 +35 *25181:A *5593:20 4.40531e-05 +36 *25184:A *5593:20 1.5714e-05 +37 *25185:A *5593:46 6.28721e-05 +38 *25186:A *5593:46 4.98095e-06 +39 *324:19 *5593:57 0.000478725 +40 *324:19 *5593:65 5.6292e-05 +41 *482:40 *6222:DIODE 0.000131716 +42 *482:40 *5593:65 0.00111113 +43 *502:26 *5593:65 0.000102184 +44 *527:44 *5593:57 0.000312812 +45 *657:171 *5593:20 4.09314e-05 +46 *657:171 *5593:46 5.47362e-05 +47 *1544:133 *5593:46 2.49093e-05 +48 *1570:50 *5593:46 6.03237e-05 +49 *1583:10 *5593:65 0.000338458 +50 *1583:74 *5593:46 1.91246e-05 +51 *1658:27 *5593:46 1.53613e-05 +52 *1690:72 *5593:20 0.000171508 +53 *1776:15 *5593:46 0.000154459 +54 *2093:68 *5593:46 6.08467e-05 +55 *2093:68 *5593:57 1.9101e-05 +56 *2093:79 *5593:46 8.80568e-05 +57 *2310:37 *5593:57 0.000160069 +58 *2397:63 *19565:S 6.08467e-05 +59 *2468:111 *6222:DIODE 0.000131716 +60 *2468:111 *5593:65 0.00109893 +61 *2546:5 *19565:S 6.08467e-05 +62 *2569:41 *5593:46 1.91246e-05 +63 *2629:37 *5593:57 0.0021153 +64 *2664:14 *5593:46 1.41976e-05 +65 *2784:42 *5593:46 0.000317854 +66 *2813:47 *5593:57 0.000108306 +67 *2838:45 *5593:20 0.000202633 +68 *3021:10 *5593:65 0.000154124 +69 *3066:26 *5593:46 1.55691e-05 +70 *3263:33 *5593:57 0.000139195 +71 *3507:135 *5593:57 0.00057508 +72 *3511:63 *5593:46 0.00013463 +73 *3517:151 *5593:57 0.000125342 +74 *3531:73 *5593:46 0.000907398 +75 *3531:84 *5593:46 5.1888e-05 +76 *3840:101 *5593:20 0.000225845 +77 *3868:180 *5593:20 0.000230464 +78 *3868:187 *5593:20 0.000336951 +79 *3868:187 *5593:46 1.89527e-06 +80 *3883:18 *5593:20 5.75478e-05 +81 *4677:11 *23918:B 1.82679e-05 +82 *4810:22 *5593:57 0.000431232 +83 *4811:18 *5593:57 0.000445701 +84 *4816:125 *5593:57 0.00303645 +85 *4827:79 *5593:57 0.000114282 +86 *4844:235 *5593:57 1.02764e-05 +87 *4844:235 *5593:65 8.32399e-05 +88 *4845:315 *5593:65 5.481e-05 +89 *4879:16 *5593:20 0.000229321 +90 *5452:33 *5593:20 0.0010593 +91 *5454:15 *5593:46 6.96105e-06 +92 *5454:23 *23918:B 5.04829e-06 +93 *5454:23 *5593:20 1.41396e-05 +94 *5454:56 *5593:20 0.000423187 +95 *5456:50 *5593:20 0.000718761 +96 *5456:106 *5593:20 3.54714e-05 +97 *5592:17 *23918:B 1.27193e-05 +*RES +1 *25033:X *5593:20 49.9229 +2 *5593:20 *23918:B 20.4526 +3 *5593:20 *5593:46 47.2389 +4 *5593:46 *5593:57 37.4624 +5 *5593:57 *5593:65 48.2059 +6 *5593:65 *6222:DIODE 19.9081 +7 *5593:65 *19565:S 14.4725 +*END + +*D_NET *5594 0.0320805 +*CONN +*I *19566:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25034:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *19566:A1 0.000861676 +2 *25034:X 0.000589359 +3 *5594:16 0.00346652 +4 *5594:15 0.00260484 +5 *5594:13 0.000999627 +6 *5594:11 0.00160902 +7 *5594:8 0.00119875 +8 *5594:8 *21964:A 0 +9 *5594:8 *24595:RESET_B 0 +10 *5594:8 *5887:10 0 +11 *5594:16 *23945:S 0.000151539 +12 *5594:16 *24710:RESET_B 0.000167002 +13 *5594:16 *5867:735 0.000330774 +14 *5594:16 *5867:744 0.000162053 +15 *5594:16 *5867:765 0.000171878 +16 *5594:16 *5867:815 0.000257456 +17 *5594:16 *5867:820 0.000123477 +18 *5594:16 *5906:20 0.000195124 +19 *5594:16 *5921:99 0.00108401 +20 *5594:16 *5921:109 0.00123334 +21 *5594:16 *5924:50 0 +22 *19561:A0 *5594:16 0 +23 *19566:A0 *19566:A1 2.65831e-05 +24 *20302:A1 *5594:16 0 +25 *20469:B1 *5594:8 9.24241e-05 +26 *23908:A *5594:13 0.000156367 +27 *23945:A0 *5594:16 0 +28 *24595:D *5594:8 0.000130777 +29 *24682:D *5594:16 0.000165495 +30 *24683:D *5594:16 0.000172691 +31 *24684:D *5594:16 1.79807e-05 +32 *24685:D *5594:16 0.000148144 +33 *25038:A *5594:8 4.90264e-05 +34 *1656:8 *5594:8 0.000132529 +35 *1913:37 *5594:16 0 +36 *2306:12 *5594:16 0.000946593 +37 *2620:16 *5594:16 0 +38 *2809:6 *5594:8 0.000314504 +39 *4824:25 *5594:8 2.19131e-05 +40 *4828:15 *5594:11 0.00101967 +41 *4828:15 *5594:13 0.00147167 +42 *4828:35 *5594:13 0.00425246 +43 *4832:21 *5594:11 0.000720301 +44 *4832:23 *5594:11 0.00272704 +45 *4832:23 *5594:13 0.00173101 +46 *4832:27 *5594:13 1.00846e-05 +47 *4832:158 *5594:13 3.21548e-05 +48 *4836:49 *5594:16 0.000225765 +49 *4836:55 *5594:16 0 +50 *4839:377 *5594:16 0 +51 *4843:935 *5594:13 0.000197202 +52 *4844:76 *5594:8 3.31733e-05 +53 *4844:88 *5594:11 0.00205027 +54 *5557:10 *19566:A1 2.81824e-05 +*RES +1 *25034:X *5594:8 37.1065 +2 *5594:8 *5594:11 48.1661 +3 *5594:11 *5594:13 62.5617 +4 *5594:13 *5594:15 4.5 +5 *5594:15 *5594:16 92.7722 +6 *5594:16 *19566:A1 25.5646 +*END + +*D_NET *5595 0.00152474 +*CONN +*I *21710:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25035:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21710:A 0.000448929 +2 *25035:X 0.000448929 +3 *21710:A *5635:12 6.50727e-05 +4 *21710:A *5887:10 0 +5 *25035:A *21710:A 4.23874e-05 +6 *25118:A *21710:A 0.000519418 +*RES +1 *25035:X *21710:A 39.6185 +*END + +*D_NET *5596 0.00218296 +*CONN +*I *21964:A I *D sky130_fd_sc_hd__inv_4 +*I *25036:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21964:A 0.000621674 +2 *25036:X 0.000621674 +3 *21964:A *19898:A 0.000275256 +4 *21964:A *19984:A 0 +5 *21964:A *24595:RESET_B 0.000226296 +6 *21964:A *5678:8 0 +7 *21964:A *5934:25 0.000111722 +8 *20469:A1 *21964:A 0.000105847 +9 *20469:A2 *21964:A 0.000172515 +10 *20469:B2 *21964:A 4.79767e-05 +11 *5130:10 *21964:A 0 +12 *5594:8 *21964:A 0 +*RES +1 *25036:X *21964:A 46.0107 +*END + +*D_NET *5597 0.00101712 +*CONN +*I *21883:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25037:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21883:A 0.000455549 +2 *25037:X 0.000455549 +3 *21883:A *21687:A 0 +4 *21911:A *21883:A 0 +5 *25037:A *21883:A 1.43983e-05 +6 *2386:17 *21883:A 2.65667e-05 +7 *2859:8 *21883:A 0 +8 *4875:46 *21883:A 6.50586e-05 +*RES +1 *25037:X *21883:A 36.1782 +*END + +*D_NET *5598 0.000293649 +*CONN +*I *21793:A I *D sky130_fd_sc_hd__inv_4 +*I *25038:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21793:A 8.80951e-05 +2 *25038:X 8.80951e-05 +3 *21793:A *19984:A 4.33819e-05 +4 *25038:A *21793:A 7.40769e-05 +*RES +1 *25038:X *21793:A 21.3195 +*END + +*D_NET *5599 0.00146353 +*CONN +*I *19984:A I *D sky130_fd_sc_hd__inv_4 +*I *25039:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19984:A 0.000461767 +2 *25039:X 0.000461767 +3 *19984:A *25223:A 0 +4 *19984:A *5678:9 0.000325328 +5 *21793:A *19984:A 4.33819e-05 +6 *21964:A *19984:A 0 +7 *25038:A *19984:A 0.000171288 +*RES +1 *25039:X *19984:A 40.6394 +*END + +*D_NET *5600 0.026669 +*CONN +*I *23313:B1 I *D sky130_fd_sc_hd__a2bb2o_1 +*I *22917:B I *D sky130_fd_sc_hd__or3_4 +*I *22922:A I *D sky130_fd_sc_hd__or2_1 +*I *22870:B I *D sky130_fd_sc_hd__or2_2 +*I *23240:A2 I *D sky130_fd_sc_hd__a41o_2 +*I *22931:B I *D sky130_fd_sc_hd__or4_1 +*I *22956:A I *D sky130_fd_sc_hd__inv_2 +*I *25040:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23313:B1 2.75729e-05 +2 *22917:B 6.46467e-05 +3 *22922:A 0 +4 *22870:B 0.000453059 +5 *23240:A2 3.2232e-05 +6 *22931:B 0.000197442 +7 *22956:A 0.000124771 +8 *25040:X 0.000949544 +9 *5600:64 8.29615e-05 +10 *5600:63 0.000552616 +11 *5600:55 0.00172424 +12 *5600:50 0.00108628 +13 *5600:39 0.00162296 +14 *5600:33 0.00182452 +15 *5600:18 0.0010152 +16 *5600:17 0.00121621 +17 *22870:B *22870:A 0.000165521 +18 *22917:B *22917:C 6.08467e-05 +19 *22956:A *5624:16 0.000143047 +20 *22956:A *5932:13 3.33519e-05 +21 *23240:A2 *23240:A1 6.08467e-05 +22 *23313:B1 *5801:107 0.000113968 +23 *5600:17 *21045:B 0.000356405 +24 *5600:17 *5612:11 0.000171273 +25 *5600:17 *5624:13 9.33373e-05 +26 *5600:17 *5838:8 1.58551e-05 +27 *5600:17 *5935:6 0 +28 *5600:18 *22888:B 0.000169078 +29 *5600:18 *5624:16 0.000753516 +30 *5600:18 *5632:8 3.42731e-05 +31 *5600:18 *5632:16 0.000201866 +32 *5600:33 *5629:23 0.00068049 +33 *5600:39 *5629:23 0.00103024 +34 *5600:39 *5629:27 8.4232e-05 +35 *5600:39 *5631:20 6.99309e-05 +36 *5600:50 *5923:20 0.000105914 +37 *5600:55 *5627:41 0.000863929 +38 *5600:63 *5923:20 0.000125365 +39 *22871:B *22870:B 0.000112149 +40 *22871:C *22870:B 5.73392e-05 +41 *22884:A *22917:B 0.000253916 +42 *22893:A *5600:33 0.000187107 +43 *22921:A *22917:B 7.39264e-05 +44 *22922:B *22870:B 6.50586e-05 +45 *22932:A *22931:B 1.03403e-05 +46 *22995:B *5600:55 3.20069e-06 +47 *23240:B1 *23240:A2 0.000105509 +48 *23240:B1 *5600:63 0.000152158 +49 *23310:C *5600:33 7.58739e-05 +50 *23310:C *5600:39 6.46135e-05 +51 *23313:B2 *23313:B1 6.50586e-05 +52 *23494:B *5600:39 0.000257947 +53 *24157:CLK *5600:17 6.94815e-05 +54 *25195:A *5600:17 4.36466e-06 +55 *25196:A *5600:17 0 +56 *3780:10 *22870:B 1.57593e-05 +57 *3781:7 *22870:B 4.07355e-05 +58 *3781:7 *5600:55 3.8122e-05 +59 *3792:5 *5600:33 2.16355e-05 +60 *3792:10 *22956:A 0.000139435 +61 *3792:10 *5600:18 5.56367e-05 +62 *3796:11 *22956:A 0.000107496 +63 *3799:26 *5600:33 1.41761e-05 +64 *3799:26 *5600:39 3.89332e-06 +65 *3799:28 *5600:39 0.000891988 +66 *3799:28 *5600:50 0.000712164 +67 *3799:28 *5600:55 0.000339785 +68 *3799:40 *5600:55 4.99564e-05 +69 *3799:42 *5600:55 0 +70 *3800:5 *5600:17 4.73169e-05 +71 *3800:23 *5600:17 1.5962e-05 +72 *3831:12 *5600:63 2.95757e-05 +73 *3831:21 *5600:63 0.00107076 +74 *3866:7 *22956:A 0.000214279 +75 *3866:30 *22931:B 0.000156921 +76 *3905:11 *22870:B 7.68538e-06 +77 *3985:20 *5600:33 0.000201567 +78 *4134:16 *5600:39 0.000356622 +79 *4134:24 *5600:39 0.000390295 +80 *4134:24 *5600:50 3.71118e-05 +81 *4244:16 *5600:39 0.000187461 +82 *4245:6 *5600:50 0.000600259 +83 *4245:6 *5600:55 0.00183006 +84 *4245:6 *5600:63 0.00108812 +85 *4369:6 *5600:39 0.000122098 +86 *4880:5 *23240:A2 1.65872e-05 +*RES +1 *25040:X *5600:17 42.4356 +2 *5600:17 *5600:18 13.4591 +3 *5600:18 *22956:A 19.3535 +4 *5600:18 *5600:33 26.9281 +5 *5600:33 *5600:39 48.8288 +6 *5600:39 *22931:B 16.691 +7 *5600:39 *5600:50 13.3614 +8 *5600:50 *5600:55 38.3387 +9 *5600:55 *5600:63 39.7696 +10 *5600:63 *5600:64 57.9449 +11 *5600:64 *23240:A2 19.7763 +12 *5600:55 *22870:B 19.9554 +13 *5600:55 *22922:A 9.24915 +14 *5600:50 *22917:B 16.691 +15 *5600:33 *23313:B1 15.0271 +*END + +*D_NET *5601 0.00186439 +*CONN +*I *21044:B I *D sky130_fd_sc_hd__or4_1 +*I *22888:B I *D sky130_fd_sc_hd__nand4_1 +*I *25041:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21044:B 7.98254e-05 +2 *22888:B 0.000160326 +3 *25041:X 0.000210738 +4 *5601:6 0.00045089 +5 *21044:B *21045:B 0.000107496 +6 *22888:B *22888:D 6.50727e-05 +7 *22888:B *5632:8 0.000165495 +8 *5601:6 *5624:16 4.20295e-05 +9 *5601:6 *5631:6 0 +10 *5601:6 *5632:8 0.000370443 +11 *25074:A *5601:6 0 +12 *3799:20 *22888:B 4.29931e-05 +13 *5600:18 *22888:B 0.000169078 +*RES +1 *25041:X *5601:6 20.5642 +2 *5601:6 *22888:B 19.2382 +3 *5601:6 *21044:B 15.0271 +*END + +*D_NET *5602 0.0014735 +*CONN +*I *21044:A I *D sky130_fd_sc_hd__or4_1 +*I *22888:A I *D sky130_fd_sc_hd__nand4_1 +*I *25042:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21044:A 1.47608e-05 +2 *22888:A 0.00011965 +3 *25042:X 0.000124759 +4 *5602:6 0.00025917 +5 *21044:A *5604:13 6.08467e-05 +6 *22888:A *22888:C 0.000154062 +7 *22888:A *22888:D 8.03699e-06 +8 *22888:A *5603:8 3.20069e-06 +9 *22888:A *5604:13 4.76248e-05 +10 *22888:A *5934:7 6.50727e-05 +11 *5602:6 *5603:8 0.000129181 +12 *5602:6 *5604:13 0.000310109 +13 *22892:D *21044:A 6.08467e-05 +14 *3799:20 *22888:A 6.92705e-05 +15 *3800:23 *22888:A 4.6905e-05 +*RES +1 *25042:X *5602:6 19.3184 +2 *5602:6 *22888:A 17.9655 +3 *5602:6 *21044:A 14.4725 +*END + +*D_NET *5603 0.00202028 +*CONN +*I *21044:D I *D sky130_fd_sc_hd__or4_1 +*I *22888:D I *D sky130_fd_sc_hd__nand4_1 +*I *25043:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21044:D 5.80261e-06 +2 *22888:D 0.000108173 +3 *25043:X 0.000269001 +4 *5603:8 0.000382977 +5 *21044:D *21045:B 6.31036e-06 +6 *22888:D *22888:C 0.000178437 +7 *22888:D *5632:8 0.000203351 +8 *5603:8 *5604:13 0.000224395 +9 *5603:8 *5632:8 0.000321203 +10 *22888:A *22888:D 8.03699e-06 +11 *22888:A *5603:8 3.20069e-06 +12 *22888:B *22888:D 6.50727e-05 +13 *22892:D *21044:D 1.7747e-05 +14 *25041:A *5603:8 5.04829e-06 +15 *25043:A *5603:8 3.14978e-05 +16 *3799:20 *22888:D 6.08467e-05 +17 *5602:6 *5603:8 0.000129181 +*RES +1 *25043:X *5603:8 23.7847 +2 *5603:8 *22888:D 18.3808 +3 *5603:8 *21044:D 13.9601 +*END + +*D_NET *5604 0.0027957 +*CONN +*I *21044:C I *D sky130_fd_sc_hd__or4_1 +*I *22888:C I *D sky130_fd_sc_hd__nand4_1 +*I *25044:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21044:C 0 +2 *22888:C 0.000192451 +3 *25044:X 0.000584886 +4 *5604:13 0.000777337 +5 *22888:C *5632:8 1.90191e-05 +6 *5604:13 *21045:B 5.66356e-05 +7 *5604:13 *5629:23 6.23803e-05 +8 *21044:A *5604:13 6.08467e-05 +9 *21045:C *5604:13 1.47978e-05 +10 *22888:A *22888:C 0.000154062 +11 *22888:A *5604:13 4.76248e-05 +12 *22888:D *22888:C 0.000178437 +13 *22892:D *5604:13 1.65872e-05 +14 *25043:A *5604:13 2.82583e-05 +15 *25044:A *5604:13 3.25584e-05 +16 *3800:23 *22888:C 1.61918e-05 +17 *3800:23 *5604:13 1.91246e-05 +18 *5602:6 *5604:13 0.000310109 +19 *5603:8 *5604:13 0.000224395 +*RES +1 *25044:X *5604:13 32.2205 +2 *5604:13 *22888:C 23.3055 +3 *5604:13 *21044:C 9.24915 +*END + +*D_NET *5605 0.00120272 +*CONN +*I *22887:B I *D sky130_fd_sc_hd__nand2_1 +*I *21043:B I *D sky130_fd_sc_hd__or4_1 +*I *25045:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22887:B 0 +2 *21043:B 0.000204341 +3 *25045:X 0.000274514 +4 *5605:8 0.000478856 +5 *21043:B *21043:A 1.92793e-05 +6 *21043:B *22885:B 0 +7 *21043:B *5606:10 5.22654e-06 +8 *5605:8 *5606:10 0.000142178 +9 *21053:C *21043:B 2.52287e-06 +10 *22892:A *21043:B 0 +11 *22892:B *21043:B 6.50727e-05 +12 *22892:C *21043:B 0 +13 *3802:6 *21043:B 1.07248e-05 +*RES +1 *25045:X *5605:8 20.5964 +2 *5605:8 *21043:B 17.5531 +3 *5605:8 *22887:B 13.7491 +*END + +*D_NET *5606 0.00117745 +*CONN +*I *21043:A I *D sky130_fd_sc_hd__or4_1 +*I *22887:A I *D sky130_fd_sc_hd__nand2_1 +*I *25046:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21043:A 0.000106151 +2 *22887:A 0 +3 *25046:X 0.000191097 +4 *5606:10 0.000297248 +5 *5606:10 *22885:B 5.22654e-06 +6 *5606:10 *5607:8 0.000132031 +7 *21043:B *21043:A 1.92793e-05 +8 *21043:B *5606:10 5.22654e-06 +9 *21053:C *21043:A 0.000264614 +10 *25046:A *5606:10 1.43983e-05 +11 *5605:8 *5606:10 0.000142178 +*RES +1 *25046:X *5606:10 24.5418 +2 *5606:10 *22887:A 9.24915 +3 *5606:10 *21043:A 13.3484 +*END + +*D_NET *5607 0.00152571 +*CONN +*I *21043:D I *D sky130_fd_sc_hd__or4_1 +*I *22885:B I *D sky130_fd_sc_hd__nand4_1 +*I *25047:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21043:D 0 +2 *22885:B 0.000222208 +3 *25047:X 0.00029354 +4 *5607:8 0.000515748 +5 *22885:B *22885:A 8.71199e-05 +6 *5607:8 *22885:A 5.22654e-06 +7 *5607:8 *5609:8 0.000250211 +8 *21043:B *22885:B 0 +9 *25046:A *5607:8 1.43983e-05 +10 *3795:9 *22885:B 0 +11 *3802:6 *22885:B 0 +12 *5606:10 *22885:B 5.22654e-06 +13 *5606:10 *5607:8 0.000132031 +*RES +1 *25047:X *5607:8 21.7056 +2 *5607:8 *22885:B 19.7825 +3 *5607:8 *21043:D 13.7491 +*END + +*D_NET *5608 0.00118241 +*CONN +*I *21687:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25048:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21687:A 0.000528981 +2 *25048:X 0.000528981 +3 *21883:A *21687:A 0 +4 *25037:A *21687:A 1.84293e-05 +5 *25048:A *21687:A 1.43983e-05 +6 *2386:17 *21687:A 2.65667e-05 +7 *4875:46 *21687:A 6.50586e-05 +*RES +1 *25048:X *21687:A 37.2874 +*END + +*D_NET *5609 0.00165714 +*CONN +*I *21043:C I *D sky130_fd_sc_hd__or4_1 +*I *22885:A I *D sky130_fd_sc_hd__nand4_1 +*I *25049:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21043:C 0 +2 *22885:A 0.000132516 +3 *25049:X 0.000379078 +4 *5609:8 0.000511594 +5 *22885:A *22885:D 0.000122378 +6 *22885:A *5934:7 6.50727e-05 +7 *22885:A *5934:9 2.41483e-05 +8 *22885:B *22885:A 8.71199e-05 +9 *25047:A *5609:8 7.97944e-05 +10 *2193:14 *5609:8 0 +11 *5607:8 *22885:A 5.22654e-06 +12 *5607:8 *5609:8 0.000250211 +*RES +1 *25049:X *5609:8 24.3393 +2 *5609:8 *22885:A 18.5201 +3 *5609:8 *21043:C 13.7491 +*END + +*D_NET *5610 0.00250271 +*CONN +*I *21042:B I *D sky130_fd_sc_hd__or4b_1 +*I *22885:D I *D sky130_fd_sc_hd__nand4_1 +*I *25050:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21042:B 0 +2 *22885:D 0.000223134 +3 *25050:X 0.000188387 +4 *5610:6 0.000411521 +5 *22885:D *22885:C 0.00100981 +6 *22885:D *5934:7 4.33819e-05 +7 *22885:D *5934:9 0.000187562 +8 *5610:6 *22885:C 7.45404e-05 +9 *5610:6 *5611:10 0.000132367 +10 *5610:6 *5617:11 7.56859e-06 +11 *5610:6 *5618:10 8.3776e-05 +12 *22885:A *22885:D 0.000122378 +13 *3806:8 *22885:D 1.82832e-05 +14 *3809:10 *22885:D 0 +15 *3809:10 *5610:6 0 +*RES +1 *25050:X *5610:6 20.5642 +2 *5610:6 *22885:D 26.5633 +3 *5610:6 *21042:B 13.7491 +*END + +*D_NET *5611 0.00307137 +*CONN +*I *21042:A I *D sky130_fd_sc_hd__or4b_1 +*I *22885:C I *D sky130_fd_sc_hd__nand4_1 +*I *25051:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21042:A 0 +2 *22885:C 0.000450279 +3 *25051:X 0.000175826 +4 *5611:10 0.000626105 +5 *22885:C *5617:11 6.92705e-05 +6 *22885:C *5618:10 5.22654e-06 +7 *5611:10 *5617:11 0.000306482 +8 *5611:10 *5618:10 6.08467e-05 +9 *21053:A *22885:C 0.000160617 +10 *22885:D *22885:C 0.00100981 +11 *5610:6 *22885:C 7.45404e-05 +12 *5610:6 *5611:10 0.000132367 +*RES +1 *25051:X *5611:10 24.5418 +2 *5611:10 *22885:C 33.4477 +3 *5611:10 *21042:A 9.24915 +*END + +*D_NET *5612 0.0270025 +*CONN +*I *22916:A I *D sky130_fd_sc_hd__inv_6 +*I *22957:C I *D sky130_fd_sc_hd__or4_1 +*I *22961:C I *D sky130_fd_sc_hd__or4_1 +*I *23240:A1 I *D sky130_fd_sc_hd__a41o_2 +*I *23511:C I *D sky130_fd_sc_hd__or4_2 +*I *22870:A I *D sky130_fd_sc_hd__or2_2 +*I *22966:C I *D sky130_fd_sc_hd__or4_1 +*I *22963:C I *D sky130_fd_sc_hd__or4_1 +*I *25052:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *22916:A 0.00023879 +2 *22957:C 0.000101149 +3 *22961:C 0 +4 *23240:A1 0.000283976 +5 *23511:C 2.3034e-05 +6 *22870:A 0.000104204 +7 *22966:C 0.000236847 +8 *22963:C 0.000118131 +9 *25052:X 0.000544431 +10 *5612:72 0.00122741 +11 *5612:52 0.00127202 +12 *5612:37 0.000516929 +13 *5612:35 0.000128222 +14 *5612:29 0.000273151 +15 *5612:18 0.000644097 +16 *5612:15 0.0011719 +17 *5612:12 0.002104 +18 *5612:11 0.00216484 +19 *22870:A *5624:75 0 +20 *22916:A *5624:36 9.05137e-05 +21 *22966:C *22957:A 4.70559e-05 +22 *22966:C *22961:B 0.000145731 +23 *22966:C *5624:75 0 +24 *22966:C *5624:129 1.55025e-05 +25 *23240:A1 *5631:59 3.61457e-05 +26 *5612:11 *5624:13 0.000271044 +27 *5612:11 *5627:7 2.41483e-05 +28 *5612:12 *22890:A 5.0459e-05 +29 *5612:12 *23935:A 6.69207e-05 +30 *5612:12 *5627:8 0.00456486 +31 *5612:12 *5628:6 0 +32 *5612:12 *5628:11 0 +33 *5612:12 *5628:14 0.00152622 +34 *5612:12 *5834:14 4.09467e-05 +35 *5612:12 *5842:24 0.000288665 +36 *5612:12 *5842:26 0.000150163 +37 *5612:15 *5624:27 3.82228e-05 +38 *5612:15 *5624:36 5.04829e-06 +39 *5612:52 *5624:75 0 +40 *5612:72 *5624:75 0 +41 *5612:72 *5631:63 0.000653015 +42 *22870:B *22870:A 0.000165521 +43 *22871:C *22870:A 0.000114584 +44 *22930:A *5612:72 2.59896e-05 +45 *22931:D *22916:A 2.6046e-05 +46 *22957:B *22957:C 6.08467e-05 +47 *22957:D *22957:C 8.60676e-05 +48 *22963:D *22963:C 4.49485e-05 +49 *22966:D *22966:C 0.000143753 +50 *22967:A *22957:C 6.08467e-05 +51 *22967:A *5612:29 2.09495e-05 +52 *22967:A *5612:35 0.000116971 +53 *23021:A *5612:72 6.80864e-05 +54 *23240:A2 *23240:A1 6.08467e-05 +55 *23240:B1 *23240:A1 4.97617e-05 +56 *23511:A *23240:A1 1.65872e-05 +57 *23511:A *5612:72 4.85871e-05 +58 *23511:B *23240:A1 6.50727e-05 +59 *24153:D *5612:12 0.000153225 +60 *25040:A *5612:11 0.000228593 +61 *25064:A *5612:11 0.000113197 +62 *25067:A *5612:11 4.23073e-05 +63 *25068:A *5612:11 1.75637e-06 +64 *25071:A *5612:11 0.000164815 +65 *3779:24 *22963:C 1.265e-05 +66 *3779:40 *22957:C 0.000306974 +67 *3779:73 *23240:A1 0.000148652 +68 *3779:106 *22916:A 9.60366e-05 +69 *3780:10 *22870:A 1.37189e-05 +70 *3789:6 *5612:18 0.000488834 +71 *3789:8 *22963:C 9.62217e-06 +72 *3789:8 *5612:18 0.000931126 +73 *3789:21 *22963:C 1.09386e-05 +74 *3789:21 *5612:18 2.02035e-05 +75 *3789:28 *5612:37 6.31996e-05 +76 *3789:28 *5612:52 0.000537958 +77 *3789:28 *5612:72 0.000175955 +78 *3791:15 *23240:A1 0.000161593 +79 *3792:139 *5612:72 2.1203e-06 +80 *3799:42 *23240:A1 0.000229284 +81 *3800:57 *22916:A 0.000122083 +82 *3840:20 *23240:A1 3.90689e-06 +83 *3866:35 *22957:C 5.32857e-05 +84 *3866:55 *22963:C 1.65117e-05 +85 *3866:55 *22966:C 1.64983e-05 +86 *3866:55 *5612:29 7.77309e-06 +87 *3866:55 *5612:35 1.89337e-05 +88 *3866:55 *5612:37 3.04407e-05 +89 *4134:30 *5612:72 0 +90 *4148:6 *23240:A1 9.22013e-06 +91 *4240:8 *5612:18 0.00088298 +92 *4240:8 *5612:29 0.000130024 +93 *4240:8 *5612:35 0.000162387 +94 *4240:8 *5612:37 1.15099e-05 +95 *4240:8 *5612:72 0.000177513 +96 *4368:8 *5612:18 0.000263293 +97 *4871:32 *23240:A1 3.31882e-05 +98 *4880:5 *23240:A1 0.000298318 +99 *5458:128 *5612:12 0.000337376 +100 *5600:17 *5612:11 0.000171273 +*RES +1 *25052:X *5612:11 26.8667 +2 *5612:11 *5612:12 81.1452 +3 *5612:12 *5612:15 11.324 +4 *5612:15 *5612:18 30.209 +5 *5612:18 *22963:C 17.2299 +6 *5612:18 *5612:29 2.45487 +7 *5612:29 *5612:35 3.98154 +8 *5612:35 *5612:37 1.62437 +9 *5612:37 *22966:C 20.0565 +10 *5612:37 *5612:52 9.30653 +11 *5612:52 *22870:A 17.6702 +12 *5612:52 *5612:72 30.1892 +13 *5612:72 *23511:C 9.82786 +14 *5612:72 *23240:A1 29.0109 +15 *5612:35 *22961:C 13.7491 +16 *5612:29 *22957:C 18.3548 +17 *5612:15 *22916:A 23.4382 +*END + +*D_NET *5613 0.0071699 +*CONN +*I *22899:A2 I *D sky130_fd_sc_hd__a32o_2 +*I *22896:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *21050:A I *D sky130_fd_sc_hd__inv_2 +*I *23222:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22895:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *22954:B I *D sky130_fd_sc_hd__or3_4 +*I *22949:B I *D sky130_fd_sc_hd__or3_1 +*I *25053:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *22899:A2 8.81481e-05 +2 *22896:A2 6.40224e-05 +3 *21050:A 0.000100153 +4 *23222:B1 1.81917e-05 +5 *22895:B1 0.000123873 +6 *22954:B 7.04692e-05 +7 *22949:B 0.00013264 +8 *25053:X 0.000323732 +9 *5613:37 0.000293314 +10 *5613:16 0.000367746 +11 *5613:14 0.000413184 +12 *5613:12 0.000476051 +13 *5613:10 0.000431258 +14 *5613:8 0.000500515 +15 *22895:B1 *5932:13 3.58208e-05 +16 *22896:A2 *22896:A1 6.50586e-05 +17 *22896:A2 *5934:9 2.18145e-05 +18 *22949:B *22900:B1 0.000118166 +19 *5613:8 *5614:8 0 +20 *5613:8 *5614:13 0 +21 *5613:8 *5617:11 0 +22 *5613:8 *5618:10 0.00011818 +23 *5613:12 *5614:22 0.000140659 +24 *5613:12 *5614:24 1.77537e-06 +25 *5613:14 *5614:24 4.12119e-05 +26 *5613:16 *5614:24 4.40506e-05 +27 *5613:16 *5614:28 9.98029e-06 +28 *5613:37 *5932:13 0.000729636 +29 *21052:D_N *22899:A2 0.000271058 +30 *22895:A1 *5613:37 4.31703e-05 +31 *22899:B1 *22899:A2 0.000127479 +32 *22899:B2 *5613:8 3.31882e-05 +33 *22899:B2 *5613:10 2.24484e-05 +34 *22900:B2 *22895:B1 1.07248e-05 +35 *22949:C *22949:B 3.92275e-05 +36 *22949:C *5613:16 2.22342e-05 +37 *23206:A1 *21050:A 0.000159316 +38 *23206:S *5613:12 0.000205349 +39 *23206:S *5613:14 3.67708e-05 +40 *23219:A *22895:B1 9.35753e-06 +41 *23220:A *22895:B1 9.75356e-05 +42 *23221:A2 *5613:37 1.19721e-05 +43 *23221:B1 *22895:B1 5.66868e-06 +44 *23222:B2 *23222:B1 1.09551e-05 +45 *2190:17 *21050:A 1.92172e-05 +46 *2191:18 *5613:37 0.000213674 +47 *2192:12 *5613:10 0.000169093 +48 *2192:12 *5613:12 3.31733e-05 +49 *3806:8 *22896:A2 5.04829e-06 +50 *3809:10 *22949:B 7.58217e-06 +51 *3809:10 *5613:10 4.83622e-05 +52 *3809:10 *5613:12 9.73293e-05 +53 *3809:10 *5613:14 3.4693e-05 +54 *3809:10 *5613:16 7.19094e-05 +55 *3809:32 *22949:B 8.62625e-06 +56 *3864:11 *22954:B 0.000160617 +57 *3866:16 *22895:B1 5.66868e-06 +58 *4128:8 *22895:B1 4.70104e-05 +59 *4128:22 *22895:B1 2.65831e-05 +60 *4128:22 *5613:37 1.41291e-05 +61 *4130:8 *22949:B 2.33193e-05 +62 *4131:8 *22895:B1 0.00014808 +63 *4882:113 *21050:A 0.000199684 +*RES +1 *25053:X *5613:8 21.0117 +2 *5613:8 *5613:10 3.493 +3 *5613:10 *5613:12 9.72179 +4 *5613:12 *5613:14 3.07775 +5 *5613:14 *5613:16 4.73876 +6 *5613:16 *22949:B 16.8591 +7 *5613:16 *22954:B 15.5817 +8 *5613:14 *5613:37 13.012 +9 *5613:37 *22895:B1 23.0201 +10 *5613:37 *23222:B1 9.82786 +11 *5613:12 *21050:A 18.5718 +12 *5613:10 *22896:A2 15.5817 +13 *5613:8 *22899:A2 17.2456 +*END + +*D_NET *5614 0.00726144 +*CONN +*I *22899:A1 I *D sky130_fd_sc_hd__a32o_2 +*I *21049:A I *D sky130_fd_sc_hd__inv_2 +*I *22873:A I *D sky130_fd_sc_hd__or3_1 +*I *23221:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *22900:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *22949:A I *D sky130_fd_sc_hd__or3_1 +*I *22896:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *25054:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *22899:A1 0 +2 *21049:A 0 +3 *22873:A 0.00036018 +4 *23221:A1 0.000321862 +5 *22900:B1 0.000346096 +6 *22949:A 0 +7 *22896:A1 5.88033e-05 +8 *25054:X 0.000280938 +9 *5614:43 0.000682042 +10 *5614:28 0.00050082 +11 *5614:24 0.000350493 +12 *5614:22 0.000496809 +13 *5614:13 0.000586977 +14 *5614:8 0.000508071 +15 *22896:A1 *5934:9 8.39059e-05 +16 *5614:8 *5615:8 0 +17 *5614:8 *5618:10 0.00011818 +18 *5614:13 *5934:9 4.58003e-05 +19 *21051:A *5614:22 6.60196e-05 +20 *21051:A *5614:24 2.82537e-05 +21 *22873:B *5614:28 0 +22 *22873:C *22873:A 1.64789e-05 +23 *22896:A2 *22896:A1 6.50586e-05 +24 *22899:B1 *5614:8 0 +25 *22899:B1 *5614:13 0 +26 *22899:B2 *5614:13 0 +27 *22900:A2 *22900:B1 5.94977e-06 +28 *22900:C1 *22900:B1 0.000585429 +29 *22949:B *22900:B1 0.000118166 +30 *22949:C *5614:28 0.000188544 +31 *22954:A *5614:24 0.000111901 +32 *22954:A *5614:28 2.22198e-05 +33 *2187:9 *22873:A 6.08467e-05 +34 *2187:9 *5614:22 0 +35 *2187:13 *22873:A 0.000411971 +36 *2187:13 *23221:A1 6.9865e-05 +37 *2187:33 *5614:13 0 +38 *2187:33 *5614:22 0 +39 *2192:9 *22896:A1 4.58003e-05 +40 *2192:9 *5614:13 4.0752e-05 +41 *2192:9 *5614:22 0.000129514 +42 *2192:12 *5614:13 0 +43 *2192:12 *5614:22 2.36813e-05 +44 *3809:32 *22900:B1 9.55447e-05 +45 *3810:8 *22900:B1 1.17582e-05 +46 *3864:11 *23221:A1 0.000185034 +47 *5613:8 *5614:8 0 +48 *5613:8 *5614:13 0 +49 *5613:12 *5614:22 0.000140659 +50 *5613:12 *5614:24 1.77537e-06 +51 *5613:14 *5614:24 4.12119e-05 +52 *5613:16 *5614:24 4.40506e-05 +53 *5613:16 *5614:28 9.98029e-06 +*RES +1 *25054:X *5614:8 19.7659 +2 *5614:8 *5614:13 10.1015 +3 *5614:13 *22896:A1 11.6364 +4 *5614:13 *5614:22 14.637 +5 *5614:22 *5614:24 5.98452 +6 *5614:24 *5614:28 8.82351 +7 *5614:28 *22949:A 9.24915 +8 *5614:28 *22900:B1 20.4136 +9 *5614:24 *5614:43 4.5 +10 *5614:43 *23221:A1 16.2661 +11 *5614:43 *22873:A 15.9526 +12 *5614:22 *21049:A 13.7491 +13 *5614:8 *22899:A1 13.7491 +*END + +*D_NET *5615 0.00232232 +*CONN +*I *22898:B I *D sky130_fd_sc_hd__nand2_1 +*I *21046:B I *D sky130_fd_sc_hd__or2_1 +*I *25055:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22898:B 2.60774e-05 +2 *21046:B 0.000183869 +3 *25055:X 0.000301036 +4 *5615:8 0.000510983 +5 *21046:B *21046:A 0.000248699 +6 *21046:B *5934:9 0.000139764 +7 *5615:8 *21046:A 5.41377e-05 +8 *5615:8 *5616:6 0.000252344 +9 *5615:8 *5618:10 0.000224381 +10 *21052:C *22898:B 0.000107496 +11 *22899:A3 *22898:B 0.000107496 +12 *22899:B1 *21046:B 5.22654e-06 +13 *22899:B1 *5615:8 1.69932e-05 +14 *24778:CLK *21046:B 6.50727e-05 +15 *2187:33 *21046:B 7.87416e-05 +16 *5614:8 *5615:8 0 +*RES +1 *25055:X *5615:8 21.7056 +2 *5615:8 *21046:B 21.3173 +3 *5615:8 *22898:B 15.0271 +*END + +*D_NET *5616 0.00206867 +*CONN +*I *22898:A I *D sky130_fd_sc_hd__nand2_1 +*I *21046:A I *D sky130_fd_sc_hd__or2_1 +*I *25056:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22898:A 0.000143629 +2 *21046:A 0.00027889 +3 *25056:X 0.000125085 +4 *5616:6 0.000547605 +5 *21046:B *21046:A 0.000248699 +6 *21052:C *22898:A 1.7146e-05 +7 *22899:A3 *22898:A 0.000111722 +8 *24778:D *21046:A 3.67528e-06 +9 *24778:CLK *21046:A 7.60465e-05 +10 *2187:33 *21046:A 3.89332e-06 +11 *2193:14 *21046:A 0.000113374 +12 *2193:14 *5616:6 9.24241e-05 +13 *5615:8 *21046:A 5.41377e-05 +14 *5615:8 *5616:6 0.000252344 +*RES +1 *25056:X *5616:6 18.4879 +2 *5616:6 *21046:A 22.0232 +3 *5616:6 *22898:A 16.5704 +*END + +*D_NET *5617 0.00323547 +*CONN +*I *21042:C I *D sky130_fd_sc_hd__or4b_1 +*I *25057:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21042:C 0 +2 *25057:X 0.00116584 +3 *5617:11 0.00116584 +4 *5617:11 *5618:10 0.000136046 +5 *21053:A *5617:11 1.92172e-05 +6 *22885:C *5617:11 6.92705e-05 +7 *25050:A *5617:11 0 +8 *25051:A *5617:11 0.000132641 +9 *25053:A *5617:11 4.02387e-05 +10 *25054:A *5617:11 2.65831e-05 +11 *25055:A *5617:11 7.48797e-05 +12 *25056:A *5617:11 3.14978e-05 +13 *25057:A *5617:11 5.07314e-05 +14 *3809:10 *5617:11 8.62625e-06 +15 *5610:6 *5617:11 7.56859e-06 +16 *5611:10 *5617:11 0.000306482 +17 *5613:8 *5617:11 0 +*RES +1 *25057:X *5617:11 41.6218 +2 *5617:11 *21042:C 9.24915 +*END + +*D_NET *5618 0.00282213 +*CONN +*I *21042:D_N I *D sky130_fd_sc_hd__or4b_1 +*I *25058:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21042:D_N 0 +2 *25058:X 0.0007882 +3 *5618:10 0.0007882 +4 *22885:C *5618:10 5.22654e-06 +5 *25050:A *5618:10 0.000123176 +6 *25051:A *5618:10 3.61993e-05 +7 *25053:A *5618:10 3.14978e-05 +8 *25054:A *5618:10 3.14978e-05 +9 *25055:A *5618:10 7.97944e-05 +10 *25056:A *5618:10 3.14978e-05 +11 *25057:A *5618:10 0.000103943 +12 *25058:A *5618:10 3.14978e-05 +13 *2193:14 *5618:10 0 +14 *2194:9 *5618:10 2.99929e-05 +15 *5610:6 *5618:10 8.3776e-05 +16 *5611:10 *5618:10 6.08467e-05 +17 *5613:8 *5618:10 0.00011818 +18 *5614:8 *5618:10 0.00011818 +19 *5615:8 *5618:10 0.000224381 +20 *5617:11 *5618:10 0.000136046 +*RES +1 *25058:X *5618:10 43.8136 +2 *5618:10 *21042:D_N 9.24915 +*END + +*D_NET *5619 0.00194836 +*CONN +*I *21930:A I *D sky130_fd_sc_hd__inv_2 +*I *25059:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21930:A 0.000632734 +2 *25059:X 0.000632734 +3 *21930:A *20245:B1 0 +4 *21930:A *21823:A 5.67995e-05 +5 *21930:A *5878:13 0.000135252 +6 *24744:CLK *21930:A 4.75721e-06 +7 *1897:8 *21930:A 0 +8 *2276:13 *21930:A 0.000231442 +9 *2420:29 *21930:A 7.14746e-05 +10 *2644:13 *21930:A 4.58003e-05 +11 *2755:14 *21930:A 0 +12 *2902:12 *21930:A 1.91246e-05 +13 *4954:12 *21930:A 0 +14 *4954:27 *21930:A 0.000118245 +*RES +1 *25059:X *21930:A 45.4264 +*END + +*D_NET *5620 0.000865762 +*CONN +*I *21047:C_N I *D sky130_fd_sc_hd__or4bb_1 +*I *25060:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21047:C_N 0.000268108 +2 *25060:X 0.000268108 +3 *21047:C_N *21047:D_N 0.000315161 +4 *25060:A *21047:C_N 1.43848e-05 +*RES +1 *25060:X *21047:C_N 34.3456 +*END + +*D_NET *5621 0.000811499 +*CONN +*I *21047:A I *D sky130_fd_sc_hd__or4bb_1 +*I *25061:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21047:A 0.000256844 +2 *25061:X 0.000256844 +3 *21047:A *21047:B 5.75768e-05 +4 *21047:A *21047:D_N 0.000118166 +5 *2194:12 *21047:A 0.000122068 +*RES +1 *25061:X *21047:A 34.3456 +*END + +*D_NET *5622 0.000918557 +*CONN +*I *21047:B I *D sky130_fd_sc_hd__or4bb_1 +*I *25062:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21047:B 0.000365262 +2 *25062:X 0.000365262 +3 *21047:B *21048:B 0 +4 *21047:B *21048:C_N 0 +5 *20191:A0 *21047:B 0 +6 *20193:A0 *21047:B 0 +7 *21047:A *21047:B 5.75768e-05 +8 *21052:B *21047:B 4.81015e-05 +9 *21052:C *21047:B 2.18041e-06 +10 *2194:12 *21047:B 8.01741e-05 +*RES +1 *25062:X *21047:B 36.1459 +*END + +*D_NET *5623 0.00144593 +*CONN +*I *21047:D_N I *D sky130_fd_sc_hd__or4bb_1 +*I *25063:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21047:D_N 0.000426507 +2 *25063:X 0.000426507 +3 *21047:A *21047:D_N 0.000118166 +4 *21047:C_N *21047:D_N 0.000315161 +5 *25061:A *21047:D_N 7.97944e-05 +6 *25062:A *21047:D_N 7.97944e-05 +*RES +1 *25063:X *21047:D_N 40.3068 +*END + +*D_NET *5624 0.0405389 +*CONN +*I *23064:B I *D sky130_fd_sc_hd__or3_1 +*I *23496:B I *D sky130_fd_sc_hd__and4b_1 +*I *22961:B I *D sky130_fd_sc_hd__or4_1 +*I *22966:B I *D sky130_fd_sc_hd__or4_1 +*I *23091:A I *D sky130_fd_sc_hd__or2_1 +*I *23296:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *23235:B I *D sky130_fd_sc_hd__or3b_1 +*I *22880:B I *D sky130_fd_sc_hd__or3_1 +*I *22929:B I *D sky130_fd_sc_hd__or3_1 +*I *22921:C I *D sky130_fd_sc_hd__or3_4 +*I *22869:A I *D sky130_fd_sc_hd__inv_2 +*I *25064:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23064:B 0.00117813 +2 *23496:B 0.00115942 +3 *22961:B 0.000173817 +4 *22966:B 0 +5 *23091:A 0 +6 *23296:A1 0.00112687 +7 *23235:B 0.000207557 +8 *22880:B 0 +9 *22929:B 0 +10 *22921:C 0 +11 *22869:A 0 +12 *25064:X 0.000740559 +13 *5624:129 0.000260084 +14 *5624:116 0.00232331 +15 *5624:100 0.00219988 +16 *5624:87 0.00158147 +17 *5624:81 0.000537265 +18 *5624:75 0.000589328 +19 *5624:70 0.000573722 +20 *5624:67 0.00113484 +21 *5624:41 0.00114799 +22 *5624:36 0.0014187 +23 *5624:27 0.000679022 +24 *5624:18 0.00326201 +25 *5624:16 0.00197332 +26 *5624:13 0.00112781 +27 *5624:13 *21045:B 1.65351e-05 +28 *5624:13 *22891:D 2.57986e-05 +29 *5624:16 *5631:6 4.11961e-05 +30 *5624:16 *5631:11 2.11809e-05 +31 *5624:18 *23394:A1 0.000122083 +32 *5624:18 *5631:11 0.00057238 +33 *5624:18 *5842:26 0 +34 *5624:27 *5628:14 0 +35 *5624:27 *5842:26 0 +36 *5624:36 *5631:22 4.86511e-05 +37 *5624:41 *5631:22 3.51249e-05 +38 *5624:67 *5631:22 0.000383197 +39 *5624:67 *5631:27 0.00037897 +40 *5624:70 *22957:A 0.000113166 +41 *5624:75 *22957:A 8.62625e-06 +42 *5624:81 *22929:A 0.000217887 +43 *5624:87 *22929:A 0.000235492 +44 *5624:100 *22955:A 6.28484e-05 +45 *5624:116 *22955:A 1.9101e-05 +46 *22870:A *5624:75 0 +47 *22884:A *5624:67 5.04829e-06 +48 *22891:A_N *5624:16 0.000157487 +49 *22892:D *5624:13 0.000163418 +50 *22916:A *5624:36 9.05137e-05 +51 *22929:C *5624:75 2.65667e-05 +52 *22943:B *5624:116 7.14746e-05 +53 *22956:A *5624:16 0.000143047 +54 *22957:D *5624:67 0.000260343 +55 *22962:A *5624:67 0.000110306 +56 *22966:C *22961:B 0.000145731 +57 *22966:C *5624:75 0 +58 *22966:C *5624:129 1.55025e-05 +59 *22966:D *22961:B 1.37669e-05 +60 *22966:D *5624:129 1.37189e-05 +61 *22967:A *22961:B 6.36477e-05 +62 *23071:A *5624:67 7.58739e-05 +63 *23209:A *23296:A1 0.000647076 +64 *23291:A *5624:116 4.31485e-06 +65 *23296:A2 *23296:A1 5.04829e-06 +66 *23396:B *5624:18 0 +67 *23461:A2 *5624:18 0 +68 *23467:C *5624:18 8.32204e-06 +69 *23496:A_N *23496:B 0.000165459 +70 *23496:C *23496:B 0.000209667 +71 *23700:C *5624:18 9.02861e-05 +72 *25067:A *5624:13 0.000169041 +73 *25068:A *5624:13 1.62073e-05 +74 *25071:A *5624:13 3.20069e-06 +75 *25196:A *5624:13 1.90218e-05 +76 *3779:11 *5624:27 1.82679e-05 +77 *3779:11 *5624:36 1.19856e-05 +78 *3779:24 *5624:70 9.98029e-06 +79 *3779:40 *5624:67 1.40978e-05 +80 *3779:47 *23235:B 0.000640028 +81 *3779:106 *5624:36 0.00011985 +82 *3780:10 *5624:75 7.50872e-05 +83 *3780:19 *5624:75 2.57986e-05 +84 *3780:19 *5624:81 1.37531e-05 +85 *3780:29 *5624:87 8.55661e-05 +86 *3780:29 *5624:100 0.000338938 +87 *3785:82 *5624:116 8.62625e-06 +88 *3789:6 *5624:27 0.000122098 +89 *3789:38 *23235:B 0.000116454 +90 *3789:42 *23235:B 0.000600213 +91 *3789:93 *5624:41 3.07133e-05 +92 *3789:93 *5624:67 1.88014e-05 +93 *3789:114 *5624:27 0.0002452 +94 *3792:10 *5624:16 3.88655e-06 +95 *3792:10 *5624:18 6.04912e-06 +96 *3796:11 *5624:16 0.000713663 +97 *3796:57 *5624:67 0.000140303 +98 *3800:5 *5624:13 1.98996e-05 +99 *3800:23 *5624:13 7.92757e-06 +100 *3800:57 *5624:18 0 +101 *3800:57 *5624:36 0.000241588 +102 *3800:57 *5624:41 0.000151726 +103 *3800:57 *5624:67 6.29837e-05 +104 *3800:72 *5624:116 8.47521e-05 +105 *3831:12 *5624:67 5.04509e-05 +106 *3831:21 *23235:B 2.82537e-05 +107 *3833:275 *5624:116 8.29362e-05 +108 *3838:14 *5624:116 0.000249665 +109 *3838:24 *5624:116 0.000116986 +110 *3852:18 *5624:116 2.01186e-05 +111 *3856:39 *5624:116 0.000178789 +112 *3864:15 *5624:116 6.38355e-05 +113 *3864:19 *5624:116 6.25838e-06 +114 *3866:30 *5624:67 0 +115 *3866:35 *5624:67 0.000349354 +116 *3980:8 *23235:B 6.63327e-05 +117 *3980:8 *5624:67 0.000420036 +118 *3990:54 *5624:116 0 +119 *3996:26 *5624:116 2.54507e-05 +120 *4001:9 *5624:100 0.000439051 +121 *4001:250 *23296:A1 6.96356e-05 +122 *4014:10 *5624:100 2.71366e-05 +123 *4014:10 *5624:116 7.13655e-06 +124 *4117:8 *5624:116 0.000400335 +125 *4118:8 *23296:A1 4.38043e-05 +126 *4134:24 *5624:41 2.57986e-05 +127 *4134:24 *5624:67 2.57847e-05 +128 *4134:30 *5624:70 0.000193108 +129 *4134:30 *5624:75 0.000798402 +130 *4217:8 *5624:18 0.000124073 +131 *4217:13 *5624:18 8.23577e-05 +132 *4218:8 *5624:18 0.000349931 +133 *4223:6 *5624:18 0.000577336 +134 *4223:8 *5624:18 0.000129045 +135 *4228:16 *5624:116 0 +136 *4303:10 *5624:18 0.000122068 +137 *4368:8 *23064:B 7.08723e-06 +138 *4368:8 *5624:18 1.40496e-05 +139 *4368:8 *5624:27 5.94572e-05 +140 *5452:67 *5624:67 0.000107496 +141 *5458:114 *23064:B 0.00175448 +142 *5600:17 *5624:13 9.33373e-05 +143 *5600:18 *5624:16 0.000753516 +144 *5601:6 *5624:16 4.20295e-05 +145 *5612:11 *5624:13 0.000271044 +146 *5612:15 *5624:27 3.82228e-05 +147 *5612:15 *5624:36 5.04829e-06 +148 *5612:52 *5624:75 0 +149 *5612:72 *5624:75 0 +*RES +1 *25064:X *5624:13 37.12 +2 *5624:13 *5624:16 18.9673 +3 *5624:16 *5624:18 51.6623 +4 *5624:18 *5624:27 17.857 +5 *5624:27 *22869:A 9.24915 +6 *5624:27 *5624:36 11.7653 +7 *5624:36 *5624:41 7.8859 +8 *5624:41 *22921:C 9.24915 +9 *5624:41 *5624:67 49.1858 +10 *5624:67 *5624:70 7.993 +11 *5624:70 *5624:75 19.2371 +12 *5624:75 *22929:B 9.24915 +13 *5624:75 *5624:81 2.94181 +14 *5624:81 *22880:B 9.24915 +15 *5624:81 *5624:87 5.71483 +16 *5624:87 *23235:B 31.7082 +17 *5624:87 *5624:100 21.0598 +18 *5624:100 *5624:116 37.9702 +19 *5624:116 *23296:A1 26.056 +20 *5624:100 *23091:A 13.7491 +21 *5624:70 *5624:129 5.778 +22 *5624:129 *22966:B 9.24915 +23 *5624:129 *22961:B 12.191 +24 *5624:36 *23496:B 37.7659 +25 *5624:18 *23064:B 34.4405 +*END + +*D_NET *5625 0.000616394 +*CONN +*I *21048:B I *D sky130_fd_sc_hd__or3b_1 +*I *25065:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21048:B 0.000266381 +2 *25065:X 0.000266381 +3 *21048:B *21048:A 0 +4 *21048:B *21048:C_N 0 +5 *20191:A0 *21048:B 8.36326e-05 +6 *21047:B *21048:B 0 +*RES +1 *25065:X *21048:B 34.3133 +*END + +*D_NET *5626 0.000800035 +*CONN +*I *21048:A I *D sky130_fd_sc_hd__or3b_1 +*I *25066:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21048:A 0.000313063 +2 *25066:X 0.000313063 +3 *21048:A *24079:A1 0 +4 *20187:A0 *21048:A 0 +5 *20193:A0 *21048:A 0.000123176 +6 *21048:B *21048:A 0 +7 *21052:C *21048:A 5.07314e-05 +*RES +1 *25066:X *21048:A 36.0094 +*END + +*D_NET *5627 0.0321328 +*CONN +*I *23064:A I *D sky130_fd_sc_hd__or3_1 +*I *23196:A I *D sky130_fd_sc_hd__or3_1 +*I *23496:D I *D sky130_fd_sc_hd__and4b_1 +*I *22917:C I *D sky130_fd_sc_hd__or3_4 +*I *22871:A I *D sky130_fd_sc_hd__or3_4 +*I *22955:A I *D sky130_fd_sc_hd__or4_1 +*I *22929:A I *D sky130_fd_sc_hd__or3_1 +*I *22966:A I *D sky130_fd_sc_hd__or4_1 +*I *22957:A I *D sky130_fd_sc_hd__or4_1 +*I *22879:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25067:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23064:A 0.000161755 +2 *23196:A 2.7855e-05 +3 *23496:D 0.000356534 +4 *22917:C 1.47608e-05 +5 *22871:A 0 +6 *22955:A 0.00139345 +7 *22929:A 0.000197201 +8 *22966:A 0 +9 *22957:A 0.000280955 +10 *22879:A 1.93962e-05 +11 *25067:X 3.76079e-05 +12 *5627:135 0.000250115 +13 *5627:121 0.00130091 +14 *5627:76 0.00160624 +15 *5627:74 0.000161046 +16 *5627:51 0.000519089 +17 *5627:41 0.00135642 +18 *5627:28 0.00112849 +19 *5627:26 0.00172831 +20 *5627:11 0.000992481 +21 *5627:8 0.0017806 +22 *5627:7 0.00154867 +23 *5627:8 *22890:A 8.62321e-06 +24 *5627:8 *5834:14 0.00271501 +25 *5627:8 *5839:8 0 +26 *5627:11 *25354:A 0.000211478 +27 *5627:26 *5632:28 7.14746e-05 +28 *5627:28 *5632:28 0.000441838 +29 *5627:41 *22876:A 0.000107496 +30 *5627:41 *5632:28 0.000339785 +31 *5627:41 *5632:32 9.24241e-05 +32 *21593:A1 *5627:8 3.21432e-05 +33 *22917:B *22917:C 6.08467e-05 +34 *22921:A *22917:C 6.08467e-05 +35 *22938:C *22955:A 6.92705e-05 +36 *22955:B *22955:A 2.57986e-05 +37 *22955:C *22955:A 6.50727e-05 +38 *22955:D *22955:A 0.000177496 +39 *22961:A *5627:51 6.08467e-05 +40 *22962:A *5627:41 0.000120828 +41 *22966:C *22957:A 4.70559e-05 +42 *22966:D *5627:41 0 +43 *22966:D *5627:51 0.000113861 +44 *22967:A *5627:51 9.94284e-06 +45 *23091:B *22955:A 2.07304e-05 +46 *23496:A_N *23496:D 4.10429e-05 +47 *23496:C *23496:D 0.000621154 +48 *23701:A3 *23196:A 6.08467e-05 +49 *25068:A *5627:7 3.86121e-05 +50 *25071:A *5627:7 0.000113968 +51 *25071:A *5627:8 9.24303e-06 +52 *25196:A *5627:8 0 +53 *3779:24 *22957:A 5.0187e-05 +54 *3779:111 *5627:26 0.00049794 +55 *3780:10 *22929:A 1.32772e-05 +56 *3780:19 *22929:A 0.000178241 +57 *3780:29 *22929:A 0.000358514 +58 *3796:57 *22929:A 0.000129339 +59 *3796:57 *5627:74 0.000565488 +60 *3796:57 *5627:76 4.0143e-05 +61 *3799:28 *5627:26 2.47663e-05 +62 *3799:28 *5627:28 0.000199013 +63 *3799:28 *5627:41 0.000155715 +64 *3799:40 *5627:41 0.000479077 +65 *3827:13 *22955:A 0.000141189 +66 *3833:12 *22955:A 3.25394e-05 +67 *3833:20 *22955:A 1.40845e-05 +68 *3833:275 *22955:A 5.47093e-05 +69 *3848:16 *22955:A 2.27547e-05 +70 *3864:19 *22955:A 0 +71 *3866:55 *22957:A 3.39313e-06 +72 *3925:30 *22955:A 0.000179675 +73 *4014:8 *23064:A 0.000367121 +74 *4014:8 *23496:D 0.000231363 +75 *4014:8 *5627:135 0.000109859 +76 *4014:10 *22955:A 2.07456e-05 +77 *4126:21 *23064:A 0.000160315 +78 *4126:21 *23496:D 0.000102707 +79 *4126:21 *5627:135 4.70005e-05 +80 *4134:30 *22929:A 0 +81 *4368:8 *22929:A 0.000134056 +82 *4368:8 *5627:74 0.000549666 +83 *4368:8 *5627:76 3.50367e-05 +84 *4538:12 *22955:A 7.86825e-06 +85 *5456:178 *5627:8 0.000517337 +86 *5600:55 *5627:41 0.000863929 +87 *5612:11 *5627:7 2.41483e-05 +88 *5612:12 *5627:8 0.00456486 +89 *5624:70 *22957:A 0.000113166 +90 *5624:75 *22957:A 8.62625e-06 +91 *5624:81 *22929:A 0.000217887 +92 *5624:87 *22929:A 0.000235492 +93 *5624:100 *22955:A 6.28484e-05 +94 *5624:116 *22955:A 1.9101e-05 +*RES +1 *25067:X *5627:7 15.5817 +2 *5627:7 *5627:8 80.3147 +3 *5627:8 *5627:11 10.7694 +4 *5627:11 *22879:A 9.82786 +5 *5627:11 *5627:26 16.8159 +6 *5627:26 *5627:28 7.64553 +7 *5627:28 *5627:41 45.2222 +8 *5627:41 *5627:51 6.10064 +9 *5627:51 *22957:A 25.0886 +10 *5627:51 *22966:A 9.24915 +11 *5627:41 *5627:74 14.2218 +12 *5627:74 *5627:76 0.793864 +13 *5627:76 *22929:A 32.0891 +14 *5627:76 *22955:A 33.151 +15 *5627:74 *22871:A 13.7491 +16 *5627:28 *22917:C 14.4725 +17 *5627:26 *5627:121 20.8155 +18 *5627:121 *23496:D 25.9343 +19 *5627:121 *5627:135 2.24725 +20 *5627:135 *23196:A 14.4725 +21 *5627:135 *23064:A 20.5642 +*END + +*D_NET *5628 0.0252596 +*CONN +*I *22882:A I *D sky130_fd_sc_hd__inv_6 +*I *22876:B I *D sky130_fd_sc_hd__or4_4 +*I *22992:B I *D sky130_fd_sc_hd__or4_4 +*I *23011:B I *D sky130_fd_sc_hd__or4_1 +*I *22935:D I *D sky130_fd_sc_hd__or4_1 +*I *22973:B I *D sky130_fd_sc_hd__or4_4 +*I *22984:D I *D sky130_fd_sc_hd__or4_4 +*I *25068:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *22882:A 2.29367e-05 +2 *22876:B 0.000512494 +3 *22992:B 0 +4 *23011:B 0.00032662 +5 *22935:D 0.000122211 +6 *22973:B 3.43256e-05 +7 *22984:D 0 +8 *25068:X 0.000592392 +9 *5628:46 0.000362983 +10 *5628:29 0.00046339 +11 *5628:23 0.000838308 +12 *5628:16 0.00129595 +13 *5628:14 0.00211272 +14 *5628:11 0.00222009 +15 *5628:6 0.00196333 +16 *22876:B *22876:C 3.29586e-05 +17 *22876:B *22876:D 5.21875e-05 +18 *22935:D *22935:B 4.7404e-05 +19 *22973:B *22935:B 3.75066e-05 +20 *22973:B *22973:D 9.95922e-06 +21 *23011:B *23011:C 7.86847e-05 +22 *5628:6 *21045:B 7.13173e-05 +23 *5628:6 *22890:A 6.31665e-05 +24 *5628:6 *23935:A 0.000222684 +25 *5628:6 *5633:12 0.000106461 +26 *5628:11 *5834:10 0.000144531 +27 *5628:11 *5842:24 0.000293263 +28 *5628:11 *5842:26 0.00125807 +29 *5628:14 *5834:14 0.000185865 +30 *5628:14 *5842:26 9.60334e-05 +31 *5628:16 *5825:14 0.00218124 +32 *5628:23 *22989:D 0.00029187 +33 *5628:23 *5825:14 0.000177275 +34 *5628:29 *22935:B 5.51483e-06 +35 *5628:29 *22989:D 4.06888e-05 +36 *5628:29 *5632:64 7.92757e-06 +37 *22065:A1 *5628:11 6.12543e-05 +38 *22984:C *5628:29 3.29586e-05 +39 *22989:C *5628:29 6.50586e-05 +40 *23001:B *5628:23 0.000125891 +41 *23070:B *22876:B 5.40688e-05 +42 *24146:D *5628:16 0.000149628 +43 *2329:5 *5628:11 2.61955e-05 +44 *3086:20 *22876:B 8.458e-05 +45 *3086:20 *5628:14 9.96342e-05 +46 *3088:10 *23011:B 7.14746e-05 +47 *3779:11 *5628:14 0 +48 *3789:6 *5628:14 0 +49 *3799:56 *5628:29 0.000263947 +50 *3800:89 *22935:D 2.16355e-05 +51 *3800:104 *22935:D 0.000339489 +52 *3800:104 *5628:29 0.000919934 +53 *3894:15 *23011:B 6.50586e-05 +54 *3899:13 *5628:23 6.3657e-05 +55 *4134:24 *5628:14 8.8679e-05 +56 *4134:28 *5628:14 9.71323e-06 +57 *4134:30 *5628:14 7.22572e-05 +58 *4134:30 *5628:16 0.00272019 +59 *4134:30 *5628:23 0.000173692 +60 *4336:8 *5628:14 0.000116485 +61 *4336:8 *5628:16 0.000172956 +62 *4880:5 *23011:B 0.000340742 +63 *4880:5 *5628:46 6.08467e-05 +64 *4882:113 *22882:A 6.3657e-05 +65 *5458:128 *5628:14 0.00119732 +66 *5612:12 *5628:6 0 +67 *5612:12 *5628:11 0 +68 *5612:12 *5628:14 0.00152622 +69 *5624:27 *5628:14 0 +*RES +1 *25068:X *5628:6 29.6997 +2 *5628:6 *5628:11 45.5373 +3 *5628:11 *5628:14 46.1962 +4 *5628:14 *5628:16 47.0945 +5 *5628:16 *5628:23 15.3958 +6 *5628:23 *22984:D 9.24915 +7 *5628:23 *5628:29 11.8155 +8 *5628:29 *22973:B 10.8286 +9 *5628:29 *22935:D 13.8548 +10 *5628:16 *5628:46 5.2234 +11 *5628:46 *23011:B 24.4403 +12 *5628:46 *22992:B 9.24915 +13 *5628:14 *22876:B 25.01 +14 *5628:6 *22882:A 14.4725 +*END + +*D_NET *5629 0.0389925 +*CONN +*I *23394:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *23068:A I *D sky130_fd_sc_hd__or4_1 +*I *22992:A I *D sky130_fd_sc_hd__or4_4 +*I *23006:A I *D sky130_fd_sc_hd__or4_1 +*I *22973:A I *D sky130_fd_sc_hd__or4_4 +*I *23642:A I *D sky130_fd_sc_hd__nor3_4 +*I *23101:A I *D sky130_fd_sc_hd__or3_2 +*I *22982:C I *D sky130_fd_sc_hd__or4_4 +*I *22926:C I *D sky130_fd_sc_hd__or4_1 +*I *23208:A I *D sky130_fd_sc_hd__or3_1 +*I *22909:A I *D sky130_fd_sc_hd__nor2_1 +*I *22876:A I *D sky130_fd_sc_hd__or4_4 +*I *23315:A I *D sky130_fd_sc_hd__or3_1 +*I *22890:A I *D sky130_fd_sc_hd__inv_6 +*I *25069:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23394:A1 0.000508491 +2 *23068:A 1.09091e-05 +3 *22992:A 0.000152587 +4 *23006:A 0.000225143 +5 *22973:A 0 +6 *23642:A 0 +7 *23101:A 0.000373724 +8 *22982:C 0.000140946 +9 *22926:C 3.5132e-05 +10 *23208:A 0.000208321 +11 *22909:A 9.94406e-05 +12 *22876:A 0.000177691 +13 *23315:A 9.91406e-05 +14 *22890:A 0.000146454 +15 *25069:X 0.000215479 +16 *5629:153 0.00124189 +17 *5629:125 0.000401487 +18 *5629:118 0.000260609 +19 *5629:114 0.00023055 +20 *5629:112 0.00131906 +21 *5629:103 0.00112963 +22 *5629:85 0.00153694 +23 *5629:73 0.00128408 +24 *5629:60 0.00103753 +25 *5629:43 0.000392976 +26 *5629:41 0.000991136 +27 *5629:27 0.00131698 +28 *5629:23 0.00214714 +29 *5629:22 0.00188807 +30 *5629:10 0.000838058 +31 *22876:A *22876:D 0.000119035 +32 *22890:A *5633:12 2.07815e-05 +33 *22992:A *23001:A 6.81244e-05 +34 *23315:A *5631:20 1.94615e-05 +35 *5629:10 *5633:12 0.000316716 +36 *5629:22 *21045:A 4.62711e-05 +37 *5629:22 *22891:C 2.22932e-05 +38 *5629:23 *21045:A 0.000118485 +39 *5629:23 *5631:16 0.000365598 +40 *5629:23 *5631:20 0.000155173 +41 *5629:23 *5632:16 0 +42 *5629:27 *5631:20 0.000570639 +43 *5629:41 *5632:28 0.00202567 +44 *5629:41 *5632:32 7.14746e-05 +45 *5629:112 *5631:48 0.000932178 +46 *5629:114 *5631:48 3.6549e-05 +47 *22064:A2 *23315:A 0.000154145 +48 *22064:A2 *5629:41 0.000399216 +49 *22892:A *5629:23 0 +50 *22909:B *22909:A 5.22654e-06 +51 *22913:B *5629:85 9.37687e-05 +52 *22918:B *5629:153 0 +53 *22927:A *5629:103 0.000546741 +54 *22927:B *5629:103 0.000100493 +55 *22941:A *23068:A 6.3657e-05 +56 *22941:C *23068:A 6.3657e-05 +57 *22982:A *22982:C 6.08467e-05 +58 *22989:C *5629:153 0 +59 *22995:B *22926:C 4.31539e-05 +60 *22995:B *5629:103 3.82228e-05 +61 *23007:A *22992:A 0.000116227 +62 *23069:A *5629:85 7.76194e-05 +63 *23309:A2 *5629:23 0 +64 *23313:A2_N *5629:23 0.000153225 +65 *23394:A3 *23394:A1 0.000307023 +66 *23405:B1 *5629:73 0 +67 *23406:B1 *5629:73 1.44467e-05 +68 *23462:B *23394:A1 3.58208e-05 +69 *23494:B *5629:27 0.000259445 +70 *23642:B *23101:A 6.08467e-05 +71 *23642:C *23101:A 4.7372e-05 +72 *23655:A *5629:85 0.00010503 +73 *23655:B *5629:85 5.74949e-05 +74 *23655:D *5629:85 9.22623e-05 +75 *25071:A *22890:A 0 +76 *25071:A *5629:10 0 +77 *25071:A *5629:22 0.000112444 +78 *25072:A *5629:10 3.83429e-05 +79 *25073:A *5629:10 5.56461e-05 +80 *25074:A *5629:10 0 +81 *25074:A *5629:22 0.000115599 +82 *2328:13 *23315:A 0.000158002 +83 *2328:13 *5629:41 0.000386027 +84 *3786:17 *22876:A 0.000253916 +85 *3786:17 *5629:60 0.00042886 +86 *3786:17 *5629:73 0.000759213 +87 *3792:10 *5629:23 0 +88 *3792:75 *22982:C 0.000177132 +89 *3792:75 *5629:114 1.25165e-05 +90 *3792:139 *5629:153 0.00147178 +91 *3796:48 *5629:41 0.000348552 +92 *3796:75 *22992:A 0.000170579 +93 *3799:20 *5629:23 0 +94 *3799:26 *5629:23 0 +95 *3800:23 *5629:23 0.000203014 +96 *3800:62 *5629:41 0.000186445 +97 *3811:8 *5629:73 0.000277054 +98 *3813:8 *5629:60 0.000130939 +99 *3814:10 *5629:60 6.50586e-05 +100 *3817:8 *5629:73 0.000106246 +101 *3817:8 *5629:85 3.31882e-05 +102 *3817:10 *5629:85 0.000103948 +103 *3817:12 *5629:85 0 +104 *3819:8 *22909:A 0.000113374 +105 *3820:8 *22909:A 0 +106 *3826:26 *5629:41 0.000257259 +107 *3827:8 *22909:A 3.94229e-05 +108 *3827:8 *5629:112 0.000101436 +109 *3833:20 *23101:A 0.000157956 +110 *3833:275 *23208:A 8.89982e-05 +111 *3836:7 *5629:103 0.000131682 +112 *3838:14 *23208:A 0.000318184 +113 *3838:115 *23208:A 4.18989e-05 +114 *3838:115 *5629:85 0.000344082 +115 *3848:21 *23101:A 0.000162724 +116 *3874:21 *5629:112 0.000566475 +117 *3874:21 *5629:114 9.93974e-05 +118 *3874:28 *5629:114 0.000241603 +119 *3874:28 *5629:118 0.000182639 +120 *3883:11 *5629:114 1.07248e-05 +121 *3883:11 *5629:118 4.40531e-05 +122 *3883:11 *5629:153 0 +123 *3905:11 *22926:C 4.31539e-05 +124 *3905:11 *5629:103 1.15389e-05 +125 *3926:8 *5629:112 3.6412e-05 +126 *3926:8 *5629:114 0.000105526 +127 *3926:8 *5629:118 5.83103e-05 +128 *3985:20 *5629:23 4.37481e-05 +129 *3990:46 *23208:A 0.000360083 +130 *3990:46 *5629:85 0.000325793 +131 *4001:9 *5629:112 0.00019364 +132 *4116:20 *5629:73 0 +133 *4116:32 *5629:85 2.20415e-05 +134 *4126:299 *23394:A1 0.000107496 +135 *4127:18 *5629:85 8.21511e-06 +136 *4134:30 *23006:A 7.08723e-06 +137 *4149:11 *22992:A 6.46921e-05 +138 *4220:10 *5629:23 1.79672e-05 +139 *4222:10 *5629:23 0 +140 *4224:10 *23315:A 9.29919e-05 +141 *4304:6 *23315:A 0.00013697 +142 *4369:6 *5629:27 0.000113471 +143 *4370:10 *5629:23 2.75427e-05 +144 *4880:5 *22992:A 0.000316224 +145 *5600:33 *5629:23 0.00068049 +146 *5600:39 *5629:23 0.00103024 +147 *5600:39 *5629:27 8.4232e-05 +148 *5604:13 *5629:23 6.23803e-05 +149 *5612:12 *22890:A 5.0459e-05 +150 *5624:18 *23394:A1 0.000122083 +151 *5627:8 *22890:A 8.62321e-06 +152 *5627:41 *22876:A 0.000107496 +153 *5628:6 *22890:A 6.31665e-05 +*RES +1 *25069:X *5629:10 20.6261 +2 *5629:10 *22890:A 17.6574 +3 *5629:10 *5629:22 20.2609 +4 *5629:22 *5629:23 49.586 +5 *5629:23 *5629:27 15.0523 +6 *5629:27 *23315:A 22.7442 +7 *5629:27 *5629:41 47.0646 +8 *5629:41 *5629:43 4.5 +9 *5629:43 *22876:A 14.6023 +10 *5629:43 *5629:60 7.59566 +11 *5629:60 *22909:A 21.3269 +12 *5629:60 *5629:73 26.4469 +13 *5629:73 *5629:85 19.1988 +14 *5629:85 *23208:A 22.2762 +15 *5629:85 *5629:103 18.4374 +16 *5629:103 *22926:C 10.5271 +17 *5629:103 *5629:112 21.4887 +18 *5629:112 *5629:114 6.19214 +19 *5629:114 *5629:118 7.993 +20 *5629:118 *22982:C 12.9686 +21 *5629:118 *5629:125 0.723396 +22 *5629:125 *23101:A 27.8133 +23 *5629:125 *23642:A 9.24915 +24 *5629:114 *22973:A 13.7491 +25 *5629:112 *5629:153 5.71338 +26 *5629:153 *23006:A 17.7759 +27 *5629:153 *22992:A 23.8997 +28 *5629:73 *23068:A 14.4725 +29 *5629:23 *23394:A1 31.8204 +*END + +*D_NET *5630 0.0015696 +*CONN +*I *21502:A I *D sky130_fd_sc_hd__inv_2 +*I *25070:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21502:A 0.000382122 +2 *25070:X 0.000382122 +3 *21502:A *21379:A 0 +4 *25070:A *21502:A 1.84293e-05 +5 *2386:17 *21502:A 0.000243901 +6 *4875:46 *21502:A 0.000543025 +*RES +1 *25070:X *21502:A 39.5058 +*END + +*D_NET *5631 0.0295367 +*CONN +*I *22889:A I *D sky130_fd_sc_hd__inv_2 +*I *23313:A1_N I *D sky130_fd_sc_hd__a2bb2o_1 +*I *22876:C I *D sky130_fd_sc_hd__or4_4 +*I *22905:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *22926:A I *D sky130_fd_sc_hd__or4_1 +*I *22935:A I *D sky130_fd_sc_hd__or4_1 +*I *22947:A I *D sky130_fd_sc_hd__or4_4 +*I *23020:C I *D sky130_fd_sc_hd__or4_1 +*I *23006:C I *D sky130_fd_sc_hd__or4_1 +*I *22992:C I *D sky130_fd_sc_hd__or4_4 +*I *23011:C I *D sky130_fd_sc_hd__or4_1 +*I *23001:A I *D sky130_fd_sc_hd__or3_2 +*I *22906:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *25071:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *22889:A 0 +2 *23313:A1_N 0 +3 *22876:C 7.99544e-06 +4 *22905:A1 3.30119e-05 +5 *22926:A 0 +6 *22935:A 0.00030785 +7 *22947:A 2.387e-05 +8 *23020:C 0 +9 *23006:C 0 +10 *22992:C 7.19678e-05 +11 *23011:C 0.00016927 +12 *23001:A 0.000480833 +13 *22906:A1 1.91473e-05 +14 *25071:X 0.000242724 +15 *5631:118 0.000576994 +16 *5631:86 0.000652426 +17 *5631:79 0.000485033 +18 *5631:63 0.000729958 +19 *5631:60 0.000240004 +20 *5631:59 0.000528576 +21 *5631:48 0.00115812 +22 *5631:44 0.000984816 +23 *5631:37 0.00059183 +24 *5631:27 0.00045226 +25 *5631:22 0.000961188 +26 *5631:20 0.00139519 +27 *5631:16 0.00116244 +28 *5631:11 0.00121842 +29 *5631:6 0.00115082 +30 *22876:C *22876:D 3.29586e-05 +31 *5631:6 *22891:C 1.81814e-05 +32 *5631:11 *22886:A 5.0953e-05 +33 *5631:11 *5801:107 0.000208759 +34 *5631:22 *5923:20 0.000128282 +35 *5631:27 *22906:A3 0.000102003 +36 *5631:27 *5632:39 0.000304777 +37 *22876:B *22876:C 3.29586e-05 +38 *22891:A_N *5631:6 0.000161085 +39 *22905:B1 *22905:A1 2.65667e-05 +40 *22905:B1 *22906:A1 2.53145e-06 +41 *22906:A2 *22905:A1 1.37927e-05 +42 *22906:A2 *22906:A1 7.61196e-06 +43 *22906:A2 *5631:27 1.41291e-05 +44 *22906:A2 *5631:37 9.93106e-06 +45 *22906:B1 *22905:A1 1.82895e-05 +46 *22931:A *5631:22 0.000167579 +47 *22931:D *5631:22 5.85741e-05 +48 *22940:A *22906:A1 0 +49 *22940:A *5631:44 0 +50 *22947:C *22935:A 1.59634e-05 +51 *22947:C *5631:118 3.99086e-06 +52 *22947:D *5631:59 0.000113968 +53 *22947:D *5631:118 0.000107496 +54 *22989:B *23001:A 2.32288e-05 +55 *22992:A *23001:A 6.81244e-05 +56 *23001:B *23011:C 5.04829e-06 +57 *23001:C *23001:A 0.000106364 +58 *23006:B *5631:79 9.17814e-05 +59 *23006:D *5631:79 4.21651e-05 +60 *23006:D *5631:86 0.000111722 +61 *23011:B *23011:C 7.86847e-05 +62 *23011:D *23011:C 2.16355e-05 +63 *23020:A *23001:A 0.000199406 +64 *23020:A *5631:63 6.50586e-05 +65 *23235:A *5631:63 6.50586e-05 +66 *23235:C_N *5631:63 3.024e-05 +67 *23240:A1 *5631:59 3.61457e-05 +68 *23313:A2_N *5631:16 0.000127179 +69 *23315:A *5631:20 1.94615e-05 +70 *23461:B1 *5631:20 1.2693e-05 +71 *23461:B2 *5631:20 5.05252e-05 +72 *23511:A *5631:59 9.10217e-05 +73 *23511:A *5631:63 0.000105509 +74 *23511:D *5631:59 6.08467e-05 +75 *23645:B *5631:44 0.000389746 +76 *3088:10 *23011:C 3.22617e-05 +77 *3088:10 *5631:86 0.000191541 +78 *3779:73 *22947:A 3.75603e-05 +79 *3779:73 *5631:59 1.68354e-05 +80 *3779:73 *5631:118 2.64183e-05 +81 *3779:106 *5631:22 9.77726e-05 +82 *3789:67 *5631:48 0.000279872 +83 *3789:120 *5631:22 0.000113374 +84 *3792:66 *5631:44 0.000162033 +85 *3792:66 *5631:48 3.20069e-06 +86 *3792:68 *5631:48 0.000265584 +87 *3792:70 *5631:48 1.84334e-05 +88 *3792:75 *5631:48 9.13616e-06 +89 *3792:83 *23001:A 1.65872e-05 +90 *3792:102 *23001:A 5.14448e-05 +91 *3792:139 *5631:63 1.41976e-05 +92 *3792:139 *5631:79 6.36477e-05 +93 *3796:11 *5631:11 0.000717245 +94 *3796:59 *5631:63 9.30593e-05 +95 *3796:59 *5631:79 4.45999e-05 +96 *3796:61 *5631:79 4.45999e-05 +97 *3796:75 *22992:C 7.45372e-05 +98 *3796:82 *22992:C 0.000107496 +99 *3800:23 *5631:6 2.33103e-06 +100 *3800:57 *5631:20 0 +101 *3800:57 *5631:22 1.32509e-05 +102 *3800:84 *22935:A 0.000107496 +103 *3815:8 *22905:A1 0.000113968 +104 *3815:8 *5631:44 0.000201319 +105 *3815:10 *5631:44 0.000163997 +106 *3816:8 *5631:27 9.14669e-05 +107 *3827:8 *5631:44 0.000350121 +108 *3827:8 *5631:48 1.04965e-05 +109 *3831:6 *5631:22 2.87219e-05 +110 *3831:12 *5631:22 1.03986e-05 +111 *3831:12 *5631:27 0.000157142 +112 *3833:20 *22935:A 0.000358604 +113 *3848:21 *22935:A 0.0003637 +114 *3857:5 *22935:A 6.08467e-05 +115 *3894:15 *23011:C 0.000160617 +116 *3899:13 *23001:A 0.000140303 +117 *3911:8 *23001:A 1.03403e-05 +118 *3925:12 *5631:44 0.000271281 +119 *4126:316 *5631:20 0.000139435 +120 *4134:16 *5631:20 0.00014089 +121 *4134:24 *5631:20 7.77309e-06 +122 *4134:30 *23001:A 0 +123 *4149:11 *22992:C 3.31745e-05 +124 *4224:10 *5631:20 0.00062843 +125 *4239:20 *5631:20 0.00025439 +126 *4239:20 *5631:22 3.8872e-05 +127 *4239:25 *5631:22 0.000339167 +128 *4240:8 *23001:A 1.00225e-05 +129 *4304:6 *5631:20 3.67747e-05 +130 *4370:10 *5631:16 0.000939151 +131 *4370:10 *5631:20 0.000119848 +132 *4418:10 *5631:59 0.000111722 +133 *4880:5 *22992:C 0.000279543 +134 *5457:93 *23011:C 4.90694e-05 +135 *5457:93 *5631:86 0 +136 *5600:39 *5631:20 6.99309e-05 +137 *5601:6 *5631:6 0 +138 *5612:72 *5631:63 0.000653015 +139 *5624:16 *5631:6 4.11961e-05 +140 *5624:16 *5631:11 2.11809e-05 +141 *5624:18 *5631:11 0.00057238 +142 *5624:36 *5631:22 4.86511e-05 +143 *5624:41 *5631:22 3.51249e-05 +144 *5624:67 *5631:22 0.000383197 +145 *5624:67 *5631:27 0.00037897 +146 *5629:23 *5631:16 0.000365598 +147 *5629:23 *5631:20 0.000155173 +148 *5629:27 *5631:20 0.000570639 +149 *5629:112 *5631:48 0.000932178 +150 *5629:114 *5631:48 3.6549e-05 +*RES +1 *25071:X *5631:6 20.1489 +2 *5631:6 *5631:11 33.6399 +3 *5631:11 *5631:16 21.3177 +4 *5631:16 *5631:20 30.2523 +5 *5631:20 *5631:22 21.3488 +6 *5631:22 *5631:27 18.6937 +7 *5631:27 *22906:A1 10.0027 +8 *5631:27 *5631:37 0.723396 +9 *5631:37 *5631:44 25.624 +10 *5631:44 *5631:48 22.5268 +11 *5631:48 *5631:59 18.1228 +12 *5631:59 *5631:60 81.1229 +13 *5631:60 *5631:63 17.1824 +14 *5631:63 *23001:A 31.1226 +15 *5631:63 *5631:79 3.49641 +16 *5631:79 *5631:86 14.2865 +17 *5631:86 *23011:C 19.3923 +18 *5631:86 *22992:C 17.0225 +19 *5631:79 *23006:C 9.24915 +20 *5631:63 *23020:C 9.24915 +21 *5631:48 *5631:118 4.24392 +22 *5631:118 *22947:A 10.2378 +23 *5631:118 *22935:A 28.8687 +24 *5631:44 *22926:A 13.7491 +25 *5631:37 *22905:A1 11.0817 +26 *5631:22 *22876:C 14.141 +27 *5631:11 *23313:A1_N 9.24915 +28 *5631:6 *22889:A 13.7491 +*END + +*D_NET *5632 0.0312726 +*CONN +*I *22886:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23079:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *23097:A I *D sky130_fd_sc_hd__or2_4 +*I *22876:D I *D sky130_fd_sc_hd__or4_4 +*I *22906:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *22926:B I *D sky130_fd_sc_hd__or4_1 +*I *22947:B I *D sky130_fd_sc_hd__or4_4 +*I *22935:B I *D sky130_fd_sc_hd__or4_1 +*I *22973:D I *D sky130_fd_sc_hd__or4_4 +*I *22984:B I *D sky130_fd_sc_hd__or4_4 +*I *22989:D I *D sky130_fd_sc_hd__or4_4 +*I *22982:B I *D sky130_fd_sc_hd__or4_4 +*I *23645:A I *D sky130_fd_sc_hd__nor2_4 +*I *25072:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22886:A 0.000374726 +2 *23079:A1 3.72206e-05 +3 *23097:A 0.000235823 +4 *22876:D 0.000150887 +5 *22906:A3 3.90542e-05 +6 *22926:B 0 +7 *22947:B 0.000169723 +8 *22935:B 0.000238255 +9 *22973:D 3.42728e-05 +10 *22984:B 0 +11 *22989:D 0.000209836 +12 *22982:B 0.000193377 +13 *23645:A 0 +14 *25072:X 0.0004342 +15 *5632:64 0.000564342 +16 *5632:63 0.000627034 +17 *5632:52 0.000374954 +18 *5632:50 0.00060679 +19 *5632:48 0.000457354 +20 *5632:39 0.000805963 +21 *5632:32 0.000846664 +22 *5632:28 0.00174605 +23 *5632:27 0.00148283 +24 *5632:24 0.000591839 +25 *5632:16 0.00127999 +26 *5632:8 0.00178685 +27 *22886:A *5907:65 4.4785e-05 +28 *23079:A1 *5801:107 6.06857e-05 +29 *22876:A *22876:D 0.000119035 +30 *22876:B *22876:D 5.21875e-05 +31 *22876:C *22876:D 3.29586e-05 +32 *22888:B *5632:8 0.000165495 +33 *22888:C *5632:8 1.90191e-05 +34 *22888:D *5632:8 0.000203351 +35 *22904:A *22876:D 0.000160617 +36 *22935:D *22935:B 4.7404e-05 +37 *22947:C *22947:B 7.04808e-05 +38 *22973:B *22935:B 3.75066e-05 +39 *22973:B *22973:D 9.95922e-06 +40 *22973:C *22935:B 8.51681e-05 +41 *22973:C *5632:64 6.08467e-05 +42 *22982:A *22982:B 0.000225615 +43 *22984:C *22989:D 3.29586e-05 +44 *22989:C *22989:D 2.41568e-05 +45 *22989:C *5632:64 1.15389e-05 +46 *23001:B *22989:D 6.08467e-05 +47 *23011:A *22989:D 5.61389e-05 +48 *23070:B *22876:D 0.000263175 +49 *23074:B *5632:28 0.00028916 +50 *23077:A *23079:A1 1.00275e-05 +51 *23079:B2 *5632:16 5.41227e-05 +52 *23216:A *5632:28 8.36615e-05 +53 *23309:A2 *5632:16 0.000277453 +54 *23311:A *5632:16 0.000271046 +55 *23313:B2 *23079:A1 0 +56 *23336:A *5632:28 0.000257519 +57 *23457:D *5632:28 9.75356e-05 +58 *25041:A *5632:8 0.000171273 +59 *25074:A *5632:8 0 +60 *25197:A *5632:8 0.000114584 +61 *3086:20 *22876:D 0.000235393 +62 *3779:73 *22947:B 0.000393863 +63 *3786:17 *22876:D 2.57365e-05 +64 *3791:18 *22982:B 2.50598e-05 +65 *3792:10 *5632:16 0.000409197 +66 *3792:66 *5632:48 0.000376023 +67 *3792:68 *5632:48 7.25274e-05 +68 *3792:68 *5632:50 0.000853106 +69 *3792:68 *5632:52 8.61737e-06 +70 *3792:70 *5632:52 4.93938e-05 +71 *3792:75 *22982:B 0.0001839 +72 *3792:75 *5632:52 0.000302239 +73 *3796:44 *5632:24 2.04806e-05 +74 *3796:44 *5632:28 0 +75 *3799:28 *5632:28 0.000362361 +76 *3799:40 *5632:32 0.00012468 +77 *3799:40 *5632:48 1.36247e-05 +78 *3799:42 *5632:48 0.000113177 +79 *3799:42 *5632:50 0.000842346 +80 *3799:42 *5632:52 0.000337629 +81 *3799:44 *22982:B 2.95757e-05 +82 *3799:44 *5632:52 2.09495e-05 +83 *3799:56 *5632:64 0.000726865 +84 *3800:23 *5632:8 0 +85 *3800:23 *5632:16 0 +86 *3800:32 *5632:16 5.47537e-05 +87 *3800:32 *5632:24 0.000575257 +88 *3800:32 *5632:28 0.000178532 +89 *3800:34 *5632:28 2.15801e-05 +90 *3800:41 *5632:28 2.36813e-05 +91 *3800:62 *5632:32 0.000137388 +92 *3800:62 *5632:48 0.000123188 +93 *3800:89 *22935:B 6.08467e-05 +94 *3800:116 *22989:D 0.000193135 +95 *3800:122 *22989:D 8.41775e-05 +96 *3831:12 *5632:39 0.000767646 +97 *3874:28 *22982:B 1.1729e-05 +98 *3892:8 *22982:B 1.59362e-06 +99 *3894:15 *22989:D 1.15389e-05 +100 *3894:15 *5632:64 0.000111708 +101 *3899:13 *22989:D 3.45016e-05 +102 *3983:18 *5632:24 0.000410711 +103 *3984:6 *5632:16 0.000154294 +104 *3984:6 *5632:24 2.90257e-05 +105 *3985:28 *5632:16 0.000170592 +106 *3988:8 *23079:A1 0.000109039 +107 *3989:11 *23097:A 5.54567e-05 +108 *3989:11 *5632:27 1.93033e-05 +109 *4220:10 *5632:16 0.00011818 +110 *4245:6 *5632:39 0.000755491 +111 *5600:18 *5632:8 3.42731e-05 +112 *5600:18 *5632:16 0.000201866 +113 *5601:6 *5632:8 0.000370443 +114 *5603:8 *5632:8 0.000321203 +115 *5627:26 *5632:28 7.14746e-05 +116 *5627:28 *5632:28 0.000441838 +117 *5627:41 *5632:28 0.000339785 +118 *5627:41 *5632:32 9.24241e-05 +119 *5628:23 *22989:D 0.00029187 +120 *5628:29 *22935:B 5.51483e-06 +121 *5628:29 *22989:D 4.06888e-05 +122 *5628:29 *5632:64 7.92757e-06 +123 *5629:23 *5632:16 0 +124 *5629:41 *5632:28 0.00202567 +125 *5629:41 *5632:32 7.14746e-05 +126 *5631:11 *22886:A 5.0953e-05 +127 *5631:27 *22906:A3 0.000102003 +128 *5631:27 *5632:39 0.000304777 +*RES +1 *25072:X *5632:8 30.0135 +2 *5632:8 *5632:16 40.6715 +3 *5632:16 *5632:24 15.9561 +4 *5632:24 *5632:27 6.3326 +5 *5632:27 *5632:28 55.3995 +6 *5632:28 *5632:32 11.7303 +7 *5632:32 *5632:39 31.1157 +8 *5632:39 *23645:A 9.24915 +9 *5632:39 *5632:48 13.2842 +10 *5632:48 *5632:50 15.1201 +11 *5632:50 *5632:52 6.81502 +12 *5632:52 *22982:B 19.6266 +13 *5632:52 *5632:63 4.5 +14 *5632:63 *5632:64 9.59705 +15 *5632:64 *22989:D 18.1469 +16 *5632:64 *22984:B 9.24915 +17 *5632:63 *22973:D 10.2378 +18 *5632:63 *22935:B 14.9881 +19 *5632:50 *22947:B 18.3548 +20 *5632:48 *22926:B 13.7491 +21 *5632:32 *22906:A3 10.5271 +22 *5632:28 *22876:D 20.7661 +23 *5632:24 *23097:A 14.4335 +24 *5632:16 *23079:A1 15.8349 +25 *5632:8 *22886:A 29.0474 +*END + +*D_NET *5633 0.00334199 +*CONN +*I *22891:D I *D sky130_fd_sc_hd__nand4bb_1 +*I *21045:B I *D sky130_fd_sc_hd__or3_1 +*I *25073:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22891:D 3.88943e-05 +2 *21045:B 0.000488468 +3 *25073:X 0.000457253 +4 *5633:12 0.000984615 +5 *21045:B *22891:C 4.94e-06 +6 *5633:12 *22891:C 3.74039e-05 +7 *21044:B *21045:B 0.000107496 +8 *21044:D *21045:B 6.31036e-06 +9 *21045:C *21045:B 1.43848e-05 +10 *22890:A *5633:12 2.07815e-05 +11 *22892:D *21045:B 8.14234e-05 +12 *3800:5 *22891:D 1.41976e-05 +13 *3800:23 *21045:B 7.51113e-05 +14 *3800:23 *22891:D 6.08467e-05 +15 *5600:17 *21045:B 0.000356405 +16 *5604:13 *21045:B 5.66356e-05 +17 *5624:13 *21045:B 1.65351e-05 +18 *5624:13 *22891:D 2.57986e-05 +19 *5628:6 *21045:B 7.13173e-05 +20 *5628:6 *5633:12 0.000106461 +21 *5629:10 *5633:12 0.000316716 +*RES +1 *25073:X *5633:12 23.858 +2 *5633:12 *21045:B 25.8596 +3 *5633:12 *22891:D 15.0513 +*END + +*D_NET *5634 0.00188803 +*CONN +*I *21045:A I *D sky130_fd_sc_hd__or3_1 +*I *22891:C I *D sky130_fd_sc_hd__nand4bb_1 +*I *25074:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21045:A 0.000469394 +2 *22891:C 0.000289295 +3 *25074:X 0 +4 *5634:4 0.000758689 +5 *21045:B *22891:C 4.94e-06 +6 *22892:A *21045:A 0 +7 *25071:A *22891:C 5.04829e-06 +8 *25074:A *21045:A 4.31539e-05 +9 *25074:A *22891:C 7.48797e-05 +10 *5629:22 *21045:A 4.62711e-05 +11 *5629:22 *22891:C 2.22932e-05 +12 *5629:23 *21045:A 0.000118485 +13 *5631:6 *22891:C 1.81814e-05 +14 *5633:12 *22891:C 3.74039e-05 +*RES +1 *25074:X *5634:4 9.24915 +2 *5634:4 *22891:C 23.5776 +3 *5634:4 *21045:A 26.2112 +*END + +*D_NET *5635 0.017263 +*CONN +*I *21048:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *25075:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21048:C_N 0.000141455 +2 *25075:X 0.000942302 +3 *5635:23 0.00176724 +4 *5635:20 0.00264512 +5 *5635:12 0.00196165 +6 *5635:12 *5657:12 6.01588e-05 +7 *5635:12 *5659:10 0.000224395 +8 *5635:12 *5661:10 0.000224395 +9 *5635:12 *5676:7 0.00212982 +10 *5635:12 *5935:20 0.000304435 +11 *5635:20 *22854:A2 0.000865044 +12 *5635:20 *22856:A2 7.52574e-06 +13 *5635:20 *22856:B2 1.16368e-05 +14 *5635:20 *22864:B2 0.00107328 +15 *5635:20 *5678:9 0.000101027 +16 *5635:20 *5935:20 0.00135634 +17 *5635:23 *22860:B2 0.000271058 +18 *5635:23 *24084:A1 0.00027329 +19 *20191:A0 *21048:C_N 5.39463e-05 +20 *20191:A0 *5635:23 0.000154539 +21 *21047:B *21048:C_N 0 +22 *21048:B *21048:C_N 0 +23 *21710:A *5635:12 6.50727e-05 +24 *24080:A0 *5635:23 0.000353197 +25 *24080:S *5635:23 0.000536581 +26 *24084:A0 *5635:23 5.73392e-05 +27 *25075:A *5635:12 6.92705e-05 +28 *25118:A *5635:12 0.000193186 +29 *574:17 *5635:20 0.00141969 +*RES +1 *25075:X *5635:12 46.793 +2 *5635:12 *5635:20 17.8181 +3 *5635:20 *5635:23 46.2641 +4 *5635:23 *21048:C_N 17.6574 +*END + +*D_NET *5636 0.00416249 +*CONN +*I *24078:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25076:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24078:A1 1.13858e-05 +2 *25076:X 0.000980306 +3 *5636:10 0.000991692 +4 *24078:A1 *22854:B2 2.65831e-05 +5 *5636:10 *22854:B2 2.73004e-05 +6 *5636:10 *22858:B2 0 +7 *5636:10 *24079:A1 2.65831e-05 +8 *5636:10 *24080:A1 2.65831e-05 +9 *5636:10 *24082:A1 0.000489918 +10 *22854:C1 *5636:10 0 +11 *24084:A0 *5636:10 3.84508e-05 +12 *25076:A *5636:10 6.92705e-05 +13 *25088:A *5636:10 0.000175485 +14 *25100:A *5636:10 0.000175485 +15 *25104:A *5636:10 0.000175485 +16 *25105:A *5636:10 0.000175485 +17 *25106:A *5636:10 0.000175485 +18 *25107:A *5636:10 0.000122378 +19 *25108:A *5636:10 0.000175485 +20 *25109:A *5636:10 0.000175485 +21 *571:19 *24078:A1 3.14978e-05 +22 *574:17 *5636:10 9.21393e-05 +*RES +1 *25076:X *5636:10 45.7142 +2 *5636:10 *24078:A1 14.4725 +*END + +*D_NET *5637 0.00197556 +*CONN +*I *22858:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *25077:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22858:B2 0.000607538 +2 *25077:X 0.000607538 +3 *22858:B2 *22853:B 0.000125023 +4 *22858:B2 *22854:A2 0.00014946 +5 *22858:B2 *22856:B2 0.000165521 +6 *22858:B2 *22860:B2 4.49637e-05 +7 *22858:B2 *6019:59 0 +8 *22854:C1 *22858:B2 0 +9 *24078:S *22858:B2 0 +10 *569:10 *22858:B2 0 +11 *571:19 *22858:B2 0 +12 *572:15 *22858:B2 0.000275518 +13 *5636:10 *22858:B2 0 +*RES +1 *25077:X *22858:B2 42.748 +*END + +*D_NET *5638 0.000800894 +*CONN +*I *22860:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *25078:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22860:B2 0.000139435 +2 *25078:X 0.000139435 +3 *22860:B2 *22856:B2 4.60197e-05 +4 *22858:B2 *22860:B2 4.49637e-05 +5 *22860:C1 *22860:B2 8.49938e-05 +6 *24080:A0 *22860:B2 6.73022e-05 +7 *570:15 *22860:B2 7.68538e-06 +8 *5635:23 *22860:B2 0.000271058 +*RES +1 *25078:X *22860:B2 33.4409 +*END + +*D_NET *5639 0.00198122 +*CONN +*I *22862:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *25079:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22862:B2 0.00042203 +2 *25079:X 0.00042203 +3 *22862:B2 *22855:B 0.000373047 +4 *22862:B2 *22860:A2 0.000137472 +5 *22862:B2 *22866:B2 0.000167076 +6 *22862:B2 *22868:A1 2.1558e-06 +7 *22862:B2 *22868:B2 0.000149347 +8 *22862:B2 *5676:23 0.000104509 +9 *22862:B2 *6019:88 8.8927e-06 +10 *22862:B2 *6019:100 1.47046e-05 +11 *22862:B2 *6020:119 1.47046e-05 +12 *22868:C1 *22862:B2 4.61705e-05 +13 *24084:A0 *22862:B2 1.777e-05 +14 *25079:A *22862:B2 5.56461e-05 +15 *25080:A *22862:B2 4.56667e-05 +*RES +1 *25079:X *22862:B2 41.0363 +*END + +*D_NET *5640 0.00356635 +*CONN +*I *22864:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *25080:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22864:B2 0.000535517 +2 *25080:X 0.000535517 +3 *22864:B2 *22855:B 1.91391e-05 +4 *22864:B2 *22856:A2 1.05746e-05 +5 *22864:B2 *22864:A1 9.42628e-05 +6 *22864:B2 *5677:16 1.34293e-05 +7 *22864:B2 *5935:20 0.0010383 +8 *22864:C1 *22864:B2 9.86341e-06 +9 *22866:C1 *22864:B2 4.4197e-05 +10 *573:16 *22864:B2 0.000175606 +11 *574:17 *22864:B2 1.66626e-05 +12 *5635:20 *22864:B2 0.00107328 +*RES +1 *25080:X *22864:B2 43.6688 +*END + +*D_NET *5641 0.000887117 +*CONN +*I *21379:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25081:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21379:A 0.000301227 +2 *25081:X 0.000301227 +3 *21379:A *21325:A 4.74657e-05 +4 *21502:A *21379:A 0 +5 *2386:13 *21379:A 0 +6 *2386:17 *21379:A 0.000165521 +7 *4875:46 *21379:A 7.16754e-05 +*RES +1 *25081:X *21379:A 35.0636 +*END + +*D_NET *5642 0.00220337 +*CONN +*I *22866:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *25082:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22866:B2 0.000557204 +2 *25082:X 0.000557204 +3 *22866:B2 *22854:A2 0 +4 *22866:B2 *22855:A 1.6866e-05 +5 *22866:B2 *22855:B 5.9357e-05 +6 *22866:B2 *22860:A1 0 +7 *22866:B2 *22860:A2 2.16915e-05 +8 *22866:B2 *22866:A1 1.23967e-05 +9 *22866:B2 *22866:B1 0.000170905 +10 *22866:B2 *5678:18 7.83498e-05 +11 *22866:B2 *5934:24 0.000148852 +12 *22866:B2 *6018:65 6.28948e-05 +13 *22866:B2 *6019:59 0 +14 *22866:B2 *6019:88 0.000113832 +15 *22862:B2 *22866:B2 0.000167076 +16 *22862:C1 *22866:B2 0.000202933 +17 *22864:C1 *22866:B2 0 +18 *22866:C1 *22866:B2 3.38056e-05 +*RES +1 *25082:X *22866:B2 46.1531 +*END + +*D_NET *5643 0.000771547 +*CONN +*I *22868:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *25083:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22868:B2 0.000248808 +2 *25083:X 0.000248808 +3 *22868:B2 *22855:B 5.00417e-05 +4 *22868:B2 *22868:A1 1.72577e-05 +5 *22860:C1 *22868:B2 1.17812e-05 +6 *22862:B2 *22868:B2 0.000149347 +7 *22868:C1 *22868:B2 4.55034e-05 +*RES +1 *25083:X *22868:B2 33.1622 +*END + +*D_NET *5644 0.00437932 +*CONN +*I *22853:B I *D sky130_fd_sc_hd__and2_1 +*I *25084:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22853:B 0.000153463 +2 *25084:X 0.000892543 +3 *5644:14 0.00104601 +4 *22853:B *22858:A2 0.000148932 +5 *22853:B *5934:24 0.000783334 +6 *5644:14 *22855:A 4.9073e-05 +7 *5644:14 *22858:A2 4.6284e-05 +8 *5644:14 *22866:B1 6.12686e-06 +9 *5644:14 *22868:A1 0 +10 *5644:14 *22868:B1 3.40663e-05 +11 *5644:14 *5934:24 4.69495e-06 +12 *5644:14 *5934:25 0.000457669 +13 *5644:14 *6020:80 0 +14 *22858:B2 *22853:B 0.000125023 +15 *22864:C1 *5644:14 0.000120237 +16 *24083:A0 *22853:B 9.87648e-05 +17 *569:10 *22853:B 2.41483e-05 +18 *572:15 *22853:B 6.26264e-05 +19 *572:15 *5644:14 1.75637e-06 +20 *573:16 *5644:14 0.00030456 +21 *3774:16 *5644:14 2.00098e-05 +*RES +1 *25084:X *5644:14 44.7993 +2 *5644:14 *22853:B 19.4249 +*END + +*D_NET *5645 0.00262937 +*CONN +*I *22855:B I *D sky130_fd_sc_hd__and2_1 +*I *25085:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22855:B 0.000570657 +2 *25085:X 0.000570657 +3 *22855:B *22856:A2 1.89324e-05 +4 *22855:B *22860:A1 7.77238e-05 +5 *22862:B2 *22855:B 0.000373047 +6 *22864:B2 *22855:B 1.91391e-05 +7 *22866:B2 *22855:B 5.9357e-05 +8 *22868:B2 *22855:B 5.00417e-05 +9 *24084:A0 *22855:B 6.34576e-05 +10 *25080:A *22855:B 6.50727e-05 +11 *25082:A *22855:B 0.000275256 +12 *25083:A *22855:B 5.56461e-05 +13 *3774:16 *22855:B 0.00043038 +*RES +1 *25085:X *22855:B 45.9064 +*END + +*D_NET *5646 0.000716325 +*CONN +*I *22857:B I *D sky130_fd_sc_hd__and2_1 +*I *25086:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22857:B 0.00033004 +2 *25086:X 0.00033004 +3 *22857:B *22865:A 2.96609e-05 +4 *22857:B *5677:16 0 +5 *3774:16 *22857:B 2.65831e-05 +*RES +1 *25086:X *22857:B 33.791 +*END + +*D_NET *5647 0.00085895 +*CONN +*I *22859:B I *D sky130_fd_sc_hd__and2_1 +*I *25087:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22859:B 0.000240832 +2 *25087:X 0.000240832 +3 *22859:B *5676:23 6.40466e-05 +4 *22859:B *5678:18 1.95785e-05 +5 *25087:A *22859:B 1.43983e-05 +6 *3774:16 *22859:B 0.000279264 +*RES +1 *25087:X *22859:B 33.791 +*END + +*D_NET *5648 0.000536954 +*CONN +*I *24079:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25088:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24079:A1 0.000255185 +2 *25088:X 0.000255185 +3 *24079:A1 *24080:A1 0 +4 *21048:A *24079:A1 0 +5 *5636:10 *24079:A1 2.65831e-05 +*RES +1 *25088:X *24079:A1 32.9604 +*END + +*D_NET *5649 0.000696625 +*CONN +*I *22861:B I *D sky130_fd_sc_hd__and2_1 +*I *25089:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22861:B 0.000295034 +2 *25089:X 0.000295034 +3 *22861:B *22863:B 0 +4 *22861:B *22864:A2 2.57792e-05 +5 *22861:B *22867:A 4.5074e-06 +6 *22861:B *5657:12 4.03426e-05 +7 *22861:B *5678:18 3.30567e-05 +8 *22868:C1 *22861:B 2.87136e-06 +*RES +1 *25089:X *22861:B 33.7729 +*END + +*D_NET *5650 0.00218806 +*CONN +*I *22863:B I *D sky130_fd_sc_hd__and2_1 +*I *25090:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22863:B 0.000476976 +2 *25090:X 0.000476976 +3 *22863:B *22867:A 0 +4 *22863:B *22867:B 0.000175485 +5 *22863:B *5658:13 0.000114608 +6 *22863:B *5676:7 2.65831e-05 +7 *22863:B *5934:25 0.000536581 +8 *22861:B *22863:B 0 +9 *22864:C1 *22863:B 5.56461e-05 +10 *22868:C1 *22863:B 0.00015866 +11 *24535:CLK *22863:B 0.000166548 +*RES +1 *25090:X *22863:B 43.4065 +*END + +*D_NET *5651 0.00205435 +*CONN +*I *22865:B I *D sky130_fd_sc_hd__and2_1 +*I *25091:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22865:B 0.000259208 +2 *25091:X 0.000259208 +3 *22865:B *22867:B 0 +4 *22865:B *5657:12 0.000629908 +5 *22865:B *5658:13 0 +6 *22865:B *5662:13 0.000829449 +7 *22865:B *5677:7 7.65728e-05 +*RES +1 *25091:X *22865:B 39.2032 +*END + +*D_NET *5652 0.000951104 +*CONN +*I *21325:A I *D sky130_fd_sc_hd__inv_2 +*I *25092:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21325:A 0.00034534 +2 *25092:X 0.00034534 +3 *21379:A *21325:A 4.74657e-05 +4 *25081:A *21325:A 4.30017e-06 +5 *25092:A *21325:A 1.43983e-05 +6 *2277:11 *21325:A 1.88014e-05 +7 *2290:13 *21325:A 0.000111802 +8 *2387:7 *21325:A 6.3657e-05 +*RES +1 *25092:X *21325:A 34.3806 +*END + +*D_NET *5653 0.0021361 +*CONN +*I *22867:B I *D sky130_fd_sc_hd__and2_1 +*I *25093:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22867:B 0.00061548 +2 *25093:X 0.00061548 +3 *22867:B *22854:A2 0 +4 *22867:B *24535:RESET_B 0.000242119 +5 *22867:B *5656:13 0 +6 *22867:B *5658:13 0 +7 *22867:B *5934:25 5.49209e-05 +8 *20556:B1 *22867:B 0.000432613 +9 *22863:B *22867:B 0.000175485 +10 *22865:B *22867:B 0 +11 *24535:D *22867:B 0 +12 *24535:CLK *22867:B 0 +*RES +1 *25093:X *22867:B 44.7381 +*END + +*D_NET *5654 0.00760822 +*CONN +*I *22854:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *25094:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22854:A2 0.00151803 +2 *25094:X 0.00151803 +3 *22854:A2 *22854:A1 5.1829e-05 +4 *22854:A2 *22854:B1 4.10703e-05 +5 *22854:A2 *22856:A1 0 +6 *22854:A2 *22856:B2 1.78398e-05 +7 *22854:A2 *5656:13 0.000141427 +8 *22854:A2 *5676:23 0.00142044 +9 *22854:A2 *5934:24 0 +10 *22856:C1 *22854:A2 1.87469e-05 +11 *22858:B2 *22854:A2 0.00014946 +12 *22862:C1 *22854:A2 5.714e-06 +13 *22866:B2 *22854:A2 0 +14 *22867:B *22854:A2 0 +15 *22868:C1 *22854:A2 9.08176e-05 +16 *568:31 *22854:A2 2.98665e-05 +17 *571:19 *22854:A2 0 +18 *574:17 *22854:A2 0.00173989 +19 *5635:20 *22854:A2 0.000865044 +*RES +1 *25094:X *22854:A2 46.1395 +*END + +*D_NET *5655 0.00792412 +*CONN +*I *22856:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *25095:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22856:A2 0.000324917 +2 *25095:X 0.00209047 +3 *5655:7 0.00241539 +4 *22856:A2 *22854:B1 9.79532e-06 +5 *22856:A2 *22856:A1 8.70622e-06 +6 *22856:A2 *22856:B2 0 +7 *22856:A2 *22860:A1 0.000170704 +8 *22856:A2 *22860:A2 0 +9 *22856:A2 *6019:80 0.000110766 +10 *22856:A2 *6020:82 1.61631e-05 +11 *22856:A2 *6020:101 6.60336e-05 +12 *5655:7 *5658:13 0.00110144 +13 *5655:7 *5676:7 4.17281e-05 +14 *22855:B *22856:A2 1.89324e-05 +15 *22856:C1 *22856:A2 0.000111708 +16 *22864:B2 *22856:A2 1.05746e-05 +17 *24084:A0 *5655:7 0.000935664 +18 *25079:A *22856:A2 0 +19 *25080:A *22856:A2 5.41227e-05 +20 *25084:A *5655:7 1.92172e-05 +21 *25085:A *5655:7 7.48797e-05 +22 *25086:A *5655:7 7.48797e-05 +23 *25087:A *5655:7 7.48797e-05 +24 *25089:A *5655:7 7.48797e-05 +25 *25090:A *5655:7 4.0752e-05 +26 *3774:16 *5655:7 6.99864e-05 +27 *5635:20 *22856:A2 7.52574e-06 +*RES +1 *25095:X *5655:7 49.9672 +2 *5655:7 *22856:A2 23.0351 +*END + +*D_NET *5656 0.00775178 +*CONN +*I *22858:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *25096:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22858:A2 7.27173e-05 +2 *25096:X 0.00103369 +3 *5656:26 0.00177496 +4 *5656:13 0.00273593 +5 *5656:13 *24535:RESET_B 0 +6 *5656:13 *24802:SET_B 8.28804e-05 +7 *5656:13 *5661:17 3.18172e-05 +8 *5656:13 *5676:7 0.000219769 +9 *5656:26 *22855:A 2.86353e-06 +10 *5656:26 *22866:B1 2.77419e-05 +11 *5656:26 *5661:17 6.14655e-05 +12 *5656:26 *5676:23 1.75264e-05 +13 *5656:26 *5677:16 0.000175584 +14 *5656:26 *5678:18 0 +15 *5656:26 *6018:49 2.49775e-05 +16 *20146:A1 *5656:13 3.8519e-05 +17 *20556:B2 *5656:13 2.08076e-05 +18 *21802:A *5656:13 9.48555e-05 +19 *22853:B *22858:A2 0.000148932 +20 *22854:A2 *5656:13 0.000141427 +21 *22867:B *5656:13 0 +22 *24802:CLK *5656:26 6.46998e-05 +23 *572:15 *22858:A2 0.000144293 +24 *1994:63 *5656:13 0 +25 *1994:70 *5656:13 0 +26 *1995:24 *5656:13 0 +27 *3773:11 *5656:26 0.00076344 +28 *5273:8 *5656:13 2.66039e-05 +29 *5644:14 *22858:A2 4.6284e-05 +*RES +1 *25096:X *5656:13 42.1921 +2 *5656:13 *5656:26 47.2346 +3 *5656:26 *22858:A2 13.0229 +*END + +*D_NET *5657 0.00824246 +*CONN +*I *22860:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *25097:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22860:A2 0.000899214 +2 *25097:X 0.000451615 +3 *5657:12 0.00135083 +4 *22860:A2 *5935:20 0.00163117 +5 *22860:A2 *6019:88 0.000290171 +6 *5657:12 *5658:13 0 +7 *5657:12 *5659:10 0 +8 *5657:12 *5662:13 0.000588618 +9 *5657:12 *5676:23 4.04039e-05 +10 *5657:12 *5677:7 0.0019276 +11 *5657:12 *5678:18 5.15155e-05 +12 *22856:A2 *22860:A2 0 +13 *22860:C1 *22860:A2 9.29178e-05 +14 *22861:B *5657:12 4.03426e-05 +15 *22862:B2 *22860:A2 0.000137472 +16 *22865:B *5657:12 0.000629908 +17 *22866:B2 *22860:A2 2.16915e-05 +18 *25082:A *22860:A2 3.93117e-06 +19 *25083:A *22860:A2 4.69495e-06 +20 *25089:A *5657:12 2.02035e-05 +21 *5635:12 *5657:12 6.01588e-05 +*RES +1 *25097:X *5657:12 49.3862 +2 *5657:12 *22860:A2 31.046 +*END + +*D_NET *5658 0.00774786 +*CONN +*I *22862:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *25098:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22862:A2 0.000116843 +2 *25098:X 0.00116362 +3 *5658:13 0.00128046 +4 *22862:A2 *22864:A1 4.52451e-05 +5 *22862:A2 *22866:A1 2.57629e-05 +6 *22862:A2 *6020:121 3.45633e-05 +7 *5658:13 *5676:7 0.000262482 +8 *5658:13 *6018:65 0.000176744 +9 *22862:C1 *5658:13 0.00143056 +10 *22863:B *5658:13 0.000114608 +11 *22864:C1 *22862:A2 7.19012e-05 +12 *22865:B *5658:13 0 +13 *22866:C1 *5658:13 0.000438957 +14 *22867:B *5658:13 0 +15 *22868:C1 *5658:13 2.58354e-05 +16 *24535:D *5658:13 4.90562e-05 +17 *24535:CLK *5658:13 0.000113199 +18 *25090:A *5658:13 7.95338e-05 +19 *25091:A *5658:13 0.000177374 +20 *25093:A *5658:13 0.000171288 +21 *25094:A *5658:13 0.000171288 +22 *25095:A *5658:13 0.00027329 +23 *25096:A *5658:13 0.000122378 +24 *25097:A *5658:13 0.000171288 +25 *25098:A *5658:13 6.50727e-05 +26 *571:19 *22862:A2 6.50586e-05 +27 *5655:7 *5658:13 0.00110144 +28 *5657:12 *5658:13 0 +*RES +1 *25098:X *5658:13 48.5042 +2 *5658:13 *22862:A2 21.226 +*END + +*D_NET *5659 0.00555017 +*CONN +*I *22864:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *25099:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22864:A2 0.00219651 +2 *25099:X 0.000354001 +3 *5659:10 0.00255051 +4 *22864:A2 *22857:A 7.09013e-05 +5 *22864:A2 *22864:A1 1.58844e-06 +6 *22864:A2 *22864:B1 0 +7 *22864:A2 *22867:A 1.81948e-05 +8 *22864:A2 *6018:83 1.5006e-05 +9 *5659:10 *5661:10 0 +10 *20555:A1 *5659:10 1.77537e-06 +11 *22861:B *22864:A2 2.57792e-05 +12 *24536:CLK *22864:A2 4.88562e-05 +13 *3774:16 *22864:A2 4.26566e-05 +14 *5635:12 *5659:10 0.000224395 +15 *5657:12 *5659:10 0 +*RES +1 *25099:X *5659:10 25.3751 +2 *5659:10 *22864:A2 42.3807 +*END + +*D_NET *5660 0.000325588 +*CONN +*I *24080:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25100:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24080:A1 0.000149502 +2 *25100:X 0.000149502 +3 *24080:A1 *24081:A1 0 +4 *24079:A1 *24080:A1 0 +5 *5636:10 *24080:A1 2.65831e-05 +*RES +1 *25100:X *24080:A1 30.4689 +*END + +*D_NET *5661 0.00842121 +*CONN +*I *22866:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *25101:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22866:A2 0 +2 *25101:X 0.000551692 +3 *5661:17 0.00239531 +4 *5661:10 0.002947 +5 *5661:10 *5662:8 0 +6 *5661:17 *24640:RESET_B 6.2314e-05 +7 *5661:17 *24802:SET_B 0.00135019 +8 *5661:17 *5677:16 0.000171456 +9 *5661:17 *5678:18 0 +10 *5661:17 *5934:25 1.44925e-05 +11 *20402:B2 *5661:10 0 +12 *20555:A1 *5661:10 5.85596e-05 +13 *21711:A *5661:10 2.71397e-05 +14 *22864:C1 *5661:17 7.09666e-06 +15 *24640:D *5661:10 3.00073e-05 +16 *24802:CLK *5661:17 0.00012316 +17 *572:15 *5661:17 3.85049e-05 +18 *573:16 *5661:17 1.56236e-05 +19 *3773:11 *5661:17 5.65822e-05 +20 *4886:46 *5661:10 0.00025439 +21 *5635:12 *5661:10 0.000224395 +22 *5656:13 *5661:17 3.18172e-05 +23 *5656:26 *5661:17 6.14655e-05 +24 *5659:10 *5661:10 0 +*RES +1 *25101:X *5661:10 32.0191 +2 *5661:10 *5661:17 49.5752 +3 *5661:17 *22866:A2 9.24915 +*END + +*D_NET *5662 0.0053976 +*CONN +*I *22868:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *25102:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22868:A2 0 +2 *25102:X 0.000123926 +3 *5662:13 0.00140371 +4 *5662:8 0.00152764 +5 *5662:13 *5677:7 0.000429469 +6 *22865:B *5662:13 0.000829449 +7 *22866:C1 *5662:13 0.000407145 +8 *574:17 *5662:13 4.31703e-05 +9 *4834:8 *5662:8 4.44689e-05 +10 *5657:12 *5662:13 0.000588618 +11 *5661:10 *5662:8 0 +*RES +1 *25102:X *5662:8 21.3269 +2 *5662:8 *5662:13 42.7889 +3 *5662:13 *22868:A2 9.24915 +*END + +*D_NET *5663 0.00120462 +*CONN +*I *21210:A I *D sky130_fd_sc_hd__inv_2 +*I *25103:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21210:A 0.000488569 +2 *25103:X 0.000488569 +3 *25103:A *21210:A 1.43983e-05 +4 *2386:13 *21210:A 0.000213079 +*RES +1 *25103:X *21210:A 37.2874 +*END + +*D_NET *5664 0.00103774 +*CONN +*I *24081:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25104:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24081:A1 0.000380126 +2 *25104:X 0.000380126 +3 *24081:A1 *24083:A1 0 +4 *20187:A0 *24081:A1 0.000277488 +5 *24080:A1 *24081:A1 0 +6 *24080:S *24081:A1 0 +*RES +1 *25104:X *24081:A1 35.1789 +*END + +*D_NET *5665 0.00153821 +*CONN +*I *24082:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25105:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24082:A1 0.000388237 +2 *25105:X 0.000388237 +3 *24082:A1 *24083:A1 0 +4 *24082:A1 *24084:A1 0.000125695 +5 *24082:A1 *24085:A1 0 +6 *20183:A0 *24082:A1 7.50722e-05 +7 *24084:A0 *24082:A1 0 +8 *24084:S *24082:A1 1.77537e-06 +9 *570:15 *24082:A1 6.92705e-05 +10 *5636:10 *24082:A1 0.000489918 +*RES +1 *25105:X *24082:A1 38.5359 +*END + +*D_NET *5666 0.00115992 +*CONN +*I *24083:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25106:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24083:A1 0.000411802 +2 *25106:X 0.000411802 +3 *24083:A1 *5934:24 0.00011818 +4 *20183:A0 *24083:A1 7.95938e-05 +5 *24080:S *24083:A1 0 +6 *24081:A1 *24083:A1 0 +7 *24082:A1 *24083:A1 0 +8 *566:20 *24083:A1 1.61631e-05 +9 *566:51 *24083:A1 0.000122378 +*RES +1 *25106:X *24083:A1 37.6676 +*END + +*D_NET *5667 0.000761984 +*CONN +*I *24084:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25107:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24084:A1 0.000100673 +2 *25107:X 0.000100673 +3 *24084:A1 *24085:A1 0 +4 *24080:A0 *24084:A1 9.65932e-05 +5 *24082:A1 *24084:A1 0.000125695 +6 *24084:A0 *24084:A1 6.50586e-05 +7 *5635:23 *24084:A1 0.00027329 +*RES +1 *25107:X *24084:A1 32.6874 +*END + +*D_NET *5668 0.00116995 +*CONN +*I *24085:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25108:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *24085:A1 0.000353176 +2 *25108:X 0.000353176 +3 *24085:A1 *5934:24 0.00011818 +4 *20195:A0 *24085:A1 0 +5 *24082:A1 *24085:A1 0 +6 *24084:A0 *24085:A1 2.94562e-05 +7 *24084:A1 *24085:A1 0 +8 *24084:S *24085:A1 4.73476e-05 +9 *566:62 *24085:A1 4.31118e-05 +10 *566:84 *24085:A1 0.000122378 +11 *568:31 *24085:A1 7.08723e-06 +12 *570:15 *24085:A1 9.60366e-05 +13 *574:17 *24085:A1 0 +*RES +1 *25108:X *24085:A1 37.6676 +*END + +*D_NET *5669 0.00137628 +*CONN +*I *22854:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *25109:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22854:B2 0.000315975 +2 *25109:X 0.000315975 +3 *22854:B2 *22854:A1 2.91008e-06 +4 *24078:A0 *22854:B2 0.000331836 +5 *24078:A1 *22854:B2 2.65831e-05 +6 *24078:S *22854:B2 1.43983e-05 +7 *24082:A0 *22854:B2 2.65667e-05 +8 *24084:A0 *22854:B2 6.31954e-05 +9 *24084:S *22854:B2 2.1558e-05 +10 *571:19 *22854:B2 4.89146e-05 +11 *574:17 *22854:B2 0.000181067 +12 *5636:10 *22854:B2 2.73004e-05 +*RES +1 *25109:X *22854:B2 38.7288 +*END + +*D_NET *5670 0.00156137 +*CONN +*I *22856:B2 I *D sky130_fd_sc_hd__a221o_1 +*I *25110:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22856:B2 0.000440466 +2 *25110:X 0.000440466 +3 *22856:B2 *22854:A1 1.67404e-05 +4 *22856:B2 *22854:B1 1.47046e-05 +5 *22854:A2 *22856:B2 1.78398e-05 +6 *22856:A2 *22856:B2 0 +7 *22856:C1 *22856:B2 5.41227e-05 +8 *22858:B2 *22856:B2 0.000165521 +9 *22860:B2 *22856:B2 4.60197e-05 +10 *24084:A0 *22856:B2 9.24107e-05 +11 *25078:A *22856:B2 7.48633e-05 +12 *25110:A *22856:B2 5.48616e-05 +13 *569:10 *22856:B2 0.000131716 +14 *5635:20 *22856:B2 1.16368e-05 +*RES +1 *25110:X *22856:B2 40.4817 +*END + +*D_NET *5671 0.137148 +*CONN +*I *24792:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24185:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24184:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24780:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24778:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24777:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24779:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24781:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24776:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24782:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24783:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24181:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24183:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24177:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24180:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24176:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24236:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *21263:A I *D sky130_fd_sc_hd__inv_2 +*I *24178:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24179:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24182:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24757:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24876:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24704:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24796:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24795:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24798:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24794:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24797:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24793:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25111:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24792:RESET_B 3.35568e-05 +2 *24185:RESET_B 0.000201209 +3 *24184:RESET_B 6.25692e-05 +4 *24780:RESET_B 0.000308953 +5 *24778:RESET_B 0.000287501 +6 *24777:RESET_B 0.000115246 +7 *24779:RESET_B 0.000385775 +8 *24781:RESET_B 0.000612473 +9 *24776:RESET_B 0.000553821 +10 *24782:RESET_B 1.76235e-05 +11 *24783:RESET_B 1.76235e-05 +12 *24181:RESET_B 5.12029e-05 +13 *24183:RESET_B 5.68619e-05 +14 *24177:RESET_B 1.76235e-05 +15 *24180:RESET_B 0 +16 *24176:SET_B 0.000149018 +17 *24236:RESET_B 0.000120185 +18 *21263:A 9.2885e-05 +19 *24178:RESET_B 0 +20 *24179:RESET_B 8.3657e-05 +21 *24182:RESET_B 0.000152343 +22 *24757:RESET_B 0.00169315 +23 *24876:RESET_B 0.00119673 +24 *24704:RESET_B 0 +25 *24796:RESET_B 0.000156096 +26 *24795:RESET_B 1.84741e-05 +27 *24798:RESET_B 0.000111762 +28 *24794:RESET_B 7.8584e-05 +29 *24797:RESET_B 5.46022e-05 +30 *24793:RESET_B 0 +31 *25111:X 4.22131e-05 +32 *5671:355 0.000727312 +33 *5671:346 0.0017295 +34 *5671:340 0.00150727 +35 *5671:319 0.00102274 +36 *5671:310 0.0005137 +37 *5671:301 0.000857521 +38 *5671:294 0.00148242 +39 *5671:291 0.0014966 +40 *5671:280 0.000527968 +41 *5671:277 0.000447918 +42 *5671:269 0.000362968 +43 *5671:260 0.000279839 +44 *5671:232 0.000489216 +45 *5671:227 0.000620275 +46 *5671:225 0.000976738 +47 *5671:212 0.000839144 +48 *5671:191 0.000328443 +49 *5671:189 0.000975191 +50 *5671:152 0.00116766 +51 *5671:142 0.00207583 +52 *5671:140 0.00171385 +53 *5671:136 0.00208386 +54 *5671:112 0.0024133 +55 *5671:106 0.00264917 +56 *5671:105 0.00209067 +57 *5671:100 0.00221608 +58 *5671:98 0.00231496 +59 *5671:87 0.00101126 +60 *5671:78 0.000893514 +61 *5671:65 0.000793099 +62 *5671:52 0.000470279 +63 *5671:43 0.000697118 +64 *5671:32 0.00228626 +65 *5671:30 0.00232996 +66 *5671:27 0.00108221 +67 *5671:19 0.0011975 +68 *5671:10 0.00312115 +69 *5671:9 0.00245536 +70 *5671:7 0.00246841 +71 *5671:5 0.00251062 +72 *21263:A *21041:A 6.50727e-05 +73 *24176:SET_B *6011:8 0.000310094 +74 *24176:SET_B *6011:17 3.31733e-05 +75 *24182:RESET_B *6012:17 0.000948384 +76 *24185:RESET_B *23300:B1 2.85274e-05 +77 *24185:RESET_B *6018:11 1.00846e-05 +78 *24236:RESET_B *21041:A 7.77309e-06 +79 *24757:RESET_B *23964:S 2.85274e-05 +80 *24757:RESET_B *5921:63 4.075e-05 +81 *24757:RESET_B *6001:189 8.85525e-05 +82 *24776:RESET_B *5932:13 4.72735e-05 +83 *24778:RESET_B *20193:A1 0.000196623 +84 *24778:RESET_B *5934:9 1.77433e-05 +85 *24779:RESET_B *20189:A1 0 +86 *24779:RESET_B *23958:A1 0 +87 *24780:RESET_B *23960:A1 0 +88 *24780:RESET_B *5934:9 5.81182e-05 +89 *24780:RESET_B *6006:10 0.000167404 +90 *24781:RESET_B *5906:50 0.000417436 +91 *24781:RESET_B *5906:52 0.000267235 +92 *24781:RESET_B *6007:7 2.23124e-05 +93 *24794:RESET_B *5801:77 0.000240345 +94 *24798:RESET_B *20155:B1 5.60664e-05 +95 *24876:RESET_B *19669:A3 3.69591e-05 +96 *5671:7 *25267:A 0.000222836 +97 *5671:7 *25281:A 6.92705e-05 +98 *5671:7 *25283:A 0.000175485 +99 *5671:7 *25285:A 6.92705e-05 +100 *5671:7 *25286:A 0.0002817 +101 *5671:7 *25290:A 0.000175485 +102 *5671:7 *25291:A 6.92705e-05 +103 *5671:7 *25293:A 0.000228593 +104 *5671:7 *25294:A 6.92705e-05 +105 *5671:7 *25295:A 0.000175485 +106 *5671:7 *25299:A 6.50727e-05 +107 *5671:7 *25300:A 0.0002817 +108 *5671:7 *25302:A 0.0002817 +109 *5671:7 *5767:27 0.000273982 +110 *5671:7 *5772:15 0.000217335 +111 *5671:7 *5830:13 1.00846e-05 +112 *5671:7 *5830:17 0.000260029 +113 *5671:10 *19712:A1 5.90632e-05 +114 *5671:10 *24503:RESET_B 5.65971e-05 +115 *5671:10 *25298:A 0 +116 *5671:10 *25299:A 0.00042597 +117 *5671:10 *5870:668 0.000347315 +118 *5671:10 *5917:106 0 +119 *5671:10 *5917:115 0 +120 *5671:27 *21569:A 0.000182356 +121 *5671:27 *24847:SET_B 2.1203e-06 +122 *5671:27 *5806:7 0.000164843 +123 *5671:27 *5917:290 0.000111708 +124 *5671:27 *5917:305 0.000359336 +125 *5671:27 *5917:325 7.2389e-05 +126 *5671:30 *5748:31 0.000849796 +127 *5671:32 *24054:S 0.000178894 +128 *5671:32 *5997:12 6.97131e-05 +129 *5671:32 *5998:18 0.00011318 +130 *5671:43 *20156:B1 3.04443e-05 +131 *5671:43 *24066:A1 0.000718822 +132 *5671:43 *5997:12 6.02603e-05 +133 *5671:43 *5998:18 0.0011132 +134 *5671:43 *5999:7 4.58003e-05 +135 *5671:52 *24059:A1 8.76441e-05 +136 *5671:52 *5997:12 0.000216881 +137 *5671:65 *20155:B1 3.31733e-05 +138 *5671:65 *24059:A1 0.00036408 +139 *5671:65 *5997:12 0.000299338 +140 *5671:78 *20155:B1 4.70104e-05 +141 *5671:78 *5997:12 7.2192e-05 +142 *5671:136 *24381:RESET_B 9.63121e-05 +143 *5671:140 *24381:RESET_B 0.000255259 +144 *5671:142 *20791:B1 5.05252e-05 +145 *5671:142 *20793:B1 0.000142291 +146 *5671:189 *6012:17 0.000160617 +147 *5671:189 *6014:9 5.08824e-05 +148 *5671:191 *6012:17 0.000311261 +149 *5671:212 *20225:C 1.19971e-05 +150 *5671:212 *6014:9 0.000137137 +151 *5671:225 *20225:C 0.000811097 +152 *5671:225 *22066:A 9.22692e-05 +153 *5671:225 *5815:15 0.000313432 +154 *5671:225 *6015:6 3.39313e-06 +155 *5671:227 *5815:15 0.000912063 +156 *5671:232 *21041:A 7.58595e-05 +157 *5671:232 *5815:15 0.000331265 +158 *5671:269 *23300:B1 1.03403e-05 +159 *5671:277 *23300:B1 0.000167189 +160 *5671:280 *6018:28 3.73754e-05 +161 *5671:291 *6018:28 0.000322112 +162 *5671:301 *6001:223 0 +163 *5671:310 *20181:A1 0.000125695 +164 *5671:310 *6001:223 0 +165 *5671:340 *23960:A1 0 +166 *5671:346 *23958:A1 0 +167 pll_bypass *5671:7 0.00016553 +168 pll_trim[10] *5671:7 0.000171288 +169 pll_trim[11] *5671:7 0.000171288 +170 pll_trim[12] *5671:7 0.000171288 +171 pll_trim[13] *5671:7 0.000171288 +172 pll_trim[14] *5671:7 0.000171288 +173 pll_trim[15] *5671:7 0.000171288 +174 pll_trim[16] *5671:7 0.000171288 +175 pll_trim[17] *5671:7 0.000171288 +176 pll_trim[18] *5671:7 0.000171288 +177 pll_trim[19] *5671:7 0.000171288 +178 pll_trim[20] *5671:7 0.000171288 +179 pll_trim[21] *5671:7 0.000171288 +180 pll_trim[22] *5671:7 0.000171288 +181 pll_trim[23] *5671:7 0.000171288 +182 pll_trim[24] *5671:7 0.000171288 +183 pll_trim[25] *5671:7 0.00011818 +184 pll_trim[6] *5671:7 0.000171288 +185 pll_trim[7] *5671:7 0.000171288 +186 pll_trim[8] *5671:7 0.000171288 +187 pll_trim[9] *5671:7 0.000171288 +188 *19574:A1 *5671:43 5.30033e-05 +189 *20186:A *5671:340 3.12044e-05 +190 *20187:A0 *24780:RESET_B 0 +191 *20192:A *24778:RESET_B 6.81008e-05 +192 *20195:S *24781:RESET_B 6.08467e-05 +193 *20220:B2 *5671:19 0.000523693 +194 *20305:A2 *24176:SET_B 0.000351993 +195 *20793:A2 *5671:142 0.000526206 +196 *20793:B2 *5671:142 0 +197 *21055:B *24236:RESET_B 9.24241e-05 +198 *21056:A *24176:SET_B 2.21765e-05 +199 *21158:A *5671:32 0.000143032 +200 *21950:A *5671:19 0.000245645 +201 *22067:B *21263:A 7.72175e-05 +202 *22118:B *5671:232 0 +203 *22848:B *5671:294 0.000470585 +204 *23043:B1 *5671:106 0.00012908 +205 *23159:A1 *5671:100 5.84166e-05 +206 *23162:A *5671:100 7.3551e-05 +207 *23163:A *5671:100 0.000724611 +208 *23270:A *5671:100 0.000132805 +209 *23387:A *5671:106 0.000369625 +210 *23443:B *5671:106 5.05252e-05 +211 *23484:A *5671:100 0.000129602 +212 *23488:B *5671:106 9.82479e-06 +213 *23540:C_N *5671:112 1.82679e-05 +214 *23587:B *5671:106 2.44897e-05 +215 *23604:A *5671:152 3.04407e-05 +216 *23605:A *5671:142 3.32227e-05 +217 *23605:A *5671:152 0.000423218 +218 *23748:B *5671:136 0.00022468 +219 *23805:B *5671:136 3.85678e-05 +220 *23805:C *5671:136 4.83435e-05 +221 *23825:D *5671:112 0.000334125 +222 *23929:A *5671:225 0 +223 *23929:A *5671:260 0.000216103 +224 *23929:A *5671:269 0.000325932 +225 *23960:A0 *24779:RESET_B 0 +226 *24086:A1 *5671:152 0.000122083 +227 *24087:A0 *5671:142 0.000116971 +228 *24175:SET_B *5671:32 4.36424e-05 +229 *24184:D *5671:291 0 +230 *24184:CLK *5671:294 0.000144178 +231 *24185:CLK *5671:225 0.000103023 +232 *24185:CLK *5671:227 0.000295177 +233 *24236:D *24236:RESET_B 0.000156734 +234 *24236:CLK *24236:RESET_B 9.18811e-05 +235 *24236:CLK *5671:232 2.22198e-05 +236 *24426:D *5671:152 5.88662e-05 +237 *24506:CLK *5671:19 0.000408915 +238 *24776:D *24776:RESET_B 6.46905e-05 +239 *24776:CLK *24776:RESET_B 0.000753536 +240 *24780:CLK *24779:RESET_B 0 +241 *24780:CLK *5671:346 0 +242 *24794:D *24794:RESET_B 8.54603e-05 +243 *24796:D *5671:87 4.17528e-05 +244 *24797:D *24797:RESET_B 0.000155667 +245 *24797:D *5671:43 0.000211492 +246 *24855:D *5671:10 0.000122083 +247 *24858:CLK *5671:10 0.000460732 +248 *24942:A *24179:RESET_B 2.09495e-05 +249 *24942:A *5671:212 0 +250 *24942:A *5671:225 0 +251 *25111:A *5671:7 0.000175485 +252 *460:39 *5671:87 8.1127e-05 +253 *460:39 *5671:98 0.000130215 +254 *493:10 *5671:32 0.00239595 +255 *566:15 *5671:310 4.06642e-05 +256 *566:106 *5671:301 0.000312633 +257 *566:106 *5671:310 3.46062e-05 +258 *566:120 *5671:142 0 +259 *566:124 *5671:142 1.23028e-05 +260 *576:11 *5671:152 0.000717344 +261 *657:228 *24236:RESET_B 0 +262 *1455:99 *5671:100 0.000474807 +263 *1459:52 *24792:RESET_B 2.37478e-05 +264 *1459:52 *5671:78 4.68869e-05 +265 *1459:52 *5671:87 0.000225946 +266 *1459:52 *5671:98 0.000277072 +267 *1459:52 *5671:100 0.000401932 +268 *1467:134 *24796:RESET_B 7.11343e-06 +269 *1469:50 *5671:30 0.000476668 +270 *1476:10 *24176:SET_B 4.58666e-05 +271 *1483:60 *5671:78 0.000477461 +272 *1483:60 *5671:87 3.43813e-05 +273 *1483:65 *5671:78 5.86541e-05 +274 *1483:123 *24876:RESET_B 0.000173729 +275 *1483:123 *5671:152 0.000255677 +276 *1483:137 *5671:189 0 +277 *1483:139 *24179:RESET_B 3.1218e-05 +278 *1483:139 *5671:225 0 +279 *1483:139 *5671:260 9.14346e-05 +280 *1483:139 *5671:269 0.000133466 +281 *1485:84 *5671:32 0.000144929 +282 *1485:115 *5671:87 0 +283 *1500:26 *5671:10 0 +284 *1502:49 *5671:19 7.36804e-06 +285 *1668:41 *5671:10 0.000919206 +286 *1697:10 *5671:10 0.000173703 +287 *1744:108 *5671:32 0.000298752 +288 *1744:110 *5671:32 0.000613596 +289 *1760:8 *5671:19 0.000158641 +290 *1809:35 *5671:106 0.000278469 +291 *1829:90 *5671:142 0.000307498 +292 *1872:20 *24781:RESET_B 9.04083e-05 +293 *1872:20 *5671:310 0.000853165 +294 *1872:20 *5671:319 6.79599e-05 +295 *1872:31 *24781:RESET_B 0.000144531 +296 *1872:43 *24781:RESET_B 2.95757e-05 +297 *1872:47 *5671:346 0.000255574 +298 *1889:16 *5671:19 0.00012717 +299 *1915:7 *5671:227 7.92757e-06 +300 *1915:7 *5671:232 2.41274e-06 +301 *1947:89 *5671:136 6.45209e-05 +302 *2079:47 *5671:142 1.2366e-05 +303 *2080:36 *5671:142 0 +304 *2182:10 *24236:RESET_B 9.24241e-05 +305 *2194:12 *24778:RESET_B 0 +306 *2194:15 *21263:A 0.000423922 +307 *2284:22 *5671:78 0.000439718 +308 *2284:22 *5671:87 7.83442e-05 +309 *2327:5 *21263:A 3.14978e-05 +310 *2445:10 *5671:10 0 +311 *2523:31 *24876:RESET_B 0.000650044 +312 *2523:31 *5671:152 0.00098015 +313 *2523:35 *5671:142 0.00169728 +314 *2523:35 *5671:152 2.57594e-05 +315 *2523:38 *5671:142 5.42506e-06 +316 *2554:35 *5671:140 0.000152961 +317 *2561:25 *5671:27 0.000128926 +318 *2603:59 *24798:RESET_B 7.92757e-06 +319 *2603:59 *5671:100 0.000100822 +320 *2619:5 *5671:19 0.00135129 +321 *2623:16 *5671:30 3.57291e-06 +322 *2623:16 *5671:32 0 +323 *2624:33 *5671:136 8.72285e-06 +324 *2624:33 *5671:140 0.00111961 +325 *2632:19 *5671:19 0.000456031 +326 *2632:19 *5671:27 0.00201593 +327 *2803:33 *5671:30 0 +328 *2803:33 *5671:32 8.80281e-05 +329 *2838:17 *5671:19 0.00033233 +330 *2963:64 *5671:140 1.03607e-05 +331 *2963:64 *5671:142 5.6701e-05 +332 *2964:10 *5671:19 0.000165455 +333 *3014:39 *5671:19 9.54946e-05 +334 *3081:38 *5671:280 8.39222e-06 +335 *3081:38 *5671:291 0.000181028 +336 *3089:54 *5671:189 0.00026635 +337 *3089:86 *5671:280 0.000144531 +338 *3373:15 *5671:136 0.000134763 +339 *3781:68 *5671:106 9.65596e-05 +340 *3781:68 *5671:112 0.00202264 +341 *3791:108 *5671:106 3.06917e-06 +342 *3860:15 *24183:RESET_B 1.47051e-05 +343 *3879:25 *5671:106 0.000202253 +344 *3880:8 *5671:106 0.000120681 +345 *3974:219 *5671:106 0.000201904 +346 *3999:35 *5671:106 0.000571843 +347 *4003:29 *24185:RESET_B 0.000102003 +348 *4003:29 *5671:269 6.08467e-05 +349 *4003:29 *5671:277 0.000704618 +350 *4003:34 *24185:RESET_B 0.000591504 +351 *4008:43 *5671:106 8.62625e-06 +352 *4008:75 *5671:100 0.000355111 +353 *4008:81 *5671:100 9.75458e-05 +354 *4009:47 *5671:106 0.000656359 +355 *4009:72 *5671:106 0.000230982 +356 *4077:34 *5671:112 0.000690459 +357 *4077:36 *5671:112 6.02441e-05 +358 *4077:43 *5671:112 7.20397e-05 +359 *4106:47 *24757:RESET_B 4.58102e-06 +360 *4137:106 *5671:232 2.65667e-05 +361 *4190:15 *5671:106 0.000967493 +362 *4190:15 *5671:112 0.000357928 +363 *4190:21 *5671:112 0.000114955 +364 *4193:14 *5671:136 2.27135e-05 +365 *4289:11 *5671:98 0.000154856 +366 *4289:11 *5671:100 0.00108741 +367 *4298:8 *5671:106 0.000133047 +368 *4298:10 *5671:106 0.000695356 +369 *4374:32 *24185:RESET_B 0.00061755 +370 *4374:32 *5671:280 0 +371 *4392:20 *5671:106 0.000212502 +372 *4396:23 *5671:106 0.000176202 +373 *4416:14 *5671:112 1.2693e-05 +374 *4491:8 *5671:100 0.000114679 +375 *4491:28 *5671:100 0.000234666 +376 *4492:8 *5671:106 1.77302e-05 +377 *4495:48 *5671:136 1.91391e-05 +378 *4575:13 *5671:106 0.000707427 +379 *4577:17 *5671:136 0.000175003 +380 *4650:6 *5671:100 0.000247679 +381 *4664:39 *5671:142 0.00166498 +382 *4665:10 *5671:106 0.000140276 +383 *4665:25 *5671:106 0.000380883 +384 *4665:68 *5671:189 0.000878043 +385 *4665:68 *5671:212 1.26681e-05 +386 *4693:15 *5671:100 0.000504353 +387 *4693:35 *5671:112 0 +388 *4739:51 *5671:136 0.00194747 +389 *4742:8 *5671:106 0.000103002 +390 *4751:13 *5671:105 0.000500062 +391 *4792:11 *24780:RESET_B 0 +392 *4792:11 *5671:340 0 +393 *4796:9 *24781:RESET_B 0.000103493 +394 *4796:9 *5671:310 0.000324378 +395 *4796:9 *5671:319 2.99929e-05 +396 *4797:18 *5671:140 0.000140801 +397 *4797:22 *5671:140 5.43977e-05 +398 *4802:18 *5671:65 1.91246e-05 +399 *4803:12 *5671:100 0.000135736 +400 *4838:11 *24776:RESET_B 0.000310589 +401 *4845:10 *24181:RESET_B 3.6452e-05 +402 *4845:10 *5671:301 0.000200132 +403 *4862:19 *5671:142 0 +404 *4874:20 *24179:RESET_B 0.000101133 +405 *4874:20 *24757:RESET_B 0.000370798 +406 *4874:20 *5671:189 0 +407 *4874:29 *24182:RESET_B 0.000864813 +408 *4874:29 *5671:191 4.66492e-05 +409 *4878:59 *5671:87 1.37145e-05 +410 *4881:78 *24181:RESET_B 9.76858e-05 +411 *4881:78 *5671:301 6.43474e-05 +412 *4881:169 *5671:189 2.21184e-05 +413 *4925:37 *5671:10 0.000145853 +414 *4925:39 *5671:10 0.000171545 +415 *4925:41 *5671:10 0.000316272 +416 *5097:8 *5671:10 3.98412e-05 +*RES +1 *25111:X *5671:5 10.2378 +2 *5671:5 *5671:7 87.7962 +3 *5671:7 *5671:9 4.5 +4 *5671:9 *5671:10 74.0859 +5 *5671:10 *5671:19 46.3093 +6 *5671:19 *5671:27 39.3459 +7 *5671:27 *5671:30 17.8681 +8 *5671:30 *5671:32 62.2512 +9 *5671:32 *24793:RESET_B 4.5 +10 *5671:32 *5671:43 27.569 +11 *5671:43 *24797:RESET_B 1.74821 +12 *5671:43 *5671:52 8.82351 +13 *5671:52 *24794:RESET_B 7.35741 +14 *5671:52 *5671:65 9.79507 +15 *5671:65 *24798:RESET_B 6.80281 +16 *5671:65 *5671:78 13.9476 +17 *5671:78 *24795:RESET_B 4.99432 +18 *5671:78 *5671:87 12.2133 +19 *5671:87 *24796:RESET_B 6.82692 +20 *5671:87 *5671:98 12.8362 +21 *5671:98 *5671:100 68.48 +22 *5671:100 *5671:105 14.7148 +23 *5671:105 *5671:106 69.9334 +24 *5671:106 *5671:112 47.4064 +25 *5671:112 *5671:136 33.7099 +26 *5671:136 *5671:140 22.2282 +27 *5671:140 *5671:142 53.3477 +28 *5671:142 *5671:152 45.7316 +29 *5671:152 *24704:RESET_B 4.5 +30 *5671:152 *24876:RESET_B 15.8828 +31 *24876:RESET_B *24757:RESET_B 35.9401 +32 *24757:RESET_B *5671:189 30.9133 +33 *5671:189 *5671:191 3.49641 +34 *5671:191 *24182:RESET_B 10.6219 +35 *5671:191 *24179:RESET_B 11.7416 +36 *5671:189 *5671:212 9.14105 +37 *5671:212 *24178:RESET_B 4.5 +38 *5671:212 *5671:225 26.2431 +39 *5671:225 *5671:227 10.1517 +40 *5671:227 *5671:232 12.738 +41 *5671:232 *21263:A 18.9335 +42 *5671:232 *24236:RESET_B 9.32596 +43 *5671:227 *24176:SET_B 16.6455 +44 *5671:225 *5671:260 8.40826 +45 *5671:260 *24180:RESET_B 4.5 +46 *5671:260 *5671:269 11.2079 +47 *5671:269 *24177:RESET_B 0.494321 +48 *5671:269 *5671:277 7.93324 +49 *5671:277 *5671:280 7.57775 +50 *5671:280 *24183:RESET_B 5.6936 +51 *5671:280 *5671:291 17.5438 +52 *5671:291 *5671:294 20.7523 +53 *5671:294 *24181:RESET_B 6.332 +54 *5671:294 *5671:301 13.4591 +55 *5671:301 *24783:RESET_B 4.99432 +56 *5671:301 *5671:310 18.8573 +57 *5671:310 *24782:RESET_B 4.99432 +58 *5671:310 *5671:319 1.41674 +59 *5671:319 *24776:RESET_B 17.5332 +60 *5671:319 *24781:RESET_B 20.4152 +61 *24781:RESET_B *5671:340 8.82351 +62 *5671:340 *5671:346 25.4205 +63 *5671:346 *24779:RESET_B 13.3913 +64 *5671:346 *5671:355 11.324 +65 *5671:355 *24777:RESET_B 2.30281 +66 *5671:355 *24778:RESET_B 17.0086 +67 *5671:340 *24780:RESET_B 13.066 +68 *5671:291 *24184:RESET_B 1.21772 +69 *5671:277 *24185:RESET_B 21.3849 +70 *5671:98 *24792:RESET_B 5.61141 +*END + +*D_NET *5672 0.0083798 +*CONN +*I *20176:B I *D sky130_fd_sc_hd__and2_1 +*I *25112:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20176:B 4.59579e-05 +2 *25112:X 0.000694301 +3 *5672:11 0.000877249 +4 *5672:8 0.00152559 +5 *20176:B *20176:A 0.000154145 +6 *20176:B *5932:13 1.47499e-05 +7 *5672:8 *5673:9 0 +8 *5672:8 *5868:761 7.05251e-05 +9 *5672:11 *5932:13 0.00196294 +10 *20402:A2 *5672:8 0.000388247 +11 *20558:B1 *5672:11 3.82228e-05 +12 *24596:D *5672:8 5.88662e-05 +13 *24598:CLK *5672:11 0.000639942 +14 *1707:8 *5672:8 4.70005e-05 +15 *1945:64 *5672:8 0.000353492 +16 *4834:8 *5672:8 0.000123132 +17 *4838:13 *20176:B 0.000238032 +18 *4838:13 *5672:11 0.00061991 +19 *4843:21 *5672:11 0.000527498 +*RES +1 *25112:X *5672:8 40.4285 +2 *5672:8 *5672:11 48.7207 +3 *5672:11 *20176:B 11.9137 +*END + +*D_NET *5673 0.0102249 +*CONN +*I *20175:B I *D sky130_fd_sc_hd__and2_1 +*I *25113:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *20175:B 0 +2 *25113:X 0.00127198 +3 *5673:19 0.00302543 +4 *5673:9 0.00429742 +5 *5673:9 *5868:761 6.72681e-05 +6 *5673:19 *24638:SET_B 1.75637e-06 +7 *5673:19 *5868:494 0.000621804 +8 *5673:19 *5868:697 5.04054e-06 +9 *20468:B2 *5673:9 0 +10 *24638:D *5673:9 0.000217937 +11 *24638:D *5673:19 0.000185642 +12 *24804:CLK *5673:19 6.28675e-05 +13 *25117:A *5673:9 0 +14 *1619:8 *5673:9 0 +15 *1707:8 *5673:9 0 +16 *4842:21 *5673:19 0.00011295 +17 *4842:124 *5673:19 0.0002036 +18 *5207:8 *5673:9 9.58242e-05 +19 *5209:8 *5673:9 5.53934e-05 +20 *5672:8 *5673:9 0 +*RES +1 *25113:X *5673:9 49.7412 +2 *5673:9 *5673:19 49.0704 +3 *5673:19 *20175:B 9.24915 +*END + +*D_NET *5674 0.0676599 +*CONN +*I *6115:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23948:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *6114:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25114:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6115:DIODE 1.25928e-05 +2 *23948:A1 0.000348799 +3 *6114:DIODE 0 +4 *25114:X 0.00046041 +5 *5674:60 0.000433814 +6 *5674:59 8.50147e-05 +7 *5674:57 0.000120358 +8 *5674:51 0.000214339 +9 *5674:45 0.00739493 +10 *5674:34 0.00832797 +11 *5674:19 0.00391621 +12 *5674:15 0.00380395 +13 *5674:11 0.00138777 +14 *5674:11 *5785:6 0.000381058 +15 *5674:34 *24523:RESET_B 0.00018698 +16 *5674:34 *5854:139 0.000760304 +17 *5674:45 *21064:B1 9.98361e-05 +18 *5674:45 *5854:139 0.000273266 +19 *5674:45 *5854:140 0.000245414 +20 *5674:45 *5859:258 0.00168806 +21 *20158:A1 *5674:34 0 +22 *21531:C1 *5674:45 2.92198e-05 +23 *21689:B1 *5674:45 6.9945e-05 +24 *21690:A1 *5674:45 3.60207e-05 +25 *21881:B1 *5674:45 0.00279527 +26 *22429:B1 *5674:45 0.000494493 +27 *22779:D *5674:45 0.000232206 +28 *23253:C *5674:15 8.82817e-05 +29 *23579:A1 *5674:15 0.000801436 +30 *23579:A1 *5674:19 2.64182e-05 +31 *23619:C1 *5674:11 4.97617e-05 +32 *24929:A *5674:51 2.78736e-05 +33 *494:36 *23948:A1 7.92757e-06 +34 *494:44 *6115:DIODE 4.84599e-05 +35 *494:44 *23948:A1 4.58529e-05 +36 *494:44 *5674:57 0.000445099 +37 *545:23 *5674:45 0.00149783 +38 *549:23 *5674:45 0 +39 *657:146 *5674:11 0.000377476 +40 *1448:222 *5674:34 1.38521e-05 +41 *1455:82 *5674:11 0.00025175 +42 *1645:49 *5674:45 1.02138e-05 +43 *1651:52 *5674:45 1.43499e-05 +44 *1660:27 *5674:45 0.000373926 +45 *1707:43 *5674:34 4.82618e-05 +46 *1732:92 *5674:45 0.000422004 +47 *1757:106 *5674:45 0.00537719 +48 *1763:14 *5674:34 3.39118e-05 +49 *2000:8 *5674:34 0.000487009 +50 *2000:17 *5674:34 0.000396149 +51 *2368:19 *5674:45 2.65831e-05 +52 *2392:24 *23948:A1 0.000160859 +53 *2561:41 *5674:19 0.00126374 +54 *2563:17 *5674:45 0.000268569 +55 *2563:20 *5674:34 8.46749e-05 +56 *2563:20 *5674:45 0.000719613 +57 *2576:57 *5674:51 7.48886e-05 +58 *2815:32 *5674:45 5.60804e-05 +59 *2905:17 *5674:45 6.45323e-05 +60 *2955:43 *5674:45 0.00581712 +61 *3164:15 *5674:45 0.00886626 +62 *3164:19 *5674:45 0.000107413 +63 *3168:29 *5674:45 1.38304e-05 +64 *3411:16 *5674:45 0 +65 *3496:13 *6115:DIODE 6.17774e-05 +66 *3496:13 *5674:51 0.000107496 +67 *3496:13 *5674:57 0.000491748 +68 *3498:64 *5674:45 4.97935e-05 +69 *3702:20 *5674:45 0.00138465 +70 *3860:138 *5674:11 8.37648e-05 +71 *3874:115 *5674:19 8.5308e-05 +72 *4106:214 *5674:15 0.000445416 +73 *4258:9 *5674:11 6.11872e-05 +74 *4597:29 *5674:15 0.000201463 +75 *4798:53 *5674:34 0.000619503 +76 *4798:56 *5674:45 9.34985e-06 +77 *4868:14 *5674:51 4.30017e-06 +78 *4936:8 *5674:34 0.000887941 +79 *5455:19 *5674:11 0.000304315 +80 *5455:19 *5674:15 0.000201628 +81 *5455:23 *5674:11 0.000298399 +82 *5475:59 *5674:34 0.000194461 +*RES +1 *25114:X *5674:11 36.7537 +2 *5674:11 *5674:15 26.3798 +3 *5674:15 *5674:19 45.7095 +4 *5674:19 *5674:34 41.4112 +5 *5674:34 *5674:45 49.258 +6 *5674:45 *5674:51 10.7712 +7 *5674:51 *6114:DIODE 9.24915 +8 *5674:51 *5674:57 5.71483 +9 *5674:57 *5674:59 9.24915 +10 *5674:59 *5674:60 104.301 +11 *5674:60 *23948:A1 23.6585 +12 *5674:57 *6115:DIODE 9.97254 +*END + +*D_NET *5675 0.00142888 +*CONN +*I *19938:A I *D sky130_fd_sc_hd__inv_2 +*I *25115:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19938:A 0.000551574 +2 *25115:X 0.000551574 +3 *19938:A *21948:A 7.62923e-05 +4 *21142:B1 *19938:A 7.77309e-06 +5 *24196:CLK *19938:A 8.39698e-05 +6 *2290:10 *19938:A 0.000144531 +7 *2962:7 *19938:A 1.31657e-05 +*RES +1 *25115:X *19938:A 40.3873 +*END + +*D_NET *5676 0.0157818 +*CONN +*I *22850:A I *D sky130_fd_sc_hd__and3_1 +*I *20173:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *25116:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *22850:A 0 +2 *20173:A1 0.00034086 +3 *25116:X 0 +4 *5676:23 0.00173966 +5 *5676:7 0.00216117 +6 *5676:4 0.000762375 +7 *20173:A1 *20173:A2 0.000115934 +8 *20173:A1 *5898:273 0.000160384 +9 *20173:A1 *6019:52 0.000122083 +10 *5676:23 *20174:A2 7.50872e-05 +11 *5676:23 *22850:C 7.93303e-06 +12 *5676:23 *22851:A 6.50586e-05 +13 *5676:23 *22851:C 5.69598e-05 +14 *5676:23 *22866:B1 4.73288e-05 +15 *5676:23 *5677:16 0.000588092 +16 *5676:23 *5678:18 0.00101087 +17 *5676:23 *5678:26 0.000173656 +18 *5676:23 *5934:24 1.66626e-05 +19 *5676:23 *6018:49 0 +20 *5676:23 *6019:88 3.63683e-05 +21 *5676:23 *6020:70 0.000411006 +22 *20174:B1 *20173:A1 2.41483e-05 +23 *22854:A2 *5676:23 0.00142044 +24 *22859:B *5676:23 6.40466e-05 +25 *22862:B2 *5676:23 0.000104509 +26 *22862:C1 *5676:23 8.8847e-05 +27 *22863:B *5676:7 2.65831e-05 +28 *22868:C1 *5676:23 0.000499047 +29 *25087:A *5676:7 6.50727e-05 +30 *25089:A *5676:7 0.000175485 +31 *25090:A *5676:7 0.000169041 +32 *25091:A *5676:7 0.000175485 +33 *25093:A *5676:7 0.000175485 +34 *25094:A *5676:7 0.000175485 +35 *25095:A *5676:7 0.0002817 +36 *25096:A *5676:7 0.000122378 +37 *25097:A *5676:7 0.000175485 +38 *25098:A *5676:7 0.000175485 +39 *25099:A *5676:7 0.000175485 +40 *25101:A *5676:7 0.000175485 +41 *25102:A *5676:7 0.000175485 +42 *25112:A *5676:7 0.000175485 +43 *25113:A *5676:7 0.000175485 +44 *25116:A *5676:7 6.92705e-05 +45 *485:12 *20173:A1 8.12737e-05 +46 *1868:11 *5676:23 0 +47 *3774:16 *5676:23 9.6321e-06 +48 *4842:9 *20173:A1 2.59533e-05 +49 *4843:18 *5676:23 2.04806e-05 +50 *4844:13 *20173:A1 1.09551e-05 +51 *4844:19 *20173:A1 0.000164815 +52 *5635:12 *5676:7 0.00212982 +53 *5655:7 *5676:7 4.17281e-05 +54 *5656:13 *5676:7 0.000219769 +55 *5656:26 *5676:23 1.75264e-05 +56 *5657:12 *5676:23 4.04039e-05 +57 *5658:13 *5676:7 0.000262482 +*RES +1 *25116:X *5676:4 9.24915 +2 *5676:4 *5676:7 47.9279 +3 *5676:7 *5676:23 49.528 +4 *5676:23 *20173:A1 28.4776 +5 *5676:23 *22850:A 9.24915 +*END + +*D_NET *5677 0.0145871 +*CONN +*I *22851:B I *D sky130_fd_sc_hd__and3_1 +*I *20174:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *25117:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *22851:B 0 +2 *20174:A2 0.000300921 +3 *25117:X 2.06324e-05 +4 *5677:16 0.00133709 +5 *5677:7 0.00197123 +6 *5677:5 0.000955693 +7 *20174:A2 *5678:30 8.62625e-06 +8 *20174:A2 *5678:32 9.24241e-05 +9 *20174:A2 *5678:37 0.000713638 +10 *20174:A2 *6020:53 0.000196141 +11 *5677:7 *5678:9 0.00426364 +12 *5677:16 *5678:30 0.00013592 +13 *5677:16 *6018:49 0 +14 *20177:A *5677:16 5.01524e-05 +15 *22852:C1 *20174:A2 5.04879e-05 +16 *22857:B *5677:16 0 +17 *22864:B2 *5677:16 1.34293e-05 +18 *22865:B *5677:7 7.65728e-05 +19 *22866:C1 *5677:16 0.000265409 +20 *25117:A *5677:7 6.50727e-05 +21 *572:15 *5677:16 0.000170749 +22 *573:16 *5677:16 0 +23 *574:17 *5677:16 5.38612e-06 +24 *3773:11 *5677:16 0.000366157 +25 *4844:19 *20174:A2 0.000160446 +26 *5656:26 *5677:16 0.000175584 +27 *5657:12 *5677:7 0.0019276 +28 *5661:17 *5677:16 0.000171456 +29 *5662:13 *5677:7 0.000429469 +30 *5676:23 *20174:A2 7.50872e-05 +31 *5676:23 *5677:16 0.000588092 +*RES +1 *25117:X *5677:5 9.82786 +2 *5677:5 *5677:7 52.3015 +3 *5677:7 *5677:16 49.0453 +4 *5677:16 *20174:A2 26.4155 +5 *5677:16 *22851:B 13.7491 +*END + +*D_NET *5678 0.0217867 +*CONN +*I *20176:A I *D sky130_fd_sc_hd__and2_1 +*I *20175:A I *D sky130_fd_sc_hd__and2_1 +*I *22850:B I *D sky130_fd_sc_hd__and3_1 +*I *22851:A I *D sky130_fd_sc_hd__and3_1 +*I *20173:A2 I *D sky130_fd_sc_hd__a21oi_1 +*I *20174:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *25118:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20176:A 5.87811e-05 +2 *20175:A 0 +3 *22850:B 0.000135727 +4 *22851:A 2.59387e-05 +5 *20173:A2 4.84045e-05 +6 *20174:A1 0 +7 *25118:X 5.748e-05 +8 *5678:37 0.000421794 +9 *5678:32 0.000456711 +10 *5678:30 0.000344086 +11 *5678:26 0.00028646 +12 *5678:18 0.00119632 +13 *5678:9 0.00436103 +14 *5678:8 0.00341645 +15 *20176:A *5932:13 0.000108054 +16 *5678:18 *22866:A1 1.28646e-05 +17 *5678:30 *6018:49 3.0079e-05 +18 *5678:32 *6018:49 1.86035e-05 +19 *5678:37 *6018:38 0 +20 *5678:37 *6018:49 8.92568e-06 +21 *5678:37 *6020:53 7.77309e-06 +22 *19984:A *5678:9 0.000325328 +23 *20173:A1 *20173:A2 0.000115934 +24 *20174:A2 *5678:30 8.62625e-06 +25 *20174:A2 *5678:32 9.24241e-05 +26 *20174:A2 *5678:37 0.000713638 +27 *20174:B1 *20173:A2 6.50586e-05 +28 *20176:B *20176:A 0.000154145 +29 *20177:A *5678:30 1.07248e-05 +30 *20179:B *20173:A2 2.31097e-05 +31 *21964:A *5678:8 0 +32 *22852:B1 *5678:37 3.77804e-05 +33 *22859:B *5678:18 1.95785e-05 +34 *22861:B *5678:18 3.30567e-05 +35 *22862:C1 *5678:18 0.00143184 +36 *22866:B2 *5678:18 7.83498e-05 +37 *22868:C1 *5678:18 0.00137116 +38 *25038:A *5678:8 3.00073e-05 +39 *4838:13 *20176:A 4.56667e-05 +40 *4842:9 *22850:B 0.000217937 +41 *4843:18 *5678:26 0.000123582 +42 *4843:18 *5678:30 5.97576e-05 +43 *4844:19 *20173:A2 2.41483e-05 +44 *4844:19 *5678:37 7.6719e-06 +45 *5635:20 *5678:9 0.000101027 +46 *5656:26 *5678:18 0 +47 *5657:12 *5678:18 5.15155e-05 +48 *5661:17 *5678:18 0 +49 *5676:23 *22851:A 6.50586e-05 +50 *5676:23 *5678:18 0.00101087 +51 *5676:23 *5678:26 0.000173656 +52 *5677:7 *5678:9 0.00426364 +53 *5677:16 *5678:30 0.00013592 +*RES +1 *25118:X *5678:8 19.6659 +2 *5678:8 *5678:9 58.4022 +3 *5678:9 *5678:18 34.3043 +4 *5678:18 *5678:26 8.89679 +5 *5678:26 *5678:30 8.9951 +6 *5678:30 *5678:32 1.832 +7 *5678:32 *5678:37 15.9206 +8 *5678:37 *20174:A1 9.24915 +9 *5678:37 *20173:A2 11.6605 +10 *5678:32 *22851:A 14.4725 +11 *5678:30 *22850:B 16.1364 +12 *5678:26 *20175:A 9.24915 +13 *5678:18 *20176:A 16.691 +*END + +*D_NET *5679 0.000558003 +*CONN +*I *25207:A I *D sky130_fd_sc_hd__buf_2 +*I *23923:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25207:A 6.75315e-05 +2 *23923:X 6.75315e-05 +3 *25207:A *23922:A 0.000208746 +4 *23476:B *25207:A 0 +5 *23923:A *25207:A 0.000214194 +*RES +1 *23923:X *25207:A 21.8741 +*END + +*D_NET *5680 0.0196432 +*CONN +*I *19996:A I *D sky130_fd_sc_hd__inv_2 +*I *25208:A I *D sky130_fd_sc_hd__buf_2 +*I *19635:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *24888:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *19996:A 1.48314e-05 +2 *25208:A 0.000127327 +3 *19635:A1 0 +4 *24888:Q 9.50111e-05 +5 *5680:30 0.00293138 +6 *5680:29 0.00414215 +7 *5680:23 0.00172072 +8 *5680:18 0.000596882 +9 *5680:8 0.000294433 +10 *5680:29 *20562:B1 3.55126e-05 +11 *5680:29 *5801:91 0.000544589 +12 *5680:29 *5851:111 4.20506e-05 +13 *5680:30 *6116:DIODE 5.20546e-06 +14 *5680:30 *5681:29 0.000100968 +15 *20562:A1 *5680:29 4.58529e-05 +16 *20562:A2 *5680:29 6.08467e-05 +17 *20565:A2 *5680:29 0.000298399 +18 *22981:A *5680:30 8.31378e-05 +19 *23164:A *5680:29 0.000164193 +20 *23164:B *5680:29 1.58551e-05 +21 *23277:A *5680:29 0.000111722 +22 *23539:A1 *5680:30 1.15389e-05 +23 *23670:A *5680:30 4.07473e-05 +24 *23670:B *5680:30 0.000729001 +25 *23670:C *5680:30 0.000154145 +26 *23670:D *5680:30 0.000547471 +27 *23854:C1 *5680:30 2.16355e-05 +28 *24888:CLK *5680:8 6.3657e-05 +29 *1455:99 *5680:30 0.000154145 +30 *1462:30 *19996:A 6.08467e-05 +31 *1462:30 *5680:18 0.000154145 +32 *1467:184 *5680:8 0.000153956 +33 *1467:184 *5680:18 0.000375226 +34 *1947:159 *5680:23 0.000907221 +35 *2943:68 *5680:23 0.000907221 +36 *3002:46 *19996:A 1.88014e-05 +37 *3002:46 *5680:18 5.8973e-05 +38 *3671:67 *5680:8 0.000153956 +39 *3671:67 *5680:18 0.000209511 +40 *3860:191 *5680:30 4.5841e-05 +41 *3891:5 *5680:30 0.000112904 +42 *3891:96 *5680:30 0.000171749 +43 *3895:26 *5680:30 0.000306993 +44 *3999:61 *5680:30 0.000544589 +45 *4001:164 *5680:29 0.000119335 +46 *4019:19 *5680:30 0.000142758 +47 *4019:26 *5680:30 0.000298399 +48 *4183:8 *5680:30 0.000107496 +49 *4392:20 *5680:30 0.000107496 +50 *4446:15 *5680:30 0.000107496 +51 *4567:51 *5680:30 0.000205561 +52 *4574:23 *5680:30 0.000237552 +53 *4601:14 *5680:29 0.000118485 +54 *4677:11 *25208:A 0.000101489 +55 *4751:10 *5680:30 0.000353561 +56 *4870:116 *5680:8 6.08467e-05 +57 *5456:50 *25208:A 0.000347371 +*RES +1 *24888:Q *5680:8 17.5503 +2 *5680:8 *19635:A1 13.7491 +3 *5680:8 *5680:18 13.1476 +4 *5680:18 *5680:23 26.1963 +5 *5680:23 *5680:29 39.7008 +6 *5680:29 *5680:30 68.9396 +7 *5680:30 *25208:A 24.2337 +8 *5680:18 *19996:A 9.97254 +*END + +*D_NET *5681 0.0228677 +*CONN +*I *25209:A I *D sky130_fd_sc_hd__buf_2 +*I *6116:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23924:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *25209:A 3.5247e-05 +2 *6116:DIODE 5.56756e-05 +3 *23924:Y 0.00106541 +4 *5681:29 0.0023916 +5 *5681:25 0.00423939 +6 *5681:19 0.00302263 +7 *5681:7 0.00214933 +8 *5681:7 *20486:B1 0.000298046 +9 *5681:19 *24558:RESET_B 3.62009e-05 +10 *5681:19 *5856:183 0.000119727 +11 *5681:19 *5858:112 0.000130613 +12 *5681:19 *5858:128 6.2595e-05 +13 *5681:19 *5860:696 0.00016597 +14 *19812:B *5681:7 5.37205e-05 +15 *20524:A1 *5681:19 2.04806e-05 +16 *20559:B *5681:19 0.000280358 +17 *21465:A *5681:19 6.03102e-05 +18 *22981:A *5681:29 7.89747e-05 +19 *23666:A1 *5681:25 2.57986e-05 +20 *23666:A2 *5681:25 4.45999e-05 +21 *23666:B1 *5681:25 1.58551e-05 +22 *23666:C1 *5681:25 0.000128736 +23 *24558:D *5681:19 2.97488e-05 +24 *24558:D *5681:25 0.000154145 +25 *1442:90 *5681:7 0.000110297 +26 *1501:76 *5681:7 0.000300565 +27 *1501:96 *5681:7 2.15348e-05 +28 *1541:145 *5681:7 0.00102386 +29 *1597:20 *5681:19 0.000380561 +30 *1604:100 *5681:7 0.000161234 +31 *1722:13 *5681:19 1.58551e-05 +32 *1772:25 *5681:7 0.000113107 +33 *1784:166 *5681:19 0.000398804 +34 *2933:26 *5681:19 0.000135163 +35 *3428:23 *5681:7 1.54703e-05 +36 *3671:67 *5681:19 8.86331e-05 +37 *3791:118 *5681:25 0.00140367 +38 *3860:191 *5681:29 0.000247443 +39 *3891:5 *5681:29 0.000585364 +40 *3891:96 *5681:29 0.00083183 +41 *3898:37 *5681:29 0.000252906 +42 *3980:147 *5681:25 1.61631e-05 +43 *4019:26 *5681:29 1.82679e-05 +44 *4481:13 *5681:25 0.000200794 +45 *4567:24 *5681:29 0.000252906 +46 *4567:98 *5681:25 6.7671e-06 +47 *4855:7 *5681:7 0.00103755 +48 *4916:118 *5681:25 0.000357884 +49 *5115:7 *5681:19 0.000119727 +50 *5680:30 *6116:DIODE 5.20546e-06 +51 *5680:30 *5681:29 0.000100968 +*RES +1 *23924:Y *5681:7 46.0849 +2 *5681:7 *5681:19 46.2089 +3 *5681:19 *5681:25 49.6158 +4 *5681:25 *5681:29 42.6838 +5 *5681:29 *6116:DIODE 9.97254 +6 *5681:29 *25209:A 10.2378 +*END + +*D_NET *5682 0.0218446 +*CONN +*I *25210:A I *D sky130_fd_sc_hd__buf_2 +*I *23925:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *25210:A 0.00049721 +2 *23925:Y 0.00101721 +3 *5682:35 0.00207543 +4 *5682:25 0.00318415 +5 *5682:22 0.00342008 +6 *5682:16 0.00283136 +7 *25210:A *5927:46 0.000903033 +8 *5682:16 *5869:588 1.1718e-05 +9 *5682:22 *20418:B1 7.10901e-06 +10 *5682:22 *20490:B1 0.000217947 +11 *5682:22 *5851:116 5.74683e-06 +12 *5682:22 *5861:179 1.5714e-05 +13 *20418:A1 *5682:16 7.90842e-05 +14 *20489:B2 *5682:16 0.000193135 +15 *20490:B2 *5682:16 0.000287241 +16 *23141:A *5682:25 0.000138043 +17 *23245:B *5682:35 6.08467e-05 +18 *23344:A *25210:A 0.000237395 +19 *23525:A *5682:35 6.08467e-05 +20 *23525:B *5682:35 0.000535932 +21 *23528:A *5682:35 6.50586e-05 +22 *23775:A *5682:35 0.000255976 +23 *23853:B1 *5682:25 2.57986e-05 +24 *23853:B1 *5682:35 0.000295725 +25 *23925:A *5682:16 0.000252495 +26 *24631:CLK *5682:16 0.000107496 +27 *657:171 *25210:A 6.34651e-06 +28 *1427:83 *5682:25 0.000255123 +29 *1485:142 *5682:22 1.9101e-05 +30 *1541:142 *5682:16 0 +31 *1740:110 *5682:22 8.49442e-05 +32 *1753:16 *5682:22 1.07802e-06 +33 *1786:65 *5682:22 0.000312853 +34 *1953:39 *5682:22 0.000236337 +35 *2531:43 *5682:16 3.74115e-05 +36 *2571:15 *5682:16 1.5714e-05 +37 *2573:30 *5682:16 6.3657e-05 +38 *2710:36 *5682:16 4.04556e-05 +39 *2839:27 *5682:22 0 +40 *2844:56 *5682:16 4.40531e-05 +41 *3791:142 *5682:35 0.000333801 +42 *3833:106 *5682:35 3.81675e-05 +43 *3842:204 *5682:25 0.000289891 +44 *3860:123 *25210:A 0 +45 *3886:11 *5682:25 0.000393863 +46 *3886:27 *5682:25 6.08467e-05 +47 *3974:126 *5682:35 0.000107496 +48 *4009:35 *5682:25 7.75632e-05 +49 *4017:34 *5682:35 0.000346318 +50 *4022:76 *5682:35 2.47629e-05 +51 *4022:87 *5682:35 6.50586e-05 +52 *4154:7 *5682:35 0.000154145 +53 *4236:28 *25210:A 0 +54 *4254:21 *5682:35 9.55447e-05 +55 *4432:8 *5682:35 0.000216749 +56 *4481:13 *5682:35 2.04806e-05 +57 *4484:8 *5682:25 4.45999e-05 +58 *4487:10 *5682:25 0.000183429 +59 *4855:13 *5682:25 0.000211492 +60 *4916:9 *5682:25 0.000260388 +61 *5219:11 *5682:16 0.000113107 +62 *5592:9 *25210:A 0.000908052 +*RES +1 *23925:Y *5682:16 49.0471 +2 *5682:16 *5682:22 12.4278 +3 *5682:22 *5682:25 45.1549 +4 *5682:25 *5682:35 49.7316 +5 *5682:35 *25210:A 34.9323 +*END + +*D_NET *5683 0.0352013 +*CONN +*I *25211:A I *D sky130_fd_sc_hd__buf_2 +*I *6223:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23948:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *25211:A 4.98617e-05 +2 *6223:DIODE 4.95173e-05 +3 *23948:X 0.000669594 +4 *5683:37 0.00050605 +5 *5683:34 0.00136382 +6 *5683:33 0.00165885 +7 *5683:27 0.00143967 +8 *5683:19 0.00225807 +9 *5683:16 0.0021897 +10 *5683:16 *21370:A1_N 0.00157871 +11 *5683:19 *5794:45 0.00284247 +12 *5683:27 *24034:A1 0.000457763 +13 *5683:33 *5874:64 0 +14 *5683:33 *5898:158 0.000122083 +15 *5683:33 *5898:164 9.2259e-06 +16 *5683:34 *5725:14 5.18288e-05 +17 *20255:A2 *5683:27 6.08467e-05 +18 *20255:B2 *5683:27 6.08467e-05 +19 *20853:A1 *5683:19 0.000212189 +20 *22537:C *5683:16 5.35267e-05 +21 *23948:S *5683:16 1.91246e-05 +22 *494:36 *5683:16 0.000253789 +23 *494:44 *5683:16 0.000207266 +24 *520:28 *5683:33 0.00143016 +25 *529:50 *5683:34 0.00104814 +26 *539:48 *5683:34 0.000220368 +27 *1636:68 *5683:16 0.00168144 +28 *1741:81 *5683:33 6.58286e-06 +29 *1901:40 *5683:27 0.000497912 +30 *2295:14 *5683:34 0.0046026 +31 *2301:17 *5683:27 0.000311249 +32 *2422:8 *5683:34 0.00380973 +33 *2448:147 *5683:33 0.0012879 +34 *2467:126 *5683:16 4.04447e-05 +35 *2689:25 *5683:19 0.000149007 +36 *2689:25 *5683:27 0.000129844 +37 *2978:90 *5683:27 0.00128943 +38 *3076:58 *5683:16 1.34264e-05 +39 *3160:22 *5683:16 0.000298582 +40 *3177:27 *5683:16 4.12833e-05 +41 *3496:13 *5683:16 0.00035152 +42 *4844:289 *5683:19 0.00186008 +43 *4844:289 *5683:27 1.67988e-05 +*RES +1 *23948:X *5683:16 38.3683 +2 *5683:16 *5683:19 48.4434 +3 *5683:19 *5683:27 32.0373 +4 *5683:27 *5683:33 39.107 +5 *5683:33 *5683:34 86.5434 +6 *5683:34 *5683:37 11.8786 +7 *5683:37 *6223:DIODE 9.97254 +8 *5683:37 *25211:A 10.6477 +*END + +*D_NET *5684 0.00149981 +*CONN +*I *25212:A I *D sky130_fd_sc_hd__buf_2 +*I *23869:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25212:A 0.000267058 +2 *23869:X 0.000267058 +3 mgmt_gpio_oeb[10] *25212:A 2.70134e-05 +4 *19562:S *25212:A 0.000485723 +5 *23869:A *25212:A 0.000261844 +6 *2320:59 *25212:A 2.16355e-05 +7 *2433:106 *25212:A 1.40911e-05 +8 *2635:47 *25212:A 0.000155387 +*RES +1 *23869:X *25212:A 37.5282 +*END + +*D_NET *5685 0.000517407 +*CONN +*I *25213:A I *D sky130_fd_sc_hd__buf_2 +*I *23871:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25213:A 7.74639e-05 +2 *23871:X 7.74639e-05 +3 *25213:A *19854:A 6.08467e-05 +4 *2687:42 *25213:A 0.00013832 +5 *4828:62 *25213:A 0.000141677 +6 *4833:138 *25213:A 2.16355e-05 +*RES +1 *23871:X *25213:A 30.8842 +*END + +*D_NET *5686 0.00181331 +*CONN +*I *21948:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25119:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21948:A 0.000712872 +2 *25119:X 0.000712872 +3 *21948:A *21843:A 0 +4 *21948:A *24196:RESET_B 0 +5 *21948:A *5957:11 8.62625e-06 +6 *19938:A *21948:A 7.62923e-05 +7 *21142:B1 *21948:A 7.38345e-05 +8 *24196:CLK *21948:A 0.000228812 +*RES +1 *25119:X *21948:A 42.7047 +*END + +*D_NET *5687 0.000349293 +*CONN +*I *25214:A I *D sky130_fd_sc_hd__buf_2 +*I *23873:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25214:A 0.000105311 +2 *23873:X 0.000105311 +3 *23873:A *25214:A 0.000114523 +4 *2729:54 *25214:A 2.41483e-05 +*RES +1 *23873:X *25214:A 21.4883 +*END + +*D_NET *5688 0.000445878 +*CONN +*I *25215:A I *D sky130_fd_sc_hd__buf_2 +*I *23875:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25215:A 6.35376e-05 +2 *23875:X 6.35376e-05 +3 *24039:A0 *25215:A 6.08467e-05 +4 *2467:49 *25215:A 0.000130777 +5 *4828:50 *25215:A 0.000127179 +*RES +1 *23875:X *25215:A 30.4689 +*END + +*D_NET *5689 0.00095156 +*CONN +*I *25216:A I *D sky130_fd_sc_hd__buf_2 +*I *23877:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25216:A 0.000135723 +2 *23877:X 0.000135723 +3 *2467:28 *25216:A 0.000341856 +4 *4828:50 *25216:A 0.000338258 +*RES +1 *23877:X *25216:A 34.2062 +*END + +*D_NET *5690 0.000413555 +*CONN +*I *25217:A I *D sky130_fd_sc_hd__buf_2 +*I *23879:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25217:A 8.03478e-05 +2 *23879:X 8.03478e-05 +3 *2467:28 *25217:A 0.00012568 +4 *3034:6 *25217:A 0.000127179 +*RES +1 *23879:X *25217:A 30.4689 +*END + +*D_NET *5691 0.000412752 +*CONN +*I *25218:A I *D sky130_fd_sc_hd__buf_2 +*I *23881:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25218:A 7.22279e-05 +2 *23881:X 7.22279e-05 +3 *2467:28 *25218:A 0.000130777 +4 *2687:23 *25218:A 1.03403e-05 +5 *3034:6 *25218:A 0.000127179 +*RES +1 *23881:X *25218:A 30.4689 +*END + +*D_NET *5692 0.00119394 +*CONN +*I *25219:A I *D sky130_fd_sc_hd__buf_2 +*I *23883:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25219:A 0.000266067 +2 *23883:X 0.000266067 +3 *25219:A *5884:11 0 +4 mgmt_gpio_oeb[17] *25219:A 2.18821e-05 +5 mgmt_gpio_out[17] *25219:A 7.92977e-05 +6 *23883:A *25219:A 2.99929e-05 +7 *2372:30 *25219:A 0.000530632 +*RES +1 *23883:X *25219:A 37.5282 +*END + +*D_NET *5693 0.00039508 +*CONN +*I *25220:A I *D sky130_fd_sc_hd__buf_2 +*I *23885:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25220:A 7.61058e-05 +2 *23885:X 7.61058e-05 +3 *25220:A *5907:17 2.04806e-05 +4 *2372:22 *25220:A 9.67077e-05 +5 *2467:28 *25220:A 0.00012568 +*RES +1 *23885:X *25220:A 30.4689 +*END + +*D_NET *5694 0.000677222 +*CONN +*I *25221:A I *D sky130_fd_sc_hd__buf_2 +*I *23887:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25221:A 0.000292798 +2 *23887:X 0.000292798 +3 *25221:A *25253:A 0 +4 *25221:A *5714:38 0 +5 *25221:A *5906:10 0 +6 mgmt_gpio_oeb[36] *25221:A 2.65667e-05 +7 mgmt_gpio_out[35] *25221:A 0 +8 *25167:A *25221:A 6.50586e-05 +*RES +1 *23887:X *25221:A 34.2062 +*END + +*D_NET *5695 0.0201545 +*CONN +*I *25222:A I *D sky130_fd_sc_hd__buf_2 +*I *23949:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *25222:A 0 +2 *23949:X 7.3026e-05 +3 *5695:19 0.000922869 +4 *5695:9 0.00675781 +5 *5695:8 0.00590797 +6 *5695:9 *6029:75 0.000200794 +7 *5695:19 *20763:A 5.77352e-05 +8 *5695:19 *5871:772 0.000264586 +9 *5695:19 *6023:8 0.000748434 +10 *22197:A *5695:9 0.000657182 +11 *24938:A *5695:9 6.08467e-05 +12 *528:26 *5695:9 0.000318921 +13 *528:30 *5695:9 0.00122618 +14 *535:45 *5695:9 0.000504058 +15 *1439:177 *5695:9 0.000127288 +16 *1696:96 *5695:8 0.000157487 +17 *1884:282 *5695:8 0.000161085 +18 *2060:18 *5695:19 0.000378009 +19 *2060:34 *5695:19 0.00018323 +20 *2066:8 *5695:19 0.00016169 +21 *2066:21 *5695:19 3.7061e-05 +22 *2066:33 *5695:19 0.000111729 +23 *2882:8 *5695:19 0.000138372 +24 *3017:8 *5695:19 0.00042216 +25 *4832:104 *5695:9 0.000576006 +*RES +1 *23949:X *5695:8 21.3269 +2 *5695:8 *5695:9 92.233 +3 *5695:9 *5695:19 43.7508 +4 *5695:19 *25222:A 9.24915 +*END + +*D_NET *5696 0.000657275 +*CONN +*I *25223:A I *D sky130_fd_sc_hd__buf_2 +*I *23889:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25223:A 0.000305294 +2 *23889:X 0.000305294 +3 mgmt_gpio_out[20] *25223:A 0 +4 *19984:A *25223:A 0 +5 *23889:A *25223:A 4.66876e-05 +*RES +1 *23889:X *25223:A 33.1026 +*END + +*D_NET *5697 0.00050763 +*CONN +*I *21843:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25120:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21843:A 0.000192235 +2 *25120:X 0.000192235 +3 *21843:A *21741:A 0 +4 *21948:A *21843:A 0 +5 *2386:13 *21843:A 0.00012316 +*RES +1 *25120:X *21843:A 33.1026 +*END + +*D_NET *5698 0.00087147 +*CONN +*I *25224:A I *D sky130_fd_sc_hd__buf_2 +*I *23891:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25224:A 0.000353877 +2 *23891:X 0.000353877 +3 *25224:A *25225:A 0 +4 *25224:A *5888:7 0.000115615 +5 *25224:A *5890:14 0 +6 mgmt_gpio_oeb[21] *25224:A 4.81015e-05 +7 mgmt_gpio_out[21] *25224:A 0 +*RES +1 *23891:X *25224:A 36.4246 +*END + +*D_NET *5699 0.000790205 +*CONN +*I *25225:A I *D sky130_fd_sc_hd__buf_2 +*I *23893:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25225:A 0.000186597 +2 *23893:X 0.000186597 +3 *25225:A *5888:7 2.65667e-05 +4 *25225:A *5890:14 7.42004e-05 +5 *25225:A *5892:10 0.000266681 +6 mgmt_gpio_oeb[22] *25225:A 2.65667e-05 +7 mgmt_gpio_out[22] *25225:A 2.29959e-05 +8 *25224:A *25225:A 0 +*RES +1 *23893:X *25225:A 34.2062 +*END + +*D_NET *5700 0.00124646 +*CONN +*I *25226:A I *D sky130_fd_sc_hd__buf_2 +*I *23895:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25226:A 0.00033459 +2 *23895:X 0.00033459 +3 *25226:A *5888:7 0.000164123 +4 *25226:A *5891:7 0.000122378 +5 mgmt_gpio_oeb[23] *25226:A 3.14978e-05 +6 mgmt_gpio_out[22] *25226:A 0.000136908 +7 *24116:A *25226:A 0 +8 *24117:TE_B *25226:A 0 +9 *25152:A *25226:A 0.000122378 +*RES +1 *23895:X *25226:A 38.643 +*END + +*D_NET *5701 0.00102974 +*CONN +*I *25227:A I *D sky130_fd_sc_hd__buf_2 +*I *23897:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25227:A 0.000237154 +2 *23897:X 0.000237154 +3 *25227:A *5888:8 0 +4 *25227:A *5891:7 0.000171273 +5 mgmt_gpio_oeb[24] *25227:A 5.56461e-05 +6 mgmt_gpio_out[24] *25227:A 0.000328514 +*RES +1 *23897:X *25227:A 35.3154 +*END + +*D_NET *5702 0.001729 +*CONN +*I *25228:A I *D sky130_fd_sc_hd__buf_2 +*I *23899:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25228:A 0.000499815 +2 *23899:X 0.000499815 +3 *25228:A *23952:A1 0.000383631 +4 *25228:A *5918:56 3.59437e-05 +5 mgmt_gpio_out[25] *25228:A 9.85547e-05 +6 *23899:A *25228:A 0.000139764 +7 *2813:8 *25228:A 7.14746e-05 +*RES +1 *23899:X *25228:A 39.1976 +*END + +*D_NET *5703 0.00104012 +*CONN +*I *25229:A I *D sky130_fd_sc_hd__buf_2 +*I *23901:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25229:A 0.000296584 +2 *23901:X 0.000296584 +3 *25229:A *21797:A 0 +4 *25229:A *5891:14 0.000112148 +5 mgmt_gpio_out[25] *25229:A 0 +6 mgmt_gpio_out[26] *25229:A 0 +7 *5506:22 *25229:A 0.000334808 +*RES +1 *23901:X *25229:A 33.6572 +*END + +*D_NET *5704 0.00159426 +*CONN +*I *25230:A I *D sky130_fd_sc_hd__buf_2 +*I *23903:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25230:A 0.000485184 +2 *23903:X 0.000485184 +3 *20291:A1 *25230:A 0.00017419 +4 *20291:B1 *25230:A 5.04711e-05 +5 *23902:A *25230:A 5.302e-05 +6 *23903:A *25230:A 7.0957e-05 +7 *2687:10 *25230:A 0 +8 *4840:359 *25230:A 0.000275256 +*RES +1 *23903:X *25230:A 39.7466 +*END + +*D_NET *5705 0.000983732 +*CONN +*I *25231:A I *D sky130_fd_sc_hd__buf_2 +*I *23905:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25231:A 0.000104853 +2 *23905:X 0.000104853 +3 *25231:A *5715:18 0.000324166 +4 *25231:A *5716:11 0.000324166 +5 *25231:A *5893:8 0.000125695 +6 *25231:A *5896:9 0 +*RES +1 *23905:X *25231:A 33.242 +*END + +*D_NET *5706 0.00149939 +*CONN +*I *25232:A I *D sky130_fd_sc_hd__buf_2 +*I *23907:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25232:A 0.000405384 +2 *23907:X 0.000405384 +3 *25232:A *21310:A 1.79807e-05 +4 *25232:A *25234:A 0 +5 mgmt_gpio_out[29] *25232:A 0.000140487 +6 *25160:A *25232:A 0 +7 *5506:22 *25232:A 0.000530151 +*RES +1 *23907:X *25232:A 35.8756 +*END + +*D_NET *5707 0.00044966 +*CONN +*I *25233:A I *D sky130_fd_sc_hd__buf_2 +*I *23861:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25233:A 8.55478e-05 +2 *23861:X 8.55478e-05 +3 *25233:A *5874:64 8.22747e-05 +4 *23860:A *25233:A 1.07248e-05 +5 *74:12 *25233:A 9.92046e-06 +6 *2422:14 *25233:A 6.3657e-05 +7 *2448:161 *25233:A 0.000111987 +*RES +1 *23861:X *25233:A 30.8842 +*END + +*D_NET *5708 0.000754662 +*CONN +*I *21741:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25121:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21741:A 0.000370132 +2 *25121:X 0.000370132 +3 *21741:A *21528:A 0 +4 *21741:A *5793:6 0 +5 *21843:A *21741:A 0 +6 *25121:A *21741:A 1.43983e-05 +*RES +1 *25121:X *21741:A 34.9002 +*END + +*D_NET *5709 0.00121481 +*CONN +*I *25234:A I *D sky130_fd_sc_hd__buf_2 +*I *23909:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25234:A 0.000369792 +2 *23909:X 0.000369792 +3 *25234:A *5935:21 4.06514e-05 +4 mgmt_gpio_out[30] *25234:A 0 +5 *25232:A *25234:A 0 +6 *5506:22 *25234:A 0.000434578 +*RES +1 *23909:X *25234:A 34.7664 +*END + +*D_NET *5710 0.000808609 +*CONN +*I *25235:A I *D sky130_fd_sc_hd__buf_2 +*I *23911:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25235:A 0.00032952 +2 *23911:X 0.00032952 +3 *25235:A *5715:21 5.07314e-05 +4 *25235:A *5727:10 9.60216e-05 +5 mgmt_gpio_out[30] *25235:A 0 +6 mgmt_gpio_out[31] *25235:A 0 +7 *25162:A *25235:A 2.81678e-06 +*RES +1 *23911:X *25235:A 34.7608 +*END + +*D_NET *5711 0.00113884 +*CONN +*I *25236:A I *D sky130_fd_sc_hd__buf_2 +*I *23913:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25236:A 0.000388702 +2 *23913:X 0.000388702 +3 *25236:A *5715:21 0.000171473 +4 mgmt_gpio_oeb[32] *25236:A 7.97944e-05 +5 mgmt_gpio_out[32] *25236:A 0 +6 *23913:A *25236:A 6.92705e-05 +7 *2372:16 *25236:A 4.08958e-05 +8 *4834:12 *25236:A 0 +*RES +1 *23913:X *25236:A 38.2572 +*END + +*D_NET *5712 0.00122651 +*CONN +*I *25237:A I *D sky130_fd_sc_hd__buf_2 +*I *23915:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25237:A 0.000525865 +2 *23915:X 0.000525865 +3 *25237:A *5728:13 1.62073e-05 +4 *25237:A *5903:6 0 +5 *23915:A *25237:A 0.000122378 +6 *24128:A *25237:A 3.61993e-05 +7 *144:8 *25237:A 0 +*RES +1 *23915:X *25237:A 37.5338 +*END + +*D_NET *5713 0.00111374 +*CONN +*I *25238:A I *D sky130_fd_sc_hd__buf_2 +*I *23917:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25238:A 0.000476477 +2 *23917:X 0.000476477 +3 mgmt_gpio_out[34] *25238:A 0 +4 *23917:A *25238:A 1.92926e-05 +5 *144:8 *25238:A 0.000141496 +*RES +1 *23917:X *25238:A 38.6374 +*END + +*D_NET *5714 0.0279315 +*CONN +*I *25239:A I *D sky130_fd_sc_hd__buf_2 +*I *23950:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *25239:A 0 +2 *23950:X 0.000715237 +3 *5714:38 0.00351009 +4 *5714:37 0.00351009 +5 *5714:35 0.00181756 +6 *5714:26 0.0026222 +7 *5714:10 0.00151988 +8 *5714:10 *5921:94 0.000799484 +9 *5714:26 *5893:16 0.000118485 +10 *5714:38 *21655:A 0 +11 *5714:38 *24681:RESET_B 0.000102979 +12 *5714:38 *24731:RESET_B 0.000278373 +13 *5714:38 *5865:605 0 +14 mgmt_gpio_out[35] *5714:38 0 +15 *19603:A *5714:35 4.97617e-05 +16 *20281:A1 *5714:38 0 +17 *20321:A1 *5714:35 8.88534e-05 +18 *20321:A2 *5714:35 0.000800786 +19 *20321:B1 *5714:35 3.42979e-05 +20 *20342:A1 *5714:38 0.000213119 +21 *20343:A1 *5714:38 0.000219154 +22 *20343:B1 *5714:38 5.67593e-05 +23 *20343:B2 *5714:38 2.02035e-05 +24 *20629:A1 *5714:26 7.15081e-05 +25 *20629:B2 *5714:26 0.000111722 +26 *20730:A1 *5714:26 1.21461e-06 +27 *20730:A1 *5714:35 5.20546e-06 +28 *20731:A1 *5714:35 5.99529e-06 +29 *20731:A2 *5714:35 9.68627e-06 +30 *20731:B1 *5714:35 0.000166696 +31 *21255:A *5714:26 1.9101e-05 +32 *23884:A *5714:38 0.000102979 +33 *23950:A0 *5714:10 0.000251655 +34 *24042:A0 *5714:38 4.70005e-05 +35 *24045:A1 *5714:38 4.01708e-05 +36 *24045:S *5714:38 2.22342e-05 +37 *24113:A *5714:38 0 +38 *24417:D *5714:35 7.58194e-05 +39 *24418:D *5714:35 0.000258142 +40 *24678:D *5714:38 0 +41 *24681:D *5714:38 0 +42 *24733:D *5714:35 6.73351e-05 +43 *24903:D *5714:35 6.08467e-05 +44 *25146:A *5714:38 0 +45 *25221:A *5714:38 0 +46 *1904:49 *5714:38 0.000179695 +47 *1904:58 *5714:38 0.000166987 +48 *1904:72 *5714:38 4.20662e-05 +49 *1924:63 *5714:38 0.0010679 +50 *1924:72 *5714:38 0 +51 *1924:79 *5714:38 0 +52 *1924:96 *5714:38 0 +53 *2018:104 *5714:26 0.000107496 +54 *2030:25 *5714:10 0.000118166 +55 *2031:85 *5714:10 5.49373e-05 +56 *2051:35 *5714:35 0.000174631 +57 *2051:48 *5714:35 2.15348e-05 +58 *2052:13 *5714:26 1.75155e-06 +59 *2052:13 *5714:35 9.80242e-07 +60 *2320:16 *5714:35 0.000899146 +61 *2360:13 *5714:35 0.000913393 +62 *2433:11 *5714:26 0.00127881 +63 *2487:14 *5714:10 0.000144455 +64 *2570:36 *5714:38 0 +65 *2620:20 *5714:38 0 +66 *3011:10 *5714:26 3.93117e-06 +67 *4816:9 *5714:26 0.000493448 +68 *4820:91 *5714:38 0.00173663 +69 *4824:101 *5714:26 0.000109765 +70 *4824:112 *5714:35 0.000224381 +71 *4829:179 *5714:38 0.00093637 +72 *5030:9 *5714:35 4.79321e-06 +73 *5302:9 *5714:26 0.000469312 +74 *5317:10 *5714:10 0.000357105 +75 *5499:8 *5714:38 0.000130777 +76 *5500:8 *5714:38 0.000121494 +77 *5501:10 *5714:38 0.000127179 +78 *5535:8 *5714:35 0.000106697 +79 *5537:9 *5714:38 0 +80 *5557:10 *5714:38 0.000143032 +*RES +1 *23950:X *5714:10 39.792 +2 *5714:10 *5714:26 42.5952 +3 *5714:26 *5714:35 49.9143 +4 *5714:35 *5714:37 4.5 +5 *5714:37 *5714:38 120.179 +6 *5714:38 *25239:A 13.7491 +*END + +*D_NET *5715 0.0194796 +*CONN +*I *25240:A I *D sky130_fd_sc_hd__buf_2 +*I *23951:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *25240:A 3.5247e-05 +2 *23951:X 0.000104954 +3 *5715:21 0.0019738 +4 *5715:20 0.00193856 +5 *5715:18 0.00165879 +6 *5715:13 0.00356114 +7 *5715:10 0.0020073 +8 *5715:10 *23951:A1 0.000290255 +9 *5715:10 *5918:51 0.000101148 +10 *5715:13 *5918:51 0.00224525 +11 *5715:18 *5716:11 0.000785618 +12 *5715:18 *5897:12 0 +13 *5715:21 *21249:A 0.000369331 +14 *5715:21 *21310:A 0.00011818 +15 *5715:21 *5902:10 0.000642796 +16 *5715:21 *5904:7 0.000332116 +17 *5715:21 *5904:13 0.000319236 +18 mgmt_gpio_oeb[29] *5715:21 5.14419e-05 +19 mgmt_gpio_oeb[30] *5715:21 9.18559e-06 +20 mgmt_gpio_oeb[31] *5715:21 1.31657e-05 +21 mgmt_gpio_oeb[32] *5715:21 1.40978e-05 +22 mgmt_gpio_oeb[33] *5715:21 2.72636e-05 +23 mgmt_gpio_oeb[35] *5715:21 1.92926e-05 +24 mgmt_gpio_out[20] *5715:10 9.2346e-06 +25 mgmt_gpio_out[23] *5715:13 3.83429e-05 +26 mgmt_gpio_out[29] *5715:18 0 +27 *23889:A *5715:10 7.50722e-05 +28 *23901:A *5715:18 0.00011818 +29 *23902:A *5715:18 0.000164829 +30 *23907:A *5715:18 0.000423922 +31 *24117:TE_B *5715:13 0.000370829 +32 *25160:A *5715:21 7.97944e-05 +33 *25162:A *5715:21 2.99287e-05 +34 *25163:A *5715:21 3.25584e-05 +35 *25164:A *5715:21 3.25584e-05 +36 *25165:A *5715:21 0.000111145 +37 *25231:A *5715:18 0.000324166 +38 *25235:A *5715:21 5.07314e-05 +39 *25236:A *5715:21 0.000171473 +40 *2687:7 *5715:18 0.000220183 +41 *2813:7 *5715:18 6.08467e-05 +42 *4824:25 *5715:10 0.00032726 +43 *5506:22 *5715:13 5.0334e-05 +44 *5506:22 *5715:18 0.000170009 +*RES +1 *23951:X *5715:10 24.2407 +2 *5715:10 *5715:13 33.7464 +3 *5715:13 *5715:18 48.9252 +4 *5715:18 *5715:20 4.5 +5 *5715:20 *5715:21 53.9653 +6 *5715:21 *25240:A 10.2378 +*END + +*D_NET *5716 0.0149074 +*CONN +*I *25241:A I *D sky130_fd_sc_hd__buf_2 +*I *23952:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *25241:A 0 +2 *23952:X 0.000161801 +3 *5716:19 0.000590863 +4 *5716:15 0.00108853 +5 *5716:11 0.000934816 +6 *5716:8 0.00059895 +7 *5716:8 *23952:A1 4.44689e-05 +8 *5716:15 *21310:A 0.000224395 +9 *5716:15 *5729:9 0.000466242 +10 *5716:19 *5727:15 0.00152226 +11 *5716:19 *5729:9 9.82307e-05 +12 *5716:19 *5902:13 0.00246066 +13 *23899:A *5716:8 2.22198e-05 +14 *23901:A *5716:11 0.00011818 +15 *23902:A *5716:11 0.000164829 +16 *23907:A *5716:11 0.000423922 +17 *25231:A *5716:11 0.000324166 +18 *2372:7 *5716:15 0.000242981 +19 *2687:7 *5716:11 0.000220183 +20 *2813:8 *5716:8 0 +21 *4841:322 *5716:8 0 +22 *5506:22 *5716:11 0.0031548 +23 *5506:22 *5716:15 0.000162116 +24 *5506:22 *5716:19 0.00109722 +25 *5715:18 *5716:11 0.000785618 +*RES +1 *23952:X *5716:8 22.5727 +2 *5716:8 *5716:11 34.9883 +3 *5716:11 *5716:15 16.6863 +4 *5716:15 *5716:19 42.3428 +5 *5716:19 *25241:A 9.24915 +*END + +*D_NET *5717 0.000873911 +*CONN +*I *25242:A I *D sky130_fd_sc_hd__buf_2 +*I *22823:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25242:A 0.000160475 +2 *22823:X 0.000160475 +3 *25242:A *5874:64 0.000320257 +4 *22823:A *25242:A 5.20546e-06 +5 *2433:128 *25242:A 0.000227498 +*RES +1 *22823:X *25242:A 33.791 +*END + +*D_NET *5718 0.000303978 +*CONN +*I *25243:A I *D sky130_fd_sc_hd__buf_2 +*I *22821:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25243:A 0.000138891 +2 *22821:X 0.000138891 +3 *2423:57 *25243:A 2.61955e-05 +*RES +1 *22821:X *25243:A 21.4883 +*END + +*D_NET *5719 0.000943754 +*CONN +*I *21528:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25122:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21528:A 0.000324299 +2 *25122:X 0.000324299 +3 *21528:A *21362:A 8.09373e-05 +4 *21528:A *5793:6 8.01741e-05 +5 *21741:A *21528:A 0 +6 *25122:A *21528:A 1.84293e-05 +7 *2290:10 *21528:A 0.000115615 +*RES +1 *25122:X *21528:A 36.7328 +*END + +*D_NET *5720 0.000289833 +*CONN +*I *25244:A I *D sky130_fd_sc_hd__buf_2 +*I *23863:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25244:A 0.000144916 +2 *23863:X 0.000144916 +*RES +1 *23863:X *25244:A 21.4883 +*END + +*D_NET *5721 0.000414658 +*CONN +*I *25245:A I *D sky130_fd_sc_hd__buf_2 +*I *22819:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25245:A 9.15469e-05 +2 *22819:X 9.15469e-05 +3 *25245:A *5874:46 0.000106568 +4 *2391:34 *25245:A 0.000120681 +5 *5527:23 *25245:A 4.31485e-06 +*RES +1 *22819:X *25245:A 30.4689 +*END + +*D_NET *5722 0.000916964 +*CONN +*I *25246:A I *D sky130_fd_sc_hd__buf_2 +*I *22817:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25246:A 0.000153204 +2 *22817:X 0.000153204 +3 *22817:A *25246:A 0.000272363 +4 *2291:32 *25246:A 0.000317713 +5 *2295:42 *25246:A 2.04806e-05 +*RES +1 *22817:X *25246:A 33.791 +*END + +*D_NET *5723 0.000365794 +*CONN +*I *25247:A I *D sky130_fd_sc_hd__buf_2 +*I *23865:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25247:A 0.0001069 +2 *23865:X 0.0001069 +3 *23865:A *25247:A 3.95681e-05 +4 *2257:85 *25247:A 1.02739e-05 +5 *2291:61 *25247:A 2.18679e-05 +6 *2989:80 *25247:A 7.52353e-05 +7 *4821:125 *25247:A 5.04829e-06 +*RES +1 *23865:X *25247:A 30.4689 +*END + +*D_NET *5724 0.000293062 +*CONN +*I *25248:A I *D sky130_fd_sc_hd__buf_2 +*I *23867:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25248:A 0.000136879 +2 *23867:X 0.000136879 +3 *25248:A *5910:33 1.93033e-05 +*RES +1 *23867:X *25248:A 21.4883 +*END + +*D_NET *5725 0.0195408 +*CONN +*I *25249:A I *D sky130_fd_sc_hd__buf_2 +*I *6224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23941:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *25249:A 3.5247e-05 +2 *6224:DIODE 4.3163e-05 +3 *23941:X 0.000485767 +4 *5725:17 0.000536356 +5 *5725:14 0.0018734 +6 *5725:13 0.00355676 +7 *5725:10 0.00262708 +8 *5725:13 *5855:329 0.000251669 +9 *5725:14 *5886:14 0.0010278 +10 *20318:B2 *5725:13 0.0013915 +11 *23941:A0 *5725:10 0.000253916 +12 *24097:TE_B *5725:13 1.58551e-05 +13 *324:11 *5725:10 0.000467915 +14 *527:52 *5725:14 0.000953129 +15 *539:53 *5725:17 0 +16 *1741:81 *5725:13 0.000161911 +17 *1918:18 *5725:13 7.92757e-06 +18 *2267:39 *5725:17 3.12848e-05 +19 *2291:25 *6224:DIODE 1.84293e-05 +20 *2291:25 *5725:17 9.66124e-05 +21 *2295:14 *5725:14 0.000469653 +22 *2389:39 *5725:13 0.000251669 +23 *2423:42 *5725:10 0.000464318 +24 *2446:54 *5725:14 0.0043353 +25 *2471:35 *5725:13 1.96478e-05 +26 *2882:19 *5725:13 1.38376e-05 +27 *3212:66 *5725:14 3.9596e-05 +28 *3212:72 *5725:14 5.92342e-05 +29 *5683:34 *5725:14 5.18288e-05 +*RES +1 *23941:X *5725:10 33.5492 +2 *5725:10 *5725:13 39.6088 +3 *5725:13 *5725:14 87.7892 +4 *5725:14 *5725:17 13.5424 +5 *5725:17 *6224:DIODE 9.97254 +6 *5725:17 *25249:A 10.2378 +*END + +*D_NET *5726 0.048197 +*CONN +*I *25250:A I *D sky130_fd_sc_hd__buf_2 +*I *23944:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *25250:A 0.000149609 +2 *23944:X 0.000836049 +3 *5726:39 0.00182655 +4 *5726:37 0.00236794 +5 *5726:31 0.00219466 +6 *5726:29 0.00288382 +7 *5726:21 0.00249706 +8 *5726:17 0.00341031 +9 *5726:10 0.00312945 +10 *25250:A *5874:75 0.00014506 +11 *25250:A *6024:8 0.000127164 +12 *5726:17 *5854:305 1.65872e-05 +13 *5726:21 *20582:B1 0.000232806 +14 *5726:29 *24239:SET_B 0.000146631 +15 *20598:A *5726:21 0.00035144 +16 *21207:A *5726:21 2.48809e-05 +17 *21207:A *5726:29 0.00017747 +18 *21661:A *5726:31 0.000113968 +19 *21859:A *5726:31 0.000632716 +20 *22197:A *5726:31 7.53258e-05 +21 *23966:A0 *5726:31 5.51483e-06 +22 *23966:S *5726:31 0.000154145 +23 *24239:D *5726:29 9.44798e-05 +24 *24239:CLK *5726:29 2.54453e-05 +25 *476:54 *5726:21 9.40378e-05 +26 *476:54 *5726:29 0.000845997 +27 *502:38 *5726:39 0.00289861 +28 *528:26 *5726:31 0.000131547 +29 *528:30 *5726:31 0.000136197 +30 *528:30 *5726:39 1.15389e-05 +31 *529:74 *5726:31 0.000922747 +32 *529:74 *5726:37 3.09841e-05 +33 *529:74 *5726:39 0.000118166 +34 *530:18 *5726:31 0.000746296 +35 *530:26 *5726:31 0.00115824 +36 *535:45 *5726:39 0.00519886 +37 *1461:159 *5726:21 6.94894e-05 +38 *1471:96 *5726:10 0.000128605 +39 *1690:27 *5726:21 0.00154044 +40 *1690:27 *5726:29 0.000182791 +41 *1690:43 *5726:17 4.35203e-05 +42 *1690:43 *5726:21 0.00214804 +43 *1690:49 *5726:17 0.00327832 +44 *1749:26 *5726:29 0.00284272 +45 *2009:41 *5726:21 0.000266846 +46 *2274:10 *5726:29 0.000224381 +47 *2427:11 *5726:31 0.00203031 +48 *2448:161 *25250:A 9.11135e-05 +49 *2516:8 *5726:10 0 +50 *2516:16 *5726:10 4.86481e-05 +51 *2784:23 *5726:10 0.000265077 +52 *2875:11 *5726:31 0.00019235 +53 *4843:616 *5726:10 0 +54 *4877:8 *5726:37 0.000918382 +55 *5386:7 *5726:29 3.59433e-06 +56 *5386:7 *5726:31 1.00937e-05 +*RES +1 *23944:X *5726:10 36.8824 +2 *5726:10 *5726:17 40.1726 +3 *5726:17 *5726:21 42.0655 +4 *5726:21 *5726:29 46.5505 +5 *5726:29 *5726:31 57.293 +6 *5726:31 *5726:37 12.4183 +7 *5726:37 *5726:39 63.9482 +8 *5726:39 *25250:A 24.2337 +*END + +*D_NET *5727 0.0126677 +*CONN +*I *25251:A I *D sky130_fd_sc_hd__buf_2 +*I *23945:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *25251:A 0 +2 *23945:X 0.000288581 +3 *5727:15 0.000451353 +4 *5727:10 0.00171852 +5 *5727:8 0.00155574 +6 *5727:10 *23946:S 5.94075e-05 +7 *5727:15 *5729:9 0.00348636 +8 mgmt_gpio_out[30] *5727:10 0 +9 mgmt_gpio_out[31] *5727:10 0 +10 *20299:B1 *5727:10 0 +11 *23911:A *5727:10 0.000148144 +12 *23945:A0 *5727:8 0 +13 *23946:A0 *5727:10 0.000266492 +14 *23947:A0 *5727:10 0.000111247 +15 *25235:A *5727:10 9.60216e-05 +16 *1913:37 *5727:8 0.000181593 +17 *1913:37 *5727:10 0.000182601 +18 *1913:46 *5727:10 0.00090382 +19 *1913:57 *5727:10 0.000945125 +20 *4833:188 *5727:10 1.49697e-05 +21 *5521:8 *5727:10 3.42819e-05 +22 *5558:10 *5727:8 0.00049553 +23 *5558:10 *5727:10 0.000205665 +24 *5716:19 *5727:15 0.00152226 +*RES +1 *23945:X *5727:8 24.0694 +2 *5727:8 *5727:10 45.5678 +3 *5727:10 *5727:15 42.4059 +4 *5727:15 *25251:A 9.24915 +*END + +*D_NET *5728 0.00675783 +*CONN +*I *25252:A I *D sky130_fd_sc_hd__buf_2 +*I *23946:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *25252:A 0 +2 *23946:X 0.00028027 +3 *5728:13 0.00149141 +4 *5728:10 0.00177168 +5 mgmt_gpio_out[37] *5728:13 0.000111722 +6 *23911:A *5728:10 0.000470393 +7 *23913:A *5728:13 9.91731e-05 +8 *23914:A *5728:13 0.000108071 +9 *23915:A *5728:13 5.00593e-05 +10 *24128:A *5728:13 0.000271058 +11 *24707:CLK *5728:10 0.000322201 +12 *25237:A *5728:13 1.62073e-05 +13 *2372:16 *5728:10 0 +14 *2692:10 *5728:13 0.00109496 +15 *4834:16 *5728:10 0.000122098 +16 *4835:17 *5728:13 0.000532369 +17 *4899:59 *5728:10 1.61631e-05 +*RES +1 *23946:X *5728:10 28.9842 +2 *5728:10 *5728:13 41.6435 +3 *5728:13 *25252:A 9.24915 +*END + +*D_NET *5729 0.0099465 +*CONN +*I *25253:A I *D sky130_fd_sc_hd__buf_2 +*I *23947:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *25253:A 7.2909e-05 +2 *23947:X 2.84781e-05 +3 *5729:9 0.00277072 +4 *5729:8 0.00272629 +5 *25253:A *5906:10 0.000122083 +6 *5729:8 *21249:A 7.86847e-05 +7 *5729:9 *5902:13 1.62073e-05 +8 mgmt_gpio_out[30] *5729:8 7.50722e-05 +9 *23887:A *25253:A 5.22654e-06 +10 *25221:A *25253:A 0 +11 *5716:15 *5729:9 0.000466242 +12 *5716:19 *5729:9 9.82307e-05 +13 *5727:15 *5729:9 0.00348636 +*RES +1 *23947:X *5729:8 19.6659 +2 *5729:8 *5729:9 47.8647 +3 *5729:9 *25253:A 20.4964 +*END + +*D_NET *5730 0.000957424 +*CONN +*I *21362:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25123:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21362:A 0.000257664 +2 *25123:X 0.000257664 +3 *21362:A *21324:A 0.000327226 +4 *21528:A *21362:A 8.09373e-05 +5 *24161:CLK *21362:A 0 +6 *25122:A *21362:A 7.34948e-06 +7 *25123:A *21362:A 2.65831e-05 +*RES +1 *25123:X *21362:A 35.87 +*END + +*D_NET *5731 0.00497212 +*CONN +*I *25254:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *23954:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *25254:A 0 +2 *23954:X 0.00019628 +3 *5731:11 0.000903228 +4 *5731:7 0.00109951 +5 *5731:11 *22129:B_N 0.000252327 +6 *5731:11 *25320:A 0.000118166 +7 *5731:11 *25321:A 0.000113968 +8 *5731:11 *5733:22 0 +9 *5731:11 *5735:24 0.000741006 +10 *5731:11 *5800:8 0.000168334 +11 *5731:11 *5800:32 0.000200329 +12 *5731:11 *5807:18 0.000759631 +13 sram_ro_addr[2] *5731:11 0 +14 *4867:56 *5731:7 0.000110684 +15 *4867:66 *5731:7 6.39593e-05 +16 *5473:54 *5731:11 0.000244701 +*RES +1 *23954:X *5731:7 18.3548 +2 *5731:7 *5731:11 42.9064 +3 *5731:11 *25254:A 9.24915 +*END + +*D_NET *5732 0.00206817 +*CONN +*I *25255:A I *D sky130_fd_sc_hd__buf_2 +*I *22128:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *25255:A 0.000673637 +2 *22128:Y 0.000673637 +3 *25255:A *22135:B 0 +4 *25255:A *25256:A 6.73186e-05 +5 *25255:A *25257:A 0.000419793 +6 *25255:A *5814:27 7.6719e-06 +7 *25255:A *5917:14 7.50722e-05 +8 pad_flash_clk_oeb *25255:A 1.00937e-05 +9 pad_flash_csb *25255:A 2.01874e-05 +10 pad_flash_csb_oeb *25255:A 3.99086e-06 +11 *22130:A *25255:A 0.000111722 +12 *25176:A *25255:A 5.04829e-06 +*RES +1 *22128:Y *25255:A 44.8652 +*END + +*D_NET *5733 0.0162327 +*CONN +*I *25256:A I *D sky130_fd_sc_hd__buf_2 +*I *23953:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *25256:A 4.74367e-05 +2 *23953:X 0.000685701 +3 *5733:22 0.00282847 +4 *5733:20 0.0031159 +5 *5733:12 0.00102057 +6 *5733:12 *5852:74 0.000111435 +7 *5733:12 *5938:8 0.00117883 +8 *5733:22 *23954:A0 0 +9 *5733:22 *5735:24 0 +10 *5733:22 *5807:18 0 +11 *5733:22 *5926:56 0 +12 *5733:22 *5926:60 0 +13 *5733:22 *5930:14 0 +14 pad_flash_clk_oeb *25256:A 2.65667e-05 +15 pad_flash_csb *25256:A 2.65831e-05 +16 sram_ro_addr[0] *5733:22 0.000140502 +17 sram_ro_addr[2] *5733:22 4.51062e-05 +18 sram_ro_addr[3] *5733:22 0 +19 sram_ro_addr[4] *5733:22 1.91391e-05 +20 sram_ro_addr[5] *5733:22 1.91391e-05 +21 sram_ro_addr[6] *5733:22 6.11074e-05 +22 sram_ro_clk *5733:22 0.000113077 +23 *21192:A *5733:20 0.000122083 +24 *21350:A *5733:20 0.000122098 +25 *21387:A *5733:22 0.000127179 +26 *21796:A *5733:22 0.000127179 +27 *24815:D *5733:22 3.01634e-05 +28 *24816:D *5733:22 2.85058e-05 +29 *24817:D *5733:12 0.000127179 +30 *25021:A *5733:22 4.23622e-05 +31 *25025:A *5733:22 9.61451e-05 +32 *25027:A *5733:22 0.000134164 +33 *25028:A *5733:20 5.36345e-05 +34 *25029:A *5733:12 2.37478e-05 +35 *25029:A *5733:20 9.28647e-05 +36 *25030:A *5733:12 0.000191215 +37 *25031:A *5733:12 2.02035e-05 +38 *25255:A *25256:A 6.73186e-05 +39 *2715:10 *5733:20 4.83764e-06 +40 *2715:10 *5733:22 0.000134438 +41 *2715:12 *5733:12 0.0015765 +42 *2715:12 *5733:20 0.00070834 +43 *2715:12 *5733:22 0 +44 *4867:47 *5733:12 6.76857e-06 +45 *4867:47 *5733:20 1.0771e-05 +46 *4867:47 *5733:22 0.000402308 +47 *4867:49 *5733:22 0.000376682 +48 *4867:51 *5733:22 0.000966459 +49 *4867:56 *5733:22 0.000990685 +50 *5590:10 *5733:12 0.000209264 +51 *5731:11 *5733:22 0 +*RES +1 *23953:X *5733:12 49.815 +2 *5733:12 *5733:20 14.8269 +3 *5733:20 *5733:22 87.1663 +4 *5733:22 *25256:A 15.5817 +*END + +*D_NET *5734 0.00119514 +*CONN +*I *25257:A I *D sky130_fd_sc_hd__buf_2 +*I *22127:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *25257:A 0.000325126 +2 *22127:Y 0.000325126 +3 *25257:A *5735:24 0 +4 *25257:A *5814:27 3.14978e-05 +5 pad_flash_csb_oeb *25257:A 2.85274e-05 +6 *22130:A *25257:A 6.50727e-05 +7 *25255:A *25257:A 0.000419793 +*RES +1 *22127:Y *25257:A 37.3115 +*END + +*D_NET *5735 0.0183817 +*CONN +*I *25258:A I *D sky130_fd_sc_hd__buf_2 +*I *23955:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *25258:A 0.000754779 +2 *23955:X 0.000749499 +3 *5735:24 0.00356102 +4 *5735:23 0.00315321 +5 *5735:12 0.00109647 +6 *25258:A *25259:A 0 +7 *5735:23 *5852:74 0.000394828 +8 *5735:24 *22129:B_N 0.000252327 +9 *5735:24 *5800:8 0.000168334 +10 *5735:24 *5800:32 0.000426394 +11 *5735:24 *5807:18 0 +12 *5735:24 *5916:20 0.00139933 +13 *5735:24 *5917:14 0 +14 *5735:24 *5926:56 0 +15 *5735:24 *5926:60 0 +16 pad_flash_csb_oeb *5735:24 7.50722e-05 +17 pad_flash_io0_do *25258:A 1.47102e-05 +18 sram_ro_addr[0] *5735:24 0 +19 sram_ro_addr[1] *5735:24 0 +20 sram_ro_addr[7] *5735:24 6.11074e-05 +21 *20111:A *5735:24 0.000568318 +22 *22127:A *5735:24 0 +23 *25176:A *5735:24 0 +24 *25198:A *5735:24 4.8017e-05 +25 *25202:A *5735:12 0.000103557 +26 *25257:A *5735:24 0 +27 *657:39 *5735:23 0.00039844 +28 *657:39 *5735:24 0.000699043 +29 *1471:128 *5735:12 0.000211492 +30 *1734:13 *5735:24 0.00101602 +31 *1734:18 *5735:12 0 +32 *1755:27 *5735:12 0.000708263 +33 *2259:15 *5735:24 7.86825e-06 +34 *2405:20 *5735:12 0.00141346 +35 *2469:10 *5735:12 9.49135e-05 +36 *3103:8 *5735:24 3.00073e-05 +37 *4867:38 *5735:12 0.000171578 +38 *5451:8 *5735:12 6.26227e-05 +39 *5474:20 *5735:24 0 +40 *5731:11 *5735:24 0.000741006 +41 *5733:22 *5735:24 0 +*RES +1 *23955:X *5735:12 47.5245 +2 *5735:12 *5735:23 27.9256 +3 *5735:23 *5735:24 101.077 +4 *5735:24 *25258:A 34.0388 +*END + +*D_NET *5736 0.00240358 +*CONN +*I *25259:A I *D sky130_fd_sc_hd__buf_2 +*I *22131:A I *D sky130_fd_sc_hd__inv_2 +*I *22130:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25259:A 0.000310685 +2 *22131:A 2.1308e-05 +3 *22130:X 0.000396256 +4 *5736:7 0.000728249 +5 *25259:A *25260:A 0.000138118 +6 *25259:A *5814:27 0.00022067 +7 *25259:A *5916:12 0.000278937 +8 *22128:A *25259:A 7.16754e-05 +9 *22128:A *5736:7 0.000115301 +10 *22130:A *5736:7 0.000122378 +11 *25258:A *25259:A 0 +*RES +1 *22130:X *5736:7 16.0973 +2 *5736:7 *22131:A 9.82786 +3 *5736:7 *25259:A 28.8687 +*END + +*D_NET *5737 0.00109427 +*CONN +*I *25260:A I *D sky130_fd_sc_hd__buf_2 +*I *22131:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *25260:A 0.000417287 +2 *22131:Y 0.000417287 +3 *25260:A *25322:A 1.80887e-05 +4 *25260:A *5916:12 0.000103493 +5 *25259:A *25260:A 0.000138118 +*RES +1 *22131:Y *25260:A 36.4246 +*END + +*D_NET *5738 0.00181639 +*CONN +*I *25261:A I *D sky130_fd_sc_hd__buf_2 +*I *23933:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25261:A 0.000593991 +2 *23933:X 0.000593991 +3 *25261:A *25262:A 0 +4 *25261:A *25322:A 0.000596133 +5 *25261:A *5804:28 3.22726e-05 +*RES +1 *23933:X *25261:A 39.7522 +*END + +*D_NET *5739 0.000539894 +*CONN +*I *25262:A I *D sky130_fd_sc_hd__buf_2 +*I *22134:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *25262:A 0.000240816 +2 *22134:Y 0.000240816 +3 *25262:A *25263:A 0 +4 *25262:A *5804:28 5.8261e-05 +5 *25261:A *25262:A 0 +*RES +1 *22134:Y *25262:A 33.4828 +*END + +*D_NET *5740 0.00263254 +*CONN +*I *25263:A I *D sky130_fd_sc_hd__buf_2 +*I *22134:A I *D sky130_fd_sc_hd__inv_2 +*I *22133:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25263:A 0.000405398 +2 *22134:A 3.16451e-05 +3 *22133:X 0.000497601 +4 *5740:10 0.000934644 +5 *22134:A *25322:A 0.000122378 +6 *25263:A *25322:A 0.00036754 +7 *25263:A *5791:17 0 +8 *25263:A *5804:28 0 +9 *25263:A *5805:26 0 +10 *5740:10 *5804:28 4.47442e-05 +11 *25262:A *25263:A 0 +12 *5474:15 *5740:10 0.000228593 +*RES +1 *22133:X *5740:10 27.1811 +2 *5740:10 *22134:A 10.5271 +3 *5740:10 *25263:A 29.1152 +*END + +*D_NET *5741 0.000873213 +*CONN +*I *21823:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25124:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21823:A 0.000267357 +2 *25124:X 0.000267357 +3 *21823:A *20243:B1 0 +4 *21823:A *5830:13 0.0002817 +5 *21930:A *21823:A 5.67995e-05 +*RES +1 *25124:X *21823:A 33.1026 +*END + +*D_NET *5742 0.00702968 +*CONN +*I *21716:A I *D sky130_fd_sc_hd__inv_2 +*I *19724:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25264:A I *D sky130_fd_sc_hd__buf_2 +*I *24846:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21716:A 0.000225515 +2 *19724:A1 9.63135e-05 +3 *25264:A 0 +4 *24846:Q 0.000337825 +5 *5742:19 0.000476571 +6 *5742:13 0.00115026 +7 *5742:7 0.00164283 +8 *19724:A1 *24844:SET_B 5.84003e-05 +9 *21716:A *5743:10 9.7454e-05 +10 *21716:A *5858:316 0.000566144 +11 *5742:7 *24846:RESET_B 0.000103626 +12 *5742:13 *21385:A 6.77533e-05 +13 *5742:13 *21662:A 0.000128908 +14 *5742:13 *25265:A 0 +15 *5742:13 *5743:10 0.000325059 +16 *5742:13 *5754:28 0 +17 *5742:13 *5810:8 0.000168134 +18 *5742:13 *5854:223 0 +19 *5742:19 *5743:10 0.00013268 +20 pll90_sel[0] *5742:13 5.56461e-05 +21 pll90_sel[1] *5742:13 5.04829e-06 +22 *19641:A *21716:A 8.62625e-06 +23 *19641:A *5742:19 0.000118485 +24 *19724:A2 *19724:A1 2.65667e-05 +25 *24846:D *5742:19 0.000263084 +26 *24846:CLK *5742:7 5.05976e-05 +27 *2516:8 *21716:A 6.02695e-05 +28 *2516:8 *5742:13 0.000434857 +29 *2516:8 *5742:19 4.06642e-05 +30 *2926:9 *21716:A 0.000117325 +31 *4844:434 *21716:A 0.000271044 +*RES +1 *24846:Q *5742:7 18.9094 +2 *5742:7 *5742:13 41.2899 +3 *5742:13 *25264:A 9.24915 +4 *5742:7 *5742:19 7.23027 +5 *5742:19 *19724:A1 16.1364 +6 *5742:19 *21716:A 24.3421 +*END + +*D_NET *5743 0.0108303 +*CONN +*I *21569:A I *D sky130_fd_sc_hd__inv_2 +*I *19723:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25265:A I *D sky130_fd_sc_hd__buf_2 +*I *24847:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21569:A 0.000351752 +2 *19723:A1 0.000536195 +3 *25265:A 0.000189004 +4 *24847:Q 7.59072e-05 +5 *5743:10 0.00209328 +6 *5743:8 0.00257224 +7 *5743:7 0.000559434 +8 *19723:A1 *5754:28 1.67271e-05 +9 *21569:A *24847:SET_B 1.81081e-06 +10 *21569:A *5917:325 4.21825e-05 +11 *25265:A *5744:8 0 +12 *5743:10 *21385:A 0.000165495 +13 *5743:10 *5744:8 0 +14 *5743:10 *5810:8 0.000381332 +15 pll90_sel[1] *25265:A 5.56461e-05 +16 *19641:A *5743:10 0.000118485 +17 *19723:A2 *19723:A1 1.15117e-05 +18 *19723:B2 *19723:A1 1.05954e-05 +19 *21716:A *5743:10 9.7454e-05 +20 *21908:A2 *5743:8 2.65192e-05 +21 *21908:A2 *5743:10 0.000268554 +22 *24761:CLK *21569:A 0.000504736 +23 *24846:CLK *5743:10 8.07939e-05 +24 *24850:D *5743:10 5.13058e-05 +25 *514:85 *19723:A1 5.10507e-05 +26 *1467:93 *19723:A1 5.26029e-05 +27 *2505:37 *21569:A 2.85139e-05 +28 *2516:8 *5743:10 0 +29 *2803:27 *21569:A 1.61148e-05 +30 *2803:27 *5743:8 1.99378e-05 +31 *2878:14 *21569:A 9.22013e-06 +32 *3060:19 *19723:A1 0.000630222 +33 *3177:99 *5743:7 3.99086e-06 +34 *4876:66 *21569:A 5.0715e-05 +35 *4928:90 *5743:7 6.37652e-06 +36 *4928:95 *21569:A 7.7517e-05 +37 *4928:95 *5743:8 0.000156145 +38 *4928:95 *5743:10 0.000463123 +39 *4928:97 *5743:10 9.1099e-05 +40 *4928:99 *5743:10 0.000322654 +41 *5671:27 *21569:A 0.000182356 +42 *5742:13 *25265:A 0 +43 *5742:13 *5743:10 0.000325059 +44 *5742:19 *5743:10 0.00013268 +*RES +1 *24847:Q *5743:7 14.7498 +2 *5743:7 *5743:8 3.493 +3 *5743:8 *5743:10 60.3459 +4 *5743:10 *25265:A 18.5984 +5 *5743:8 *19723:A1 33.3571 +6 *5743:7 *21569:A 26.4099 +*END + +*D_NET *5744 0.0085623 +*CONN +*I *21382:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19722:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25266:A I *D sky130_fd_sc_hd__buf_2 +*I *24848:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21382:A 0 +2 *19722:A1 0.000261304 +3 *25266:A 0 +4 *24848:Q 0 +5 *5744:20 0.000364226 +6 *5744:8 0.00176713 +7 *5744:7 0.00183245 +8 *5744:4 0.000168239 +9 *19722:A1 *5856:334 0.000969382 +10 *5744:7 *24845:RESET_B 2.63773e-05 +11 *5744:7 *24848:RESET_B 6.36275e-05 +12 *5744:8 *24850:SET_B 0.000153655 +13 *5744:8 *24884:RESET_B 0.000268662 +14 *5744:8 *5870:29 0.000480771 +15 *5744:8 *5870:40 0.000410764 +16 *5744:8 *5917:86 0.000111594 +17 *5744:8 *5917:93 9.97985e-05 +18 *5744:20 *24848:RESET_B 2.15348e-05 +19 *19719:A *5744:20 0.000190057 +20 *19722:A2 *19722:A1 1.09551e-05 +21 *19722:B2 *19722:A1 1.03434e-05 +22 *24846:CLK *5744:8 5.044e-05 +23 *24850:D *5744:8 2.85997e-05 +24 *25265:A *5744:8 0 +25 *1439:271 *5744:20 0.000190057 +26 *1508:9 *19722:A1 0.000236918 +27 *1508:11 *19722:A1 3.14978e-05 +28 *2803:13 *5744:8 7.3515e-05 +29 *2836:8 *5744:8 0 +30 *2955:5 *5744:7 0.000110684 +31 *2955:5 *5744:20 2.16355e-05 +32 *4844:441 *5744:8 0.000545102 +33 *4928:99 *5744:8 6.29837e-05 +34 *5743:10 *5744:8 0 +*RES +1 *24848:Q *5744:4 9.24915 +2 *5744:4 *5744:7 7.44181 +3 *5744:7 *5744:8 53.7385 +4 *5744:8 *25266:A 13.7491 +5 *5744:4 *5744:20 13.2164 +6 *5744:20 *19722:A1 21.1128 +7 *5744:20 *21382:A 9.24915 +*END + +*D_NET *5745 0.0147235 +*CONN +*I *25267:A I *D sky130_fd_sc_hd__buf_2 +*I *20026:A I *D sky130_fd_sc_hd__inv_2 +*I *19672:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24875:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *25267:A 0.00109755 +2 *20026:A 0.000299918 +3 *19672:A1 1.47608e-05 +4 *24875:Q 0 +5 *5745:33 0.00313335 +6 *5745:32 0.00224027 +7 *5745:12 0.000911398 +8 *5745:4 0.000801186 +9 *20026:A *5860:482 0.000204232 +10 *25267:A *19676:A0 4.36634e-05 +11 *25267:A *21232:A 3.92283e-05 +12 *25267:A *25295:A 0.000704018 +13 *25267:A *5773:11 4.52469e-05 +14 *25267:A *5830:17 5.56976e-05 +15 *25267:A *5858:383 5.07791e-05 +16 *5745:12 *5860:482 4.76283e-05 +17 *5745:33 *20951:B1 8.38732e-05 +18 *5745:33 *21232:A 0 +19 *5745:33 *5871:119 0 +20 *19672:S *19672:A1 6.08467e-05 +21 *19677:A *25267:A 0.000289706 +22 *19678:S *25267:A 0 +23 *19679:A *25267:A 0.00017472 +24 *20029:A3 *20026:A 2.16355e-05 +25 *20946:A *5745:32 6.73186e-05 +26 *20951:A2 *5745:33 1.27831e-06 +27 *21588:B2 *25267:A 0 +28 *21588:B2 *5745:33 0 +29 *21799:A *5745:33 0 +30 *24239:CLK *5745:33 0 +31 *24665:CLK *5745:33 0 +32 *24873:CLK *25267:A 0 +33 *324:10 *25267:A 0 +34 *543:33 *5745:33 3.88358e-05 +35 *1419:62 *5745:33 5.25994e-05 +36 *1419:70 *5745:33 3.88405e-05 +37 *1488:8 *20026:A 0.000209895 +38 *1488:8 *5745:12 0.000272118 +39 *1637:25 *5745:12 0.000154009 +40 *1637:25 *5745:32 3.12913e-05 +41 *1744:61 *25267:A 0.000658075 +42 *1802:206 *19672:A1 6.08467e-05 +43 *2270:8 *5745:12 8.86825e-05 +44 *2586:23 *5745:12 4.28856e-07 +45 *2641:13 *5745:33 0 +46 *2645:12 *5745:33 0.00219651 +47 *2973:10 *5745:32 0.000111708 +48 *4917:82 *5745:33 0 +49 *5435:10 *5745:33 0.000136838 +50 *5481:31 *20026:A 6.1708e-05 +51 *5671:7 *25267:A 0.000222836 +*RES +1 *24875:Q *5745:4 9.24915 +2 *5745:4 *5745:12 17.2188 +3 *5745:12 *19672:A1 14.4725 +4 *5745:12 *20026:A 22.263 +5 *5745:4 *5745:32 9.93753 +6 *5745:32 *5745:33 55.6072 +7 *5745:33 *25267:A 48.9535 +*END + +*D_NET *5746 0.00225027 +*CONN +*I *21931:A I *D sky130_fd_sc_hd__inv_2 +*I *19741:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25268:A I *D sky130_fd_sc_hd__buf_2 +*I *24837:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21931:A 0.000140679 +2 *19741:A1 5.41699e-05 +3 *25268:A 0.000294493 +4 *24837:Q 5.66856e-05 +5 *5746:19 0.000397797 +6 *5746:7 0.000554127 +7 *19741:A1 *19741:A0 1.4091e-06 +8 *21931:A *19741:A0 9.38813e-05 +9 *25268:A *25274:A 0.000127194 +10 *25268:A *5917:6 0 +11 *5746:7 *24838:RESET_B 9.16264e-05 +12 *5746:19 *25274:A 0.000377507 +13 pll_dco_ena *25268:A 5.56461e-05 +14 pll_div[0] *25268:A 5.04829e-06 +15 *19737:B2 *5746:19 0 +16 *24838:D *5746:19 0 +*RES +1 *24837:Q *5746:7 14.7498 +2 *5746:7 *25268:A 21.5663 +3 *5746:7 *5746:19 11.315 +4 *5746:19 *19741:A1 9.97254 +5 *5746:19 *21931:A 13.7342 +*END + +*D_NET *5747 0.00629538 +*CONN +*I *19914:A I *D sky130_fd_sc_hd__inv_2 +*I *19737:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25269:A I *D sky130_fd_sc_hd__buf_2 +*I *24838:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19914:A 0.00048553 +2 *19737:A1 0.000302422 +3 *25269:A 0.000831159 +4 *24838:Q 0.000116628 +5 *5747:19 0.000867639 +6 *5747:7 0.00102747 +7 *19737:A1 *5749:11 0.000233929 +8 *19737:A1 *5860:187 0 +9 *19737:A1 *5917:54 2.16355e-05 +10 *19914:A *19647:A1 0.000236248 +11 *19914:A *5748:9 9.51287e-05 +12 *19914:A *5806:20 0.000164169 +13 *19914:A *5809:10 7.26748e-05 +14 *19914:A *5810:21 0.000164829 +15 *19914:A *5810:23 1.65872e-05 +16 *19914:A *5917:260 0.000543124 +17 *25269:A *25270:A 0.000391786 +18 *25269:A *25272:A 4.66876e-05 +19 *25269:A *5750:8 0 +20 *25269:A *5917:51 0.000102647 +21 *5747:7 *5806:29 6.50586e-05 +22 *5747:19 *5917:51 6.28484e-05 +23 *5747:19 *5917:260 5.56367e-05 +24 pll_div[0] *25269:A 2.32594e-05 +25 pll_div[1] *25269:A 1.62206e-05 +26 pll_div[3] *25269:A 6.12686e-06 +27 *19734:B2 *19914:A 0 +28 *19734:B2 *25269:A 0 +29 *19734:B2 *5747:19 0 +30 *24838:D *19737:A1 2.9373e-05 +31 *24839:CLK *19914:A 0.000211478 +32 *24840:D *19737:A1 6.92705e-05 +33 *4929:84 *5747:7 3.58044e-05 +*RES +1 *24838:Q *5747:7 15.5817 +2 *5747:7 *25269:A 35.4473 +3 *5747:7 *5747:19 2.24725 +4 *5747:19 *19737:A1 22.6228 +5 *5747:19 *19914:A 32.9174 +*END + +*D_NET *5748 0.0122567 +*CONN +*I *21928:A I *D sky130_fd_sc_hd__inv_2 +*I *25270:A I *D sky130_fd_sc_hd__buf_2 +*I *19736:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24839:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21928:A 4.04225e-05 +2 *25270:A 0.00088296 +3 *19736:A1 0.000131523 +4 *24839:Q 0 +5 *5748:31 0.00225111 +6 *5748:9 0.00152141 +7 *5748:5 0.00271761 +8 *19736:A1 *5858:264 8.66625e-05 +9 *25270:A *25271:A 0 +10 *25270:A *5806:20 0 +11 *25270:A *5856:353 0.000231177 +12 *25270:A *5917:51 0 +13 *5748:9 *19647:A1 0.000196193 +14 *5748:9 *5809:10 6.93171e-05 +15 *5748:9 *5858:264 9.21908e-05 +16 *5748:9 *5858:284 0 +17 *5748:31 *19647:A1 0.000287678 +18 *5748:31 *21907:A 0 +19 *5748:31 *24882:RESET_B 0.00036519 +20 *5748:31 *5805:9 0 +21 *5748:31 *5806:20 0 +22 *5748:31 *5807:14 0.00034898 +23 *5748:31 *5858:284 0 +24 *5748:31 *5917:607 0.000300719 +25 pll_div[1] *25270:A 5.56461e-05 +26 pll_div[2] *25270:A 4.52921e-05 +27 *19736:A2 *25270:A 0 +28 *19914:A *5748:9 9.51287e-05 +29 *24839:D *19736:A1 0.00016386 +30 *24840:D *25270:A 2.7961e-05 +31 *24840:CLK *25270:A 7.58739e-05 +32 *24883:D *5748:9 0 +33 *24883:D *5748:31 0 +34 *25269:A *25270:A 0.000391786 +35 *1469:15 *5748:31 7.2401e-05 +36 *1469:50 *5748:31 0.000115903 +37 *1470:8 *5748:31 2.47663e-05 +38 *1470:35 *5748:31 0.000176813 +39 *2405:5 *21928:A 0.000207266 +40 *2619:19 *5748:31 9.49908e-05 +41 *2623:16 *5748:31 7.75615e-05 +42 *2922:19 *5748:31 5.12808e-05 +43 *4929:17 *5748:31 0 +44 *5475:29 *21928:A 0.000207266 +45 *5671:30 *5748:31 0.000849796 +*RES +1 *24839:Q *5748:5 13.7491 +2 *5748:5 *5748:9 17.5817 +3 *5748:9 *19736:A1 13.3002 +4 *5748:9 *25270:A 41.9078 +5 *5748:5 *5748:31 64.5351 +6 *5748:31 *21928:A 16.1364 +*END + +*D_NET *5749 0.00486144 +*CONN +*I *21792:A I *D sky130_fd_sc_hd__inv_2 +*I *19735:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25271:A I *D sky130_fd_sc_hd__buf_2 +*I *24840:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21792:A 0.000344311 +2 *19735:A1 0.000106092 +3 *25271:A 0.000478444 +4 *24840:Q 0 +5 *5749:11 0.00137929 +6 *5749:4 0.00113907 +7 *21792:A *5751:7 0.000127364 +8 *21792:A *5756:33 0.00011642 +9 *21792:A *5917:64 0.000221864 +10 *25271:A *5860:192 0 +11 *5749:11 *24840:SET_B 2.57483e-05 +12 *5749:11 *5751:7 7.33372e-06 +13 *5749:11 *5917:54 2.24071e-05 +14 *5749:11 *5917:64 6.52161e-05 +15 *19733:A2 *21792:A 3.11278e-05 +16 *19736:A2 *19735:A1 1.44611e-05 +17 *19737:A1 *5749:11 0.000233929 +18 *19737:A2 *19735:A1 2.47663e-05 +19 *19737:A2 *25271:A 2.57071e-05 +20 *24840:D *5749:11 2.65667e-05 +21 *24840:CLK *25271:A 7.0954e-05 +22 *24842:D *21792:A 0 +23 *25270:A *25271:A 0 +24 *1513:6 *21792:A 2.83365e-05 +25 *1513:34 *19735:A1 0.000220183 +26 *1514:7 *19735:A1 6.75138e-05 +27 *1514:11 *19735:A1 6.50727e-05 +28 *1517:10 *21792:A 1.92656e-05 +29 *4929:77 *21792:A 0 +30 *4929:83 *21792:A 0 +*RES +1 *24840:Q *5749:4 9.24915 +2 *5749:4 *5749:11 18.1601 +3 *5749:11 *25271:A 25.1319 +4 *5749:11 *19735:A1 17.9683 +5 *5749:4 *21792:A 30.9169 +*END + +*D_NET *5750 0.00505734 +*CONN +*I *21662:A I *D sky130_fd_sc_hd__inv_2 +*I *19734:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25272:A I *D sky130_fd_sc_hd__buf_2 +*I *24841:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21662:A 0.000448336 +2 *19734:A1 0 +3 *25272:A 0.000465556 +4 *24841:Q 0 +5 *5750:8 0.00138544 +6 *5750:4 0.00136822 +7 *21662:A *21459:A 0.000127179 +8 *21662:A *5754:28 0 +9 *21662:A *5854:223 4.51386e-05 +10 *25272:A *25273:A 0.000171273 +11 *5750:8 *24841:RESET_B 1.16404e-05 +12 *5750:8 *25273:A 0 +13 *5750:8 *5854:223 4.65619e-05 +14 pll_div[3] *25272:A 0.000122378 +15 pll_div[4] *25272:A 0.000171288 +16 *19734:A2 *5750:8 8.01837e-05 +17 *19734:B2 *5750:8 2.58518e-05 +18 *24841:D *5750:8 0.000210512 +19 *24849:CLK *21662:A 7.48797e-05 +20 *25269:A *25272:A 4.66876e-05 +21 *25269:A *5750:8 0 +22 *2945:5 *21662:A 6.73351e-05 +23 *4929:84 *5750:8 5.99691e-05 +24 *5742:13 *21662:A 0.000128908 +*RES +1 *24841:Q *5750:4 9.24915 +2 *5750:4 *5750:8 19.8113 +3 *5750:8 *25272:A 26.8577 +4 *5750:8 *19734:A1 13.7491 +5 *5750:4 *21662:A 29.1474 +*END + +*D_NET *5751 0.00453475 +*CONN +*I *21459:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19733:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25273:A I *D sky130_fd_sc_hd__buf_2 +*I *24842:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21459:A 0.000195058 +2 *19733:A1 0.000154992 +3 *25273:A 0.000575877 +4 *24842:Q 0 +5 *5751:7 0.00150172 +6 *5751:4 0.000965911 +7 *21459:A *5754:28 0 +8 *21459:A *5917:85 0.00021243 +9 *5751:7 *24842:RESET_B 5.37479e-05 +10 *5751:7 *5917:64 2.20699e-05 +11 *5751:7 *5917:85 0.000111722 +12 pll_sel[0] *25273:A 5.04829e-06 +13 *19733:B2 *19733:A1 2.65831e-05 +14 *19734:A2 *19733:A1 2.5131e-05 +15 *19734:A2 *25273:A 7.55575e-05 +16 *21662:A *21459:A 0.000127179 +17 *21792:A *5751:7 0.000127364 +18 *25272:A *25273:A 0.000171273 +19 *2945:5 *19733:A1 3.61993e-05 +20 *4843:591 *5751:7 4.59816e-06 +21 *4929:77 *19733:A1 3.92275e-05 +22 *4929:77 *25273:A 2.19131e-05 +23 *4929:83 *25273:A 7.38072e-05 +24 *5749:11 *5751:7 7.33372e-06 +25 *5750:8 *25273:A 0 +*RES +1 *24842:Q *5751:4 9.24915 +2 *5751:4 *5751:7 16.3155 +3 *5751:7 *25273:A 29.183 +4 *5751:7 *19733:A1 17.9683 +5 *5751:4 *21459:A 22.8836 +*END + +*D_NET *5752 0.00124131 +*CONN +*I *21324:A I *D sky130_fd_sc_hd__inv_2 +*I *25125:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21324:A 0.000435714 +2 *25125:X 0.000435714 +3 *21362:A *21324:A 0.000327226 +4 *25123:A *21324:A 2.82583e-05 +5 *25125:A *21324:A 1.43983e-05 +*RES +1 *25125:X *21324:A 35.8756 +*END + +*D_NET *5753 0.00475795 +*CONN +*I *19935:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19743:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25274:A I *D sky130_fd_sc_hd__buf_2 +*I *24836:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19935:A 0.000527115 +2 *19743:A1 0 +3 *25274:A 0.000566184 +4 *24836:Q 4.68006e-05 +5 *5753:8 0.00067993 +6 *5753:7 0.000687662 +7 *19935:A *5860:180 0.000550371 +8 *19935:A *5917:263 0 +9 *25274:A *5860:187 0.000394052 +10 *25274:A *5917:6 0 +11 *25274:A *5917:9 0.000171456 +12 *5753:7 *24836:RESET_B 4.44646e-05 +13 *5753:7 *5809:11 0.000211546 +14 *5753:8 *5860:180 0.000226969 +15 *5753:8 *5860:187 2.51585e-05 +16 pll_ena *25274:A 3.25584e-05 +17 *19736:B2 *25274:A 0 +18 *19737:B2 *25274:A 0 +19 *19741:S *25274:A 7.65913e-05 +20 *19741:S *5753:8 5.03545e-06 +21 *24837:CLK *25274:A 0 +22 *25268:A *25274:A 0.000127194 +23 *1692:7 *19935:A 7.34948e-06 +24 *5746:19 *25274:A 0.000377507 +*RES +1 *24836:Q *5753:7 16.1364 +2 *5753:7 *5753:8 4.32351 +3 *5753:8 *25274:A 33.617 +4 *5753:8 *19743:A1 13.7491 +5 *5753:7 *19935:A 29.1858 +*END + +*D_NET *5754 0.0138891 +*CONN +*I *25275:A I *D sky130_fd_sc_hd__buf_2 +*I *19991:A I *D sky130_fd_sc_hd__inv_2 +*I *19727:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24843:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *25275:A 0.000314648 +2 *19991:A 0.000842078 +3 *19727:A1 0.000364095 +4 *24843:Q 0 +5 *5754:28 0.00253151 +6 *5754:27 0.0022825 +7 *5754:15 0.00104614 +8 *5754:4 0.000502516 +9 *25275:A *5755:13 0.000217335 +10 *25275:A *5756:33 6.92705e-05 +11 *5754:28 *19723:B1 1.22756e-05 +12 *5754:28 *21768:A 0.000306904 +13 *5754:28 *21906:A 5.96936e-05 +14 *5754:28 *24841:RESET_B 0.000170577 +15 *5754:28 *5755:13 0.000755781 +16 *5754:28 *5755:19 0.000121135 +17 *5754:28 *5756:8 0.000207394 +18 *5754:28 *5756:24 0 +19 *5754:28 *5854:223 8.01851e-05 +20 *5754:28 *5917:76 0.000102632 +21 pll_sel[0] *25275:A 0.000122378 +22 pll_sel[1] *25275:A 0.000171288 +23 pll_sel[2] *25275:A 0.000171288 +24 *19642:A *5754:28 0.000318803 +25 *19646:A2 *5754:28 0.000186445 +26 *19723:A1 *5754:28 1.67271e-05 +27 *21459:A *5754:28 0 +28 *21662:A *5754:28 0 +29 *21908:B1 *5754:28 0 +30 *24847:D *5754:28 3.98067e-05 +31 *24886:CLK *19727:A1 2.68516e-05 +32 *1467:93 *19727:A1 3.06289e-05 +33 *1467:93 *19991:A 0.00125794 +34 *1467:93 *5754:28 0.000206658 +35 *2426:38 *19991:A 1.91391e-05 +36 *2516:8 *5754:28 0 +37 *2784:12 *19991:A 9.63895e-05 +38 *2784:12 *5754:28 0 +39 *2803:27 *19727:A1 0.000370222 +40 *4843:603 *5754:28 0.000532772 +41 *4878:35 *5754:15 0.000213725 +42 *4878:35 *5754:27 0.000113968 +43 *4929:25 *19727:A1 7.4235e-06 +44 *5742:13 *5754:28 0 +*RES +1 *24843:Q *5754:4 9.24915 +2 *5754:4 *19727:A1 27.0417 +3 *5754:4 *5754:15 2.38721 +4 *5754:15 *19991:A 42.5048 +5 *5754:15 *5754:27 5.778 +6 *5754:27 *5754:28 69.5181 +7 *5754:28 *25275:A 25.0341 +*END + +*D_NET *5755 0.00790001 +*CONN +*I *21906:A I *D sky130_fd_sc_hd__inv_2 +*I *19726:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25276:A I *D sky130_fd_sc_hd__buf_2 +*I *24844:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21906:A 0.000136891 +2 *19726:A1 9.29147e-05 +3 *25276:A 0 +4 *24844:Q 9.90806e-05 +5 *5755:19 0.000387285 +6 *5755:13 0.00123456 +7 *5755:7 0.00149112 +8 *19726:A1 *5858:316 4.07355e-05 +9 *21906:A *5756:24 0 +10 *5755:13 *21586:A 6.10798e-05 +11 *5755:13 *24841:RESET_B 0.000170577 +12 *5755:13 *5756:33 6.50727e-05 +13 *5755:13 *5917:76 9.76034e-05 +14 *5755:19 *5756:24 0 +15 *5755:19 *5756:33 0 +16 *19646:A2 *5755:13 0.000186445 +17 *19724:A2 *19726:A1 0.000213725 +18 *19724:A2 *5755:19 0.000191541 +19 *19724:B2 *5755:7 5.52609e-05 +20 *19726:A2 *19726:A1 0.000423908 +21 *25275:A *5755:13 0.000217335 +22 *1473:5 *21906:A 5.0715e-05 +23 *2643:8 *5755:13 7.50872e-05 +24 *2926:9 *19726:A1 0.00072965 +25 *4843:591 *5755:13 0.000942802 +26 *5754:28 *21906:A 5.96936e-05 +27 *5754:28 *5755:13 0.000755781 +28 *5754:28 *5755:19 0.000121135 +*RES +1 *24844:Q *5755:7 15.3044 +2 *5755:7 *5755:13 49.583 +3 *5755:13 *25276:A 9.24915 +4 *5755:7 *5755:19 5.15401 +5 *5755:19 *19726:A1 21.6824 +6 *5755:19 *21906:A 17.6896 +*END + +*D_NET *5756 0.00999257 +*CONN +*I *21768:A I *D sky130_fd_sc_hd__inv_2 +*I *25277:A I *D sky130_fd_sc_hd__buf_2 +*I *19725:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24845:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21768:A 0.000239094 +2 *25277:A 0 +3 *19725:A1 0.000382307 +4 *24845:Q 0.000116567 +5 *5756:33 0.00141187 +6 *5756:24 0.00193114 +7 *5756:11 0.0011327 +8 *5756:8 0.000586784 +9 *19725:A1 *5856:334 1.00937e-05 +10 *19725:A1 *5860:169 1.62223e-05 +11 *5756:8 *24845:RESET_B 1.43983e-05 +12 *5756:33 *21586:A 3.51249e-05 +13 *19722:B1 *5756:8 3.9069e-05 +14 *19723:A2 *21768:A 0.000288295 +15 *19723:A2 *5756:8 8.62625e-06 +16 *19724:A2 *5756:24 5.7995e-05 +17 *19725:A2 *19725:A1 0.000152768 +18 *21792:A *5756:33 0.00011642 +19 *21906:A *5756:24 0 +20 *21908:B1 *5756:24 5.04734e-05 +21 *24884:D *5756:33 0.000176881 +22 *24884:CLK *5756:33 0.000238004 +23 *25275:A *5756:33 6.92705e-05 +24 *1467:93 *5756:24 0.00011498 +25 *1508:15 *19725:A1 6.08467e-05 +26 *1508:15 *5756:11 0.000352405 +27 *1508:26 *5756:24 0.000745324 +28 *1508:50 *5756:24 5.05252e-05 +29 *2808:8 *5756:33 0.000249932 +30 *2808:15 *5756:24 9.91648e-05 +31 *2808:15 *5756:33 9.85849e-05 +32 *2955:5 *5756:8 6.08467e-05 +33 *4843:591 *5756:33 0 +34 *4843:603 *5756:8 0.000130024 +35 *4843:616 *21768:A 7.12632e-06 +36 *4843:616 *5756:8 0 +37 *4929:44 *5756:33 0.000369345 +38 *5754:28 *21768:A 0.000306904 +39 *5754:28 *5756:8 0.000207394 +40 *5754:28 *5756:24 0 +41 *5755:13 *5756:33 6.50727e-05 +42 *5755:19 *5756:24 0 +43 *5755:19 *5756:33 0 +*RES +1 *24845:Q *5756:8 18.3808 +2 *5756:8 *5756:11 8.55102 +3 *5756:11 *19725:A1 15.5427 +4 *5756:11 *5756:24 23.4673 +5 *5756:24 *5756:33 45.9548 +6 *5756:33 *25277:A 9.24915 +7 *5756:8 *21768:A 21.6145 +*END + +*D_NET *5757 0.00237419 +*CONN +*I *20004:A I *D sky130_fd_sc_hd__inv_2 +*I *19717:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25278:A I *D sky130_fd_sc_hd__buf_2 +*I *24849:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20004:A 0.00020432 +2 *19717:A1 0 +3 *25278:A 0.000290848 +4 *24849:Q 9.0035e-05 +5 *5757:8 0.000317236 +6 *5757:7 0.000320743 +7 *20004:A *19716:A1 6.08467e-05 +8 *20004:A *25289:A 1.25165e-05 +9 *20004:A *5854:210 0.000139435 +10 *25278:A *25289:A 5.43333e-05 +11 *25278:A *5860:198 3.42931e-05 +12 *25278:A *5917:86 0 +13 *25278:A *5917:93 0 +14 pll_trim[0] *25278:A 5.56461e-05 +15 *19714:B2 *20004:A 7.77309e-06 +16 *19717:B2 *20004:A 3.47202e-05 +17 *19717:B2 *25278:A 3.67528e-06 +18 *19717:B2 *5757:8 9.98029e-06 +19 *24849:CLK *5757:7 0.00024449 +20 *24852:D *20004:A 0.000101148 +21 *24852:D *25278:A 0.000198737 +22 *24852:D *5757:8 5.41227e-05 +23 *1504:16 *20004:A 3.20069e-06 +24 *1504:21 *20004:A 7.52398e-05 +25 *2698:13 *20004:A 6.08467e-05 +*RES +1 *24849:Q *5757:7 16.4137 +2 *5757:7 *5757:8 1.00149 +3 *5757:8 *25278:A 22.9514 +4 *5757:8 *19717:A1 13.7491 +5 *5757:7 *20004:A 20.4571 +*END + +*D_NET *5758 0.00809827 +*CONN +*I *21816:A I *D sky130_fd_sc_hd__inv_2 +*I *19702:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25279:A I *D sky130_fd_sc_hd__buf_2 +*I *24859:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21816:A 0.000966485 +2 *19702:A1 1.86655e-05 +3 *25279:A 0.00126069 +4 *24859:Q 0 +5 *5758:8 0.00205887 +6 *5758:4 0.001746 +7 *21816:A *21331:A 0.000142393 +8 *21816:A *21913:A 0 +9 *25279:A *19702:B1 7.66058e-07 +10 *25279:A *21978:A 0 +11 *25279:A *24861:RESET_B 0.000182019 +12 *25279:A *25280:A 0 +13 *25279:A *25303:A 0 +14 *25279:A *5759:18 0 +15 *25279:A *5784:18 0 +16 *25279:A *5917:148 0.000204232 +17 *5758:8 *19702:B1 9.88681e-05 +18 *19700:B2 *25279:A 2.02035e-05 +19 *19702:A2 *25279:A 4.18989e-05 +20 *19702:B2 *19702:A1 4.80635e-06 +21 *21664:B1 *25279:A 0.000133626 +22 *21664:B2 *25279:A 0.000156152 +23 *24861:D *25279:A 3.77659e-05 +24 *1419:86 *21816:A 6.50727e-05 +25 *1499:11 *25279:A 0.000165481 +26 *1500:55 *25279:A 0.000165495 +27 *1500:66 *19702:A1 1.62258e-05 +28 *1781:13 *21816:A 0.000204468 +29 *1781:13 *5758:8 0.000241371 +30 *2393:8 *21816:A 3.20069e-06 +31 *2563:5 *21816:A 2.65831e-05 +32 *2579:8 *25279:A 0 +33 *2992:10 *25279:A 8.86105e-05 +34 *2992:10 *5758:8 4.21995e-05 +35 *4844:416 *19702:A1 6.11278e-06 +*RES +1 *24859:Q *5758:4 9.24915 +2 *5758:4 *5758:8 17.4743 +3 *5758:8 *25279:A 45.3938 +4 *5758:8 *19702:A1 14.364 +5 *5758:4 *21816:A 35.8041 +*END + +*D_NET *5759 0.00424002 +*CONN +*I *21663:A I *D sky130_fd_sc_hd__inv_2 +*I *19701:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25280:A I *D sky130_fd_sc_hd__buf_2 +*I *24860:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21663:A 0 +2 *19701:A1 0.000439022 +3 *25280:A 0.000377414 +4 *24860:Q 0.000145486 +5 *5759:18 0.000756736 +6 *5759:7 0.000840614 +7 *19701:A1 *19700:B1 0.000340399 +8 *19701:A1 *19703:A1 0.000107496 +9 *19701:A1 *5784:18 0.000113968 +10 *19701:A1 *5854:262 3.83429e-05 +11 *25280:A *21522:A 0 +12 *25280:A *25281:A 0 +13 *25280:A *5760:17 0 +14 *5759:18 *21522:A 0 +15 *5759:18 *5917:148 0 +16 *19701:A2 *19701:A1 8.25547e-05 +17 *24860:D *19701:A1 0.000122083 +18 *24861:CLK *25280:A 0.000102046 +19 *24861:CLK *5759:18 1.69932e-05 +20 *25279:A *25280:A 0 +21 *25279:A *5759:18 0 +22 *1499:26 *19701:A1 8.90621e-05 +23 *4843:586 *5759:7 0.000250949 +24 *4845:443 *19701:A1 0.000228796 +25 *4845:443 *5759:18 0.00018806 +*RES +1 *24860:Q *5759:7 16.4137 +2 *5759:7 *25280:A 23.0557 +3 *5759:7 *5759:18 13.4291 +4 *5759:18 *19701:A1 33.5815 +5 *5759:18 *21663:A 9.24915 +*END + +*D_NET *5760 0.00263372 +*CONN +*I *21522:A I *D sky130_fd_sc_hd__inv_2 +*I *19700:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25281:A I *D sky130_fd_sc_hd__buf_2 +*I *24861:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21522:A 0.000482058 +2 *19700:A1 0.000205717 +3 *25281:A 0.000218045 +4 *24861:Q 4.85009e-05 +5 *5760:17 0.000777423 +6 *5760:7 0.000356194 +7 *19700:A1 *5917:168 0.000155085 +8 *21522:A *25282:A 0 +9 *25281:A *25282:A 0 +10 *5760:17 *25282:A 0 +11 *19699:B2 *21522:A 9.75369e-05 +12 *24861:D *19700:A1 0.000171273 +13 *24862:CLK *21522:A 0 +14 *25280:A *21522:A 0 +15 *25280:A *25281:A 0 +16 *25280:A *5760:17 0 +17 *1744:88 *21522:A 3.82145e-05 +18 *2435:15 *5760:7 1.43983e-05 +19 *4924:28 *21522:A 0 +20 *5671:7 *25281:A 6.92705e-05 +21 *5759:18 *21522:A 0 +*RES +1 *24861:Q *5760:7 14.4725 +2 *5760:7 *25281:A 19.2113 +3 *5760:7 *5760:17 1.832 +4 *5760:17 *19700:A1 20.0186 +5 *5760:17 *21522:A 24.7167 +*END + +*D_NET *5761 0.00305982 +*CONN +*I *21360:A I *D sky130_fd_sc_hd__inv_2 +*I *19699:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25282:A I *D sky130_fd_sc_hd__buf_2 +*I *24862:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21360:A 0.000175544 +2 *19699:A1 0.000202516 +3 *25282:A 0.000326272 +4 *24862:Q 1.49568e-05 +5 *5761:9 0.000767069 +6 *5761:5 0.000428781 +7 *19699:A1 *5854:262 0.000122098 +8 *19699:A1 *5917:179 0 +9 *21360:A *19688:B1 0.000271058 +10 *21360:A *25283:A 0.000195154 +11 *21360:A *25284:A 5.01375e-05 +12 *25282:A *5917:179 0 +13 *5761:5 *5917:203 7.06457e-06 +14 *5761:9 *5854:262 2.65831e-05 +15 *5761:9 *5917:168 1.43983e-05 +16 *5761:9 *5917:176 5.67722e-05 +17 *5761:9 *5917:203 9.2985e-05 +18 *19699:A2 *19699:A1 6.08467e-05 +19 *21522:A *25282:A 0 +20 *24862:D *19699:A1 0.000208976 +21 *24862:D *25282:A 8.62625e-06 +22 *25281:A *25282:A 0 +23 *4843:576 *19699:A1 2.99843e-05 +24 *5760:17 *25282:A 0 +*RES +1 *24862:Q *5761:5 9.69524 +2 *5761:5 *5761:9 11.324 +3 *5761:9 *25282:A 20.9794 +4 *5761:9 *19699:A1 20.0446 +5 *5761:5 *21360:A 24.684 +*END + +*D_NET *5762 0.0056993 +*CONN +*I *21331:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19698:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25283:A I *D sky130_fd_sc_hd__buf_2 +*I *24863:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21331:A 0.000164401 +2 *19698:A1 0.000171017 +3 *25283:A 0.00117901 +4 *24863:Q 0 +5 *5762:20 0.000606234 +6 *5762:4 0.00144983 +7 *25283:A *19697:A1 0 +8 *25283:A *24866:SET_B 0 +9 *25283:A *25284:A 0 +10 *25283:A *5917:179 0 +11 *19915:B2 *19698:A1 0.000131909 +12 *21360:A *25283:A 0.000195154 +13 *21816:A *21331:A 0.000142393 +14 *24863:D *19698:A1 1.03403e-05 +15 *1499:63 *19698:A1 1.43848e-05 +16 *1502:80 *25283:A 0.00018668 +17 *1502:80 *5762:20 4.41736e-05 +18 *1781:13 *21331:A 0.000326398 +19 *2393:8 *5762:20 0 +20 *4844:403 *19698:A1 0.000901889 +21 *4845:432 *25283:A 0 +22 *4845:432 *5762:20 0 +23 *4845:463 *21331:A 0 +24 *4845:463 *5762:20 0 +25 *5671:7 *25283:A 0.000175485 +*RES +1 *24863:Q *5762:4 9.24915 +2 *5762:4 *25283:A 46.9991 +3 *5762:4 *5762:20 10.1001 +4 *5762:20 *19698:A1 23.3462 +5 *5762:20 *21331:A 19.9081 +*END + +*D_NET *5763 0.00172334 +*CONN +*I *21223:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25126:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21223:A 0.000316198 +2 *25126:X 0.000316198 +3 *21223:A *25307:A 1.85012e-05 +4 *21223:A *5796:11 6.3152e-05 +5 *21223:A *5798:11 5.96936e-05 +6 serial_resetn *21223:A 7.86847e-05 +7 *24161:D *21223:A 0.000377625 +8 *24161:CLK *21223:A 6.92705e-05 +9 *1556:10 *21223:A 0.000373061 +10 *2290:10 *21223:A 1.61631e-05 +11 *2422:7 *21223:A 3.47897e-05 +*RES +1 *25126:X *21223:A 40.0551 +*END + +*D_NET *5764 0.00386632 +*CONN +*I *21209:A I *D sky130_fd_sc_hd__inv_2 +*I *19697:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25284:A I *D sky130_fd_sc_hd__buf_2 +*I *24864:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21209:A 0 +2 *19697:A1 0.000337745 +3 *25284:A 0.00059294 +4 *24864:Q 0 +5 *5764:15 0.000447319 +6 *5764:4 0.000702514 +7 *19697:A1 *25286:A 5.1919e-05 +8 *25284:A *24865:SET_B 0 +9 *25284:A *25285:A 0 +10 *25284:A *25286:A 0 +11 *25284:A *5917:225 0 +12 *19691:B2 *25284:A 0 +13 *19697:A2 *19697:A1 2.80287e-05 +14 *21360:A *25284:A 5.01375e-05 +15 *21932:A1 *19697:A1 0.000514279 +16 *24865:D *25284:A 5.0459e-05 +17 *25283:A *19697:A1 0 +18 *25283:A *25284:A 0 +19 *1744:83 *19697:A1 0.00109098 +*RES +1 *24864:Q *5764:4 9.24915 +2 *5764:4 *25284:A 32.7089 +3 *5764:4 *5764:15 2.66451 +4 *5764:15 *19697:A1 35.4384 +5 *5764:15 *21209:A 9.24915 +*END + +*D_NET *5765 0.00341562 +*CONN +*I *19909:A I *D sky130_fd_sc_hd__inv_2 +*I *19691:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25285:A I *D sky130_fd_sc_hd__buf_2 +*I *24865:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19909:A 0.000335387 +2 *19691:A1 0 +3 *25285:A 0.000202192 +4 *24865:Q 0.000113612 +5 *5765:11 0.000292874 +6 *5765:8 0.000539681 +7 *19909:A *25381:A 0 +8 *25285:A *24865:SET_B 4.23384e-05 +9 *25285:A *25286:A 0.000353492 +10 *25285:A *5917:225 1.07248e-05 +11 *5765:8 *5860:302 0 +12 *5765:11 *5860:313 0.000432613 +13 *5765:11 *5917:225 0.000248145 +14 *19691:A2 *19909:A 0 +15 *19691:A2 *5765:11 0.000211492 +16 *24865:D *25285:A 0 +17 *24865:D *5765:11 0.000160617 +18 *24865:CLK *5765:8 0.00014472 +19 *25284:A *25285:A 0 +20 *1494:31 *19909:A 0 +21 *1495:8 *19909:A 0 +22 *2420:10 *19909:A 6.74667e-05 +23 *2420:10 *5765:8 4.20662e-05 +24 *2435:19 *5765:8 0.000148932 +25 *5671:7 *25285:A 6.92705e-05 +*RES +1 *24865:Q *5765:8 17.5517 +2 *5765:8 *5765:11 11.324 +3 *5765:11 *25285:A 25.3723 +4 *5765:11 *19691:A1 9.24915 +5 *5765:8 *19909:A 20.9794 +*END + +*D_NET *5766 0.00571607 +*CONN +*I *21913:A I *D sky130_fd_sc_hd__inv_2 +*I *19690:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25286:A I *D sky130_fd_sc_hd__buf_2 +*I *24866:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21913:A 0.000671778 +2 *19690:A1 0 +3 *25286:A 0.000672219 +4 *24866:Q 0.000221137 +5 *5766:8 0.000751375 +6 *5766:7 0.000972072 +7 *21913:A *24866:SET_B 0 +8 *21913:A *5830:20 0.000474671 +9 *21913:A *5830:22 0.000192384 +10 *25286:A *5830:17 4.66876e-05 +11 *25286:A *5830:20 0.000419789 +12 *25286:A *5917:225 2.5386e-05 +13 *5766:7 *5858:383 0.00032966 +14 *5766:8 *5830:20 7.22413e-05 +15 *5766:8 *5858:378 0.000141677 +16 *19691:B2 *25286:A 0 +17 *19697:A1 *25286:A 5.1919e-05 +18 *21082:A1 *21913:A 3.31882e-05 +19 *21816:A *21913:A 0 +20 *25284:A *25286:A 0 +21 *25285:A *25286:A 0.000353492 +22 *1497:78 *21913:A 4.69495e-06 +23 *2393:8 *21913:A 0 +24 *5671:7 *25286:A 0.0002817 +*RES +1 *24866:Q *5766:7 17.5229 +2 *5766:7 *5766:8 3.07775 +3 *5766:8 *25286:A 36.3788 +4 *5766:8 *19690:A1 13.7491 +5 *5766:7 *21913:A 32.2645 +*END + +*D_NET *5767 0.00760259 +*CONN +*I *21885:A I *D sky130_fd_sc_hd__inv_2 +*I *25287:A I *D sky130_fd_sc_hd__buf_2 +*I *19689:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24867:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21885:A 0.00024379 +2 *25287:A 0 +3 *19689:A1 0.000209392 +4 *24867:Q 0.000127982 +5 *5767:27 0.000690634 +6 *5767:13 0.00115733 +7 *5767:10 0.000629072 +8 *19689:A1 *5860:320 7.00802e-05 +9 *21885:A *5775:13 0.000107834 +10 *21885:A *5775:17 0.000456204 +11 *21885:A *5860:327 0.000166839 +12 *5767:10 *25295:A 0.000134323 +13 *5767:13 *5775:17 0.000226394 +14 *5767:13 *5860:320 4.79157e-05 +15 *5767:27 *25290:A 7.48797e-05 +16 *5767:27 *25293:A 9.9028e-05 +17 *5767:27 *25294:A 0 +18 *5767:27 *5772:15 0.000536595 +19 *5767:27 *5830:17 0.0019447 +20 *19689:A2 *19689:A1 3.14978e-05 +21 *19989:A1 *21885:A 2.16355e-05 +22 *19989:B1 *5767:13 0.000175485 +23 *24867:D *5767:27 4.72583e-05 +24 *24873:CLK *5767:10 1.94236e-05 +25 *24873:CLK *5767:27 0 +26 *1492:88 *21885:A 7.68538e-06 +27 *1492:88 *5767:27 0.000102632 +28 *2839:14 *5767:27 0 +29 *5671:7 *5767:27 0.000273982 +*RES +1 *24867:Q *5767:10 21.3577 +2 *5767:10 *5767:13 8.51196 +3 *5767:13 *19689:A1 13.8548 +4 *5767:13 *5767:27 40.8148 +5 *5767:27 *25287:A 9.24915 +6 *5767:10 *21885:A 18.2916 +*END + +*D_NET *5768 0.00446601 +*CONN +*I *21708:A I *D sky130_fd_sc_hd__inv_2 +*I *25288:A I *D sky130_fd_sc_hd__buf_2 +*I *19688:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24868:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21708:A 0.000138988 +2 *25288:A 0.000408254 +3 *19688:A1 0.000168246 +4 *24868:Q 0.00011821 +5 *5768:11 0.000675678 +6 *5768:8 0.000356376 +7 *19688:A1 *25381:A 6.08467e-05 +8 *21708:A *5772:15 0.000338483 +9 *21708:A *5871:10 0.000148129 +10 *21708:A *5871:12 5.69489e-05 +11 *25288:A *25290:A 0.000133533 +12 *5768:8 *5771:8 6.84784e-06 +13 *5768:8 *5772:15 0.000176222 +14 *5768:8 *5871:10 2.95757e-05 +15 *5768:11 *5871:10 6.08467e-05 +16 *19686:B2 *5768:8 3.48767e-05 +17 *19688:A2 *19688:A1 0.000118166 +18 *19689:B2 *5768:11 9.32983e-05 +19 *24865:CLK *25288:A 0.000196638 +20 *24867:CLK *5768:8 8.41713e-05 +21 *1494:40 *19688:A1 7.90527e-05 +22 *1494:40 *5768:11 0.000213784 +23 *1495:44 *5768:11 0.000430366 +24 *1497:103 *21708:A 5.57012e-05 +25 *2420:10 *25288:A 0 +26 *4843:576 *19688:A1 1.41291e-05 +27 *4843:576 *5768:8 0.000113374 +28 *4923:12 *25288:A 0.000155272 +*RES +1 *24868:Q *5768:8 18.2428 +2 *5768:8 *5768:11 10.7694 +3 *5768:11 *19688:A1 13.8548 +4 *5768:11 *25288:A 30.1205 +5 *5768:8 *21708:A 20.1489 +*END + +*D_NET *5769 0.00491439 +*CONN +*I *21951:A I *D sky130_fd_sc_hd__inv_2 +*I *25289:A I *D sky130_fd_sc_hd__buf_2 +*I *19716:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24850:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21951:A 9.6413e-05 +2 *25289:A 0.000451815 +3 *19716:A1 9.25699e-05 +4 *24850:Q 9.55477e-05 +5 *5769:11 0.000787475 +6 *5769:7 0.000435051 +7 *25289:A *5777:29 0 +8 *25289:A *5854:243 0.000137921 +9 *5769:7 *5858:254 0.000425459 +10 *5769:11 *5777:29 1.56708e-05 +11 *19710:B2 *5769:11 4.66492e-05 +12 *19712:B2 *5769:11 2.95757e-05 +13 *19714:B2 *25289:A 0.000122083 +14 *19716:A2 *19716:A1 0.000171273 +15 *19716:B2 *19716:A1 0.000171273 +16 *19717:A2 *25289:A 2.97007e-05 +17 *20004:A *19716:A1 6.08467e-05 +18 *20004:A *25289:A 1.25165e-05 +19 *24852:D *25289:A 0.00014014 +20 *25278:A *25289:A 5.43333e-05 +21 *1505:39 *25289:A 2.95757e-05 +22 *1505:49 *19716:A1 0.000253916 +23 *1505:72 *19716:A1 6.08467e-05 +24 *1505:72 *5769:11 0.000319954 +25 *1760:8 *21951:A 0.000200468 +26 *1760:8 *5769:11 8.96342e-05 +27 *2698:13 *19716:A1 7.13909e-05 +28 *2698:13 *5769:7 0.000352545 +29 *4928:10 *21951:A 6.91967e-05 +30 *4928:12 *21951:A 1.75816e-05 +31 *4928:12 *5769:11 7.29637e-05 +*RES +1 *24850:Q *5769:7 18.6321 +2 *5769:7 *5769:11 12.044 +3 *5769:11 *19716:A1 14.4094 +4 *5769:11 *25289:A 31.2929 +5 *5769:7 *21951:A 17.6574 +*END + +*D_NET *5770 0.00539847 +*CONN +*I *21501:A I *D sky130_fd_sc_hd__inv_2 +*I *19687:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25290:A I *D sky130_fd_sc_hd__buf_2 +*I *24869:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21501:A 0.000487078 +2 *19687:A1 0 +3 *25290:A 0.00070716 +4 *24869:Q 0 +5 *5770:27 0.00057773 +6 *5770:26 0.000179664 +7 *5770:8 0.0012815 +8 *5770:4 0.000663351 +9 *25290:A *5772:15 0 +10 *5770:8 *24869:SET_B 0.000101875 +11 *19686:B2 *25290:A 0 +12 *25288:A *25290:A 0.000133533 +13 *1439:231 *21501:A 7.33588e-05 +14 *1492:79 *21501:A 6.77678e-05 +15 *1495:39 *25290:A 3.00174e-06 +16 *1495:39 *5770:8 3.64497e-05 +17 *1495:44 *25290:A 0.000133374 +18 *1495:76 *5770:8 3.00174e-06 +19 *2268:11 *5770:8 2.2819e-05 +20 *2268:19 *21501:A 0.000444708 +21 *2268:19 *5770:8 2.31606e-05 +22 *2268:19 *5770:26 2.71342e-05 +23 *2466:14 *25290:A 2.53533e-05 +24 *2466:14 *5770:8 2.40924e-05 +25 *2739:13 *21501:A 0.000131996 +26 *4923:12 *25290:A 0 +27 *5671:7 *25290:A 0.000175485 +28 *5767:27 *25290:A 7.48797e-05 +*RES +1 *24869:Q *5770:4 9.24915 +2 *5770:4 *5770:8 13.8486 +3 *5770:8 *25290:A 32.7781 +4 *5770:8 *19687:A1 13.7491 +5 *5770:4 *5770:26 10.8044 +6 *5770:26 *5770:27 127.479 +7 *5770:27 *21501:A 38.2278 +*END + +*D_NET *5771 0.00320609 +*CONN +*I *21406:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19686:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25291:A I *D sky130_fd_sc_hd__buf_2 +*I *24870:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21406:A 0.000199537 +2 *19686:A1 0 +3 *25291:A 0.000329679 +4 *24870:Q 0 +5 *5771:8 0.000822038 +6 *5771:4 0.000691896 +7 *21406:A *5871:48 0.000245771 +8 *25291:A *19689:B1 0 +9 *25291:A *25293:A 0 +10 *25291:A *5772:15 6.50586e-05 +11 *5771:8 *19689:B1 0 +12 *5771:8 *24870:SET_B 4.44267e-05 +13 *5771:8 *5772:15 0 +14 *5771:8 *5871:10 5.04879e-05 +15 *5771:8 *5871:48 0.000246082 +16 *19675:B *21406:A 0 +17 *19686:A2 *5771:8 0.000190013 +18 *24870:D *5771:8 5.93386e-05 +19 *1488:21 *21406:A 0.00011818 +20 *4843:576 *5771:8 6.74667e-05 +21 *5671:7 *25291:A 6.92705e-05 +22 *5768:8 *5771:8 6.84784e-06 +*RES +1 *24870:Q *5771:4 9.24915 +2 *5771:4 *5771:8 15.7827 +3 *5771:8 *25291:A 20.8723 +4 *5771:8 *19686:A1 13.7491 +5 *5771:4 *21406:A 14.1803 +*END + +*D_NET *5772 0.00610061 +*CONN +*I *21337:A I *D sky130_fd_sc_hd__inv_2 +*I *19685:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25292:A I *D sky130_fd_sc_hd__buf_2 +*I *24871:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21337:A 0.000164344 +2 *19685:A1 0 +3 *25292:A 0 +4 *24871:Q 3.82934e-05 +5 *5772:15 0.0011552 +6 *5772:8 0.00128655 +7 *5772:7 0.00033398 +8 *21337:A *24871:SET_B 2.25948e-05 +9 *5772:8 *24871:SET_B 3.12646e-05 +10 *5772:15 *24871:SET_B 1.24178e-05 +11 *19686:B2 *5772:15 0.00025439 +12 *19687:A2 *5772:15 5.68994e-05 +13 *20030:A2 *5772:7 0.000219655 +14 *21588:A1 *5772:8 4.58723e-05 +15 *21588:A1 *5772:15 0.000251052 +16 *21588:A2 *21337:A 1.00004e-05 +17 *21588:A2 *5772:7 0.000297612 +18 *21588:A2 *5772:8 3.04443e-05 +19 *21708:A *5772:15 0.000338483 +20 *25290:A *5772:15 0 +21 *25291:A *5772:15 6.50586e-05 +22 *1494:14 *5772:8 3.57291e-06 +23 *1494:14 *5772:15 0.000179288 +24 *1494:91 *5772:8 3.91685e-05 +25 *1497:103 *5772:15 0.000289342 +26 *1786:11 *5772:8 0 +27 *2466:16 *21337:A 0 +28 *2739:14 *21337:A 0 +29 *4843:576 *5772:15 2.04806e-05 +30 *4844:389 *5772:15 2.44976e-05 +31 *5671:7 *5772:15 0.000217335 +32 *5767:27 *5772:15 0.000536595 +33 *5768:8 *5772:15 0.000176222 +34 *5771:8 *5772:15 0 +*RES +1 *24871:Q *5772:7 16.9683 +2 *5772:7 *5772:8 3.493 +3 *5772:8 *5772:15 43.3542 +4 *5772:15 *25292:A 9.24915 +5 *5772:8 *19685:A1 13.7491 +6 *5772:7 *21337:A 17.6574 +*END + +*D_NET *5773 0.00776773 +*CONN +*I *21232:A I *D sky130_fd_sc_hd__inv_2 +*I *19684:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25293:A I *D sky130_fd_sc_hd__buf_2 +*I *24872:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21232:A 0.000736399 +2 *19684:A1 0 +3 *25293:A 0.000931533 +4 *24872:Q 2.70855e-05 +5 *5773:11 0.00154087 +6 *5773:7 0.00137282 +7 *25293:A *19689:B1 0.000115008 +8 *25293:A *25294:A 0 +9 *19684:A2 *5773:11 6.08467e-05 +10 *21506:B1 *21232:A 0.000503067 +11 *24870:D *25293:A 0 +12 *25267:A *21232:A 3.92283e-05 +13 *25267:A *5773:11 4.52469e-05 +14 *25291:A *25293:A 0 +15 *1488:16 *25293:A 0.000101405 +16 *1671:59 *5773:7 3.8519e-05 +17 *1744:61 *5773:11 5.92342e-05 +18 *1779:16 *21232:A 3.00073e-05 +19 *1780:80 *21232:A 0.000515076 +20 *2393:9 *5773:11 0.000212707 +21 *2466:11 *25293:A 7.26606e-05 +22 *2645:12 *21232:A 1.89195e-05 +23 *2738:22 *25293:A 5.38612e-06 +24 *2839:14 *25293:A 0.000807121 +25 *4845:418 *25293:A 0.000206965 +26 *4917:26 *21232:A 0 +27 *4917:28 *21232:A 0 +28 *4917:28 *5773:11 0 +29 *5671:7 *25293:A 0.000228593 +30 *5745:33 *21232:A 0 +31 *5767:27 *25293:A 9.9028e-05 +*RES +1 *24872:Q *5773:7 14.1952 +2 *5773:7 *5773:11 18.8386 +3 *5773:11 *25293:A 46.9682 +4 *5773:11 *19684:A1 9.24915 +5 *5773:7 *21232:A 35.2892 +*END + +*D_NET *5774 0.00121118 +*CONN +*I *21735:A I *D sky130_fd_sc_hd__inv_2 +*I *25127:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21735:A 0.000269022 +2 *25127:X 0.000269022 +3 *21735:A *21587:A 0.000358465 +4 *21735:A *5830:9 1.67988e-05 +5 *21735:A *5830:13 1.61631e-05 +6 *21735:A *5871:230 0.000113968 +7 *24746:D *21735:A 5.37706e-05 +8 *2420:29 *21735:A 0 +9 *4915:17 *21735:A 0.000113968 +*RES +1 *25127:X *21735:A 35.6236 +*END + +*D_NET *5775 0.00463443 +*CONN +*I *19678:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *19988:A I *D sky130_fd_sc_hd__inv_2 +*I *25294:A I *D sky130_fd_sc_hd__buf_2 +*I *24873:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *19678:A1 0 +2 *19988:A 0 +3 *25294:A 0.000519301 +4 *24873:Q 0.000443357 +5 *5775:17 0.000678177 +6 *5775:13 0.000602232 +7 *25294:A *5830:17 1.43983e-05 +8 *5775:13 *24744:RESET_B 4.54217e-05 +9 *5775:13 *24873:SET_B 7.48797e-05 +10 *5775:13 *5856:436 9.60216e-05 +11 *5775:13 *5871:60 5.0715e-05 +12 *5775:13 *5871:63 3.10924e-05 +13 *5775:17 *5860:320 0.00092493 +14 *19989:A1 *5775:13 8.62625e-06 +15 *21885:A *5775:13 0.000107834 +16 *21885:A *5775:17 0.000456204 +17 *24873:CLK *25294:A 0 +18 *25293:A *25294:A 0 +19 *1492:88 *5775:13 0.000269619 +20 *1492:88 *5775:17 1.5962e-05 +21 *5671:7 *25294:A 6.92705e-05 +22 *5767:13 *5775:17 0.000226394 +23 *5767:27 *25294:A 0 +*RES +1 *24873:Q *5775:13 29.0392 +2 *5775:13 *5775:17 11.285 +3 *5775:17 *25294:A 29.5248 +4 *5775:17 *19988:A 9.24915 +5 *5775:13 *19678:A1 9.24915 +*END + +*D_NET *5776 0.0067784 +*CONN +*I *21956:A I *D sky130_fd_sc_hd__inv_2 +*I *19676:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25295:A I *D sky130_fd_sc_hd__buf_2 +*I *24874:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21956:A 0.000385445 +2 *19676:A1 0 +3 *25295:A 0.000563494 +4 *24874:Q 9.30838e-05 +5 *5776:11 0.00082987 +6 *5776:7 0.000744905 +7 *21956:A *19676:A0 0 +8 *21956:A *5851:330 0.000984428 +9 *21956:A *5856:436 0.000110516 +10 *21956:A *5858:383 0 +11 *25295:A *19676:A0 0.00016553 +12 *25295:A *5830:17 4.26431e-05 +13 *5776:11 *5856:436 7.86831e-05 +14 *19678:S *5776:11 5.05252e-05 +15 *19989:A1 *25295:A 0.000362887 +16 *19989:A1 *5776:11 0.000161234 +17 *24873:CLK *25295:A 0 +18 *25267:A *25295:A 0.000704018 +19 *1439:213 *5776:7 0.000134244 +20 *1497:113 *5776:11 7.26606e-05 +21 *1502:86 *21956:A 0.000984428 +22 *5671:7 *25295:A 0.000175485 +23 *5767:10 *25295:A 0.000134323 +*RES +1 *24874:Q *5776:7 15.3044 +2 *5776:7 *5776:11 11.4866 +3 *5776:11 *25295:A 37.1766 +4 *5776:11 *19676:A1 9.24915 +5 *5776:7 *21956:A 30.4399 +*END + +*D_NET *5777 0.00621008 +*CONN +*I *21787:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25296:A I *D sky130_fd_sc_hd__buf_2 +*I *19715:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24851:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21787:A 0.000160868 +2 *25296:A 0 +3 *19715:A1 0.00039956 +4 *24851:Q 0 +5 *5777:29 0.00139091 +6 *5777:25 0.00156452 +7 *5777:4 0.0004123 +8 *5777:29 *25297:A 0 +9 *5777:29 *5854:243 4.3116e-06 +10 pll_trim[2] *5777:29 2.65831e-05 +11 *19707:A *21787:A 7.30564e-05 +12 *19707:A *5777:29 0.000195124 +13 *19708:A *21787:A 5.92342e-05 +14 *19708:A *5777:29 9.60216e-05 +15 *19712:B2 *5777:29 7.09395e-05 +16 *19717:A2 *5777:29 7.03198e-05 +17 *24851:D *19715:A1 9.31102e-05 +18 *24852:CLK *5777:29 0 +19 *24990:A *19715:A1 7.50872e-05 +20 *25289:A *5777:29 0 +21 *1419:96 *19715:A1 6.37652e-06 +22 *1419:96 *5777:25 6.37652e-06 +23 *1419:107 *21787:A 0.000333557 +24 *1502:64 *5777:29 0.000128881 +25 *1504:8 *19715:A1 0.000336806 +26 *1504:8 *5777:25 2.33246e-05 +27 *1505:37 *5777:29 0 +28 *1760:8 *21787:A 6.07931e-05 +29 *1760:8 *5777:29 0.000463385 +30 *2563:5 *19715:A1 5.95063e-05 +31 *2698:13 *5777:29 0 +32 *2836:5 *21787:A 1.65872e-05 +33 *4863:31 *21787:A 6.68703e-05 +34 *4928:12 *5777:29 0 +35 *5769:11 *5777:29 1.56708e-05 +*RES +1 *24851:Q *5777:4 9.24915 +2 *5777:4 *19715:A1 28.5275 +3 *5777:4 *5777:25 4.94609 +4 *5777:25 *5777:29 42.6305 +5 *5777:29 *25296:A 9.24915 +6 *5777:25 *21787:A 20.5992 +*END + +*D_NET *5778 0.00375911 +*CONN +*I *21686:A I *D sky130_fd_sc_hd__inv_2 +*I *19714:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25297:A I *D sky130_fd_sc_hd__buf_2 +*I *24852:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21686:A 8.74035e-05 +2 *19714:A1 0.000668366 +3 *25297:A 0.000148099 +4 *24852:Q 1.65932e-05 +5 *5778:18 0.00121822 +6 *5778:5 0.000627142 +7 *19714:A1 *19712:A1 0.000271044 +8 *19714:A1 *5854:233 4.80635e-06 +9 *21686:A *25298:A 0 +10 *5778:5 *5917:106 3.81793e-05 +11 *5778:18 *25298:A 0 +12 *5778:18 *5917:106 0.000377339 +13 *19710:A2 *21686:A 2.94562e-05 +14 *19710:A2 *5778:18 1.03986e-05 +15 *19712:B2 *19714:A1 0.000159535 +16 *24854:D *5778:18 0 +17 *1504:21 *19714:A1 2.41274e-06 +18 *1504:33 *19714:A1 1.43983e-05 +19 *1504:45 *19714:A1 8.5713e-05 +20 *5777:29 *25297:A 0 +*RES +1 *24852:Q *5778:5 9.69524 +2 *5778:5 *25297:A 21.7421 +3 *5778:5 *5778:18 16.6118 +4 *5778:18 *19714:A1 25.0341 +5 *5778:18 *21686:A 15.9964 +*END + +*D_NET *5779 0.00697658 +*CONN +*I *21566:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19713:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25298:A I *D sky130_fd_sc_hd__buf_2 +*I *24853:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21566:A 0.000196891 +2 *19713:A1 0.000230798 +3 *25298:A 0.00122227 +4 *24853:Q 3.10295e-05 +5 *5779:8 0.00155801 +6 *5779:7 0.000332866 +7 *25298:A *5917:106 0.00015324 +8 *25298:A *5917:115 0.000600721 +9 *5779:7 *24853:SET_B 1.33419e-05 +10 pll_trim[4] *25298:A 5.56461e-05 +11 *19711:A2 *19713:A1 0.000135595 +12 *19713:A2 *19713:A1 1.88152e-05 +13 *21686:A *25298:A 0 +14 *21932:B1 *25298:A 3.40676e-05 +15 *1419:96 *21566:A 0.000472818 +16 *2445:7 *19713:A1 0.000683707 +17 *2445:10 *21566:A 0.000264613 +18 *2445:10 *25298:A 2.95757e-05 +19 *2445:10 *5779:8 0.000160384 +20 *2623:7 *21566:A 0.000199733 +21 *2623:8 *21566:A 5.92342e-05 +22 *2719:8 *21566:A 0 +23 *2719:8 *25298:A 0 +24 *2719:8 *5779:8 0 +25 *4844:416 *19713:A1 0.00047703 +26 *4928:10 *19713:A1 4.61962e-05 +27 *5671:10 *25298:A 0 +28 *5778:18 *25298:A 0 +*RES +1 *24853:Q *5779:7 14.1952 +2 *5779:7 *5779:8 3.07775 +3 *5779:8 *25298:A 45.7902 +4 *5779:8 *19713:A1 33.1567 +5 *5779:7 *21566:A 23.6481 +*END + +*D_NET *5780 0.00309758 +*CONN +*I *21375:A I *D sky130_fd_sc_hd__inv_2 +*I *25299:A I *D sky130_fd_sc_hd__buf_2 +*I *19712:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24854:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21375:A 3.78213e-05 +2 *25299:A 0.000304458 +3 *19712:A1 0.000405876 +4 *24854:Q 0 +5 *5780:17 0.000388502 +6 *5780:4 0.000452098 +7 *19712:A1 *5854:243 9.16264e-05 +8 *19712:A1 *5917:115 6.23202e-05 +9 *21375:A *5854:243 6.50727e-05 +10 *25299:A *25300:A 0 +11 *5780:17 *5854:243 0.000138261 +12 pll_trim[5] *25299:A 5.56461e-05 +13 *19710:A2 *19712:A1 6.50586e-05 +14 *19714:A1 *19712:A1 0.000271044 +15 *1500:26 *25299:A 0 +16 *1504:45 *19712:A1 6.92705e-05 +17 *2435:11 *25299:A 3.34802e-05 +18 *4843:586 *19712:A1 0.000106933 +19 *5671:7 *25299:A 6.50727e-05 +20 *5671:10 *19712:A1 5.90632e-05 +21 *5671:10 *25299:A 0.00042597 +*RES +1 *24854:Q *5780:4 9.24915 +2 *5780:4 *19712:A1 31.0913 +3 *5780:4 *5780:17 1.5553 +4 *5780:17 *25299:A 28.4212 +5 *5780:17 *21375:A 10.5513 +*END + +*D_NET *5781 0.00834721 +*CONN +*I *21319:A I *D sky130_fd_sc_hd__inv_2 +*I *25300:A I *D sky130_fd_sc_hd__buf_2 +*I *19711:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24855:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21319:A 9.78713e-05 +2 *25300:A 0.000828229 +3 *19711:A1 0.000681583 +4 *24855:Q 0.000218683 +5 *5781:19 0.000926101 +6 *5781:5 0.000900266 +7 *19711:A1 *24853:SET_B 0.000161121 +8 *21319:A *5851:229 0.000266165 +9 *21319:A *5858:245 0.000269694 +10 *25300:A *21201:A 1.15781e-05 +11 *25300:A *25301:A 0.000290141 +12 *25300:A *5851:229 0.000515488 +13 *25300:A *5858:245 0.000814249 +14 *25300:A *5917:129 0.00031117 +15 *5781:5 *24853:SET_B 2.01758e-05 +16 *5781:5 *24855:SET_B 0.000240621 +17 *19711:A2 *19711:A1 6.50586e-05 +18 *19730:B *25300:A 0.000221086 +19 *24855:D *19711:A1 0.000366589 +20 *25299:A *25300:A 0 +21 *1419:96 *21319:A 6.08467e-05 +22 *1500:12 *25300:A 0.000128732 +23 *1500:26 *25300:A 0.000379538 +24 *2381:11 *21319:A 2.41274e-06 +25 *2435:11 *25300:A 0 +26 *2445:10 *19711:A1 9.60366e-05 +27 *4844:416 *19711:A1 0.000192071 +28 *5671:7 *25300:A 0.0002817 +*RES +1 *24855:Q *5781:5 13.0229 +2 *5781:5 *19711:A1 33.1746 +3 *5781:5 *5781:19 4.5 +4 *5781:19 *25300:A 49.2516 +5 *5781:19 *21319:A 19.2113 +*END + +*D_NET *5782 0.00548889 +*CONN +*I *21201:A I *D sky130_fd_sc_hd__inv_2 +*I *25301:A I *D sky130_fd_sc_hd__buf_2 +*I *19710:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24856:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21201:A 0.000213461 +2 *25301:A 0.000337046 +3 *19710:A1 0.000435948 +4 *24856:Q 0.000290585 +5 *5782:19 0.000624283 +6 *5782:10 0.000800309 +7 *21201:A *19703:B1 0.000251749 +8 *21201:A *25302:A 5.55049e-05 +9 *21201:A *5858:245 0.000122992 +10 *21201:A *5917:129 0.000215148 +11 *25301:A *25302:A 0.000326445 +12 *25301:A *5917:129 9.24241e-05 +13 *19703:B2 *5782:19 0.000158357 +14 *21664:A1 *5782:10 0.000280086 +15 *24858:D *5782:19 0.000200794 +16 *24858:CLK *5782:10 4.40456e-05 +17 *25300:A *21201:A 1.15781e-05 +18 *25300:A *25301:A 0.000290141 +19 *1500:12 *5782:10 0.000140359 +20 *1500:26 *5782:10 9.2346e-06 +21 *1516:38 *21201:A 0.000110458 +22 *4845:443 *19710:A1 0.000459919 +23 *4845:443 *5782:19 1.80257e-05 +*RES +1 *24856:Q *5782:10 24.546 +2 *5782:10 *19710:A1 21.0646 +3 *5782:10 *5782:19 7.44181 +4 *5782:19 *25301:A 26.7929 +5 *5782:19 *21201:A 22.2574 +*END + +*D_NET *5783 0.00562075 +*CONN +*I *20025:A I *D sky130_fd_sc_hd__inv_2 +*I *19704:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25302:A I *D sky130_fd_sc_hd__buf_2 +*I *24857:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20025:A 0.000325622 +2 *19704:A1 0 +3 *25302:A 0.000735029 +4 *24857:Q 7.71518e-05 +5 *5783:10 0.00107517 +6 *5783:5 0.000742919 +7 *20025:A *24857:SET_B 5.04734e-05 +8 *20025:A *5860:288 0 +9 *20025:A *5870:144 1.44611e-05 +10 *25302:A *19703:B1 0.000251749 +11 *25302:A *5858:245 6.82202e-05 +12 *25302:A *5860:288 1.07248e-05 +13 *25302:A *5860:298 0.000354083 +14 *5783:10 *5860:288 0.000161966 +15 *19700:B2 *25302:A 2.01503e-05 +16 *21201:A *25302:A 5.55049e-05 +17 *24857:D *20025:A 0 +18 *24857:D *5783:10 8.79157e-05 +19 *25301:A *25302:A 0.000326445 +20 *1499:18 *25302:A 0.000701299 +21 *1499:18 *5783:10 3.67708e-05 +22 *1511:68 *20025:A 2.95757e-05 +23 *1511:68 *5783:5 0.000144734 +24 *1511:68 *5783:10 6.08467e-05 +25 *2946:8 *20025:A 0 +26 *4844:416 *5783:10 8.2383e-06 +27 *5671:7 *25302:A 0.0002817 +*RES +1 *24857:Q *5783:5 10.8044 +2 *5783:5 *5783:10 11.6288 +3 *5783:10 *25302:A 43.0228 +4 *5783:10 *19704:A1 13.7491 +5 *5783:5 *20025:A 26.0691 +*END + +*D_NET *5784 0.00419884 +*CONN +*I *21978:A I *D sky130_fd_sc_hd__inv_2 +*I *19703:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25303:A I *D sky130_fd_sc_hd__buf_2 +*I *24858:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21978:A 0.000344056 +2 *19703:A1 0.000175585 +3 *25303:A 0.000484559 +4 *24858:Q 1.72607e-05 +5 *5784:18 0.000649997 +6 *5784:7 0.000632177 +7 *21978:A *19700:B1 0.000628221 +8 *25303:A *19700:B1 5.27626e-05 +9 *25303:A *5860:298 0 +10 *5784:7 *5917:137 0.000143751 +11 *5784:18 *19700:B1 2.57674e-05 +12 *19701:A1 *19703:A1 0.000107496 +13 *19701:A1 *5784:18 0.000113968 +14 *24858:D *19703:A1 0.000164843 +15 *24860:D *5784:7 3.50883e-05 +16 *24860:CLK *5784:7 6.50586e-05 +17 *24861:D *25303:A 0 +18 *25279:A *21978:A 0 +19 *25279:A *25303:A 0 +20 *25279:A *5784:18 0 +21 *1499:26 *19703:A1 0.000271044 +22 *1502:65 *21978:A 0.000118166 +23 *1511:68 *21978:A 0 +24 *2946:8 *21978:A 0.00013928 +25 *4845:443 *19703:A1 2.97556e-05 +*RES +1 *24858:Q *5784:7 15.3044 +2 *5784:7 *25303:A 24.7167 +3 *5784:7 *5784:18 8.02525 +4 *5784:18 *19703:A1 14.964 +5 *5784:18 *21978:A 30.4947 +*END + +*D_NET *5785 0.0880458 +*CONN +*I *23941:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *25128:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23941:A1 0 +2 *25128:X 0 +3 *5785:65 0.00091751 +4 *5785:59 0.00185747 +5 *5785:52 0.00223602 +6 *5785:45 0.00283665 +7 *5785:39 0.0146841 +8 *5785:30 0.0142032 +9 *5785:28 0.00153644 +10 *5785:24 0.00128943 +11 *5785:16 0.00183298 +12 *5785:6 0.00207565 +13 *5785:5 0.00105533 +14 *5785:6 *5926:42 0.00156193 +15 *5785:16 *21895:A 0.000884224 +16 *5785:16 *5852:74 0.000105914 +17 *5785:16 *5926:42 0.000397852 +18 *5785:16 *5927:64 0.000540148 +19 *5785:24 *21216:A 0.00012568 +20 *5785:24 *21338:A 0.000122083 +21 *5785:24 *21895:A 0 +22 *5785:24 *5801:58 0.000667906 +23 *5785:24 *5938:8 0.000187165 +24 *5785:28 *5938:8 0 +25 *5785:39 *24665:RESET_B 0.000167644 +26 *5785:45 *23973:S 2.65831e-05 +27 *5785:45 *6027:234 7.69735e-05 +28 *5785:45 *6027:249 1.32509e-05 +29 *5785:52 *20774:A1 6.14756e-06 +30 *5785:52 *5793:34 0.000332528 +31 *5785:52 *5859:294 0.00105748 +32 *5785:52 *6029:20 0.00036208 +33 *5785:52 *6029:44 0.00014636 +34 *5785:59 *5956:32 0.00190959 +35 *5785:65 *5855:341 0.000103136 +36 *19948:A *5785:16 0.000122083 +37 *20317:B1 *5785:65 0.000122083 +38 *20317:B2 *5785:65 0.000135406 +39 *20318:A1 *5785:65 0.00019364 +40 *21011:A1 *5785:52 0.00011818 +41 *21011:A2 *5785:52 0.000209232 +42 *21011:B1 *5785:52 0.00011971 +43 *21214:A *5785:6 0.000127164 +44 *21217:A *5785:16 0.000145477 +45 *21344:A *5785:6 0.000115448 +46 *21369:A *5785:16 0.000341222 +47 *21561:A *5785:6 0.000153225 +48 *21675:A *5785:24 5.53934e-05 +49 *21678:A *5785:6 0.000320257 +50 *21861:A *5785:24 0.000127164 +51 *21934:A *5785:16 5.27799e-05 +52 *22124:B2 *5785:39 0 +53 *23941:A0 *5785:65 0.00017506 +54 *24168:D *5785:39 0 +55 *24397:CLK *5785:52 0.000328385 +56 *24831:CLK_N *5785:6 7.11187e-05 +57 *24831:CLK_N *5785:16 6.92815e-05 +58 *25007:A *5785:24 3.81051e-05 +59 *25008:A *5785:24 4.05774e-05 +60 *25009:A *5785:39 0 +61 *25010:A *5785:16 9.61451e-05 +62 *25011:A *5785:16 2.04806e-05 +63 *25014:A *5785:16 4.01315e-05 +64 *25016:A *5785:16 1.23746e-05 +65 *25018:A *5785:6 3.31736e-05 +66 *25019:A *5785:6 7.00991e-05 +67 *25020:A *5785:6 0.000108103 +68 *25021:A *5785:39 0.000263576 +69 *25024:A *5785:39 0 +70 *25199:A *5785:30 2.02035e-05 +71 *25203:A *5785:24 0.000104505 +72 *25204:A *5785:24 2.02035e-05 +73 *324:11 *5785:65 0.000527136 +74 *510:59 *5785:59 0.00191318 +75 *531:42 *5785:65 0.000575358 +76 *531:51 *5785:65 0 +77 *657:146 *5785:6 8.73404e-05 +78 *1455:74 *5785:16 0 +79 *1455:74 *5785:24 0.000134961 +80 *1573:63 *5785:45 7.9817e-05 +81 *1573:63 *5785:52 8.87022e-05 +82 *1918:36 *5785:65 0.000740249 +83 *2129:14 *5785:59 5.97411e-05 +84 *2164:135 *5785:39 8.65132e-05 +85 *2164:140 *5785:39 0.000170679 +86 *2295:13 *5785:39 0.000834229 +87 *2381:17 *5785:39 0 +88 *2389:33 *5785:59 0.000364356 +89 *2448:171 *5785:59 0.000154145 +90 *2632:28 *5785:24 0 +91 *2632:28 *5785:28 0.000530242 +92 *2632:28 *5785:30 0.00154362 +93 *2715:24 *5785:24 0.000117906 +94 *2802:8 *5785:16 0.000313026 +95 *2803:13 *5785:39 0.000362774 +96 *2812:11 *5785:39 0.000987225 +97 *2839:27 *5785:39 0.0130217 +98 *2859:14 *5785:45 0.000622087 +99 *2864:52 *5785:24 0.00141629 +100 *2877:10 *5785:24 3.57576e-05 +101 *3060:28 *5785:30 0.000744697 +102 *3791:154 *5785:6 0.000246179 +103 *3840:160 *5785:6 1.77199e-05 +104 *3868:200 *5785:6 0.000351415 +105 *3903:16 *5785:6 0.000407104 +106 *3903:48 *5785:6 7.99186e-05 +107 *3903:61 *5785:6 0.000163715 +108 *4867:43 *5785:28 0.000412486 +109 *4867:43 *5785:30 0.000146356 +110 *4867:45 *5785:30 0.000149937 +111 *4867:47 *5785:30 0.000602283 +112 *4867:49 *5785:30 0.000170401 +113 *4867:51 *5785:30 0.000263899 +114 *4870:69 *5785:16 0.000149945 +115 *4877:22 *5785:45 0.000388564 +116 *5454:28 *5785:6 0 +117 *5456:61 *5785:6 0.000618662 +118 *5563:9 *5785:6 0.000355638 +119 *5563:21 *5785:6 7.75615e-05 +120 *5590:10 *5785:24 0 +121 *5590:10 *5785:28 0.000261776 +122 *5674:11 *5785:6 0.000381058 +*RES +1 *25128:X *5785:5 13.7491 +2 *5785:5 *5785:6 56.8529 +3 *5785:6 *5785:16 42.6977 +4 *5785:16 *5785:24 39.7421 +5 *5785:24 *5785:28 20.8359 +6 *5785:28 *5785:30 52.4928 +7 *5785:30 *5785:39 46.0103 +8 *5785:39 *5785:45 34.3079 +9 *5785:45 *5785:52 49.7936 +10 *5785:52 *5785:59 49.5205 +11 *5785:59 *5785:65 42.7021 +12 *5785:65 *23941:A1 9.24915 +*END + +*D_NET *5786 0.00139947 +*CONN +*I *21587:A I *D sky130_fd_sc_hd__inv_2 +*I *25129:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21587:A 0.000266812 +2 *25129:X 0.000266812 +3 *21587:A *20243:B1 9.99386e-06 +4 *21587:A *5830:9 0.000334808 +5 *21735:A *21587:A 0.000358465 +6 *24746:CLK *21587:A 0.000162584 +*RES +1 *25129:X *21587:A 36.9792 +*END + +*D_NET *5787 0.00177716 +*CONN +*I *21175:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *19798:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25304:A I *D sky130_fd_sc_hd__buf_2 +*I *24161:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *21175:A1 0.000131477 +2 *19798:A 9.63768e-06 +3 *25304:A 0.000297905 +4 *24161:Q 4.38017e-05 +5 *5787:17 0.000183712 +6 *5787:5 0.000384303 +7 *19798:A *25305:A 5.92192e-05 +8 *21175:A1 *25305:A 0.000188493 +9 *25304:A *25305:A 0 +10 *25304:A *25307:A 0 +11 serial_clock *25304:A 5.9949e-05 +12 serial_load *21175:A1 0 +13 serial_load *25304:A 2.94426e-05 +14 *24161:D *25304:A 2.36813e-05 +15 *24161:D *5787:5 2.16355e-05 +16 *1556:10 *5787:5 1.62073e-05 +17 *2422:7 *5787:5 0.000160617 +18 *2422:7 *5787:17 0.000167076 +*RES +1 *24161:Q *5787:5 11.0817 +2 *5787:5 *25304:A 25.8947 +3 *5787:5 *5787:17 6.3326 +4 *5787:17 *19798:A 14.7506 +5 *5787:17 *21175:A1 17.2421 +*END + +*D_NET *5788 0.00387496 +*CONN +*I *22003:A I *D sky130_fd_sc_hd__inv_2 +*I *21174:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25305:A I *D sky130_fd_sc_hd__buf_2 +*I *24162:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22003:A 0.000163138 +2 *21174:A1 0.000127166 +3 *25305:A 0.000375412 +4 *24162:Q 5.81894e-05 +5 *5788:18 0.000670353 +6 *5788:7 0.000813651 +7 *21174:A1 *5794:17 4.43445e-05 +8 *22003:A *21172:B1 0.000150554 +9 *22003:A *5796:11 0.000185867 +10 *22003:A *5798:11 0.000114648 +11 *25305:A *25306:A 0.000491934 +12 *25305:A *5861:498 0 +13 *5788:18 *25306:A 0.00024923 +14 *5788:18 *5794:17 0.000122816 +15 *5788:18 *5861:498 0 +16 pwr_ctrl_out[2] *25305:A 0 +17 serial_clock *25305:A 5.9949e-05 +18 *19798:A *25305:A 5.92192e-05 +19 *21175:A1 *25305:A 0.000188493 +20 *25304:A *25305:A 0 +*RES +1 *24162:Q *5788:7 14.4725 +2 *5788:7 *25305:A 26.3777 +3 *5788:7 *5788:18 15.6475 +4 *5788:18 *21174:A1 12.2151 +5 *5788:18 *22003:A 25.4794 +*END + +*D_NET *5789 0.00586458 +*CONN +*I *25306:A I *D sky130_fd_sc_hd__buf_2 +*I *21173:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21866:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24163:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *25306:A 0.00112359 +2 *21173:A1 0 +3 *21866:A 0.000249845 +4 *24163:Q 0 +5 *5789:11 0.000632669 +6 *5789:4 0.00150641 +7 *21866:A *5959:68 0.000626852 +8 *25306:A *5794:20 7.42554e-05 +9 *25306:A *5861:498 0 +10 serial_data_1 *25306:A 6.34391e-05 +11 serial_data_2 *25306:A 2.26985e-05 +12 *21173:B2 *5789:11 0.000111708 +13 *24163:D *5789:11 0.000215209 +14 *24164:D *25306:A 0 +15 *25305:A *25306:A 0.000491934 +16 *520:33 *25306:A 2.18041e-06 +17 *520:33 *5789:11 0.000229834 +18 *2241:8 *21866:A 0.00016345 +19 *2241:13 *21866:A 3.31882e-05 +20 *2689:14 *21866:A 6.80864e-05 +21 *4912:12 *21866:A 0 +22 *5788:18 *25306:A 0.00024923 +*RES +1 *24163:Q *5789:4 9.24915 +2 *5789:4 *5789:11 12.4424 +3 *5789:11 *21866:A 29.2167 +4 *5789:11 *21173:A1 9.24915 +5 *5789:4 *25306:A 48.5193 +*END + +*D_NET *5790 0.00692374 +*CONN +*I *21651:A I *D sky130_fd_sc_hd__inv_2 +*I *21172:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25307:A I *D sky130_fd_sc_hd__buf_2 +*I *24164:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *21651:A 0.000381039 +2 *21172:A1 0 +3 *25307:A 0.000533748 +4 *24164:Q 0.000109415 +5 *5790:8 0.000581871 +6 *5790:7 0.000538576 +7 *21651:A *5796:11 0.000622723 +8 *21651:A *5852:5 0.000616977 +9 *21651:A *5861:498 0.00062985 +10 *25307:A *5796:11 0.00128534 +11 *25307:A *5861:498 0.00091291 +12 *5790:7 *25312:A 0.000160617 +13 *5790:8 *5796:11 0.000167062 +14 *5790:8 *5861:498 0.000174175 +15 serial_load *25307:A 0.000143306 +16 serial_resetn *25307:A 4.76318e-05 +17 *21223:A *25307:A 1.85012e-05 +18 *25304:A *25307:A 0 +*RES +1 *24164:Q *5790:7 15.5817 +2 *5790:7 *5790:8 3.07775 +3 *5790:8 *25307:A 40.081 +4 *5790:8 *21172:A1 13.7491 +5 *5790:7 *21651:A 31.5407 +*END + +*D_NET *5791 0.0222216 +*CONN +*I *19925:A I *D sky130_fd_sc_hd__inv_2 +*I *25308:A I *D sky130_fd_sc_hd__buf_2 +*I *19924:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *19925:A 3.64797e-05 +2 *25308:A 0 +3 *19924:X 0 +4 *5791:23 0.00228407 +5 *5791:17 0.00124548 +6 *5791:8 0.00246948 +7 *5791:5 0.00347158 +8 *19925:A *5801:73 0.00027329 +9 *5791:8 *5804:25 0 +10 *5791:17 *5805:26 0.000500199 +11 *5791:17 *5814:18 0 +12 *5791:17 *5814:27 6.50727e-05 +13 *20154:A1 *5791:8 0.000237791 +14 *20154:A1 *5791:17 3.88655e-06 +15 *20154:A2 *5791:17 0.000122083 +16 *21116:B1 *5791:23 4.86507e-05 +17 *22107:A1 *5791:8 5.66868e-06 +18 *22824:B *5791:8 2.02035e-05 +19 *24168:D *5791:8 3.91685e-05 +20 *24204:D *5791:23 0.00014074 +21 *24799:CLK *5791:17 0.000165495 +22 *25178:A *5791:17 6.92705e-05 +23 *25263:A *5791:17 0 +24 *552:8 *5791:8 0.000432081 +25 *1786:28 *5791:8 0.000650379 +26 *1786:28 *5791:23 0.00232719 +27 *1818:15 *5791:8 0.000223888 +28 *1818:15 *5791:23 0.000114647 +29 *1818:21 *5791:23 0.000900538 +30 *1818:29 *5791:23 0.000188964 +31 *2218:55 *5791:23 0.00106053 +32 *2218:68 *5791:23 0.000425035 +33 *2219:59 *5791:23 0.000911478 +34 *2219:74 *5791:23 0.000521876 +35 *2230:6 *5791:8 0.000143912 +36 *2230:18 *5791:8 0.00079455 +37 *2705:16 *5791:23 0.000172139 +38 *2803:44 *5791:23 0.000378209 +39 *2803:48 *5791:23 0 +40 *4864:75 *5791:8 0.00046761 +41 *4864:75 *5791:17 4.11147e-05 +42 *4867:115 *5791:8 1.91391e-05 +43 *4870:30 *19925:A 0.000118245 +44 *5444:14 *5791:23 9.49244e-05 +45 *5473:39 *5791:17 0.000309506 +46 *5478:12 *5791:8 3.78422e-05 +47 *5483:15 *5791:8 0.000689199 +*RES +1 *19924:X *5791:5 13.7491 +2 *5791:5 *5791:8 49.2807 +3 *5791:8 *5791:17 44.0251 +4 *5791:17 *25308:A 9.24915 +5 *5791:5 *5791:23 91.1112 +6 *5791:23 *19925:A 16.691 +*END + +*D_NET *5792 0.00588101 +*CONN +*I *25309:A I *D sky130_fd_sc_hd__buf_2 +*I *23919:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25309:A 0 +2 *23919:X 0.000177304 +3 *5792:11 0.000789614 +4 *5792:7 0.000966917 +5 *5792:11 *5828:24 0.000113236 +6 *5792:11 *5919:6 0.000170146 +7 *5792:11 *5919:40 1.3023e-05 +8 *5792:11 *5927:30 4.91195e-05 +9 *5792:11 *5927:43 0.00101753 +10 ser_rx *5792:11 8.21715e-05 +11 *23776:B *5792:11 8.65358e-05 +12 *24137:D *5792:11 0.000118485 +13 *24138:D *5792:11 0.00124435 +14 *24138:CLK *5792:11 0 +15 *1690:80 *5792:7 0.000255962 +16 *3860:123 *5792:11 6.86251e-05 +17 *3917:57 *5792:11 0.000727994 +*RES +1 *23919:X *5792:7 16.691 +2 *5792:7 *5792:11 42.7699 +3 *5792:11 *25309:A 9.24915 +*END + +*D_NET *5793 0.0133038 +*CONN +*I *20762:B2 I *D sky130_fd_sc_hd__a32o_2 +*I *22114:B I *D sky130_fd_sc_hd__or3_1 +*I *24035:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *22110:A2 I *D sky130_fd_sc_hd__a221o_1 +*I *20867:B I *D sky130_fd_sc_hd__nor2_1 +*I *25310:A I *D sky130_fd_sc_hd__buf_2 +*I *23936:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *20762:B2 0.000107491 +2 *22114:B 0.000207727 +3 *24035:A1 0 +4 *22110:A2 1.12401e-05 +5 *20867:B 4.76343e-06 +6 *25310:A 0.000738077 +7 *23936:X 0 +8 *5793:49 0.000457114 +9 *5793:43 0.00024056 +10 *5793:34 0.000463958 +11 *5793:22 0.000874281 +12 *5793:6 0.00251649 +13 *5793:5 0.00177841 +14 *5793:4 0.000515463 +15 *20762:B2 *6027:29 0.000200794 +16 *20762:B2 *6028:10 2.82537e-05 +17 *20867:B *20905:A2 4.80635e-06 +18 *20867:B *6029:44 3.43311e-07 +19 *25310:A *5796:11 6.50586e-05 +20 *25310:A *5798:11 6.92705e-05 +21 *5793:6 *23936:A0 8.62625e-06 +22 *5793:6 *24174:D 0.00016554 +23 *5793:22 *20873:B1 0.000217572 +24 *5793:22 *23936:A0 0.000371965 +25 *5793:22 *23936:S 0.000217951 +26 *5793:22 *5859:294 0.000243088 +27 *5793:22 *5871:584 0 +28 *5793:34 *5859:294 0.000165276 +29 *5793:34 *5871:584 0 +30 *5793:34 *6029:44 0.000724026 +31 *5793:43 *22110:C1 6.31665e-05 +32 *5793:49 *22110:C1 2.00611e-05 +33 *5793:49 *6028:10 0.000100765 +34 *20762:A1 *20762:B2 7.13972e-05 +35 *20873:A3 *20867:B 0 +36 *21528:A *5793:6 8.01741e-05 +37 *21741:A *5793:6 0 +38 *324:11 *5793:43 0 +39 *510:71 *20762:B2 7.50722e-05 +40 *510:71 *22114:B 0 +41 *510:71 *5793:43 0.000221185 +42 *510:71 *5793:49 0.00030848 +43 *537:33 *22110:A2 0.000148932 +44 *537:33 *5793:34 0.000235492 +45 *1556:16 *5793:6 0 +46 *1556:19 *5793:22 1.00846e-05 +47 *2061:5 *22114:B 5.08751e-05 +48 *2061:9 *22114:B 0.000213739 +49 *2068:17 *22110:A2 0.00014472 +50 *2068:17 *5793:34 0.000247443 +51 *2386:13 *25310:A 8.05954e-05 +52 *2585:8 *5793:6 0 +53 *2585:8 *5793:22 0.000160076 +54 *4939:12 *5793:6 0.000340874 +55 *5785:52 *5793:34 0.000332528 +*RES +1 *23936:X *5793:4 9.24915 +2 *5793:4 *5793:5 4.5 +3 *5793:5 *5793:6 46.6792 +4 *5793:6 *25310:A 31.6894 +5 *5793:4 *5793:22 23.844 +6 *5793:22 *20867:B 13.93 +7 *5793:22 *5793:34 20.8887 +8 *5793:34 *22110:A2 10.8044 +9 *5793:34 *5793:43 8.40826 +10 *5793:43 *24035:A1 4.5 +11 *5793:43 *5793:49 5.56926 +12 *5793:49 *22114:B 17.4238 +13 *5793:49 *20762:B2 17.5531 +*END + +*D_NET *5794 0.0412354 +*CONN +*I *21370:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *25311:A I *D sky130_fd_sc_hd__buf_2 +*I *6117:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23939:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *21370:A1_N 0.00161294 +2 *25311:A 0.000106411 +3 *6117:DIODE 0 +4 *23939:X 0.000361746 +5 *5794:45 0.00228986 +6 *5794:37 0.0034224 +7 *5794:35 0.00407611 +8 *5794:20 0.000281562 +9 *5794:17 0.00238578 +10 *5794:8 0.00390299 +11 *21370:A1_N *5853:421 2.68951e-05 +12 *5794:8 *23939:A1 4.82966e-05 +13 *5794:8 *23939:S 6.50586e-05 +14 *5794:8 *5871:677 0 +15 *5794:8 *5959:21 0.000166477 +16 *5794:17 *21174:B1 0.00118384 +17 *5794:35 *21010:B2 0 +18 *5794:35 *21330:A 0.000242179 +19 *5794:35 *23995:A1 0 +20 *5794:35 *23995:S 0 +21 *5794:35 *5871:670 0 +22 *5794:35 *5871:677 0 +23 *5794:35 *5983:11 0.000118485 +24 *5794:37 *21010:B2 1.75625e-05 +25 *5794:37 *21011:B2 0.000190042 +26 *5794:37 *6028:60 9.60366e-05 +27 *5794:37 *6028:70 5.15155e-05 +28 *21010:A1 *5794:37 5.53934e-05 +29 *21011:A2 *5794:37 0.00103663 +30 *21013:B1 *5794:37 0.000462934 +31 *21174:A1 *5794:17 4.43445e-05 +32 *21370:B1 *21370:A1_N 0.000248965 +33 *21689:B1 *21370:A1_N 9.85672e-06 +34 *21690:C1 *21370:A1_N 0.000148144 +35 *24257:D *5794:35 3.03792e-05 +36 *24257:D *5794:37 2.53769e-05 +37 *25306:A *5794:20 7.42554e-05 +38 *494:44 *21370:A1_N 0.000342263 +39 *1439:172 *5794:37 0.00347504 +40 *1551:45 *21370:A1_N 0.000532765 +41 *1636:68 *21370:A1_N 2.9143e-05 +42 *1636:68 *5794:45 1.92336e-05 +43 *1695:11 *5794:8 0.000221409 +44 *1695:11 *5794:17 6.08467e-05 +45 *1695:11 *5794:35 2.86829e-05 +46 *1709:87 *21370:A1_N 0.000120377 +47 *1715:151 *21370:A1_N 3.31745e-05 +48 *2093:5 *5794:45 7.13972e-05 +49 *2093:9 *5794:45 4.31539e-05 +50 *2163:41 *5794:35 0.00014665 +51 *2163:52 *5794:35 0.000458176 +52 *2163:52 *5794:37 0.000129485 +53 *2163:73 *5794:37 0.000142286 +54 *2163:86 *5794:37 0.000155001 +55 *2278:24 *5794:35 0 +56 *2278:24 *5794:37 0 +57 *2379:17 *5794:45 1.58551e-05 +58 *2381:26 *5794:37 8.91574e-05 +59 *2392:8 *5794:35 0.000342799 +60 *2392:8 *5794:37 0.000102963 +61 *2392:12 *5794:37 0.00145907 +62 *2424:8 *21370:A1_N 0 +63 *2428:96 *21370:A1_N 0.00227553 +64 *2689:25 *5794:45 7.80884e-05 +65 *2689:35 *5794:45 0.00179869 +66 *2900:60 *5794:37 0.000215133 +67 *2924:89 *21370:A1_N 0.000274886 +68 *2962:8 *5794:35 0.000877201 +69 *3076:58 *21370:A1_N 0.000287855 +70 *3076:58 *5794:45 6.17567e-05 +71 *3177:27 *5794:45 1.66771e-05 +72 *3479:10 *5794:45 4.19401e-06 +73 *5683:16 *21370:A1_N 0.00157871 +74 *5683:19 *5794:45 0.00284247 +75 *5788:18 *5794:17 0.000122816 +*RES +1 *23939:X *5794:8 24.4814 +2 *5794:8 *5794:17 48.7386 +3 *5794:17 *5794:20 9.23876 +4 *5794:20 *6117:DIODE 9.24915 +5 *5794:20 *25311:A 11.9257 +6 *5794:8 *5794:35 44.5663 +7 *5794:35 *5794:37 105.584 +8 *5794:37 *5794:45 46.1924 +9 *5794:45 *21370:A1_N 36.3677 +*END + +*D_NET *5795 0.00654465 +*CONN +*I *25312:A I *D sky130_fd_sc_hd__buf_2 +*I *21330:A I *D sky130_fd_sc_hd__clkinv_2 +*I *23940:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *25312:A 0.00257358 +2 *21330:A 0.000255846 +3 *23940:X 0 +4 *5795:4 0.00282943 +5 *21330:A *21000:B2 6.23875e-05 +6 *21330:A *5871:670 0 +7 *25312:A *21000:B2 2.16355e-05 +8 *25312:A *23940:A0 6.98314e-05 +9 *25312:A *24174:D 2.16355e-05 +10 *25312:A *24907:RESET_B 7.64959e-06 +11 *25312:A *5958:5 3.18944e-05 +12 *24164:D *25312:A 0.000183752 +13 *2267:21 *25312:A 8.42057e-05 +14 *5790:7 *25312:A 0.000160617 +15 *5794:35 *21330:A 0.000242179 +*RES +1 *23940:X *5795:4 9.24915 +2 *5795:4 *21330:A 24.4053 +3 *5795:4 *25312:A 49.9763 +*END + +*D_NET *5796 0.00643386 +*CONN +*I *25313:A I *D sky130_fd_sc_hd__buf_2 +*I *23937:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *25313:A 0 +2 *23937:X 0.000319935 +3 *5796:11 0.00123227 +4 *5796:7 0.0015522 +5 *5796:7 *23937:A0 0.000184627 +6 *5796:11 *21172:B1 2.75292e-05 +7 *5796:11 *23937:A0 1.50262e-05 +8 *5796:11 *5798:11 0 +9 *5796:11 *5861:498 0.000104079 +10 *21223:A *5796:11 6.3152e-05 +11 *21651:A *5796:11 0.000622723 +12 *22003:A *5796:11 0.000185867 +13 *24162:D *5796:11 4.28814e-05 +14 *25307:A *5796:11 0.00128534 +15 *25310:A *5796:11 6.50586e-05 +16 *2267:31 *5796:7 0.000364385 +17 *2882:8 *5796:11 0.000201734 +18 *3017:8 *5796:11 0 +19 *5790:8 *5796:11 0.000167062 +*RES +1 *23937:X *5796:7 22.7916 +2 *5796:7 *5796:11 48.9959 +3 *5796:11 *25313:A 9.24915 +*END + +*D_NET *5797 0.000600175 +*CONN +*I *21374:A I *D sky130_fd_sc_hd__inv_2 +*I *25130:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21374:A 0.000221664 +2 *25130:X 0.000221664 +3 *21374:A *21270:A 0 +4 *21374:A *24746:RESET_B 0.00011946 +5 *21374:A *5871:237 2.06598e-05 +6 *1779:10 *21374:A 0 +7 *2420:32 *21374:A 1.67271e-05 +*RES +1 *25130:X *21374:A 33.0676 +*END + +*D_NET *5798 0.00298982 +*CONN +*I *25314:A I *D sky130_fd_sc_hd__buf_2 +*I *23938:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *25314:A 0 +2 *23938:X 0.000887365 +3 *5798:11 0.000887365 +4 *5798:11 *21172:B1 6.93171e-05 +5 *5798:11 *23938:A0 1.4091e-06 +6 *5798:11 *23938:S 0.000107451 +7 *5798:11 *5959:59 0 +8 *21175:A2 *5798:11 0.000123582 +9 *21175:B2 *5798:11 0 +10 *21223:A *5798:11 5.96936e-05 +11 *22003:A *5798:11 0.000114648 +12 *24162:D *5798:11 0.000148814 +13 *25310:A *5798:11 6.92705e-05 +14 *1556:10 *5798:11 0 +15 *2241:16 *5798:11 0.000304746 +16 *2241:18 *5798:11 0.000215732 +17 *3017:7 *5798:11 4.28856e-07 +18 *5796:11 *5798:11 0 +*RES +1 *23938:X *5798:11 41.8809 +2 *5798:11 *25314:A 9.24915 +*END + +*D_NET *5799 0.00171935 +*CONN +*I *25315:A I *D sky130_fd_sc_hd__buf_2 +*I *23921:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25315:A 0.000545629 +2 *23921:X 0.000545629 +3 *25315:A *6038:DIODE 5.75209e-05 +4 *25315:A *5904:130 0.000250244 +5 spi_sdi *25315:A 6.01329e-05 +6 *22985:A *25315:A 6.50727e-05 +7 *24138:D *25315:A 1.63817e-05 +8 *24138:CLK *25315:A 0.000109262 +9 *3860:104 *25315:A 6.08467e-05 +10 *3860:123 *25315:A 8.62625e-06 +*RES +1 *23921:X *25315:A 37.293 +*END + +*D_NET *5800 0.0875124 +*CONN +*I *25316:A I *D sky130_fd_sc_hd__buf_2 +*I *6033:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6228:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6227:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6226:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22136:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *25316:A 0.00021692 +2 *6033:DIODE 8.29813e-05 +3 *6228:DIODE 0 +4 *6227:DIODE 0 +5 *6226:DIODE 7.32941e-05 +6 *6225:DIODE 0.000259186 +7 *22136:X 0 +8 *5800:56 0.000140652 +9 *5800:51 5.76704e-05 +10 *5800:49 0.0139385 +11 *5800:42 0.0149595 +12 *5800:32 0.0115635 +13 *5800:8 0.000389491 +14 *5800:5 0.0103826 +15 *6225:DIODE *5931:25 0.000423936 +16 *6226:DIODE *5931:25 9.97706e-05 +17 *25316:A *5931:16 0.000251215 +18 *5800:32 *5807:18 0.000152315 +19 *5800:32 *5852:74 0.000134557 +20 *5800:32 *5916:20 0.000326211 +21 *5800:32 *5926:19 3.30319e-05 +22 *5800:32 *5926:35 0.000109191 +23 *5800:32 *5926:54 0.000133193 +24 *5800:32 *5926:56 0.0002368 +25 *5800:32 *5928:30 0.000140228 +26 *5800:42 *5919:40 0.000192966 +27 *5800:42 *5923:17 0 +28 *5800:49 *25331:A 0.000103706 +29 *5800:49 *25338:A 0.000157687 +30 *5800:49 *25341:A 0.00028403 +31 *5800:49 *25344:A 0.000118839 +32 *5800:49 *25357:A 0.000106281 +33 *5800:49 *5821:18 0.000204634 +34 *5800:49 *5822:16 0.000102316 +35 *5800:49 *5824:20 0.000288213 +36 *5800:49 *5826:28 0.000113494 +37 *5800:49 *5827:20 0.000108936 +38 *5800:49 *5829:20 0.000229548 +39 *5800:49 *5831:14 0.000161735 +40 *5800:49 *5832:10 0.000472269 +41 *5800:49 *5837:31 0.000128297 +42 *5800:49 *5840:37 0.000120058 +43 *5800:49 *5843:18 0.000118737 +44 *5800:49 *5926:19 0 +45 *5800:49 *5927:26 0.000270417 +46 *5800:49 *5928:18 0 +47 *5800:49 *5929:10 0.000386575 +48 *5800:49 *5931:16 0.000159636 +49 spimemio_flash_io0_di *25316:A 6.21908e-05 +50 spimemio_flash_io0_di *5800:49 7.20173e-06 +51 *20054:A3 *5800:32 0.00918993 +52 *22089:A1 *5800:49 5.44254e-06 +53 *23344:C *5800:42 6.9747e-05 +54 *23344:D *5800:32 4.81733e-06 +55 *23348:A *5800:32 0.000154378 +56 *23616:B *5800:42 0.000212012 +57 *24134:CLK *5800:49 0.000113119 +58 *657:51 *5800:32 0.000100376 +59 *657:171 *5800:42 0 +60 *657:171 *5800:49 0.000458347 +61 *1734:13 *5800:32 0.000129632 +62 *1734:18 *5800:32 0.000254541 +63 *1755:27 *5800:32 0.000109396 +64 *2283:13 *5800:32 0.000124228 +65 *2405:20 *5800:32 0.000161557 +66 *2426:8 *5800:32 0.00050027 +67 *3083:42 *5800:49 0.000100485 +68 *3083:44 *5800:49 0.000130292 +69 *3084:41 *5800:49 0.000106281 +70 *3084:54 *5800:49 0.000131125 +71 *3084:63 *5800:49 0.000105917 +72 *3084:76 *5800:49 0.000105917 +73 *3840:85 *5800:49 0.000110817 +74 *3883:18 *5800:49 0 +75 *3902:23 *5800:49 0.000493756 +76 *3974:126 *5800:42 0.000102058 +77 *4231:11 *5800:32 1.70614e-05 +78 *4231:11 *5800:42 1.0656e-05 +79 *4233:166 *5800:42 1.36342e-05 +80 *4236:19 *5800:42 0.000895462 +81 *4236:107 *5800:42 0.000554288 +82 *4251:15 *5800:42 0.0003122 +83 *4251:22 *5800:42 0.00037909 +84 *4251:35 *5800:42 0.00108252 +85 *4252:6 *5800:42 0.000136501 +86 *4252:21 *5800:42 0.000144928 +87 *4254:48 *5800:42 1.0397e-05 +88 *4373:22 *5800:49 0.000262983 +89 *4880:106 *5800:49 0.000110463 +90 *4882:22 *5800:49 0.000110463 +91 *4882:39 *5800:49 0.000269392 +92 *4882:62 *5800:49 0.000104172 +93 *5452:23 *5800:32 0.00876692 +94 *5452:23 *5800:42 6.95086e-06 +95 *5452:33 *5800:42 6.40387e-05 +96 *5452:33 *5800:49 0.000283369 +97 *5455:32 *5800:49 0.000198204 +98 *5455:39 *5800:49 0.000373668 +99 *5455:56 *25316:A 0 +100 *5456:79 *5800:32 0.000192761 +101 *5457:67 *5800:49 0.000653631 +102 *5457:89 *5800:49 0.000176256 +103 *5591:10 *5800:32 0.000111435 +104 *5593:20 *5800:49 0.000330571 +105 *5731:11 *5800:8 0.000168334 +106 *5731:11 *5800:32 0.000200329 +107 *5735:24 *5800:8 0.000168334 +108 *5735:24 *5800:32 0.000426394 +*RES +1 *22136:X *5800:5 13.7491 +2 *5800:5 *5800:8 7.57775 +3 *5800:8 *6225:DIODE 13.8548 +4 *5800:8 *6226:DIODE 10.5271 +5 *5800:5 *5800:32 46.7284 +6 *5800:32 *5800:42 41.448 +7 *5800:42 *5800:49 39.5825 +8 *5800:49 *5800:51 4.5 +9 *5800:51 *6227:DIODE 9.24915 +10 *5800:51 *5800:56 0.723396 +11 *5800:56 *6228:DIODE 9.24915 +12 *5800:56 *6033:DIODE 10.5271 +13 *5800:49 *25316:A 21.0145 +*END + +*D_NET *5801 0.0915312 +*CONN +*I *6124:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6123:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6122:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25317:A I *D sky130_fd_sc_hd__buf_2 +*I *6121:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6119:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6118:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22138:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6124:DIODE 0 +2 *6123:DIODE 0 +3 *6122:DIODE 0 +4 *25317:A 0.000214648 +5 *6121:DIODE 0 +6 *6119:DIODE 0 +7 *6118:DIODE 5.81894e-05 +8 *22138:X 0.000136624 +9 *5801:111 0.000274388 +10 *5801:109 0.000117779 +11 *5801:107 0.00238411 +12 *5801:105 0.00300937 +13 *5801:102 0.00156263 +14 *5801:92 0.00763606 +15 *5801:91 0.00783234 +16 *5801:84 0.00185502 +17 *5801:78 0.0022871 +18 *5801:77 0.00319854 +19 *5801:73 0.00256303 +20 *5801:65 0.0032702 +21 *5801:58 0.00310423 +22 *5801:49 0.0017094 +23 *5801:25 0.00112997 +24 *5801:19 0.000398913 +25 *5801:12 0.000466955 +26 *25317:A *6129:DIODE 0.000167076 +27 *25317:A *5931:14 0 +28 *5801:12 *5938:8 0.000225158 +29 *5801:49 *23953:A0 0.000196559 +30 *5801:49 *5926:54 0.000593689 +31 *5801:58 *5938:8 2.19131e-05 +32 *5801:78 *20452:B1 0 +33 *5801:78 *5856:232 2.05758e-06 +34 *5801:78 *5869:163 9.75356e-05 +35 *5801:78 *5869:308 0.000214314 +36 *5801:84 *5856:194 8.36973e-06 +37 *5801:91 *20562:B1 0.000215382 +38 *5801:91 *5856:183 0.000286767 +39 *5801:91 *5856:186 0.00078761 +40 *5801:91 *5856:194 0.000243986 +41 *5801:92 *5851:36 0.000127194 +42 *5801:92 *5861:138 0.0015841 +43 *5801:92 *5861:157 0.0002165 +44 *5801:92 *5861:163 0.00160724 +45 *5801:92 *5868:6 0.000270717 +46 *5801:92 *5868:17 1.82249e-05 +47 *5801:92 *5868:23 0.000262005 +48 *5801:92 *5868:27 0 +49 *5801:92 *5868:856 1.85244e-05 +50 *5801:92 *5925:17 0.0005859 +51 *5801:102 *5907:60 0 +52 *5801:105 *20102:B1 0.000402844 +53 *5801:105 *5898:279 0.000752359 +54 *5801:107 *5834:10 1.29665e-05 +55 spimemio_flash_io1_di *25317:A 0.000104731 +56 *19925:A *5801:73 0.00027329 +57 *19928:A3 *5801:77 0.000176171 +58 *20087:A2 *5801:102 0.000119887 +59 *20102:A1 *5801:105 0.000113197 +60 *20102:A2 *5801:105 0.000118166 +61 *20528:B2 *5801:92 0.000496643 +62 *20561:A *5801:92 0.00015887 +63 *20563:A1 *5801:92 0.000169093 +64 *20642:A1 *5801:78 0.000163723 +65 *20642:B2 *5801:78 3.88655e-06 +66 *20642:B2 *5801:84 0.000175955 +67 *20726:B2 *5801:92 1.45944e-05 +68 *21121:A *5801:73 0.000350024 +69 *22138:A *5801:12 4.31539e-05 +70 *22874:A *5801:107 0.000383703 +71 *23077:A *5801:107 0.000207266 +72 *23079:A1 *5801:107 6.06857e-05 +73 *23079:B1 *5801:107 6.08467e-05 +74 *23200:B *5801:92 0.000153225 +75 *23299:B *5801:92 0.000171593 +76 *23313:B1 *5801:107 0.000113968 +77 *23313:B2 *5801:107 6.22703e-05 +78 *23411:B *5801:92 0.000744344 +79 *23501:A *5801:92 0.00015321 +80 *23550:B *5801:92 0.000217141 +81 *23601:B1 *5801:92 0.000579842 +82 *23681:C *5801:92 0.000252327 +83 *23722:B *5801:92 0.000118485 +84 *23824:B *5801:92 0.000253826 +85 *23957:A0 *5801:105 0.000142276 +86 *23959:A0 *5801:102 0.000362988 +87 *23961:A0 *5801:102 0.000320304 +88 *24153:D *5801:107 0.000409743 +89 *24203:D *5801:73 7.246e-05 +90 *24203:RESET_B *5801:73 3.36672e-05 +91 *24203:CLK *5801:73 7.11871e-05 +92 *24206:D *5801:65 0.000443646 +93 *24384:D *5801:92 0.000101987 +94 *24385:CLK *5801:92 0.000764529 +95 *24421:CLK *5801:92 2.41133e-05 +96 *24530:D *5801:92 4.27503e-05 +97 *24607:CLK *5801:78 0.000217826 +98 *24794:D *5801:77 0.000146424 +99 *24794:RESET_B *5801:77 0.000240345 +100 *24794:CLK *5801:73 2.57986e-05 +101 *24818:D *5801:105 0.000161493 +102 *24818:D *5801:107 7.02172e-06 +103 *24824:D *5801:102 0.000127164 +104 *24824:CLK *5801:92 3.2122e-05 +105 *24824:CLK *5801:102 0 +106 *24828:D *5801:49 0.000169078 +107 *24830:RESET_B *5801:65 4.28856e-07 +108 *25191:A *25317:A 4.69495e-06 +109 *657:51 *5801:49 0.000358858 +110 *657:242 *5801:92 4.21171e-06 +111 *657:242 *5801:102 4.35492e-05 +112 *1301:13 *5801:77 3.82228e-05 +113 *1438:119 *5801:92 0.000155371 +114 *1438:152 *5801:92 0.00131378 +115 *1438:159 *5801:92 0.000134431 +116 *1467:138 *5801:78 4.59541e-06 +117 *1467:138 *5801:84 6.63267e-05 +118 *1467:169 *5801:84 5.48145e-05 +119 *1467:183 *5801:84 7.81185e-05 +120 *1471:169 *5801:58 0.000889389 +121 *1483:20 *5801:92 0.000185174 +122 *1521:46 *5801:92 0.000929646 +123 *1682:19 *5801:73 0.000666817 +124 *1682:19 *5801:77 8.46385e-06 +125 *1714:11 *5801:49 8.28759e-06 +126 *1732:70 *5801:91 0.000172135 +127 *1753:24 *5801:84 0.00123531 +128 *1753:26 *5801:78 0.00255328 +129 *1753:26 *5801:84 2.01595e-05 +130 *1829:122 *5801:102 0.000162144 +131 *1829:131 *5801:102 7.6959e-05 +132 *2022:30 *5801:84 4.15978e-06 +133 *2283:13 *5801:58 0.000383357 +134 *2400:12 *5801:49 5.07882e-05 +135 *2405:20 *5801:49 0.000103659 +136 *2405:24 *5801:49 9.34612e-05 +137 *2510:36 *5801:78 0.00031334 +138 *2521:9 *5801:78 7.86847e-05 +139 *2616:34 *5801:91 0 +140 *2616:40 *5801:84 0.000630678 +141 *2616:40 *5801:91 0.000275833 +142 *2627:24 *5801:78 0 +143 *2704:72 *5801:91 0.00031288 +144 *2715:24 *5801:58 0.000683628 +145 *2785:38 *5801:78 2.33193e-05 +146 *2785:74 *5801:92 0.00103616 +147 *2785:102 *5801:92 2.02035e-05 +148 *2913:15 *5801:78 3.77804e-05 +149 *2937:10 *5801:58 2.59398e-05 +150 *2998:8 *5801:91 0.000273785 +151 *3089:22 *5801:92 0.000676666 +152 *3089:24 *5801:92 8.35615e-06 +153 *3784:10 *5801:107 6.75302e-05 +154 *3986:5 *5801:107 3.99086e-06 +155 *3986:7 *5801:107 4.91225e-06 +156 *3988:8 *5801:107 3.70636e-05 +157 *4111:12 *5801:92 5.41227e-05 +158 *4126:91 *5801:92 0.000139781 +159 *4209:15 *5801:92 0.000486015 +160 *4222:10 *5801:107 0.000175485 +161 *4319:8 *5801:92 0.00184621 +162 *4319:10 *5801:92 0.000158353 +163 *4457:8 *5801:92 0.000114955 +164 *4457:10 *5801:92 0.0022215 +165 *4506:12 *5801:92 1.3807e-05 +166 *4508:8 *5801:92 0.000112835 +167 *4536:10 *5801:92 0.00227355 +168 *4585:17 *5801:92 0.00227335 +169 *4611:12 *5801:92 0 +170 *4656:10 *5801:92 0.00022197 +171 *4656:15 *5801:92 0.000103734 +172 *4723:8 *5801:92 0 +173 *4870:30 *5801:65 9.57316e-06 +174 *4870:30 *5801:73 7.79151e-05 +175 *4870:54 *5801:65 0.000436222 +176 *4873:54 *5801:92 0.00204602 +177 *4873:58 *5801:92 4.49312e-05 +178 *4882:96 *25317:A 4.65545e-06 +179 *4934:38 *5801:92 0.000215475 +180 *5102:9 *5801:91 0.000119171 +181 *5445:10 *5801:65 1.41976e-05 +182 *5445:10 *5801:73 3.31745e-05 +183 *5452:23 *5801:58 0.000149334 +184 *5470:13 *5801:102 9.24241e-05 +185 *5470:23 *5801:102 7.15574e-05 +186 *5590:10 *5801:12 0.000228741 +187 *5631:11 *5801:107 0.000208759 +188 *5680:29 *5801:91 0.000544589 +189 *5785:24 *5801:58 0.000667906 +*RES +1 *22138:X *5801:12 24.0141 +2 *5801:12 *6118:DIODE 9.97254 +3 *5801:12 *5801:19 3.49641 +4 *5801:19 *6119:DIODE 9.24915 +5 *5801:19 *5801:25 1.8326 +6 *5801:25 *6121:DIODE 9.24915 +7 *5801:25 *5801:49 42.948 +8 *5801:49 *5801:58 45.0237 +9 *5801:58 *5801:65 36.9896 +10 *5801:65 *5801:73 27.694 +11 *5801:73 *5801:77 28.794 +12 *5801:77 *5801:78 49.3784 +13 *5801:78 *5801:84 27.3822 +14 *5801:84 *5801:91 49.2835 +15 *5801:91 *5801:92 295.208 +16 *5801:92 *5801:102 33.6531 +17 *5801:102 *5801:105 27.7784 +18 *5801:105 *5801:107 53.4107 +19 *5801:107 *5801:109 1.278 +20 *5801:109 *5801:111 1.278 +21 *5801:111 *25317:A 24.0222 +22 *5801:111 *6122:DIODE 9.24915 +23 *5801:109 *6123:DIODE 9.24915 +24 *5801:107 *6124:DIODE 9.24915 +*END + +*D_NET *5802 0.00033985 +*CONN +*I *25318:A I *D sky130_fd_sc_hd__buf_2 +*I *23934:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25318:A 0.000112941 +2 *23934:X 0.000112941 +3 *25318:A *6039:DIODE 0.000113968 +*RES +1 *23934:X *25318:A 21.4883 +*END + +*D_NET *5803 0.00177258 +*CONN +*I *25319:A I *D sky130_fd_sc_hd__buf_2 +*I *23935:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *25319:A 0.00046973 +2 *23935:X 0.00046973 +3 *25319:A *5838:8 2.61857e-05 +4 *25319:A *5838:16 1.77537e-06 +5 *25319:A *5932:11 2.19276e-05 +6 spimemio_flash_io3_di *25319:A 5.56461e-05 +7 *21593:A2 *25319:A 0.000144695 +8 *24157:D *25319:A 0.000101654 +9 *25196:A *25319:A 0.000481241 +*RES +1 *23935:X *25319:A 38.9568 +*END + +*D_NET *5804 0.0155804 +*CONN +*I *19969:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19651:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25320:A I *D sky130_fd_sc_hd__buf_2 +*I *24879:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *19969:A 0.000179092 +2 *19651:A1 0 +3 *25320:A 0.000917354 +4 *24879:Q 0 +5 *5804:28 0.00260123 +6 *5804:27 0.00168388 +7 *5804:25 0.00176271 +8 *5804:12 0.00247857 +9 *5804:4 0.000894951 +10 *19969:A *5807:14 9.45351e-05 +11 *19969:A *5860:169 0 +12 *19969:A *5860:222 0 +13 *25320:A *25321:A 0.00116397 +14 *5804:12 *19649:B1 0.000116971 +15 *5804:25 *5860:169 2.41483e-05 +16 *5804:25 *5860:176 0.000262003 +17 pad_flash_clk *25320:A 0.000434578 +18 *20247:A *5804:28 0.000299771 +19 *20248:A1 *5804:25 1.41976e-05 +20 *20248:A3 *5804:25 2.52287e-06 +21 *21160:A *5804:28 0.000339738 +22 *22107:A1 *5804:25 6.89558e-05 +23 *22107:A2 *5804:25 0.000321905 +24 *22107:B1 *5804:25 4.00824e-05 +25 *22123:A2 *5804:25 1.41291e-05 +26 *22123:A3 *5804:25 2.15348e-05 +27 *22124:B1 *5804:25 6.02377e-05 +28 *24166:D *5804:25 5.24855e-05 +29 *24168:RESET_B *5804:25 0.000127179 +30 *24169:D *5804:28 0.0008685 +31 *24208:CLK *5804:28 0 +32 *24703:D *5804:28 0 +33 *24879:D *5804:12 3.00073e-05 +34 *25261:A *5804:28 3.22726e-05 +35 *25262:A *5804:28 5.8261e-05 +36 *25263:A *5804:28 0 +37 *552:7 *5804:25 4.82838e-06 +38 *552:8 *5804:25 0 +39 *1470:40 *5804:12 3.67528e-06 +40 *1470:50 *5804:12 1.32509e-05 +41 *1862:47 *5804:28 3.24457e-05 +42 *2951:21 *5804:12 0.000203322 +43 *4937:8 *5804:25 4.73136e-05 +44 *5473:69 *5804:28 0 +45 *5474:15 *5804:28 0 +46 *5474:40 *5804:28 0 +47 *5477:31 *5804:25 3.21493e-05 +48 *5483:15 *5804:25 0 +49 *5485:7 *5804:25 0.000114786 +50 *5731:11 *25320:A 0.000118166 +51 *5740:10 *5804:28 4.47442e-05 +52 *5791:8 *5804:25 0 +*RES +1 *24879:Q *5804:4 9.24915 +2 *5804:4 *5804:12 21.423 +3 *5804:12 *5804:25 47.2937 +4 *5804:25 *5804:27 4.5 +5 *5804:27 *5804:28 49.1707 +6 *5804:28 *25320:A 46.1091 +7 *5804:12 *19651:A1 9.24915 +8 *5804:4 *19969:A 22.5727 +*END + +*D_NET *5805 0.0162344 +*CONN +*I *21907:A I *D sky130_fd_sc_hd__inv_2 +*I *25321:A I *D sky130_fd_sc_hd__buf_2 +*I *19650:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24880:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21907:A 0.000338578 +2 *25321:A 0.000765448 +3 *19650:A1 2.87721e-05 +4 *24880:Q 0 +5 *5805:26 0.00171645 +6 *5805:23 0.00262039 +7 *5805:9 0.00203715 +8 *5805:5 0.000677578 +9 *19650:A1 *5858:287 4.07355e-05 +10 *21907:A *5858:308 0 +11 *25321:A *5917:9 0.00297449 +12 *5805:9 *5858:284 0 +13 *5805:9 *5858:287 0.000264649 +14 *5805:9 *5858:308 0 +15 *5805:23 *5856:353 3.42931e-05 +16 *19650:A2 *19650:A1 6.50586e-05 +17 *20154:A3 *5805:23 6.23875e-05 +18 *20154:A3 *5805:26 0.000196638 +19 *20154:B1 *5805:23 0.00102898 +20 *20306:A *5805:26 9.34344e-05 +21 *24799:D *5805:23 6.79209e-06 +22 *24799:CLK *5805:26 8.07794e-05 +23 *25263:A *5805:26 0 +24 *25320:A *25321:A 0.00116397 +25 *1469:20 *5805:23 9.24241e-05 +26 *1470:35 *19650:A1 0.000164815 +27 *1470:35 *5805:9 0.000299312 +28 *1473:5 *21907:A 0.00025296 +29 *1845:18 *5805:26 0.000151427 +30 *4864:75 *5805:26 2.82537e-05 +31 *4929:17 *21907:A 0 +32 *5473:11 *5805:26 0.000413748 +33 *5486:10 *5805:26 1.8906e-05 +34 *5486:27 *5805:26 1.77537e-06 +35 *5731:11 *25321:A 0.000113968 +36 *5748:31 *21907:A 0 +37 *5748:31 *5805:9 0 +38 *5791:17 *5805:26 0.000500199 +*RES +1 *24880:Q *5805:5 13.7491 +2 *5805:5 *5805:9 15.2323 +3 *5805:9 *19650:A1 11.0817 +4 *5805:9 *5805:23 40.458 +5 *5805:23 *5805:26 38.3064 +6 *5805:26 *25321:A 49.3735 +7 *5805:5 *21907:A 23.5116 +*END + +*D_NET *5806 0.0248045 +*CONN +*I *21773:A I *D sky130_fd_sc_hd__inv_2 +*I *25322:A I *D sky130_fd_sc_hd__buf_2 +*I *19649:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24881:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21773:A 4.22056e-05 +2 *25322:A 0.00133952 +3 *19649:A1 0.000130743 +4 *24881:Q 2.36943e-05 +5 *5806:43 0.00135772 +6 *5806:29 0.00335003 +7 *5806:20 0.00384973 +8 *5806:19 0.00183922 +9 *5806:11 0.000529425 +10 *5806:7 0.00173789 +11 *25322:A *22127:B 0.000169041 +12 *25322:A *23933:A 0.000228593 +13 *25322:A *25323:A 5.0715e-05 +14 *5806:7 *5917:305 0.000164843 +15 *5806:11 *5807:14 0.000395509 +16 *5806:20 *19647:A1 9.57557e-06 +17 *5806:20 *24839:RESET_B 0.00017419 +18 *5806:20 *5856:353 0.000704403 +19 *5806:20 *5870:8 0.000166253 +20 *5806:20 *5917:51 9.60366e-05 +21 *5806:20 *5917:260 0.000591619 +22 *5806:20 *5917:277 5.16327e-05 +23 *5806:20 *5917:290 0.000294965 +24 *5806:29 *24837:SET_B 9.99035e-06 +25 *5806:29 *24838:RESET_B 4.68339e-05 +26 *5806:43 *21738:A 9.17769e-05 +27 *5806:43 *5807:10 0.000254169 +28 *5806:43 *5807:14 0.000383768 +29 sram_ro_addr[3] *25322:A 6.50586e-05 +30 *19644:B2 *5806:43 2.26985e-05 +31 *19646:B2 *5806:20 7.93468e-05 +32 *19914:A *5806:20 0.000164169 +33 *22128:A *25322:A 0.000789099 +34 *22129:A *25322:A 0.000277488 +35 *22130:A *25322:A 3.59437e-05 +36 *22134:A *25322:A 0.000122378 +37 *24837:CLK *5806:29 0.000158997 +38 *24838:CLK *5806:29 0.000317861 +39 *24840:D *5806:20 0.000122098 +40 *25260:A *25322:A 1.80887e-05 +41 *25261:A *25322:A 0.000596133 +42 *25263:A *25322:A 0.00036754 +43 *25270:A *5806:20 0 +44 *1469:50 *19649:A1 0.000266832 +45 *1469:50 *5806:11 0.00011818 +46 *1470:8 *5806:20 4.52469e-05 +47 *1470:35 *5806:20 0.000173612 +48 *1699:11 *21773:A 0.000113968 +49 *1726:6 *5806:11 0 +50 *1726:6 *5806:43 0 +51 *2623:16 *5806:43 0.000233329 +52 *2803:27 *5806:11 0.000124853 +53 *2922:19 *5806:43 0.00044351 +54 *3177:105 *5806:11 0.000132735 +55 *3177:105 *5806:43 0.000104732 +56 *4844:441 *5806:43 7.10629e-05 +57 *4929:84 *5806:29 0.00028001 +58 *5475:29 *5806:43 0.000930837 +59 *5475:31 *5806:43 0.000314737 +60 *5671:27 *5806:7 0.000164843 +61 *5747:7 *5806:29 6.50586e-05 +62 *5748:31 *5806:20 0 +*RES +1 *24881:Q *5806:7 15.5817 +2 *5806:7 *5806:11 16.7511 +3 *5806:11 *19649:A1 12.7456 +4 *5806:11 *5806:19 4.5 +5 *5806:19 *5806:20 58.7215 +6 *5806:20 *5806:29 38.2826 +7 *5806:29 *25322:A 49.687 +8 *5806:7 *5806:43 46.264 +9 *5806:43 *21773:A 15.0271 +*END + +*D_NET *5807 0.0214524 +*CONN +*I *21738:A I *D sky130_fd_sc_hd__inv_2 +*I *19648:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25323:A I *D sky130_fd_sc_hd__buf_2 +*I *24882:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21738:A 0.00065622 +2 *19648:A1 0.000345739 +3 *25323:A 3.84357e-05 +4 *24882:Q 4.66116e-05 +5 *5807:18 0.00133085 +6 *5807:15 0.00424886 +7 *5807:14 0.00404799 +8 *5807:10 0.0016605 +9 *5807:5 0.000926042 +10 *19648:A1 *19637:A0 0.00011708 +11 *19648:A1 *19648:B1 7.23328e-05 +12 *21738:A *5860:247 6.08467e-05 +13 *5807:10 *24882:RESET_B 1.43361e-05 +14 *5807:14 *24882:RESET_B 3.55676e-05 +15 *5807:14 *5860:169 0 +16 *5807:14 *5917:607 0.000112505 +17 *5807:15 *5814:9 9.80912e-05 +18 *5807:15 *5814:13 0.000110583 +19 *5807:15 *5814:17 0.00109079 +20 *5807:18 *23954:A0 0.000295755 +21 *5807:18 *5926:60 0.000320302 +22 sram_ro_addr[3] *5807:18 0.000302577 +23 sram_ro_addr[6] *5807:18 0 +24 *19648:A2 *19648:A1 5.04829e-06 +25 *19969:A *5807:14 9.45351e-05 +26 *24885:CLK *5807:5 4.58003e-05 +27 *24885:CLK *5807:10 6.23875e-05 +28 *25322:A *25323:A 5.0715e-05 +29 *1464:17 *19648:A1 6.9989e-05 +30 *1469:50 *19648:A1 7.60356e-05 +31 *1469:59 *19648:A1 1.56419e-05 +32 *1470:72 *19648:A1 2.6144e-05 +33 *1509:28 *5807:14 0 +34 *1509:30 *5807:14 0 +35 *1687:13 *21738:A 0.000164843 +36 *1726:6 *21738:A 0.000398275 +37 *1726:6 *5807:14 0 +38 *1783:82 *21738:A 0.000169078 +39 *2803:33 *19648:A1 9.66692e-06 +40 *2864:19 *5807:15 8.7726e-05 +41 *2864:25 *5807:15 0.000503703 +42 *2922:19 *5807:10 0.000206063 +43 *4929:17 *5807:14 0 +44 *5473:54 *5807:18 0.000248284 +45 *5475:29 *21738:A 0.00090026 +46 *5731:11 *5807:18 0.000759631 +47 *5733:22 *5807:18 0 +48 *5735:24 *5807:18 0 +49 *5748:31 *5807:14 0.00034898 +50 *5800:32 *5807:18 0.000152315 +51 *5806:11 *5807:14 0.000395509 +52 *5806:43 *21738:A 9.17769e-05 +53 *5806:43 *5807:10 0.000254169 +54 *5806:43 *5807:14 0.000383768 +*RES +1 *24882:Q *5807:5 10.5271 +2 *5807:5 *5807:10 11.4866 +3 *5807:10 *5807:14 36.2302 +4 *5807:14 *5807:15 69.4942 +5 *5807:15 *5807:18 47.442 +6 *5807:18 *25323:A 15.0271 +7 *5807:10 *19648:A1 29.9825 +8 *5807:5 *21738:A 42.2639 +*END + +*D_NET *5808 0.000570857 +*CONN +*I *21270:A I *D sky130_fd_sc_hd__inv_2 +*I *25131:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21270:A 0.000233063 +2 *25131:X 0.000233063 +3 *21270:A *21204:A 0 +4 *21270:A *24746:RESET_B 0 +5 *21374:A *21270:A 0 +6 *2333:13 *21270:A 0.000104731 +*RES +1 *25131:X *21270:A 33.0676 +*END + +*D_NET *5809 0.0152437 +*CONN +*I *21586:A I *D sky130_fd_sc_hd__inv_2 +*I *19647:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25324:A I *D sky130_fd_sc_hd__buf_2 +*I *24883:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21586:A 0.000433785 +2 *19647:A1 0.000294298 +3 *25324:A 0.000851847 +4 *24883:Q 0 +5 *5809:11 0.00421329 +6 *5809:10 0.00339744 +7 *5809:7 0.00100711 +8 *5809:4 0.00111059 +9 *19647:A1 *19647:B1 4.48909e-05 +10 *21586:A *5870:19 3.58812e-05 +11 *25324:A *5916:19 0.000458861 +12 *5809:7 *24883:RESET_B 0.000369025 +13 *5809:7 *5870:19 3.14194e-05 +14 *5809:11 *24836:RESET_B 2.8232e-05 +15 *5809:11 *5870:8 0.000111658 +16 *5809:11 *5917:260 0.00016299 +17 *19646:B2 *19647:A1 0.000174205 +18 *19914:A *19647:A1 0.000236248 +19 *19914:A *5809:10 7.26748e-05 +20 *24703:D *5809:11 2.58616e-05 +21 *24703:CLK *5809:11 0.000299853 +22 *24743:CLK *5809:11 0.000197455 +23 *24836:D *5809:11 2.38962e-05 +24 *24836:CLK *5809:11 1.15862e-05 +25 *24884:D *21586:A 0.000306052 +26 *1470:8 *19647:A1 5.77352e-05 +27 *2643:8 *21586:A 7.50872e-05 +28 *4843:591 *21586:A 0.000217143 +29 *5473:11 *5809:11 0.000124038 +30 *5748:9 *19647:A1 0.000196193 +31 *5748:9 *5809:10 6.93171e-05 +32 *5748:31 *19647:A1 0.000287678 +33 *5753:7 *5809:11 0.000211546 +34 *5755:13 *21586:A 6.10798e-05 +35 *5756:33 *21586:A 3.51249e-05 +36 *5806:20 *19647:A1 9.57557e-06 +*RES +1 *24883:Q *5809:4 9.24915 +2 *5809:4 *5809:7 15.7609 +3 *5809:7 *5809:10 5.91674 +4 *5809:10 *5809:11 51.7469 +5 *5809:11 *25324:A 30.6617 +6 *5809:7 *19647:A1 24.4971 +7 *5809:4 *21586:A 29.8063 +*END + +*D_NET *5810 0.0154842 +*CONN +*I *21385:A I *D sky130_fd_sc_hd__inv_2 +*I *19646:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25325:A I *D sky130_fd_sc_hd__buf_2 +*I *24884:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *21385:A 0.000114265 +2 *19646:A1 0 +3 *25325:A 0.000447797 +4 *24884:Q 0.000166545 +5 *5810:23 0.004654 +6 *5810:21 0.00449234 +7 *5810:13 0.000556787 +8 *5810:8 0.000551459 +9 *21385:A *5851:236 0.000115615 +10 *5810:8 *24884:RESET_B 1.43698e-05 +11 *19646:A2 *5810:13 8.84189e-05 +12 *19646:B1 *5810:13 1.47499e-05 +13 *19646:B1 *5810:21 6.08467e-05 +14 *19646:B2 *5810:13 7.32658e-06 +15 *19646:B2 *5810:21 0.000276991 +16 *19914:A *5810:21 0.000164829 +17 *19914:A *5810:23 1.65872e-05 +18 *24208:CLK *5810:23 0.000316463 +19 *24839:CLK *5810:21 0.000108266 +20 *24839:CLK *5810:23 0.000213272 +21 *24884:D *5810:13 6.08467e-05 +22 *24990:A *21385:A 0.000271044 +23 *1517:10 *5810:8 6.08467e-05 +24 *1672:5 *5810:13 6.45904e-05 +25 *1672:5 *5810:21 0.000900525 +26 *2643:15 *5810:13 0.000663654 +27 *4843:603 *5810:13 3.21548e-05 +28 *5447:14 *5810:23 0.000266846 +29 *5742:13 *21385:A 6.77533e-05 +30 *5742:13 *5810:8 0.000168134 +31 *5743:10 *21385:A 0.000165495 +32 *5743:10 *5810:8 0.000381332 +*RES +1 *24884:Q *5810:8 21.2876 +2 *5810:8 *5810:13 15.0857 +3 *5810:13 *5810:21 14.2509 +4 *5810:21 *5810:23 62.5617 +5 *5810:23 *25325:A 18.6171 +6 *5810:13 *19646:A1 9.24915 +7 *5810:8 *21385:A 19.7687 +*END + +*D_NET *5811 0.0214857 +*CONN +*I *21343:A I *D sky130_fd_sc_hd__clkinv_2 +*I *19645:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25326:A I *D sky130_fd_sc_hd__buf_2 +*I *24885:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21343:A 0.000151718 +2 *19645:A1 5.51515e-05 +3 *25326:A 0.000710714 +4 *24885:Q 0.000293622 +5 *5811:44 0.0020052 +6 *5811:41 0.00281691 +7 *5811:38 0.00266494 +8 *5811:22 0.00176386 +9 *5811:12 0.00130376 +10 *5811:7 0.00107261 +11 *21343:A *5874:89 0.000109018 +12 *5811:7 *24885:RESET_B 0.000157607 +13 *5811:12 *5860:247 9.49244e-05 +14 *5811:22 *5852:41 7.65861e-05 +15 *5811:22 *5860:231 0.000181065 +16 *5811:22 *5860:247 0.000169653 +17 *19644:A2 *19645:A1 2.65667e-05 +18 *20118:A0 *5811:44 3.20069e-06 +19 *21105:A *5811:44 0 +20 *21106:B1 *5811:44 0 +21 *21141:B1 *5811:38 8.63818e-05 +22 *24197:D *5811:38 0.000222149 +23 *24199:CLK *5811:38 5.15707e-05 +24 *24207:D *5811:44 0.000177787 +25 *24207:RESET_B *5811:44 0 +26 *24208:D *5811:44 0 +27 *24209:D *5811:44 5.04734e-05 +28 *24815:RESET_B *5811:44 0 +29 *24826:RESET_B *5811:38 2.652e-05 +30 *24826:CLK *5811:41 1.92336e-05 +31 *24885:CLK *5811:12 2.41274e-06 +32 *552:8 *5811:38 4.3116e-06 +33 *1467:93 *21343:A 0.000106561 +34 *1469:59 *19645:A1 2.73043e-05 +35 *1726:6 *5811:12 3.87501e-05 +36 *1726:6 *5811:22 0.000126859 +37 *1818:15 *5811:38 2.652e-05 +38 *1845:37 *5811:44 0.000367298 +39 *1845:52 *5811:44 0.00080051 +40 *1862:18 *5811:44 0 +41 *1862:24 *5811:44 0 +42 *2229:7 *5811:38 1.21461e-06 +43 *2398:32 *21343:A 6.50727e-05 +44 *2405:5 *21343:A 6.50727e-05 +45 *2447:9 *5811:7 2.73711e-06 +46 *2447:9 *5811:12 3.30518e-05 +47 *2505:43 *5811:22 7.65861e-05 +48 *2619:19 *5811:41 0.000104028 +49 *2619:29 *5811:41 0.0016402 +50 *2625:22 *5811:22 0.00165273 +51 *2838:21 *5811:22 0.000676066 +52 *2838:21 *5811:38 0.00106494 +53 *2864:44 *5811:44 0 +54 *4867:115 *5811:38 0.000115934 +55 *4937:8 *5811:38 3.57386e-05 +56 *5449:8 *5811:44 0 +57 *5477:26 *5811:41 0.000160617 +*RES +1 *24885:Q *5811:7 14.4335 +2 *5811:7 *5811:12 14.2652 +3 *5811:12 *5811:22 40.6177 +4 *5811:22 *5811:38 40.7723 +5 *5811:38 *5811:41 30.1806 +6 *5811:41 *5811:44 42.4589 +7 *5811:44 *25326:A 24.9468 +8 *5811:12 *19645:A1 15.0513 +9 *5811:7 *21343:A 23.7113 +*END + +*D_NET *5812 0.0309741 +*CONN +*I *19644:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *25327:A I *D sky130_fd_sc_hd__buf_2 +*I *21228:C_N I *D sky130_fd_sc_hd__or3b_4 +*I *24886:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *19644:A1 0 +2 *25327:A 0 +3 *21228:C_N 0 +4 *24886:Q 0.000116458 +5 *5812:60 0.00156324 +6 *5812:53 0.00280836 +7 *5812:50 0.00356503 +8 *5812:47 0.00288487 +9 *5812:32 0.000807559 +10 *5812:22 0.00141736 +11 *5812:16 0.00270995 +12 *5812:8 0.00165165 +13 *5812:32 *5917:336 0 +14 *5812:47 *5874:89 2.02035e-05 +15 *19624:A1 *5812:22 0.000152255 +16 *19644:A2 *5812:32 4.92508e-05 +17 *20064:A *5812:50 2.16355e-05 +18 *20065:A *5812:53 6.37975e-05 +19 *20072:B1 *5812:53 0 +20 *20217:B *5812:16 0.000177049 +21 *21105:A *5812:60 3.14978e-05 +22 *21106:A1 *5812:60 0.000100482 +23 *21106:B1 *5812:60 2.57847e-05 +24 *21140:A *5812:50 5.23887e-05 +25 *21140:A *5812:53 1.32509e-05 +26 *21546:A *5812:22 6.54001e-05 +27 *21570:B1 *5812:8 6.50586e-05 +28 *22107:A1 *5812:53 0 +29 *22107:A2 *5812:53 5.44567e-05 +30 *22107:A3 *5812:53 5.19205e-05 +31 *24166:D *5812:53 0 +32 *24207:D *5812:60 0.000267404 +33 *24677:D *5812:22 0.000165495 +34 *24826:RESET_B *5812:53 9.60216e-05 +35 *24886:D *5812:47 7.09666e-06 +36 *657:16 *5812:53 0 +37 *657:22 *5812:50 9.40969e-05 +38 *1464:17 *5812:16 0.00117117 +39 *1464:17 *5812:32 3.61409e-05 +40 *1464:17 *5812:47 0.000459901 +41 *1467:93 *5812:47 1.00824e-05 +42 *1471:84 *5812:8 6.08467e-05 +43 *1668:47 *5812:22 0.000165495 +44 *1698:77 *5812:22 0.000499927 +45 *1698:81 *5812:22 0.000990042 +46 *1813:13 *5812:60 3.83429e-05 +47 *1818:15 *5812:53 0 +48 *1845:52 *5812:60 0 +49 *2230:18 *5812:53 3.93193e-05 +50 *2398:32 *5812:32 2.43314e-05 +51 *2398:38 *5812:32 6.08467e-05 +52 *2426:43 *5812:16 0.000221847 +53 *2426:43 *5812:32 0.000254654 +54 *2563:8 *5812:47 4.88764e-06 +55 *2603:13 *5812:22 0.000106891 +56 *2625:22 *5812:50 0.00290721 +57 *2632:27 *5812:53 0 +58 *2704:10 *5812:16 8.34973e-05 +59 *2704:10 *5812:22 0.001217 +60 *2704:15 *5812:22 0.000208447 +61 *2719:16 *5812:22 1.12605e-05 +62 *2838:21 *5812:50 1.68848e-05 +63 *2878:14 *5812:8 9.80784e-05 +64 *2878:14 *5812:32 8.3506e-05 +65 *2922:13 *5812:47 0.000240111 +66 *4845:477 *5812:32 0.000918521 +67 *4867:66 *5812:60 0.000740401 +68 *4876:69 *5812:47 0.000240111 +69 *5189:10 *5812:22 9.75356e-05 +70 *5474:63 *5812:53 0.000283053 +71 *5486:27 *5812:53 0.000584731 +*RES +1 *24886:Q *5812:8 16.7198 +2 *5812:8 *5812:16 33.0816 +3 *5812:16 *5812:22 47.583 +4 *5812:22 *21228:C_N 13.7491 +5 *5812:8 *5812:32 16.4837 +6 *5812:32 *5812:47 30.6348 +7 *5812:47 *5812:50 43.491 +8 *5812:50 *5812:53 39.5522 +9 *5812:53 *5812:60 46.8008 +10 *5812:60 *25327:A 9.24915 +11 *5812:32 *19644:A1 9.24915 +*END + +*D_NET *5813 0.00750073 +*CONN +*I *21912:A I *D sky130_fd_sc_hd__inv_2 +*I *25328:A I *D sky130_fd_sc_hd__buf_2 +*I *19657:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24877:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21912:A 0.000227915 +2 *25328:A 0 +3 *19657:A1 6.59949e-05 +4 *24877:Q 0.000278445 +5 *5813:22 0.00270514 +6 *5813:21 0.00297825 +7 *5813:10 0.000389631 +8 *5813:10 *19657:A0 0.000148416 +9 *5813:10 *24878:SET_B 0 +10 *5813:10 *5917:269 8.07794e-05 +11 *5813:21 *24878:SET_B 0.000139079 +12 *5813:21 *5917:269 3.20069e-06 +13 *19657:S *5813:10 5.92192e-05 +14 *19657:S *5813:21 0.000141016 +15 *1473:5 *21912:A 0.000262003 +16 *1473:5 *5813:22 2.16355e-05 +*RES +1 *24877:Q *5813:10 20.5015 +2 *5813:10 *19657:A1 15.0271 +3 *5813:10 *5813:21 7.1625 +4 *5813:21 *5813:22 58.4022 +5 *5813:22 *25328:A 9.24915 +6 *5813:21 *21912:A 16.0732 +*END + +*D_NET *5814 0.0201771 +*CONN +*I *19930:A I *D sky130_fd_sc_hd__inv_2 +*I *19655:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25329:A I *D sky130_fd_sc_hd__buf_2 +*I *24878:Q O *D sky130_fd_sc_hd__dfstp_2 +*CAP +1 *19930:A 6.98037e-05 +2 *19655:A1 0 +3 *25329:A 0 +4 *24878:Q 0.000143427 +5 *5814:38 0.0016067 +6 *5814:37 0.0015369 +7 *5814:27 0.00128169 +8 *5814:18 0.00309605 +9 *5814:17 0.00195835 +10 *5814:13 0.000202032 +11 *5814:9 0.000201465 +12 *5814:17 *5860:180 0.000217951 +13 *5814:27 *22135:B 4.03749e-05 +14 *5814:27 *5916:12 0.000174599 +15 *5814:38 *24878:SET_B 4.01573e-05 +16 *5814:38 *5852:41 0 +17 *5814:38 *5860:176 0 +18 pad_flash_io0_do *5814:27 0.000271058 +19 pad_flash_io0_ieb *5814:27 0.00016553 +20 pad_flash_io0_oeb *5814:27 0.000171288 +21 pad_flash_io1_do *5814:27 7.09148e-05 +22 pad_flash_io1_ieb *5814:27 4.26566e-05 +23 pad_flash_io1_oeb *5814:27 4.26566e-05 +24 pll_ena *5814:18 0 +25 sram_ro_csb *5814:27 6.08467e-05 +26 *20154:A1 *5814:18 7.0814e-05 +27 *20154:A2 *5814:18 0 +28 *21166:A *5814:18 0.000153225 +29 *24799:D *5814:18 5.53789e-05 +30 *24799:RESET_B *5814:18 0.000104731 +31 *24837:D *5814:18 0 +32 *24837:CLK *5814:18 0 +33 *24877:CLK *5814:18 0 +34 *25176:A *5814:27 0.000224395 +35 *25177:A *5814:27 5.07314e-05 +36 *25178:A *5814:27 0.000188272 +37 *25255:A *5814:27 7.6719e-06 +38 *25257:A *5814:27 3.14978e-05 +39 *25259:A *5814:27 0.00022067 +40 *443:10 *19930:A 4.35833e-05 +41 *1469:29 *5814:9 0 +42 *1470:40 *5814:9 0.000148144 +43 *1471:111 *19930:A 0.000470652 +44 *1522:52 *5814:18 0.000116971 +45 *1692:8 *5814:38 0.00277155 +46 *1731:50 *5814:38 3.77568e-05 +47 *1783:82 *5814:38 0.000143047 +48 *1845:113 *5814:38 8.00517e-05 +49 *2426:38 *5814:38 1.66771e-05 +50 *2561:26 *5814:38 0.000706904 +51 *2803:41 *19930:A 0.000570016 +52 *2864:25 *5814:9 0.000253916 +53 *2864:25 *5814:13 0.000271044 +54 *2864:25 *5814:17 0.000372993 +55 *4937:8 *5814:18 0 +56 *5473:39 *5814:18 0.000202488 +57 *5475:19 *5814:38 0 +58 *5483:15 *5814:18 0.000104911 +59 *5791:17 *5814:18 0 +60 *5791:17 *5814:27 6.50727e-05 +61 *5807:15 *5814:9 9.80912e-05 +62 *5807:15 *5814:13 0.000110583 +63 *5807:15 *5814:17 0.00109079 +*RES +1 *24878:Q *5814:9 23.8535 +2 *5814:9 *5814:13 3.52053 +3 *5814:13 *5814:17 16.3155 +4 *5814:17 *5814:18 49.586 +5 *5814:18 *5814:27 44.6726 +6 *5814:27 *25329:A 9.24915 +7 *5814:13 *19655:A1 9.24915 +8 *5814:9 *5814:37 4.5 +9 *5814:37 *5814:38 56.23 +10 *5814:38 *19930:A 19.9704 +*END + +*D_NET *5815 0.0537689 +*CONN +*I *21057:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *25330:A I *D sky130_fd_sc_hd__buf_2 +*I *24236:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21057:B1 7.41782e-05 +2 *25330:A 0 +3 *24236:Q 0 +4 *5815:77 0.00209855 +5 *5815:68 0.00359654 +6 *5815:64 0.00705202 +7 *5815:30 0.00659085 +8 *5815:28 0.00150667 +9 *5815:24 0.00146557 +10 *5815:21 0.00140864 +11 *5815:18 0.00156976 +12 *5815:15 0.00257834 +13 *5815:4 0.00149568 +14 *5815:15 *22066:A 2.07503e-05 +15 *5815:18 *24189:RESET_B 7.87126e-05 +16 *5815:18 *6020:16 7.23866e-05 +17 *5815:18 *6020:25 0 +18 *5815:21 *5921:70 1.82679e-05 +19 *5815:24 *5856:33 0 +20 *5815:77 *25331:A 0.000149628 +21 *5815:77 *5927:30 0.00015324 +22 *20646:A1 *5815:24 0.000182639 +23 *20646:A2 *5815:24 9.62971e-05 +24 *20714:B1 *5815:24 0.000463385 +25 *20714:B1 *5815:28 2.71733e-05 +26 *21057:B2 *21057:B1 7.75061e-05 +27 *21767:A *5815:24 5.92192e-05 +28 *22014:A *5815:30 0.000247246 +29 *22118:B *5815:15 0.000202283 +30 *23171:A *5815:68 0.000866693 +31 *23329:A2 *5815:77 5.04829e-06 +32 *23637:B1 *5815:68 0.00017744 +33 *23641:A1 *5815:68 1.65872e-05 +34 *23641:B1 *5815:68 0.000400321 +35 *23665:A1 *5815:68 0.000347214 +36 *23671:B *5815:68 5.13677e-05 +37 *24185:D *5815:15 2.16355e-05 +38 *24185:CLK *5815:15 9.75148e-06 +39 *24236:D *21057:B1 0.000213725 +40 *24236:CLK *5815:15 0.000200784 +41 *24276:D *5815:28 7.50722e-05 +42 *24399:CLK *5815:24 0.000196638 +43 *566:110 *5815:15 1.72464e-05 +44 *657:181 *5815:64 0.00207965 +45 *1550:73 *5815:64 0.000214369 +46 *1575:29 *5815:64 0.000453688 +47 *1603:28 *5815:64 0 +48 *1724:61 *5815:64 0.000225171 +49 *1801:75 *5815:64 1.72028e-05 +50 *1809:80 *5815:64 3.0388e-05 +51 *1809:160 *5815:18 0.000527498 +52 *1829:112 *5815:18 0 +53 *1947:70 *5815:64 7.14302e-05 +54 *2232:10 *5815:24 0.000143032 +55 *2468:34 *5815:30 0.000337594 +56 *2624:33 *5815:64 0 +57 *2655:25 *5815:64 0.000114156 +58 *2684:24 *5815:64 3.91944e-05 +59 *2779:10 *5815:30 2.33193e-05 +60 *2783:8 *5815:24 1.98083e-05 +61 *2783:8 *5815:28 0.000388592 +62 *2783:8 *5815:30 0.00195765 +63 *2783:16 *5815:30 1.62728e-05 +64 *2807:8 *5815:30 0.00271696 +65 *3373:24 *5815:64 0 +66 *3531:157 *5815:30 0.000105359 +67 *3857:26 *5815:28 0.00127173 +68 *3857:26 *5815:30 1.62321e-05 +69 *3870:7 *5815:68 0.000200794 +70 *3999:124 *5815:64 0.00071078 +71 *4042:20 *5815:68 0.000663859 +72 *4126:76 *5815:28 0.000403507 +73 *4126:137 *5815:68 0.00037817 +74 *4138:97 *5815:68 3.39665e-05 +75 *4138:110 *5815:68 3.67708e-05 +76 *4374:32 *5815:18 0.000642268 +77 *4466:11 *5815:68 1.65872e-05 +78 *4538:51 *5815:64 1.57418e-05 +79 *4547:35 *5815:64 0 +80 *4620:26 *5815:64 2.01503e-05 +81 *4665:42 *5815:64 1.66626e-05 +82 *4700:16 *5815:18 0.000662644 +83 *4739:51 *5815:64 0.00134735 +84 *4838:68 *5815:24 0.00091652 +85 *4838:86 *5815:24 6.22785e-05 +86 *4842:52 *5815:24 0.000740903 +87 *4884:46 *5815:24 0.000599424 +88 *4942:7 *5815:21 4.8126e-05 +89 *5082:93 *5815:68 2.45143e-05 +90 *5458:108 *21057:B1 1.67299e-05 +91 *5671:225 *5815:15 0.000313432 +92 *5671:227 *5815:15 0.000912063 +93 *5671:232 *5815:15 0.000331265 +*RES +1 *24236:Q *5815:4 9.24915 +2 *5815:4 *5815:15 45.4973 +3 *5815:15 *5815:18 47.8572 +4 *5815:18 *5815:21 10.2148 +5 *5815:21 *5815:24 43.0275 +6 *5815:24 *5815:28 24.1823 +7 *5815:28 *5815:30 50.8318 +8 *5815:30 *5815:64 45.7231 +9 *5815:64 *5815:68 46.4154 +10 *5815:68 *5815:77 43.6757 +11 *5815:77 *25330:A 9.24915 +12 *5815:4 *21057:B1 13.1073 +*END + +*D_NET *5816 0.0083772 +*CONN +*I *25331:A I *D sky130_fd_sc_hd__buf_2 +*I *22089:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24137:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *25331:A 0.00063223 +2 *22089:B1 0.000249211 +3 *24137:Q 0.000131691 +4 *5816:24 0.00123293 +5 *5816:8 0.000981604 +6 *25331:A *5927:30 0.00011755 +7 *25331:A *5929:28 0.000639092 +8 *5816:24 *5840:19 4.60951e-05 +9 *22089:A1 *22089:B1 0.000251655 +10 *22089:A2 *22089:B1 4.91225e-06 +11 *22089:B2 *22089:B1 0.000107496 +12 *23329:A2 *25331:A 7.14746e-05 +13 *1755:67 *5816:8 0.000113107 +14 *3083:44 *25331:A 4.57241e-06 +15 *3083:46 *25331:A 2.97007e-05 +16 *3084:35 *25331:A 0.000148129 +17 *3084:54 *25331:A 0.000342736 +18 *3840:85 *25331:A 0.000431645 +19 *4236:107 *5816:8 8.08437e-05 +20 *4236:107 *5816:24 0.00121114 +21 *4250:17 *5816:8 9.2013e-05 +22 *4250:17 *5816:24 0.00120404 +23 *5800:49 *25331:A 0.000103706 +24 *5815:77 *25331:A 0.000149628 +*RES +1 *24137:Q *5816:8 16.8591 +2 *5816:8 *22089:B1 19.4881 +3 *5816:8 *5816:24 33.7059 +4 *5816:24 *25331:A 37.2121 +*END + +*D_NET *5817 0.00153644 +*CONN +*I *22099:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25332:A I *D sky130_fd_sc_hd__buf_2 +*I *24131:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22099:B1 0 +2 *25332:A 0.000123842 +3 *24131:Q 0.000292007 +4 *5817:11 0.000415849 +5 *5817:11 *25361:A 3.31736e-05 +6 *5817:11 *5929:10 4.09467e-05 +7 *22099:A1 *5817:11 0 +8 *22099:A2 *5817:11 7.50872e-05 +9 *22099:B2 *5817:11 0.000261641 +10 *5453:54 *25332:A 0.000131434 +11 *5453:54 *5817:11 1.54577e-05 +12 *5453:68 *5817:11 0.000147001 +*RES +1 *24131:Q *5817:11 27.0658 +2 *5817:11 *25332:A 12.7456 +3 *5817:11 *22099:B1 9.24915 +*END + +*D_NET *5818 0.00295916 +*CONN +*I *22098:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25333:A I *D sky130_fd_sc_hd__buf_2 +*I *24132:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22098:B1 0 +2 *25333:A 0.000480474 +3 *24132:Q 0.000422263 +4 *5818:10 0.000902737 +5 *25333:A *25335:A 0.000169093 +6 *25333:A *5927:24 0.000273118 +7 *5818:10 *25335:A 0.000146098 +8 *5818:10 *5821:18 4.01848e-05 +9 *24129:D *25333:A 0.000156823 +10 *5453:68 *5818:10 1.44818e-05 +11 *5457:89 *25333:A 0.000282324 +12 *5457:89 *5818:10 7.15593e-05 +*RES +1 *24132:Q *5818:10 21.7501 +2 *5818:10 *25333:A 30.7046 +3 *5818:10 *22098:B1 13.7491 +*END + +*D_NET *5819 0.000658578 +*CONN +*I *21204:A I *D sky130_fd_sc_hd__inv_2 +*I *25132:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21204:A 0.000297158 +2 *25132:X 0.000297158 +3 *21204:A *21376:A 3.27635e-05 +4 *21204:A *5830:9 3.14978e-05 +5 *21270:A *21204:A 0 +6 *2333:13 *21204:A 0 +*RES +1 *25132:X *21204:A 33.791 +*END + +*D_NET *5820 0.00564262 +*CONN +*I *22097:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *25334:A I *D sky130_fd_sc_hd__buf_2 +*I *24133:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22097:B1 0 +2 *25334:A 0 +3 *24133:Q 0.000344834 +4 *5820:20 0.000813469 +5 *5820:13 0.0011583 +6 *5820:13 *5822:16 9.64718e-05 +7 *22097:B2 *5820:13 0.000111722 +8 *3083:15 *5820:13 0.000302804 +9 *3083:19 *5820:20 0.00172754 +10 *3902:13 *5820:13 9.98296e-05 +11 *4149:17 *5820:20 0.000368672 +12 *5454:75 *5820:20 9.95297e-05 +13 *5454:88 *5820:20 0.000167681 +14 *5455:46 *5820:13 1.15389e-05 +15 *5455:46 *5820:20 0.000307037 +16 *5455:47 *5820:20 3.31882e-05 +*RES +1 *24133:Q *5820:13 25.9889 +2 *5820:13 *5820:20 42.9808 +3 *5820:20 *25334:A 9.24915 +4 *5820:13 *22097:B1 9.24915 +*END + +*D_NET *5821 0.00932124 +*CONN +*I *22096:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25335:A I *D sky130_fd_sc_hd__buf_2 +*I *24134:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22096:B1 0 +2 *25335:A 0.000252802 +3 *24134:Q 0.000491721 +4 *5821:18 0.00116178 +5 *5821:15 0.00105005 +6 *5821:10 0.0006328 +7 *25335:A *5927:24 0.000349163 +8 *5821:10 *5929:10 0.000130777 +9 *5821:15 *25344:A 6.50727e-05 +10 *5821:18 *25338:A 0.00109489 +11 *5821:18 *25341:A 0.000748433 +12 *5821:18 *25343:A 0.000253815 +13 *5821:18 *5822:16 9.1181e-05 +14 *5821:18 *5824:20 8.45896e-06 +15 *5821:18 *5927:24 1.72818e-05 +16 *22096:B2 *5821:15 3.75603e-05 +17 *24130:D *5821:18 0.000156823 +18 *24132:CLK *5821:18 0.000167578 +19 *25333:A *25335:A 0.000169093 +20 *3087:8 *5821:10 0 +21 *3902:13 *5821:18 0.00152952 +22 *4880:106 *5821:18 0.00017218 +23 *5456:119 *5821:15 0.000148839 +24 *5457:89 *25335:A 9.71323e-06 +25 *5457:89 *5821:18 0.000190795 +26 *5800:49 *5821:18 0.000204634 +27 *5818:10 *25335:A 0.000146098 +28 *5818:10 *5821:18 4.01848e-05 +*RES +1 *24134:Q *5821:10 26.2112 +2 *5821:10 *5821:15 9.12973 +3 *5821:15 *5821:18 48.1815 +4 *5821:18 *25335:A 22.8831 +5 *5821:10 *22096:B1 9.24915 +*END + +*D_NET *5822 0.00793999 +*CONN +*I *22095:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25336:A I *D sky130_fd_sc_hd__buf_2 +*I *24135:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22095:B1 0.000172535 +2 *25336:A 0.000167393 +3 *24135:Q 0.000278718 +4 *5822:16 0.00110293 +5 *5822:8 0.00138679 +6 *5822:8 *25343:A 0.00015537 +7 *5822:8 *5826:28 0.000160384 +8 *5822:16 *25343:A 0.000175689 +9 *5822:16 *5826:28 0.000690154 +10 *5822:16 *5929:10 0.000108016 +11 *22095:A2 *22095:B1 0.000111708 +12 *22095:B2 *22095:B1 2.89808e-05 +13 *22098:A1 *25336:A 0.000457669 +14 *22098:B2 *25336:A 7.29862e-05 +15 *24130:D *5822:16 0.000149628 +16 *24132:D *25336:A 9.18559e-06 +17 *3088:24 *5822:16 7.77309e-06 +18 *3902:13 *5822:16 0.000770028 +19 *3902:23 *5822:16 0.000666637 +20 *5455:39 *5822:16 0.00055968 +21 *5457:89 *5822:16 0.000379553 +22 *5457:107 *5822:8 3.82228e-05 +23 *5800:49 *5822:16 0.000102316 +24 *5820:13 *5822:16 9.64718e-05 +25 *5821:18 *5822:16 9.1181e-05 +*RES +1 *24135:Q *5822:8 19.7687 +2 *5822:8 *5822:16 48.761 +3 *5822:16 *25336:A 14.9881 +4 *5822:8 *22095:B1 17.6796 +*END + +*D_NET *5823 0.00377876 +*CONN +*I *22094:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25337:A I *D sky130_fd_sc_hd__buf_2 +*I *24136:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22094:B1 0 +2 *25337:A 0.000934757 +3 *24136:Q 0.000161878 +4 *5823:8 0.00109663 +5 *25337:A *5850:8 1.21461e-06 +6 *25337:A *5926:19 0.000111273 +7 *24130:D *25337:A 1.87611e-05 +8 *24136:D *5823:8 3.17869e-05 +9 *3087:31 *25337:A 4.3116e-06 +10 *3087:42 *25337:A 0.00018304 +11 *3088:10 *5823:8 0.000137777 +12 *3088:15 *25337:A 0.000171217 +13 *3088:15 *5823:8 1.03594e-05 +14 *3902:13 *25337:A 0.000111708 +15 *3931:8 *25337:A 0 +16 *4134:54 *25337:A 0.000706504 +17 *4374:73 *25337:A 9.75356e-05 +18 *5457:93 *25337:A 0 +*RES +1 *24136:Q *5823:8 17.6896 +2 *5823:8 *25337:A 40.4301 +3 *5823:8 *22094:B1 13.7491 +*END + +*D_NET *5824 0.00964565 +*CONN +*I *22077:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25338:A I *D sky130_fd_sc_hd__buf_2 +*I *24145:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22077:B1 0.00010108 +2 *25338:A 0.000585803 +3 *24145:Q 0.000213208 +4 *5824:20 0.00150316 +5 *5824:7 0.00123165 +6 *22077:B1 *5829:20 4.83987e-05 +7 *22077:B1 *5929:10 0.00024873 +8 *25338:A *5927:16 3.80436e-07 +9 *5824:20 *22075:B1 1.31166e-05 +10 *5824:20 *25341:A 0.00166919 +11 *5824:20 *5826:5 7.92757e-06 +12 *5824:20 *5826:28 8.16827e-05 +13 *5824:20 *5827:20 1.55666e-05 +14 *5824:20 *5829:20 2.68066e-05 +15 *5824:20 *5832:10 0.000768774 +16 *5824:20 *5927:16 9.67537e-05 +17 *5824:20 *5929:10 0.000144531 +18 wb_dat_o[20] *5824:20 4.20184e-06 +19 wb_dat_o[21] *5824:20 4.96601e-05 +20 wb_dat_o[22] *5824:20 1.20291e-05 +21 *22075:A2 *22077:B1 1.03403e-05 +22 *22075:B2 *22077:B1 6.08467e-05 +23 *24134:CLK *5824:20 0.000141444 +24 *24135:D *5824:20 4.27003e-05 +25 *24145:D *22077:B1 0.000127194 +26 *24147:CLK *5824:20 6.3657e-05 +27 *4880:10 *5824:20 0 +28 *4880:17 *5824:20 0 +29 *4880:91 *25338:A 0.000139817 +30 *4880:93 *25338:A 5.98058e-05 +31 *4880:106 *25338:A 0.000627947 +32 *5800:49 *25338:A 0.000157687 +33 *5800:49 *5824:20 0.000288213 +34 *5821:18 *25338:A 0.00109489 +35 *5821:18 *5824:20 8.45896e-06 +*RES +1 *24145:Q *5824:7 16.691 +2 *5824:7 *5824:20 47.0553 +3 *5824:20 *25338:A 36.7258 +4 *5824:7 *22077:B1 18.7961 +*END + +*D_NET *5825 0.0101888 +*CONN +*I *22076:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25339:A I *D sky130_fd_sc_hd__buf_2 +*I *24146:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22076:B1 0 +2 *25339:A 0.000747648 +3 *24146:Q 0.000244543 +4 *5825:14 0.00157759 +5 *5825:13 0.000877641 +6 *5825:10 0.000292237 +7 *22076:A2 *5825:13 0.000158371 +8 *22076:B2 *5825:13 5.481e-05 +9 *3779:11 *5825:10 0 +10 *3779:24 *5825:10 8.78565e-06 +11 *3789:8 *5825:10 0 +12 *4134:30 *5825:10 0.000140502 +13 *4134:30 *5825:14 2.15801e-05 +14 *4336:8 *5825:14 0.00305969 +15 *5452:51 *5825:14 0.000646917 +16 *5628:16 *5825:14 0.00218124 +17 *5628:23 *5825:14 0.000177275 +*RES +1 *24146:Q *5825:10 22.7442 +2 *5825:10 *5825:13 6.3326 +3 *5825:13 *5825:14 53.3233 +4 *5825:14 *25339:A 27.783 +5 *5825:10 *22076:B1 9.24915 +*END + +*D_NET *5826 0.00718522 +*CONN +*I *25340:A I *D sky130_fd_sc_hd__buf_2 +*I *22075:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24147:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *25340:A 0.000318591 +2 *22075:B1 0.000308087 +3 *24147:Q 0.000171247 +4 *5826:28 0.00106728 +5 *5826:5 0.00122803 +6 *22075:B1 *5827:20 0.000271793 +7 *22075:B1 *5831:14 0.000264583 +8 *5826:28 *25343:A 0.00018252 +9 *5826:28 *5829:20 0.000875788 +10 *5826:28 *5929:10 0.000799303 +11 *22075:A1 *22075:B1 1.65872e-05 +12 *22075:B2 *22075:B1 0.000101025 +13 *24134:D *5826:28 0.000104731 +14 *24134:CLK *22075:B1 1.03403e-05 +15 *24136:D *25340:A 0.000169407 +16 *24136:CLK *25340:A 6.3657e-05 +17 *5457:107 *5826:28 0.000165495 +18 *5800:49 *5826:28 0.000113494 +19 *5822:8 *5826:28 0.000160384 +20 *5822:16 *5826:28 0.000690154 +21 *5824:20 *22075:B1 1.31166e-05 +22 *5824:20 *5826:5 7.92757e-06 +23 *5824:20 *5826:28 8.16827e-05 +*RES +1 *24147:Q *5826:5 11.6364 +2 *5826:5 *22075:B1 27.4247 +3 *5826:5 *5826:28 46.1284 +4 *5826:28 *25340:A 16.0973 +*END + +*D_NET *5827 0.0106497 +*CONN +*I *22074:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25341:A I *D sky130_fd_sc_hd__buf_2 +*I *24148:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22074:B1 4.27129e-05 +2 *25341:A 0.00060224 +3 *24148:Q 0.000725839 +4 *5827:20 0.00119098 +5 *5827:10 0.00135729 +6 *25341:A *25343:A 3.89332e-06 +7 *25341:A *25344:A 0.000406803 +8 *5827:10 *5929:10 0.000148144 +9 *5827:20 *22073:B1 5.41377e-05 +10 *5827:20 *25344:A 0.000103696 +11 *5827:20 *5831:14 0.000740258 +12 *5827:20 *5832:10 3.89332e-06 +13 *5827:20 *5931:16 0.000607055 +14 *22073:A2 *5827:10 7.26067e-05 +15 *22073:B2 *22074:B1 0.000313385 +16 *22073:B2 *5827:20 0.000111708 +17 *22074:A1 *22074:B1 0.000301419 +18 *22074:A1 *5827:20 0.000317707 +19 *22075:B1 *5827:20 0.000271793 +20 *24148:D *5827:10 3.18826e-06 +21 *3079:41 *5827:10 2.32176e-05 +22 *3079:47 *5827:10 1.36691e-05 +23 *4134:24 *5827:10 3.17192e-06 +24 *4149:11 *25341:A 0.000313495 +25 *4880:60 *5827:10 2.96076e-06 +26 *4882:22 *5827:20 8.82443e-05 +27 *5800:49 *25341:A 0.00028403 +28 *5800:49 *5827:20 0.000108936 +29 *5821:18 *25341:A 0.000748433 +30 *5824:20 *25341:A 0.00166919 +31 *5824:20 *5827:20 1.55666e-05 +*RES +1 *24148:Q *5827:10 29.9541 +2 *5827:10 *5827:20 30.7742 +3 *5827:20 *25341:A 45.8613 +4 *5827:10 *22074:B1 12.7456 +*END + +*D_NET *5828 0.0110913 +*CONN +*I *22088:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25342:A I *D sky130_fd_sc_hd__buf_2 +*I *24138:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22088:B1 0 +2 *25342:A 0.000662151 +3 *24138:Q 0.00085267 +4 *5828:24 0.00140123 +5 *5828:13 0.00159175 +6 *25342:A *22086:B1 9.71323e-06 +7 *25342:A *25356:A 5.24651e-05 +8 *25342:A *5840:19 0.000197554 +9 *25342:A *5840:37 0.00110643 +10 *25342:A *5927:30 8.74039e-05 +11 *5828:24 *5927:30 4.4602e-05 +12 ser_rx *5828:24 0.000251534 +13 wb_ack_o *25342:A 1.41516e-05 +14 wb_dat_o[0] *25342:A 4.87198e-05 +15 wb_dat_o[1] *25342:A 3.63632e-05 +16 *22088:A2 *5828:13 1.87146e-05 +17 *22088:B2 *5828:13 6.3657e-05 +18 *23327:A *5828:13 0.000149628 +19 *23473:B *5828:13 3.47829e-05 +20 *24137:D *5828:24 2.36003e-05 +21 *24138:CLK *5828:24 0.000201086 +22 *24139:D *25342:A 0.000141864 +23 *24140:D *25342:A 0.000146727 +24 *25179:A *5828:24 6.73662e-05 +25 *3084:41 *25342:A 0.000595659 +26 *3840:101 *25342:A 7.49679e-05 +27 *3840:101 *5828:24 0.00057617 +28 *3917:57 *5828:24 0.000264639 +29 *4236:19 *5828:13 0.000518941 +30 *4236:107 *5828:13 0.000656191 +31 *4250:17 *5828:13 0.000331892 +32 *4879:16 *5828:24 0.000387345 +33 *5455:24 *5828:13 0.000219893 +34 *5455:30 *5828:13 0.000140067 +35 *5455:32 *5828:13 8.17829e-06 +36 *5792:11 *5828:24 0.000113236 +*RES +1 *24138:Q *5828:13 46.4756 +2 *5828:13 *5828:24 33.1092 +3 *5828:24 *25342:A 42.7003 +4 *5828:13 *22088:B1 9.24915 +*END + +*D_NET *5829 0.00838307 +*CONN +*I *25343:A I *D sky130_fd_sc_hd__buf_2 +*I *22073:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *24149:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *25343:A 0.000522605 +2 *22073:B1 0.000199181 +3 *24149:Q 0.000157347 +4 *5829:20 0.00118031 +5 *5829:5 0.00101423 +6 *22073:B1 *5831:14 9.95063e-05 +7 *22073:B1 *5931:16 0.000276889 +8 *25343:A *25344:A 0.000166263 +9 *25343:A *5927:16 0.000167076 +10 *5829:20 *25344:A 2.61934e-05 +11 *5829:20 *5831:14 0.0010542 +12 *5829:20 *5929:10 0.000198654 +13 *22074:A1 *22073:B1 0.000154145 +14 *22074:A1 *5829:5 0.000269642 +15 *22077:B1 *5829:20 4.83987e-05 +16 *24134:CLK *5829:20 0.000763662 +17 *24145:D *5829:20 0.000127194 +18 *25341:A *25343:A 3.89332e-06 +19 *5800:49 *5829:20 0.000229548 +20 *5821:18 *25343:A 0.000253815 +21 *5822:8 *25343:A 0.00015537 +22 *5822:16 *25343:A 0.000175689 +23 *5824:20 *5829:20 2.68066e-05 +24 *5826:28 *25343:A 0.00018252 +25 *5826:28 *5829:20 0.000875788 +26 *5827:20 *22073:B1 5.41377e-05 +*RES +1 *24149:Q *5829:5 12.191 +2 *5829:5 *22073:B1 24.8205 +3 *5829:5 *5829:20 38.0011 +4 *5829:20 *25343:A 32.1608 +*END + +*D_NET *5830 0.0288332 +*CONN +*I *20002:C_N I *D sky130_fd_sc_hd__or3b_1 +*I *25133:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *20002:C_N 0 +2 *25133:X 0.000757724 +3 *5830:41 0.00138449 +4 *5830:22 0.00287359 +5 *5830:20 0.00243307 +6 *5830:17 0.00343266 +7 *5830:13 0.00312713 +8 *5830:9 0.00139615 +9 *5830:13 *5878:13 6.92705e-05 +10 *5830:20 *19691:B1 8.37979e-05 +11 *5830:20 *5851:316 0 +12 *5830:20 *5860:302 0.000191541 +13 *5830:22 *24220:SET_B 0 +14 *5830:22 *5856:410 0.00179649 +15 *19671:C *5830:22 0.000662675 +16 *19691:A2 *5830:20 0.000136939 +17 *19947:A *5830:41 0.00120541 +18 *20002:B *5830:41 2.93023e-05 +19 *20582:A1 *5830:41 5.31056e-05 +20 *20583:A1 *5830:41 4.19401e-06 +21 *21081:A1 *5830:22 2.19276e-05 +22 *21082:A1 *5830:22 1.38872e-05 +23 *21204:A *5830:9 3.14978e-05 +24 *21390:B1 *5830:41 1.24044e-05 +25 *21390:C1 *5830:41 0.000227817 +26 *21587:A *5830:9 0.000334808 +27 *21722:A *5830:41 5.72746e-05 +28 *21735:A *5830:9 1.67988e-05 +29 *21735:A *5830:13 1.61631e-05 +30 *21823:A *5830:13 0.0002817 +31 *21848:A *5830:22 4.078e-05 +32 *21913:A *5830:20 0.000474671 +33 *21913:A *5830:22 0.000192384 +34 *21914:B1 *5830:22 0.000148144 +35 *24866:D *5830:20 0.00011439 +36 *25059:A *5830:13 5.56461e-05 +37 *25111:A *5830:13 6.77202e-05 +38 *25111:A *5830:17 7.06457e-06 +39 *25124:A *5830:13 7.48797e-05 +40 *25127:A *5830:9 0.000122378 +41 *25127:A *5830:13 1.92336e-05 +42 *25129:A *5830:9 0.000171288 +43 *25130:A *5830:9 0.000171288 +44 *25131:A *5830:9 0.000171288 +45 *25132:A *5830:9 0.000171288 +46 *25133:A *5830:9 0.000123176 +47 *25134:A *5830:9 1.41976e-05 +48 *25139:A *5830:13 0.000166542 +49 *25267:A *5830:17 5.56976e-05 +50 *25286:A *5830:17 4.66876e-05 +51 *25286:A *5830:20 0.000419789 +52 *25294:A *5830:17 1.43983e-05 +53 *25295:A *5830:17 4.26431e-05 +54 *74:18 *5830:41 8.89523e-05 +55 *1419:70 *5830:22 6.72212e-05 +56 *1419:72 *5830:22 1.32509e-05 +57 *1439:243 *5830:22 0.00102017 +58 *1448:97 *5830:41 0.000260388 +59 *1471:68 *5830:41 0.00013528 +60 *1494:70 *5830:20 0.000153497 +61 *1506:35 *5830:41 0.000102045 +62 *1674:13 *5830:20 4.21837e-05 +63 *1674:13 *5830:22 0.000254347 +64 *1711:16 *5830:41 0 +65 *1711:18 *5830:22 1.15258e-05 +66 *1711:18 *5830:41 0 +67 *1731:32 *5830:41 0.000218605 +68 *1735:36 *5830:41 0 +69 *2259:15 *5830:41 1.16687e-05 +70 *2274:22 *5830:41 7.89747e-05 +71 *2753:67 *5830:41 0.000471962 +72 *2928:11 *5830:22 7.86847e-05 +73 *4922:30 *5830:22 0 +74 *4922:30 *5830:41 0 +75 *5671:7 *5830:13 1.00846e-05 +76 *5671:7 *5830:17 0.000260029 +77 *5766:8 *5830:20 7.22413e-05 +78 *5767:27 *5830:17 0.0019447 +*RES +1 *25133:X *5830:9 33.8687 +2 *5830:9 *5830:13 18.7721 +3 *5830:13 *5830:17 47.096 +4 *5830:17 *5830:20 31.0096 +5 *5830:20 *5830:22 55.1919 +6 *5830:22 *5830:41 41.2769 +7 *5830:41 *20002:C_N 9.24915 +*END + +*D_NET *5831 0.00886879 +*CONN +*I *22072:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25344:A I *D sky130_fd_sc_hd__buf_2 +*I *24150:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22072:B1 1.51015e-05 +2 *25344:A 0.000485335 +3 *24150:Q 0.000441816 +4 *5831:14 0.00140596 +5 *5831:8 0.00137754 +6 *22072:B1 *5833:13 0.000113968 +7 *5831:8 *5838:20 4.20662e-05 +8 *5831:8 *5931:16 0 +9 *5831:14 *5838:20 0.000172469 +10 *5831:14 *5929:10 0 +11 *5831:14 *5931:16 0.000207478 +12 *22071:A1 *5831:14 1.87469e-05 +13 *22071:B2 *22072:B1 0.000118166 +14 *22073:B1 *5831:14 9.95063e-05 +15 *22073:B2 *5831:14 5.7995e-05 +16 *22075:B1 *5831:14 0.000264583 +17 *24134:CLK *25344:A 0.000763662 +18 *24150:D *5831:8 2.652e-05 +19 *25341:A *25344:A 0.000406803 +20 *25343:A *25344:A 0.000166263 +21 *4006:17 *5831:8 0.000340697 +22 *5456:119 *25344:A 7.41247e-05 +23 *5800:49 *25344:A 0.000118839 +24 *5800:49 *5831:14 0.000161735 +25 *5821:15 *25344:A 6.50727e-05 +26 *5827:20 *25344:A 0.000103696 +27 *5827:20 *5831:14 0.000740258 +28 *5829:20 *25344:A 2.61934e-05 +29 *5829:20 *5831:14 0.0010542 +*RES +1 *24150:Q *5831:8 21.7112 +2 *5831:8 *5831:14 37.9711 +3 *5831:14 *25344:A 35.3435 +4 *5831:8 *22072:B1 15.0271 +*END + +*D_NET *5832 0.009453 +*CONN +*I *22071:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25345:A I *D sky130_fd_sc_hd__buf_2 +*I *24151:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22071:B1 1.98947e-05 +2 *25345:A 0.000112543 +3 *24151:Q 0.000570733 +4 *5832:10 0.00102161 +5 *5832:8 0.0014997 +6 *22071:B1 *5833:13 6.08467e-05 +7 *5832:8 *5837:31 2.18942e-05 +8 *5832:10 *25348:A 0.000556809 +9 *5832:10 *5833:17 0.000113025 +10 *5832:10 *5837:31 0.000968325 +11 wb_dat_o[23] *5832:10 7.13655e-06 +12 wb_dat_o[24] *5832:10 2.36582e-05 +13 *22071:A1 *22071:B1 2.16355e-05 +14 *24147:D *5832:10 0.00036367 +15 *24149:D *5832:10 0.000149628 +16 *24151:D *5832:8 0.000266681 +17 *4880:17 *5832:10 0 +18 *4882:22 *5832:10 0.00075964 +19 *4882:39 *5832:8 0.000163997 +20 *4882:39 *5832:10 0.00135895 +21 *4882:57 *5832:8 0.000147691 +22 *5800:49 *5832:10 0.000472269 +23 *5824:20 *5832:10 0.000768774 +24 *5827:20 *5832:10 3.89332e-06 +*RES +1 *24151:Q *5832:8 25.5878 +2 *5832:8 *5832:10 50.4165 +3 *5832:10 *25345:A 16.1364 +4 *5832:8 *22071:B1 14.4725 +*END + +*D_NET *5833 0.00902441 +*CONN +*I *22070:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25346:A I *D sky130_fd_sc_hd__buf_2 +*I *24152:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22070:B1 1.81917e-05 +2 *25346:A 0 +3 *24152:Q 0.000443188 +4 *5833:17 0.000982324 +5 *5833:13 0.00150259 +6 *5833:9 0.000981644 +7 *5833:17 *25348:A 0.00021914 +8 *5833:17 *5837:31 0.000528566 +9 wb_dat_o[24] *5833:17 6.1096e-05 +10 wb_dat_o[25] *5833:17 6.11074e-05 +11 wb_dat_o[26] *5833:17 6.11074e-05 +12 wb_dat_o[27] *5833:17 4.40531e-05 +13 wb_dat_o[28] *5833:17 0.000108103 +14 wb_dat_o[29] *5833:17 2.02035e-05 +15 wb_dat_o[30] *5833:17 0.000108091 +16 *22070:B2 *22070:B1 1.09551e-05 +17 *22070:B2 *5833:9 1.65872e-05 +18 *22070:B2 *5833:13 3.82228e-05 +19 *22071:A1 *5833:13 0.000143266 +20 *22071:B1 *5833:13 6.08467e-05 +21 *22071:B2 *5833:13 4.73598e-05 +22 *22072:B1 *5833:13 0.000113968 +23 *24147:D *5833:17 0.00013088 +24 *24150:D *5833:13 0.000118166 +25 *3078:19 *5833:9 0.000526426 +26 *3079:5 *5833:9 2.2662e-05 +27 *3079:7 *5833:9 7.98171e-06 +28 *3080:5 *5833:13 0.000141455 +29 *3080:21 *5833:13 2.16355e-05 +30 *3800:57 *5833:9 0.000101148 +31 *4368:8 *5833:9 4.27148e-05 +32 *4880:17 *5833:17 0.000575213 +33 *4880:26 *5833:17 0.000382105 +34 *4880:35 *5833:17 0.000571169 +35 *4880:45 *5833:17 0.000245753 +36 *5455:56 *5833:17 0.000345967 +37 *5458:122 *5833:9 0.000107496 +38 *5832:10 *5833:17 0.000113025 +*RES +1 *24152:Q *5833:9 30.2328 +2 *5833:9 *5833:13 16.8701 +3 *5833:13 *5833:17 49.4111 +4 *5833:17 *25346:A 9.24915 +5 *5833:9 *22070:B1 9.82786 +*END + +*D_NET *5834 0.0141338 +*CONN +*I *22065:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25347:A I *D sky130_fd_sc_hd__buf_2 +*I *24153:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22065:B1 0 +2 *25347:A 0.000643293 +3 *24153:Q 0.00014377 +4 *5834:14 0.00205791 +5 *5834:13 0.00146365 +6 *5834:10 0.000192804 +7 *5834:10 *5842:24 0.000148144 +8 *5834:14 *5836:20 4.37564e-05 +9 *5834:14 *5836:27 0.00169579 +10 *5834:14 *5926:19 0.00022586 +11 *22065:A2 *5834:13 0.000258142 +12 *22065:B2 *5834:13 0.000253916 +13 *3080:28 *5834:14 0.000154169 +14 *3087:8 *5834:14 0 +15 *4336:8 *5834:14 0.00137363 +16 *5452:54 *5834:14 0.000519961 +17 *5456:174 *5834:14 0.000722847 +18 *5456:178 *5834:14 0.00113683 +19 *5612:12 *5834:14 4.09467e-05 +20 *5627:8 *5834:14 0.00271501 +21 *5628:11 *5834:10 0.000144531 +22 *5628:14 *5834:14 0.000185865 +23 *5801:107 *5834:10 1.29665e-05 +*RES +1 *24153:Q *5834:10 22.1896 +2 *5834:10 *5834:13 7.44181 +3 *5834:13 *5834:14 82.8062 +4 *5834:14 *25347:A 26.6738 +5 *5834:10 *22065:B1 9.24915 +*END + +*D_NET *5835 0.00869406 +*CONN +*I *22064:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25348:A I *D sky130_fd_sc_hd__buf_2 +*I *24154:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22064:B1 0 +2 *25348:A 0.000751593 +3 *24154:Q 0.000320277 +4 *5835:16 0.00158441 +5 *5835:8 0.00115309 +6 *25348:A *5837:31 5.04829e-06 +7 *5835:8 *5839:10 0.000119098 +8 *5835:16 *25352:A 0.000641443 +9 *5835:16 *5839:10 0.000869436 +10 *5835:16 *5926:19 1.59052e-05 +11 *5835:16 *5926:93 5.19205e-05 +12 wb_dat_o[26] *25348:A 6.11074e-05 +13 *22072:A1 *5835:16 0.000291989 +14 *22075:A1 *25348:A 0.000160617 +15 *24147:D *25348:A 5.04829e-06 +16 *24148:D *5835:16 0.000148129 +17 *2330:22 *5835:8 9.905e-05 +18 *3079:24 *5835:16 1.2819e-05 +19 *3079:41 *5835:16 9.2346e-06 +20 *3079:47 *5835:16 3.25394e-05 +21 *3080:24 *5835:16 0.000264983 +22 *3080:28 *5835:16 9.28527e-05 +23 *3087:8 *5835:16 0.000175674 +24 *4880:60 *5835:16 0.000906771 +25 *4880:66 *5835:8 3.57378e-06 +26 *4880:66 *5835:16 0.000141507 +27 *5832:10 *25348:A 0.000556809 +28 *5833:17 *25348:A 0.00021914 +*RES +1 *24154:Q *5835:8 19.4928 +2 *5835:8 *5835:16 46.2695 +3 *5835:16 *25348:A 38.846 +4 *5835:8 *22064:B1 13.7491 +*END + +*D_NET *5836 0.0117087 +*CONN +*I *25349:A I *D sky130_fd_sc_hd__buf_2 +*I *21903:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24155:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *25349:A 0 +2 *21903:B1 1.81917e-05 +3 *24155:Q 0.000137867 +4 *5836:27 0.000962963 +5 *5836:20 0.00167572 +6 *5836:7 0.000868815 +7 *5836:20 *25352:A 5.08071e-06 +8 *5836:20 *5839:10 0.00211175 +9 *5836:27 *25352:A 8.93684e-05 +10 *5836:27 *5839:10 1.41761e-05 +11 *5836:27 *5926:19 0.000246961 +12 *21903:A2 *5836:7 1.75155e-06 +13 *21903:B2 *21903:B1 1.09551e-05 +14 *22064:A2 *5836:20 7.60183e-05 +15 *22065:A2 *21903:B1 0 +16 *22065:A2 *5836:7 0 +17 *22065:B2 *5836:20 1.65872e-05 +18 *24152:D *5836:27 0.000101133 +19 *24155:D *5836:7 6.50727e-05 +20 *2329:28 *5836:20 0.000608871 +21 *2330:51 *5836:7 1.00981e-05 +22 *2330:51 *5836:20 2.65667e-05 +23 *3080:24 *5836:27 0.000972624 +24 *3080:28 *5836:27 0.000367283 +25 *4882:15 *5836:27 0.000858845 +26 *5456:174 *5836:20 0.000498378 +27 *5456:178 *5836:20 0.000224043 +28 *5834:14 *5836:20 4.37564e-05 +29 *5834:14 *5836:27 0.00169579 +*RES +1 *24155:Q *5836:7 11.6605 +2 *5836:7 *21903:B1 9.82786 +3 *5836:7 *5836:20 43.5782 +4 *5836:20 *5836:27 46.8951 +5 *5836:27 *25349:A 9.24915 +*END + +*D_NET *5837 0.0073695 +*CONN +*I *21747:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25350:A I *D sky130_fd_sc_hd__buf_2 +*I *24156:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *21747:B1 0.000187051 +2 *25350:A 0 +3 *24156:Q 0.000535872 +4 *5837:31 0.00108881 +5 *5837:10 0.00181174 +6 *5837:31 *5931:16 0 +7 wb_dat_o[27] *5837:31 4.40531e-05 +8 wb_dat_o[28] *5837:31 0.000108103 +9 wb_dat_o[29] *5837:31 2.02035e-05 +10 wb_dat_o[30] *5837:31 0.000108091 +11 wb_dat_o[31] *5837:31 6.1096e-05 +12 *21747:A2 *21747:B1 0.000171288 +13 *21747:B2 *21747:B1 0 +14 *21747:B2 *5837:10 2.44805e-05 +15 *24149:D *5837:31 0.000156823 +16 *24151:D *5837:31 0.000206284 +17 *24156:D *21747:B1 7.52272e-05 +18 *24156:D *5837:10 5.67307e-06 +19 *25348:A *5837:31 5.04829e-06 +20 *2329:16 *5837:10 0.000117941 +21 *4882:57 *5837:31 0 +22 *4882:62 *21747:B1 8.45633e-05 +23 *4882:62 *5837:31 0.000708891 +24 *4882:74 *5837:10 8.2932e-06 +25 *5455:56 *21747:B1 7.74579e-05 +26 *5455:56 *5837:31 0.000115428 +27 *5800:49 *5837:31 0.000128297 +28 *5832:8 *5837:31 2.18942e-05 +29 *5832:10 *5837:31 0.000968325 +30 *5833:17 *5837:31 0.000528566 +*RES +1 *24156:Q *5837:10 22.2392 +2 *5837:10 *5837:31 48.5296 +3 *5837:31 *25350:A 9.24915 +4 *5837:10 *21747:B1 20.1129 +*END + +*D_NET *5838 0.0108959 +*CONN +*I *21593:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *25351:A I *D sky130_fd_sc_hd__buf_2 +*I *24157:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *21593:B1 0 +2 *25351:A 0.000343665 +3 *24157:Q 0.000541662 +4 *5838:20 0.0013575 +5 *5838:16 0.00244518 +6 *5838:8 0.00197301 +7 *5838:8 *5935:6 0.000201089 +8 *5838:16 *5906:57 0 +9 *5838:16 *5929:10 0.000128365 +10 *5838:16 *5929:99 8.18789e-05 +11 *5838:16 *5931:14 4.88112e-06 +12 *5838:16 *5931:48 6.96056e-06 +13 *5838:16 *5932:11 0 +14 *5838:20 *5843:18 0 +15 *5838:20 *5926:19 0.000173386 +16 *5838:20 *5929:10 0.00233141 +17 spimemio_flash_io2_di *5838:16 7.13655e-06 +18 *24150:D *5838:20 0 +19 *24157:D *5838:8 0 +20 *24157:CLK *5838:8 0.000319954 +21 *24157:CLK *5838:16 0 +22 *24158:CLK *5838:16 8.00203e-05 +23 *24159:D *5838:16 6.80864e-05 +24 *25192:A *5838:16 0.000112225 +25 *25319:A *5838:8 2.61857e-05 +26 *25319:A *5838:16 1.77537e-06 +27 *2329:25 *5838:16 0.000109545 +28 *2329:25 *5838:20 0.000213952 +29 *4880:66 *5838:16 0 +30 *4882:74 *5838:20 2.1136e-05 +31 *4882:83 *5838:16 0.0001165 +32 *5600:17 *5838:8 1.58551e-05 +33 *5831:8 *5838:20 4.20662e-05 +34 *5831:14 *5838:20 0.000172469 +*RES +1 *24157:Q *5838:8 26.0003 +2 *5838:8 *5838:16 38.6307 +3 *5838:16 *5838:20 45.2314 +4 *5838:20 *25351:A 16.0732 +5 *5838:8 *21593:B1 13.7491 +*END + +*D_NET *5839 0.0112262 +*CONN +*I *21444:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25352:A I *D sky130_fd_sc_hd__buf_2 +*I *24158:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *21444:B1 0 +2 *25352:A 0.000833672 +3 *24158:Q 0.000548339 +4 *5839:10 0.00207956 +5 *5839:8 0.00179423 +6 *5839:8 *5907:65 5.20546e-06 +7 *5839:10 *5842:10 6.74811e-05 +8 *21593:A1 *5839:8 6.83148e-05 +9 *21593:B2 *5839:8 3.76961e-05 +10 *21593:B2 *5839:10 1.82832e-05 +11 *24152:D *25352:A 9.96342e-05 +12 *24158:D *5839:8 6.31665e-05 +13 *2329:28 *5839:10 1.12605e-05 +14 *2329:49 *5839:10 0.000338831 +15 *2329:58 *5839:8 5.22654e-06 +16 *2329:58 *5839:10 0.000162325 +17 *2330:10 *5839:10 4.83486e-05 +18 *2330:16 *5839:10 0.000276878 +19 *2330:18 *5839:10 0.000261545 +20 *2330:22 *5839:10 0.000292149 +21 *3080:24 *25352:A 0.0003637 +22 *5627:8 *5839:8 0 +23 *5835:8 *5839:10 0.000119098 +24 *5835:16 *25352:A 0.000641443 +25 *5835:16 *5839:10 0.000869436 +26 *5836:20 *25352:A 5.08071e-06 +27 *5836:20 *5839:10 0.00211175 +28 *5836:27 *25352:A 8.93684e-05 +29 *5836:27 *5839:10 1.41761e-05 +*RES +1 *24158:Q *5839:8 24.2027 +2 *5839:8 *5839:10 57.6834 +3 *5839:10 *25352:A 37.7485 +4 *5839:8 *21444:B1 13.7491 +*END + +*D_NET *5840 0.00577705 +*CONN +*I *22087:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25353:A I *D sky130_fd_sc_hd__buf_2 +*I *24139:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22087:B1 0 +2 *25353:A 0 +3 *24139:Q 0.000539229 +4 *5840:37 0.000846658 +5 *5840:19 0.00138589 +6 *5840:19 *5927:30 0.000192472 +7 *5840:37 *22085:B1 8.36586e-06 +8 *5840:37 *22086:B1 2.08076e-05 +9 *5840:37 *25356:A 0 +10 *5840:37 *5927:26 7.89785e-05 +11 *5840:37 *5927:30 0.000723637 +12 wb_ack_o *5840:37 0.000125695 +13 wb_dat_o[2] *5840:37 1.42599e-05 +14 *22087:A1 *5840:19 5.51483e-06 +15 *22087:A1 *5840:37 6.08467e-05 +16 *24139:D *5840:37 2.41274e-06 +17 *25342:A *5840:19 0.000197554 +18 *25342:A *5840:37 0.00110643 +19 *3084:35 *5840:19 5.04829e-06 +20 *3840:101 *5840:37 0.000175045 +21 *3860:100 *5840:37 0.000107432 +22 *4326:52 *5840:19 5.04829e-06 +23 *4879:14 *5840:37 9.57557e-06 +24 *5800:49 *5840:37 0.000120058 +25 *5816:24 *5840:19 4.60951e-05 +*RES +1 *24139:Q *5840:19 31.3151 +2 *5840:19 *5840:37 45.9061 +3 *5840:37 *25353:A 9.24915 +4 *5840:19 *22087:B1 9.24915 +*END + +*D_NET *5841 0.00107682 +*CONN +*I *21966:A I *D sky130_fd_sc_hd__inv_2 +*I *25134:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21966:A 0.000362563 +2 *25134:X 0.000362563 +3 *21966:A *21886:A 5.07284e-05 +4 *21966:A *5933:13 2.53145e-06 +5 *21202:A *21966:A 9.421e-05 +6 *21211:B1 *21966:A 0 +7 *2902:12 *21966:A 4.02303e-05 +8 *4843:545 *21966:A 0.000163997 +*RES +1 *25134:X *21966:A 37.4455 +*END + +*D_NET *5842 0.0105807 +*CONN +*I *25354:A I *D sky130_fd_sc_hd__buf_2 +*I *21355:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24159:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *25354:A 0.000768238 +2 *21355:B1 8.1877e-05 +3 *24159:Q 0.000179533 +4 *5842:26 0.00172001 +5 *5842:24 0.00154096 +6 *5842:10 0.000850593 +7 *5842:24 *5932:13 4.10764e-05 +8 *21265:A *5842:26 0.000191994 +9 *21355:A1 *5842:24 0.000113968 +10 *21355:A2 *21355:B1 3.82228e-05 +11 *21355:A2 *5842:24 2.65831e-05 +12 *24153:D *5842:24 0.000153225 +13 *2330:10 *5842:10 0.000143032 +14 *2330:16 *5842:10 3.31882e-05 +15 *4882:113 *5842:10 9.19632e-06 +16 *5458:122 *5842:26 0.000242149 +17 *5458:128 *5842:26 0.00193358 +18 *5612:12 *5842:24 0.000288665 +19 *5612:12 *5842:26 0.000150163 +20 *5624:18 *5842:26 0 +21 *5624:27 *5842:26 0 +22 *5627:11 *25354:A 0.000211478 +23 *5628:11 *5842:24 0.000293263 +24 *5628:11 *5842:26 0.00125807 +25 *5628:14 *5842:26 9.60334e-05 +26 *5834:10 *5842:24 0.000148144 +27 *5839:10 *5842:10 6.74811e-05 +*RES +1 *24159:Q *5842:10 22.6049 +2 *5842:10 *21355:B1 11.1059 +3 *5842:10 *5842:24 24.8219 +4 *5842:24 *5842:26 45.8487 +5 *5842:26 *25354:A 29.4468 +*END + +*D_NET *5843 0.0069287 +*CONN +*I *21267:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25355:A I *D sky130_fd_sc_hd__buf_2 +*I *24160:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *21267:B1 9.74435e-05 +2 *25355:A 0.000138333 +3 *24160:Q 0.00046509 +4 *5843:18 0.00096852 +5 *5843:8 0.00139272 +6 *5843:8 *5929:10 0.000116439 +7 *5843:8 *5929:99 8.62625e-06 +8 *5843:18 *5929:10 0.000963398 +9 *5843:18 *5931:16 0.000824243 +10 *21267:B2 *21267:B1 6.50727e-05 +11 *24150:D *25355:A 7.7434e-05 +12 *24150:D *5843:18 0.000102632 +13 *24160:D *5843:8 0.000107496 +14 *2329:25 *21267:B1 2.99978e-05 +15 *3989:11 *5843:8 1.34951e-05 +16 *4006:17 *25355:A 0.000355638 +17 *4880:66 *5843:8 0.000123582 +18 *4880:66 *5843:18 0.0009598 +19 *5800:49 *5843:18 0.000118737 +20 *5838:20 *5843:18 0 +*RES +1 *24160:Q *5843:8 21.7112 +2 *5843:8 *5843:18 48.6217 +3 *5843:18 *25355:A 13.8789 +4 *5843:8 *21267:B1 16.1364 +*END + +*D_NET *5844 0.00477627 +*CONN +*I *25356:A I *D sky130_fd_sc_hd__buf_2 +*I *22086:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24140:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *25356:A 0.000513184 +2 *22086:B1 0.000158592 +3 *24140:Q 0.000621377 +4 *5844:7 0.00129315 +5 *25356:A *25357:A 0 +6 wb_dat_o[0] *22086:B1 0.00014464 +7 wb_dat_o[0] *25356:A 7.50872e-05 +8 wb_dat_o[1] *25356:A 8.71534e-05 +9 wb_dat_o[2] *25356:A 6.11074e-05 +10 wb_dat_o[3] *25356:A 0.00011015 +11 *22086:A1 *22086:B1 0.000211464 +12 *24140:D *22086:B1 2.08365e-05 +13 *24140:D *5844:7 6.67095e-06 +14 *25342:A *22086:B1 9.71323e-06 +15 *25342:A *25356:A 5.24651e-05 +16 *3084:41 *22086:B1 5.04829e-06 +17 *3902:31 *5844:7 9.18559e-06 +18 *4879:10 *25356:A 0.000193213 +19 *4879:12 *22086:B1 6.28168e-05 +20 *4879:12 *25356:A 0.00089228 +21 *4879:14 *22086:B1 0.000227319 +22 *5840:37 *22086:B1 2.08076e-05 +23 *5840:37 *25356:A 0 +*RES +1 *24140:Q *5844:7 21.6824 +2 *5844:7 *22086:B1 20.8751 +3 *5844:7 *25356:A 33.7451 +*END + +*D_NET *5845 0.00341342 +*CONN +*I *25357:A I *D sky130_fd_sc_hd__buf_2 +*I *22085:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *24141:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *25357:A 0.000303133 +2 *22085:B1 9.85856e-05 +3 *24141:Q 0.000407308 +4 *5845:11 0.000809027 +5 *22085:B1 *5927:26 0.000169684 +6 *25357:A *5927:26 0.00060519 +7 wb_dat_o[3] *25357:A 0 +8 *22084:A1 *25357:A 0.000313481 +9 *24141:D *22085:B1 9.76854e-05 +10 *25356:A *25357:A 0 +11 *3860:100 *22085:B1 2.57847e-05 +12 *4373:22 *25357:A 0.000468897 +13 *5800:49 *25357:A 0.000106281 +14 *5840:37 *22085:B1 8.36586e-06 +*RES +1 *24141:Q *5845:11 20.4767 +2 *5845:11 *22085:B1 17.5503 +3 *5845:11 *25357:A 27.7979 +*END + +*D_NET *5846 0.00223692 +*CONN +*I *25358:A I *D sky130_fd_sc_hd__buf_2 +*I *22084:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *24142:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *25358:A 0.00027737 +2 *22084:B1 5.84499e-05 +3 *24142:Q 0.00026552 +4 *5846:7 0.00060134 +5 *22084:B1 *5927:26 0.000255925 +6 *25358:A *5927:26 0.0001425 +7 *1829:59 *5846:7 0.000233859 +8 *3084:63 *22084:B1 1.07248e-05 +9 *3084:76 *22084:B1 0.000241603 +10 *3084:76 *25358:A 0.000149628 +*RES +1 *24142:Q *5846:7 17.8002 +2 *5846:7 *22084:B1 18.0727 +3 *5846:7 *25358:A 21.0173 +*END + +*D_NET *5847 0.00201635 +*CONN +*I *22083:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25359:A I *D sky130_fd_sc_hd__buf_2 +*I *24143:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22083:B1 0 +2 *25359:A 0.000206319 +3 *24143:Q 0.000546221 +4 *5847:8 0.00075254 +5 *24143:D *5847:8 7.50872e-05 +6 *3989:23 *5847:8 2.15285e-05 +7 *4373:22 *25359:A 0.000226296 +8 *4373:22 *5847:8 0.000116971 +9 *4879:50 *5847:8 0 +10 *5457:67 *25359:A 6.57142e-05 +11 *5457:67 *5847:8 5.66868e-06 +*RES +1 *24143:Q *5847:8 22.2658 +2 *5847:8 *25359:A 20.0446 +3 *5847:8 *22083:B1 13.7491 +*END + +*D_NET *5848 0.0024443 +*CONN +*I *22082:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25360:A I *D sky130_fd_sc_hd__buf_2 +*I *24144:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22082:B1 0.000160014 +2 *25360:A 0.000585472 +3 *24144:Q 0.000253993 +4 *5848:5 0.000999478 +5 *22082:B1 *25361:A 2.61955e-05 +6 *22082:B1 *5929:10 9.75356e-05 +7 *24144:D *25360:A 6.54102e-05 +8 *24144:CLK *25360:A 9.90116e-05 +9 *3840:75 *22082:B1 9.75356e-05 +10 *4249:13 *5848:5 3.4123e-05 +11 *4377:15 *25360:A 2.10985e-05 +12 *4377:15 *5848:5 4.43257e-06 +*RES +1 *24144:Q *5848:5 12.7456 +2 *5848:5 *25360:A 18.171 +3 *5848:5 *22082:B1 22.4925 +*END + +*D_NET *5849 0.00498036 +*CONN +*I *22101:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25361:A I *D sky130_fd_sc_hd__buf_2 +*I *24129:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22101:B1 0.000229559 +2 *25361:A 0.000852454 +3 *24129:Q 0.000130938 +4 *5849:8 0.00121295 +5 *25361:A *5850:13 0.000835551 +6 *25361:A *5926:19 0.000125488 +7 *25361:A *5929:10 0 +8 *5849:8 *5850:13 9.75356e-05 +9 *22082:A1 *25361:A 2.16355e-05 +10 *22082:A2 *25361:A 0.00029284 +11 *22082:B1 *25361:A 2.61955e-05 +12 *22101:A1 *22101:B1 2.20457e-05 +13 *3083:25 *25361:A 0.000209312 +14 *3840:75 *25361:A 8.55378e-05 +15 *3860:78 *25361:A 1.37925e-05 +16 *4242:22 *25361:A 0.000294241 +17 *4373:20 *5849:8 4.69808e-06 +18 *4519:21 *25361:A 5.04829e-06 +19 *5453:68 *25361:A 0.000394843 +20 *5453:68 *5849:8 9.25219e-05 +21 *5817:11 *25361:A 3.31736e-05 +*RES +1 *24129:Q *5849:8 16.8591 +2 *5849:8 *25361:A 44.4379 +3 *5849:8 *22101:B1 18.2342 +*END + +*D_NET *5850 0.00701451 +*CONN +*I *22100:B1 I *D sky130_fd_sc_hd__o22a_1 +*I *25362:A I *D sky130_fd_sc_hd__buf_2 +*I *24130:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *22100:B1 0.000215919 +2 *25362:A 0 +3 *24130:Q 0.000136948 +4 *5850:13 0.00101654 +5 *5850:8 0.00136941 +6 *5850:13 *5926:19 0.000228109 +7 *22100:A1 *22100:B1 6.11872e-05 +8 *22100:A2 *22100:B1 6.08467e-05 +9 *25337:A *5850:8 1.21461e-06 +10 *25361:A *5850:13 0.000835551 +11 *3840:75 *5850:13 0.000213614 +12 *3860:74 *5850:13 0.000340557 +13 *3860:78 *5850:13 0.000774034 +14 *4134:54 *5850:8 9.75356e-05 +15 *4134:54 *5850:13 0.000476563 +16 *4374:73 *5850:8 9.25219e-05 +17 *4374:73 *5850:13 0.000843314 +18 *5453:68 *5850:13 0.000106958 +19 *5457:89 *22100:B1 4.61503e-05 +20 *5849:8 *5850:13 9.75356e-05 +*RES +1 *24130:Q *5850:8 16.8591 +2 *5850:8 *5850:13 48.8733 +3 *5850:13 *25362:A 9.24915 +4 *5850:8 *22100:B1 19.1264 +*END + +*D_NET *5851 0.198283 +*CONN +*I *20676:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20984:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21017:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20791:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20862:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20524:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20486:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20418:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20570:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20608:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20638:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20410:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20684:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20581:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19624:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20379:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20600:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20220:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19723:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20352:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20241:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21036:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20909:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19614:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20233:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20949:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20363:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19687:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21080:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20456:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19700:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19713:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19733:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19647:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20371:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20448:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20562:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20888:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20532:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20722:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20930:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25363:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20676:B1 0 +2 *20984:B1 0 +3 *21017:B1 0.00023193 +4 *20791:B1 0.000604023 +5 *20862:B1 0.000799289 +6 *20524:B1 7.18178e-05 +7 *20486:B1 0.000692002 +8 *20418:B1 0.000415018 +9 *20570:B1 0 +10 *20608:B1 0.000683751 +11 *20638:B1 0 +12 *20410:B1 0.0013517 +13 *20684:B1 0.000104554 +14 *20581:B1 0.000593955 +15 *19624:B1 8.67155e-05 +16 *20379:B1 0.000482502 +17 *20600:B1 0 +18 *20220:B1 0.000226875 +19 *19723:B1 0.00109831 +20 *20352:B1 0.000191922 +21 *20241:B1 0.000231345 +22 *21036:B1 0 +23 *20909:B1 0.000560069 +24 *19614:B1 0.000641402 +25 *20233:B1 0.00104995 +26 *20949:B1 0.000405529 +27 *20363:B1 1.98947e-05 +28 *19687:B1 0.000466941 +29 *21080:B1 2.06324e-05 +30 *20456:B1 2.06324e-05 +31 *19700:B1 0.000963656 +32 *19713:B1 4.27254e-05 +33 *19733:B1 0.000578605 +34 *19647:B1 0.000457102 +35 *20371:B1 0.000289397 +36 *20448:B1 0.000167721 +37 *20562:B1 0.000463549 +38 *20888:B1 9.90612e-05 +39 *20532:B1 9.78525e-05 +40 *20722:B1 0 +41 *20930:B1 0.00059126 +42 *25363:X 0 +43 *5851:646 0.00200456 +44 *5851:640 0.00183224 +45 *5851:635 0.00136199 +46 *5851:616 0.00182404 +47 *5851:577 0.00149521 +48 *5851:502 0.00123259 +49 *5851:446 0.00181492 +50 *5851:400 0.00207279 +51 *5851:389 0.001516 +52 *5851:365 0.00209501 +53 *5851:346 0.00103206 +54 *5851:337 0.00111922 +55 *5851:330 0.00186378 +56 *5851:316 0.00219885 +57 *5851:311 0.00247823 +58 *5851:301 0.00239595 +59 *5851:287 0.00118295 +60 *5851:236 0.00190922 +61 *5851:233 0.0016463 +62 *5851:229 0.00229057 +63 *5851:224 0.00148912 +64 *5851:219 0.00240339 +65 *5851:211 0.00228214 +66 *5851:209 0.00199394 +67 *5851:207 0.00173526 +68 *5851:196 0.0023946 +69 *5851:192 0.00211129 +70 *5851:187 0.000890399 +71 *5851:186 0.000436484 +72 *5851:171 0.00174821 +73 *5851:153 0.00149176 +74 *5851:143 0.00162906 +75 *5851:141 0.00120233 +76 *5851:131 0.00147205 +77 *5851:127 0.00123174 +78 *5851:123 0.002124 +79 *5851:116 0.00128907 +80 *5851:111 0.00135433 +81 *5851:95 0.00126471 +82 *5851:84 0.000948422 +83 *5851:83 0.00142499 +84 *5851:70 0.00249158 +85 *5851:42 0.00154934 +86 *5851:36 0.00166425 +87 *5851:25 0.00242514 +88 *5851:9 0.00264987 +89 *5851:5 0.00181989 +90 *19700:B1 *5854:262 0.000234218 +91 *19700:B1 *5860:298 0 +92 *19700:B1 *5917:168 2.41274e-06 +93 *19733:B1 *5858:284 0 +94 *20352:B1 *5852:21 9.53639e-05 +95 *20410:B1 *5869:255 2.26713e-06 +96 *20418:B1 *20490:B1 0.000649394 +97 *20448:B1 *5869:212 1.00901e-05 +98 *20524:B1 *5858:112 0.000104151 +99 *20862:B1 *24359:SET_B 0 +100 *20862:B1 *5867:46 0 +101 *20862:B1 *5867:66 3.41459e-05 +102 *20888:B1 *5852:125 0.000265455 +103 *20909:B1 *20912:B1 0.000289138 +104 *20909:B1 *5859:354 0.00034056 +105 *20909:B1 *5860:491 0.000842609 +106 *20930:B1 *5858:40 2.05293e-05 +107 *21017:B1 *24383:SET_B 1.75293e-05 +108 *5851:9 *5858:40 9.20368e-05 +109 *5851:25 *5858:40 0.000136425 +110 *5851:25 *5858:59 0.000527015 +111 *5851:36 *20890:B1 6.08467e-05 +112 *5851:36 *5861:157 0.000130777 +113 *5851:42 *5858:59 0.00033516 +114 *5851:70 *5852:125 0.000550103 +115 *5851:70 *5869:718 1.57386e-05 +116 *5851:83 *24346:RESET_B 0.000303194 +117 *5851:83 *5854:67 0.000561526 +118 *5851:83 *5869:636 5.17282e-05 +119 *5851:95 *5856:183 0.000638062 +120 *5851:111 *5860:696 0.000137982 +121 *5851:116 *20490:B1 0.000487547 +122 *5851:116 *5860:696 0.000525923 +123 *5851:123 *5858:128 7.14367e-05 +124 *5851:123 *5858:132 0.000146477 +125 *5851:123 *5858:141 0.000130274 +126 *5851:127 *5858:141 0.000434156 +127 *5851:131 *5860:9 2.08659e-05 +128 *5851:141 *5856:203 0.000877075 +129 *5851:141 *5858:141 5.05976e-05 +130 *5851:141 *5860:9 1.25165e-05 +131 *5851:171 *5854:578 0.00017419 +132 *5851:171 *5858:166 0.00010738 +133 *5851:171 *5858:179 0.000156075 +134 *5851:171 *5869:236 0.000167587 +135 *5851:196 *24632:RESET_B 7.14746e-05 +136 *5851:207 *19745:A 3.73607e-05 +137 *5851:207 *24449:SET_B 0.000474578 +138 *5851:207 *5856:281 3.63593e-05 +139 *5851:207 *5856:283 0.000810378 +140 *5851:209 *5856:283 0.00036048 +141 *5851:211 *20347:A0 0.000157399 +142 *5851:211 *24505:RESET_B 7.81584e-05 +143 *5851:211 *5856:283 0.00064503 +144 *5851:211 *5856:288 0.000650282 +145 *5851:211 *5917:481 5.53458e-05 +146 *5851:224 *5852:26 0.000285547 +147 *5851:229 *5858:245 0.000235826 +148 *5851:301 *5856:377 6.33884e-05 +149 *5851:330 *5858:383 0.00289298 +150 *5851:337 *20367:B1 0.000573271 +151 *5851:337 *24667:RESET_B 1.37157e-05 +152 *5851:365 *20366:B1 8.6297e-06 +153 *5851:389 *20236:B1 0.000325694 +154 *5851:389 *24241:RESET_B 0.000178122 +155 *5851:400 *21038:B1 5.49916e-05 +156 *5851:502 *5858:213 3.955e-05 +157 *5851:502 *5917:465 1.9101e-05 +158 *5851:577 *24628:RESET_B 7.92757e-06 +159 *5851:577 *5861:179 0.000158357 +160 *19575:C *5851:196 1.62321e-05 +161 *19624:A2 *19624:B1 0.00017577 +162 *19624:A2 *20379:B1 0.000129197 +163 *19626:A1 *20379:B1 2.65061e-05 +164 *19647:A1 *19647:B1 4.48909e-05 +165 *19687:B2 *19687:B1 6.27718e-05 +166 *19701:A1 *19700:B1 0.000340399 +167 *19711:B2 *19713:B1 6.08467e-05 +168 *19711:B2 *5851:236 7.6719e-06 +169 *19733:A2 *19733:B1 0 +170 *19779:A *5851:196 4.23622e-05 +171 *19782:B *5851:196 0.000265529 +172 *19915:A1 *5851:316 5.65354e-05 +173 *19915:A1 *5851:337 3.63593e-05 +174 *19916:A1 *19687:B1 0 +175 *19916:A1 *5851:316 0 +176 *20016:A *5851:84 0.00020502 +177 *20030:C1 *20352:B1 0 +178 *20220:B2 *20220:B1 6.3657e-05 +179 *20223:A1 *20220:B1 1.72799e-05 +180 *20237:B2 *20233:B1 0.000112149 +181 *20241:B2 *20241:B1 6.50727e-05 +182 *20347:A1 *5851:209 2.26985e-05 +183 *20348:A *5851:502 0.000111722 +184 *20352:A2 *20352:B1 1.77537e-06 +185 *20352:B2 *20352:B1 1.07248e-05 +186 *20366:A1 *5851:365 0.000193754 +187 *20366:A1 *5851:389 4.34752e-05 +188 *20366:B2 *5851:365 0 +189 *20367:B2 *5851:337 0 +190 *20379:A1 *20379:B1 8.02929e-05 +191 *20379:A2 *20379:B1 1.88563e-05 +192 *20418:A1 *20418:B1 1.58551e-05 +193 *20421:A2 *20418:B1 1.09898e-05 +194 *20422:A2 *20418:B1 1.4091e-06 +195 *20448:A1 *20448:B1 0.00015901 +196 *20448:A1 *5851:143 3.0577e-05 +197 *20448:A1 *5851:153 4.15661e-05 +198 *20448:A1 *5851:171 0.000154145 +199 *20448:A2 *5851:153 4.9e-05 +200 *20456:A1 *5851:301 8.90486e-05 +201 *20456:A2 *5851:301 0.000324151 +202 *20483:A *5851:84 3.31745e-05 +203 *20486:B2 *20486:B1 6.08467e-05 +204 *20524:B2 *5851:95 0.000104216 +205 *20532:A1 *20532:B1 6.36477e-05 +206 *20532:B2 *20532:B1 1.39864e-05 +207 *20562:A1 *20562:B1 7.25301e-05 +208 *20562:A2 *20562:B1 1.25784e-05 +209 *20568:A *5851:577 0.0013484 +210 *20570:A1 *5851:577 6.08467e-05 +211 *20570:A2 *5851:577 3.82228e-05 +212 *20572:B2 *5851:577 0.000200794 +213 *20581:B2 *20581:B1 0.000122378 +214 *20600:A1 *5851:211 0.00013471 +215 *20603:A1 *5851:211 7.20593e-05 +216 *20608:B2 *20608:B1 0.000110297 +217 *20638:B2 *5851:141 2.53568e-05 +218 *20684:B2 *20684:B1 6.08467e-05 +219 *20686:A2 *5851:207 2.31718e-05 +220 *20791:A1 *20791:B1 1.58551e-05 +221 *20793:B2 *20791:B1 0 +222 *20862:A1 *20862:B1 0.000617993 +223 *20862:A2 *20862:B1 6.3623e-05 +224 *20888:A1 *20888:B1 1.65872e-05 +225 *20888:A1 *5851:70 1.34578e-05 +226 *20888:A2 *20888:B1 0.000207266 +227 *20888:A2 *5851:70 8.29362e-05 +228 *20890:A1 *5851:36 1.65872e-05 +229 *20890:A2 *5851:36 0.000239757 +230 *20890:B2 *5851:36 0.000107496 +231 *20909:A1 *20909:B1 8.41339e-05 +232 *20930:A1 *5851:25 3.25394e-05 +233 *20930:A2 *20930:B1 7.10829e-05 +234 *20930:B2 *20930:B1 7.30852e-05 +235 *20984:A1 *5851:646 1.07248e-05 +236 *20984:A2 *5851:640 0.000323889 +237 *21017:A1 *21017:B1 1.64789e-05 +238 *21017:B2 *21017:B1 1.61631e-05 +239 *21036:B2 *5851:400 0.000239402 +240 *21038:A1 *5851:400 2.25207e-05 +241 *21080:A2 *5851:311 0.000249494 +242 *21080:B2 *5851:301 6.23875e-05 +243 *21319:A *5851:229 0.000266165 +244 *21345:B1 *5851:141 8.25362e-05 +245 *21385:A *5851:236 0.000115615 +246 *21457:A *20379:B1 0.000512786 +247 *21458:A *5851:192 2.88923e-05 +248 *21464:A *5851:143 0.000109859 +249 *21510:A *5851:36 0.000203604 +250 *21571:B1 *20608:B1 6.71498e-05 +251 *21672:A *5851:131 5.04829e-06 +252 *21788:B2 *20410:B1 0.000174507 +253 *21860:A *5851:400 2.31171e-05 +254 *21914:A1 *5851:316 0.000118485 +255 *21932:A1 *19687:B1 0.000227883 +256 *21956:A *5851:330 0.000984428 +257 *21959:C1 *5851:389 0.000260388 +258 *21977:A *5851:389 0.000115573 +259 *21978:A *19700:B1 0.000628221 +260 *21979:A *5851:211 6.11515e-05 +261 *21989:A *5851:211 0.000147736 +262 *22758:A1 *5851:70 5.76799e-05 +263 *22812:B1 *5851:9 4.76381e-05 +264 *22813:B1 *5851:9 7.50578e-05 +265 *24239:D *5851:400 4.41867e-05 +266 *24241:D *5851:389 2.7585e-05 +267 *24241:D *5851:400 2.16355e-05 +268 *24306:D *20949:B1 4.65318e-05 +269 *24345:D *5851:36 0.000535856 +270 *24383:CLK *21017:B1 0.000137936 +271 *24385:D *20791:B1 2.65667e-05 +272 *24451:D *5851:635 0.000118485 +273 *24454:D *5851:640 4.58284e-05 +274 *24480:D *5851:131 0.000159399 +275 *24501:D *20608:B1 0.000494278 +276 *24502:CLK *5851:211 1.56341e-05 +277 *24504:D *5851:211 7.52574e-06 +278 *24506:CLK *20220:B1 0 +279 *24506:CLK *5851:446 6.88629e-05 +280 *24527:D *5851:577 5.51483e-06 +281 *24532:D *20562:B1 6.08467e-05 +282 *24549:CLK *5851:70 0.000258829 +283 *24605:D *5851:287 4.26566e-05 +284 *24605:D *5851:301 9.82896e-06 +285 *24633:CLK *20410:B1 0.000235714 +286 *24662:D *20371:B1 2.16355e-05 +287 *24664:D *20233:B1 0.000107496 +288 *24667:D *5851:337 0 +289 *24675:CLK *20352:B1 0.000127179 +290 *24675:CLK *5851:316 0.000787268 +291 *24676:D *5851:502 3.83647e-05 +292 *24759:D *19723:B1 2.2979e-05 +293 *24762:D *20220:B1 0 +294 *24861:D *19700:B1 8.29169e-05 +295 *24866:D *19687:B1 0 +296 *24890:CLK *20379:B1 0.000124338 +297 *24892:D *20379:B1 0.000493387 +298 *24984:A *5851:330 9.51286e-05 +299 *24990:A *5851:236 0.000103611 +300 *25300:A *5851:229 0.000515488 +301 *25303:A *19700:B1 5.27626e-05 +302 *74:18 *5851:400 0 +303 *470:33 *5851:196 0.000271286 +304 *470:49 *5851:196 7.21868e-05 +305 *472:32 *5851:196 0.000255946 +306 *514:101 *5851:311 0.000293585 +307 *514:106 *20363:B1 2.16355e-05 +308 *746:13 *20909:B1 1.88878e-05 +309 *1419:72 *20352:B1 8.68101e-05 +310 *1419:72 *5851:316 0.000110567 +311 *1419:260 *5851:9 0.000104901 +312 *1427:22 *5851:502 0.000446236 +313 *1427:87 *20486:B1 0.00028032 +314 *1438:169 *5851:640 0.00217377 +315 *1442:90 *20486:B1 0.000107496 +316 *1442:90 *5851:83 0.000189635 +317 *1448:8 *5851:207 3.46165e-05 +318 *1448:221 *20371:B1 0.000108776 +319 *1448:232 *5851:95 0.000453647 +320 *1455:105 *5851:84 0.00104416 +321 *1455:105 *5851:95 0.000107496 +322 *1461:16 *5851:196 4.36966e-05 +323 *1461:228 *5851:196 0.000316949 +324 *1467:93 *19723:B1 4.09154e-05 +325 *1467:184 *5851:116 7.05813e-06 +326 *1467:184 *5851:131 0.000280874 +327 *1467:184 *5851:141 0.000198051 +328 *1469:5 *5851:236 0.000250402 +329 *1469:9 *19647:B1 0.000541387 +330 *1469:9 *5851:236 0.000825209 +331 *1471:84 *5851:219 0.000790671 +332 *1473:5 *5851:224 0.000865428 +333 *1473:5 *5851:287 0.00036437 +334 *1473:5 *5851:301 0.000576786 +335 *1485:66 *20379:B1 0.000249368 +336 *1485:80 *20379:B1 0.000600813 +337 *1485:126 *5851:141 0.000833108 +338 *1492:52 *5851:316 0.000222513 +339 *1497:22 *20581:B1 0.00059113 +340 *1500:12 *5851:229 0.000191556 +341 *1501:70 *20608:B1 6.71498e-05 +342 *1502:49 *20220:B1 0.000149846 +343 *1502:49 *5851:446 2.18041e-06 +344 *1502:65 *19700:B1 0.00026368 +345 *1502:65 *5851:233 0.000702644 +346 *1502:86 *20241:B1 0.000134849 +347 *1502:86 *5851:330 0.000474834 +348 *1505:11 *5851:236 0.00039182 +349 *1511:68 *19700:B1 0.000103435 +350 *1515:20 *20581:B1 0.000170979 +351 *1515:85 *20608:B1 2.33978e-05 +352 *1515:85 *5851:131 2.137e-05 +353 *1520:17 *5851:207 0.000205578 +354 *1520:17 *5851:502 2.764e-05 +355 *1521:36 *5851:83 0.000315454 +356 *1541:145 *20486:B1 0.000258349 +357 *1569:23 *5851:9 0.000135814 +358 *1574:38 *5851:9 1.91391e-05 +359 *1574:38 *5851:616 0.000199296 +360 *1575:19 *5851:83 0.00017129 +361 *1575:29 *20930:B1 0 +362 *1575:29 *5851:9 0 +363 *1582:8 *5851:25 4.04044e-05 +364 *1582:43 *5851:640 0.000197424 +365 *1597:20 *5851:123 0.000181913 +366 *1597:30 *5851:123 0.000457933 +367 *1597:30 *5851:127 0.000439252 +368 *1603:35 *5851:70 3.29488e-05 +369 *1658:60 *5851:83 0.00127181 +370 *1668:12 *5851:316 1.7182e-05 +371 *1668:28 *5851:316 2.03363e-06 +372 *1671:38 *5851:346 0 +373 *1671:38 *5851:365 0 +374 *1671:42 *5851:346 0 +375 *1672:16 *5851:316 4.04911e-05 +376 *1699:42 *20486:B1 3.82228e-05 +377 *1733:45 *5851:42 3.31188e-05 +378 *1733:45 *5851:70 4.77135e-05 +379 *1740:110 *20418:B1 0.000589631 +380 *1740:110 *5851:116 0.000441483 +381 *1740:125 *5851:84 0.000117307 +382 *1740:125 *5851:95 0.000130071 +383 *1744:53 *20241:B1 3.58044e-05 +384 *1744:77 *19687:B1 0.000139836 +385 *1744:83 *19687:B1 0.000261128 +386 *1744:95 *5851:301 0.000180646 +387 *1755:15 *5851:502 0.000438688 +388 *1755:19 *5851:502 6.08467e-05 +389 *1760:8 *19713:B1 2.04806e-05 +390 *1760:8 *5851:233 0.000152818 +391 *1764:128 *5851:211 1.86178e-05 +392 *1764:128 *5851:219 3.63738e-05 +393 *1772:22 *5851:84 0.000247443 +394 *1780:26 *20909:B1 1.04511e-05 +395 *1780:26 *5851:400 0.000571189 +396 *1780:36 *5851:400 1.16596e-05 +397 *1784:13 *5851:502 9.86799e-05 +398 *1784:18 *20371:B1 5.93547e-06 +399 *1784:32 *5851:141 0.00104688 +400 *1784:32 *5851:143 9.90599e-06 +401 *1784:71 *20930:B1 4.81484e-05 +402 *1784:71 *5851:9 5.28385e-05 +403 *1934:49 *20363:B1 6.08467e-05 +404 *1937:43 *20371:B1 0.000156308 +405 *1937:43 *5851:186 3.07561e-05 +406 *1947:215 *5851:207 2.95757e-05 +407 *1947:219 *20684:B1 0.000326544 +408 *1947:219 *5851:196 6.08467e-05 +409 *2009:44 *5851:211 0.000263557 +410 *2009:48 *5851:211 1.47773e-05 +411 *2009:48 *5851:219 3.5534e-06 +412 *2010:23 *5851:211 1.67657e-05 +413 *2033:34 *5851:640 1.64789e-05 +414 *2036:7 *5851:207 0.000113968 +415 *2036:21 *5851:207 9.14387e-06 +416 *2159:40 *20949:B1 4.80635e-06 +417 *2180:36 *5851:400 0.000359231 +418 *2180:38 *5851:400 9.21233e-05 +419 *2274:22 *5851:502 0.000495626 +420 *2274:28 *5851:502 0.000350089 +421 *2274:38 *5851:141 0.000263188 +422 *2274:63 *5851:141 2.2379e-05 +423 *2428:30 *5851:337 4.69495e-06 +424 *2443:17 *20909:B1 0.00109105 +425 *2445:7 *19713:B1 7.92757e-06 +426 *2445:7 *5851:236 0.00112453 +427 *2448:184 *5851:400 7.50228e-05 +428 *2510:28 *5851:171 0.000133992 +429 *2510:36 *5851:171 2.99052e-05 +430 *2510:54 *20410:B1 0.000154145 +431 *2514:13 *20379:B1 6.08467e-05 +432 *2514:13 *5851:502 1.93755e-05 +433 *2515:11 *5851:192 0.00035792 +434 *2523:52 *5851:83 6.51637e-05 +435 *2523:52 *5851:84 7.6719e-06 +436 *2531:38 *5851:83 2.2766e-06 +437 *2543:28 *5851:70 9.45961e-05 +438 *2553:60 *5851:640 0.000171636 +439 *2561:41 *5851:577 0.000114716 +440 *2567:7 *5851:36 8.86415e-05 +441 *2585:31 *20233:B1 0.00109611 +442 *2603:74 *5851:84 1.47488e-05 +443 *2615:16 *5851:9 1.91391e-05 +444 *2615:16 *5851:616 0.000147943 +445 *2616:13 *5851:25 8.50356e-05 +446 *2616:34 *20524:B1 0.000104151 +447 *2616:34 *5851:95 0.000476074 +448 *2619:5 *20220:B1 0.00016553 +449 *2623:8 *5851:224 0.000243613 +450 *2624:54 *20418:B1 5.74949e-05 +451 *2627:24 *5851:141 0.00014635 +452 *2632:53 *5851:131 7.09666e-06 +453 *2641:19 *5851:389 7.42343e-05 +454 *2641:19 *5851:400 0 +455 *2655:32 *5851:83 0.000307482 +456 *2667:8 *20949:B1 0.000712826 +457 *2671:10 *5851:635 0.000375532 +458 *2671:26 *5851:616 0.000360975 +459 *2671:26 *5851:635 0.000774216 +460 *2700:8 *5851:219 2.652e-05 +461 *2700:8 *5851:229 0.000233623 +462 *2700:12 *5851:219 0 +463 *2708:7 *20608:B1 0.00146527 +464 *2708:7 *5851:131 0.000107496 +465 *2719:8 *5851:219 0.000307195 +466 *2719:8 *5851:224 0.000309251 +467 *2739:13 *5851:330 0.000457641 +468 *2749:10 *5851:186 0.000414772 +469 *2749:10 *5851:192 2.11196e-05 +470 *2760:10 *19614:B1 0.000534974 +471 *2767:14 *5851:25 0.000867754 +472 *2771:12 *5851:25 9.75243e-05 +473 *2771:12 *5851:36 0.000952155 +474 *2779:41 *5851:9 0.00108259 +475 *2808:8 *19733:B1 0 +476 *2808:20 *5851:209 0 +477 *2808:20 *5851:211 0 +478 *2808:20 *5851:219 0 +479 *2810:38 *5851:153 4.70507e-05 +480 *2810:40 *5851:141 3.14861e-05 +481 *2810:40 *5851:143 0.0001626 +482 *2810:40 *5851:153 9.70119e-05 +483 *2812:11 *19723:B1 0.000463702 +484 *2815:8 *5851:400 0.000286207 +485 *2836:8 *19723:B1 5.65463e-05 +486 *2838:17 *20220:B1 4.58907e-05 +487 *2839:27 *19723:B1 0.00123462 +488 *2844:16 *5851:196 5.72879e-05 +489 *2844:24 *5851:196 0.000173728 +490 *2864:11 *5851:301 1.68874e-05 +491 *2864:11 *5851:311 7.02172e-06 +492 *2875:12 *20909:B1 0 +493 *2875:12 *5851:400 0 +494 *2903:8 *20241:B1 0 +495 *2903:8 *5851:337 0.00081231 +496 *2903:8 *5851:346 0.000346918 +497 *2903:8 *5851:365 0.000750758 +498 *2903:8 *5851:389 0.00044781 +499 *2943:66 *5851:123 0.000157794 +500 *2946:8 *5851:301 0.000242149 +501 *2964:25 *20379:B1 0.000250405 +502 *2973:10 *5851:389 0.000406808 +503 *2973:10 *5851:400 1.65872e-05 +504 *2991:25 *5851:389 2.26985e-05 +505 *2993:15 *20379:B1 3.28463e-05 +506 *2993:20 *5851:171 0.000276869 +507 *2993:29 *5851:171 0.00072718 +508 *2994:10 *5851:209 1.23455e-05 +509 *2994:12 *5851:207 0.000944726 +510 *2994:12 *5851:209 0.000275643 +511 *3002:69 *5851:70 0.000495915 +512 *3066:26 *5851:70 0.000716025 +513 *3069:6 *5851:646 1.69932e-05 +514 *3089:12 *5851:153 0.00022417 +515 *3157:139 *5851:616 2.71746e-05 +516 *3166:107 *5851:616 0.000149118 +517 *3166:107 *5851:635 0.000646562 +518 *3177:77 *19723:B1 0.000128804 +519 *3177:77 *20220:B1 7.91304e-05 +520 *3177:77 *5851:446 2.99287e-05 +521 *3533:95 *5851:25 3.87512e-05 +522 *3533:95 *5851:42 0.000210856 +523 *3671:67 *5851:111 0.000132385 +524 *3671:67 *5851:116 0.000666978 +525 *3857:42 *21017:B1 0.00025659 +526 *4106:131 *5851:36 0.00012609 +527 *4547:19 *21017:B1 0.000438373 +528 *4799:22 *5851:171 0.000770487 +529 *4844:403 *5851:316 2.46471e-05 +530 *4845:415 *20241:B1 0.000131924 +531 *4845:415 *5851:330 0.000380013 +532 *4845:474 *5851:211 4.69495e-06 +533 *4852:11 *20486:B1 0.000117376 +534 *4855:7 *20486:B1 4.71805e-05 +535 *4855:14 *5851:577 0.000110844 +536 *4862:11 *20791:B1 0.000314762 +537 *4863:11 *20581:B1 4.69435e-05 +538 *4863:20 *5851:219 0.000798879 +539 *4863:20 *5851:224 0.00045291 +540 *4863:28 *5851:229 0.000141587 +541 *4863:81 *20352:B1 2.65831e-05 +542 *4869:62 *20791:B1 0.000302625 +543 *4869:62 *5851:640 4.63992e-05 +544 *4869:62 *5851:646 0.00164424 +545 *4878:96 *20233:B1 1.41853e-05 +546 *4905:16 *20371:B1 6.9747e-05 +547 *4905:98 *5851:171 0.000572654 +548 *4905:114 *20410:B1 0.000294093 +549 *4905:114 *5851:153 6.87743e-05 +550 *4916:16 *5851:577 0.000114426 +551 *4917:58 *20949:B1 0.00011818 +552 *4918:10 *20909:B1 0.000476268 +553 *4918:49 *20909:B1 3.7061e-05 +554 *4926:89 *19624:B1 0.000167144 +555 *4926:89 *20379:B1 8.62625e-06 +556 *4928:10 *19713:B1 5.56367e-05 +557 *4928:10 *5851:233 0.000353492 +558 *4928:85 *20220:B1 4.76248e-05 +559 *4928:86 *19723:B1 0.000164536 +560 *4929:77 *19733:B1 0.000633791 +561 *4932:66 *5851:646 5.41227e-05 +562 *4954:27 *20241:B1 0.000170607 +563 *5082:37 *20684:B1 9.95234e-05 +564 *5082:37 *5851:196 0.000414264 +565 *5124:8 *5851:83 0.000148591 +566 *5194:7 *20233:B1 0.0001126 +567 *5427:10 *20949:B1 0.000148817 +568 *5458:13 *5851:70 8.0285e-05 +569 *5671:142 *20791:B1 5.05252e-05 +570 *5680:29 *20562:B1 3.55126e-05 +571 *5680:29 *5851:111 4.20506e-05 +572 *5681:7 *20486:B1 0.000298046 +573 *5682:22 *20418:B1 7.10901e-06 +574 *5682:22 *5851:116 5.74683e-06 +575 *5754:28 *19723:B1 1.22756e-05 +576 *5784:18 *19700:B1 2.57674e-05 +577 *5801:91 *20562:B1 0.000215382 +578 *5801:92 *5851:36 0.000127194 +579 *5830:20 *5851:316 0 +*RES +1 *25363:X *5851:5 13.7491 +2 *5851:5 *5851:9 7.62179 +3 *5851:9 *20930:B1 21.3711 +4 *5851:9 *5851:25 27.7965 +5 *5851:25 *5851:36 44.8852 +6 *5851:36 *20722:B1 9.24915 +7 *5851:25 *5851:42 11.315 +8 *5851:42 *20532:B1 15.6059 +9 *5851:42 *5851:70 22.7575 +10 *5851:70 *20888:B1 13.3243 +11 *5851:70 *5851:83 49.8657 +12 *5851:83 *5851:84 12.3701 +13 *5851:84 *5851:95 31.2849 +14 *5851:95 *20562:B1 20.1724 +15 *5851:95 *5851:111 10.1043 +16 *5851:111 *5851:116 16.4515 +17 *5851:116 *5851:123 17.9393 +18 *5851:123 *5851:127 12.976 +19 *5851:127 *5851:131 13.4263 +20 *5851:131 *5851:141 49.3624 +21 *5851:141 *5851:143 3.28538 +22 *5851:143 *5851:153 20.103 +23 *5851:153 *20448:B1 12.2151 +24 *5851:153 *5851:171 45.8243 +25 *5851:171 *20371:B1 23.8857 +26 *5851:171 *5851:186 14.964 +27 *5851:186 *5851:187 127.479 +28 *5851:187 *5851:192 26.1192 +29 *5851:192 *5851:196 42.6473 +30 *5851:196 *5851:207 44.7775 +31 *5851:207 *5851:209 7.02265 +32 *5851:209 *5851:211 33.5988 +33 *5851:211 *5851:219 36.2403 +34 *5851:219 *5851:224 32.9544 +35 *5851:224 *5851:229 32.8404 +36 *5851:229 *5851:233 28.8159 +37 *5851:233 *5851:236 35.172 +38 *5851:236 *19647:B1 22.9937 +39 *5851:236 *19733:B1 34.615 +40 *5851:233 *19713:B1 15.474 +41 *5851:229 *19700:B1 49.9751 +42 *5851:224 *5851:287 4.05102 +43 *5851:287 *20456:B1 9.82786 +44 *5851:287 *5851:301 32.5176 +45 *5851:301 *21080:B1 9.82786 +46 *5851:301 *5851:311 25.1891 +47 *5851:311 *5851:316 28.8967 +48 *5851:316 *19687:B1 27.9669 +49 *5851:316 *5851:330 46.2641 +50 *5851:330 *5851:337 32.5662 +51 *5851:337 *20363:B1 14.4725 +52 *5851:337 *5851:346 6.39977 +53 *5851:346 *20949:B1 30.4114 +54 *5851:346 *5851:365 13.8743 +55 *5851:365 *20233:B1 37.2836 +56 *5851:365 *5851:389 27.3692 +57 *5851:389 *5851:400 36.6669 +58 *5851:400 *19614:B1 28.8922 +59 *5851:400 *20909:B1 39.3265 +60 *5851:389 *21036:B1 9.24915 +61 *5851:330 *20241:B1 26.6559 +62 *5851:311 *20352:B1 20.4571 +63 *5851:219 *5851:446 11.8786 +64 *5851:446 *19723:B1 40.4613 +65 *5851:446 *20220:B1 25.3992 +66 *5851:211 *20600:B1 13.7491 +67 *5851:209 *5851:502 36.0968 +68 *5851:502 *20379:B1 34.376 +69 *5851:502 *19624:B1 17.2421 +70 *5851:207 *20581:B1 29.4468 +71 *5851:196 *20684:B1 13.3002 +72 *5851:143 *20410:B1 39.8155 +73 *5851:131 *20638:B1 13.7491 +74 *5851:127 *20608:B1 39.2458 +75 *5851:123 *5851:577 42.3441 +76 *5851:577 *20570:B1 9.24915 +77 *5851:116 *20418:B1 22.1842 +78 *5851:111 *20486:B1 34.0766 +79 *5851:84 *20524:B1 20.4964 +80 *5851:5 *5851:616 11.3828 +81 *5851:616 *20862:B1 27.132 +82 *5851:616 *5851:635 27.9984 +83 *5851:635 *5851:640 26.2834 +84 *5851:640 *5851:646 29.0268 +85 *5851:646 *20791:B1 28.4619 +86 *5851:646 *21017:B1 27.612 +87 *5851:640 *20984:B1 9.24915 +88 *5851:635 *20676:B1 9.24915 +*END + +*D_NET *5852 0.136646 +*CONN +*I *19813:A I *D sky130_fd_sc_hd__inv_2 +*I *23922:A I *D sky130_fd_sc_hd__and2_1 +*I *25135:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *19813:A 0.0022686 +2 *23922:A 0.00044394 +3 *25135:X 0 +4 *5852:125 0.00455161 +5 *5852:117 0.00918701 +6 *5852:74 0.0166973 +7 *5852:61 0.01089 +8 *5852:53 0.00292496 +9 *5852:41 0.00256084 +10 *5852:29 0.00409542 +11 *5852:28 0.00291894 +12 *5852:26 0.0011693 +13 *5852:21 0.00257005 +14 *5852:9 0.00388244 +15 *5852:7 0.00250013 +16 *5852:5 0.00273541 +17 *5852:4 0.00271698 +18 *5852:5 *5959:40 0.00306934 +19 *5852:26 *20460:B1 0.000260388 +20 *5852:26 *5860:142 0.000426154 +21 *5852:26 *5860:147 0.00104979 +22 *5852:41 *5917:290 2.40078e-05 +23 *5852:53 *5898:73 0.000215325 +24 *5852:74 *5916:20 0.000453526 +25 *5852:74 *5928:29 0.000314121 +26 *5852:74 *5938:8 0.00011041 +27 *5852:117 *5919:40 0.000457972 +28 *5852:117 *5924:20 8.31701e-05 +29 *5852:125 *20724:B1 0.000932853 +30 *5852:125 *24549:RESET_B 1.656e-05 +31 *5852:125 *5869:689 2.32967e-05 +32 *19581:B *5852:5 0.000164829 +33 *19582:A *5852:5 0.00133883 +34 *19789:B *19813:A 8.98771e-07 +35 *19796:A *19813:A 0.000206333 +36 *19810:C1 *19813:A 0 +37 *19940:A *5852:26 2.15348e-05 +38 *20030:C1 *5852:21 0.000217981 +39 *20054:A3 *5852:74 0.00388101 +40 *20054:A3 *5852:117 0.0004234 +41 *20073:A *5852:53 6.08467e-05 +42 *20074:A *5852:53 6.92705e-05 +43 *20104:A *5852:74 0.000292537 +44 *20224:A1 *5852:26 0.00015938 +45 *20352:A1 *5852:21 1.41976e-05 +46 *20352:B1 *5852:21 9.53639e-05 +47 *20460:A1 *5852:26 3.31745e-05 +48 *20529:A *19813:A 0.000484132 +49 *20724:B2 *5852:117 5.26029e-05 +50 *20724:B2 *5852:125 3.82228e-05 +51 *20888:B1 *5852:125 0.000265455 +52 *20888:B2 *5852:125 2.16355e-05 +53 *21004:B1 *5852:5 0.000751257 +54 *21505:A2 *5852:9 0.000118166 +55 *21505:B1 *5852:9 0.00126431 +56 *21651:A *5852:5 0.000616977 +57 *22047:B1 *19813:A 1.50804e-05 +58 *22413:B1 *5852:125 1.10307e-05 +59 *22469:C *19813:A 0.00025272 +60 *22726:B1 *19813:A 0.000181996 +61 *23381:B *5852:117 0 +62 *23476:B *23922:A 3.82228e-05 +63 *23923:A *23922:A 5.2538e-05 +64 *23982:A1 *5852:9 0.000207266 +65 *23982:S *5852:9 0.000271044 +66 *23986:S *5852:9 0.000154145 +67 *24347:D *5852:125 0.000111708 +68 *24421:D *5852:117 2.96469e-05 +69 *24421:D *5852:125 6.7671e-06 +70 *24549:CLK *5852:125 1.88014e-05 +71 *24675:D *5852:21 5.39463e-05 +72 *24675:CLK *5852:21 0.00071726 +73 *24887:CLK *5852:53 1.03403e-05 +74 *25012:A *5852:74 0.000627687 +75 *25031:A *5852:74 0 +76 *25199:A *5852:74 3.58954e-05 +77 *25207:A *23922:A 0.000208746 +78 *439:182 *5852:5 0.000260374 +79 *439:240 *5852:5 0.000160617 +80 *546:15 *5852:5 0.00132616 +81 *546:15 *5852:7 7.24917e-06 +82 *657:16 *5852:41 0.000122378 +83 *657:39 *5852:74 7.88202e-05 +84 *1430:7 *5852:5 0.000162975 +85 *1469:40 *5852:41 7.50722e-05 +86 *1471:169 *5852:74 0 +87 *1482:34 *5852:117 6.32213e-05 +88 *1565:17 *19813:A 7.70416e-05 +89 *1587:121 *19813:A 0.00142403 +90 *1668:34 *5852:26 0.0017481 +91 *1725:144 *19813:A 0.000634289 +92 *1731:50 *5852:53 0.000380277 +93 *1757:106 *5852:117 0 +94 *1763:59 *5852:117 0.000470986 +95 *1795:62 *5852:125 0.000189581 +96 *1808:78 *5852:117 0 +97 *1815:60 *5852:61 5.05252e-05 +98 *1816:23 *5852:61 2.22198e-05 +99 *1841:16 *5852:74 0 +100 *1845:92 *5852:53 0.000116971 +101 *2164:38 *5852:5 0.000984545 +102 *2270:28 *5852:9 0.000213725 +103 *2405:20 *5852:74 0.00107881 +104 *2447:7 *5852:53 0.000364356 +105 *2447:7 *5852:61 0.00236814 +106 *2447:9 *5852:53 0.000100688 +107 *2560:21 *5852:5 0.000623187 +108 *2560:21 *5852:9 0.00160455 +109 *2561:26 *5852:41 4.61323e-05 +110 *2561:91 *5852:9 2.20702e-05 +111 *2603:100 *5852:125 0.000194375 +112 *2625:22 *5852:41 0.000472832 +113 *2684:24 *19813:A 0.000335175 +114 *2689:7 *5852:5 6.73186e-05 +115 *2715:12 *5852:74 0.000111435 +116 *2719:8 *5852:26 0.00028913 +117 *2720:11 *5852:5 0.000282796 +118 *2785:63 *5852:117 0.000449235 +119 *2831:39 *5852:9 2.97556e-05 +120 *2838:21 *5852:41 0.000149018 +121 *2878:44 *5852:117 2.07556e-06 +122 *2928:11 *5852:9 0.000470585 +123 *2951:21 *5852:29 0.000727827 +124 *2951:25 *5852:29 0.00275435 +125 *2963:93 *5852:117 0 +126 *3002:69 *19813:A 0.00135431 +127 *3060:21 *5852:41 0.000818765 +128 *3131:93 *19813:A 0.000636771 +129 *3177:111 *5852:53 8.62625e-06 +130 *3415:22 *19813:A 0.000257801 +131 *3541:148 *19813:A 0.000207035 +132 *3541:150 *19813:A 0.000120815 +133 *3840:151 *23922:A 1.17485e-06 +134 *3840:151 *5852:117 1.01668e-06 +135 *3903:61 *23922:A 1.9101e-05 +136 *3934:21 *5852:117 0.00398466 +137 *3999:35 *5852:117 0.000465174 +138 *4153:11 *5852:117 0.000125488 +139 *4326:100 *23922:A 1.5714e-05 +140 *4326:100 *5852:74 0.00019951 +141 *4528:17 *5852:117 0.000188378 +142 *4695:22 *5852:117 1.16089e-05 +143 *4702:28 *5852:117 0.000831055 +144 *4704:17 *5852:117 0.0010642 +145 *4745:43 *5852:117 6.42311e-06 +146 *4802:18 *5852:117 1.49896e-05 +147 *4863:23 *5852:26 3.18992e-05 +148 *4863:58 *5852:26 1.40978e-05 +149 *4863:81 *5852:21 0.000133523 +150 *4863:81 *5852:26 4.62471e-05 +151 *5253:9 *5852:26 0.000212753 +152 *5452:23 *5852:74 0 +153 *5454:15 *5852:117 0 +154 *5456:41 *5852:117 0.00234817 +155 *5456:61 *23922:A 1.5613e-05 +156 *5458:13 *19813:A 0.00165107 +157 *5458:22 *5852:74 0.00109631 +158 *5458:22 *5852:117 0.00411247 +159 *5474:63 *5852:53 0 +160 *5475:19 *5852:41 0.000219212 +161 *5476:16 *5852:53 2.1558e-05 +162 *5477:9 *5852:53 0.000269694 +163 *5563:9 *23922:A 6.50586e-05 +164 *5563:21 *23922:A 0.000101459 +165 *5563:35 *23922:A 5.481e-05 +166 *5733:12 *5852:74 0.000111435 +167 *5735:23 *5852:74 0.000394828 +168 *5785:16 *5852:74 0.000105914 +169 *5800:32 *5852:74 0.000134557 +170 *5811:22 *5852:41 7.65861e-05 +171 *5814:38 *5852:41 0 +172 *5851:70 *5852:125 0.000550103 +173 *5851:224 *5852:26 0.000285547 +*RES +1 *25135:X *5852:4 9.24915 +2 *5852:4 *5852:5 100.275 +3 *5852:5 *5852:7 0.578717 +4 *5852:7 *5852:9 70.8808 +5 *5852:9 *5852:21 42.6684 +6 *5852:21 *5852:26 47.5359 +7 *5852:26 *5852:28 4.5 +8 *5852:28 *5852:29 49.5285 +9 *5852:29 *5852:41 48.3242 +10 *5852:41 *5852:53 48.0567 +11 *5852:53 *5852:61 40.7367 +12 *5852:61 *5852:74 49.7546 +13 *5852:74 *23922:A 27.052 +14 *5852:74 *5852:117 46.815 +15 *5852:117 *5852:125 49.0484 +16 *5852:125 *19813:A 33.8028 +*END + +*D_NET *5853 0.214917 +*CONN +*I *20880:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20429:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20401:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20783:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20543:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20668:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20630:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20920:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20733:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20695:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20657:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20941:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20995:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20899:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20136:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20168:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20257:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24034:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20516:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20200:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19629:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21028:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21061:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21072:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20619:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20960:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20212:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21091:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20706:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20440:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20390:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20494:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25363:A I *D sky130_fd_sc_hd__buf_12 +*I *25364:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20880:B1 0.000585258 +2 *20429:B1 0 +3 *20401:B1 0 +4 *20783:B1 8.13899e-05 +5 *20543:B1 0.000524654 +6 *20668:B1 0 +7 *20630:B1 0 +8 *20920:B1 0 +9 *20733:B1 0.000869792 +10 *20695:B1 0.00120761 +11 *20657:B1 0.000102359 +12 *20941:B1 0.000974682 +13 *20995:B1 0.000113131 +14 *20899:B1 0.000101069 +15 *20136:B1 0.00099212 +16 *20168:B1 0.000116182 +17 *20257:B1 0 +18 *24034:A1 0.000461646 +19 *20516:B1 1.5481e-05 +20 *20200:B1 0.00148897 +21 *19629:B1 0.000512787 +22 *21028:B1 4.12619e-05 +23 *21061:B1 6.33188e-06 +24 *21072:B1 3.01791e-05 +25 *20619:B1 0.000579473 +26 *20960:B1 2.18282e-05 +27 *20212:B1 0.000603804 +28 *21091:B1 0 +29 *20706:B1 2.06324e-05 +30 *20440:B1 9.75054e-05 +31 *20390:B1 3.85473e-05 +32 *20494:B1 0 +33 *25363:A 0 +34 *25364:X 0.000621404 +35 *5853:611 0.00135261 +36 *5853:593 0.000767357 +37 *5853:545 0.00109062 +38 *5853:444 0.00173825 +39 *5853:421 0.00243066 +40 *5853:410 0.0010428 +41 *5853:404 0.00247857 +42 *5853:403 0.00239294 +43 *5853:392 0.00261536 +44 *5853:390 0.00238879 +45 *5853:383 0.0024864 +46 *5853:379 0.00140562 +47 *5853:366 0.00103694 +48 *5853:365 0.00133281 +49 *5853:353 0.0019578 +50 *5853:334 0.00313353 +51 *5853:313 0.0042108 +52 *5853:304 0.00183181 +53 *5853:293 0.000935751 +54 *5853:278 0.00114521 +55 *5853:277 0.00251715 +56 *5853:260 0.00353448 +57 *5853:251 0.00305827 +58 *5853:243 0.00195011 +59 *5853:232 0.00251759 +60 *5853:227 0.00122024 +61 *5853:208 0.00255915 +62 *5853:184 0.00223252 +63 *5853:178 0.00256584 +64 *5853:171 0.0037358 +65 *5853:156 0.00195207 +66 *5853:154 0.00163924 +67 *5853:146 0.00277915 +68 *5853:137 0.00337567 +69 *5853:120 0.00189461 +70 *5853:119 0.000691221 +71 *5853:107 0.0010822 +72 *5853:97 0.000705596 +73 *5853:92 0.00106467 +74 *5853:81 0.000991097 +75 *5853:71 0.00271293 +76 *5853:62 0.000109569 +77 *5853:61 0.000114263 +78 *5853:48 0.00133546 +79 *5853:46 0.00223567 +80 *5853:35 0.00136357 +81 *5853:32 0.00112189 +82 *5853:26 0.0029941 +83 *5853:22 0.000773585 +84 *5853:15 0.00126658 +85 *5853:8 0.00163321 +86 *20619:B1 *24489:SET_B 0.00031416 +87 *20619:B1 *24493:RESET_B 4.92196e-05 +88 *20695:B1 *24466:RESET_B 0.000100397 +89 *20733:B1 *24327:RESET_B 0.000195046 +90 *20733:B1 *5857:61 0.000251413 +91 *20733:B1 *5857:69 0.000253934 +92 *20880:B1 *5866:313 0.0002646 +93 *20880:B1 *5866:529 0.000496653 +94 *21061:B1 *5870:572 1.74911e-05 +95 *5853:26 *24648:RESET_B 0.000134434 +96 *5853:32 *20391:B1 0.000107496 +97 *5853:46 *5855:34 6.2595e-05 +98 *5853:46 *5855:39 2.95757e-05 +99 *5853:46 *5866:103 0.00017301 +100 *5853:48 *5855:39 0.000161675 +101 *5853:71 *20391:B1 0.000260388 +102 *5853:97 *5918:23 0.000329901 +103 *5853:137 *5865:178 5.20167e-05 +104 *5853:156 *20214:B1 0.000152261 +105 *5853:171 *5859:151 0.000530456 +106 *5853:208 *24299:RESET_B 1.91114e-05 +107 *5853:208 *5862:521 9.40978e-05 +108 *5853:208 *5924:42 4.58504e-05 +109 *5853:227 *20963:B1 2.20585e-05 +110 *5853:227 *5862:521 9.75599e-05 +111 *5853:227 *5903:6 0.000643464 +112 *5853:232 *5903:6 2.49967e-05 +113 *5853:232 *5903:18 1.25629e-05 +114 *5853:243 *5903:18 0.000805452 +115 *5853:260 *5862:250 3.82228e-05 +116 *5853:277 *5855:244 0 +117 *5853:304 *24227:RESET_B 5.35413e-05 +118 *5853:334 *5944:80 0.000104665 +119 *5853:365 *20172:B1 0.000102614 +120 *5853:383 *5864:213 0.000104737 +121 *5853:383 *5864:220 4.11232e-05 +122 *5853:392 *5898:16 4.04556e-05 +123 *5853:421 *5859:343 0.000873299 +124 *5853:444 *24695:RESET_B 3.3678e-05 +125 *5853:444 *5864:220 1.21461e-06 +126 *20167:B1 *5853:365 6.74182e-05 +127 *20168:B2 *20168:B1 5.04829e-06 +128 *20169:A1 *5853:379 0.000101133 +129 *20169:A2 *5853:379 0.000111722 +130 *20211:B2 *20212:B1 1.56384e-05 +131 *20212:A1 *20212:B1 2.42222e-05 +132 *20212:A2 *20212:B1 0.000113968 +133 *20257:A1 *24034:A1 0.000160617 +134 *20257:A2 *24034:A1 5.51483e-06 +135 *20257:A2 *5853:444 5.04829e-06 +136 *20318:B1 *5853:390 0 +137 *20390:B2 *20390:B1 6.12989e-05 +138 *20391:A1 *5853:71 0.000444738 +139 *20391:B2 *5853:71 5.51483e-06 +140 *20401:A1 *5853:611 4.45173e-05 +141 *20401:A2 *5853:611 0.000213725 +142 *20401:B2 *5853:611 2.71651e-05 +143 *20429:A2 *20880:B1 9.06436e-05 +144 *20429:B2 *20880:B1 6.50727e-05 +145 *20429:B2 *5853:611 0.000123582 +146 *20494:A1 *5853:35 0.000821773 +147 *20494:B2 *5853:46 0.000160617 +148 *20516:B2 *20516:B1 9.95922e-06 +149 *20516:B2 *5853:410 1.03403e-05 +150 *20516:B2 *5853:421 8.36712e-05 +151 *20543:A1 *20543:B1 3.50982e-05 +152 *20543:B2 *20543:B1 4.80635e-06 +153 *20624:B *5853:146 0.000118427 +154 *20630:B2 *5853:119 1.44467e-05 +155 *20656:A1 *5853:243 0.000173931 +156 *20657:A1 *5853:251 0.000128693 +157 *20657:A2 *20657:B1 8.46475e-05 +158 *20657:A2 *5853:243 0.000135543 +159 *20657:A2 *5853:251 2.69685e-05 +160 *20657:B2 *20657:B1 1.47978e-05 +161 *20658:A2 *5853:232 5.11321e-05 +162 *20661:A1 *5853:243 0.000195669 +163 *20668:A1 *5853:97 3.75603e-05 +164 *20668:A1 *5853:107 5.37475e-05 +165 *20668:A2 *5853:107 0.000230591 +166 *20668:B2 *5853:97 0.000260374 +167 *20670:B1 *20543:B1 2.77564e-05 +168 *20677:B2 *5853:46 3.73455e-05 +169 *20677:B2 *5853:48 0.000368734 +170 *20679:B2 *5853:48 0.000565168 +171 *20695:B2 *20695:B1 4.16657e-05 +172 *20706:A1 *20706:B1 0 +173 *20706:A1 *5853:92 6.50586e-05 +174 *20733:A2 *20733:B1 2.16608e-05 +175 *20733:B2 *20733:B1 5.8973e-05 +176 *20783:B2 *20783:B1 6.92705e-05 +177 *20783:B2 *5853:22 0.000376819 +178 *20786:A1 *5853:15 5.51483e-06 +179 *20786:A2 *5853:15 0.00010051 +180 *20786:B2 *5853:15 2.16355e-05 +181 *20786:B2 *5853:22 2.26985e-05 +182 *20862:A1 *5853:48 0.000171508 +183 *20899:A1 *20899:B1 3.75603e-05 +184 *20924:B2 *5853:154 7.45028e-05 +185 *20941:A1 *20941:B1 2.99287e-05 +186 *20942:A1 *20941:B1 0.000160481 +187 *20957:B1 *5853:208 9.17372e-06 +188 *20959:B1 *5853:208 0.000103002 +189 *20960:A1 *5853:227 0.000116986 +190 *20990:A *5853:260 5.13559e-05 +191 *21010:A2 *5853:392 7.12021e-05 +192 *21026:B2 *21028:B1 4.79289e-05 +193 *21031:A2 *5853:313 2.53145e-06 +194 *21061:A1 *5853:334 0.000110649 +195 *21091:A1 *5853:178 7.94607e-05 +196 *21194:B1 *5853:277 0 +197 *21237:A *20136:B1 0.000154145 +198 *21341:A *5853:313 0.000207266 +199 *21341:A *5853:334 0.000406139 +200 *21370:A1_N *5853:421 2.68951e-05 +201 *21394:A *5853:243 0.000155886 +202 *21404:A *5853:137 1.91246e-05 +203 *21466:A *5853:611 0.000207266 +204 *21484:A *20733:B1 1.22289e-05 +205 *21484:A *5853:545 0.000119877 +206 *21515:A *5853:251 0.000231554 +207 *21538:A *20619:B1 6.08467e-05 +208 *21554:A2 *5853:334 5.76913e-05 +209 *21578:A *5853:107 5.481e-05 +210 *21580:A *5853:365 0.00012545 +211 *21607:A *5853:178 8.33808e-05 +212 *21693:A *5853:71 5.73392e-05 +213 *21829:A *5853:35 2.07503e-05 +214 *21890:A *5853:178 1.94584e-05 +215 *22165:A *5853:334 0 +216 *22226:B *5853:334 3.63738e-05 +217 *22339:B2 *5853:178 2.81931e-05 +218 *22363:A1 *5853:178 0.000347214 +219 *22394:A *5853:171 0.00125284 +220 *22394:D *5853:171 0.000250244 +221 *24213:CLK *5853:178 0.000105514 +222 *24235:D *5853:334 8.58125e-05 +223 *24243:D *5853:313 0.000137574 +224 *24243:CLK *5853:313 2.79507e-05 +225 *24245:D *5853:304 0.000136768 +226 *24295:D *5853:227 2.45002e-05 +227 *24295:CLK *5853:227 4.65615e-06 +228 *24299:D *5853:208 3.82228e-05 +229 *24311:D *20941:B1 4.70475e-05 +230 *24327:CLK *5853:146 0.000196019 +231 *24342:D *5853:277 6.83813e-05 +232 *24352:D *20880:B1 6.53173e-05 +233 *24352:CLK *20880:B1 0.000110306 +234 *24356:CLK *5853:392 0.000553666 +235 *24390:D *20783:B1 0.000107496 +236 *24390:D *5853:26 4.12533e-05 +237 *24433:D *5853:81 3.99086e-06 +238 *24433:D *5853:92 6.12686e-06 +239 *24433:CLK *20440:B1 3.31882e-05 +240 *24459:D *5853:97 5.51483e-06 +241 *24459:D *5853:107 0.000200794 +242 *24465:CLK *5853:227 3.84926e-05 +243 *24465:CLK *5853:232 1.16164e-05 +244 *24466:D *20695:B1 6.08467e-05 +245 *24467:D *20657:B1 3.96379e-06 +246 *24485:D *5853:107 0.000163418 +247 *24493:D *20619:B1 4.37376e-05 +248 *24545:D *20543:B1 5.04829e-06 +249 *24579:D *5853:35 0.000154145 +250 *24618:CLK *5853:137 7.01935e-06 +251 *24649:D *20390:B1 0.000157407 +252 *24695:D *5853:390 7.14746e-05 +253 *24739:D *24034:A1 2.5386e-05 +254 *24742:CLK *5853:379 0.000353672 +255 *24765:D *5853:156 0.000402891 +256 *24766:D *5853:156 0.000112978 +257 *24789:CLK *5853:365 0.00032073 +258 *24889:D *19629:B1 9.96342e-05 +259 *24901:D *5853:421 0.000138901 +260 *460:84 *5853:366 0.00104248 +261 *460:84 *5853:379 0.000156823 +262 *476:172 *5853:227 0.000622576 +263 *478:64 *5853:243 0.000465547 +264 *478:64 *5853:251 0.00043514 +265 *482:40 *20136:B1 0.000294928 +266 *482:66 *5853:243 0.000220332 +267 *482:66 *5853:251 5.62194e-05 +268 *489:35 *5853:154 7.41122e-05 +269 *491:8 *5853:137 1.5714e-05 +270 *494:26 *5853:404 0.000158371 +271 *500:60 *24034:A1 0.000174732 +272 *502:26 *5853:390 0 +273 *502:30 *5853:390 0.000135121 +274 *502:30 *5853:392 0.00242747 +275 *510:51 *5853:277 0.000280676 +276 *510:55 *5853:277 0.000446622 +277 *520:27 *5853:277 1.43499e-05 +278 *531:30 *21028:B1 6.9747e-05 +279 *1418:129 *5853:154 6.1578e-06 +280 *1546:14 *5853:46 7.86825e-06 +281 *1551:28 *5853:421 0.000115768 +282 *1551:45 *5853:421 4.21602e-05 +283 *1551:75 *5853:304 0 +284 *1551:75 *5853:365 0 +285 *1556:20 *19629:B1 0.000207776 +286 *1556:20 *5853:392 0 +287 *1557:89 *5853:383 0.000401434 +288 *1557:90 *5853:379 0.000523329 +289 *1557:95 *20136:B1 0.000200794 +290 *1557:96 *5853:260 0.00015327 +291 *1565:12 *5853:32 0.000108025 +292 *1573:76 *24034:A1 0.000191526 +293 *1585:8 *5853:46 0.00060226 +294 *1607:14 *20880:B1 0.000242134 +295 *1608:113 *5853:156 1.49684e-05 +296 *1634:22 *20733:B1 0.000206811 +297 *1634:22 *5853:545 0 +298 *1634:30 *20212:B1 0.000717232 +299 *1708:137 *5853:611 0.00053326 +300 *1724:39 *5853:46 0 +301 *1725:220 *20783:B1 1.10258e-05 +302 *1732:10 *5853:32 1.3813e-05 +303 *1732:12 *5853:32 0.000242313 +304 *1741:6 *5853:611 7.77309e-06 +305 *1742:76 *5853:260 3.11555e-05 +306 *1742:86 *5853:227 0.000147802 +307 *1789:47 *5853:119 0.000415888 +308 *1855:47 *20136:B1 3.82228e-05 +309 *1855:55 *20136:B1 9.29156e-05 +310 *1864:27 *20168:B1 1.58551e-05 +311 *1882:18 *20200:B1 0.000149059 +312 *1901:42 *5853:444 0.000220017 +313 *1912:10 *20212:B1 1.561e-05 +314 *1912:10 *5853:154 0.00144778 +315 *1912:10 *5853:156 1.26298e-05 +316 *1917:8 *5853:260 0.00026987 +317 *1955:9 *20880:B1 0.000519481 +318 *1958:109 *20440:B1 0.000268195 +319 *1992:19 *20543:B1 6.23875e-05 +320 *1992:29 *20543:B1 3.73375e-05 +321 *2027:21 *5853:232 1.91246e-05 +322 *2027:21 *5853:243 7.49659e-06 +323 *2027:32 *5853:243 0.000632951 +324 *2027:37 *5853:243 0.000209677 +325 *2030:39 *5853:107 1.19726e-05 +326 *2031:36 *5853:97 0.000608374 +327 *2034:8 *5853:48 0.000471905 +328 *2034:10 *5853:48 0.000330507 +329 *2042:70 *5853:119 0.000182287 +330 *2051:8 *20733:B1 9.78384e-05 +331 *2051:23 *20733:B1 7.35524e-05 +332 *2076:103 *5853:15 9.57557e-06 +333 *2077:80 *5853:22 1.04747e-05 +334 *2089:53 *5853:334 0.0001525 +335 *2100:55 *5853:334 7.9849e-05 +336 *2115:161 *5853:334 0.000403843 +337 *2115:165 *5853:334 0.00107547 +338 *2136:29 *20880:B1 0.000191541 +339 *2149:10 *20733:B1 0.000144659 +340 *2149:17 *5853:146 0.000203321 +341 *2170:7 *20995:B1 1.41746e-05 +342 *2170:7 *5853:260 7.92757e-06 +343 *2171:7 *20995:B1 6.78075e-05 +344 *2171:11 *20995:B1 6.31931e-05 +345 *2171:20 *20995:B1 5.31074e-05 +346 *2177:37 *5853:313 6.67628e-05 +347 *2177:51 *5853:313 7.51959e-05 +348 *2178:17 *5853:313 0.00016181 +349 *2203:12 *5853:293 0.000293982 +350 *2240:20 *19629:B1 0.000258545 +351 *2240:20 *5853:392 0.000623402 +352 *2245:11 *5853:545 0.000104084 +353 *2266:24 *5853:156 0 +354 *2266:24 *5853:171 0.000107183 +355 *2266:33 *5853:208 1.55025e-05 +356 *2266:33 *5853:227 0.000500727 +357 *2278:24 *19629:B1 0 +358 *2278:28 *5853:379 0.00068528 +359 *2278:28 *5853:383 0.00122576 +360 *2278:39 *5853:334 0.000870603 +361 *2287:82 *20695:B1 1.22226e-05 +362 *2295:78 *5853:260 1.65159e-05 +363 *2297:52 *5853:156 0.000847026 +364 *2297:60 *5853:156 0.000279371 +365 *2297:60 *5853:171 0.000100727 +366 *2298:8 *5853:22 0.000118361 +367 *2298:8 *5853:32 0.00137678 +368 *2300:19 *5853:404 5.09358e-05 +369 *2300:29 *5853:404 0.00103755 +370 *2304:11 *20136:B1 0.000204206 +371 *2304:11 *5853:313 0.000396886 +372 *2304:11 *5853:353 3.58044e-05 +373 *2331:8 *5853:137 6.64671e-06 +374 *2367:11 *5853:611 0.000519953 +375 *2373:32 *5853:178 5.481e-05 +376 *2381:26 *5853:365 0 +377 *2381:26 *5853:366 0.00104506 +378 *2381:26 *5853:379 0.000149628 +379 *2381:38 *5853:334 0.00234189 +380 *2384:11 *5853:545 0.000500078 +381 *2384:38 *5853:260 0.000285241 +382 *2384:88 *24034:A1 6.02928e-05 +383 *2384:96 *24034:A1 9.71323e-06 +384 *2394:16 *5853:379 7.92757e-06 +385 *2403:7 *5853:334 4.79321e-06 +386 *2404:67 *5853:251 1.00846e-05 +387 *2416:14 *5853:137 1.5714e-05 +388 *2424:8 *5853:421 0.000960414 +389 *2426:78 *5853:392 0.000905327 +390 *2426:82 *5853:390 0.000121807 +391 *2426:82 *5853:392 0.00206312 +392 *2441:21 *5853:119 0.00117549 +393 *2441:43 *5853:137 0.000108689 +394 *2441:66 *5853:277 0 +395 *2448:29 *5853:97 1.65872e-05 +396 *2448:41 *5853:107 3.18318e-05 +397 *2448:74 *5853:146 0.000195234 +398 *2448:74 *5853:154 0.000357389 +399 *2448:74 *5853:156 9.51719e-05 +400 *2448:171 *5853:392 8.20742e-05 +401 *2454:8 *5853:243 7.50722e-05 +402 *2464:10 *5853:137 0.000160942 +403 *2467:114 *21028:B1 8.39222e-06 +404 *2468:63 *5853:243 0 +405 *2468:107 *5853:277 7.79521e-05 +406 *2468:111 *5853:277 0.00115437 +407 *2468:117 *20136:B1 0.000294928 +408 *2468:130 *5853:404 0.0024952 +409 *2471:17 *5853:421 0.00108701 +410 *2471:21 *5853:410 1.65872e-05 +411 *2471:21 *5853:421 8.25486e-05 +412 *2486:39 *20733:B1 9.52075e-05 +413 *2499:39 *5853:184 0.000200794 +414 *2499:39 *5853:208 0.00103613 +415 *2530:64 *20212:B1 0.000214377 +416 *2534:10 *20695:B1 2.8182e-06 +417 *2536:8 *5853:48 0.000265048 +418 *2552:14 *5853:22 2.24484e-05 +419 *2552:14 *5853:26 0.00117907 +420 *2552:14 *5853:32 0.00164718 +421 *2554:21 *20212:B1 0.000449293 +422 *2572:9 *5853:251 6.08467e-05 +423 *2572:10 *5853:251 8.61262e-05 +424 *2572:10 *5853:260 4.97193e-05 +425 *2576:76 *5853:334 9.99386e-06 +426 *2601:8 *5853:81 0.000205006 +427 *2620:31 *5853:137 3.79844e-06 +428 *2620:31 *5853:146 5.51519e-05 +429 *2631:11 *5853:227 0.000745519 +430 *2635:8 *5853:119 0.000310066 +431 *2636:14 *20136:B1 0.000214538 +432 *2667:43 *5853:404 0.000728559 +433 *2676:17 *5853:156 8.11907e-05 +434 *2676:17 *5853:171 0.000100727 +435 *2689:25 *24034:A1 0.000304871 +436 *2737:10 *5853:611 0.000148129 +437 *2738:14 *5853:383 0.000100822 +438 *2738:14 *5853:392 0.000160192 +439 *2759:12 *5853:392 1.5471e-05 +440 *2766:6 *5853:15 0.00102082 +441 *2778:13 *5853:48 0.000106529 +442 *2791:50 *5853:277 2.93018e-06 +443 *2797:19 *5853:146 0.000202361 +444 *2797:19 *5853:154 0.000102632 +445 *2809:24 *5853:277 0.000569923 +446 *2813:47 *5853:277 3.70027e-06 +447 *2814:15 *20899:B1 0.000124641 +448 *2814:15 *5853:278 0.000369617 +449 *2837:17 *20390:B1 3.73375e-05 +450 *2837:17 *5853:35 0.00016649 +451 *2837:17 *5853:61 0.000201248 +452 *2845:7 *5853:35 0.000111722 +453 *2845:7 *5853:61 0.000191653 +454 *2853:22 *5853:15 0.000107496 +455 *2868:12 *5853:107 0.000118485 +456 *2869:23 *5853:119 3.54435e-05 +457 *2869:23 *5853:137 0.000344785 +458 *2869:26 *5853:119 5.71849e-05 +459 *2869:32 *5853:178 8.28712e-05 +460 *2888:17 *5853:251 0.000358778 +461 *2888:17 *5853:260 0.000195139 +462 *2894:39 *5853:334 5.57593e-05 +463 *2896:10 *5853:383 0.000307339 +464 *2900:60 *5853:293 8.46986e-05 +465 *2900:60 *5853:304 6.60347e-05 +466 *2900:60 *5853:365 0.00124103 +467 *2900:69 *5853:278 4.80235e-05 +468 *2906:74 *5853:304 0.000550286 +469 *2906:74 *5853:365 0.000387694 +470 *2924:46 *20657:B1 0.000258472 +471 *2924:70 *5853:379 0.000544481 +472 *2924:95 *20200:B1 0.00210849 +473 *2950:20 *5853:15 0 +474 *2984:8 *20543:B1 0.00090306 +475 *2984:8 *5853:92 0.00042722 +476 *2985:8 *20880:B1 2.3939e-05 +477 *2986:17 *20543:B1 0.000369857 +478 *2986:17 *5853:92 0.000183895 +479 *2990:36 *20619:B1 5.56367e-05 +480 *3033:22 *5853:8 0.00104904 +481 *3033:22 *5853:15 0 +482 *3033:24 *5853:8 0.000126012 +483 *3033:24 *5853:15 0.00220338 +484 *3034:25 *5853:137 0.000194749 +485 *3035:10 *5853:46 0.00087101 +486 *3036:16 *5853:119 0 +487 *3050:13 *5853:260 0.00031054 +488 *3053:6 *20200:B1 0.000145447 +489 *3057:7 *20783:B1 1.03403e-05 +490 *3057:8 *5853:22 5.68225e-06 +491 *3057:8 *5853:26 0.000226881 +492 *3126:10 *5853:334 3.72037e-05 +493 *3126:142 *5853:334 0.000192123 +494 *3126:152 *21061:B1 4.03231e-05 +495 *3131:41 *5853:334 0.000233819 +496 *3136:29 *5853:334 5.5685e-05 +497 *3136:42 *5853:334 0.00181378 +498 *3142:21 *5853:334 0.000207637 +499 *3155:127 *5853:48 0.000311698 +500 *3155:139 *5853:48 0.00109411 +501 *3166:107 *5853:48 0.000211907 +502 *3257:28 *5853:208 4.99006e-05 +503 *3347:9 *5853:171 4.58529e-05 +504 *3496:104 *5853:334 0.000114073 +505 *4804:109 *5853:379 2.64856e-05 +506 *4805:47 *5853:260 0.000352624 +507 *4805:85 *20619:B1 0.000738383 +508 *4805:85 *20899:B1 0.000188843 +509 *4805:85 *5853:278 0.00135782 +510 *4807:28 *5853:365 9.98068e-05 +511 *4815:67 *5853:156 1.16182e-05 +512 *4820:71 *5853:260 0.000755491 +513 *4821:125 *5853:277 6.23101e-05 +514 *4822:56 *5853:119 7.41047e-05 +515 *4826:12 *5853:71 2.15293e-05 +516 *4826:59 *5853:71 4.65517e-05 +517 *4826:59 *5853:81 2.63908e-05 +518 *4827:24 *5853:410 0.000137971 +519 *4832:34 *20733:B1 5.61863e-05 +520 *4832:84 *5853:444 9.80652e-05 +521 *4833:70 *5853:365 0 +522 *4839:119 *5853:137 0 +523 *4840:49 *5853:8 0.000359637 +524 *4840:51 *5853:8 8.68301e-05 +525 *4840:55 *5853:8 0.000343271 +526 *4840:55 *5853:15 0.000262352 +527 *4840:134 *5853:107 0.000122083 +528 *4843:784 *20212:B1 0.000468677 +529 *4844:255 *5853:293 1.87125e-05 +530 *4844:255 *5853:304 0.000359795 +531 *4845:281 *5853:260 0.000371173 +532 *4845:289 *20995:B1 1.94512e-05 +533 *4845:289 *5853:260 5.04829e-06 +534 *4845:296 *20995:B1 1.44029e-05 +535 *4845:296 *5853:260 5.04829e-06 +536 *4845:344 *5853:390 7.78836e-05 +537 *4845:548 *5853:304 3.77804e-05 +538 *4865:6 *5853:410 0.000141554 +539 *4866:121 *20619:B1 5.56367e-05 +540 *4875:92 *5853:392 0.000163465 +541 *4881:187 *20733:B1 0.000256037 +542 *4892:20 *5853:107 0.000154145 +543 *4906:55 *20212:B1 0.000347214 +544 *4908:98 *20619:B1 7.27261e-05 +545 *4911:18 *5853:390 2.32737e-05 +546 *4913:29 *19629:B1 7.58595e-05 +547 *4956:10 *5853:154 8.61131e-05 +548 *5013:9 *5853:15 6.17339e-05 +549 *5053:10 *20695:B1 0.000107496 +550 *5056:9 *20695:B1 5.30803e-05 +551 *5056:9 *5853:232 2.44599e-05 +552 *5091:22 *5853:171 0.000295764 +553 *5091:25 *5853:171 0.000275689 +554 *5091:25 *5853:178 2.13434e-05 +555 *5251:25 *20880:B1 2.38634e-05 +556 *5352:8 *5853:178 0.000190958 +557 *5363:10 *5853:260 0.000127911 +558 *5389:7 *5853:313 0.0002008 +559 *5389:7 *5853:353 1.33419e-05 +560 *5458:94 *20440:B1 0.000103807 +561 *5492:7 *5853:444 0.000152885 +562 *5683:27 *24034:A1 0.000457763 +*RES +1 *25364:X *5853:8 40.1161 +2 *5853:8 *5853:15 48.4885 +3 *5853:15 *5853:22 13.4646 +4 *5853:22 *5853:26 25.0183 +5 *5853:26 *5853:32 39.9319 +6 *5853:32 *5853:35 13.7686 +7 *5853:35 *5853:46 35.2737 +8 *5853:46 *5853:48 48.7555 +9 *5853:48 *25363:A 13.7491 +10 *5853:35 *20494:B1 9.24915 +11 *5853:32 *5853:61 11.6364 +12 *5853:61 *5853:62 81.1229 +13 *5853:62 *20390:B1 20.3309 +14 *5853:26 *5853:71 27.899 +15 *5853:71 *20440:B1 22.9879 +16 *5853:71 *5853:81 9.59705 +17 *5853:81 *20706:B1 9.82786 +18 *5853:81 *5853:92 13.8388 +19 *5853:92 *5853:97 15.785 +20 *5853:97 *5853:107 22.701 +21 *5853:107 *5853:119 41.9359 +22 *5853:119 *5853:120 81.1229 +23 *5853:120 *5853:137 43.9218 +24 *5853:137 *5853:146 35.3909 +25 *5853:146 *5853:154 31.9134 +26 *5853:154 *5853:156 22.8022 +27 *5853:156 *5853:171 40.8674 +28 *5853:171 *5853:178 46.4954 +29 *5853:178 *21091:B1 9.24915 +30 *5853:156 *5853:184 6.88721 +31 *5853:184 *20212:B1 41.6535 +32 *5853:184 *5853:208 36.3998 +33 *5853:208 *20960:B1 9.82786 +34 *5853:208 *5853:227 49.3212 +35 *5853:227 *5853:232 7.81762 +36 *5853:232 *5853:243 43.8513 +37 *5853:243 *5853:251 28.4814 +38 *5853:251 *5853:260 48.1105 +39 *5853:260 *5853:277 42.7979 +40 *5853:277 *5853:278 15.6977 +41 *5853:278 *20619:B1 36.2263 +42 *5853:278 *5853:293 10.8998 +43 *5853:293 *21072:B1 14.4819 +44 *5853:293 *5853:304 25.0183 +45 *5853:304 *5853:313 23.2934 +46 *5853:313 *5853:334 46.7665 +47 *5853:334 *21061:B1 14.1952 +48 *5853:313 *21028:B1 19.6659 +49 *5853:304 *5853:353 1.85672 +50 *5853:353 *5853:365 35.2133 +51 *5853:365 *5853:366 19.8955 +52 *5853:366 *5853:379 40.4232 +53 *5853:379 *5853:383 32.3942 +54 *5853:383 *5853:390 13.8798 +55 *5853:390 *5853:392 86.0671 +56 *5853:392 *19629:B1 31.7393 +57 *5853:390 *5853:403 4.5 +58 *5853:403 *5853:404 62.2844 +59 *5853:404 *5853:410 12.3859 +60 *5853:410 *5853:421 43.1933 +61 *5853:421 *20200:B1 44.9284 +62 *5853:410 *20516:B1 9.82786 +63 *5853:383 *5853:444 29.8839 +64 *5853:444 *24034:A1 33.6056 +65 *5853:444 *20257:B1 9.24915 +66 *5853:366 *20168:B1 15.6059 +67 *5853:353 *20136:B1 44.8933 +68 *5853:277 *20899:B1 13.3243 +69 *5853:260 *20995:B1 12.7697 +70 *5853:251 *20941:B1 31.9305 +71 *5853:243 *20657:B1 17.2697 +72 *5853:232 *20695:B1 26.0802 +73 *5853:146 *5853:545 16.9621 +74 *5853:545 *20733:B1 43.5602 +75 *5853:545 *20920:B1 13.7491 +76 *5853:107 *20630:B1 9.24915 +77 *5853:97 *20668:B1 9.24915 +78 *5853:92 *20543:B1 33.6355 +79 *5853:22 *20783:B1 16.1364 +80 *5853:8 *5853:593 4.5 +81 *5853:593 *20401:B1 9.24915 +82 *5853:593 *5853:611 37.7289 +83 *5853:611 *20429:B1 9.24915 +84 *5853:611 *20880:B1 39.3796 +*END + +*D_NET *5854 0.217202 +*CONN +*I *20931:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20792:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20889:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20533:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20487:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20419:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20609:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20571:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20639:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20449:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20411:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20685:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20372:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20380:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20582:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20457:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21081:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21037:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21062:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20201:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20910:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20517:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20234:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19615:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20364:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20242:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20950:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20353:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20601:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19625:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19648:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20221:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19714:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19688:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19701:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19734:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19724:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20525:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20563:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20723:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25365:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20931:B1 0.000115479 +2 *20792:B1 0 +3 *20889:B1 0.000125741 +4 *20533:B1 0.00136334 +5 *20487:B1 0.000923095 +6 *20419:B1 0 +7 *20609:B1 0.000671382 +8 *20571:B1 0.000832003 +9 *20639:B1 0.000106292 +10 *20449:B1 0.000143975 +11 *20411:B1 7.56145e-05 +12 *20685:B1 0.00014404 +13 *20372:B1 5.90369e-05 +14 *20380:B1 0 +15 *20582:B1 0.00079982 +16 *20457:B1 0 +17 *21081:B1 5.24928e-05 +18 *21037:B1 0 +19 *21062:B1 0.000102721 +20 *20201:B1 9.42235e-05 +21 *20910:B1 9.2081e-05 +22 *20517:B1 0.000118053 +23 *20234:B1 0 +24 *19615:B1 3.01269e-05 +25 *20364:B1 0.000652409 +26 *20242:B1 0.00047613 +27 *20950:B1 0 +28 *20353:B1 2.06324e-05 +29 *20601:B1 0.000178343 +30 *19625:B1 0 +31 *19648:B1 0.000674751 +32 *20221:B1 8.77359e-05 +33 *19714:B1 0 +34 *19688:B1 0.000350978 +35 *19701:B1 2.33113e-05 +36 *19734:B1 0 +37 *19724:B1 0.000463536 +38 *20525:B1 1.61713e-05 +39 *20563:B1 0.000807911 +40 *20723:B1 0.000223931 +41 *25365:X 0.0002317 +42 *5854:719 0.00165469 +43 *5854:706 0.00246864 +44 *5854:606 0.00145718 +45 *5854:587 0.00139195 +46 *5854:586 0.000293647 +47 *5854:578 0.00123954 +48 *5854:510 0.00166537 +49 *5854:490 0.00285762 +50 *5854:489 0.0023125 +51 *5854:472 0.00191469 +52 *5854:467 0.0010224 +53 *5854:466 0.00154402 +54 *5854:438 0.000719604 +55 *5854:424 0.00172199 +56 *5854:414 0.00114326 +57 *5854:409 0.00324956 +58 *5854:407 0.00229748 +59 *5854:372 0.00196503 +60 *5854:365 0.00282399 +61 *5854:351 0.0025211 +62 *5854:337 0.00256604 +63 *5854:331 0.00328967 +64 *5854:322 0.00296339 +65 *5854:319 0.00214005 +66 *5854:305 0.00112363 +67 *5854:262 0.0016592 +68 *5854:243 0.00288768 +69 *5854:233 0.00163714 +70 *5854:223 0.00153965 +71 *5854:210 0.00243731 +72 *5854:194 0.00221176 +73 *5854:185 0.00146828 +74 *5854:180 0.00164549 +75 *5854:178 0.000754655 +76 *5854:173 0.00207034 +77 *5854:160 0.00145065 +78 *5854:158 0.00139064 +79 *5854:148 0.00162969 +80 *5854:142 0.00172734 +81 *5854:140 0.000711135 +82 *5854:139 0.00115836 +83 *5854:133 0.00136746 +84 *5854:126 0.000821746 +85 *5854:125 0.00191907 +86 *5854:122 0.00432437 +87 *5854:107 0.0028717 +88 *5854:100 0.00211758 +89 *5854:73 0.00102973 +90 *5854:67 0.002039 +91 *5854:51 0.00270596 +92 *5854:45 0.00124415 +93 *5854:27 0.00144407 +94 *5854:14 0.00152023 +95 *19648:B1 *5874:79 0.000379482 +96 *19701:B1 *5860:298 5.64867e-05 +97 *19724:B1 *5858:316 0.00109869 +98 *20601:B1 *5860:132 2.47577e-05 +99 *5854:14 *24344:RESET_B 6.08467e-05 +100 *5854:14 *25365:A 3.31745e-05 +101 *5854:14 *5869:718 0.000134323 +102 *5854:27 *5858:73 4.78386e-05 +103 *5854:27 *5858:80 0.000137432 +104 *5854:45 *5856:134 4.00092e-05 +105 *5854:45 *5856:136 8.80075e-05 +106 *5854:45 *5858:80 0.000356607 +107 *5854:67 *24582:SET_B 6.3657e-05 +108 *5854:67 *5869:640 0.000253916 +109 *5854:100 *5856:168 0.00038826 +110 *5854:100 *5856:183 5.91586e-05 +111 *5854:100 *5860:696 0.000347744 +112 *5854:122 *5869:588 0.000369282 +113 *5854:139 *5869:212 0.000156955 +114 *5854:148 *5869:55 2.66039e-05 +115 *5854:148 *5869:65 0.000228284 +116 *5854:148 *5869:201 0.000156046 +117 *5854:158 *5858:190 0.000652697 +118 *5854:158 *5869:55 3.65842e-05 +119 *5854:160 *5858:190 0.000240604 +120 *5854:173 *20382:B1 9.67682e-05 +121 *5854:173 *5858:190 0.000113383 +122 *5854:173 *5874:89 0.00031058 +123 *5854:194 *19627:B1 0.000159241 +124 *5854:194 *19715:B1 6.84784e-06 +125 *5854:194 *24759:RESET_B 0.000345944 +126 *5854:194 *24848:RESET_B 2.93796e-05 +127 *5854:194 *5858:319 0.000229514 +128 *5854:194 *5870:64 0.000130286 +129 *5854:194 *5870:94 2.01503e-05 +130 *5854:210 *19715:B1 0.000496215 +131 *5854:210 *5858:316 0.000113968 +132 *5854:223 *24841:RESET_B 0.000107852 +133 *5854:223 *5917:85 0.000778588 +134 *5854:262 *5917:168 0.000637669 +135 *5854:262 *5917:179 0 +136 *5854:305 *5917:481 9.23706e-05 +137 *5854:322 *24505:RESET_B 1.51692e-05 +138 *5854:322 *5856:293 0.00126915 +139 *5854:322 *5860:141 5.81976e-05 +140 *5854:331 *5856:293 0.000399426 +141 *5854:331 *5860:141 0.000223557 +142 *5854:331 *5870:650 1.61918e-05 +143 *5854:337 *5870:306 4.71618e-05 +144 *5854:409 *20237:B1 0.000472631 +145 *5854:466 *5857:338 6.16814e-05 +146 *5854:466 *5860:530 3.07561e-05 +147 *5854:472 *5860:491 0.000304777 +148 *5854:490 *20202:B1 2.47138e-05 +149 *5854:578 *5869:84 0.000471785 +150 *5854:578 *5869:201 6.08467e-05 +151 *5854:586 *24634:SET_B 7.65861e-05 +152 *5854:586 *5860:75 8.62625e-06 +153 *5854:586 *5869:92 9.93938e-05 +154 *5854:706 *5856:108 0.000719847 +155 *5854:706 *5869:718 0.000328651 +156 *5854:719 *25376:A 7.67734e-06 +157 *5854:719 *5868:100 0.000107496 +158 *6081:DIODE *5854:365 0.000115934 +159 *19609:A *19615:B1 0 +160 *19615:A1 *19615:B1 3.58602e-05 +161 *19615:A1 *5854:424 0.00082875 +162 *19615:B2 *5854:414 1.80122e-05 +163 *19641:B *19724:B1 0.000172691 +164 *19648:A1 *19648:B1 7.23328e-05 +165 *19688:B2 *19688:B1 0.00021243 +166 *19691:B2 *19688:B1 0.000470599 +167 *19699:A1 *5854:262 0.000122098 +168 *19700:B1 *5854:262 0.000234218 +169 *19701:A1 *5854:262 3.83429e-05 +170 *19701:A2 *19701:B1 1.35073e-05 +171 *19701:A2 *5854:262 2.65667e-05 +172 *19712:A1 *5854:243 9.16264e-05 +173 *19714:A1 *5854:233 4.80635e-06 +174 *19714:A2 *5854:233 3.01683e-06 +175 *19714:B2 *5854:210 9.75356e-05 +176 *19717:A2 *5854:243 0.000113374 +177 *19719:A *5854:194 0.000196326 +178 *19920:B *5854:606 5.31074e-05 +179 *20004:A *5854:210 0.000139435 +180 *20202:A2 *5854:490 7.78348e-06 +181 *20202:B2 *5854:490 2.85531e-06 +182 *20221:B2 *20221:B1 6.08467e-05 +183 *20222:B2 *5854:194 0.000271793 +184 *20234:A1 *5854:438 5.04829e-06 +185 *20234:A2 *5854:438 4.22215e-05 +186 *20237:B2 *5854:409 0.00035766 +187 *20241:A1 *20364:B1 3.86572e-05 +188 *20242:A1 *20242:B1 3.21496e-05 +189 *20242:A2 *20242:B1 2.08274e-05 +190 *20242:B2 *20242:B1 0.000111833 +191 *20244:A1 *20242:B1 8.16259e-05 +192 *20353:A1 *5854:351 6.50586e-05 +193 *20353:A2 *5854:337 1.00846e-05 +194 *20353:A2 *5854:351 1.00981e-05 +195 *20364:A1 *20364:B1 1.09551e-05 +196 *20364:B2 *20364:B1 0.000158371 +197 *20367:B2 *5854:365 0.000139975 +198 *20372:B2 *20372:B1 6.08467e-05 +199 *20411:A1 *20411:B1 3.60363e-05 +200 *20411:A1 *5854:606 1.55995e-05 +201 *20411:B2 *20411:B1 0.000110306 +202 *20414:A1 *5854:586 1.82799e-05 +203 *20414:A1 *5854:606 0.000163062 +204 *20414:A2 *5854:586 5.56461e-05 +205 *20419:A1 *5854:122 0.000340742 +206 *20419:B2 *5854:107 7.34948e-06 +207 *20420:B2 *5854:100 0.000276614 +208 *20420:B2 *5854:107 2.84437e-05 +209 *20445:A *5854:578 0.00030966 +210 *20451:B2 *20449:B1 0.000107496 +211 *20460:A2 *5854:331 0.000200794 +212 *20487:A1 *20487:B1 4.31603e-06 +213 *20487:B2 *20487:B1 4.0744e-05 +214 *20517:A1 *20517:B1 3.41459e-05 +215 *20517:B2 *20517:B1 9.97706e-05 +216 *20525:A1 *20525:B1 3.13805e-06 +217 *20525:A1 *5854:67 0.000209043 +218 *20525:A1 *5854:73 0.000187875 +219 *20527:A1 *20533:B1 4.91225e-06 +220 *20527:A1 *5854:51 1.31872e-05 +221 *20528:B2 *20563:B1 0.000230354 +222 *20533:A1 *20533:B1 3.41459e-05 +223 *20533:B2 *20533:B1 1.82679e-05 +224 *20563:A1 *20563:B1 0.000350659 +225 *20571:A1 *20571:B1 3.07122e-05 +226 *20582:B2 *20582:B1 3.20519e-05 +227 *20597:B *20582:B1 0.000304777 +228 *20598:A *5854:322 4.96202e-06 +229 *20601:A1 *20601:B1 1.47978e-05 +230 *20602:B2 *5854:305 5.49916e-05 +231 *20604:A2 *5854:322 3.77911e-05 +232 *20639:B2 *20639:B1 9.19632e-06 +233 *20685:A1 *20685:B1 8.39223e-05 +234 *20723:A1 *20723:B1 8.54654e-05 +235 *20723:A2 *20723:B1 0.000156955 +236 *20792:A1 *5854:719 8.21761e-06 +237 *20889:B2 *20889:B1 6.50586e-05 +238 *20891:A1 *5854:27 0.000146284 +239 *20891:A2 *5854:27 0.000544575 +240 *20891:B2 *5854:27 6.36477e-05 +241 *20910:A1 *20910:B1 6.46887e-05 +242 *20911:B2 *20910:B1 0.000211492 +243 *20931:A1 *20931:B1 3.44486e-05 +244 *20950:A2 *5854:365 0.000175485 +245 *20950:B2 *5854:351 4.31539e-05 +246 *20950:B2 *5854:365 3.83336e-05 +247 *21014:A *20931:B1 0.000107496 +248 *21014:A *5854:719 0.000446959 +249 *21037:B2 *5854:472 6.08467e-05 +250 *21081:A1 *21081:B1 3.75603e-05 +251 *21345:B1 *5854:133 2.20702e-05 +252 *21345:B2 *5854:133 2.41274e-06 +253 *21360:A *19688:B1 0.000271058 +254 *21367:A *5854:438 0.000357898 +255 *21371:C1 *5854:510 2.98225e-05 +256 *21375:A *5854:243 6.50727e-05 +257 *21490:A *5854:472 0.000304777 +258 *21639:A *20723:B1 6.08467e-05 +259 *21639:A *5854:27 0.000205101 +260 *21662:A *5854:223 4.51386e-05 +261 *21666:A *5854:178 4.64238e-05 +262 *21674:A *20571:B1 3.28493e-05 +263 *21674:A *5854:126 0.000140827 +264 *21684:B *5854:606 1.71266e-05 +265 *21704:A *20582:B1 7.84719e-05 +266 *21721:A *5854:578 6.08467e-05 +267 *21734:A *20242:B1 9.95747e-05 +268 *21734:A *5854:372 9.35753e-06 +269 *21736:A1 *20242:B1 6.03853e-05 +270 *21736:A1 *20364:B1 9.14834e-05 +271 *21742:A2 *5854:409 0.000110276 +272 *21825:A1 *5854:122 0.00103254 +273 *21954:A *5854:365 0.000769869 +274 *21954:A *5854:372 0.000151071 +275 *21954:A *5854:407 0.000152878 +276 *22511:A1 *20685:B1 0.000103123 +277 *22782:B1 *5854:490 7.92757e-06 +278 *22800:A1 *5854:490 0.000105447 +279 *22800:A2 *5854:490 0.000458986 +280 *22800:B1 *5854:489 0.000112159 +281 *22800:B1 *5854:490 6.08467e-05 +282 *22800:B2 *5854:490 9.99867e-06 +283 *24004:A1 *5854:372 0.000148144 +284 *24012:A0 *5854:407 0.000248409 +285 *24012:A1 *5854:407 5.04829e-06 +286 *24221:D *5854:337 4.70148e-05 +287 *24289:CLK *20364:B1 0 +288 *24305:D *5854:365 0.000122378 +289 *24332:D *5854:489 0.000122083 +290 *24346:D *20889:B1 6.08467e-05 +291 *24384:D *5854:719 2.26713e-06 +292 *24422:D *20723:B1 0.000200794 +293 *24479:D *20571:B1 0.000119727 +294 *24479:CLK *20571:B1 1.82679e-05 +295 *24502:D *5854:322 0.000120548 +296 *24505:D *20601:B1 0.000110228 +297 *24526:D *20571:B1 6.1827e-05 +298 *24582:CLK *5854:67 4.00824e-05 +299 *24601:D *5854:331 7.98171e-06 +300 *24601:CLK *5854:331 8.39059e-05 +301 *24604:D *5854:322 0.000126324 +302 *24610:D *5854:139 0.000154145 +303 *24630:D *5854:107 0.000182929 +304 *24630:D *5854:122 9.80242e-07 +305 *24635:D *5854:606 6.36477e-05 +306 *24653:D *5854:173 2.652e-05 +307 *24661:D *20372:B1 0.000307023 +308 *24661:D *5854:148 3.77804e-05 +309 *24672:CLK *5854:337 0.00012568 +310 *24745:D *20242:B1 0.000119487 +311 *24749:D *5854:407 0.000320257 +312 *24760:D *5854:194 9.60216e-05 +313 *24761:D *5854:185 0.000184563 +314 *24773:D *5854:490 1.58551e-05 +315 *24794:D *5854:148 0.00014038 +316 *24841:D *5854:223 3.95802e-05 +317 *24849:CLK *5854:223 2.41274e-06 +318 *24849:CLK *5854:243 0.000180515 +319 *24854:CLK *5854:243 7.16893e-05 +320 *24862:D *5854:262 4.58003e-05 +321 *24862:CLK *5854:262 7.97944e-05 +322 *24893:CLK *5854:173 0.000205004 +323 *24898:D *5854:424 0.00011818 +324 *24920:A *20533:B1 7.39277e-06 +325 *24922:A *20563:B1 3.20256e-05 +326 *24922:A *5854:73 7.92757e-06 +327 *24983:A *5854:351 7.14746e-05 +328 *25289:A *5854:243 0.000137921 +329 *460:63 *20582:B1 0.000693298 +330 *460:63 *5854:305 0.000639217 +331 *460:63 *5854:319 0.000368793 +332 *472:7 *5854:158 8.8496e-05 +333 *494:6 *5854:438 4.50512e-05 +334 *497:12 *5854:438 5.01835e-05 +335 *499:18 *5854:409 0.000212795 +336 *500:95 *5854:438 4.37807e-05 +337 *506:36 *5854:438 0.000192034 +338 *514:101 *5854:351 0.00026127 +339 *514:106 *5854:351 0.000194358 +340 *514:106 *5854:365 0.000155165 +341 *514:106 *5854:372 1.11594e-05 +342 *516:26 *5854:372 0 +343 *516:26 *5854:466 2.1558e-06 +344 *521:11 *20364:B1 0.000289293 +345 *522:39 *5854:365 0.000348179 +346 *522:39 *5854:407 6.50727e-05 +347 *528:26 *5854:438 1.5714e-05 +348 *530:18 *5854:438 1.5714e-05 +349 *543:37 *5854:407 0.000164785 +350 *543:37 *5854:409 7.59515e-05 +351 *544:8 *5854:372 4.12533e-05 +352 *545:42 *5854:407 0.000167363 +353 *545:42 *5854:409 5.5484e-05 +354 *1419:37 *5854:467 0.000154145 +355 *1427:36 *5854:160 5.26993e-06 +356 *1427:36 *5854:173 0.000168187 +357 *1435:67 *5854:606 3.42931e-05 +358 *1438:132 *20723:B1 0.000188976 +359 *1438:132 *5854:27 0.000297968 +360 *1439:270 *20221:B1 5.47093e-05 +361 *1439:270 *5854:185 6.23875e-05 +362 *1439:271 *5854:194 1.95652e-05 +363 *1448:222 *5854:139 0.00149725 +364 *1450:79 *5854:466 5.41377e-05 +365 *1458:18 *5854:178 0.000173626 +366 *1459:26 *5854:160 0.000187498 +367 *1461:159 *20582:B1 0.000124641 +368 *1464:17 *19648:B1 3.41459e-05 +369 *1467:91 *5854:173 6.26414e-05 +370 *1467:93 *5854:173 0 +371 *1470:72 *19648:B1 4.80635e-06 +372 *1482:81 *5854:148 9.26928e-06 +373 *1494:40 *19688:B1 0 +374 *1497:155 *5854:472 6.04508e-05 +375 *1499:26 *19701:B1 2.1558e-06 +376 *1500:26 *5854:243 0.000119727 +377 *1501:34 *20685:B1 5.49916e-05 +378 *1501:34 *5854:606 5.04829e-06 +379 *1502:60 *5854:210 1.56942e-05 +380 *1502:64 *5854:210 0.000121842 +381 *1504:8 *5854:210 0.000141864 +382 *1504:13 *5854:210 0.000536407 +383 *1504:16 *5854:210 0.000146697 +384 *1504:21 *5854:223 0.000135503 +385 *1504:21 *5854:233 9.91639e-05 +386 *1505:37 *5854:210 0.000616296 +387 *1505:39 *5854:210 4.18989e-05 +388 *1506:143 *5854:180 0.000255891 +389 *1506:143 *5854:185 3.92029e-05 +390 *1520:28 *5854:322 2.51444e-05 +391 *1521:46 *20889:B1 4.15662e-05 +392 *1521:46 *5854:45 0.000219255 +393 *1552:35 *20201:B1 0.000136381 +394 *1573:18 *20601:B1 6.27796e-05 +395 *1575:19 *5854:67 0.000411244 +396 *1587:30 *5854:322 1.75625e-05 +397 *1597:16 *5854:27 0.000190089 +398 *1597:16 *5854:51 0.000129015 +399 *1603:28 *5854:14 0.000143032 +400 *1603:28 *5854:706 0.00153067 +401 *1650:8 *5854:472 0.000724603 +402 *1650:8 *5854:489 0.00122678 +403 *1668:85 *5854:122 1.87366e-05 +404 *1669:113 *5854:466 0.000203201 +405 *1671:102 *5854:489 0.000438759 +406 *1677:139 *5854:606 0.000164843 +407 *1689:51 *20685:B1 5.49916e-05 +408 *1689:88 *5854:67 0.000205006 +409 *1690:17 *5854:466 4.43727e-05 +410 *1690:43 *20582:B1 0.000846039 +411 *1690:43 *5854:305 1.94584e-05 +412 *1690:49 *5854:173 0.000929504 +413 *1690:49 *5854:305 0.00241089 +414 *1690:56 *5854:173 0.000123247 +415 *1697:97 *20582:B1 0.000128968 +416 *1697:97 *5854:305 3.50469e-05 +417 *1697:97 *5854:319 7.31204e-05 +418 *1701:39 *5854:414 6.50727e-05 +419 *1707:43 *5854:606 0.000438957 +420 *1729:80 *5854:586 2.01535e-05 +421 *1729:80 *5854:606 0.000438957 +422 *1731:136 *5854:489 2.67538e-05 +423 *1732:50 *5854:45 0.000457596 +424 *1740:131 *5854:67 0.00021498 +425 *1740:131 *5854:100 0.000316926 +426 *1741:115 *20910:B1 1.00981e-05 +427 *1749:65 *5854:466 0.000200729 +428 *1755:33 *5854:578 2.65831e-05 +429 *1755:38 *5854:140 0.000113267 +430 *1755:38 *5854:142 0.000367744 +431 *1756:24 *5854:173 0.000753453 +432 *1756:101 *5854:173 0.000117308 +433 *1756:101 *5854:305 0.000875227 +434 *1761:74 *5854:586 0.000188993 +435 *1763:14 *5854:133 5.04829e-06 +436 *1772:22 *5854:122 1.25645e-05 +437 *1773:41 *20487:B1 0.000109235 +438 *1780:15 *5854:472 0.000643347 +439 *1780:134 *5854:466 0.00036013 +440 *1784:18 *5854:158 0 +441 *1784:32 *5854:126 4.8363e-06 +442 *1784:32 *5854:133 0.000257719 +443 *1794:25 *5854:125 0.000231479 +444 *1794:44 *20609:B1 0.000962427 +445 *1794:44 *5854:125 0.00039804 +446 *1801:49 *20372:B1 0.000197832 +447 *1804:96 *5854:578 0.000160617 +448 *1809:80 *5854:719 3.16904e-05 +449 *1890:17 *5854:194 0.000282156 +450 *1890:24 *5854:194 3.06917e-06 +451 *1934:49 *5854:365 0.000351426 +452 *1939:33 *5854:173 0.000114425 +453 *1947:102 *5854:27 0.000156946 +454 *1947:149 *20487:B1 0 +455 *1947:196 *20411:B1 7.40169e-05 +456 *1947:196 *5854:578 7.13972e-05 +457 *1947:212 *5854:606 9.24241e-05 +458 *1947:242 *5854:322 0.000351207 +459 *1953:6 *5854:107 0.000276392 +460 *1964:12 *5854:331 9.53583e-05 +461 *1964:33 *5854:331 0.000118166 +462 *1982:5 *5854:424 2.16355e-05 +463 *1982:17 *5854:424 7.12965e-05 +464 *1983:21 *20517:B1 0.000111722 +465 *1983:21 *5854:424 3.31745e-05 +466 *1985:23 *5854:100 8.02145e-05 +467 *2003:36 *20582:B1 3.20519e-05 +468 *2009:41 *5854:322 3.99645e-05 +469 *2012:45 *20609:B1 0.000176597 +470 *2048:26 *20723:B1 0.000188093 +471 *2145:35 *5854:472 0.000154145 +472 *2152:9 *20931:B1 7.92757e-06 +473 *2158:30 *5854:365 0.000159299 +474 *2173:9 *5854:719 0.000296602 +475 *2180:13 *5854:467 2.42273e-05 +476 *2180:13 *5854:472 1.58551e-05 +477 *2180:22 *5854:467 0.000404533 +478 *2205:22 *5854:337 4.99831e-05 +479 *2206:22 *21081:B1 1.64789e-05 +480 *2206:22 *5854:331 1.65872e-05 +481 *2206:30 *5854:331 0.000341131 +482 *2259:15 *20582:B1 1.59814e-05 +483 *2259:15 *5854:305 2.50243e-05 +484 *2281:13 *20609:B1 1.71862e-05 +485 *2281:13 *5854:125 3.33898e-05 +486 *2393:12 *5854:466 0.000197146 +487 *2398:22 *5854:148 1.51735e-05 +488 *2398:24 *5854:148 1.88315e-05 +489 *2398:24 *5854:158 4.31118e-05 +490 *2407:22 *5854:133 0.000110297 +491 *2426:38 *19648:B1 0.00186104 +492 *2429:25 *5854:510 1.5714e-05 +493 *2443:17 *5854:466 0.00050243 +494 *2466:16 *5854:351 0.000109405 +495 *2502:42 *5854:125 4.16959e-05 +496 *2516:8 *19724:B1 7.0954e-05 +497 *2522:16 *20487:B1 0.000156955 +498 *2523:44 *5854:51 0.000598856 +499 *2531:35 *20889:B1 2.65667e-05 +500 *2531:38 *5854:67 6.31174e-05 +501 *2547:10 *5854:472 2.92548e-05 +502 *2547:10 *5854:489 0.000226068 +503 *2547:12 *5854:489 8.60332e-05 +504 *2559:14 *20242:B1 1.78514e-05 +505 *2559:14 *5854:372 0.000103613 +506 *2561:22 *5854:194 2.95757e-05 +507 *2561:25 *20221:B1 4.66492e-05 +508 *2561:25 *5854:185 0.000364342 +509 *2563:8 *5854:142 1.32509e-05 +510 *2563:8 *5854:148 4.3116e-06 +511 *2563:8 *5854:173 2.11533e-05 +512 *2563:17 *5854:139 3.51414e-05 +513 *2563:17 *5854:140 0.000213389 +514 *2576:40 *5854:466 8.43522e-05 +515 *2577:26 *5854:409 6.85778e-05 +516 *2581:39 *5854:510 3.20614e-05 +517 *2581:42 *5854:510 0.00107704 +518 *2592:34 *5854:14 2.57071e-05 +519 *2593:47 *20487:B1 1.93635e-05 +520 *2603:20 *5854:148 0.000190727 +521 *2612:62 *5854:125 0.00177626 +522 *2620:76 *20609:B1 0.000169693 +523 *2624:45 *20487:B1 0 +524 *2624:54 *5854:122 0.000113107 +525 *2627:10 *5854:178 0.000115206 +526 *2627:10 *5854:180 0.000190881 +527 *2627:10 *5854:185 7.44425e-06 +528 *2641:19 *5854:466 0 +529 *2645:27 *5854:409 0.000314955 +530 *2655:25 *5854:719 0.000363759 +531 *2660:80 *5854:67 4.99006e-05 +532 *2660:94 *20533:B1 0.000418383 +533 *2675:14 *5854:337 0.000703845 +534 *2675:42 *5854:409 0.000589842 +535 *2675:46 *5854:409 0.000533729 +536 *2680:13 *5854:27 0.000114523 +537 *2680:18 *5854:67 0.000218908 +538 *2680:27 *5854:100 8.92203e-06 +539 *2710:36 *20487:B1 0.000140774 +540 *2736:16 *20242:B1 0 +541 *2741:7 *19724:B1 0.000251669 +542 *2741:7 *5854:210 0.000102003 +543 *2745:10 *20411:B1 0.000305478 +544 *2745:10 *5854:578 0.00126214 +545 *2753:21 *20487:B1 8.5749e-05 +546 *2753:21 *5854:100 0 +547 *2785:102 *5854:67 0.000331991 +548 *2789:8 *5854:148 0 +549 *2803:33 *19648:B1 4.0919e-05 +550 *2810:60 *5854:51 0.000265544 +551 *2825:8 *21062:B1 0.000126473 +552 *2825:8 *5854:510 0.0011841 +553 *2825:10 *21062:B1 6.67835e-06 +554 *2833:73 *5854:122 0.000497034 +555 *2835:8 *5854:322 0 +556 *2836:8 *5854:185 9.55491e-05 +557 *2840:13 *20889:B1 0.000186597 +558 *2840:13 *5854:45 0.000125971 +559 *2844:56 *20487:B1 4.01315e-05 +560 *2844:56 *5854:122 4.34127e-05 +561 *2876:34 *20201:B1 4.54607e-05 +562 *2877:31 *5854:148 2.99929e-05 +563 *2905:7 *5854:510 0.000110306 +564 *2907:6 *5854:409 0 +565 *2923:16 *5854:489 0.000251071 +566 *2928:14 *5854:351 0.000199753 +567 *2933:10 *5854:67 5.74681e-05 +568 *2933:22 *5854:67 8.62625e-06 +569 *2933:22 *5854:100 9.12427e-06 +570 *2933:26 *5854:100 0.000115937 +571 *2933:26 *5854:107 8.15496e-05 +572 *2943:68 *5854:100 1.41629e-05 +573 *2943:78 *5854:27 0.000193687 +574 *2968:7 *5854:365 0.000215704 +575 *2979:20 *20609:B1 0.000181405 +576 *2992:12 *20582:B1 7.48744e-05 +577 *2993:29 *5854:578 0.000170577 +578 *2994:12 *5854:606 1.49935e-05 +579 *2994:29 *5854:606 2.30672e-05 +580 *3004:15 *20533:B1 1.5613e-05 +581 *3014:48 *19648:B1 0.0029499 +582 *3018:8 *5854:489 0.000330244 +583 *3059:11 *5854:719 0.000107496 +584 *3089:10 *5854:158 0.00100446 +585 *3131:41 *5854:122 0 +586 *3160:22 *5854:438 0.000647179 +587 *3212:87 *5854:438 0.000353672 +588 *3373:33 *5854:125 0.00179129 +589 *3485:90 *21062:B1 0.000119145 +590 *3487:30 *20201:B1 1.5714e-05 +591 *3487:30 *5854:490 6.08467e-05 +592 *3487:30 *5854:510 0.000966584 +593 *3671:20 *5854:706 0.000199571 +594 *3671:31 *20723:B1 7.92757e-06 +595 *4138:124 *5854:719 0.000640509 +596 *4797:24 *5854:45 0.000886487 +597 *4798:56 *5854:140 0.00088514 +598 *4798:56 *5854:142 0.000411153 +599 *4798:56 *5854:148 0.000135659 +600 *4799:22 *20571:B1 3.77568e-05 +601 *4799:22 *5854:126 0.000159619 +602 *4799:22 *5854:133 0.000451362 +603 *4827:20 *5854:424 0.000457669 +604 *4832:127 *5854:606 0.000174958 +605 *4833:21 *5854:466 0.000226707 +606 *4843:576 *19688:B1 0.00176184 +607 *4843:591 *5854:223 0.00048108 +608 *4844:383 *20364:B1 0.00104613 +609 *4844:434 *5854:194 7.14746e-05 +610 *4844:434 *5854:210 9.92335e-05 +611 *4844:441 *5854:185 9.92562e-05 +612 *4844:467 *20364:B1 0.000388384 +613 *4845:381 *5854:438 0.000566806 +614 *4845:382 *5854:438 0.000200485 +615 *4845:463 *5854:337 5.07314e-05 +616 *4859:8 *20533:B1 2.16355e-05 +617 *4859:8 *5854:14 5.50027e-05 +618 *4862:7 *5854:27 0.000536421 +619 *4863:136 *5854:158 9.92046e-06 +620 *4863:136 *5854:160 0.000794177 +621 *4866:32 *20517:B1 3.58044e-05 +622 *4866:32 *5854:424 3.49878e-05 +623 *4876:49 *5854:409 6.16319e-05 +624 *4894:44 *5854:173 0.000295964 +625 *4914:12 *20517:B1 0.000107496 +626 *4914:29 *5854:438 5.85006e-05 +627 *4915:88 *5854:372 7.55673e-05 +628 *4924:103 *5854:337 0.000192472 +629 *4926:8 *5854:305 5.4678e-05 +630 *4929:84 *5854:223 7.66988e-05 +631 *4931:44 *5854:606 0.000223774 +632 *4944:21 *5854:372 0 +633 *4946:36 *20364:B1 5.28261e-05 +634 *4946:36 *5854:372 0 +635 *4946:40 *5854:372 5.05252e-05 +636 *4946:40 *5854:407 4.97617e-05 +637 *4955:27 *5854:372 3.11022e-05 +638 *4994:11 *5854:27 6.36477e-05 +639 *5153:10 *5854:606 0.000178971 +640 *5179:8 *5854:180 0.000163633 +641 *5674:34 *5854:139 0.000760304 +642 *5674:45 *5854:139 0.000273266 +643 *5674:45 *5854:140 0.000245414 +644 *5726:17 *5854:305 1.65872e-05 +645 *5726:21 *20582:B1 0.000232806 +646 *5742:13 *5854:223 0 +647 *5750:8 *5854:223 4.65619e-05 +648 *5754:28 *5854:223 8.01851e-05 +649 *5761:9 *5854:262 2.65831e-05 +650 *5777:29 *5854:243 4.3116e-06 +651 *5780:17 *5854:243 0.000138261 +652 *5851:83 *5854:67 0.000561526 +653 *5851:171 *5854:578 0.00017419 +*RES +1 *25365:X *5854:14 28.8245 +2 *5854:14 *5854:27 39.7076 +3 *5854:27 *20723:B1 17.7611 +4 *5854:27 *5854:45 33.3526 +5 *5854:45 *5854:51 22.6307 +6 *5854:51 *5854:67 47.826 +7 *5854:67 *5854:73 4.24392 +8 *5854:73 *20563:B1 22.1979 +9 *5854:73 *20525:B1 9.82786 +10 *5854:67 *5854:100 32.8696 +11 *5854:100 *5854:107 12.3989 +12 *5854:107 *5854:122 22.0848 +13 *5854:122 *5854:125 7.12844 +14 *5854:125 *5854:126 3.28538 +15 *5854:126 *5854:133 23.1909 +16 *5854:133 *5854:139 39.2407 +17 *5854:139 *5854:140 16.7811 +18 *5854:140 *5854:142 7.64553 +19 *5854:142 *5854:148 20.1764 +20 *5854:148 *5854:158 36.4811 +21 *5854:158 *5854:160 15.7429 +22 *5854:160 *5854:173 42.3689 +23 *5854:173 *5854:178 11.2173 +24 *5854:178 *5854:180 15.3277 +25 *5854:180 *5854:185 18.0652 +26 *5854:185 *5854:194 40.5347 +27 *5854:194 *19724:B1 33.697 +28 *5854:194 *5854:210 42.4234 +29 *5854:210 *5854:223 44.7966 +30 *5854:223 *19734:B1 9.24915 +31 *5854:210 *5854:233 2.58011 +32 *5854:233 *5854:243 38.6452 +33 *5854:243 *19701:B1 19.2506 +34 *5854:243 *5854:262 33.2385 +35 *5854:262 *19688:B1 28.8532 +36 *5854:233 *19714:B1 9.24915 +37 *5854:185 *20221:B1 11.6364 +38 *5854:180 *19648:B1 26.2201 +39 *5854:178 *19625:B1 13.7491 +40 *5854:173 *5854:305 37.8682 +41 *5854:305 *20601:B1 21.7054 +42 *5854:305 *5854:319 0.508826 +43 *5854:319 *5854:322 39.0438 +44 *5854:322 *5854:331 39.6691 +45 *5854:331 *5854:337 35.2584 +46 *5854:337 *20353:B1 9.82786 +47 *5854:337 *5854:351 36.1155 +48 *5854:351 *20950:B1 9.24915 +49 *5854:351 *5854:365 33.2762 +50 *5854:365 *5854:372 26.8992 +51 *5854:372 *20242:B1 26.5734 +52 *5854:372 *20364:B1 37.8382 +53 *5854:365 *5854:407 22.0539 +54 *5854:407 *5854:409 52.7004 +55 *5854:409 *5854:414 8.02053 +56 *5854:414 *19615:B1 10.2378 +57 *5854:414 *5854:424 22.9317 +58 *5854:424 *5854:438 43.6272 +59 *5854:438 *20234:B1 9.24915 +60 *5854:424 *20517:B1 13.3243 +61 *5854:409 *5854:466 42.613 +62 *5854:466 *5854:467 7.93324 +63 *5854:467 *5854:472 29.2199 +64 *5854:472 *20910:B1 16.8692 +65 *5854:472 *5854:489 41.7017 +66 *5854:489 *5854:490 17.9161 +67 *5854:490 *20201:B1 20.9116 +68 *5854:490 *5854:510 47.944 +69 *5854:510 *21062:B1 21.6444 +70 *5854:467 *21037:B1 9.24915 +71 *5854:331 *21081:B1 10.9612 +72 *5854:322 *20457:B1 13.7491 +73 *5854:319 *20582:B1 47.0703 +74 *5854:160 *20380:B1 13.7491 +75 *5854:148 *20372:B1 17.2456 +76 *5854:142 *5854:578 45.2483 +77 *5854:578 *5854:586 24.9599 +78 *5854:586 *5854:587 104.301 +79 *5854:587 *5854:606 49.6804 +80 *5854:606 *20685:B1 21.8476 +81 *5854:578 *20411:B1 13.3243 +82 *5854:140 *20449:B1 16.691 +83 *5854:126 *20639:B1 15.5817 +84 *5854:125 *20571:B1 27.2266 +85 *5854:122 *20609:B1 22.2835 +86 *5854:107 *20419:B1 9.24915 +87 *5854:100 *20487:B1 30.0128 +88 *5854:51 *20533:B1 29.673 +89 *5854:45 *20889:B1 13.3002 +90 *5854:14 *5854:706 33.7386 +91 *5854:706 *5854:719 42.7012 +92 *5854:719 *20792:B1 9.24915 +93 *5854:706 *20931:B1 12.0704 +*END + +*D_NET *5855 0.221389 +*CONN +*I *20734:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20631:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20881:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20669:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20784:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20441:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20707:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20495:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20391:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20647:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20269:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24039:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20658:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20942:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20996:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20900:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20620:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21073:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24018:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20258:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20169:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21155:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21172:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20137:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21029:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20696:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20921:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20961:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20213:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21092:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20677:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20863:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25365:A I *D sky130_fd_sc_hd__buf_12 +*I *20985:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25366:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20734:B1 0 +2 *20631:B1 0 +3 *20881:B1 1.5243e-05 +4 *20669:B1 2.06324e-05 +5 *20784:B1 0.000161914 +6 *20441:B1 3.40108e-05 +7 *20707:B1 0.000725501 +8 *20495:B1 0.000101045 +9 *20391:B1 0.000620777 +10 *20647:B1 0.00109408 +11 *20269:B1 0.000509869 +12 *24039:A1 0.00070792 +13 *20658:B1 0.000382006 +14 *20942:B1 0.000963677 +15 *20996:B1 0.000208242 +16 *20900:B1 6.82643e-05 +17 *20620:B1 0 +18 *21073:B1 0.000136372 +19 *24018:A1 0 +20 *20258:B1 1.81917e-05 +21 *20169:B1 0.000726877 +22 *21155:B1 7.6666e-05 +23 *21172:B1 0.000239777 +24 *20137:B1 1.39531e-05 +25 *21029:B1 0.000497696 +26 *20696:B1 0.000472074 +27 *20921:B1 0.000594674 +28 *20961:B1 5.40267e-05 +29 *20213:B1 0 +30 *21092:B1 0.000361589 +31 *20677:B1 4.01375e-05 +32 *20863:B1 7.01653e-05 +33 *25365:A 0.000797995 +34 *20985:B1 0.000430963 +35 *25366:X 0.000174999 +36 *5855:619 0.00160246 +37 *5855:610 0.00169311 +38 *5855:609 0.000293954 +39 *5855:599 0.000410601 +40 *5855:589 0.000729062 +41 *5855:575 0.000730415 +42 *5855:561 0.000972115 +43 *5855:547 0.00374466 +44 *5855:532 0.00382921 +45 *5855:530 0.00216411 +46 *5855:467 0.00125195 +47 *5855:418 0.00135518 +48 *5855:378 0.000983477 +49 *5855:350 0.0023794 +50 *5855:345 0.00226037 +51 *5855:343 0.00222199 +52 *5855:341 0.00268202 +53 *5855:338 0.00162207 +54 *5855:334 0.00173771 +55 *5855:329 0.00117367 +56 *5855:319 0.00136569 +57 *5855:318 0.00144466 +58 *5855:298 0.00211881 +59 *5855:277 0.00253895 +60 *5855:273 0.000644639 +61 *5855:272 0.0026044 +62 *5855:265 0.00128712 +63 *5855:261 0.00130981 +64 *5855:244 0.00431865 +65 *5855:227 0.00414313 +66 *5855:223 0.00128391 +67 *5855:219 0.00222524 +68 *5855:202 0.00226061 +69 *5855:201 0.0022313 +70 *5855:187 0.00223959 +71 *5855:178 0.00341012 +72 *5855:166 0.00258049 +73 *5855:149 0.00247304 +74 *5855:134 0.00152834 +75 *5855:108 0.00252888 +76 *5855:99 0.00291011 +77 *5855:60 0.0022171 +78 *5855:59 0.00173996 +79 *5855:52 0.00102297 +80 *5855:39 0.00170738 +81 *5855:34 0.00173288 +82 *5855:26 0.00286781 +83 *5855:25 0.00100353 +84 *5855:14 0.00227767 +85 *5855:12 0.000967561 +86 *5855:10 0.000327418 +87 *5855:5 0.00245916 +88 *20647:B1 *5918:20 0.00210919 +89 *20677:B1 *24452:SET_B 3.58044e-05 +90 *20921:B1 *5924:46 0 +91 *24039:A1 *24727:RESET_B 0.000100397 +92 *25365:A *24344:RESET_B 0.000210067 +93 *5855:34 *5866:74 1.69932e-05 +94 *5855:34 *5866:103 8.11463e-06 +95 *5855:39 *5866:59 7.11636e-05 +96 *5855:39 *5866:68 0.000153894 +97 *5855:39 *5866:74 5.39463e-05 +98 *5855:187 *24322:SET_B 0.000280855 +99 *5855:187 *5865:289 1.40953e-05 +100 *5855:223 *5904:44 0.000191541 +101 *5855:261 *5874:133 5.42322e-06 +102 *5855:265 *5864:503 8.02973e-05 +103 *5855:272 *5864:503 1.91391e-05 +104 *5855:298 *5861:476 0.000730072 +105 *5855:319 *5908:29 0.000150552 +106 *5855:319 *5908:55 0.000157699 +107 *5855:329 *5864:352 0.000142076 +108 *5855:334 *5861:492 2.96023e-05 +109 *5855:334 *5864:352 0.000216118 +110 *5855:334 *5864:356 9.75356e-05 +111 *5855:338 *5857:196 6.43838e-05 +112 *5855:338 *5861:492 1.41291e-05 +113 *5855:341 *6026:22 0.000417006 +114 *5855:343 *20925:A1 5.84993e-05 +115 *5855:343 *5871:836 0.000134323 +116 *5855:343 *6026:22 7.32607e-05 +117 *5855:343 *6027:34 0.000412949 +118 *5855:343 *6027:36 0.000151758 +119 *5855:343 *6027:41 0.000187513 +120 *5855:343 *6027:47 0.000149389 +121 *5855:350 *21143:A1 9.96342e-05 +122 *5855:350 *21815:A 0.000424518 +123 *5855:350 *5857:226 7.61566e-05 +124 *5855:378 *5956:45 0.000465415 +125 *5855:547 *24434:RESET_B 5.37479e-05 +126 *5855:561 *5867:499 0.000174175 +127 *19564:S *5855:244 2.85881e-05 +128 *19824:B *25365:A 4.80635e-06 +129 *19853:A2 *5855:99 0.000402462 +130 *19853:B2 *5855:99 0.000499986 +131 *20137:A1 *5855:318 0.000406794 +132 *20137:A2 *20137:B1 1.09551e-05 +133 *20137:A2 *5855:298 0.000224237 +134 *20137:A2 *5855:318 3.82228e-05 +135 *20140:A2 *5855:298 0.000139435 +136 *20169:A1 *20169:B1 9.8407e-05 +137 *20169:A2 *20169:B1 7.92757e-06 +138 *20169:B2 *20169:B1 2.81515e-05 +139 *20213:A2 *5855:166 6.36477e-05 +140 *20258:A1 *5855:334 0.000759227 +141 *20258:B2 *5855:338 1.15389e-05 +142 *20269:A2 *20269:B1 2.16355e-05 +143 *20269:B2 *20269:B1 0.000305371 +144 *20311:A2 *5855:319 3.28261e-05 +145 *20314:A1 *5855:319 0.000247246 +146 *20317:B1 *5855:341 0.00012568 +147 *20327:B2 *5855:202 7.74397e-05 +148 *20391:B2 *20391:B1 4.58003e-05 +149 *20441:A1 *5855:561 0.000217937 +150 *20441:B2 *20441:B1 3.01683e-06 +151 *20441:B2 *5855:547 3.16217e-05 +152 *20495:A1 *20495:B1 6.08467e-05 +153 *20495:A2 *20495:B1 2.75423e-05 +154 *20537:A *5855:530 2.65831e-05 +155 *20631:A1 *5855:609 0.000205279 +156 *20631:A1 *5855:619 4.19921e-05 +157 *20631:A2 *5855:599 0.000128201 +158 *20631:A2 *5855:609 4.89898e-06 +159 *20631:B2 *5855:599 0.0002646 +160 *20647:B2 *20647:B1 3.82228e-05 +161 *20658:A1 *20658:B1 0.000474559 +162 *20658:A2 *20658:B1 6.95794e-05 +163 *20669:A2 *5855:589 0.000300565 +164 *20669:B2 *5855:575 6.08467e-05 +165 *20672:B2 *5855:530 0.000300565 +166 *20677:B2 *20677:B1 6.50727e-05 +167 *20696:A2 *20696:B1 2.95884e-05 +168 *20696:B2 *20696:B1 5.481e-05 +169 *20706:A2 *20707:B1 2.20702e-05 +170 *20734:A2 *5855:619 6.82847e-05 +171 *20734:B2 *5855:619 7.68538e-06 +172 *20736:A1 *5855:619 1.00937e-05 +173 *20737:A2 *5855:619 0.000215771 +174 *20737:B2 *5855:619 6.64393e-05 +175 *20784:A1 *20784:B1 5.481e-05 +176 *20881:A1 *5855:599 0.000153737 +177 *20881:B2 *20881:B1 1.64789e-05 +178 *20900:A2 *20900:B1 4.0744e-05 +179 *20900:A2 *5855:265 2.29454e-05 +180 *20921:A1 *20921:B1 7.60899e-05 +181 *20961:A2 *20961:B1 3.07159e-05 +182 *20996:A1 *20996:B1 5.97482e-05 +183 *20996:A1 *5855:261 1.91246e-05 +184 *20999:B2 *5855:244 0.000166166 +185 *21029:A1 *21029:B1 6.41655e-05 +186 *21073:A1 *21073:B1 0.000107496 +187 *21073:A2 *21073:B1 7.92757e-06 +188 *21073:B2 *21073:B1 1.64789e-05 +189 *21091:A2 *21092:B1 0.000559906 +190 *21092:A2 *21092:B1 6.97988e-06 +191 *21092:B2 *21092:B1 6.08467e-05 +192 *21172:A2 *21172:B1 0.000148352 +193 *21182:A *5855:166 0.000261023 +194 *21187:A1 *5855:134 0.000252001 +195 *21194:B1 *5855:272 0 +196 *21311:A *5855:178 0.000144156 +197 *21435:A1 *5855:149 0.000203604 +198 *21435:A2 *5855:149 9.95542e-06 +199 *21435:B1 *5855:149 5.90701e-05 +200 *21435:B2 *5855:149 6.50586e-05 +201 *21752:B1 *20863:B1 3.19069e-05 +202 *21762:A *5855:60 0.000183602 +203 *21997:A *5855:619 1.01044e-05 +204 *22003:A *21172:B1 0.000150554 +205 *22025:A *21092:B1 0.000121647 +206 *22109:B2 *5855:343 3.58321e-05 +207 *22114:C *5855:343 0.000540128 +208 *22115:A2 *5855:343 4.43323e-05 +209 *22115:B2 *5855:343 0.000162884 +210 *22117:B2 *5855:343 1.61116e-05 +211 *22220:B2 *5855:108 7.80754e-06 +212 *22318:A2 *21092:B1 0.000133025 +213 *22384:A1 *5855:134 0.000264697 +214 *22384:A2 *5855:134 2.16355e-05 +215 *22384:B1 *5855:134 2.29777e-05 +216 *22384:B2 *5855:134 1.73176e-05 +217 *22384:C1 *5855:134 0.000599849 +218 *22385:C1 *5855:134 6.08467e-05 +219 *22434:B1 *5855:60 7.05924e-05 +220 *23874:A *5855:201 8.65358e-05 +221 *24039:A0 *24039:A1 6.08467e-05 +222 *24039:S *24039:A1 3.73237e-05 +223 *24041:A0 *20269:B1 0.000131757 +224 *24041:A0 *5855:202 0.000388232 +225 *24041:A0 *5855:467 7.14746e-05 +226 *24041:S *20269:B1 6.61056e-05 +227 *24041:S *5855:202 4.09467e-05 +228 *24097:TE_B *5855:329 3.82228e-05 +229 *24098:A *5855:319 0.000716422 +230 *24172:D *5855:343 0.000155527 +231 *24186:CLK *21155:B1 3.31882e-05 +232 *24186:CLK *5855:343 0.000222684 +233 *24310:D *20942:B1 5.61389e-05 +234 *24310:CLK *20942:B1 6.36477e-05 +235 *24325:CLK *20921:B1 0.000213707 +236 *24356:D *5855:343 0.000101118 +237 *24444:D *5855:223 0.000250916 +238 *24444:CLK *5855:223 0.000209611 +239 *24453:D *20677:B1 0.000154145 +240 *24578:D *5855:10 0.000148129 +241 *24696:D *5855:341 0.000127179 +242 *24727:D *20269:B1 2.55536e-05 +243 *24765:CLK *5855:166 2.16355e-05 +244 *24766:D *5855:166 7.98425e-06 +245 *24787:CLK *20169:B1 0 +246 *24805:CLK *5855:298 6.12734e-05 +247 *24808:D *5855:318 0.000165521 +248 *24808:CLK *5855:298 9.9224e-05 +249 *24808:CLK *5855:318 6.04168e-05 +250 *24959:A *5855:619 0.000457683 +251 *24968:A *5855:149 0.000440512 +252 *24969:A *5855:418 0.000285666 +253 *25174:A *5855:261 6.81742e-05 +254 *324:11 *21155:B1 8.55619e-05 +255 *324:11 *5855:341 0 +256 *324:11 *5855:343 0.000543501 +257 *324:11 *5855:350 0.00027224 +258 *476:108 *5855:318 1.57468e-05 +259 *476:123 *5855:261 4.58071e-05 +260 *476:142 *5855:244 0.000121828 +261 *476:142 *5855:261 0.000173986 +262 *477:15 *5855:187 2.23666e-05 +263 *477:44 *5855:619 7.09558e-05 +264 *478:54 *5855:166 1.9101e-05 +265 *478:54 *5855:187 0.000446448 +266 *480:183 *5855:187 2.37599e-05 +267 *482:40 *5855:298 0.000911473 +268 *482:122 *5855:319 0.000465324 +269 *482:133 *5855:329 0.000169078 +270 *482:133 *5855:334 1.07248e-05 +271 *500:13 *5855:219 3.49257e-05 +272 *500:60 *5855:318 0 +273 *510:41 *5855:219 0.000925366 +274 *510:41 *5855:223 0.000661347 +275 *527:44 *5855:244 0.00104452 +276 *531:51 *5855:341 8.65787e-05 +277 *531:51 *5855:343 0 +278 *531:51 *5855:378 3.22108e-05 +279 *535:25 *5855:265 8.23984e-05 +280 *535:25 *5855:272 1.5714e-05 +281 *535:42 *5855:329 0.00029156 +282 *537:29 *5855:272 8.61793e-05 +283 *1418:205 *5855:25 5.481e-05 +284 *1430:8 *5855:350 0 +285 *1430:10 *5855:350 0 +286 *1438:134 *25365:A 0.000599869 +287 *1439:15 *5855:178 0.000205948 +288 *1439:128 *21029:B1 0.00106901 +289 *1444:6 *5855:187 9.80507e-05 +290 *1543:11 *20942:B1 0.000814547 +291 *1543:11 *5855:244 7.50722e-05 +292 *1543:15 *20942:B1 1.55025e-05 +293 *1547:66 *5855:25 0.000228441 +294 *1551:75 *21029:B1 0.000116971 +295 *1585:19 *5855:26 0.000136823 +296 *1585:19 *5855:34 1.66626e-05 +297 *1593:10 *20647:B1 1.5714e-05 +298 *1593:18 *5855:25 0.000435509 +299 *1593:20 *5855:25 0.000283064 +300 *1594:43 *5855:52 0.000172807 +301 *1594:43 *5855:59 4.77927e-05 +302 *1602:161 *5855:134 1.91391e-05 +303 *1609:26 *5855:99 3.31745e-05 +304 *1610:181 *5855:134 2.137e-05 +305 *1614:105 *5855:149 2.55661e-06 +306 *1631:126 *5855:178 3.17479e-05 +307 *1707:39 *20985:B1 0.00136361 +308 *1707:39 *5855:39 0.00121266 +309 *1709:59 *5855:298 7.98171e-06 +310 *1724:18 *5855:12 0.000319741 +311 *1724:18 *5855:14 0.000752439 +312 *1724:18 *5855:25 0.000105719 +313 *1729:19 *5855:99 0.00025175 +314 *1741:90 *5855:378 0.000597602 +315 *1742:76 *5855:273 0.000409851 +316 *1742:76 *5855:277 0.000806206 +317 *1742:76 *5855:418 0.000453176 +318 *1742:93 *20961:B1 3.77568e-05 +319 *1742:93 *5855:178 0.000795563 +320 *1771:203 *5855:589 6.08467e-05 +321 *1771:203 *5855:599 0.000645245 +322 *1783:38 *5855:59 0.000110846 +323 *1799:21 *5855:530 0.00023932 +324 *1886:64 *5855:149 2.07591e-05 +325 *1899:24 *5855:223 0.000181079 +326 *1899:34 *5855:223 0.000989188 +327 *1901:56 *20258:B1 1.09551e-05 +328 *1901:56 *5855:334 1.65872e-05 +329 *1901:56 *5855:338 0.000281267 +330 *1902:25 *5855:338 8.39059e-05 +331 *1904:21 *20269:B1 7.68538e-06 +332 *1917:29 *20996:B1 2.93851e-05 +333 *1917:29 *5855:261 0.000400345 +334 *1917:34 *5855:261 0.000614665 +335 *1917:36 *5855:319 0.00137406 +336 *1918:36 *5855:341 0.00026818 +337 *1918:36 *5855:378 0.000204835 +338 *1918:48 *5855:319 0.00016554 +339 *1918:55 *5855:319 1.2693e-05 +340 *1918:64 *5855:319 7.09196e-05 +341 *1919:14 *5855:202 0.000410696 +342 *1919:14 *5855:467 6.28484e-05 +343 *1919:16 *5855:202 0.000400017 +344 *2019:49 *5855:561 3.82228e-05 +345 *2019:49 *5855:575 0.000133912 +346 *2024:46 *20647:B1 0.000419269 +347 *2031:29 *5855:547 0.000108286 +348 *2031:36 *5855:530 0.00114316 +349 *2043:21 *20707:B1 0.000184716 +350 *2051:89 *5855:619 2.75449e-05 +351 *2063:17 *5855:343 1.5714e-05 +352 *2076:36 *20784:B1 0.000107496 +353 *2127:6 *5855:60 3.85185e-05 +354 *2127:14 *5855:60 0.000137956 +355 *2129:14 *5855:343 0.000969913 +356 *2129:27 *5855:343 4.19841e-05 +357 *2134:8 *5855:589 0.000572631 +358 *2148:38 *20921:B1 3.20069e-06 +359 *2148:69 *20921:B1 0.000283574 +360 *2148:80 *20921:B1 5.18062e-06 +361 *2155:37 *20942:B1 5.87616e-05 +362 *2156:23 *20942:B1 1.47978e-05 +363 *2178:40 *5855:418 0.000311948 +364 *2241:16 *21172:B1 5.17598e-05 +365 *2244:19 *5855:272 0.00202535 +366 *2249:12 *5855:149 0.000596422 +367 *2250:55 *5855:134 8.03699e-06 +368 *2251:10 *5855:589 1.8895e-05 +369 *2251:12 *5855:589 0.000118447 +370 *2264:15 *5855:561 2.41274e-06 +371 *2264:15 *5855:575 0.000124453 +372 *2264:16 *5855:561 2.47808e-05 +373 *2266:13 *5855:134 0.000698359 +374 *2267:31 *5855:343 0.000132795 +375 *2267:31 *5855:350 0.000167566 +376 *2278:28 *20169:B1 0.00183062 +377 *2287:16 *20784:B1 0.000165495 +378 *2287:94 *5855:227 0.000154145 +379 *2288:14 *5855:52 0 +380 *2294:39 *5855:187 9.60277e-05 +381 *2294:39 *5855:201 0.00012362 +382 *2301:17 *5855:319 7.94727e-05 +383 *2301:17 *5855:329 0.000105511 +384 *2301:17 *5855:334 0.000140524 +385 *2301:30 *5855:261 0.000626479 +386 *2319:23 *5855:178 0 +387 *2380:8 *20784:B1 0.000165495 +388 *2380:8 *5855:561 0.000824423 +389 *2415:22 *5855:273 0.000405689 +390 *2415:22 *5855:277 0.000801109 +391 *2415:22 *5855:418 0.000138335 +392 *2415:26 *5855:418 0.000124588 +393 *2416:10 *5855:561 0.000123532 +394 *2416:14 *5855:561 0.00011209 +395 *2421:125 *5855:261 0.000322462 +396 *2422:14 *5855:343 7.41058e-05 +397 *2423:78 *5855:261 0.000234844 +398 *2433:10 *20784:B1 3.58044e-05 +399 *2433:11 *5855:575 0.000428134 +400 *2433:11 *5855:589 0.000763383 +401 *2433:20 *5855:166 1.5714e-05 +402 *2433:20 *5855:187 0.000889935 +403 *2441:66 *5855:244 0.00200992 +404 *2452:14 *5855:108 0.000238188 +405 *2452:14 *5855:149 8.62625e-06 +406 *2459:10 *21029:B1 1.2693e-05 +407 *2467:104 *5855:244 0.000403346 +408 *2471:35 *5855:329 2.37827e-05 +409 *2471:36 *5855:319 0.00372017 +410 *2471:36 *5855:329 1.76791e-05 +411 *2471:56 *5855:261 0.000742916 +412 *2473:8 *5855:589 0.000922594 +413 *2486:24 *5855:619 3.29715e-05 +414 *2493:41 *5855:149 0.000343781 +415 *2495:10 *5855:149 7.65608e-05 +416 *2520:90 *5855:261 0.000161305 +417 *2542:8 *5855:108 3.73224e-05 +418 *2545:35 *5855:166 6.22732e-06 +419 *2545:48 *5855:166 0.000142999 +420 *2545:51 *5855:166 0.000306912 +421 *2553:24 *20863:B1 0.000108997 +422 *2553:24 *5855:59 0.000175075 +423 *2570:40 *5855:202 7.40047e-05 +424 *2584:62 *5855:261 3.17436e-05 +425 *2624:21 *20647:B1 2.27135e-05 +426 *2629:37 *5855:244 4.80664e-05 +427 *2635:20 *21092:B1 2.57465e-06 +428 *2635:21 *5855:134 6.7671e-06 +429 *2642:27 *5855:418 0.000313462 +430 *2654:17 *5855:34 0 +431 *2685:8 *5855:10 3.42731e-05 +432 *2685:8 *5855:12 0.000394828 +433 *2685:8 *5855:14 0.000756886 +434 *2685:8 *5855:25 0.000349709 +435 *2685:25 *5855:25 8.19494e-05 +436 *2738:14 *20169:B1 0.000110809 +437 *2759:12 *5855:343 0.000470928 +438 *2765:21 *5855:25 0.000221185 +439 *2767:27 *25365:A 3.4052e-05 +440 *2767:27 *5855:60 0.000730737 +441 *2783:16 *5855:60 0.00042429 +442 *2783:24 *5855:60 0.00145566 +443 *2797:47 *5855:99 0.000254532 +444 *2809:24 *5855:244 0 +445 *2813:30 *5855:219 1.30371e-05 +446 *2813:30 *5855:223 1.8121e-05 +447 *2813:42 *5855:244 0.000159616 +448 *2827:29 *21092:B1 9.10158e-05 +449 *2850:12 *5855:99 1.9101e-05 +450 *2869:32 *21092:B1 6.85924e-05 +451 *2871:8 *5855:108 3.39118e-05 +452 *2882:19 *5855:329 0.000102632 +453 *2883:20 *5855:26 0.00013116 +454 *2883:20 *5855:34 2.02035e-05 +455 *2896:58 *5855:261 0.000177764 +456 *2900:69 *5855:265 0.000107496 +457 *2900:84 *5855:261 0.000630985 +458 *2918:6 *5855:25 1.16596e-05 +459 *2918:16 *5855:25 0.00160443 +460 *2918:16 *5855:34 3.64995e-05 +461 *2918:16 *5855:108 0.000182769 +462 *2954:27 *5855:25 0.000275653 +463 *2963:57 *20985:B1 0.000491042 +464 *2963:57 *5855:39 0.000445913 +465 *2984:8 *20707:B1 0.00056314 +466 *2984:8 *5855:547 0.0001027 +467 *2986:17 *20707:B1 0 +468 *3015:10 *5855:99 1.5714e-05 +469 *3017:8 *21172:B1 7.50872e-05 +470 *3017:15 *5855:334 0.000128828 +471 *3022:24 *5855:134 0.00136208 +472 *3022:27 *5855:108 0.000754961 +473 *3022:45 *5855:108 0.000371518 +474 *3027:8 *20985:B1 4.47123e-05 +475 *3028:20 *5855:60 7.85871e-06 +476 *3035:40 *5855:25 0.000156946 +477 *3040:10 *20863:B1 4.40531e-05 +478 *3040:18 *5855:60 0.0007797 +479 *3059:18 *5855:60 0.00118988 +480 *3070:8 *20707:B1 0.000145818 +481 *3070:8 *5855:547 4.90673e-05 +482 *3070:20 *20707:B1 0.000274772 +483 *3106:149 *25365:A 5.98698e-05 +484 *3106:149 *5855:60 7.41027e-06 +485 *3131:125 *5855:60 8.29746e-05 +486 *3155:139 *5855:39 0.000144505 +487 *3155:146 *5855:99 0.000142021 +488 *3155:168 *5855:99 9.68627e-06 +489 *3155:178 *5855:108 0.000536612 +490 *3155:193 *5855:108 6.08467e-05 +491 *3155:193 *5855:134 0.0017734 +492 *3166:147 *5855:108 0.000177764 +493 *3166:155 *5855:108 0.000584766 +494 *3166:155 *5855:134 0.00188832 +495 *3212:19 *5855:219 0.000229264 +496 *3215:10 *21092:B1 0.000189635 +497 *3257:20 *5855:166 5.04829e-06 +498 *3263:24 *5855:202 0.000181848 +499 *3305:8 *5855:149 3.63738e-05 +500 *4538:31 *20985:B1 4.0143e-05 +501 *4739:22 *5855:10 0.000243648 +502 *4739:22 *5855:12 2.01186e-05 +503 *4804:109 *20169:B1 4.52469e-05 +504 *4804:118 *5855:341 0.000124513 +505 *4804:118 *5855:343 6.81792e-05 +506 *4805:92 *5855:318 6.25467e-05 +507 *4807:8 *5855:318 0.000160462 +508 *4808:12 *21029:B1 0.000151131 +509 *4815:30 *20269:B1 0 +510 *4816:80 *5855:202 0.000148925 +511 *4818:13 *5855:219 4.00664e-05 +512 *4818:16 *5855:219 0.000697066 +513 *4818:16 *5855:223 0.00165424 +514 *4820:83 *20961:B1 1.32841e-05 +515 *4820:83 *5855:178 0.00026201 +516 *4821:59 *20169:B1 0.00172077 +517 *4824:101 *5855:589 8.43707e-05 +518 *4825:95 *5855:244 0.000149263 +519 *4825:102 *5855:244 9.28183e-05 +520 *4826:68 *5855:187 0 +521 *4827:100 *5855:202 0.000307548 +522 *4831:8 *5855:134 1.97947e-05 +523 *4832:60 *5855:166 0.000509761 +524 *4838:331 *20921:B1 2.87072e-05 +525 *4839:194 *5855:5 0.000513023 +526 *4839:194 *5855:530 0.000233246 +527 *4844:214 *5855:223 0.000139039 +528 *4844:214 *5855:227 0.000323228 +529 *4844:214 *5855:244 5.92192e-05 +530 *4845:229 *5855:149 0.000127708 +531 *4873:17 *5855:59 0.000118545 +532 *4902:20 *5855:187 5.04829e-06 +533 *4903:44 *5855:202 8.69165e-05 +534 *4903:208 *5855:202 0 +535 *4907:5 *5855:149 0.000157234 +536 *4907:9 *5855:149 0.000491468 +537 *4908:7 *5855:418 0.000121159 +538 *4932:14 *20863:B1 4.40531e-05 +539 *4941:8 *5855:202 0.000977985 +540 *4960:11 *5855:187 2.45592e-06 +541 *4996:8 *5855:60 9.84521e-05 +542 *5034:13 *20696:B1 1.0714e-05 +543 *5048:10 *5855:99 1.69664e-05 +544 *5054:7 *5855:227 0.000158371 +545 *5280:8 *5855:60 9.69016e-05 +546 *5300:11 *5855:619 2.40736e-05 +547 *5417:22 *5855:219 6.85388e-05 +548 *5417:30 *5855:227 0.000124342 +549 *5417:30 *5855:244 0.000191367 +550 *5421:9 *5855:166 0.000538037 +551 *5430:8 *20942:B1 6.19989e-05 +552 *5503:16 *5855:341 7.59892e-05 +553 *5503:16 *5855:378 1.41396e-05 +554 *5526:32 *5855:298 1.72799e-05 +555 *5552:7 *24039:A1 2.61085e-05 +556 *5725:13 *5855:329 0.000251669 +557 *5785:65 *5855:341 0.000103136 +558 *5796:11 *21172:B1 2.75292e-05 +559 *5798:11 *21172:B1 6.93171e-05 +560 *5853:32 *20391:B1 0.000107496 +561 *5853:46 *5855:34 6.2595e-05 +562 *5853:46 *5855:39 2.95757e-05 +563 *5853:48 *5855:39 0.000161675 +564 *5853:71 *20391:B1 0.000260388 +565 *5853:277 *5855:244 0 +566 *5854:14 *25365:A 3.31745e-05 +*RES +1 *25366:X *5855:5 14.964 +2 *5855:5 *5855:10 9.55631 +3 *5855:10 *5855:12 6.81502 +4 *5855:12 *5855:14 13.6667 +5 *5855:14 *5855:25 48.5351 +6 *5855:25 *5855:26 2.6625 +7 *5855:26 *5855:34 10.2109 +8 *5855:34 *5855:39 15.0691 +9 *5855:39 *20985:B1 19.8357 +10 *5855:39 *5855:52 1.91301 +11 *5855:52 *5855:59 4.64629 +12 *5855:59 *5855:60 54.9843 +13 *5855:60 *25365:A 34.7646 +14 *5855:52 *20863:B1 18.4531 +15 *5855:34 *20677:B1 15.5817 +16 *5855:26 *5855:99 47.8151 +17 *5855:99 *5855:108 21.4295 +18 *5855:108 *21092:B1 31.1113 +19 *5855:108 *5855:134 48.8144 +20 *5855:134 *5855:149 37.325 +21 *5855:149 *20213:B1 9.24915 +22 *5855:149 *5855:166 40.4464 +23 *5855:166 *20961:B1 15.2664 +24 *5855:166 *5855:178 24.3955 +25 *5855:178 *5855:187 48.366 +26 *5855:187 *20921:B1 31.0188 +27 *5855:178 *5855:201 21.3069 +28 *5855:201 *5855:202 40.0352 +29 *5855:202 *20696:B1 20.5973 +30 *5855:202 *5855:219 24.694 +31 *5855:219 *5855:223 49.5182 +32 *5855:223 *5855:227 12.7324 +33 *5855:227 *5855:244 26.9168 +34 *5855:244 *5855:261 49.6925 +35 *5855:261 *5855:265 8.1646 +36 *5855:265 *5855:272 10.0727 +37 *5855:272 *5855:273 7.85315 +38 *5855:273 *5855:277 19.6201 +39 *5855:277 *21029:B1 34.4097 +40 *5855:277 *5855:298 44.9993 +41 *5855:298 *20137:B1 9.82786 +42 *5855:298 *5855:318 25.9819 +43 *5855:318 *5855:319 64.3275 +44 *5855:319 *5855:329 21.9445 +45 *5855:329 *5855:334 24.51 +46 *5855:334 *5855:338 17.4247 +47 *5855:338 *5855:341 19.5046 +48 *5855:341 *5855:343 78.1163 +49 *5855:343 *5855:345 0.732798 +50 *5855:345 *5855:350 46.3303 +51 *5855:350 *21172:B1 25.3992 +52 *5855:345 *21155:B1 16.1063 +53 *5855:338 *5855:378 19.7323 +54 *5855:378 *20169:B1 49.4069 +55 *5855:334 *20258:B1 9.82786 +56 *5855:329 *24018:A1 13.7491 +57 *5855:273 *21073:B1 16.1605 +58 *5855:272 *5855:418 49.5513 +59 *5855:418 *20620:B1 9.24915 +60 *5855:265 *20900:B1 15.0513 +61 *5855:244 *20996:B1 17.7188 +62 *5855:227 *20942:B1 39.8637 +63 *5855:219 *20658:B1 21.4413 +64 *5855:201 *5855:467 1.41674 +65 *5855:467 *24039:A1 25.2029 +66 *5855:467 *20269:B1 24.5027 +67 *5855:14 *20647:B1 26.7878 +68 *5855:12 *20391:B1 25.7575 +69 *5855:10 *20495:B1 15.6059 +70 *5855:5 *5855:530 49.1668 +71 *5855:530 *5855:532 4.5 +72 *5855:532 *20707:B1 32.3953 +73 *5855:532 *5855:547 47.5415 +74 *5855:547 *20441:B1 10.2378 +75 *5855:547 *5855:561 29.1704 +76 *5855:561 *20784:B1 24.2928 +77 *5855:561 *5855:575 8.48785 +78 *5855:575 *20669:B1 9.82786 +79 *5855:575 *5855:589 34.5771 +80 *5855:589 *20881:B1 9.82786 +81 *5855:589 *5855:599 10.0311 +82 *5855:599 *20631:B1 9.24915 +83 *5855:599 *5855:609 12.7697 +84 *5855:609 *5855:610 127.479 +85 *5855:610 *5855:619 43.2968 +86 *5855:619 *20734:B1 9.24915 +*END + +*D_NET *5856 0.198727 +*CONN +*I *20480:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21152:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20716:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20986:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20793:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20932:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20864:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20890:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20724:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20526:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20534:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20488:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20420:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20572:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20640:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20610:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20412:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20373:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20686:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20602:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20583:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20354:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20243:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19689:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20951:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21082:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19702:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20458:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19725:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19735:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19649:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20222:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19715:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20381:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19626:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20450:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20564:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21019:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25367:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20480:B1 0 +2 *21152:B1 0 +3 *20716:B1 0 +4 *20986:B1 0.000121245 +5 *20793:B1 0.000659904 +6 *20932:B1 0.000995027 +7 *20864:B1 0.000772123 +8 *20890:B1 1.58776e-05 +9 *20724:B1 0.000270259 +10 *20526:B1 0 +11 *20534:B1 0.00113276 +12 *20488:B1 0.000754136 +13 *20420:B1 0.00039793 +14 *20572:B1 0.000850427 +15 *20640:B1 0.000544551 +16 *20610:B1 0 +17 *20412:B1 0.000252937 +18 *20373:B1 6.29428e-05 +19 *20686:B1 0 +20 *20602:B1 6.99841e-05 +21 *20583:B1 0 +22 *20354:B1 0.000265651 +23 *20243:B1 0.000470761 +24 *19689:B1 0.000534371 +25 *20951:B1 0.000185806 +26 *21082:B1 0.000292429 +27 *19702:B1 0.000254524 +28 *20458:B1 0 +29 *19725:B1 3.5247e-05 +30 *19735:B1 0 +31 *19649:B1 0.000504647 +32 *20222:B1 0 +33 *19715:B1 0.00104043 +34 *20381:B1 0.000344936 +35 *19626:B1 0.000453733 +36 *20450:B1 0.000178508 +37 *20564:B1 0.000747721 +38 *21019:B1 9.7988e-05 +39 *25367:X 0.00191425 +40 *5856:526 0.000724162 +41 *5856:500 0.00141728 +42 *5856:438 0.00100513 +43 *5856:436 0.00151821 +44 *5856:415 0.00322788 +45 *5856:410 0.00215391 +46 *5856:405 0.00156314 +47 *5856:396 0.00151042 +48 *5856:377 0.0013646 +49 *5856:353 0.00141239 +50 *5856:336 0.00232803 +51 *5856:334 0.00147453 +52 *5856:309 0.00120767 +53 *5856:305 0.00192839 +54 *5856:296 0.00110366 +55 *5856:293 0.00209364 +56 *5856:288 0.00249015 +57 *5856:283 0.00196541 +58 *5856:281 0.00216015 +59 *5856:243 0.00134981 +60 *5856:241 0.00255215 +61 *5856:232 0.00245803 +62 *5856:222 0.00206163 +63 *5856:204 0.000779896 +64 *5856:203 0.00112267 +65 *5856:194 0.0021131 +66 *5856:186 0.00197938 +67 *5856:183 0.00121473 +68 *5856:168 0.00171506 +69 *5856:160 0.00155215 +70 *5856:146 0.00170413 +71 *5856:138 0.00172692 +72 *5856:136 0.000352756 +73 *5856:134 0.00136844 +74 *5856:124 0.00158792 +75 *5856:108 0.00163298 +76 *5856:93 0.00188579 +77 *5856:88 0.00301339 +78 *5856:74 0.00328667 +79 *5856:65 0.00156202 +80 *5856:47 0.00174276 +81 *5856:39 0.00118343 +82 *5856:36 0.000179448 +83 *5856:35 8.46882e-05 +84 *5856:33 0.00147639 +85 *5856:28 0.00299914 +86 *5856:16 0.00205991 +87 *5856:9 0.00245141 +88 *19649:B1 *5860:169 2.99978e-05 +89 *19715:B1 *5858:319 0.000522653 +90 *19715:B1 *5870:40 1.33885e-05 +91 *20381:B1 *5869:21 6.08467e-05 +92 *20412:B1 *24634:SET_B 8.62625e-06 +93 *20640:B1 *5858:571 2.3527e-05 +94 *20793:B1 *24383:SET_B 0.000142758 +95 *20793:B1 *24385:RESET_B 0.000353686 +96 *20932:B1 *24315:RESET_B 1.99707e-05 +97 *20932:B1 *5866:33 0.000874614 +98 *20951:B1 *5871:119 0 +99 *20951:B1 *5960:47 7.36069e-05 +100 *21082:B1 *5870:173 0.000314444 +101 *5856:9 *24589:RESET_B 0.000309626 +102 *5856:28 *24191:RESET_B 5.79598e-05 +103 *5856:28 *5868:317 0.000190028 +104 *5856:28 *5868:333 0.000139294 +105 *5856:28 *5868:349 4.19379e-05 +106 *5856:33 *5868:306 0.000101133 +107 *5856:33 *5868:312 0.00071497 +108 *5856:33 *5868:317 2.4562e-05 +109 *5856:65 *6001:8 0 +110 *5856:88 *6021:14 0 +111 *5856:134 *5858:80 0.000208047 +112 *5856:183 *5860:696 1.12904e-05 +113 *5856:203 *5858:571 1.00846e-05 +114 *5856:232 *5869:163 0.000221006 +115 *5856:241 *24658:RESET_B 0.000183411 +116 *5856:243 *24655:SET_B 2.02035e-05 +117 *5856:283 *24676:RESET_B 7.39899e-05 +118 *5856:283 *5917:502 0.000157839 +119 *5856:296 *5858:415 1.67988e-05 +120 *5856:305 *5858:415 6.50727e-05 +121 *5856:305 *5860:163 0.000825106 +122 *5856:309 *5858:319 0 +123 *5856:336 *5860:169 0.000203471 +124 *5856:353 *24839:RESET_B 0 +125 *5856:353 *5870:8 0 +126 *5856:353 *5917:277 0.000692698 +127 *5856:377 *5858:415 6.04131e-05 +128 *5856:396 *5870:269 0.000306711 +129 *5856:436 *24304:SET_B 1.65872e-05 +130 *5856:436 *5871:63 6.40459e-05 +131 *5856:436 *5871:74 0.000133621 +132 *5856:436 *5871:97 0.000472327 +133 *19624:B2 *19626:B1 0.000132237 +134 *19651:A2 *19649:B1 4.58003e-05 +135 *19678:S *5856:436 4.26935e-05 +136 *19686:A2 *19689:B1 0 +137 *19707:A *19715:B1 0 +138 *19715:B2 *19715:B1 1.07248e-05 +139 *19722:A1 *5856:334 0.000969382 +140 *19722:B2 *5856:334 0.000557411 +141 *19725:A1 *5856:334 1.00937e-05 +142 *19725:A2 *5856:334 8.90486e-05 +143 *19725:B2 *5856:336 2.65667e-05 +144 *19736:A2 *5856:353 0 +145 *19778:B *5856:241 9.40059e-05 +146 *19778:C *5856:241 7.2465e-05 +147 *19816:A *21019:B1 6.08467e-05 +148 *19816:A *5856:47 0.000150632 +149 *19816:A *5856:65 2.95757e-05 +150 *19989:A1 *5856:436 8.96809e-05 +151 *20012:C1 *5856:281 0.00066364 +152 *20222:A2 *5856:305 4.70104e-05 +153 *20222:A2 *5856:309 0.000144546 +154 *20243:A2 *20243:B1 6.92705e-05 +155 *20354:B2 *20354:B1 6.08467e-05 +156 *20381:A1 *20381:B1 0.000229324 +157 *20381:A1 *5856:243 5.75901e-05 +158 *20381:A2 *20381:B1 0.000113968 +159 *20381:B2 *20381:B1 4.80635e-06 +160 *20412:B2 *20412:B1 6.08467e-05 +161 *20420:B2 *20420:B1 6.27718e-05 +162 *20446:A *5856:232 0.000481816 +163 *20456:A1 *5856:377 0.000170592 +164 *20458:A1 *5856:296 0.000523665 +165 *20458:A1 *5856:377 0.000111722 +166 *20460:A1 *5856:377 3.48089e-05 +167 *20460:A2 *5856:377 2.71397e-05 +168 *20480:B2 *5856:16 9.63256e-05 +169 *20488:A1 *20488:B1 5.83326e-05 +170 *20524:A1 *5856:168 0.000264044 +171 *20524:A1 *5856:183 9.34533e-05 +172 *20524:A2 *5856:168 3.83492e-06 +173 *20526:A1 *20534:B1 2.16608e-05 +174 *20526:A2 *20534:B1 0.000107496 +175 *20526:A2 *5856:146 0.00013063 +176 *20526:B2 *5856:138 9.80656e-06 +177 *20564:A1 *20564:B1 0.000591158 +178 *20570:A2 *20572:B1 7.92757e-06 +179 *20572:A1 *20572:B1 0.000449111 +180 *20572:B2 *20572:B1 1.64789e-05 +181 *20578:B1 *5856:396 1.28732e-05 +182 *20578:B1 *5856:405 0.000115349 +183 *20583:A2 *5856:396 4.68296e-05 +184 *20583:A2 *5856:405 3.5534e-06 +185 *20602:B2 *5856:288 0.000169063 +186 *20610:A1 *5856:526 0 +187 *20612:B2 *5856:526 7.92757e-06 +188 *20639:A2 *5856:194 4.43174e-05 +189 *20641:A1 *20640:B1 0.000283468 +190 *20686:A2 *5856:281 1.5714e-05 +191 *20686:B2 *5856:281 2.27901e-06 +192 *20686:B2 *5856:283 1.05272e-06 +193 *20716:A2 *5856:39 0.000165845 +194 *20717:A1 *5856:47 5.39313e-05 +195 *20718:A2 *5856:47 7.99851e-05 +196 *20724:A1 *20724:B1 1.88152e-05 +197 *20724:A2 *20724:B1 0.000500727 +198 *20752:A1 *5856:16 0.000213131 +199 *20793:B2 *20793:B1 4.90594e-05 +200 *20890:A1 *5856:124 4.65164e-05 +201 *20934:A1 *5856:93 0 +202 *20951:A1 *20951:B1 5.04829e-06 +203 *20951:A2 *20951:B1 2.91326e-05 +204 *21014:A *5856:108 0.000235813 +205 *21019:A1 *21019:B1 3.34189e-05 +206 *21019:A2 *21019:B1 0.000158357 +207 *21077:A *20354:B1 4.45999e-05 +208 *21077:A *5856:405 0.000239302 +209 *21077:B *5856:405 6.50727e-05 +210 *21078:A *5856:405 0.000122378 +211 *21082:B2 *21082:B1 0.000115934 +212 *21154:B2 *5856:28 2.24484e-05 +213 *21154:B2 *5856:33 0.000516749 +214 *21345:A1 *5856:194 0.00027733 +215 *21377:A1 *20243:B1 0.00033143 +216 *21457:A *19626:B1 7.78924e-05 +217 *21495:A *5856:16 8.01687e-05 +218 *21547:A *5856:28 2.51623e-05 +219 *21587:A *20243:B1 9.99386e-06 +220 *21588:B2 *5856:436 3.55345e-05 +221 *21664:B2 *19702:B1 0.00035709 +222 *21749:A *5856:33 3.98307e-05 +223 *21749:A *5856:39 2.29454e-05 +224 *21755:A *5856:124 3.40301e-05 +225 *21763:A *20932:B1 7.88576e-05 +226 *21780:A *5856:16 2.7961e-05 +227 *21820:C1 *21082:B1 0.000152456 +228 *21822:A *5856:293 0.000125724 +229 *21823:A *20243:B1 0 +230 *21840:A *20534:B1 5.16586e-05 +231 *21914:A2 *5856:415 5.51483e-06 +232 *21914:B1 *5856:415 0.000111708 +233 *21956:A *5856:436 0.000110516 +234 *21962:A *20381:B1 0 +235 *22454:B1 *5856:526 0.000636638 +236 *23942:A0 *5856:281 0.00149957 +237 *24191:D *5856:28 6.44964e-06 +238 *24191:CLK *5856:28 2.49891e-05 +239 *24278:D *20986:B1 5.99836e-05 +240 *24278:D *5856:65 2.41274e-06 +241 *24304:D *20951:B1 1.17054e-05 +242 *24304:D *5856:415 6.50727e-05 +243 *24317:D *20932:B1 0.000209232 +244 *24359:D *20864:B1 0.000203756 +245 *24361:CLK *20864:B1 0.000111067 +246 *24399:CLK *5856:33 1.64943e-05 +247 *24421:D *20724:B1 7.92757e-06 +248 *24426:D *5856:39 9.75148e-06 +249 *24426:D *5856:47 2.2718e-05 +250 *24447:CLK *5856:283 0.000108362 +251 *24479:D *5856:194 0.000130187 +252 *24556:D *20534:B1 0.000205101 +253 *24587:D *5856:28 0.00011818 +254 *24589:D *5856:16 0.000130777 +255 *24607:CLK *5856:232 3.95851e-05 +256 *24634:D *20412:B1 0.000162583 +257 *24636:CLK *5856:526 0.000145012 +258 *24658:D *5856:241 5.65463e-05 +259 *24660:D *5856:241 3.20069e-06 +260 *24663:D *5856:436 2.13723e-05 +261 *24746:CLK *20243:B1 7.69423e-05 +262 *24839:D *5856:353 0 +263 *24845:D *5856:334 0.000164843 +264 *24848:D *5856:334 6.08467e-05 +265 *24870:D *19689:B1 3.98412e-05 +266 *24879:D *19649:B1 8.92568e-06 +267 *24880:D *5856:353 5.53934e-05 +268 *24888:CLK *20572:B1 9.37092e-05 +269 *24992:A *5856:500 0.000253916 +270 *24992:A *5856:526 0.000399626 +271 *25270:A *5856:353 0.000231177 +272 *25279:A *19702:B1 7.66058e-07 +273 *25291:A *19689:B1 0 +274 *25293:A *19689:B1 0.000115008 +275 *324:10 *5856:436 0.000198393 +276 *460:57 *19626:B1 0.000184727 +277 *460:57 *5856:241 0.000108209 +278 *460:57 *5856:243 0.000337514 +279 *476:18 *5856:281 0.000426761 +280 *495:8 *5856:74 0.000394828 +281 *566:124 *20793:B1 0.00015324 +282 *823:20 *5856:88 1.57481e-05 +283 *1419:72 *5856:410 5.54595e-05 +284 *1419:107 *19715:B1 0 +285 *1422:34 *5856:241 6.28168e-05 +286 *1433:17 *5856:241 0.000324137 +287 *1439:271 *19715:B1 4.15236e-05 +288 *1442:97 *20488:B1 0.00065138 +289 *1448:213 *20373:B1 2.29406e-05 +290 *1448:213 *5856:232 0.000111708 +291 *1448:232 *5856:183 0.000281634 +292 *1458:27 *19626:B1 1.37566e-05 +293 *1461:16 *5856:281 6.16319e-05 +294 *1461:61 *5856:283 8.48321e-05 +295 *1461:249 *20412:B1 0.000253179 +296 *1467:198 *20420:B1 4.76061e-05 +297 *1469:20 *5856:353 8.8679e-05 +298 *1469:29 *19649:B1 2.36813e-05 +299 *1469:29 *5856:353 3.0395e-05 +300 *1469:40 *19649:B1 0.000197653 +301 *1470:40 *19649:B1 0 +302 *1470:50 *19649:B1 0 +303 *1485:66 *5856:283 0.000108004 +304 *1492:47 *5856:415 0.00111805 +305 *1497:38 *19702:B1 0.000134323 +306 *1497:38 *5856:377 0.000236329 +307 *1497:113 *5856:436 6.93171e-05 +308 *1501:53 *5856:526 6.39178e-05 +309 *1502:42 *5856:281 0.000114262 +310 *1502:60 *19715:B1 7.86825e-06 +311 *1506:143 *19626:B1 3.18627e-05 +312 *1506:143 *5856:243 1.20172e-05 +313 *1508:11 *5856:334 7.68538e-06 +314 *1508:15 *5856:334 1.58551e-05 +315 *1520:28 *5856:293 0.000413095 +316 *1521:25 *20793:B1 0.000113894 +317 *1550:53 *20793:B1 9.5562e-05 +318 *1573:33 *5856:405 0.000179996 +319 *1573:46 *20354:B1 0.000170281 +320 *1573:46 *5856:405 0.000693341 +321 *1574:12 *5856:74 0 +322 *1574:12 *5856:88 0 +323 *1574:21 *5856:108 5.04829e-06 +324 *1581:10 *5856:124 4.23528e-05 +325 *1581:10 *5856:134 0.000165495 +326 *1582:8 *20932:B1 0.000306467 +327 *1603:28 *5856:108 8.83008e-06 +328 *1603:48 *20534:B1 3.77568e-05 +329 *1624:23 *20932:B1 0.000306467 +330 *1625:106 *5856:124 8.49029e-05 +331 *1641:10 *5856:405 7.22578e-05 +332 *1668:12 *5856:436 0.00010797 +333 *1671:59 *5856:436 1.3706e-05 +334 *1674:13 *5856:410 0.0016516 +335 *1689:101 *5856:124 3.46002e-05 +336 *1689:102 *5856:124 0.00059967 +337 *1690:43 *20602:B1 4.0744e-05 +338 *1690:108 *20564:B1 2.65973e-05 +339 *1707:39 *5856:88 7.93457e-06 +340 *1722:13 *5856:183 0.000457735 +341 *1724:8 *5856:16 0.000320969 +342 *1725:41 *5856:500 0.00059339 +343 *1725:41 *5856:526 0.000411032 +344 *1729:64 *20534:B1 0.000137446 +345 *1729:80 *20412:B1 7.37583e-05 +346 *1730:87 *5856:203 0.000158357 +347 *1732:70 *5856:183 2.57809e-05 +348 *1732:70 *5856:186 0.000783989 +349 *1732:70 *5856:194 0.000125683 +350 *1733:26 *20534:B1 4.12833e-05 +351 *1740:125 *5856:183 0.000432698 +352 *1740:131 *5856:160 0.000535356 +353 *1740:131 *5856:168 0.000138836 +354 *1744:156 *5856:183 0.000219477 +355 *1753:24 *5856:194 0.000100137 +356 *1753:26 *5856:232 8.75509e-05 +357 *1757:106 *5856:146 0.000230329 +358 *1760:8 *19715:B1 0 +359 *1760:8 *5856:305 0 +360 *1760:8 *5856:309 0 +361 *1761:56 *20412:B1 0.000132891 +362 *1761:74 *20412:B1 0.000113122 +363 *1764:13 *5856:281 8.90486e-05 +364 *1764:31 *5856:281 9.29156e-05 +365 *1764:102 *5856:281 0 +366 *1768:14 *5856:281 0.00129688 +367 *1780:44 *5856:436 0.000336155 +368 *1780:55 *5856:436 4.55001e-05 +369 *1783:100 *5856:288 9.23944e-05 +370 *1783:100 *5856:396 6.24024e-05 +371 *1784:18 *5856:204 1.66398e-05 +372 *1784:32 *5856:204 0.000477147 +373 *1784:165 *5856:183 2.04806e-05 +374 *1809:103 *5856:74 7.14765e-05 +375 *1889:29 *5856:305 0.000163997 +376 *1889:43 *5856:334 2.16355e-05 +377 *1890:7 *5856:334 4.89898e-06 +378 *1930:51 *20354:B1 9.05137e-05 +379 *1937:31 *5856:241 0.000315406 +380 *1937:43 *5856:232 0.000500054 +381 *1937:43 *5856:241 5.41227e-05 +382 *1947:22 *20986:B1 0.000250254 +383 *1947:22 *5856:74 0.000188012 +384 *1947:45 *5856:74 4.87595e-05 +385 *1947:102 *5856:134 3.58208e-05 +386 *1949:52 *5856:526 0.000149783 +387 *1961:31 *20450:B1 1.9101e-05 +388 *1961:50 *20450:B1 0.000115222 +389 *1964:10 *5856:293 0.000348901 +390 *1964:12 *5856:293 5.05252e-05 +391 *1985:5 *5856:160 0.000107496 +392 *1985:12 *5856:160 0.000111802 +393 *1985:23 *5856:168 8.30099e-06 +394 *1985:56 *5856:146 5.39873e-05 +395 *1986:10 *5856:146 0.000186138 +396 *1988:15 *20534:B1 6.36477e-05 +397 *2010:23 *5856:288 0.000463853 +398 *2021:19 *20640:B1 4.91225e-06 +399 *2021:41 *5856:194 0.000609509 +400 *2022:5 *20640:B1 3.82228e-05 +401 *2022:19 *20640:B1 2.16355e-05 +402 *2022:27 *20640:B1 2.16355e-05 +403 *2046:14 *5856:47 0.000670801 +404 *2138:59 *5856:124 5.61389e-05 +405 *2152:24 *5856:108 0.000216294 +406 *2153:36 *5856:108 8.69846e-05 +407 *2173:9 *5856:88 1.19737e-05 +408 *2173:9 *5856:93 0.000407502 +409 *2174:30 *5856:65 0.000163801 +410 *2175:7 *21019:B1 0.000342337 +411 *2233:19 *5856:28 0.000123582 +412 *2233:26 *5856:28 5.61454e-05 +413 *2259:15 *5856:396 0 +414 *2283:29 *20450:B1 0.000247443 +415 *2283:29 *5856:500 6.63489e-05 +416 *2284:28 *5856:222 0.000500078 +417 *2284:28 *5856:500 0.000632314 +418 *2405:35 *5856:203 0.000298399 +419 *2420:15 *19689:B1 0.00186146 +420 *2420:15 *20243:B1 0.00025091 +421 *2420:29 *20243:B1 0.000689459 +422 *2442:7 *5856:334 5.63546e-05 +423 *2505:23 *5856:405 0.000157852 +424 *2510:36 *20450:B1 6.85778e-05 +425 *2510:36 *5856:204 0.000176174 +426 *2531:21 *20986:B1 1.15389e-05 +427 *2531:21 *5856:65 0.000205546 +428 *2537:30 *5856:124 0.000548795 +429 *2561:16 *5856:377 0.000837876 +430 *2567:7 *20890:B1 2.57847e-05 +431 *2608:25 *20864:B1 5.92722e-05 +432 *2615:13 *5856:108 8.51781e-05 +433 *2616:30 *5856:134 0.0011233 +434 *2616:30 *5856:136 0.00053308 +435 *2616:30 *5856:138 4.78118e-05 +436 *2616:30 *5856:146 0.000742343 +437 *2616:32 *5856:146 2.27815e-05 +438 *2616:34 *5856:146 0.000118485 +439 *2616:34 *5856:183 4.83904e-05 +440 *2627:10 *19626:B1 0.000233226 +441 *2627:16 *19626:B1 1.3813e-05 +442 *2627:16 *5856:241 0.000475932 +443 *2627:22 *5856:232 0 +444 *2627:24 *5856:232 0.000165536 +445 *2649:21 *20534:B1 0.000107496 +446 *2654:10 *5856:33 2.652e-05 +447 *2655:19 *5856:88 8.22964e-06 +448 *2655:25 *5856:93 0.00035986 +449 *2655:25 *5856:108 0 +450 *2684:7 *5856:65 0.000158357 +451 *2684:24 *5856:124 8.81102e-05 +452 *2700:12 *5856:283 0 +453 *2750:13 *20564:B1 3.17103e-05 +454 *2750:13 *5856:160 0.000182191 +455 *2755:14 *20243:B1 0 +456 *2765:8 *5856:33 6.06525e-06 +457 *2784:42 *5856:168 0.000131362 +458 *2785:38 *5856:232 3.42931e-05 +459 *2785:75 *5856:134 0.000493634 +460 *2808:19 *5856:305 0.00190915 +461 *2810:23 *5856:281 7.41381e-05 +462 *2810:40 *5856:203 0.00088427 +463 *2810:60 *20534:B1 0.000340963 +464 *2828:13 *20564:B1 7.97098e-06 +465 *2836:5 *21082:B1 0.00152781 +466 *2838:76 *20534:B1 9.99486e-05 +467 *2839:7 *19689:B1 0.00161304 +468 *2839:7 *20243:B1 0.00100483 +469 *2840:24 *20534:B1 0.000190668 +470 *2844:25 *5856:526 0.000157107 +471 *2856:9 *20534:B1 3.02405e-05 +472 *2878:11 *5856:305 3.31745e-05 +473 *2878:32 *5856:241 0.000175627 +474 *2878:32 *5856:243 0.000566626 +475 *2911:30 *20450:B1 0.000251669 +476 *2911:30 *5856:232 7.14746e-05 +477 *2913:15 *5856:232 7.77309e-06 +478 *2913:15 *5856:500 5.51483e-06 +479 *2926:9 *5856:415 0.000207266 +480 *2933:10 *20534:B1 0.000142015 +481 *2943:68 *5856:160 0.000540954 +482 *2943:68 *5856:168 0.00020553 +483 *2946:8 *19702:B1 0 +484 *2946:8 *5856:293 0.000119238 +485 *2946:8 *5856:377 0 +486 *2963:57 *5856:88 4.99354e-06 +487 *2963:71 *5856:134 5.182e-05 +488 *2963:102 *5856:288 0.000953132 +489 *2963:102 *5856:396 0.00235464 +490 *2971:24 *5856:526 0.000288307 +491 *2992:10 *19702:B1 7.01068e-05 +492 *2993:15 *19626:B1 0.000205659 +493 *2993:33 *5856:194 1.01851e-05 +494 *3027:8 *5856:108 4.86582e-05 +495 *3027:17 *5856:108 0.000199218 +496 *3089:12 *20450:B1 0.000137936 +497 *3089:36 *5856:74 0.000887023 +498 *3089:36 *5856:88 4.51619e-05 +499 *3208:72 *5856:526 0.000682402 +500 *3411:32 *5856:194 0.000948761 +501 *3411:57 *20534:B1 0.00112649 +502 *3533:98 *20932:B1 0.000247443 +503 *3671:20 *5856:108 1.9101e-05 +504 *3857:52 *5856:124 0.000113374 +505 *3857:52 *5856:134 6.42805e-05 +506 *4126:79 *5856:47 0.000105966 +507 *4126:91 *5856:74 2.41274e-06 +508 *4137:69 *20793:B1 0.000948104 +509 *4137:73 *5856:47 0.000382316 +510 *4137:73 *5856:65 0.000551712 +511 *4137:77 *5856:47 0 +512 *4138:124 *20864:B1 0.000322296 +513 *4138:124 *5856:93 0.000134249 +514 *4138:137 *5856:33 0.000354669 +515 *4146:35 *21019:B1 1.65872e-05 +516 *4146:35 *5856:47 6.23875e-05 +517 *4374:40 *5856:33 0.000191042 +518 *4374:48 *5856:33 0 +519 *4550:40 *5856:74 0 +520 *4665:58 *5856:74 6.67464e-05 +521 *4739:51 *5856:88 3.74181e-06 +522 *4797:24 *5856:134 0 +523 *4797:24 *5856:136 0 +524 *4799:22 *20450:B1 6.51527e-05 +525 *4799:22 *5856:204 0.000696569 +526 *4838:68 *5856:33 3.69429e-05 +527 *4840:63 *5856:9 0.000116781 +528 *4841:40 *5856:16 0 +529 *4841:44 *5856:16 0 +530 *4843:555 *20243:B1 0.00126072 +531 *4843:555 *5856:436 0 +532 *4845:466 *5856:405 0.000161977 +533 *4849:33 *20488:B1 2.02705e-05 +534 *4852:11 *20488:B1 0.00016553 +535 *4863:154 *20450:B1 6.08467e-05 +536 *4863:154 *5856:500 0.000154145 +537 *4870:116 *20572:B1 2.38934e-06 +538 *4874:19 *5856:47 0.000148568 +539 *4884:44 *5856:28 1.14755e-05 +540 *4884:144 *5856:16 2.57071e-05 +541 *4917:21 *5856:415 9.28401e-05 +542 *4917:26 *5856:415 5.07314e-05 +543 *4926:8 *5856:283 0.000101626 +544 *4926:8 *5856:288 7.75133e-06 +545 *4926:70 *5856:283 4.53585e-05 +546 *4927:54 *20640:B1 0 +547 *4931:10 *5856:526 0.000136318 +548 *4931:17 *5856:526 0.000225768 +549 *4933:14 *5856:134 0.000403146 +550 *4933:134 *20534:B1 9.40212e-05 +551 *4935:15 *5856:47 7.95804e-05 +552 *4935:90 *5856:47 2.66701e-05 +553 *4940:6 *5856:124 0.000172691 +554 *4957:5 *20932:B1 2.16355e-05 +555 *5022:8 *5856:16 4.58259e-05 +556 *5045:8 *5856:47 3.85403e-05 +557 *5102:9 *5856:183 0.000115746 +558 *5115:7 *5856:183 1.37563e-05 +559 *5139:5 *20450:B1 6.50586e-05 +560 *5152:5 *20412:B1 3.31745e-05 +561 *5167:8 *5856:232 0.000115746 +562 *5410:8 *5856:74 0 +563 *5425:8 *20951:B1 2.97479e-05 +564 *5425:8 *5856:436 2.75814e-05 +565 *5593:46 *5856:168 3.30319e-05 +566 *5671:142 *20793:B1 0.000142291 +567 *5681:19 *5856:183 0.000119727 +568 *5745:33 *20951:B1 8.38732e-05 +569 *5758:8 *19702:B1 9.88681e-05 +570 *5771:8 *19689:B1 0 +571 *5775:13 *5856:436 9.60216e-05 +572 *5776:11 *5856:436 7.86831e-05 +573 *5801:78 *5856:232 2.05758e-06 +574 *5801:84 *5856:194 8.36973e-06 +575 *5801:91 *5856:183 0.000286767 +576 *5801:91 *5856:186 0.00078761 +577 *5801:91 *5856:194 0.000243986 +578 *5804:12 *19649:B1 0.000116971 +579 *5805:23 *5856:353 3.42931e-05 +580 *5806:20 *5856:353 0.000704403 +581 *5815:24 *5856:33 0 +582 *5830:22 *5856:410 0.00179649 +583 *5851:36 *20890:B1 6.08467e-05 +584 *5851:95 *5856:183 0.000638062 +585 *5851:141 *5856:203 0.000877075 +586 *5851:207 *5856:281 3.63593e-05 +587 *5851:207 *5856:283 0.000810378 +588 *5851:209 *5856:283 0.00036048 +589 *5851:211 *5856:283 0.00064503 +590 *5851:211 *5856:288 0.000650282 +591 *5851:301 *5856:377 6.33884e-05 +592 *5852:125 *20724:B1 0.000932853 +593 *5854:45 *5856:134 4.00092e-05 +594 *5854:45 *5856:136 8.80075e-05 +595 *5854:100 *5856:168 0.00038826 +596 *5854:100 *5856:183 5.91586e-05 +597 *5854:194 *19715:B1 6.84784e-06 +598 *5854:210 *19715:B1 0.000496215 +599 *5854:322 *5856:293 0.00126915 +600 *5854:331 *5856:293 0.000399426 +601 *5854:706 *5856:108 0.000719847 +*RES +1 *25367:X *5856:9 41.3829 +2 *5856:9 *5856:16 23.4306 +3 *5856:16 *5856:28 33.0933 +4 *5856:28 *5856:33 41.4016 +5 *5856:33 *5856:35 9.24915 +6 *5856:35 *5856:36 104.301 +7 *5856:36 *5856:39 12.191 +8 *5856:39 *5856:47 45.2259 +9 *5856:47 *21019:B1 13.8789 +10 *5856:47 *5856:65 27.8125 +11 *5856:65 *5856:74 41.2865 +12 *5856:74 *5856:88 11.8619 +13 *5856:88 *5856:93 15.2267 +14 *5856:93 *5856:108 43.3654 +15 *5856:108 *5856:124 34.9085 +16 *5856:124 *5856:134 39.0437 +17 *5856:134 *5856:136 10.137 +18 *5856:136 *5856:138 1.00149 +19 *5856:138 *5856:146 23.1619 +20 *5856:146 *20564:B1 21.0887 +21 *5856:146 *5856:160 20.376 +22 *5856:160 *5856:168 17.6637 +23 *5856:168 *5856:183 47.069 +24 *5856:183 *5856:186 19.2048 +25 *5856:186 *5856:194 33.3967 +26 *5856:194 *5856:203 36.1647 +27 *5856:203 *5856:204 13.8743 +28 *5856:204 *20450:B1 30.4936 +29 *5856:204 *5856:222 10.2148 +30 *5856:222 *5856:232 48.0415 +31 *5856:232 *5856:241 41.423 +32 *5856:241 *5856:243 17.1963 +33 *5856:243 *19626:B1 27.5924 +34 *5856:243 *20381:B1 22.8157 +35 *5856:241 *5856:281 31.7118 +36 *5856:281 *5856:283 35.4674 +37 *5856:283 *5856:288 17.8939 +38 *5856:288 *5856:293 40.2218 +39 *5856:293 *5856:296 6.84815 +40 *5856:296 *5856:305 32.0633 +41 *5856:305 *5856:309 4.2258 +42 *5856:309 *19715:B1 43.1018 +43 *5856:309 *20222:B1 13.7491 +44 *5856:305 *5856:334 31.8444 +45 *5856:334 *5856:336 10.1517 +46 *5856:336 *19649:B1 31.328 +47 *5856:336 *5856:353 49.4694 +48 *5856:353 *19735:B1 13.7491 +49 *5856:334 *19725:B1 10.2378 +50 *5856:296 *20458:B1 9.24915 +51 *5856:293 *5856:377 29.1426 +52 *5856:377 *19702:B1 22.6404 +53 *5856:377 *21082:B1 30.556 +54 *5856:288 *5856:396 7.84037 +55 *5856:396 *5856:405 28.3036 +56 *5856:405 *5856:410 41.5607 +57 *5856:410 *5856:415 37.3755 +58 *5856:415 *20951:B1 23.4595 +59 *5856:415 *5856:436 49.3506 +60 *5856:436 *5856:438 4.5 +61 *5856:438 *19689:B1 46.0292 +62 *5856:438 *20243:B1 45.8216 +63 *5856:405 *20354:B1 16.676 +64 *5856:396 *20583:B1 13.7491 +65 *5856:283 *20602:B1 15.0513 +66 *5856:281 *20686:B1 13.7491 +67 *5856:232 *20373:B1 10.5513 +68 *5856:222 *5856:500 14.5885 +69 *5856:500 *20412:B1 28.1425 +70 *5856:500 *5856:526 45.4738 +71 *5856:526 *20610:B1 9.24915 +72 *5856:194 *20640:B1 17.7611 +73 *5856:186 *20572:B1 26.6979 +74 *5856:168 *20420:B1 20.7661 +75 *5856:160 *20488:B1 30.8454 +76 *5856:138 *20534:B1 49.3954 +77 *5856:136 *20526:B1 13.7491 +78 *5856:134 *20724:B1 25.0341 +79 *5856:124 *20890:B1 14.4725 +80 *5856:93 *20864:B1 26.6106 +81 *5856:88 *20932:B1 45.8728 +82 *5856:74 *20793:B1 45.5406 +83 *5856:65 *20986:B1 13.3243 +84 *5856:39 *20716:B1 9.24915 +85 *5856:28 *21152:B1 13.7491 +86 *5856:16 *20480:B1 9.24915 +*END + +*D_NET *5857 0.210379 +*CONN +*I *20746:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20804:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20735:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19599:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24040:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20270:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20962:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20214:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20659:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20697:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20997:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20943:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20621:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21030:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20901:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20138:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20170:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20518:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19616:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20235:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20365:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21038:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21063:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20202:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20911:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24036:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21173:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21143:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20259:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21074:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20922:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20431:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25368:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20746:B1 0.000351291 +2 *20804:B1 0 +3 *20735:B1 0 +4 *19599:A0 0.000414516 +5 *24040:A1 0.000357742 +6 *20270:B1 2.06324e-05 +7 *20962:B1 4.01596e-05 +8 *20214:B1 0.000875279 +9 *20659:B1 0 +10 *20697:B1 0 +11 *20997:B1 0.00125266 +12 *20943:B1 0 +13 *20621:B1 0.000147267 +14 *21030:B1 7.57026e-05 +15 *20901:B1 9.06964e-05 +16 *20138:B1 0.000323518 +17 *20170:B1 0.000111954 +18 *20518:B1 1.96582e-05 +19 *19616:B1 3.14358e-05 +20 *20235:B1 0.000331639 +21 *20365:B1 0.000799873 +22 *21038:B1 0.000542356 +23 *21063:B1 0.00100304 +24 *20202:B1 0.00077731 +25 *20911:B1 0 +26 *24036:A1 0.000494378 +27 *21173:B1 6.10236e-05 +28 *21143:B1 0 +29 *20259:B1 0 +30 *21074:B1 0.000275974 +31 *20922:B1 0.000354773 +32 *20431:B1 0.000356154 +33 *25368:X 0.000810193 +34 *5857:540 0.00233205 +35 *5857:537 0.00257005 +36 *5857:503 0.00100641 +37 *5857:467 0.0032722 +38 *5857:368 0.00171601 +39 *5857:338 0.00228939 +40 *5857:328 0.00152685 +41 *5857:300 0.00178035 +42 *5857:298 0.00105404 +43 *5857:286 0.000832696 +44 *5857:269 0.00205394 +45 *5857:267 0.00240998 +46 *5857:263 0.00357426 +47 *5857:226 0.00152315 +48 *5857:215 0.00350011 +49 *5857:213 0.00237742 +50 *5857:209 0.00141202 +51 *5857:199 0.000702642 +52 *5857:196 0.00116652 +53 *5857:189 0.00405434 +54 *5857:184 0.00402959 +55 *5857:179 0.00366947 +56 *5857:178 0.00153951 +57 *5857:171 0.000668467 +58 *5857:168 0.00112074 +59 *5857:164 0.00180295 +60 *5857:151 0.0015862 +61 *5857:138 0.0022582 +62 *5857:125 0.00274343 +63 *5857:113 0.00210791 +64 *5857:105 0.00365895 +65 *5857:97 0.00203765 +66 *5857:93 0.00165159 +67 *5857:89 0.000544143 +68 *5857:88 0.0012242 +69 *5857:82 0.0017308 +70 *5857:69 0.00122257 +71 *5857:64 0.000414173 +72 *5857:61 0.00132809 +73 *5857:60 0.00190046 +74 *5857:48 0.000952646 +75 *5857:46 0.00115893 +76 *5857:22 0.00150119 +77 *5857:19 0.00150657 +78 *5857:14 0.00167243 +79 *19599:A0 *24413:RESET_B 4.48479e-05 +80 *20431:B1 *5920:28 4.9361e-05 +81 *20997:B1 *21998:A1 0.000414593 +82 *21173:B1 *5959:68 4.62112e-05 +83 *5857:14 *24622:RESET_B 7.09666e-06 +84 *5857:19 *5859:14 7.92757e-06 +85 *5857:60 *24375:RESET_B 2.40589e-05 +86 *5857:60 *5867:622 1.67988e-05 +87 *5857:88 *5861:330 0.000109977 +88 *5857:88 *5884:45 0.000357884 +89 *5857:97 *24294:SET_B 1.3807e-05 +90 *5857:97 *5862:411 1.9101e-05 +91 *5857:105 *5859:167 1.58308e-05 +92 *5857:113 *5861:363 0.000382039 +93 *5857:113 *5903:18 0.00119102 +94 *5857:138 *5919:72 0.000168189 +95 *5857:189 *5953:8 0.000108268 +96 *5857:189 *5953:32 0.000118548 +97 *5857:189 *5954:11 0.00024797 +98 *5857:196 *5861:492 0.000657262 +99 *5857:196 *5944:11 0.000139702 +100 *5857:215 *20905:A1 0 +101 *5857:215 *20905:A2 0 +102 *5857:215 *23936:S 9.1099e-05 +103 *5857:215 *23937:A1 7.50872e-05 +104 *5857:215 *24186:RESET_B 0.000231873 +105 *5857:215 *5871:749 0.000213445 +106 *5857:215 *5959:68 0.000305965 +107 *5857:226 *23938:A0 4.28856e-07 +108 *5857:226 *24174:D 0.00011588 +109 *5857:226 *24174:RESET_B 7.10944e-06 +110 *5857:226 *5959:68 8.15723e-05 +111 *5857:263 *20824:A 0.000523693 +112 *5857:263 *5956:45 0.00131097 +113 *5857:286 *5864:11 3.56292e-05 +114 *5857:298 *24772:RESET_B 0.000373806 +115 *5857:298 *5859:343 0.000107496 +116 *5857:298 *5864:11 0.000299933 +117 *5857:298 *5870:505 9.24392e-05 +118 *5857:298 *5870:516 0.000170512 +119 *5857:298 *5870:527 7.61444e-07 +120 *5857:467 *5861:363 0.000130702 +121 *6204:DIODE *21038:B1 0.000160617 +122 *19599:S *19599:A0 0.000160617 +123 *19616:A1 *5857:328 4.51931e-05 +124 *19616:A2 *19616:B1 6.08467e-05 +125 *19849:A *5857:19 0.000321905 +126 *20138:B2 *20138:B1 6.08467e-05 +127 *20166:B1 *5857:189 0.000474295 +128 *20170:A1 *20170:B1 6.08467e-05 +129 *20170:A2 *5857:184 2.99978e-05 +130 *20170:B2 *20170:B1 6.3657e-05 +131 *20202:A2 *20202:B1 0.00033694 +132 *20202:B2 *20202:B1 9.49926e-05 +133 *20235:A1 *20235:B1 2.77564e-05 +134 *20235:B2 *20235:B1 3.85663e-05 +135 *20259:A1 *5857:209 0.000353686 +136 *20259:A2 *5857:209 1.19721e-05 +137 *20259:B2 *5857:209 0 +138 *20270:A1 *24040:A1 0.000461881 +139 *20270:B2 *5857:540 0.000168023 +140 *20284:A *5857:60 5.99856e-05 +141 *20427:A1 *5857:46 0.000785018 +142 *20430:A1 *5857:14 9.01687e-05 +143 *20430:A2 *5857:14 2.65667e-05 +144 *20430:B1 *5857:14 0.000319677 +145 *20431:A2 *20431:B1 1.58588e-05 +146 *20431:B2 *20431:B1 0.000312249 +147 *20518:A1 *5857:263 0.00036077 +148 *20519:A2 *20518:B1 9.95922e-06 +149 *20519:A2 *5857:263 1.41689e-05 +150 *20519:A2 *5857:267 0.000765637 +151 *20621:A1 *20621:B1 3.53056e-05 +152 *20621:A2 *20621:B1 9.56825e-05 +153 *20656:B1 *5857:113 0.00104505 +154 *20693:A2 *5857:467 3.11663e-05 +155 *20697:A1 *5857:467 0.000134152 +156 *20727:B *20214:B1 8.03915e-05 +157 *20733:B1 *5857:61 0.000251413 +158 *20733:B1 *5857:69 0.000253934 +159 *20735:A1 *5857:69 0.000148144 +160 *20735:A2 *5857:64 6.08467e-05 +161 *20735:B2 *5857:64 6.50727e-05 +162 *20737:B2 *5857:61 0.000558877 +163 *20764:C *5857:215 0.000202543 +164 *20774:C1 *5857:215 0.000110649 +165 *20775:A *5857:215 2.36813e-05 +166 *20799:A1 *5857:60 0.000559658 +167 *20804:A1 *5857:60 4.65531e-05 +168 *20804:A2 *5857:60 8.04508e-05 +169 *20804:B2 *5857:48 5.0715e-05 +170 *20804:B2 *5857:60 1.34424e-05 +171 *20827:A *5857:263 2.77419e-05 +172 *20856:B2 *5857:189 0 +173 *20904:A *5857:215 4.96483e-05 +174 *20910:A1 *5857:286 0.000741864 +175 *20922:A2 *20922:B1 6.50586e-05 +176 *20938:B2 *5857:125 0.000347214 +177 *20962:B2 *20962:B1 3.4934e-05 +178 *20997:B2 *20997:B1 0.000107496 +179 *20999:A2 *20997:B1 3.73375e-05 +180 *20999:B2 *20997:B1 1.41976e-05 +181 *21038:A1 *21038:B1 5.04829e-06 +182 *21063:B2 *21063:B1 6.08467e-05 +183 *21143:A2 *5857:226 0.000178742 +184 *21173:B2 *21173:B1 2.65667e-05 +185 *21234:B1 *5857:286 0.000141262 +186 *21340:A2 *21063:B1 2.35827e-05 +187 *21397:C *19616:B1 0.000164843 +188 *21412:C1 *20997:B1 0 +189 *21412:C1 *5857:113 3.14761e-05 +190 *21489:A *5857:168 0.000143032 +191 *21650:A *21063:B1 8.714e-05 +192 *21650:A *5857:298 4.82779e-06 +193 *21775:A *5857:540 0.000203515 +194 *21809:A *5857:328 5.36542e-05 +195 *21882:A2 *21063:B1 9.7112e-06 +196 *21882:B1 *21063:B1 2.16355e-05 +197 *21953:A1 *5857:368 4.31539e-05 +198 *21955:A *5857:368 0.000107496 +199 *22645:B1 *21074:B1 0.000148867 +200 *22645:B1 *5857:164 0.00205596 +201 *24076:A0 *5857:368 0.000353695 +202 *24164:CLK *5857:226 0.000165563 +203 *24174:CLK *5857:226 7.5538e-05 +204 *24233:D *21063:B1 0.000269146 +205 *24247:CLK *21030:B1 1.03403e-05 +206 *24334:CLK *5857:215 0.000399924 +207 *24366:D *5857:189 1.82618e-05 +208 *24375:D *5857:48 1.00981e-05 +209 *24407:D *5857:19 0.000158357 +210 *24418:D *5857:61 0.000108627 +211 *24465:D *5857:97 9.32927e-05 +212 *24491:D *20621:B1 6.61347e-05 +213 *24622:D *5857:14 0.000264614 +214 *24665:D *20365:B1 0.000211478 +215 *24740:D *5857:179 5.56367e-05 +216 *24740:D *5857:184 7.89747e-05 +217 *24740:CLK *5857:184 4.2273e-06 +218 *24810:CLK *20138:B1 7.03677e-05 +219 *24810:CLK *5857:171 7.45404e-05 +220 *24810:CLK *5857:178 0.000124157 +221 *24899:D *5857:338 0.000141062 +222 *24980:A *5857:267 4.31539e-05 +223 *460:63 *21038:B1 0.000130812 +224 *476:82 *5857:215 7.38052e-05 +225 *478:54 *5857:89 0.000119029 +226 *478:54 *5857:93 6.5092e-05 +227 *478:54 *5857:97 5.67796e-06 +228 *480:14 *5857:93 1.93757e-05 +229 *480:14 *5857:97 0.000441218 +230 *480:14 *5857:105 3.85679e-05 +231 *480:183 *5857:88 0.00011935 +232 *489:22 *5857:61 0.00114044 +233 *490:45 *20746:B1 1.52817e-05 +234 *490:45 *5857:19 2.8182e-06 +235 *494:44 *20202:B1 0.000294093 +236 *494:44 *21063:B1 0.000100396 +237 *496:8 *20365:B1 0.000784956 +238 *496:8 *5857:368 0.000319873 +239 *496:19 *5857:368 0.000284564 +240 *496:30 *5857:338 1.32509e-05 +241 *496:30 *5857:368 0.000404666 +242 *496:31 *21038:B1 0.000514687 +243 *496:31 *5857:368 4.58003e-05 +244 *500:68 *5857:209 0.00029937 +245 *500:68 *5857:213 0.00100684 +246 *500:68 *5857:215 0.000471174 +247 *512:38 *5857:138 0.00131542 +248 *516:26 *5857:338 1.31215e-05 +249 *516:26 *5857:368 0 +250 *518:44 *5857:138 0.0012313 +251 *520:33 *21173:B1 1.03403e-05 +252 *522:18 *21063:B1 0.000140056 +253 *522:18 *5857:286 0.000175876 +254 *522:18 *5857:298 0.00149785 +255 *535:19 *21074:B1 0.000148867 +256 *535:19 *5857:138 0.000919133 +257 *535:19 *5857:164 0.00216037 +258 *1419:239 *5857:138 4.47494e-06 +259 *1431:30 *5857:226 2.22002e-05 +260 *1439:104 *5857:168 0.000202214 +261 *1439:113 *5857:168 0.00101992 +262 *1450:79 *5857:338 5.05252e-05 +263 *1450:113 *5857:267 0.000131829 +264 *1450:122 *5857:189 0 +265 *1450:122 *5857:196 0 +266 *1520:35 *20235:B1 0.000659428 +267 *1551:83 *21074:B1 0.000594683 +268 *1551:83 *5857:151 0.000384994 +269 *1551:109 *5857:138 8.3051e-06 +270 *1555:58 *20997:B1 2.09449e-06 +271 *1556:16 *5857:215 0 +272 *1556:16 *5857:226 0 +273 *1556:46 *5857:125 0.000125405 +274 *1564:206 *21063:B1 7.40833e-05 +275 *1573:74 *5857:215 6.92004e-05 +276 *1607:14 *5857:19 0.000217951 +277 *1608:98 *5857:467 0 +278 *1629:18 *19599:A0 0.000107496 +279 *1631:57 *5857:138 4.46523e-05 +280 *1631:72 *20214:B1 5.83451e-05 +281 *1634:21 *5857:64 1.41689e-05 +282 *1636:52 *5857:189 0 +283 *1649:189 *5857:125 0.000207273 +284 *1649:189 *5857:138 8.16955e-05 +285 *1650:8 *5857:286 4.84017e-05 +286 *1669:113 *5857:338 4.48202e-05 +287 *1690:17 *5857:338 7.13655e-06 +288 *1690:26 *21038:B1 0.000372893 +289 *1690:26 *5857:338 0.000705878 +290 *1709:78 *5857:189 0 +291 *1715:127 *5857:298 0.000485863 +292 *1725:270 *19616:B1 4.0752e-05 +293 *1731:136 *5857:286 4.49767e-05 +294 *1741:90 *5857:196 0 +295 *1741:105 *5857:286 0.000403338 +296 *1741:115 *5857:286 0.00167085 +297 *1780:26 *21038:B1 5.95477e-05 +298 *1864:33 *5857:184 3.57683e-05 +299 *1865:17 *5857:189 0.000174249 +300 *1865:38 *20170:B1 1.81676e-05 +301 *1865:38 *5857:189 3.7132e-05 +302 *1884:110 *5857:60 0.000148806 +303 *1884:117 *5857:46 4.91225e-06 +304 *1884:117 *5857:48 0.00072322 +305 *1884:121 *20431:B1 2.65667e-05 +306 *1884:294 *20235:B1 0.00066364 +307 *1886:76 *20214:B1 0.000207266 +308 *1894:47 *20235:B1 1.42917e-05 +309 *1901:47 *5857:199 1.42855e-05 +310 *1917:80 *5857:209 5.05252e-05 +311 *1918:8 *5857:209 2.04806e-05 +312 *1933:8 *20365:B1 0 +313 *1933:9 *20365:B1 0.000198568 +314 *1933:15 *20365:B1 2.01874e-05 +315 *1933:52 *20365:B1 7.80901e-05 +316 *1955:72 *20431:B1 1.29759e-05 +317 *2027:8 *5857:97 1.03594e-05 +318 *2027:8 *5857:105 9.82838e-06 +319 *2027:20 *5857:97 5.29221e-05 +320 *2039:31 *5857:467 0.000109605 +321 *2039:33 *20997:B1 0.000566256 +322 *2039:33 *5857:467 4.84944e-05 +323 *2051:8 *5857:61 1.09738e-05 +324 *2051:8 *5857:69 0.000123597 +325 *2051:19 *5857:61 2.65e-05 +326 *2051:23 *5857:61 0.000119706 +327 *2051:35 *5857:61 0.000118387 +328 *2063:68 *5857:263 2.57465e-06 +329 *2064:16 *5857:215 2.47808e-05 +330 *2064:18 *5857:215 0.00028696 +331 *2065:16 *5857:215 0.000139435 +332 *2099:5 *5857:196 0.000174074 +333 *2100:12 *5857:263 1.5714e-05 +334 *2135:70 *20431:B1 1.5714e-05 +335 *2136:29 *5857:46 0.000202527 +336 *2155:26 *5857:113 0.00012698 +337 *2155:28 *5857:113 1.79196e-05 +338 *2155:28 *5857:125 1.12605e-05 +339 *2156:10 *5857:125 0.000190505 +340 *2156:46 *5857:125 0.00055985 +341 *2156:51 *5857:113 7.77309e-06 +342 *2156:67 *5857:113 7.10185e-05 +343 *2161:20 *5857:97 0.000282574 +344 *2161:24 *5857:97 0.000705799 +345 *2180:27 *21038:B1 0.000136599 +346 *2245:23 *5857:93 0.000132804 +347 *2262:102 *5857:105 0.000148323 +348 *2262:102 *5857:113 8.00779e-06 +349 *2267:39 *5857:215 4.68893e-05 +350 *2275:56 *5857:125 0.000171941 +351 *2275:56 *5857:138 7.71503e-05 +352 *2287:90 *20997:B1 1.22569e-05 +353 *2294:39 *5857:88 0.000353686 +354 *2300:60 *5857:168 0.000200794 +355 *2304:19 *5857:151 0.000598264 +356 *2310:10 *5857:151 0.00117681 +357 *2316:8 *5857:61 0.00153915 +358 *2319:55 *5857:125 0.000350024 +359 *2321:10 *5857:61 0.000213583 +360 *2321:14 *19599:A0 0.000384665 +361 *2321:14 *5857:88 0.00039782 +362 *2321:14 *5857:537 0.000365286 +363 *2321:26 *5857:88 0.000152939 +364 *2333:28 *5857:215 0 +365 *2333:38 *5857:171 0 +366 *2333:60 *5857:138 0.000161446 +367 *2367:11 *5857:46 0.00328684 +368 *2367:11 *5857:48 0.000129861 +369 *2372:60 *20214:B1 0.000648592 +370 *2372:60 *5857:503 0.000438265 +371 *2384:96 *5857:199 0.000108312 +372 *2389:33 *24036:A1 0.000476384 +373 *2397:10 *5857:151 0.000137356 +374 *2397:69 *5857:168 0.000966718 +375 *2397:69 *5857:178 0.000156946 +376 *2398:74 *5857:199 0.000213407 +377 *2426:89 *5857:263 0 +378 *2427:14 *5857:338 0.000416667 +379 *2427:16 *5857:328 0.000547371 +380 *2427:16 *5857:338 0.000250231 +381 *2441:8 *20431:B1 7.84134e-05 +382 *2441:8 *5857:22 0.000200402 +383 *2448:69 *20922:B1 0.000311249 +384 *2448:74 *20214:B1 0.000156827 +385 *2448:81 *20214:B1 6.3657e-05 +386 *2467:104 *20997:B1 1.55203e-05 +387 *2467:104 *5857:138 0.000678793 +388 *2467:114 *5857:138 9.92197e-05 +389 *2471:28 *5857:189 0.00021624 +390 *2486:32 *5857:69 9.71323e-06 +391 *2486:39 *5857:69 0.000561507 +392 *2486:96 *20997:B1 0.000684667 +393 *2499:28 *20962:B1 4.12977e-05 +394 *2503:31 *20997:B1 3.85975e-06 +395 *2530:35 *5857:540 0.000244269 +396 *2566:18 *20431:B1 0.000363817 +397 *2566:18 *5857:22 0.000706491 +398 *2581:30 *5857:328 0.000132904 +399 *2581:39 *5857:328 7.84457e-06 +400 *2609:24 *21063:B1 5.59365e-05 +401 *2641:19 *21038:B1 5.8518e-05 +402 *2667:42 *5857:189 0.000116422 +403 *2667:42 *5857:196 0.000171386 +404 *2675:51 *5857:267 8.82538e-05 +405 *2675:51 *5857:269 0.000381912 +406 *2675:51 *5857:286 0.00011818 +407 *2676:22 *5857:113 1.16129e-05 +408 *2679:37 *20997:B1 0.00078782 +409 *2689:18 *5857:213 0.000131689 +410 *2689:22 *5857:209 0 +411 *2689:22 *5857:213 0 +412 *2729:47 *20214:B1 0.000119035 +413 *2729:50 *5857:97 0.00103265 +414 *2738:13 *20214:B1 0.00166147 +415 *2738:13 *5857:503 0.000474388 +416 *2809:31 *5857:263 0.00197372 +417 *2814:24 *5857:151 0.000380186 +418 *2821:10 *20214:B1 0.000265634 +419 *2825:8 *5857:328 0.000131716 +420 *2868:12 *20431:B1 2.01503e-05 +421 *2870:21 *5857:105 5.25897e-05 +422 *2886:8 *20746:B1 0.000229848 +423 *2894:19 *20202:B1 1.58551e-05 +424 *2894:20 *21063:B1 0.00103691 +425 *2896:7 *5857:263 0.000507097 +426 *2900:111 *5857:467 0.000499207 +427 *2907:6 *20365:B1 0.00087044 +428 *2907:6 *5857:338 0 +429 *2907:6 *5857:368 0.000500733 +430 *2924:51 *20901:B1 0.000115993 +431 *2924:51 *5857:168 0.000299984 +432 *2924:61 *5857:179 0.00113242 +433 *2949:57 *5857:196 0.00251177 +434 *2949:60 *20901:B1 3.52414e-05 +435 *2949:60 *5857:168 0.00024579 +436 *2950:76 *5857:105 0.000837183 +437 *2950:76 *5857:113 0.000422814 +438 *2984:8 *5857:14 7.21208e-05 +439 *3021:13 *5857:138 0.000105742 +440 *3041:61 *5857:138 0.000414286 +441 *3091:10 *5857:189 6.44318e-05 +442 *3091:20 *5857:184 0.000158451 +443 *3153:102 *5857:151 0.000140767 +444 *3153:112 *5857:125 0.000618406 +445 *3212:87 *21038:B1 0.000417478 +446 *3212:87 *5857:368 0.000102003 +447 *3257:44 *20997:B1 1.2693e-05 +448 *3257:44 *5857:467 1.2693e-05 +449 *3257:51 *20997:B1 0.00054021 +450 *3263:24 *20997:B1 0.000124928 +451 *3306:29 *5857:113 0.000358914 +452 *3306:29 *5857:125 0.000795867 +453 *3487:30 *20202:B1 1.0758e-05 +454 *3500:18 *21063:B1 3.14544e-05 +455 *3547:26 *5857:368 2.87136e-06 +456 *4804:21 *20170:B1 9.71203e-06 +457 *4804:21 *5857:189 0 +458 *4813:31 *5857:168 0.000113374 +459 *4816:29 *24040:A1 3.93179e-05 +460 *4816:29 *5857:540 8.56657e-05 +461 *4816:124 *5857:125 3.80436e-07 +462 *4824:112 *19599:A0 0.000381135 +463 *4824:112 *5857:537 0.000112657 +464 *4824:116 *5857:88 0.00196111 +465 *4824:116 *5857:537 9.81953e-05 +466 *4824:120 *20962:B1 3.77568e-05 +467 *4825:12 *5857:14 0.000517234 +468 *4825:28 *20746:B1 2.65904e-05 +469 *4825:42 *5857:60 0.000141792 +470 *4825:95 *20997:B1 0.000794199 +471 *4825:112 *5857:151 0.000253916 +472 *4825:180 *20746:B1 1.14975e-05 +473 *4826:61 *20922:B1 0.000326215 +474 *4826:61 *5857:82 0.00029261 +475 *4826:67 *5857:82 0.000185702 +476 *4826:68 *5857:89 0.000316742 +477 *4826:68 *5857:93 0.00021398 +478 *4829:57 *5857:263 6.60095e-05 +479 *4830:36 *5857:60 5.52321e-05 +480 *4832:34 *5857:61 5.31997e-05 +481 *4832:77 *5857:168 0.000347027 +482 *4832:82 *5857:168 0.000349219 +483 *4832:84 *5857:199 0.000557032 +484 *4833:21 *5857:338 0.000131854 +485 *4838:310 *5857:61 5.15257e-05 +486 *4838:321 *5857:61 8.92568e-06 +487 *4841:115 *5857:14 0.000776313 +488 *4843:445 *5857:171 0.000123582 +489 *4843:445 *5857:178 0.000117018 +490 *4844:100 *20746:B1 0 +491 *4844:303 *5857:189 0.000260067 +492 *4845:107 *5857:46 0.000126893 +493 *4845:112 *5857:46 0.000204052 +494 *4845:151 *5857:46 0.000142734 +495 *4845:171 *5857:60 0.00056387 +496 *4845:229 *20214:B1 5.10781e-05 +497 *4845:250 *5857:97 0.000309405 +498 *4845:252 *5857:97 0.000353531 +499 *4845:359 *5857:263 3.93117e-06 +500 *4845:731 *5857:60 0.000539002 +501 *4866:65 *5857:213 0.000275059 +502 *4866:65 *5857:215 1.36313e-05 +503 *4866:94 *5857:179 9.95495e-05 +504 *4876:29 *5857:263 3.77568e-05 +505 *4876:49 *21038:B1 0.000216925 +506 *4877:152 *5857:215 0.000353575 +507 *4877:159 *5857:215 0.00170328 +508 *4877:170 *5857:215 0.000109859 +509 *4877:182 *5857:215 0.000384113 +510 *4893:13 *5857:19 8.93427e-05 +511 *4893:17 *5857:19 0.000280351 +512 *4893:18 *5857:14 5.26705e-05 +513 *4893:38 *5857:14 0.000132307 +514 *4893:89 *20431:B1 1.66626e-05 +515 *4903:166 *20962:B1 2.16355e-05 +516 *4904:18 *20997:B1 0.000176057 +517 *4904:20 *20997:B1 0.000137667 +518 *4906:103 *5857:125 7.08723e-06 +519 *4908:10 *21074:B1 0.000235637 +520 *4908:123 *21074:B1 0.000314631 +521 *4908:132 *21074:B1 5.46889e-05 +522 *4913:47 *5857:226 0.000269235 +523 *4919:53 *5857:328 2.27901e-06 +524 *4919:53 *5857:338 0.00012467 +525 *4938:8 *5857:168 0 +526 *4938:8 *5857:179 0.00304177 +527 *4941:8 *20997:B1 2.02035e-05 +528 *4947:18 *20235:B1 0.000284083 +529 *5000:5 *5857:60 2.08638e-05 +530 *5144:11 *5857:14 4.31539e-05 +531 *5147:9 *5857:46 0.000406808 +532 *5411:10 *5857:97 0.000185003 +533 *5592:76 *20621:B1 4.31603e-06 +534 *5851:400 *21038:B1 5.49916e-05 +535 *5853:156 *20214:B1 0.000152261 +536 *5854:466 *5857:338 6.16814e-05 +537 *5854:490 *20202:B1 2.47138e-05 +538 *5855:338 *5857:196 6.43838e-05 +539 *5855:350 *5857:226 7.61566e-05 +*RES +1 *25368:X *5857:14 45.9518 +2 *5857:14 *5857:19 19.2182 +3 *5857:19 *5857:22 17.1286 +4 *5857:22 *20431:B1 29.0437 +5 *5857:22 *5857:46 47.7109 +6 *5857:46 *5857:48 11.2609 +7 *5857:48 *5857:60 35.9353 +8 *5857:60 *5857:61 50.4165 +9 *5857:61 *5857:64 6.3326 +10 *5857:64 *5857:69 22.4591 +11 *5857:69 *20922:B1 19.4008 +12 *5857:69 *5857:82 15.9538 +13 *5857:82 *5857:88 49.3489 +14 *5857:88 *5857:89 6.6074 +15 *5857:89 *5857:93 6.92494 +16 *5857:93 *5857:97 47.412 +17 *5857:97 *5857:105 6.77399 +18 *5857:105 *5857:113 15.9954 +19 *5857:113 *5857:125 46.6864 +20 *5857:125 *5857:138 15.5169 +21 *5857:138 *5857:151 37.1942 +22 *5857:151 *21074:B1 28.496 +23 *5857:151 *5857:164 6.47828 +24 *5857:164 *5857:168 47.13 +25 *5857:168 *5857:171 6.74725 +26 *5857:171 *5857:178 16.4075 +27 *5857:178 *5857:179 54.569 +28 *5857:179 *5857:184 41.8904 +29 *5857:184 *5857:189 39.278 +30 *5857:189 *5857:196 42.6104 +31 *5857:196 *5857:199 14.637 +32 *5857:199 *20259:B1 9.24915 +33 *5857:199 *5857:209 15.2536 +34 *5857:209 *5857:213 19.1138 +35 *5857:213 *5857:215 74.0859 +36 *5857:215 *5857:226 43.4829 +37 *5857:226 *21143:B1 9.24915 +38 *5857:215 *21173:B1 15.9992 +39 *5857:209 *24036:A1 21.6824 +40 *5857:189 *5857:263 48.3226 +41 *5857:263 *5857:267 28.8876 +42 *5857:267 *5857:269 4.60562 +43 *5857:269 *5857:286 49.0914 +44 *5857:286 *20911:B1 9.24915 +45 *5857:269 *5857:298 49.1707 +46 *5857:298 *5857:300 4.5 +47 *5857:300 *20202:B1 23.1142 +48 *5857:300 *21063:B1 46.1672 +49 *5857:267 *5857:328 15.4675 +50 *5857:328 *5857:338 39.2591 +51 *5857:338 *21038:B1 43.2498 +52 *5857:338 *5857:368 35.9148 +53 *5857:368 *20365:B1 40.4595 +54 *5857:368 *20235:B1 36.1123 +55 *5857:328 *19616:B1 15.5817 +56 *5857:263 *20518:B1 9.82786 +57 *5857:184 *20170:B1 16.7931 +58 *5857:171 *20138:B1 19.1023 +59 *5857:164 *20901:B1 16.204 +60 *5857:138 *21030:B1 15.0513 +61 *5857:125 *20621:B1 17.9931 +62 *5857:113 *20943:B1 13.7491 +63 *5857:105 *5857:467 10.7577 +64 *5857:467 *20997:B1 49.4482 +65 *5857:467 *20697:B1 13.7491 +66 *5857:97 *20659:B1 13.7491 +67 *5857:89 *5857:503 4.03059 +68 *5857:503 *20214:B1 38.7937 +69 *5857:503 *20962:B1 18.6352 +70 *5857:82 *5857:537 7.54782 +71 *5857:537 *5857:540 33.5082 +72 *5857:540 *20270:B1 9.82786 +73 *5857:540 *24040:A1 15.5186 +74 *5857:537 *19599:A0 27.0265 +75 *5857:64 *20735:B1 9.24915 +76 *5857:48 *20804:B1 9.24915 +77 *5857:19 *20746:B1 26.262 +*END + +*D_NET *5858 0.203327 +*CONN +*I *20649:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20987:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21020:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20933:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20679:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20794:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20865:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20535:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20891:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20527:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20489:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20421:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20641:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20573:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20611:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20413:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20451:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20374:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20584:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20687:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20603:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20459:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20236:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20366:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20952:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20355:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21083:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19690:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20244:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19676:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19703:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19716:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19726:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20223:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19627:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19650:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19657:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19736:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19741:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20345:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20382:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20565:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20725:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25369:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20649:B1 0.000193835 +2 *20987:B1 0 +3 *21020:B1 0.000900646 +4 *20933:B1 0.00015082 +5 *20679:B1 0 +6 *20794:B1 0.0013309 +7 *20865:B1 0.000164996 +8 *20535:B1 0.000346433 +9 *20891:B1 0 +10 *20527:B1 1.39384e-05 +11 *20489:B1 0.000388113 +12 *20421:B1 0.000108461 +13 *20641:B1 0 +14 *20573:B1 0 +15 *20611:B1 0.00135241 +16 *20413:B1 0.000527389 +17 *20451:B1 0 +18 *20374:B1 0.000119725 +19 *20584:B1 0.00124954 +20 *20687:B1 5.61159e-05 +21 *20603:B1 0.000321165 +22 *20459:B1 0 +23 *20236:B1 0.000824984 +24 *20366:B1 0.000228832 +25 *20952:B1 2.18332e-05 +26 *20355:B1 0 +27 *21083:B1 0 +28 *19690:B1 0 +29 *20244:B1 0.000662243 +30 *19676:A0 0.000377498 +31 *19703:B1 0.000100071 +32 *19716:B1 0.000109635 +33 *19726:B1 0 +34 *20223:B1 9.71122e-06 +35 *19627:B1 0.00107276 +36 *19650:B1 1.90605e-05 +37 *19657:A0 0.000357809 +38 *19736:B1 1.82905e-05 +39 *19741:A0 0.000573264 +40 *20345:A0 2.3451e-05 +41 *20382:B1 0.000199439 +42 *20565:B1 0.00115728 +43 *20725:B1 0.000192558 +44 *25369:X 0 +45 *5858:686 0.00105349 +46 *5858:668 0.00174608 +47 *5858:633 0.00307698 +48 *5858:571 0.00104769 +49 *5858:494 0.00292014 +50 *5858:459 0.00200243 +51 *5858:443 0.00202514 +52 *5858:429 0.00244547 +53 *5858:415 0.00229084 +54 *5858:383 0.00201123 +55 *5858:378 0.00110297 +56 *5858:372 0.00136192 +57 *5858:350 0.00140775 +58 *5858:319 0.00162183 +59 *5858:316 0.00119651 +60 *5858:308 0.000838281 +61 *5858:287 0.000775023 +62 *5858:284 0.001453 +63 *5858:264 0.00090403 +64 *5858:260 0.00231153 +65 *5858:254 0.00272534 +66 *5858:245 0.00245546 +67 *5858:242 0.00178792 +68 *5858:240 0.000182966 +69 *5858:233 0.00118056 +70 *5858:223 0.00207941 +71 *5858:213 0.00116529 +72 *5858:204 0.00301893 +73 *5858:190 0.0024348 +74 *5858:180 0.00190724 +75 *5858:179 0.00114606 +76 *5858:166 0.00133735 +77 *5858:158 0.00213035 +78 *5858:146 0.00230125 +79 *5858:144 0.00119045 +80 *5858:142 8.21772e-05 +81 *5858:141 0.00121211 +82 *5858:132 0.00148964 +83 *5858:128 0.00149092 +84 *5858:112 0.00328221 +85 *5858:96 0.0022409 +86 *5858:80 0.00188802 +87 *5858:73 0.00160302 +88 *5858:59 0.00244976 +89 *5858:40 0.00212287 +90 *5858:28 0.000858754 +91 *5858:26 0.00161142 +92 *5858:13 0.00181872 +93 *5858:8 0.00135656 +94 *5858:7 0.0010862 +95 *5858:4 0.000241648 +96 *19627:B1 *24759:RESET_B 1.33885e-05 +97 *19627:B1 *5917:356 0 +98 *19657:A0 *5917:269 0 +99 *19716:B1 *24850:SET_B 0.000135592 +100 *20236:B1 *24241:RESET_B 0 +101 *20374:B1 *24659:RESET_B 1.41976e-05 +102 *20413:B1 *5860:56 3.4123e-05 +103 *20413:B1 *5860:636 0.000249567 +104 *20527:B1 *5904:114 6.3657e-05 +105 *20611:B1 *24477:RESET_B 0.000100397 +106 *20794:B1 *24315:RESET_B 0.000160068 +107 *20794:B1 *5866:33 3.69814e-05 +108 *5858:40 *5867:32 0.00011439 +109 *5858:40 *5867:46 6.04273e-05 +110 *5858:59 *5867:32 4.42033e-05 +111 *5858:59 *5869:718 1.91391e-05 +112 *5858:158 *5860:46 0.000364083 +113 *5858:158 *5869:308 9.49269e-05 +114 *5858:179 *24609:RESET_B 1.19726e-05 +115 *5858:213 *5917:465 0.000548654 +116 *5858:223 *5917:465 6.85807e-05 +117 *5858:223 *5917:481 0.000115539 +118 *5858:233 *24503:RESET_B 0.000324011 +119 *5858:240 *5870:126 4.07355e-05 +120 *5858:245 *5860:288 0.000838051 +121 *5858:245 *5860:298 4.12533e-05 +122 *5858:254 *5917:115 2.58757e-05 +123 *5858:254 *5917:129 0.000194657 +124 *5858:372 *5860:298 3.30938e-05 +125 *5858:415 *5860:288 0.000165495 +126 *5858:415 *5870:650 0 +127 *5858:429 *5870:232 0.000275239 +128 *5858:429 *5870:247 8.62625e-06 +129 *5858:443 *24671:RESET_B 9.68184e-05 +130 *5858:443 *5870:340 0.000157812 +131 *5858:459 *5870:340 7.92757e-06 +132 *5858:494 *5917:465 0.000135814 +133 *19650:A1 *5858:287 4.07355e-05 +134 *19650:A2 *5858:287 6.50586e-05 +135 *19657:S *19657:A0 1.79196e-05 +136 *19677:A *19676:A0 0.000271088 +137 *19677:A *5858:383 1.86178e-05 +138 *19690:B2 *5858:372 3.72668e-05 +139 *19715:B1 *5858:319 0.000522653 +140 *19716:B2 *19716:B1 4.03114e-05 +141 *19724:A2 *5858:316 0.000201774 +142 *19724:B1 *5858:316 0.00109869 +143 *19726:A1 *5858:316 4.07355e-05 +144 *19726:A2 *5858:316 0.000428134 +145 *19726:B2 *5858:308 6.08467e-05 +146 *19731:A *5858:260 0.000157893 +147 *19733:B1 *5858:284 0 +148 *19736:A1 *5858:264 8.66625e-05 +149 *19736:A2 *19736:B1 2.59855e-05 +150 *19736:A2 *19741:A0 3.21615e-05 +151 *19736:B2 *19736:B1 2.44579e-05 +152 *19736:B2 *19741:A0 3.56264e-05 +153 *19741:A1 *19741:A0 1.4091e-06 +154 *19802:A *20794:B1 0.000176042 +155 *19811:A *5858:8 4.23937e-05 +156 *19928:A3 *5858:179 0.000207266 +157 *19937:A1 *20345:A0 1.03403e-05 +158 *19937:A1 *5858:223 2.99978e-05 +159 *20005:A *20489:B1 0.000164829 +160 *20047:A *20413:B1 6.27782e-05 +161 *20221:A1 *19627:B1 5.21506e-05 +162 *20221:B2 *19627:B1 0.000148359 +163 *20222:A2 *5858:319 5.66515e-05 +164 *20222:B2 *5858:319 0.000264598 +165 *20236:B2 *20236:B1 6.50586e-05 +166 *20241:B2 *20244:B1 0.000552805 +167 *20345:S *5858:223 5.78114e-05 +168 *20366:A1 *20366:B1 0.000235968 +169 *20366:B2 *20366:B1 1.21831e-05 +170 *20371:A2 *5858:180 0.00018863 +171 *20374:B2 *20374:B1 6.08467e-05 +172 *20380:A2 *5858:190 1.19948e-05 +173 *20382:A1 *20382:B1 2.15184e-05 +174 *20382:A2 *20382:B1 6.08467e-05 +175 *20413:A1 *20413:B1 6.27718e-05 +176 *20413:B2 *20413:B1 2.30636e-05 +177 *20422:B2 *5858:128 3.77568e-05 +178 *20457:B2 *5858:233 5.23828e-05 +179 *20457:B2 *5858:415 1.07248e-05 +180 *20458:A1 *5858:415 4.95492e-05 +181 *20458:A2 *5858:415 0.000517206 +182 *20459:B2 *5858:240 6.50586e-05 +183 *20524:A1 *5858:112 3.33173e-06 +184 *20524:A2 *5858:112 5.35941e-05 +185 *20524:B1 *5858:112 0.000104151 +186 *20525:A2 *5858:112 3.16676e-05 +187 *20532:A2 *5858:59 1.02986e-05 +188 *20562:A1 *20565:B1 1.66626e-05 +189 *20565:B2 *20565:B1 3.82228e-05 +190 *20567:B *20421:B1 1.79315e-05 +191 *20569:A *5858:571 0.000154145 +192 *20573:A1 *5858:571 3.75603e-05 +193 *20584:A1 *20584:B1 9.95922e-06 +194 *20603:A1 *20603:B1 7.20593e-05 +195 *20611:B2 *20611:B1 5.88657e-05 +196 *20640:B1 *5858:571 2.3527e-05 +197 *20642:A2 *5858:571 0.000107496 +198 *20649:A2 *20649:B1 0.000348904 +199 *20649:B2 *20649:B1 0.000576261 +200 *20649:B2 *5858:7 0.000107496 +201 *20650:B2 *5858:8 0.000301401 +202 *20684:B2 *5858:494 0.000136823 +203 *20725:A1 *20725:B1 0.00011708 +204 *20725:A1 *5858:96 0.000101939 +205 *20794:A1 *20794:B1 5.76501e-05 +206 *20794:A2 *20794:B1 0.000219218 +207 *20865:B2 *20865:B1 0.000154145 +208 *20891:A1 *5858:73 0.000915116 +209 *20891:B2 *5858:80 6.36477e-05 +210 *20930:B1 *5858:40 2.05293e-05 +211 *20932:A2 *20933:B1 0.000110306 +212 *20933:A1 *20933:B1 2.13679e-05 +213 *20933:A1 *5858:668 1.30642e-05 +214 *20952:A1 *5858:459 1.92336e-05 +215 *20952:A2 *5858:459 0.000423908 +216 *20953:A1 *5858:459 0.000130555 +217 *20987:A1 *5858:686 2.85531e-06 +218 *20987:A2 *5858:686 5.04829e-06 +219 *21020:A1 *21020:B1 0.000857267 +220 *21084:B2 *5858:415 2.77564e-05 +221 *21084:B2 *5858:429 0.000408107 +222 *21201:A *19703:B1 0.000251749 +223 *21201:A *5858:245 0.000122992 +224 *21319:A *5858:245 0.000269694 +225 *21536:B1 *20535:B1 8.82956e-05 +226 *21570:A2 *5858:233 0.000360145 +227 *21615:A *20865:B1 0.000207266 +228 *21713:B1 *20244:B1 0.000584238 +229 *21716:A *5858:316 0.000566144 +230 *21721:A *5858:179 5.97576e-05 +231 *21835:A *20611:B1 0.000340928 +232 *21907:A *5858:308 0 +233 *21918:A *20611:B1 0.000113968 +234 *21931:A *19741:A0 9.38813e-05 +235 *21932:B1 *5858:254 4.40807e-05 +236 *21956:A *19676:A0 0 +237 *21956:A *5858:383 0 +238 *21977:A *20236:B1 4.76283e-05 +239 *21977:A *20366:B1 6.43474e-05 +240 *21989:A *20603:B1 8.27312e-05 +241 *22013:A *21020:B1 0.000156625 +242 *22014:A *5858:668 7.98171e-06 +243 *22026:A *5858:8 7.77309e-06 +244 *22435:B1 *20611:B1 2.137e-05 +245 *23942:S *5858:190 0.000179657 +246 *24279:D *5858:26 0.00018353 +247 *24316:D *20933:B1 0.000156946 +248 *24316:CLK *20933:B1 3.82228e-05 +249 *24358:D *20865:B1 7.98171e-06 +250 *24382:D *20794:B1 0.000107496 +251 *24471:D *5858:8 9.75356e-05 +252 *24473:D *5858:8 0.000118485 +253 *24477:D *5858:146 0.000166071 +254 *24477:CLK *20611:B1 0.000114515 +255 *24502:CLK *20603:B1 4.99006e-05 +256 *24504:D *5858:223 8.04951e-05 +257 *24516:D *20584:B1 0.000150598 +258 *24516:CLK *20584:B1 7.92757e-06 +259 *24553:D *5858:59 7.50722e-05 +260 *24555:D *5858:96 2.02305e-05 +261 *24557:D *5858:112 0.000130777 +262 *24602:D *5858:240 0.000160617 +263 *24602:D *5858:245 5.68225e-06 +264 *24603:D *5858:233 0.000119049 +265 *24633:CLK *5858:158 7.13972e-05 +266 *24654:D *20382:B1 0.000217951 +267 *24656:D *5858:190 4.49782e-05 +268 *24659:D *5858:190 0.000213725 +269 *24659:CLK *20374:B1 3.81056e-05 +270 *24659:CLK *5858:190 0.000217951 +271 *24671:D *5858:443 3.21413e-05 +272 *24672:D *5858:429 0.000247431 +273 *24672:D *5858:443 1.58551e-05 +274 *24759:D *19627:B1 0.000107496 +275 *24759:D *20223:B1 6.50727e-05 +276 *24839:D *5858:264 6.25883e-06 +277 *24839:CLK *5858:284 0.000139435 +278 *24844:D *5858:316 5.07314e-05 +279 *24850:CLK *5858:254 0.000783852 +280 *24850:CLK *5858:260 0.000163382 +281 *24856:D *5858:254 4.25818e-05 +282 *24856:CLK *5858:254 0.000202269 +283 *24866:D *5858:383 0.000211478 +284 *24883:D *5858:284 0 +285 *24888:CLK *20421:B1 9.47944e-05 +286 *24888:CLK *5858:141 0.000156955 +287 *24891:CLK *19627:B1 0.000137936 +288 *24984:A *5858:383 6.33518e-05 +289 *24989:A *5858:316 0.000200794 +290 *25267:A *19676:A0 4.36634e-05 +291 *25267:A *5858:383 5.07791e-05 +292 *25295:A *19676:A0 0.00016553 +293 *25300:A *5858:245 0.000814249 +294 *25302:A *19703:B1 0.000251749 +295 *25302:A *5858:245 6.82202e-05 +296 *476:18 *5858:494 2.65667e-05 +297 *476:45 *20584:B1 0.000156863 +298 *476:45 *5858:494 5.04829e-06 +299 *514:85 *19627:B1 3.82228e-05 +300 *514:85 *20223:B1 2.15348e-05 +301 *514:98 *5858:415 0.000179581 +302 *514:98 *5858:429 1.86178e-05 +303 *1066:22 *5858:112 7.40253e-05 +304 *1427:87 *20565:B1 0.000154145 +305 *1438:159 *20794:B1 2.26158e-05 +306 *1439:260 *5858:233 0.000364356 +307 *1448:47 *5858:494 0.000559672 +308 *1448:232 *20565:B1 0.000105996 +309 *1459:26 *5858:190 0.000183915 +310 *1461:261 *20489:B1 0.000786349 +311 *1462:25 *20489:B1 0.000446959 +312 *1462:30 *20489:B1 0.000169848 +313 *1467:8 *5858:213 1.65175e-05 +314 *1467:8 *5858:494 0.000249479 +315 *1467:22 *5858:213 4.59372e-05 +316 *1467:22 *5858:223 5.78114e-05 +317 *1467:88 *5858:204 0.00356023 +318 *1467:91 *20382:B1 0.000182131 +319 *1467:117 *20382:B1 4.14666e-05 +320 *1467:117 *5858:190 0.0001848 +321 *1467:138 *5858:144 4.57241e-06 +322 *1467:138 *5858:146 6.07646e-05 +323 *1467:138 *5858:158 0.000509669 +324 *1469:15 *5858:284 0 +325 *1470:35 *19650:B1 4.80635e-06 +326 *1470:35 *19657:A0 2.82583e-05 +327 *1470:35 *5858:287 9.82896e-06 +328 *1470:40 *19657:A0 6.50727e-05 +329 *1472:14 *5858:429 3.49153e-05 +330 *1485:126 *5858:141 2.38608e-05 +331 *1492:46 *5858:443 3.63743e-05 +332 *1501:34 *20687:B1 0.000114237 +333 *1504:16 *19716:B1 0 +334 *1511:68 *5858:372 0.0001195 +335 *1516:25 *5858:372 8.62115e-05 +336 *1516:38 *5858:372 0.00113136 +337 *1517:15 *5858:260 0.000388945 +338 *1517:15 *5858:264 0.00118575 +339 *1521:68 *5858:571 0.000175689 +340 *1550:53 *5858:26 0.00032546 +341 *1550:64 *20794:B1 0.000189453 +342 *1550:73 *5858:59 0.000502152 +343 *1560:7 *5858:668 2.16355e-05 +344 *1575:29 *5858:40 7.60935e-05 +345 *1581:21 *5858:59 4.25398e-05 +346 *1582:8 *5858:59 5.53985e-05 +347 *1597:20 *5858:128 7.51932e-05 +348 *1597:30 *5858:132 0.00032613 +349 *1597:30 *5858:141 6.33365e-05 +350 *1603:25 *20794:B1 0.000154145 +351 *1603:25 *5858:668 9.89881e-05 +352 *1624:62 *20535:B1 0.000170749 +353 *1624:62 *20865:B1 0.000128521 +354 *1624:62 *5858:59 0 +355 *1636:10 *20236:B1 7.02227e-05 +356 *1668:41 *5858:223 0.00016635 +357 *1668:41 *5858:233 5.37244e-05 +358 *1668:85 *20565:B1 4.05725e-05 +359 *1668:85 *5858:128 2.67754e-05 +360 *1671:38 *20236:B1 3.08636e-06 +361 *1671:38 *20366:B1 6.73548e-05 +362 *1671:38 *5858:459 0.000167076 +363 *1688:11 *5858:8 0.000782209 +364 *1697:14 *5858:223 0.000509389 +365 *1697:14 *5858:233 0.000476808 +366 *1698:117 *20687:B1 0.000114237 +367 *1699:42 *20565:B1 1.19721e-05 +368 *1733:45 *5858:59 6.60853e-05 +369 *1744:125 *5858:571 0.000182869 +370 *1755:21 *20382:B1 6.12686e-06 +371 *1755:98 *5858:166 0.000451196 +372 *1756:101 *5858:223 8.72065e-05 +373 *1761:8 *20489:B1 0.000789157 +374 *1764:65 *20421:B1 2.16355e-05 +375 *1764:116 *19627:B1 0.000468125 +376 *1784:13 *5858:213 4.39915e-05 +377 *1784:16 *5858:190 0 +378 *1784:18 *20374:B1 1.51325e-05 +379 *1784:18 *5858:180 0.000260922 +380 *1784:18 *5858:190 8.57328e-05 +381 *1786:21 *5858:245 0.000237038 +382 *1795:44 *20565:B1 0.000371448 +383 *1803:13 *20413:B1 0.000782595 +384 *1808:26 *5858:158 0.000179918 +385 *1808:26 *5858:166 0.000692497 +386 *1809:89 *5858:26 0.000119034 +387 *1809:89 *5858:40 0.000435471 +388 *1884:294 *20236:B1 0.000313341 +389 *1884:307 *20236:B1 0.000346421 +390 *1889:29 *5858:319 3.89332e-06 +391 *1890:17 *5858:319 0.000305515 +392 *1890:24 *19627:B1 0.000326167 +393 *1890:24 *5858:319 2.77419e-05 +394 *1896:47 *20244:B1 6.08467e-05 +395 *1930:11 *5858:429 6.50727e-05 +396 *1930:11 *5858:443 3.58044e-05 +397 *1936:12 *5858:180 0.000325688 +398 *1939:24 *20382:B1 0.000217951 +399 *1953:23 *5858:128 0.000328991 +400 *1965:14 *5858:240 5.22654e-06 +401 *1965:14 *5858:245 9.24241e-05 +402 *1965:14 *5858:415 2.09495e-05 +403 *1965:23 *5858:233 2.86439e-05 +404 *1965:23 *5858:240 0.000105641 +405 *1965:23 *5858:415 0.000367876 +406 *1985:12 *5858:112 4.29391e-05 +407 *1985:23 *5858:112 0.000399807 +408 *1985:46 *5858:96 9.35753e-06 +409 *1985:46 *5858:112 7.63096e-05 +410 *1985:56 *20527:B1 2.57986e-05 +411 *1988:45 *5858:59 1.03607e-05 +412 *1989:8 *20535:B1 6.23875e-05 +413 *1989:8 *5858:59 6.42825e-05 +414 *1989:38 *20535:B1 0.000155662 +415 *2013:19 *20611:B1 0.000152164 +416 *2021:19 *5858:571 0.000300565 +417 *2022:5 *5858:571 0.000107496 +418 *2022:19 *5858:571 4.66492e-05 +419 *2022:27 *5858:571 5.08751e-05 +420 *2022:30 *5858:142 8.77775e-05 +421 *2022:30 *5858:144 2.77564e-05 +422 *2024:17 *5858:8 7.93486e-05 +423 *2024:21 *5858:8 0.000301714 +424 *2025:8 *5858:8 7.52198e-05 +425 *2153:11 *20933:B1 5.04829e-06 +426 *2158:10 *5858:459 0.00012063 +427 *2158:12 *5858:459 2.69685e-05 +428 *2166:8 *5858:668 0.000194027 +429 *2167:33 *5858:13 0.000207266 +430 *2167:33 *5858:26 3.31733e-05 +431 *2167:33 *5858:686 9.24241e-05 +432 *2268:7 *5858:372 0.00168961 +433 *2270:51 *20244:B1 0.000700553 +434 *2274:38 *5858:141 3.39588e-06 +435 *2398:47 *20236:B1 0.0013427 +436 *2405:35 *5858:571 0.000534307 +437 *2442:8 *19627:B1 0 +438 *2442:8 *5858:319 0.000131155 +439 *2446:27 *20236:B1 6.51109e-05 +440 *2466:16 *5858:443 3.98327e-05 +441 *2468:8 *5858:8 0.000111203 +442 *2502:11 *5858:204 0.00129131 +443 *2510:28 *20374:B1 4.3648e-05 +444 *2510:28 *5858:180 0.00117848 +445 *2514:13 *5858:213 1.15403e-05 +446 *2523:38 *20794:B1 5.05252e-05 +447 *2535:8 *5858:668 0.000197639 +448 *2554:35 *5858:26 6.03237e-05 +449 *2561:22 *19627:B1 0.00022094 +450 *2592:33 *20535:B1 0.00017345 +451 *2592:33 *5858:73 6.08467e-05 +452 *2603:69 *20565:B1 1.1573e-05 +453 *2608:26 *5858:59 3.88655e-06 +454 *2614:28 *20535:B1 0.000162132 +455 *2614:35 *20535:B1 2.57465e-06 +456 *2616:13 *20865:B1 0.000686703 +457 *2616:30 *5858:96 0.000924198 +458 *2616:30 *5858:112 0.000284048 +459 *2616:32 *5858:112 6.62009e-05 +460 *2616:34 *5858:112 0.00166221 +461 *2620:47 *5858:13 0.00016757 +462 *2624:21 *5858:8 0.00042217 +463 *2627:24 *5858:142 3.49097e-05 +464 *2627:24 *5858:144 2.02226e-05 +465 *2627:24 *5858:146 2.50327e-05 +466 *2627:24 *5858:158 0.000233929 +467 *2627:24 *5858:166 0.000685834 +468 *2632:18 *5858:233 0.000200221 +469 *2632:18 *5858:429 0.000884774 +470 *2641:19 *20236:B1 8.62625e-06 +471 *2645:12 *5858:459 3.05115e-05 +472 *2655:19 *5858:26 0.000424057 +473 *2662:14 *20865:B1 1.92336e-05 +474 *2675:14 *5858:443 0.000107496 +475 *2698:13 *19716:B1 6.50727e-05 +476 *2698:13 *5858:254 0.000168309 +477 *2700:7 *5858:254 0.000252337 +478 *2700:7 *5858:350 9.43286e-05 +479 *2700:7 *5858:372 0.000287706 +480 *2700:8 *5858:233 0.00116531 +481 *2700:8 *5858:240 1.23804e-05 +482 *2700:12 *5858:223 7.85191e-05 +483 *2703:36 *5858:494 0.000345315 +484 *2753:21 *20565:B1 0 +485 *2753:21 *5858:128 0 +486 *2758:35 *20345:A0 6.08467e-05 +487 *2758:35 *5858:223 0.000154145 +488 *2767:11 *5858:26 6.21488e-06 +489 *2767:14 *5858:26 0.000131464 +490 *2767:14 *5858:40 2.57384e-05 +491 *2779:14 *5858:668 0.000292883 +492 *2784:23 *5858:204 0 +493 *2808:19 *5858:415 6.50727e-05 +494 *2808:20 *5858:233 0 +495 *2810:20 *5858:494 7.14746e-05 +496 *2810:38 *5858:179 0.000778137 +497 *2810:60 *20565:B1 6.23101e-05 +498 *2836:8 *19627:B1 0 +499 *2836:8 *5858:141 0.000672413 +500 *2851:14 *20611:B1 0.000122542 +501 *2864:71 *5858:166 3.58044e-05 +502 *2926:9 *5858:308 0.000211492 +503 *2926:9 *5858:316 0.000157998 +504 *2933:26 *5858:128 0.000711777 +505 *2933:26 *5858:132 0.000488776 +506 *2942:15 *20603:B1 0.000112149 +507 *2943:66 *5858:141 0.00114556 +508 *2943:78 *5858:96 0.000286495 +509 *2945:5 *19741:A0 0.000222149 +510 *2951:25 *5858:415 0.00191129 +511 *2951:28 *5858:415 0 +512 *2963:64 *20794:B1 5.41227e-05 +513 *2971:15 *20611:B1 9.64284e-05 +514 *2971:15 *5858:146 7.14746e-05 +515 *2971:15 *5858:571 3.31745e-05 +516 *2971:24 *5858:494 0.00124679 +517 *2971:32 *5858:494 3.3239e-06 +518 *2976:8 *5858:190 2.08587e-05 +519 *2993:29 *5858:166 0.000112892 +520 *2993:29 *5858:179 0.000156075 +521 *3002:42 *20489:B1 1.15389e-05 +522 *3002:46 *20489:B1 0.000260388 +523 *3027:7 *21020:B1 0.000107496 +524 *3059:11 *5858:40 0.000325637 +525 *3066:11 *5858:73 0.000127196 +526 *3177:77 *5858:233 1.91246e-05 +527 *3411:29 *5858:141 9.67737e-05 +528 *3529:155 *5858:40 0.000169154 +529 *3529:155 *5858:59 0.000147655 +530 *3533:95 *5858:26 0.000104496 +531 *3533:95 *5858:28 0.000193657 +532 *3533:95 *5858:40 0.000300257 +533 *3533:95 *5858:59 0.000209068 +534 *3671:67 *5858:112 0.000394865 +535 *4106:72 *5858:8 0.00100492 +536 *4106:75 *5858:13 0.00107283 +537 *4538:31 *5858:26 0.000154364 +538 *4538:31 *5858:686 3.25394e-05 +539 *4550:40 *21020:B1 1.00981e-05 +540 *4700:32 *5858:26 0.000271502 +541 *4739:36 *5858:8 0.000322335 +542 *4797:24 *5858:80 2.02249e-05 +543 *4797:45 *5858:571 0.000627109 +544 *4829:23 *5858:459 0.000164103 +545 *4832:116 *5858:213 0.000386255 +546 *4832:116 *5858:223 0 +547 *4832:118 *5858:494 0.000200116 +548 *4844:434 *5858:316 2.81262e-05 +549 *4845:415 *5858:383 0.00121581 +550 *4858:10 *5858:112 1.25395e-05 +551 *4862:7 *5858:73 2.99978e-05 +552 *4862:7 *5858:80 1.17394e-05 +553 *4863:28 *5858:240 0.000231941 +554 *4863:28 *5858:245 0 +555 *4870:116 *20421:B1 0.000221577 +556 *4870:116 *5858:141 0.000154145 +557 *4878:27 *5858:429 1.75637e-06 +558 *4878:27 *5858:443 0.000243791 +559 *4878:92 *5858:443 0.000852525 +560 *4878:92 *5858:459 0.000379086 +561 *4905:12 *5858:179 3.31882e-05 +562 *4905:14 *5858:179 0.000374423 +563 *4905:16 *5858:179 0.000229275 +564 *4917:64 *5858:459 1.15929e-05 +565 *4923:7 *5858:383 0.000596586 +566 *4926:16 *20603:B1 0.000107063 +567 *4927:103 *20489:B1 4.15661e-05 +568 *4929:8 *5858:284 0.000251524 +569 *4929:8 *5858:308 3.20069e-06 +570 *4929:17 *5858:308 9.95063e-05 +571 *4929:77 *5858:284 0.000139815 +572 *4932:71 *5858:26 0.000121884 +573 *4932:73 *5858:26 5.30056e-05 +574 *4932:78 *5858:26 5.56367e-05 +575 *4932:78 *5858:28 0.000202283 +576 *4932:78 *5858:40 0.000137663 +577 *4932:127 *5858:26 1.23955e-05 +578 *4967:5 *20794:B1 0.000113107 +579 *4994:11 *5858:73 2.79507e-05 +580 *5113:10 *5858:96 6.07942e-05 +581 *5201:8 *5858:443 3.83494e-05 +582 *5271:8 *5858:59 7.39022e-06 +583 *5335:11 *5858:80 9.49244e-05 +584 *5422:8 *5858:8 3.60268e-05 +585 *5475:19 *19657:A0 0.000208915 +586 *5681:19 *5858:112 0.000130613 +587 *5681:19 *5858:128 6.2595e-05 +588 *5748:9 *5858:264 9.21908e-05 +589 *5748:9 *5858:284 0 +590 *5748:31 *5858:284 0 +591 *5766:7 *5858:383 0.00032966 +592 *5766:8 *5858:378 0.000141677 +593 *5769:7 *5858:254 0.000425459 +594 *5805:9 *5858:284 0 +595 *5805:9 *5858:287 0.000264649 +596 *5805:9 *5858:308 0 +597 *5813:10 *19657:A0 0.000148416 +598 *5851:9 *5858:40 9.20368e-05 +599 *5851:25 *5858:40 0.000136425 +600 *5851:25 *5858:59 0.000527015 +601 *5851:42 *5858:59 0.00033516 +602 *5851:123 *5858:128 7.14367e-05 +603 *5851:123 *5858:132 0.000146477 +604 *5851:123 *5858:141 0.000130274 +605 *5851:127 *5858:141 0.000434156 +606 *5851:141 *5858:141 5.05976e-05 +607 *5851:171 *5858:166 0.00010738 +608 *5851:171 *5858:179 0.000156075 +609 *5851:229 *5858:245 0.000235826 +610 *5851:330 *5858:383 0.00289298 +611 *5851:365 *20366:B1 8.6297e-06 +612 *5851:389 *20236:B1 0.000325694 +613 *5851:502 *5858:213 3.955e-05 +614 *5854:27 *5858:73 4.78386e-05 +615 *5854:27 *5858:80 0.000137432 +616 *5854:45 *5858:80 0.000356607 +617 *5854:158 *5858:190 0.000652697 +618 *5854:160 *5858:190 0.000240604 +619 *5854:173 *20382:B1 9.67682e-05 +620 *5854:173 *5858:190 0.000113383 +621 *5854:194 *19627:B1 0.000159241 +622 *5854:194 *5858:319 0.000229514 +623 *5854:210 *5858:316 0.000113968 +624 *5856:134 *5858:80 0.000208047 +625 *5856:203 *5858:571 1.00846e-05 +626 *5856:296 *5858:415 1.67988e-05 +627 *5856:305 *5858:415 6.50727e-05 +628 *5856:309 *5858:319 0 +629 *5856:377 *5858:415 6.04131e-05 +*RES +1 *25369:X *5858:4 9.24915 +2 *5858:4 *5858:7 5.778 +3 *5858:7 *5858:8 45.8487 +4 *5858:8 *5858:13 21.3701 +5 *5858:13 *5858:26 30.6855 +6 *5858:26 *5858:28 3.90826 +7 *5858:28 *5858:40 27.0692 +8 *5858:40 *5858:59 45.7571 +9 *5858:59 *5858:73 18.3501 +10 *5858:73 *5858:80 27.7642 +11 *5858:80 *20725:B1 13.8789 +12 *5858:80 *5858:96 32.186 +13 *5858:96 *5858:112 48.961 +14 *5858:112 *20565:B1 21.7502 +15 *5858:112 *5858:128 18.342 +16 *5858:128 *5858:132 13.8065 +17 *5858:132 *5858:141 48.3464 +18 *5858:141 *5858:142 1.832 +19 *5858:142 *5858:144 1.00149 +20 *5858:144 *5858:146 4.32351 +21 *5858:146 *5858:158 32.5651 +22 *5858:158 *5858:166 30.9757 +23 *5858:166 *5858:179 38.8988 +24 *5858:179 *5858:180 22.387 +25 *5858:180 *5858:190 45.2669 +26 *5858:190 *20382:B1 21.916 +27 *5858:190 *5858:204 11.7593 +28 *5858:204 *5858:213 22.3713 +29 *5858:213 *20345:A0 9.97254 +30 *5858:213 *5858:223 28.0967 +31 *5858:223 *5858:233 45.0621 +32 *5858:233 *5858:240 11.8577 +33 *5858:240 *5858:242 4.5 +34 *5858:242 *5858:245 39.5522 +35 *5858:245 *5858:254 32.2876 +36 *5858:254 *5858:260 23.1004 +37 *5858:260 *5858:264 17.9793 +38 *5858:264 *19741:A0 24.3583 +39 *5858:264 *19736:B1 14.7506 +40 *5858:260 *5858:284 28.7556 +41 *5858:284 *5858:287 15.7609 +42 *5858:287 *19657:A0 29.6754 +43 *5858:287 *19650:B1 9.82786 +44 *5858:284 *5858:308 10.7955 +45 *5858:308 *5858:316 31.1933 +46 *5858:316 *5858:319 25.0183 +47 *5858:319 *19627:B1 48.3505 +48 *5858:319 *20223:B1 9.97254 +49 *5858:308 *19726:B1 9.24915 +50 *5858:254 *19716:B1 21.635 +51 *5858:245 *5858:350 1.278 +52 *5858:350 *19703:B1 22.9879 +53 *5858:350 *5858:372 48.4057 +54 *5858:372 *5858:378 12.2412 +55 *5858:378 *5858:383 45.8748 +56 *5858:383 *19676:A0 22.812 +57 *5858:383 *20244:B1 36.1021 +58 *5858:372 *19690:B1 9.24915 +59 *5858:242 *5858:415 42.8237 +60 *5858:415 *21083:B1 13.7491 +61 *5858:415 *5858:429 33.5822 +62 *5858:429 *20355:B1 9.24915 +63 *5858:429 *5858:443 34.6264 +64 *5858:443 *20952:B1 9.82786 +65 *5858:443 *5858:459 34.2474 +66 *5858:459 *20366:B1 20.1489 +67 *5858:459 *20236:B1 43.3324 +68 *5858:240 *20459:B1 9.24915 +69 *5858:223 *20603:B1 29.3233 +70 *5858:204 *5858:494 48.3842 +71 *5858:494 *20687:B1 20.4964 +72 *5858:494 *20584:B1 27.527 +73 *5858:180 *20374:B1 16.9543 +74 *5858:166 *20451:B1 13.7491 +75 *5858:158 *20413:B1 28.5305 +76 *5858:146 *20611:B1 41.6722 +77 *5858:144 *5858:571 44.115 +78 *5858:571 *20573:B1 9.24915 +79 *5858:142 *20641:B1 13.7491 +80 *5858:132 *20421:B1 13.3484 +81 *5858:128 *20489:B1 41.2809 +82 *5858:96 *20527:B1 14.4725 +83 *5858:73 *20891:B1 9.24915 +84 *5858:59 *20535:B1 29.6754 +85 *5858:40 *20865:B1 21.6824 +86 *5858:28 *5858:633 4.5 +87 *5858:633 *20794:B1 44.5695 +88 *5858:633 *5858:668 41.8629 +89 *5858:668 *20679:B1 9.24915 +90 *5858:26 *20933:B1 17.8002 +91 *5858:13 *5858:686 8.18871 +92 *5858:686 *21020:B1 25.7185 +93 *5858:686 *20987:B1 9.24915 +94 *5858:4 *20649:B1 17.2065 +*END + +*D_NET *5859 0.202291 +*CONN +*I *20432:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20883:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20633:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20443:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20709:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20963:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20944:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20698:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20998:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21075:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20902:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20622:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21031:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20139:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21064:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19617:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20203:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21039:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20912:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20519:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24044:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20260:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21174:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19601:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20171:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20660:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20215:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21094:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20671:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20546:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25370:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20432:B1 2.71174e-05 +2 *20883:B1 3.6393e-05 +3 *20633:B1 0 +4 *20443:B1 1.7152e-05 +5 *20709:B1 0.000704121 +6 *20963:B1 0.00026827 +7 *20944:B1 0.000179501 +8 *20698:B1 4.12039e-05 +9 *20998:B1 0.000136594 +10 *21075:B1 0.000885094 +11 *20902:B1 9.03185e-05 +12 *20622:B1 5.75689e-05 +13 *21031:B1 0 +14 *20139:B1 0 +15 *21064:B1 0.00132834 +16 *19617:B1 0.000489328 +17 *20203:B1 0 +18 *21039:B1 0 +19 *20912:B1 0.000676915 +20 *20519:B1 4.25312e-05 +21 *24044:A1 1.81726e-05 +22 *20260:B1 0 +23 *21174:B1 0.000370716 +24 *19601:B1 0.000319389 +25 *20171:B1 9.41062e-05 +26 *20660:B1 0 +27 *20215:B1 1.5751e-05 +28 *21094:B1 0 +29 *20671:B1 0 +30 *20546:B1 0.000482553 +31 *25370:X 3.12344e-05 +32 *5859:497 0.000875083 +33 *5859:408 0.00241335 +34 *5859:374 0.000520368 +35 *5859:354 0.000929676 +36 *5859:352 0.00119871 +37 *5859:343 0.00174416 +38 *5859:294 0.0031419 +39 *5859:292 0.00252312 +40 *5859:289 0.00080217 +41 *5859:288 0.00138415 +42 *5859:277 0.00332225 +43 *5859:262 0.00313484 +44 *5859:258 0.00277322 +45 *5859:251 0.00528016 +46 *5859:233 0.00258652 +47 *5859:230 0.00393095 +48 *5859:215 0.00193112 +49 *5859:210 0.00222218 +50 *5859:209 0.00235269 +51 *5859:205 0.00164657 +52 *5859:197 0.00248708 +53 *5859:183 0.00157511 +54 *5859:181 0.00172518 +55 *5859:172 0.00133196 +56 *5859:167 0.00176701 +57 *5859:155 0.00243428 +58 *5859:151 0.00176091 +59 *5859:132 0.00288279 +60 *5859:115 0.000866242 +61 *5859:96 0.00325994 +62 *5859:95 0.000923431 +63 *5859:84 0.00170304 +64 *5859:71 0.00155132 +65 *5859:47 0.00129515 +66 *5859:36 0.00150568 +67 *5859:28 0.00145059 +68 *5859:20 0.00211559 +69 *5859:14 0.00131366 +70 *5859:9 0.00343795 +71 *5859:5 0.00295383 +72 *19601:B1 *24196:RESET_B 5.82695e-05 +73 *19601:B1 *24904:RESET_B 3.63743e-05 +74 *19601:B1 *5871:604 8.62784e-05 +75 *19601:B1 *5959:21 6.08467e-05 +76 *20998:B1 *24274:RESET_B 0.000135038 +77 *21075:B1 *24275:RESET_B 0.000247443 +78 *5859:14 *24622:RESET_B 8.46199e-05 +79 *5859:28 *24542:SET_B 0.000269694 +80 *5859:71 *24545:RESET_B 0.000200168 +81 *5859:155 *5862:475 6.08467e-05 +82 *5859:155 *5862:491 0.000337948 +83 *5859:167 *24312:RESET_B 1.91391e-05 +84 *5859:167 *5861:363 1.449e-05 +85 *5859:167 *5862:384 9.79705e-05 +86 *5859:167 *5862:390 0.000688317 +87 *5859:167 *5862:399 7.4749e-05 +88 *5859:167 *5862:411 0.000559464 +89 *5859:183 *24268:SET_B 5.34415e-05 +90 *5859:183 *5864:616 0.000213408 +91 *5859:197 *24275:RESET_B 2.5386e-05 +92 *5859:210 *6041:DIODE 0.000214373 +93 *5859:215 *5862:101 0.00065563 +94 *5859:258 *5870:713 0 +95 *5859:277 *22519:A 2.65667e-05 +96 *5859:277 *22530:B 0.000253916 +97 *5859:288 *24369:SET_B 0.000404547 +98 *5859:288 *6028:78 0.000400272 +99 *5859:289 *5949:12 1.41307e-05 +100 *5859:289 *6028:60 3.81675e-05 +101 *5859:292 *5956:32 0.000178186 +102 *5859:294 *20873:B1 0.000217572 +103 *5859:294 *5871:598 0.000163086 +104 *5859:294 *5871:600 0.000121726 +105 *5859:294 *5871:602 3.77804e-05 +106 *5859:294 *5871:604 0.000170498 +107 *5859:294 *5871:839 0.000240738 +108 *5859:294 *5871:845 0.00031264 +109 *5859:294 *5956:13 0.000264583 +110 *5859:294 *5956:25 0.000190057 +111 *5859:294 *5956:32 0.00424472 +112 *5859:343 *5864:11 7.35524e-05 +113 *5859:352 *24332:RESET_B 2.16355e-05 +114 *5859:352 *5870:460 0.00033801 +115 *5859:352 *5870:474 9.30265e-05 +116 *6179:DIODE *5859:277 5.26446e-05 +117 *19564:S *5859:205 1.66626e-05 +118 *19601:A2 *19601:B1 1.65872e-05 +119 *19611:B1 *5859:277 0.000180264 +120 *19617:B2 *19617:B1 0.000115934 +121 *19896:A *5859:28 1.61262e-05 +122 *20162:B *21064:B1 6.40485e-05 +123 *20171:A1 *20171:B1 0.000284037 +124 *20171:A1 *5859:233 0.000106568 +125 *20171:B2 *20171:B1 5.28741e-05 +126 *20317:B1 *5859:289 7.05107e-05 +127 *20403:B1 *5859:9 6.85573e-05 +128 *20432:A2 *20432:B1 2.57986e-05 +129 *20443:A1 *5859:497 1.53472e-05 +130 *20443:A2 *5859:497 0.000238275 +131 *20443:B2 *20709:B1 0.000415919 +132 *20519:A1 *5859:262 0.000137691 +133 *20519:A2 *5859:262 0.000100273 +134 *20519:B2 *20519:B1 2.68192e-05 +135 *20543:A1 *5859:28 0.00017528 +136 *20546:B2 *20546:B1 3.82228e-05 +137 *20622:A2 *20622:B1 7.29797e-05 +138 *20622:A2 *5859:210 1.31166e-05 +139 *20622:A2 *5859:215 2.77625e-06 +140 *20622:B2 *20622:B1 3.58602e-05 +141 *20622:B2 *5859:215 1.41976e-05 +142 *20633:A1 *5859:84 5.04829e-06 +143 *20633:A2 *5859:71 5.83629e-06 +144 *20633:A2 *5859:84 4.80635e-06 +145 *20633:B2 *5859:71 6.08467e-05 +146 *20660:A2 *5859:181 0.000444819 +147 *20660:B2 *5859:172 3.82228e-05 +148 *20660:B2 *5859:181 3.95516e-05 +149 *20671:A1 *5859:47 0.000196306 +150 *20671:A2 *5859:47 6.08467e-05 +151 *20692:A2 *20698:B1 5.20546e-06 +152 *20709:B2 *20709:B1 8.51541e-05 +153 *20744:B1 *5859:14 0 +154 *20829:A2 *5859:277 6.50727e-05 +155 *20829:A3 *5859:277 0.000248904 +156 *20829:A3 *5859:288 1.61631e-05 +157 *20829:B2 *5859:288 0.000699447 +158 *20858:B2 *5859:288 9.82896e-06 +159 *20883:B2 *20883:B1 6.50727e-05 +160 *20884:A1 *5859:28 1.49697e-05 +161 *20902:B2 *20902:B1 1.37514e-05 +162 *20909:B1 *20912:B1 0.000289138 +163 *20909:B1 *5859:354 0.00034056 +164 *20944:A1 *20944:B1 6.53173e-05 +165 *20944:B2 *20944:B1 8.67924e-06 +166 *20959:A2 *5859:155 9.21724e-05 +167 *20959:B2 *5859:155 0.000158451 +168 *20960:A2 *5859:155 3.34025e-05 +169 *20963:A1 *20963:B1 6.08467e-05 +170 *20963:A2 *20963:B1 5.07331e-05 +171 *20996:B2 *5859:205 0.000118485 +172 *20998:A1 *20998:B1 0 +173 *21037:A1 *5859:352 0.000104062 +174 *21072:A1 *5859:210 1.00981e-05 +175 *21072:A2 *5859:210 0.000262339 +176 *21072:B2 *5859:210 1.71698e-05 +177 *21073:A1 *5859:210 6.13232e-05 +178 *21073:A2 *5859:210 0.000477351 +179 *21073:B2 *5859:210 4.89898e-06 +180 *21075:A1 *21075:B1 0.000344968 +181 *21085:A *5859:115 0.000251669 +182 *21094:B2 *5859:115 6.08467e-05 +183 *21174:B2 *21174:B1 6.27718e-05 +184 *21290:A1 *5859:132 5.76913e-05 +185 *21358:A *5859:258 4.79948e-05 +186 *21401:A *5859:155 1.54577e-05 +187 *21524:B1 *20912:B1 0.000572601 +188 *21539:A *5859:258 2.69065e-05 +189 *21596:A *5859:352 2.91565e-05 +190 *21652:B2 *5859:258 0.000448255 +191 *21689:B1 *5859:258 6.42324e-05 +192 *21690:A1 *21064:B1 9.50524e-05 +193 *21712:B2 *20709:B1 7.37789e-05 +194 *21737:C1 *20912:B1 0.000286761 +195 *21743:B1 *20912:B1 0.00114709 +196 *21743:C1 *20912:B1 1.75569e-05 +197 *21881:B1 *5859:251 0.00457453 +198 *21910:A *20944:B1 6.08467e-05 +199 *21916:A *5859:215 3.12828e-05 +200 *21916:A *5859:230 0.000193915 +201 *22027:A *5859:233 0.000182381 +202 *22035:A1 *5859:115 0.000873934 +203 *22035:A2 *5859:115 8.61838e-05 +204 *22212:A *5859:230 4.65431e-05 +205 *24044:A0 *24044:A1 2.16355e-05 +206 *24044:A0 *5859:289 5.04829e-06 +207 *24044:A0 *5859:292 0.00018731 +208 *24044:A0 *5859:294 2.21587e-05 +209 *24226:D *5859:210 0.000294093 +210 *24227:D *5859:210 0.000459915 +211 *24273:CLK *5859:197 3.31745e-05 +212 *24308:D *20944:B1 4.2372e-05 +213 *24336:D *20902:B1 0 +214 *24349:D *5859:20 0.000115746 +215 *24352:CLK *5859:14 0.000255957 +216 *24352:CLK *5859:20 0.000551682 +217 *24368:CLK *5859:288 0 +218 *24430:D *20709:B1 0.000137297 +219 *24431:D *5859:497 0.000203595 +220 *24456:D *20546:B1 0.000122083 +221 *24456:D *5859:47 1.19721e-05 +222 *24482:D *5859:71 0.000304763 +223 *24482:D *5859:84 5.51483e-06 +224 *24490:D *5859:210 0.000306974 +225 *24545:D *5859:28 0 +226 *24545:D *5859:36 0 +227 *24545:D *5859:47 2.59398e-05 +228 *24545:CLK *5859:36 3.82228e-05 +229 *24545:CLK *5859:47 0.000250035 +230 *24560:D *20519:B1 1.72559e-06 +231 *24616:CLK *5859:95 0.000737658 +232 *24622:CLK *5859:9 0.000172954 +233 *24639:D *5859:9 3.28898e-06 +234 *24772:D *5859:343 0.000207266 +235 *24811:CLK *5859:408 1.80042e-05 +236 *24896:D *19617:B1 0.000111722 +237 *24904:D *19601:B1 6.50727e-05 +238 *477:152 *5859:151 0.000377684 +239 *477:177 *5859:167 0 +240 *480:26 *5859:172 0.000446846 +241 *480:26 *5859:181 9.32983e-05 +242 *482:72 *5859:172 8.77154e-06 +243 *489:54 *5859:95 0.00168089 +244 *494:44 *5859:258 0.000139119 +245 *500:48 *5859:183 0.000262232 +246 *500:48 *5859:197 0.000202136 +247 *500:48 *5859:205 0.00120245 +248 *504:16 *5859:230 0.000557019 +249 *516:25 *5859:352 0.000192174 +250 *545:23 *5859:251 0.000231479 +251 *545:23 *5859:258 0.000287335 +252 *719:16 *5859:115 0.000264031 +253 *1439:104 *5859:205 1.58372e-05 +254 *1439:424 *20709:B1 4.69493e-05 +255 *1439:424 *5859:497 0.00010203 +256 *1450:132 *5859:408 0.00114457 +257 *1497:161 *5859:352 0.000697453 +258 *1555:58 *5859:181 0.00178758 +259 *1557:34 *5859:258 0 +260 *1573:71 *5859:294 0 +261 *1573:101 *5859:230 0.000560422 +262 *1607:14 *5859:20 0 +263 *1607:14 *5859:28 0 +264 *1607:17 *5859:28 0.000100596 +265 *1627:149 *5859:115 0.000968658 +266 *1637:25 *20912:B1 4.01529e-05 +267 *1649:67 *21064:B1 0.000323063 +268 *1652:28 *5859:215 4.04447e-05 +269 *1652:34 *5859:209 8.63905e-05 +270 *1652:41 *5859:205 0.000513575 +271 *1652:41 *5859:209 0.000231582 +272 *1656:37 *20709:B1 1.93695e-05 +273 *1663:15 *21064:B1 0.00116505 +274 *1669:130 *5859:352 4.16066e-05 +275 *1669:130 *5859:374 2.57465e-06 +276 *1671:30 *5859:354 3.88655e-06 +277 *1688:75 *5859:167 0.00113462 +278 *1695:42 *20912:B1 0 +279 *1725:218 *5859:28 7.05745e-05 +280 *1725:218 *5859:36 5.52161e-05 +281 *1731:13 *5859:352 8.01687e-05 +282 *1741:6 *20546:B1 0.000794333 +283 *1741:93 *20519:B1 2.65831e-05 +284 *1742:86 *20963:B1 6.51527e-05 +285 *1742:93 *5859:132 0.00171975 +286 *1746:8 *20912:B1 3.63593e-05 +287 *1780:26 *20912:B1 0.000284042 +288 *1780:26 *5859:354 0.000143831 +289 *1784:96 *5859:115 8.62625e-06 +290 *1784:111 *5859:96 0.000302704 +291 *1784:111 *5859:115 4.79091e-05 +292 *1784:124 *5859:96 0.000619353 +293 *1784:131 *5859:28 0.000417347 +294 *1790:191 *5859:84 0.000233459 +295 *1800:183 *5859:84 0.000534007 +296 *1886:34 *5859:151 0.000107063 +297 *1958:31 *5859:95 0.00032768 +298 *2027:37 *5859:181 0.000341535 +299 *2030:55 *5859:47 0.000158357 +300 *2043:29 *20709:B1 7.06457e-06 +301 *2062:42 *5859:294 0 +302 *2063:46 *5859:277 4.33819e-05 +303 *2102:19 *5859:288 0.000123247 +304 *2136:39 *5859:20 0.000252929 +305 *2136:41 *5859:20 2.24484e-05 +306 *2136:41 *5859:28 0.000177772 +307 *2161:25 *5859:155 5.47093e-05 +308 *2170:58 *5859:197 0.000107496 +309 *2178:14 *5859:215 5.49119e-05 +310 *2178:14 *5859:230 3.5534e-06 +311 *2178:40 *5859:215 0.000824697 +312 *2245:27 *5859:172 0.000254541 +313 *2256:27 *5859:230 2.61955e-05 +314 *2256:37 *5859:230 0 +315 *2257:47 *20963:B1 0.000156955 +316 *2266:24 *5859:151 0.000826636 +317 *2267:63 *21075:B1 8.78407e-06 +318 *2294:44 *5859:132 0.000946023 +319 *2295:59 *21075:B1 0.000527751 +320 *2368:19 *21064:B1 0.000358895 +321 *2368:19 *5859:258 0.0015164 +322 *2379:17 *5859:251 0.000108986 +323 *2393:22 *5859:258 0.000235753 +324 *2393:22 *5859:262 0.000104852 +325 *2393:22 *5859:277 1.41761e-05 +326 *2401:22 *19617:B1 0.000477066 +327 *2401:22 *5859:374 3.63738e-05 +328 *2404:8 *5859:96 0.000917925 +329 *2404:8 *5859:115 6.09999e-05 +330 *2415:19 *5859:251 0.000294644 +331 *2431:23 *21064:B1 0.000105538 +332 *2433:147 *24044:A1 4.66492e-05 +333 *2433:147 *5859:289 0.00184862 +334 *2433:151 *5859:289 9.91379e-05 +335 *2440:42 *5859:277 0.000234144 +336 *2456:30 *5859:277 0.000106696 +337 *2465:10 *5859:151 0.000374273 +338 *2471:17 *5859:343 0.000893737 +339 *2479:8 *5859:197 6.36477e-05 +340 *2486:84 *5859:181 0.000354229 +341 *2486:84 *5859:183 0.000503366 +342 *2486:96 *5859:197 0.00014458 +343 *2490:18 *5859:151 0.000110473 +344 *2499:39 *5859:151 0.000968419 +345 *2503:9 *20883:B1 1.63804e-05 +346 *2520:87 *21075:B1 0.00142079 +347 *2528:48 *19617:B1 0.000200794 +348 *2542:8 *5859:115 0.00013471 +349 *2577:48 *21064:B1 0.000135941 +350 *2585:8 *19601:B1 0.000273501 +351 *2585:8 *5859:294 0.00201123 +352 *2589:12 *5859:84 0.00084377 +353 *2609:24 *5859:343 7.00115e-05 +354 *2610:42 *5859:155 0.000340742 +355 *2667:42 *5859:288 0.000124212 +356 *2667:52 *5859:343 0.00110502 +357 *2675:51 *5859:352 0.000994505 +358 *2694:36 *21064:B1 7.42296e-05 +359 *2729:38 *5859:115 1.49927e-05 +360 *2729:38 *5859:132 0.000673272 +361 *2729:54 *5859:155 0.000491373 +362 *2729:121 *5859:251 0.000208638 +363 *2738:13 *5859:132 0.00231626 +364 *2815:12 *5859:352 0.000942597 +365 *2815:12 *5859:354 7.03494e-05 +366 *2826:19 *20709:B1 0.00036929 +367 *2870:21 *5859:167 2.29557e-06 +368 *2871:8 *5859:115 0.000127707 +369 *2894:8 *19617:B1 0.000180031 +370 *2894:8 *5859:352 6.25671e-05 +371 *2924:8 *5859:167 2.77564e-05 +372 *2924:8 *5859:172 0.000380469 +373 *2924:51 *5859:197 1.68281e-05 +374 *2924:57 *5859:209 0.00111629 +375 *2930:17 *5859:230 2.33103e-06 +376 *2949:10 *5859:258 0.000538027 +377 *2949:10 *5859:262 0.00051464 +378 *2949:60 *5859:408 0.000385694 +379 *2975:33 *19617:B1 9.77336e-05 +380 *2981:24 *5859:352 5.49916e-05 +381 *2984:8 *5859:20 0 +382 *2985:8 *5859:28 0.000314045 +383 *2985:14 *5859:84 2.5323e-05 +384 *2990:19 *5859:230 2.87757e-05 +385 *3041:10 *5859:230 4.28856e-07 +386 *3041:10 *5859:408 4.58102e-06 +387 *3045:12 *5859:84 0.00108436 +388 *3045:12 *5859:95 0.000202183 +389 *3046:14 *5859:84 0.000731823 +390 *3046:14 *5859:95 0.000205766 +391 *3047:11 *5859:47 6.46499e-05 +392 *3050:13 *21075:B1 6.08467e-05 +393 *3070:7 *20883:B1 0.000114594 +394 *3070:8 *5859:28 0.000148144 +395 *3076:46 *20171:B1 7.11521e-05 +396 *3076:46 *5859:233 0.000279825 +397 *3263:24 *5859:181 0.000337031 +398 *3263:24 *5859:183 0.00149351 +399 *3263:24 *5859:197 0.000876297 +400 *3263:24 *5859:205 0.000147294 +401 *3305:8 *5859:132 0.000942612 +402 *3468:7 *5859:277 1.5613e-05 +403 *3485:19 *5859:258 5.94432e-05 +404 *3485:94 *21064:B1 0.000140617 +405 *4805:47 *21075:B1 5.51483e-06 +406 *4805:91 *5859:210 6.19881e-05 +407 *4813:20 *5859:209 5.61454e-05 +408 *4813:81 *5859:205 0.000736839 +409 *4813:81 *5859:209 0.000211029 +410 *4816:96 *5859:167 0.000972626 +411 *4816:96 *5859:172 0.000384066 +412 *4820:78 *5859:155 0.000605448 +413 *4820:78 *5859:167 0.000588856 +414 *4822:56 *5859:84 0.000193706 +415 *4824:122 *5859:167 8.63353e-06 +416 *4824:180 *5859:251 0.000300613 +417 *4827:89 *5859:183 8.98169e-05 +418 *4827:89 *5859:197 0.000463921 +419 *4827:89 *5859:205 0.000326638 +420 *4830:71 *5859:251 2.63027e-05 +421 *4832:84 *5859:408 0.000385694 +422 *4835:49 *5859:132 0.000738316 +423 *4840:134 *5859:84 0.000848866 +424 *4841:112 *5859:5 1.57614e-05 +425 *4841:112 *5859:9 0.000529134 +426 *4843:407 *5859:197 0.000112999 +427 *4844:183 *5859:155 0.000598321 +428 *4844:183 *5859:167 0.000592453 +429 *4844:354 *5859:258 0.000149291 +430 *4844:354 *5859:262 0.000108471 +431 *4844:356 *20519:B1 1.1573e-05 +432 *4844:356 *5859:262 2.10124e-05 +433 *4844:356 *5859:277 6.89596e-05 +434 *4845:250 *5859:155 0.000440512 +435 *4845:359 *5859:277 3.72251e-05 +436 *4866:121 *5859:215 6.38769e-05 +437 *4866:121 *5859:230 0.000476049 +438 *4887:64 *5859:9 0.000286151 +439 *4888:94 *20546:B1 5.01375e-05 +440 *4888:96 *20546:B1 0.000106223 +441 *4888:98 *20546:B1 1.90378e-05 +442 *4893:17 *5859:14 6.08467e-05 +443 *4893:18 *5859:14 0.000129172 +444 *4893:38 *5859:14 2.95757e-05 +445 *4908:19 *5859:215 0.000126259 +446 *4910:83 *5859:408 4.89035e-05 +447 *4918:49 *5859:352 0 +448 *4918:49 *5859:354 5.43108e-05 +449 *4918:60 *5859:352 0 +450 *4918:75 *5859:352 0 +451 *4938:8 *5859:209 0.0011618 +452 *4956:27 *5859:115 0.000308744 +453 *4986:11 *20883:B1 6.64392e-05 +454 *4986:11 *5859:20 2.47808e-05 +455 *5090:13 *20215:B1 1.09551e-05 +456 *5090:13 *5859:151 0.000247443 +457 *5090:21 *20215:B1 1.09551e-05 +458 *5090:21 *5859:132 0.00065509 +459 *5090:21 *5859:151 2.15184e-05 +460 *5091:22 *5859:151 0.00020502 +461 *5106:8 *5859:28 3.31882e-05 +462 *5106:8 *5859:71 8.58902e-06 +463 *5144:11 *5859:14 0.000171273 +464 *5172:10 *5859:258 0.000118991 +465 *5220:7 *5859:95 2.10441e-05 +466 *5241:10 *19617:B1 1.86178e-05 +467 *5241:10 *5859:352 9.32927e-05 +468 *5241:10 *5859:374 3.92776e-05 +469 *5381:12 *5859:408 3.86207e-06 +470 *5419:9 *5859:155 7.11474e-06 +471 *5541:8 *5859:294 0.000169932 +472 *5674:45 *21064:B1 9.98361e-05 +473 *5674:45 *5859:258 0.00168806 +474 *5785:52 *5859:294 0.00105748 +475 *5793:22 *5859:294 0.000243088 +476 *5793:34 *5859:294 0.000165276 +477 *5794:17 *21174:B1 0.00118384 +478 *5853:171 *5859:151 0.000530456 +479 *5853:227 *20963:B1 2.20585e-05 +480 *5853:421 *5859:343 0.000873299 +481 *5857:19 *5859:14 7.92757e-06 +482 *5857:105 *5859:167 1.58308e-05 +483 *5857:298 *5859:343 0.000107496 +*RES +1 *25370:X *5859:5 10.2378 +2 *5859:5 *5859:9 44.5612 +3 *5859:9 *5859:14 18.415 +4 *5859:14 *5859:20 21.9839 +5 *5859:20 *5859:28 47.1678 +6 *5859:28 *5859:36 16.61 +7 *5859:36 *5859:47 17.0721 +8 *5859:47 *20546:B1 34.3954 +9 *5859:47 *20671:B1 9.24915 +10 *5859:36 *5859:71 10.9233 +11 *5859:71 *5859:84 49.7469 +12 *5859:84 *5859:95 33.7903 +13 *5859:95 *5859:96 17.404 +14 *5859:96 *5859:115 41.3884 +15 *5859:115 *21094:B1 9.24915 +16 *5859:96 *5859:132 43.1644 +17 *5859:132 *20215:B1 9.82786 +18 *5859:132 *5859:151 49.8599 +19 *5859:151 *5859:155 35.3234 +20 *5859:155 *5859:167 38.8467 +21 *5859:167 *5859:172 17.3057 +22 *5859:172 *20660:B1 9.24915 +23 *5859:172 *5859:181 37.5502 +24 *5859:181 *5859:183 28.4081 +25 *5859:183 *5859:197 41.9709 +26 *5859:197 *5859:205 42.1791 +27 *5859:205 *5859:209 34.1539 +28 *5859:209 *5859:210 39.5456 +29 *5859:210 *5859:215 24.496 +30 *5859:215 *5859:230 49.5549 +31 *5859:230 *5859:233 9.86164 +32 *5859:233 *20171:B1 17.9001 +33 *5859:233 *5859:251 11.4659 +34 *5859:251 *5859:258 33.634 +35 *5859:258 *5859:262 15.23 +36 *5859:262 *5859:277 49.2466 +37 *5859:277 *5859:288 28.8158 +38 *5859:288 *5859:289 23.4621 +39 *5859:289 *5859:292 7.993 +40 *5859:292 *5859:294 108.967 +41 *5859:294 *19601:B1 23.6481 +42 *5859:294 *21174:B1 27.2284 +43 *5859:292 *20260:B1 13.7491 +44 *5859:289 *24044:A1 9.97254 +45 *5859:262 *20519:B1 15.4299 +46 *5859:258 *5859:343 46.3753 +47 *5859:343 *5859:352 45.5668 +48 *5859:352 *5859:354 8.89128 +49 *5859:354 *20912:B1 29.1726 +50 *5859:354 *21039:B1 13.7491 +51 *5859:343 *5859:374 1.00149 +52 *5859:374 *20203:B1 13.7491 +53 *5859:374 *19617:B1 29.3251 +54 *5859:251 *21064:B1 24.2813 +55 *5859:230 *5859:408 49.1207 +56 *5859:408 *20139:B1 9.24915 +57 *5859:215 *21031:B1 13.7491 +58 *5859:210 *20622:B1 11.3711 +59 *5859:205 *20902:B1 16.1605 +60 *5859:197 *21075:B1 39.6467 +61 *5859:183 *20998:B1 16.1605 +62 *5859:181 *20698:B1 14.4725 +63 *5859:167 *20944:B1 18.3789 +64 *5859:155 *20963:B1 27.8552 +65 *5859:84 *5859:497 10.6489 +66 *5859:497 *20709:B1 37.0484 +67 *5859:497 *20443:B1 9.97254 +68 *5859:71 *20633:B1 9.24915 +69 *5859:20 *20883:B1 15.5817 +70 *5859:14 *20432:B1 14.4725 +*END + +*D_NET *5860 0.206274 +*CONN +*I *20422:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20528:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20566:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20490:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19635:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20642:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20612:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20414:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20688:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20585:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20237:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21040:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19672:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20913:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *23931:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20520:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19618:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20204:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21065:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20953:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20356:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21084:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20604:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20460:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19704:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19691:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20245:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20367:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19678:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20383:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19628:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20375:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19637:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19727:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19651:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19655:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19743:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *19737:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19717:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20224:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20347:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20452:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20574:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25371:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20422:B1 0.000227377 +2 *20528:B1 2.18282e-05 +3 *20566:B1 0.000635513 +4 *20490:B1 0.000869605 +5 *19635:B1 0 +6 *20642:B1 2.25715e-05 +7 *20612:B1 0 +8 *20414:B1 4.18797e-05 +9 *20688:B1 1.2055e-05 +10 *20585:B1 0.000384903 +11 *20237:B1 0.00151985 +12 *21040:B1 0 +13 *19672:A0 0 +14 *20913:B1 0 +15 *23931:B1 0.0024106 +16 *20520:B1 1.9945e-05 +17 *19618:B1 2.3034e-05 +18 *20204:B1 0 +19 *21065:B1 0.00025664 +20 *20953:B1 1.31028e-05 +21 *20356:B1 4.02777e-05 +22 *21084:B1 0 +23 *20604:B1 1.70707e-05 +24 *20460:B1 0.000265518 +25 *19704:B1 0 +26 *19691:B1 0.000210298 +27 *20245:B1 0.000481288 +28 *20367:B1 0.000818197 +29 *19678:A0 0 +30 *20383:B1 6.05354e-05 +31 *19628:B1 0.000166404 +32 *20375:B1 9.17413e-06 +33 *19637:A0 0.000628446 +34 *19727:B1 2.86212e-05 +35 *19651:B1 0 +36 *19655:A0 0 +37 *19743:A0 0 +38 *19737:B1 0 +39 *19717:B1 1.26312e-05 +40 *20224:B1 0.000192493 +41 *20347:A0 0.000338147 +42 *20452:B1 0.000101823 +43 *20574:B1 0.000706567 +44 *25371:X 0 +45 *5860:696 0.00212221 +46 *5860:663 0.00233447 +47 *5860:661 0.000566216 +48 *5860:651 0.000748295 +49 *5860:636 0.00147488 +50 *5860:544 0.00382364 +51 *5860:530 0.0017343 +52 *5860:511 0.0012611 +53 *5860:504 0.00204201 +54 *5860:498 0.0018357 +55 *5860:491 0.00195948 +56 *5860:482 0.00178468 +57 *5860:471 0.00134191 +58 *5860:466 0.00208617 +59 *5860:455 0.00363397 +60 *5860:445 0.00145721 +61 *5860:433 0.00247119 +62 *5860:401 0.00243738 +63 *5860:383 0.00114062 +64 *5860:327 0.0017717 +65 *5860:320 0.000996933 +66 *5860:313 0.001654 +67 *5860:302 0.00355133 +68 *5860:298 0.00310374 +69 *5860:288 0.001833 +70 *5860:260 0.00117306 +71 *5860:257 0.00168134 +72 *5860:247 0.00126864 +73 *5860:231 0.00189157 +74 *5860:222 0.000652683 +75 *5860:198 0.00282962 +76 *5860:192 0.00310611 +77 *5860:187 0.000833972 +78 *5860:180 0.00164101 +79 *5860:176 0.00157954 +80 *5860:169 0.0010389 +81 *5860:163 0.00173673 +82 *5860:147 0.00204924 +83 *5860:142 0.00208254 +84 *5860:141 0.00150773 +85 *5860:132 0.00242754 +86 *5860:110 0.0020684 +87 *5860:104 0.00138087 +88 *5860:100 0.00137572 +89 *5860:91 0.00216646 +90 *5860:75 0.0024174 +91 *5860:62 0.0022239 +92 *5860:56 0.00279925 +93 *5860:46 0.00108877 +94 *5860:31 0.00124378 +95 *5860:9 0.0023233 +96 *5860:5 0.0016327 +97 *19628:B1 *5898:51 6.21526e-05 +98 *19637:A0 *24887:RESET_B 0.000318109 +99 *19637:A0 *5955:8 0.000142562 +100 *19691:B1 *5917:225 0.000211478 +101 *20452:B1 *5869:239 3.82145e-05 +102 *20953:B1 *5870:359 7.13655e-06 +103 *23931:B1 *24371:RESET_B 0.00111102 +104 *23931:B1 *24562:RESET_B 1.86674e-05 +105 *5860:9 *5869:386 7.31749e-05 +106 *5860:9 *5869:445 0.000325795 +107 *5860:46 *24476:RESET_B 2.47469e-05 +108 *5860:46 *5869:239 2.09356e-05 +109 *5860:46 *5869:308 1.6039e-05 +110 *5860:56 *5869:249 0.000127654 +111 *5860:75 *24632:RESET_B 2.26399e-05 +112 *5860:104 *24519:RESET_B 0.000464113 +113 *5860:141 *5870:650 0.000447446 +114 *5860:141 *5870:659 0.000114239 +115 *5860:176 *24878:SET_B 4.01573e-05 +116 *5860:180 *5917:263 0 +117 *5860:198 *5917:86 0 +118 *5860:198 *5917:93 2.03183e-05 +119 *5860:257 *25379:A 0.00026459 +120 *5860:257 *5898:51 0.000324523 +121 *5860:260 *5917:431 0.000452092 +122 *5860:288 *24602:RESET_B 0 +123 *5860:288 *24857:SET_B 0 +124 *5860:288 *5870:136 0 +125 *5860:288 *5870:140 0 +126 *5860:288 *5870:144 0 +127 *5860:288 *5870:650 0 +128 *5860:313 *25381:A 0.000118485 +129 *5860:313 *5917:225 0.000110649 +130 *5860:401 *5870:247 0.000167247 +131 *5860:401 *5870:253 1.1246e-05 +132 *5860:636 *5869:249 0.000363721 +133 *5860:651 *5869:445 0.000207779 +134 *5860:651 *5869:448 9.28983e-05 +135 *5860:651 *5869:464 9.75438e-05 +136 *5860:661 *5869:464 3.31733e-05 +137 *19615:A2 *5860:544 3.5472e-05 +138 *19618:A2 *5860:544 0.000529889 +139 *19628:B2 *19628:B1 0.000154145 +140 *19635:A2 *5860:661 3.60268e-05 +141 *19635:B2 *5860:651 3.31882e-05 +142 *19635:B2 *5860:661 0.000156869 +143 *19635:C1 *5860:9 1.50025e-05 +144 *19635:C1 *5860:651 0.000116323 +145 *19648:A1 *19637:A0 0.00011708 +146 *19649:B1 *5860:169 2.99978e-05 +147 *19651:A2 *5860:169 0.000113968 +148 *19678:S *5860:320 2.01179e-05 +149 *19689:A1 *5860:320 7.00802e-05 +150 *19689:A2 *5860:320 0.000356631 +151 *19691:A2 *19691:B1 5.05252e-05 +152 *19700:B1 *5860:298 0 +153 *19700:B2 *5860:298 1.66626e-05 +154 *19701:B1 *5860:298 5.64867e-05 +155 *19722:B2 *5860:163 0.000113968 +156 *19725:A1 *5860:169 1.62223e-05 +157 *19725:B2 *5860:169 6.50586e-05 +158 *19727:B2 *5860:222 0.000120548 +159 *19737:A1 *5860:187 0 +160 *19737:A2 *5860:192 5.22654e-06 +161 *19737:B2 *5860:192 5.22654e-06 +162 *19742:A *5860:187 0 +163 *19910:A *20367:B1 3.55296e-05 +164 *19935:A *5860:180 0.000550371 +165 *19956:A1 *5860:75 0.000242386 +166 *19969:A *5860:169 0 +167 *19969:A *5860:222 0 +168 *19989:A1 *5860:327 4.91225e-06 +169 *20000:A *5860:257 0.00044779 +170 *20025:A *5860:288 0 +171 *20026:A *5860:482 0.000204232 +172 *20037:A *5860:46 0.000258128 +173 *20047:A *5860:636 6.27782e-05 +174 *20204:A1 *5860:498 9.32927e-05 +175 *20224:A1 *20224:B1 0.000214341 +176 *20224:A1 *5860:147 1.90101e-05 +177 *20224:A2 *20224:B1 1.37189e-05 +178 *20224:B2 *20224:B1 0 +179 *20344:A *5860:132 8.58741e-05 +180 *20345:S *20347:A0 6.08467e-05 +181 *20350:A *5860:433 0.000107496 +182 *20357:A *20237:B1 8.17357e-05 +183 *20367:A1 *20367:B1 5.13974e-05 +184 *20367:A2 *20367:B1 6.50586e-05 +185 *20367:B2 *20367:B1 0 +186 *20375:A1 *5860:260 2.59877e-05 +187 *20375:A2 *20375:B1 4.80635e-06 +188 *20378:A *5860:257 0.000111708 +189 *20380:A1 *5860:260 3.23588e-05 +190 *20383:A2 *20383:B1 1.64789e-05 +191 *20383:B2 *20383:B1 4.88955e-05 +192 *20383:B2 *5860:247 6.50727e-05 +193 *20383:B2 *5860:257 0.000163997 +194 *20413:A1 *5860:636 2.07503e-05 +195 *20413:A2 *5860:636 4.87301e-05 +196 *20413:B1 *5860:56 3.4123e-05 +197 *20413:B1 *5860:636 0.000249567 +198 *20413:B2 *5860:636 0.000109421 +199 *20414:B2 *20414:B1 9.8407e-05 +200 *20414:B2 *5860:62 1.19721e-05 +201 *20418:A1 *20490:B1 0.000200573 +202 *20418:B1 *20490:B1 0.000649394 +203 *20421:A2 *20490:B1 3.91944e-05 +204 *20422:A2 *20422:B1 4.62432e-06 +205 *20422:B2 *20422:B1 3.965e-05 +206 *20456:B2 *5860:288 0.000111901 +207 *20460:A2 *20460:B1 1.03403e-05 +208 *20490:A1 *20490:B1 3.75603e-05 +209 *20520:A2 *20520:B1 7.44044e-06 +210 *20524:A1 *5860:696 0.000230505 +211 *20524:B2 *5860:696 6.08467e-05 +212 *20528:A1 *5860:696 9.14501e-05 +213 *20528:B2 *20566:B1 1.65872e-05 +214 *20566:A1 *20566:B1 0.000629249 +215 *20566:B2 *20566:B1 1.19721e-05 +216 *20573:A1 *5860:31 9.2932e-05 +217 *20574:A1 *20574:B1 0.000397407 +218 *20601:B1 *5860:132 2.47577e-05 +219 *20604:A1 *20604:B1 3.58531e-05 +220 *20604:A1 *5860:401 0.00085897 +221 *20604:B2 *5860:141 4.42142e-05 +222 *20610:A2 *5860:636 3.20069e-06 +223 *20638:B2 *5860:9 6.9787e-05 +224 *20639:A1 *5860:9 1.37367e-05 +225 *20642:A2 *20642:B1 6.50586e-05 +226 *20642:A2 *5860:31 1.61631e-05 +227 *20642:B2 *5860:31 5.50938e-05 +228 *20685:B2 *5860:75 2.32325e-05 +229 *20685:B2 *5860:91 9.78048e-05 +230 *20688:A1 *5860:75 1.81797e-05 +231 *20688:A1 *5860:91 2.1203e-06 +232 *20909:A1 *5860:491 0.000200794 +233 *20909:B1 *5860:491 0.000842609 +234 *20913:A2 *5860:491 7.63448e-05 +235 *20913:B2 *5860:491 2.20702e-05 +236 *21065:A1 *21065:B1 0.000154145 +237 *21065:B2 *21065:B1 6.08467e-05 +238 *21084:A1 *5860:401 0.000198211 +239 *21084:B2 *5860:401 6.56602e-05 +240 *21084:B2 *5860:433 2.54969e-05 +241 *21378:B1 *5860:544 5.481e-05 +242 *21465:A *5860:696 0.000145396 +243 *21530:A1 *20237:B1 0.000182743 +244 *21530:A1 *5860:445 0.000124634 +245 *21530:A1 *5860:455 0.000127147 +246 *21588:B1 *20367:B1 0 +247 *21738:A *5860:247 6.08467e-05 +248 *21862:A *5860:147 1.03403e-05 +249 *21864:C1 *5860:504 1.66771e-05 +250 *21881:B2 *5860:511 4.04861e-05 +251 *21882:C1 *5860:511 0.000295505 +252 *21885:A *5860:327 0.000166839 +253 *21887:A1 *5860:327 1.41291e-05 +254 *21927:B1 *20585:B1 0.00155462 +255 *21927:B1 *5860:100 0.000197511 +256 *21930:A *20245:B1 0 +257 *21980:B1 *20347:A0 5.04829e-06 +258 *21980:B1 *5860:110 0.000145228 +259 *22474:B2 *5860:511 9.2346e-06 +260 *22489:A1 *5860:75 0.00104512 +261 *23931:B2 *23931:B1 3.5063e-05 +262 *23931:C1 *23931:B1 2.30636e-05 +263 *24218:D *5860:433 2.53145e-06 +264 *24231:D *21065:B1 7.27261e-05 +265 *24239:CLK *5860:466 4.88764e-06 +266 *24330:D *5860:482 0.00012568 +267 *24371:CLK *23931:B1 1.95768e-05 +268 *24445:D *5860:91 0.000107496 +269 *24445:CLK *5860:75 0.000128739 +270 *24476:D *5860:46 4.59816e-06 +271 *24480:D *5860:9 5.85478e-05 +272 *24497:D *5860:636 9.28161e-05 +273 *24499:D *5860:636 4.45548e-05 +274 *24502:D *5860:401 0.000111708 +275 *24505:D *5860:132 0.000172386 +276 *24516:D *5860:100 8.79845e-05 +277 *24519:CLK *5860:104 0.000459887 +278 *24523:D *20574:B1 0.000205101 +279 *24559:D *20520:B1 1.91246e-05 +280 *24562:D *23931:B1 1.86674e-05 +281 *24580:CLK *20490:B1 1.03403e-05 +282 *24604:D *5860:141 0.000129786 +283 *24605:D *5860:288 9.96342e-05 +284 *24606:D *20452:B1 1.16107e-05 +285 *24632:D *5860:75 3.63506e-05 +286 *24633:D *5860:636 0.000753649 +287 *24634:D *5860:62 6.28168e-05 +288 *24653:CLK *5860:247 0.000203833 +289 *24658:D *5860:260 2.32531e-05 +290 *24659:CLK *5860:260 0.000169345 +291 *24663:D *20367:B1 2.42273e-05 +292 *24771:CLK *5860:498 0.000131793 +293 *24837:CLK *5860:187 0 +294 *24838:D *5860:187 0.000118245 +295 *24838:D *5860:192 0 +296 *24849:D *19717:B1 6.50727e-05 +297 *24849:CLK *19717:B1 2.65831e-05 +298 *24852:CLK *5860:198 3.14978e-05 +299 *24857:D *5860:288 0 +300 *24865:D *19691:B1 7.97098e-06 +301 *24865:CLK *5860:313 3.31736e-05 +302 *24867:D *5860:320 0.000158357 +303 *24868:D *5860:313 3.12044e-05 +304 *24877:CLK *5860:180 0 +305 *24879:D *5860:169 0.000211478 +306 *24879:D *5860:176 6.92705e-05 +307 *24885:D *5860:247 3.77804e-05 +308 *24898:D *5860:544 3.02484e-05 +309 *24979:A *5860:491 0.000406794 +310 *24979:A *5860:530 0.000810077 +311 *24979:A *5860:544 0.000246644 +312 *25271:A *5860:192 0 +313 *25274:A *5860:187 0.000394052 +314 *25278:A *5860:198 3.42931e-05 +315 *25302:A *5860:288 1.07248e-05 +316 *25302:A *5860:298 0.000354083 +317 *25303:A *5860:298 0 +318 *460:67 *23931:B1 0.000115307 +319 *516:26 *20237:B1 1.5714e-05 +320 *522:18 *21065:B1 0.000294118 +321 *543:12 *5860:491 0.000118485 +322 *545:42 *20237:B1 0.000472631 +323 *1419:72 *5860:433 3.55859e-05 +324 *1422:31 *20375:B1 0 +325 *1424:8 *5860:104 0.000164911 +326 *1428:24 *20356:B1 5.38612e-06 +327 *1428:54 *5860:544 2.04806e-05 +328 *1428:85 *23931:B1 6.73775e-05 +329 *1428:85 *5860:544 1.62088e-05 +330 *1435:67 *5860:75 0.000284202 +331 *1435:67 *5860:91 6.22114e-05 +332 *1439:252 *5860:433 0.000859727 +333 *1439:260 *5860:141 0.000296304 +334 *1462:30 *5860:661 8.79542e-05 +335 *1467:184 *20490:B1 3.87075e-05 +336 *1467:184 *5860:651 0 +337 *1467:184 *5860:696 0.000341573 +338 *1470:62 *19637:A0 7.27368e-05 +339 *1471:78 *20604:B1 6.00398e-05 +340 *1471:78 *5860:383 2.77625e-06 +341 *1471:78 *5860:401 0.000168419 +342 *1471:84 *5860:132 0.000158357 +343 *1471:84 *5860:383 4.66492e-05 +344 *1485:123 *20574:B1 4.58085e-05 +345 *1485:126 *20574:B1 0.000667286 +346 *1485:126 *5860:9 0.000816665 +347 *1488:8 *5860:482 0.000369936 +348 *1492:88 *5860:320 0.00118036 +349 *1494:40 *5860:313 2.62595e-05 +350 *1494:40 *5860:320 8.51541e-05 +351 *1497:22 *5860:110 0 +352 *1497:161 *5860:498 0.00087878 +353 *1499:18 *5860:298 0.000610306 +354 *1499:26 *5860:298 0 +355 *1502:57 *5860:147 0.000123582 +356 *1502:57 *5860:163 8.37979e-05 +357 *1502:65 *5860:298 0.000158357 +358 *1506:87 *5860:260 0.00012448 +359 *1509:28 *5860:163 0.000260374 +360 *1509:28 *5860:169 7.50722e-05 +361 *1511:68 *5860:298 0 +362 *1515:34 *5860:260 1.41706e-05 +363 *1515:133 *20585:B1 0.000157233 +364 *1552:37 *5860:511 7.37253e-05 +365 *1573:18 *5860:110 0.000277214 +366 *1573:18 *5860:132 0 +367 *1573:18 *5860:141 0.000271533 +368 *1573:46 *5860:433 6.25838e-06 +369 *1573:46 *5860:445 0.000459521 +370 *1637:16 *5860:482 0.000140606 +371 *1637:25 *5860:482 9.30565e-05 +372 *1671:59 *20367:B1 0 +373 *1671:70 *20367:B1 0.000171273 +374 *1671:126 *5860:504 0.00036782 +375 *1671:126 *5860:511 0.000436596 +376 *1677:45 *5860:91 0.00102269 +377 *1677:142 *5860:636 4.08643e-05 +378 *1687:13 *5860:257 4.81435e-05 +379 *1687:17 *19628:B1 0.000587722 +380 *1687:17 *5860:257 0.000841364 +381 *1691:17 *20585:B1 5.30072e-05 +382 *1691:71 *5860:498 0.000214293 +383 *1691:72 *5860:504 0.000137102 +384 *1691:72 *5860:511 0.000753325 +385 *1697:97 *5860:132 3.77568e-05 +386 *1699:42 *5860:696 9.60216e-05 +387 *1714:36 *5860:91 6.51527e-05 +388 *1726:6 *5860:222 6.3191e-06 +389 *1726:6 *5860:231 0.000122289 +390 *1726:6 *5860:247 0.000245742 +391 *1729:80 *5860:75 0.000121961 +392 *1730:87 *5860:62 0.000891164 +393 *1731:20 *5860:491 0.000122083 +394 *1735:16 *5860:132 0.000319886 +395 *1740:65 *5860:433 0.000126378 +396 *1753:30 *5860:260 0 +397 *1757:81 *5860:91 0.000368177 +398 *1761:74 *5860:75 1.26537e-05 +399 *1780:134 *5860:530 0.000107496 +400 *1780:134 *5860:544 0.000738529 +401 *1786:21 *5860:288 0.00023344 +402 *1801:59 *5860:46 8.94363e-05 +403 *1802:206 *5860:471 0.000512054 +404 *1802:206 *5860:482 6.08467e-05 +405 *1803:13 *5860:636 9.57915e-05 +406 *1804:96 *5860:62 8.36181e-05 +407 *1808:26 *5860:62 3.30469e-05 +408 *1845:11 *5860:180 0 +409 *1845:81 *5860:180 0 +410 *1882:22 *5860:504 3.33173e-06 +411 *1883:16 *5860:504 0.000639056 +412 *1883:28 *5860:504 0.000338876 +413 *1884:282 *5860:544 0.000360166 +414 *1930:51 *5860:433 1.17108e-05 +415 *1931:28 *5860:433 0.00036633 +416 *1947:157 *5860:696 9.49868e-05 +417 *1947:228 *5860:132 0.000138664 +418 *1947:242 *5860:141 3.60268e-05 +419 *1953:39 *20490:B1 0.000238602 +420 *1964:12 *20460:B1 9.24241e-05 +421 *1964:14 *20460:B1 8.52652e-05 +422 *2022:30 *5860:9 0.000160851 +423 *2145:35 *5860:491 5.75508e-05 +424 *2158:10 *20953:B1 7.86825e-06 +425 *2181:12 *5860:466 1.19011e-05 +426 *2181:19 *5860:471 0.000111708 +427 *2270:8 *5860:482 0.000986499 +428 *2270:51 *20245:B1 0.000287284 +429 *2270:51 *20367:B1 0.000110267 +430 *2270:51 *5860:327 0.000171288 +431 *2270:75 *20245:B1 0.000189746 +432 *2398:24 *5860:247 0.000942515 +433 *2420:10 *5860:302 0 +434 *2420:10 *5860:313 0 +435 *2424:8 *5860:544 0.000158092 +436 *2429:25 *5860:75 0.00173114 +437 *2434:8 *5860:544 0.000576543 +438 *2435:15 *5860:302 0.00145586 +439 *2435:19 *5860:302 1.80122e-05 +440 *2443:17 *5860:491 3.8122e-05 +441 *2443:17 *5860:530 0.00055473 +442 *2448:184 *5860:466 0.000207637 +443 *2510:54 *5860:46 0.000167626 +444 *2514:45 *5860:636 0.000111715 +445 *2518:8 *5860:100 0.000237117 +446 *2563:8 *5860:257 8.62321e-06 +447 *2576:76 *21065:B1 0.000297593 +448 *2609:10 *5860:544 0 +449 *2616:34 *5860:661 0.000141056 +450 *2616:40 *5860:661 8.63353e-06 +451 *2624:54 *20490:B1 7.02358e-06 +452 *2627:16 *5860:260 0 +453 *2627:24 *20452:B1 6.97486e-05 +454 *2627:24 *5860:9 0.000348844 +455 *2627:24 *5860:46 0.000649457 +456 *2632:53 *5860:9 6.22837e-05 +457 *2632:53 *5860:651 5.22654e-06 +458 *2641:19 *23931:B1 0.000101177 +459 *2641:19 *5860:544 0.000142735 +460 *2644:13 *20245:B1 0 +461 *2675:28 *20237:B1 4.9388e-05 +462 *2675:28 *5860:445 2.49658e-05 +463 *2675:28 *5860:455 9.52029e-05 +464 *2732:29 *5860:91 0.000363318 +465 *2753:67 *5860:482 5.1493e-06 +466 *2758:7 *20383:B1 0.000160617 +467 *2758:7 *5860:247 0.000154145 +468 *2761:6 *5860:482 0.000809108 +469 *2803:27 *19727:B1 6.08467e-05 +470 *2808:15 *5860:163 0.000317707 +471 *2808:19 *5860:163 0.000659957 +472 *2808:20 *20347:A0 6.43112e-05 +473 *2808:20 *5860:132 0.000468517 +474 *2808:20 *5860:141 0 +475 *2810:40 *5860:31 0.000411266 +476 *2815:14 *5860:498 3.28486e-05 +477 *2815:14 *5860:504 0.000360449 +478 *2815:14 *5860:511 7.09897e-06 +479 *2834:17 *5860:100 0.000232561 +480 *2835:8 *5860:104 0.00104629 +481 *2836:8 *5860:62 0.000470105 +482 *2838:13 *5860:141 4.00504e-05 +483 *2844:24 *5860:75 3.0839e-05 +484 *2864:25 *5860:180 0.000213739 +485 *2865:14 *5860:511 0.00019057 +486 *2865:32 *5860:511 0.000384177 +487 *2878:11 *5860:163 0.0017567 +488 *2878:25 *5860:260 0.000520378 +489 *2895:7 *20688:B1 6.08467e-05 +490 *2900:13 *5860:471 0.000790766 +491 *2903:8 *20367:B1 0.000157097 +492 *2922:13 *5860:169 9.60216e-05 +493 *2922:13 *5860:222 0.000378685 +494 *2922:13 *5860:231 0.000720229 +495 *2922:13 *5860:247 0.000103002 +496 *2928:14 *20356:B1 1.66626e-05 +497 *2936:14 *20356:B1 2.65667e-05 +498 *2943:25 *5860:104 0.00186835 +499 *2943:68 *5860:696 0.000476897 +500 *2946:8 *20460:B1 0.000165481 +501 *2948:27 *20688:B1 6.50727e-05 +502 *2951:21 *5860:163 0.000196149 +503 *2951:28 *5860:401 3.58178e-05 +504 *2964:35 *5860:260 0.00151889 +505 *2965:13 *5860:147 0.000123582 +506 *2965:13 *5860:163 9.24241e-05 +507 *2976:18 *5860:104 0.000368949 +508 *2991:25 *20237:B1 4.235e-05 +509 *2991:25 *5860:466 0 +510 *2993:15 *20347:A0 6.55312e-05 +511 *2993:33 *5860:46 0.000171152 +512 *3014:30 *20356:B1 1.03403e-05 +513 *3014:30 *5860:433 0.000280284 +514 *3076:67 *5860:504 8.35425e-05 +515 *3089:12 *5860:31 0.000414849 +516 *3124:93 *5860:511 1.89874e-05 +517 *3136:84 *5860:511 3.63738e-05 +518 *3139:25 *5860:511 0.000953418 +519 *3168:7 *5860:511 0.000954449 +520 *3177:105 *19637:A0 5.2068e-05 +521 *3500:33 *5860:511 0.000100741 +522 *3671:67 *5860:661 0.000410361 +523 *3671:67 *5860:696 7.52343e-05 +524 *4828:129 *20237:B1 8.64786e-05 +525 *4828:129 *5860:433 7.48362e-06 +526 *4828:129 *5860:445 0.000155972 +527 *4829:23 *20237:B1 0.000241933 +528 *4832:109 *20237:B1 0 +529 *4832:109 *5860:433 0 +530 *4843:576 *5860:313 0.000759171 +531 *4865:6 *20520:B1 4.34034e-05 +532 *4876:65 *5860:401 0.000158906 +533 *4876:69 *5860:247 7.11709e-05 +534 *4878:139 *23931:B1 0.000146735 +535 *4883:50 *19637:A0 1.05272e-06 +536 *4883:62 *19637:A0 8.54931e-06 +537 *4894:76 *5860:260 0.000571145 +538 *4894:85 *5860:260 0.000371199 +539 *4918:49 *5860:498 0.000288885 +540 *4918:60 *5860:498 0.000377542 +541 *4918:75 *5860:498 0.000560533 +542 *4922:38 *5860:433 4.91425e-05 +543 *4925:31 *5860:141 5.38612e-06 +544 *5074:10 *5860:132 0.000166212 +545 *5076:10 *5860:132 0.000275857 +546 *5076:10 *5860:141 0 +547 *5082:34 *5860:104 1.5714e-05 +548 *5175:8 *5860:260 0 +549 *5219:11 *20490:B1 3.40351e-05 +550 *5452:11 *20585:B1 0.00151934 +551 *5452:11 *5860:100 0.000204088 +552 *5475:8 *5860:180 0.000106165 +553 *5475:19 *5860:176 0.000178248 +554 *5475:19 *5860:180 0.000329597 +555 *5481:16 *5860:257 0.000118485 +556 *5592:19 *20566:B1 7.98171e-06 +557 *5592:19 *5860:696 2.77625e-06 +558 *5681:19 *5860:696 0.00016597 +559 *5682:22 *20490:B1 0.000217947 +560 *5745:12 *5860:482 4.76283e-05 +561 *5753:8 *5860:180 0.000226969 +562 *5753:8 *5860:187 2.51585e-05 +563 *5765:8 *5860:302 0 +564 *5765:11 *5860:313 0.000432613 +565 *5767:13 *5860:320 4.79157e-05 +566 *5775:17 *5860:320 0.00092493 +567 *5783:10 *5860:288 0.000161966 +568 *5801:78 *20452:B1 0 +569 *5804:25 *5860:169 2.41483e-05 +570 *5804:25 *5860:176 0.000262003 +571 *5807:14 *5860:169 0 +572 *5811:12 *5860:247 9.49244e-05 +573 *5811:22 *5860:231 0.000181065 +574 *5811:22 *5860:247 0.000169653 +575 *5814:17 *5860:180 0.000217951 +576 *5814:38 *5860:176 0 +577 *5830:20 *19691:B1 8.37979e-05 +578 *5830:20 *5860:302 0.000191541 +579 *5851:111 *5860:696 0.000137982 +580 *5851:116 *20490:B1 0.000487547 +581 *5851:116 *5860:696 0.000525923 +582 *5851:131 *5860:9 2.08659e-05 +583 *5851:141 *5860:9 1.25165e-05 +584 *5851:211 *20347:A0 0.000157399 +585 *5851:337 *20367:B1 0.000573271 +586 *5852:26 *20460:B1 0.000260388 +587 *5852:26 *5860:142 0.000426154 +588 *5852:26 *5860:147 0.00104979 +589 *5854:100 *5860:696 0.000347744 +590 *5854:322 *5860:141 5.81976e-05 +591 *5854:331 *5860:141 0.000223557 +592 *5854:409 *20237:B1 0.000472631 +593 *5854:466 *5860:530 3.07561e-05 +594 *5854:472 *5860:491 0.000304777 +595 *5854:586 *5860:75 8.62625e-06 +596 *5856:183 *5860:696 1.12904e-05 +597 *5856:305 *5860:163 0.000825106 +598 *5856:336 *5860:169 0.000203471 +599 *5858:158 *5860:46 0.000364083 +600 *5858:245 *5860:288 0.000838051 +601 *5858:245 *5860:298 4.12533e-05 +602 *5858:372 *5860:298 3.30938e-05 +603 *5858:415 *5860:288 0.000165495 +*RES +1 *25371:X *5860:5 13.7491 +2 *5860:5 *5860:9 32.5391 +3 *5860:9 *20574:B1 23.91 +4 *5860:9 *5860:31 22.4086 +5 *5860:31 *5860:46 39.171 +6 *5860:46 *20452:B1 16.8269 +7 *5860:46 *5860:56 8.55102 +8 *5860:56 *5860:62 41.8828 +9 *5860:62 *5860:75 27.7603 +10 *5860:75 *5860:91 49.1705 +11 *5860:91 *5860:100 20.5363 +12 *5860:100 *5860:104 45.5429 +13 *5860:104 *5860:110 17.5111 +14 *5860:110 *20347:A0 26.179 +15 *5860:110 *5860:132 44.2238 +16 *5860:132 *5860:141 36.5288 +17 *5860:141 *5860:142 4.60562 +18 *5860:142 *5860:147 20.7811 +19 *5860:147 *20224:B1 17.2697 +20 *5860:147 *5860:163 47.6046 +21 *5860:163 *5860:169 20.39 +22 *5860:169 *5860:176 23.0543 +23 *5860:176 *5860:180 40.2784 +24 *5860:180 *5860:187 19.6876 +25 *5860:187 *5860:192 14.9845 +26 *5860:192 *5860:198 49.823 +27 *5860:198 *19717:B1 9.97254 +28 *5860:187 *19737:B1 9.24915 +29 *5860:180 *19743:A0 13.7491 +30 *5860:176 *19655:A0 9.24915 +31 *5860:169 *19651:B1 9.24915 +32 *5860:163 *5860:222 7.23027 +33 *5860:222 *19727:B1 14.4725 +34 *5860:222 *5860:231 13.8743 +35 *5860:231 *19637:A0 40.276 +36 *5860:231 *5860:247 34.1889 +37 *5860:247 *5860:257 26.1599 +38 *5860:257 *5860:260 46.8924 +39 *5860:260 *20375:B1 14.0506 +40 *5860:257 *19628:B1 16.0732 +41 *5860:247 *20383:B1 11.6605 +42 *5860:142 *5860:288 35.0577 +43 *5860:288 *5860:298 39.344 +44 *5860:298 *5860:302 44.211 +45 *5860:302 *5860:313 34.8247 +46 *5860:313 *5860:320 31.3832 +47 *5860:320 *19678:A0 9.24915 +48 *5860:320 *5860:327 7.95736 +49 *5860:327 *20367:B1 43.2551 +50 *5860:327 *20245:B1 26.2673 +51 *5860:302 *19691:B1 19.5116 +52 *5860:288 *19704:B1 13.7491 +53 *5860:141 *20460:B1 24.9921 +54 *5860:132 *5860:383 1.85672 +55 *5860:383 *20604:B1 10.2378 +56 *5860:383 *5860:401 37.1587 +57 *5860:401 *21084:B1 9.24915 +58 *5860:401 *5860:433 41.3832 +59 *5860:433 *20356:B1 18.2199 +60 *5860:433 *5860:445 3.18598 +61 *5860:445 *20953:B1 17.4965 +62 *5860:445 *5860:455 1.0825 +63 *5860:455 *5860:466 12.4129 +64 *5860:466 *5860:471 13.6722 +65 *5860:471 *5860:482 44.4336 +66 *5860:482 *5860:491 31.0201 +67 *5860:491 *5860:498 34.6424 +68 *5860:498 *5860:504 29.4585 +69 *5860:504 *5860:511 46.5927 +70 *5860:511 *21065:B1 22.6755 +71 *5860:498 *20204:B1 13.7491 +72 *5860:491 *5860:530 13.6722 +73 *5860:530 *19618:B1 9.82786 +74 *5860:530 *5860:544 35.3159 +75 *5860:544 *20520:B1 17.9118 +76 *5860:544 *23931:B1 49.196 +77 *5860:482 *20913:B1 9.24915 +78 *5860:471 *19672:A0 9.24915 +79 *5860:466 *21040:B1 9.24915 +80 *5860:455 *20237:B1 44.8242 +81 *5860:91 *20585:B1 22.5184 +82 *5860:75 *20688:B1 14.4725 +83 *5860:62 *20414:B1 10.9612 +84 *5860:56 *5860:636 44.6961 +85 *5860:636 *20612:B1 9.24915 +86 *5860:31 *20642:B1 9.97254 +87 *5860:5 *5860:651 11.8713 +88 *5860:651 *19635:B1 13.7491 +89 *5860:651 *5860:661 16.4696 +90 *5860:661 *5860:663 4.5 +91 *5860:663 *20490:B1 34.0692 +92 *5860:663 *5860:696 46.8187 +93 *5860:696 *20566:B1 19.4249 +94 *5860:696 *20528:B1 9.82786 +95 *5860:661 *20422:B1 14.2165 +*END + +*D_NET *5861 0.211392 +*CONN +*I *20547:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20710:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20272:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24041:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20964:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20699:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20945:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20999:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20623:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20903:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20140:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20172:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24047:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20261:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *6139:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21175:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21032:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21076:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20661:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20924:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20216:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *21095:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20444:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20394:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *19594:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *20680:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20866:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20934:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20795:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20726:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20892:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20536:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25371:A I *D sky130_fd_sc_hd__buf_12 +*I *20672:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20787:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *20498:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *25372:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *20547:B1 0 +2 *20710:B1 8.39551e-05 +3 *20272:B1 7.83592e-05 +4 *24041:A1 0.000441056 +5 *20964:B1 0 +6 *20699:B1 0.000896112 +7 *20945:B1 0.000176708 +8 *20999:B1 0 +9 *20623:B1 0.0018217 +10 *20903:B1 0 +11 *20140:B1 0 +12 *20172:B1 0.0017178 +13 *24047:A1 2.31818e-05 +14 *20261:B1 0 +15 *6139:DIODE 0 +16 *21175:B1 8.5809e-05 +17 *21032:B1 0.00039676 +18 *21076:B1 7.28327e-05 +19 *20661:B1 2.73501e-05 +20 *20924:B1 0 +21 *20216:B1 0.000270219 +22 *21095:B1 0.000468597 +23 *20444:B1 0 +24 *20394:B1 0.000120186 +25 *19594:A0 0 +26 *20680:B1 0 +27 *20866:B1 2.49976e-05 +28 *20934:B1 0 +29 *20795:B1 2.06324e-05 +30 *20726:B1 0 +31 *20892:B1 0.00114659 +32 *20536:B1 0.00250435 +33 *25371:A 0 +34 *20672:B1 0 +35 *20787:B1 2.06324e-05 +36 *20498:B1 0 +37 *25372:X 0.00023452 +38 *5861:598 0.00206253 +39 *5861:501 0.000106575 +40 *5861:498 0.00272402 +41 *5861:497 0.0027694 +42 *5861:492 0.00122188 +43 *5861:484 0.00124846 +44 *5861:482 0.00156116 +45 *5861:480 0.00155474 +46 *5861:476 0.00261708 +47 *5861:469 0.00147725 +48 *5861:463 0.000846267 +49 *5861:458 0.0034755 +50 *5861:451 0.00218604 +51 *5861:434 0.00180499 +52 *5861:414 0.000137556 +53 *5861:413 0.000245631 +54 *5861:409 0.00167022 +55 *5861:408 0.00162192 +56 *5861:397 0.00190465 +57 *5861:365 0.00132873 +58 *5861:363 0.00179612 +59 *5861:340 0.00211513 +60 *5861:338 0.00131655 +61 *5861:336 0.000275666 +62 *5861:330 0.00147066 +63 *5861:323 0.00343963 +64 *5861:320 0.000748937 +65 *5861:319 0.000341255 +66 *5861:311 0.000650679 +67 *5861:298 0.00287321 +68 *5861:276 0.00288788 +69 *5861:273 0.00189467 +70 *5861:257 0.00228236 +71 *5861:242 0.000849156 +72 *5861:179 0.000929976 +73 *5861:166 0.00210079 +74 *5861:165 0.00117081 +75 *5861:163 0.000800623 +76 *5861:157 0.00398295 +77 *5861:145 0.00185737 +78 *5861:143 6.68454e-05 +79 *5861:138 0.000796677 +80 *5861:132 0.000813368 +81 *5861:131 0.000115972 +82 *5861:127 0.00103045 +83 *5861:112 0.00221879 +84 *5861:97 0.00188863 +85 *5861:89 0.00131765 +86 *5861:79 0.000879381 +87 *5861:72 0.00134543 +88 *5861:66 0.00269571 +89 *5861:58 0.00202547 +90 *5861:43 0.00104219 +91 *5861:35 0.00101744 +92 *5861:25 0.00111512 +93 *5861:10 0.000662769 +94 *5861:5 0.00171296 +95 *20216:B1 *24321:SET_B 0.000174769 +96 *20536:B1 *24347:RESET_B 6.08467e-05 +97 *20536:B1 *5869:689 2.69795e-05 +98 *20536:B1 *5869:700 0.000309456 +99 *20945:B1 *5862:402 6.08467e-05 +100 *5861:25 *24587:RESET_B 0.000229242 +101 *5861:25 *24590:RESET_B 0.000245617 +102 *5861:97 *24357:RESET_B 0.000487161 +103 *5861:157 *5925:17 0.000197242 +104 *5861:257 *24429:SET_B 0.000113054 +105 *5861:298 *24210:SET_B 9.22013e-06 +106 *5861:298 *5865:53 6.84822e-05 +107 *5861:338 *5862:454 3.88655e-06 +108 *5861:340 *5862:441 0.000222435 +109 *5861:340 *5862:454 0.000154421 +110 *5861:363 *24312:RESET_B 1.5714e-05 +111 *5861:363 *5862:347 8.62625e-06 +112 *5861:363 *5862:384 0.000103633 +113 *5861:363 *5862:390 0.000699041 +114 *5861:363 *5862:399 9.07692e-05 +115 *5861:363 *5862:441 2.43314e-05 +116 *5861:363 *5903:18 5.60804e-05 +117 *5861:397 *5864:634 0.00032919 +118 *5861:397 *5910:36 0.00032919 +119 *5861:434 *5862:267 0.000530532 +120 *5861:476 *24809:RESET_B 3.82559e-05 +121 *5861:476 *5864:412 7.59201e-05 +122 *5861:480 *5864:412 1.50212e-05 +123 *5861:482 *24741:RESET_B 7.14746e-05 +124 *5861:482 *5864:256 0.00025439 +125 *5861:482 *5864:265 0.000127194 +126 *5861:482 *5864:274 0.000839849 +127 *5861:482 *5864:294 0.000689205 +128 *5861:482 *5864:300 0.000252684 +129 *5861:482 *5864:412 0.000151942 +130 *5861:492 *5956:32 0.000148058 +131 *5861:492 *5956:45 3.88655e-06 +132 *5861:498 *24394:RESET_B 0.000156261 +133 *5861:498 *5871:772 0.000100939 +134 *5861:498 *6022:39 0 +135 *5861:498 *6023:21 5.86258e-05 +136 *5861:598 *24727:RESET_B 1.21461e-06 +137 mgmt_gpio_oeb[0] *5861:498 0 +138 mgmt_gpio_out[0] *5861:498 0 +139 serial_load *5861:498 1.9101e-05 +140 *19592:A *5861:323 6.00782e-06 +141 *19594:A1 *5861:79 0.000304791 +142 *19785:A *5861:397 9.5562e-05 +143 *19823:A *5861:127 0.000158357 +144 *19825:A *5861:458 7.13972e-05 +145 *20031:A *5861:43 0.000319222 +146 *20134:A1 *5861:482 0.00012741 +147 *20140:A2 *5861:476 5.97411e-05 +148 *20140:B2 *5861:469 6.50727e-05 +149 *20172:B2 *20172:B1 2.57847e-05 +150 *20216:A1 *20216:B1 9.03922e-05 +151 *20258:A1 *5861:492 0.000296903 +152 *20258:B2 *5861:492 0.000268892 +153 *20259:A1 *5861:492 2.62278e-05 +154 *20262:A *5861:598 5.40579e-05 +155 *20272:B2 *20272:B1 3.75221e-05 +156 *20309:A1 *5861:408 9.71275e-05 +157 *20316:A1 *5861:497 2.41274e-06 +158 *20316:B1 *5861:492 0.000143047 +159 *20325:B2 *24041:A1 0.000160617 +160 *20328:B1 *24041:A1 1.03403e-05 +161 *20393:A2 *5861:66 5.04054e-06 +162 *20393:B1 *5861:66 5.99527e-05 +163 *20394:A2 *20394:B1 4.51359e-06 +164 *20443:A2 *5861:273 0.000108054 +165 *20444:A2 *5861:257 6.50586e-05 +166 *20444:B2 *5861:242 6.08467e-05 +167 *20536:A2 *20536:B1 6.08467e-05 +168 *20536:B2 *20536:B1 6.08467e-05 +169 *20547:A1 *5861:43 0.000402069 +170 *20547:B2 *5861:35 6.08467e-05 +171 *20547:B2 *5861:43 8.62625e-06 +172 *20617:B1 *21076:B1 0.000152164 +173 *20623:A2 *20623:B1 0.000291015 +174 *20623:B2 *20623:B1 7.56446e-05 +175 *20660:A1 *5861:363 3.91685e-05 +176 *20661:A2 *20661:B1 3.01683e-06 +177 *20661:A2 *5861:397 1.29759e-05 +178 *20672:A1 *5861:43 0 +179 *20672:A1 *5861:58 1.97124e-05 +180 *20672:A2 *5861:58 5.17579e-05 +181 *20672:B2 *5861:43 6.08467e-05 +182 *20680:A1 *5861:89 6.50727e-05 +183 *20680:A2 *5861:89 1.15389e-05 +184 *20680:A2 *5861:97 6.08467e-05 +185 *20680:B2 *5861:97 0.000440512 +186 *20699:B2 *20699:B1 1.82679e-05 +187 *20704:A2 *5861:298 0.000748314 +188 *20704:B2 *5861:298 2.41483e-05 +189 *20708:B1 *5861:273 0.000124338 +190 *20710:B2 *20710:B1 6.08467e-05 +191 *20726:A1 *20892:B1 0.000637779 +192 *20726:A1 *5861:143 1.61631e-05 +193 *20726:B2 *5861:157 0.00032956 +194 *20727:B *20216:B1 1.87879e-05 +195 *20787:A1 *5861:35 0.000225262 +196 *20787:A2 *5861:35 6.08467e-05 +197 *20787:B2 *5861:25 0.000158371 +198 *20795:A1 *5861:127 0.000216848 +199 *20866:A1 *5861:97 0.000234938 +200 *20866:B2 *5861:112 0.000158371 +201 *20892:A1 *20892:B1 3.75603e-05 +202 *20893:A *20623:B1 1.58551e-05 +203 *20893:B *20623:B1 0.000789244 +204 *20894:A *20623:B1 0.00154846 +205 *20903:A1 *5861:463 5.04829e-06 +206 *20903:B2 *5861:463 1.58551e-05 +207 *20924:A1 *5861:311 2.2494e-05 +208 *20924:A1 *5861:319 6.08467e-05 +209 *20932:B2 *5861:112 0.000169657 +210 *20934:A1 *5861:112 8.03393e-06 +211 *20934:A1 *5861:127 4.31603e-06 +212 *20934:A2 *5861:112 2.1203e-06 +213 *20934:B2 *5861:127 9.119e-05 +214 *20945:A1 *20945:B1 6.64392e-05 +215 *20959:B2 *5861:336 6.18144e-05 +216 *20962:A1 *5861:330 9.32891e-05 +217 *20964:B2 *5861:336 2.1844e-05 +218 *20964:B2 *5861:338 3.5534e-06 +219 *21032:A1 *21032:B1 0.000156946 +220 *21032:A2 *21032:B1 3.29046e-05 +221 *21076:B2 *21076:B1 6.08467e-05 +222 *21095:A2 *21095:B1 4.31603e-06 +223 *21175:A2 *21175:B1 3.07897e-05 +224 *21175:B2 *21175:B1 7.66789e-05 +225 *21175:B2 *5861:501 6.08467e-05 +226 *21199:B2 *5861:298 0.000583588 +227 *21430:B1 *5861:298 0.00133487 +228 *21430:C1 *5861:298 0.00167825 +229 *21432:A *21032:B1 6.36477e-05 +230 *21651:A *5861:498 0.00062985 +231 *21752:B1 *5861:89 0.000138041 +232 *22047:B1 *20536:B1 3.24865e-05 +233 *22391:B1 *5861:298 0.000359753 +234 *24041:S *24041:A1 1.41976e-05 +235 *24047:A0 *24047:A1 6.50586e-05 +236 *24073:S *5861:397 0.000398075 +237 *24161:D *21175:B1 9.9028e-05 +238 *24164:D *5861:498 5.82465e-05 +239 *24210:CLK *21095:B1 6.1478e-06 +240 *24224:CLK *5861:434 2.23124e-05 +241 *24275:CLK *5861:408 0.000165037 +242 *24294:D *5861:336 0.000107971 +243 *24296:D *5861:330 0.000168144 +244 *24315:CLK *5861:127 0.000247231 +245 *24317:D *5861:127 0 +246 *24321:D *5861:319 0.000107496 +247 *24343:D *20892:B1 2.79253e-05 +248 *24357:D *5861:97 0.00020502 +249 *24381:D *5861:127 7.92757e-06 +250 *24381:D *5861:131 2.48468e-05 +251 *24429:D *5861:257 6.50727e-05 +252 *24429:CLK *5861:257 0.000109323 +253 *24450:CLK *5861:97 0.000303375 +254 *24455:D *5861:43 0.000300565 +255 *24455:D *5861:58 1.15389e-05 +256 *24463:D *5861:397 6.78596e-05 +257 *24467:CLK *5861:363 0.000134069 +258 *24528:D *5861:166 0.000173028 +259 *24529:D *5861:166 0.00012568 +260 *24587:D *5861:5 3.14978e-05 +261 *24587:CLK *5861:5 0.000171273 +262 *24590:CLK *5861:25 7.89747e-05 +263 *24611:D *5861:66 1.21461e-06 +264 *24611:D *5861:242 6.10332e-05 +265 *24611:D *5861:257 1.16794e-05 +266 *24697:D *5861:492 5.72879e-05 +267 *24697:CLK *5861:497 6.73186e-05 +268 *24735:D *5861:492 2.22788e-05 +269 *24738:D *5861:492 2.65831e-05 +270 *24741:CLK *5861:482 2.5386e-05 +271 *24742:D *5861:482 0.000104731 +272 *24784:D *20172:B1 1.9101e-05 +273 *24950:A *20394:B1 0.000114032 +274 *25135:A *5861:498 6.03073e-05 +275 *25169:A *5861:492 0.000136036 +276 *25305:A *5861:498 0 +277 *25306:A *5861:498 0 +278 *25307:A *5861:498 0.00091291 +279 *324:19 *5861:469 0.00141461 +280 *480:12 *5861:330 9.37736e-06 +281 *480:14 *5861:330 6.34651e-06 +282 *480:20 *5861:363 1.70077e-05 +283 *482:40 *5861:458 0.000207387 +284 *482:40 *5861:476 8.1496e-05 +285 *500:13 *20699:B1 1.59814e-05 +286 *502:26 *5861:458 8.74104e-05 +287 *502:26 *5861:463 0.000269072 +288 *510:47 *5861:397 0.000581906 +289 *510:55 *5861:469 3.60268e-05 +290 *510:55 *5861:484 0 +291 *510:55 *5861:492 0 +292 *527:22 *5861:434 0.00039142 +293 *531:41 *20172:B1 0 +294 *535:42 *5861:498 0.00114601 +295 *539:21 *21076:B1 3.09973e-05 +296 *539:21 *5861:409 0.000852302 +297 *539:21 *5861:413 0.000251935 +298 *823:20 *5861:112 8.19981e-05 +299 *823:20 *5861:127 0.000527338 +300 *1153:15 *20394:B1 3.79228e-06 +301 *1418:140 *20216:B1 7.99851e-05 +302 *1418:140 *5861:311 1.5714e-05 +303 *1418:181 *5861:298 0.000894999 +304 *1418:187 *5861:298 0.000144432 +305 *1418:195 *5861:276 0.000222292 +306 *1418:195 *5861:298 6.08467e-05 +307 *1438:98 *5861:163 0.000646472 +308 *1438:98 *5861:166 0.00153971 +309 *1439:7 *5861:323 4.58003e-05 +310 *1439:7 *5861:598 0.000335257 +311 *1482:34 *5861:166 0.000728141 +312 *1482:34 *5861:179 0.000834675 +313 *1482:48 *5861:179 0.000260643 +314 *1483:20 *5861:163 0.00160219 +315 *1485:142 *5861:166 0.00113 +316 *1485:142 *5861:179 4.66186e-05 +317 *1485:155 *5861:166 9.57557e-06 +318 *1506:125 *5861:163 0.000177159 +319 *1521:11 *5861:79 0.000227352 +320 *1521:11 *5861:89 0.000271521 +321 *1551:83 *5861:434 0.000156065 +322 *1555:38 *5861:363 7.19237e-05 +323 *1556:30 *5861:451 0.000107496 +324 *1574:12 *5861:112 2.94331e-05 +325 *1574:20 *5861:112 0.000207266 +326 *1581:7 *5861:127 2.16355e-05 +327 *1595:39 *5861:273 4.11567e-05 +328 *1597:15 *20892:B1 0.000290052 +329 *1601:7 *5861:35 0.000111722 +330 *1603:28 *20536:B1 8.11047e-06 +331 *1603:35 *20536:B1 1.33154e-05 +332 *1608:156 *21095:B1 1.43983e-05 +333 *1614:131 *5861:323 0.000172711 +334 *1614:133 *5861:323 2.53338e-05 +335 *1614:139 *5861:598 5.9982e-05 +336 *1624:38 *5861:97 7.92757e-06 +337 *1626:7 *5861:43 2.69785e-05 +338 *1626:7 *5861:58 6.87743e-05 +339 *1629:55 *20394:B1 0.000317417 +340 *1663:15 *20172:B1 0.000135209 +341 *1688:34 *5861:89 2.78588e-05 +342 *1707:43 *5861:157 0.000193457 +343 *1724:8 *5861:10 0 +344 *1724:48 *5861:89 3.13394e-05 +345 *1733:26 *20536:B1 0.000301582 +346 *1733:45 *20536:B1 8.93503e-05 +347 *1770:12 *5861:58 0.000667818 +348 *1783:14 *5861:43 0.000509251 +349 *1783:27 *5861:66 3.02534e-05 +350 *1788:164 *5861:43 0.000357105 +351 *1789:58 *5861:273 0.00019683 +352 *1801:70 *20536:B1 0 +353 *1884:150 *5861:43 0.00010554 +354 *1884:152 *5861:43 0.000154579 +355 *1899:39 *5861:598 5.21408e-05 +356 *1901:47 *5861:492 6.50586e-05 +357 *1901:56 *5861:492 0.00017254 +358 *1902:25 *5861:484 5.77352e-05 +359 *1902:25 *5861:492 0.000526888 +360 *1902:36 *5861:482 0.000558303 +361 *1902:36 *5861:484 0.000163465 +362 *1902:45 *5861:482 0.000374826 +363 *1902:59 *5861:482 0.00078732 +364 *1904:7 *20272:B1 3.75221e-05 +365 *1918:36 *5861:482 8.61936e-05 +366 *1918:36 *5861:484 5.53934e-05 +367 *1919:14 *5861:598 9.80242e-07 +368 *1947:56 *5861:127 0.000273262 +369 *1976:48 *5861:10 0.000367283 +370 *2000:53 *5861:179 0.000110675 +371 *2015:18 *20623:B1 9.55447e-05 +372 *2027:60 *20661:B1 6.50586e-05 +373 *2027:60 *5861:365 0.000108547 +374 *2027:60 *5861:397 7.92757e-06 +375 *2028:7 *5861:365 0.000205101 +376 *2039:7 *20699:B1 0.000154145 +377 *2042:28 *20710:B1 7.92757e-06 +378 *2042:28 *5861:257 8.50938e-05 +379 *2042:28 *5861:273 8.20978e-05 +380 *2043:22 *5861:257 0.000191021 +381 *2043:29 *5861:257 4.49767e-05 +382 *2043:29 *5861:273 0.000142046 +383 *2049:16 *5861:157 0.000543324 +384 *2059:8 *5861:498 7.50872e-05 +385 *2141:7 *20623:B1 6.08467e-05 +386 *2141:49 *5861:458 0.000248181 +387 *2141:81 *5861:458 6.83954e-05 +388 *2148:18 *5861:319 0.000546015 +389 *2153:8 *5861:112 3.45993e-05 +390 *2161:17 *5861:330 8.03699e-06 +391 *2173:9 *5861:112 6.34651e-06 +392 *2245:17 *5861:330 0.000136733 +393 *2245:24 *5861:330 0.00125966 +394 *2262:102 *5861:363 0.000128023 +395 *2282:81 *5861:469 0.00131189 +396 *2291:61 *5861:397 3.00347e-05 +397 *2300:60 *5861:469 1.80122e-05 +398 *2300:60 *5861:476 8.90311e-06 +399 *2321:26 *5861:330 0.000209961 +400 *2323:10 *5861:397 1.59634e-05 +401 *2333:38 *5861:458 0.00169543 +402 *2333:38 *5861:476 4.46084e-05 +403 *2333:38 *5861:482 0 +404 *2360:45 *5861:336 3.82228e-05 +405 *2375:28 *5861:298 0.000170297 +406 *2380:41 *5861:319 7.68538e-06 +407 *2380:41 *5861:323 0.000109025 +408 *2389:96 *5861:397 0 +409 *2417:53 *20699:B1 0 +410 *2421:67 *20945:B1 0.00021217 +411 *2468:67 *5861:397 0.00059012 +412 *2468:67 *5861:408 0.000798829 +413 *2468:123 *5861:482 0.000235204 +414 *2486:76 *5861:336 0.000111722 +415 *2492:7 *21032:B1 0.000138043 +416 *2503:31 *5861:397 3.47217e-05 +417 *2520:21 *5861:298 8.1599e-05 +418 *2520:68 *5861:365 3.96379e-05 +419 *2520:68 *5861:397 2.95884e-05 +420 *2537:8 *5861:89 6.05472e-05 +421 *2541:23 *5861:273 0.000382409 +422 *2542:8 *5861:273 0.000355126 +423 *2552:10 *5861:10 0.000252016 +424 *2565:10 *5861:72 0.00116511 +425 *2565:22 *5861:72 9.05701e-05 +426 *2565:22 *5861:79 7.74397e-05 +427 *2572:23 *21032:B1 0.000640014 +428 *2572:23 *5861:451 0.000356497 +429 *2593:24 *20536:B1 0.000128429 +430 *2610:70 *20623:B1 3.10304e-06 +431 *2615:8 *5861:112 6.77592e-05 +432 *2631:28 *5861:363 0.000175784 +433 *2642:14 *21032:B1 3.24632e-05 +434 *2660:26 *20892:B1 9.436e-06 +435 *2661:7 *5861:97 4.47134e-05 +436 *2685:8 *5861:25 6.28743e-05 +437 *2704:59 *5861:179 0.000225627 +438 *2737:16 *5861:58 0.000667818 +439 *2764:48 *5861:89 0.000134978 +440 *2766:6 *5861:72 0.000241172 +441 *2785:74 *5861:157 0.000225488 +442 *2796:8 *5861:10 0.00185296 +443 *2796:18 *5861:89 0 +444 *2806:8 *5861:298 0.000215637 +445 *2809:24 *5861:482 0.00011841 +446 *2813:26 *20272:B1 5.50603e-05 +447 *2813:26 *24041:A1 1.59052e-05 +448 *2813:42 *5861:408 0.000272732 +449 *2818:24 *5861:72 0.000119086 +450 *2826:10 *5861:257 5.78396e-05 +451 *2826:10 *5861:273 2.27585e-05 +452 *2871:8 *21095:B1 0.000809596 +453 *2882:8 *5861:498 0.00435101 +454 *2882:19 *5861:492 0.000405435 +455 *2882:19 *5861:498 6.76e-05 +456 *2883:20 *5861:89 5.65354e-05 +457 *2896:72 *5861:363 2.05082e-05 +458 *2900:111 *5861:363 0.000359006 +459 *2924:46 *5861:363 7.42178e-06 +460 *2950:76 *5861:298 0.00154643 +461 *2950:76 *5861:363 2.31669e-05 +462 *2963:71 *5861:157 3.77354e-05 +463 *2978:90 *5861:482 9.24241e-05 +464 *2996:48 *5861:273 0.000249416 +465 *3017:15 *5861:492 0 +466 *3033:24 *5861:66 0.00031195 +467 *3033:24 *5861:72 0.000208147 +468 *3041:10 *20172:B1 3.31736e-05 +469 *3045:12 *5861:273 6.70887e-06 +470 *3051:14 *20699:B1 0.000500237 +471 *3052:28 *20172:B1 2.16355e-05 +472 *3057:8 *5861:66 0.000308421 +473 *3057:8 *5861:72 0.000923048 +474 *3070:20 *5861:273 0.00011818 +475 *3076:28 *21032:B1 8.79582e-05 +476 *3076:42 *20172:B1 7.79856e-05 +477 *3106:235 *5861:298 0.000173346 +478 *3106:247 *5861:298 0.000308639 +479 *3131:158 *5861:72 0.000444794 +480 *3131:158 *5861:79 7.63592e-05 +481 *3155:139 *5861:72 0.000271624 +482 *3155:139 *5861:97 0.000203624 +483 *3164:121 *5861:97 0.000164843 +484 *3168:119 *5861:97 0.000202191 +485 *3257:36 *5861:338 8.03385e-06 +486 *3529:155 *5861:112 0.000231588 +487 *3529:159 *5861:97 0.000228354 +488 *3529:159 *5861:112 0.000208488 +489 *3860:27 *5861:5 6.01574e-05 +490 *4003:54 *5861:112 2.02334e-05 +491 *4106:62 *5861:10 0.000381213 +492 *4538:31 *5861:112 0.000408854 +493 *4538:41 *5861:127 4.66492e-05 +494 *4538:41 *5861:131 0.000152164 +495 *4538:41 *5861:138 0.000302884 +496 *4547:35 *5861:127 9.22013e-06 +497 *4665:50 *5861:112 3.3344e-06 +498 *4665:50 *5861:127 0.000117201 +499 *4665:57 *5861:112 0 +500 *4798:39 *5861:163 0.000205101 +501 *4798:46 *5861:179 8.47196e-05 +502 *4798:53 *5861:179 4.04447e-05 +503 *4807:28 *20172:B1 0.000148632 +504 *4809:7 *21032:B1 6.7671e-06 +505 *4811:18 *5861:434 0.000865623 +506 *4811:83 *5861:408 0.000504332 +507 *4815:30 *24041:A1 0.000196658 +508 *4815:35 *24041:A1 0.000393329 +509 *4817:97 *5861:451 0.000300738 +510 *4817:104 *21032:B1 3.40423e-05 +511 *4817:104 *5861:451 0.000106907 +512 *4823:99 *5861:463 0.000205006 +513 *4824:116 *5861:330 2.54844e-05 +514 *4824:120 *5861:330 0.000108126 +515 *4824:122 *5861:330 0.0013428 +516 *4824:122 *5861:336 0.000333411 +517 *4824:122 *5861:338 6.34564e-05 +518 *4824:122 *5861:340 0.000640669 +519 *4824:122 *5861:363 0.000955265 +520 *4824:130 *5861:434 5.22082e-05 +521 *4826:74 *5861:330 1.86942e-05 +522 *4826:84 *5861:363 0.000222766 +523 *4833:70 *20172:B1 0.000117073 +524 *4839:64 *5861:25 0.000273307 +525 *4839:93 *5861:66 0.000239568 +526 *4840:139 *5861:273 2.16355e-05 +527 *4843:87 *5861:72 0.000996394 +528 *4844:215 *5861:408 0.000272732 +529 *4844:275 *5861:482 0.000520719 +530 *4844:681 *5861:298 1.48877e-05 +531 *4845:229 *20216:B1 0.00012516 +532 *4845:229 *5861:311 4.31485e-06 +533 *4845:252 *5861:363 3.64072e-05 +534 *4845:254 *5861:363 2.70661e-05 +535 *4845:316 *5861:458 9.24241e-05 +536 *4845:316 *5861:463 0.000265546 +537 *4860:18 *21095:B1 0.000809596 +538 *4861:14 *5861:166 0.000106245 +539 *4861:19 *5861:166 0.000686023 +540 *4873:17 *20699:B1 0.00183278 +541 *4873:26 *20699:B1 1.57662e-05 +542 *4881:169 *5861:5 0.000406909 +543 *4881:169 *5861:25 6.13007e-05 +544 *4881:177 *5861:25 0.00020502 +545 *4881:177 *5861:43 0.000498675 +546 *4903:214 *24041:A1 0.000553766 +547 *4903:222 *20272:B1 0.000139435 +548 *4903:222 *24041:A1 8.62625e-06 +549 *4904:41 *5861:408 0.000416048 +550 *4910:83 *5861:482 6.15399e-06 +551 *4910:92 *5861:476 0.000504539 +552 *4910:92 *5861:480 7.4688e-05 +553 *4910:92 *5861:482 7.84469e-06 +554 *4910:99 *5861:476 0.000187997 +555 *4910:110 *5861:476 0.000357007 +556 *4912:23 *5861:498 0.000452999 +557 *4912:29 *5861:498 0.000192554 +558 *4916:118 *5861:166 5.98044e-05 +559 *4932:127 *5861:112 3.88358e-05 +560 *4934:19 *5861:138 0.000634809 +561 *4934:19 *5861:143 7.34948e-06 +562 *4934:27 *5861:138 0.000422448 +563 *4934:38 *5861:138 0.000522324 +564 *4936:8 *5861:179 0.000834967 +565 *4956:24 *5861:311 0.000333195 +566 *4978:11 *5861:458 4.20662e-05 +567 *5050:8 *5861:89 4.0605e-06 +568 *5057:10 *5861:363 7.82162e-06 +569 *5086:20 *20216:B1 0.000210003 +570 *5250:27 *5861:25 0.000444724 +571 *5250:27 *5861:35 0.0011029 +572 *5346:7 *21095:B1 5.04829e-06 +573 *5350:11 *5861:298 1.9101e-05 +574 *5381:12 *5861:482 0.000138073 +575 *5417:22 *20699:B1 3.55968e-05 +576 *5424:13 *20945:B1 6.47364e-05 +577 *5457:13 *20536:B1 0 +578 *5457:13 *5861:157 0.000109235 +579 *5458:13 *20536:B1 0 +580 *5476:69 *20172:B1 0 +581 *5526:32 *5861:476 0.000171493 +582 *5682:22 *5861:179 1.5714e-05 +583 *5788:18 *5861:498 0 +584 *5790:8 *5861:498 0.000174175 +585 *5796:11 *5861:498 0.000104079 +586 *5801:92 *5861:138 0.0015841 +587 *5801:92 *5861:157 0.0002165 +588 *5801:92 *5861:163 0.00160724 +589 *5851:36 *5861:157 0.000130777 +590 *5851:577 *5861:179 0.000158357 +591 *5853:365 *20172:B1 0.000102614 +592 *5855:298 *5861:476 0.000730072 +593 *5855:334 *5861:492 2.96023e-05 +594 *5855:338 *5861:492 1.41291e-05 +595 *5857:88 *5861:330 0.000109977 +596 *5857:113 *5861:363 0.000382039 +597 *5857:196 *5861:492 0.000657262 +598 *5857:467 *5861:363 0.000130702 +599 *5859:167 *5861:363 1.449e-05 +*RES +1 *25372:X *5861:5 19.4008 +2 *5861:5 *5861:10 41.5607 +3 *5861:10 *20498:B1 9.24915 +4 *5861:5 *5861:25 31.3173 +5 *5861:25 *20787:B1 9.82786 +6 *5861:25 *5861:35 12.9488 +7 *5861:35 *5861:43 42.3138 +8 *5861:43 *20672:B1 9.24915 +9 *5861:43 *5861:58 23.6487 +10 *5861:58 *5861:66 29.8233 +11 *5861:66 *5861:72 49.6593 +12 *5861:72 *5861:79 10.7006 +13 *5861:79 *5861:89 27.6812 +14 *5861:89 *5861:97 32.1024 +15 *5861:97 *5861:112 40.9714 +16 *5861:112 *5861:127 35.947 +17 *5861:127 *5861:131 11.0817 +18 *5861:131 *5861:132 57.9449 +19 *5861:132 *5861:138 46.0689 +20 *5861:138 *5861:143 5.80211 +21 *5861:143 *5861:145 0.988641 +22 *5861:145 *5861:157 25.2438 +23 *5861:157 *5861:163 45.5242 +24 *5861:163 *5861:165 4.5 +25 *5861:165 *5861:166 51.4546 +26 *5861:166 *5861:179 45.4474 +27 *5861:179 *25371:A 9.24915 +28 *5861:157 *20536:B1 32.8364 +29 *5861:145 *20892:B1 27.1894 +30 *5861:143 *20726:B1 9.24915 +31 *5861:127 *20795:B1 9.82786 +32 *5861:112 *20934:B1 9.24915 +33 *5861:97 *20866:B1 9.82786 +34 *5861:89 *20680:B1 9.24915 +35 *5861:79 *19594:A0 9.24915 +36 *5861:66 *20394:B1 18.1016 +37 *5861:58 *5861:242 6.82404 +38 *5861:242 *20444:B1 9.24915 +39 *5861:242 *5861:257 18.6523 +40 *5861:257 *5861:273 47.7716 +41 *5861:273 *5861:276 3.52053 +42 *5861:276 *21095:B1 35.5018 +43 *5861:276 *5861:298 35.2694 +44 *5861:298 *20216:B1 22.4328 +45 *5861:298 *5861:311 11.1722 +46 *5861:311 *20924:B1 9.24915 +47 *5861:311 *5861:319 16.6278 +48 *5861:319 *5861:320 104.301 +49 *5861:320 *5861:323 19.4008 +50 *5861:323 *5861:330 47.2466 +51 *5861:330 *5861:336 17.093 +52 *5861:336 *5861:338 1.20912 +53 *5861:338 *5861:340 12.8362 +54 *5861:340 *5861:363 45.8781 +55 *5861:363 *5861:365 6.26943 +56 *5861:365 *20661:B1 10.5513 +57 *5861:365 *5861:397 45.2438 +58 *5861:397 *5861:408 44.4042 +59 *5861:408 *5861:409 12.3701 +60 *5861:409 *5861:413 12.191 +61 *5861:413 *5861:414 81.1229 +62 *5861:414 *21076:B1 20.9096 +63 *5861:409 *5861:434 41.2131 +64 *5861:434 *21032:B1 30.4257 +65 *5861:434 *5861:451 21.3069 +66 *5861:451 *5861:458 49.9408 +67 *5861:458 *5861:463 11.626 +68 *5861:463 *5861:469 37.5797 +69 *5861:469 *5861:476 32.4838 +70 *5861:476 *5861:480 2.73578 +71 *5861:480 *5861:482 63.4725 +72 *5861:482 *5861:484 3.90826 +73 *5861:484 *5861:492 48.9877 +74 *5861:492 *5861:497 10.8326 +75 *5861:497 *5861:498 112.704 +76 *5861:498 *5861:501 5.2234 +77 *5861:501 *21175:B1 13.3484 +78 *5861:501 *6139:DIODE 9.24915 +79 *5861:484 *20261:B1 13.7491 +80 *5861:482 *24047:A1 14.4725 +81 *5861:476 *20172:B1 26.5559 +82 *5861:469 *20140:B1 9.24915 +83 *5861:463 *20903:B1 9.24915 +84 *5861:458 *20623:B1 49.5331 +85 *5861:397 *20999:B1 9.24915 +86 *5861:340 *20945:B1 18.9094 +87 *5861:338 *20699:B1 25.9167 +88 *5861:336 *20964:B1 13.7491 +89 *5861:323 *5861:598 26.8529 +90 *5861:598 *24041:A1 30.2333 +91 *5861:598 *20272:B1 16.8577 +92 *5861:257 *20710:B1 15.6059 +93 *5861:35 *20547:B1 9.24915 +*END + +*D_NET *5862 0.121443 +*CONN +*I *24226:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24227:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24490:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24224:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24249:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24494:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24464:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24765:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24766:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24727:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24296:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24300:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24687:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24301:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24297:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24442:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24437:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24298:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24295:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24299:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24294:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24770:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24768:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24767:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24307:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24465:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24466:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24469:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24313:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24308:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24312:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24470:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24309:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24314:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24310:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24311:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24230:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24223:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24495:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24491:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24242:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24228:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24341:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24247:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24244:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24229:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24342:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24492:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24335:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24225:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24493:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24489:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24496:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24246:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24243:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24245:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24248:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24784:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24785:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24363:SET_B I *D sky130_fd_sc_hd__dfstp_2 +*I *24786:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25373:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24226:RESET_B 6.55429e-05 +2 *24227:RESET_B 5.30001e-05 +3 *24490:SET_B 7.13817e-05 +4 *24224:SET_B 5.02097e-05 +5 *24249:RESET_B 0.000316932 +6 *24494:RESET_B 4.49031e-05 +7 *24464:SET_B 0.000464086 +8 *24765:RESET_B 7.79905e-05 +9 *24766:RESET_B 0 +10 *24727:RESET_B 0.000279287 +11 *24296:RESET_B 0.0004157 +12 *24300:RESET_B 0.00012195 +13 *24687:RESET_B 0.000239075 +14 *24301:RESET_B 0.000484761 +15 *24297:RESET_B 1.75736e-05 +16 *24442:RESET_B 0.000442033 +17 *24437:SET_B 0.000210569 +18 *24298:RESET_B 0.000365619 +19 *24295:SET_B 0.000221017 +20 *24299:RESET_B 3.16642e-05 +21 *24294:SET_B 0.000165699 +22 *24770:RESET_B 1.88193e-05 +23 *24768:RESET_B 0.000258031 +24 *24767:RESET_B 1.48678e-05 +25 *24307:SET_B 0 +26 *24465:RESET_B 0.000150343 +27 *24466:RESET_B 4.57746e-05 +28 *24469:RESET_B 0.000196273 +29 *24313:RESET_B 0.000262451 +30 *24308:SET_B 0 +31 *24312:RESET_B 0.000661148 +32 *24470:RESET_B 0.000551011 +33 *24309:RESET_B 0.000139735 +34 *24314:RESET_B 0.00023743 +35 *24310:RESET_B 0 +36 *24311:RESET_B 0.000175968 +37 *24230:RESET_B 0.000361622 +38 *24223:SET_B 4.7026e-05 +39 *24495:RESET_B 0.000113319 +40 *24491:RESET_B 0.00096977 +41 *24242:SET_B 2.17018e-05 +42 *24228:RESET_B 8.11273e-05 +43 *24341:RESET_B 0.000215459 +44 *24247:RESET_B 0.000863936 +45 *24244:RESET_B 5.30577e-05 +46 *24229:RESET_B 4.93263e-05 +47 *24342:RESET_B 0.000641626 +48 *24492:RESET_B 0.000227394 +49 *24335:SET_B 0.000640204 +50 *24225:RESET_B 0 +51 *24493:RESET_B 0.00117011 +52 *24489:SET_B 0.00058106 +53 *24496:RESET_B 2.17018e-05 +54 *24246:RESET_B 0.000985486 +55 *24243:SET_B 0 +56 *24245:RESET_B 0.000182524 +57 *24248:RESET_B 0.000258721 +58 *24784:SET_B 0 +59 *24785:SET_B 3.32468e-05 +60 *24363:SET_B 0.000860199 +61 *24786:RESET_B 3.88426e-05 +62 *25373:X 0.000614566 +63 *5862:663 0.000468281 +64 *5862:662 0.000750987 +65 *5862:634 0.000689855 +66 *5862:612 0.000374468 +67 *5862:594 0.000746213 +68 *5862:585 0.000440899 +69 *5862:570 0.000723837 +70 *5862:568 0.000338816 +71 *5862:559 0.000316499 +72 *5862:537 0.00094273 +73 *5862:536 0.000761418 +74 *5862:521 0.0010954 +75 *5862:500 0.000836886 +76 *5862:491 0.000849614 +77 *5862:475 0.000757533 +78 *5862:472 0.000494207 +79 *5862:463 0.000517703 +80 *5862:454 0.000987632 +81 *5862:441 0.000997924 +82 *5862:434 4.03217e-06 +83 *5862:411 0.000961683 +84 *5862:402 0.00129509 +85 *5862:399 0.000668271 +86 *5862:390 0.000616534 +87 *5862:384 0.000336241 +88 *5862:368 0.000923175 +89 *5862:365 0.00123227 +90 *5862:347 0.000459306 +91 *5862:335 0.000687147 +92 *5862:329 0.000375536 +93 *5862:319 0.000779338 +94 *5862:296 0.000627721 +95 *5862:293 0.000614218 +96 *5862:282 0.000180915 +97 *5862:267 0.00164376 +98 *5862:259 0.00102596 +99 *5862:250 0.000337152 +100 *5862:247 0.000577953 +101 *5862:238 0.000415747 +102 *5862:227 0.000406185 +103 *5862:205 0.00118348 +104 *5862:202 0.000668171 +105 *5862:190 0.000385596 +106 *5862:177 0.00083811 +107 *5862:168 0.00053674 +108 *5862:153 0.000909839 +109 *5862:147 0.000135699 +110 *5862:146 0.00134496 +111 *5862:118 0.00120766 +112 *5862:101 0.000916104 +113 *5862:75 0.000642158 +114 *5862:66 0.000392914 +115 *5862:57 0.000761946 +116 *5862:47 0.00121771 +117 *5862:32 0.000990666 +118 *5862:17 0.0012356 +119 *5862:8 0.000850338 +120 *24309:RESET_B *5863:23 9.68902e-05 +121 *24363:SET_B *5950:9 0.000151272 +122 *5862:8 *5864:226 0.000164815 +123 *5862:441 *5924:40 8.91699e-06 +124 *5862:454 *5924:42 1.48713e-05 +125 *19781:A *5862:634 0.000207883 +126 *20165:B2 *5862:47 7.20253e-05 +127 *20167:B2 *5862:47 1.17512e-05 +128 *20616:A2 *24496:RESET_B 5.79739e-05 +129 *20619:B1 *24489:SET_B 0.00031416 +130 *20619:B1 *24493:RESET_B 4.92196e-05 +131 *20621:A1 *24491:RESET_B 5.30254e-05 +132 *20622:A2 *24496:RESET_B 4.88955e-05 +133 *20622:B2 *24496:RESET_B 4.32761e-05 +134 *20652:A *24313:RESET_B 0.000584058 +135 *20689:A *5862:454 0.000172156 +136 *20689:B *24767:RESET_B 1.91114e-05 +137 *20689:B *5862:454 0.000215292 +138 *20690:A *5862:454 4.58284e-05 +139 *20695:B1 *24466:RESET_B 0.000100397 +140 *20944:A2 *24312:RESET_B 5.07712e-05 +141 *20944:B2 *24312:RESET_B 9.595e-05 +142 *20945:B1 *5862:402 6.08467e-05 +143 *20959:B1 *5862:491 0.000139005 +144 *20960:A1 *5862:521 0.000198759 +145 *20990:A *24242:SET_B 0.000106869 +146 *20990:A *5862:250 0.000724714 +147 *21026:B1 *24245:RESET_B 0.000643642 +148 *21029:A2 *24246:RESET_B 0.000368866 +149 *21068:A *24492:RESET_B 6.50586e-05 +150 *21069:B2 *24495:RESET_B 5.04829e-06 +151 *21069:B2 *5862:267 0.000122834 +152 *21069:B2 *5862:282 4.08323e-05 +153 *21076:A1 *5862:267 0.000126295 +154 *21076:A1 *5862:282 9.34404e-05 +155 *21076:A1 *5862:293 4.40272e-05 +156 *21076:A1 *5862:319 5.74949e-05 +157 *21179:A *24768:RESET_B 6.50586e-05 +158 *21194:B1 *5862:146 0.000124828 +159 *21299:A *5862:205 0.000158357 +160 *21401:A *24770:RESET_B 0 +161 *21401:A *5862:491 4.2372e-05 +162 *21580:A *5862:32 1.94615e-05 +163 *21580:A *5862:47 9.42737e-05 +164 *21631:A *5862:329 2.88498e-05 +165 *21841:A *24491:RESET_B 4.99006e-05 +166 *24039:A1 *24727:RESET_B 0.000100397 +167 *24223:D *5862:319 2.39535e-05 +168 *24224:CLK *5862:634 0.000200794 +169 *24226:CLK *24490:SET_B 6.36439e-05 +170 *24226:CLK *5862:663 0.000132899 +171 *24245:CLK *24245:RESET_B 5.16467e-05 +172 *24246:CLK *24246:RESET_B 0.000198002 +173 *24248:CLK *24248:RESET_B 3.99086e-06 +174 *24312:CLK *24312:RESET_B 2.52414e-06 +175 *24341:D *24341:RESET_B 9.03915e-05 +176 *24342:D *24342:RESET_B 0.000154145 +177 *24342:CLK *24342:RESET_B 7.8168e-06 +178 *24442:D *24442:RESET_B 0.000154145 +179 *24465:CLK *24465:RESET_B 2.37827e-05 +180 *24465:CLK *5862:402 4.20506e-05 +181 *24465:CLK *5862:434 4.13673e-06 +182 *24467:CLK *5862:347 9.15115e-06 +183 *24491:CLK *24491:RESET_B 0.000100397 +184 *24492:CLK *24492:RESET_B 2.52414e-06 +185 *24495:D *24491:RESET_B 7.55464e-05 +186 *24495:CLK *24491:RESET_B 1.1718e-05 +187 *24687:CLK *24301:RESET_B 5.88657e-05 +188 *24687:CLK *24687:RESET_B 1.80068e-05 +189 *24727:D *24727:RESET_B 1.4592e-05 +190 *24785:CLK *24785:SET_B 1.91114e-05 +191 *24786:CLK *24786:RESET_B 1.91114e-05 +192 *477:152 *5862:463 5.71133e-05 +193 *477:152 *5862:612 4.60888e-05 +194 *478:54 *24294:SET_B 0.000339897 +195 *478:54 *5862:500 0.000126981 +196 *502:14 *24309:RESET_B 9.32927e-05 +197 *502:14 *5862:365 0.000912781 +198 *502:18 *5862:282 2.19131e-05 +199 *502:18 *5862:293 1.16596e-05 +200 *502:18 *5862:319 0.000104496 +201 *504:14 *5862:118 0.000298856 +202 *504:16 *24363:SET_B 0.00016911 +203 *518:44 *24489:SET_B 5.51275e-05 +204 *1439:28 *5862:491 0.000129597 +205 *1439:54 *24464:SET_B 0.000165171 +206 *1439:170 *5862:8 0.000403173 +207 *1450:164 *5862:259 3.81082e-05 +208 *1450:164 *5862:267 0.000216182 +209 *1545:78 *24494:RESET_B 6.07304e-07 +210 *1551:83 *24247:RESET_B 0.00011594 +211 *1557:83 *5862:8 5.97576e-05 +212 *1557:96 *5862:147 7.83643e-05 +213 *1557:96 *5862:153 0.000193456 +214 *1557:96 *5862:168 0.000537177 +215 *1557:96 *5862:177 0.000257203 +216 *1557:96 *5862:190 0.000130539 +217 *1557:96 *5862:662 0.000119085 +218 *1573:101 *24248:RESET_B 2.52414e-06 +219 *1573:231 *24311:RESET_B 5.45775e-05 +220 *1573:231 *5862:329 1.96456e-05 +221 *1591:7 *5862:454 6.78364e-06 +222 *1608:98 *24312:RESET_B 0 +223 *1608:107 *24295:SET_B 4.96202e-06 +224 *1652:28 *5862:101 4.51619e-05 +225 *1688:75 *5862:411 0.000307515 +226 *1742:76 *24224:SET_B 0.000116986 +227 *1742:76 *5862:202 0.000314302 +228 *1742:76 *5862:227 0.000239826 +229 *1742:76 *5862:238 9.55862e-05 +230 *1742:76 *5862:247 0.000581763 +231 *1742:80 *24230:RESET_B 3.63593e-05 +232 *1853:11 *5862:47 0.000251101 +233 *1864:22 *5862:47 3.42037e-06 +234 *1865:70 *5862:47 7.28994e-06 +235 *1899:36 *24437:SET_B 7.21868e-05 +236 *1899:36 *24727:RESET_B 0.000349948 +237 *1899:36 *5862:537 0.000607957 +238 *1899:36 *5862:559 0.000367783 +239 *1899:36 *5862:568 0.00010617 +240 *1899:36 *5862:585 0.00060935 +241 *1899:36 *5862:594 0.00013592 +242 *1919:14 *24727:RESET_B 0.000307023 +243 *2015:18 *24489:SET_B 0.000275494 +244 *2015:29 *5862:118 7.50872e-05 +245 *2027:20 *5862:411 0.000809865 +246 *2117:297 *24363:SET_B 0.000172722 +247 *2141:14 *24335:SET_B 8.86374e-05 +248 *2141:49 *24335:SET_B 8.62625e-06 +249 *2155:22 *24312:RESET_B 0.000154145 +250 *2177:51 *24246:RESET_B 0.000172156 +251 *2178:7 *24246:RESET_B 5.51483e-06 +252 *2178:14 *5862:101 5.41227e-05 +253 *2203:7 *24492:RESET_B 5.17988e-05 +254 *2203:59 *5862:267 0.000231318 +255 *2244:19 *24335:SET_B 5.55383e-05 +256 *2244:19 *5862:146 0.000461092 +257 *2256:37 *5862:101 0.000165381 +258 *2256:38 *5862:101 0.000134733 +259 *2256:38 *5862:118 4.57241e-06 +260 *2256:88 *24489:SET_B 0.0004164 +261 *2262:85 *24768:RESET_B 0.000573987 +262 *2266:33 *5862:521 0.000214849 +263 *2274:95 *24230:RESET_B 3.56216e-05 +264 *2274:95 *5862:296 7.95779e-05 +265 *2282:53 *24230:RESET_B 0.000161329 +266 *2282:53 *5862:296 0.000133004 +267 *2282:78 *24335:SET_B 0.000349598 +268 *2287:94 *24314:RESET_B 0.00038521 +269 *2297:52 *24296:RESET_B 8.08156e-06 +270 *2301:45 *5862:634 0.000393863 +271 *2304:14 *24246:RESET_B 7.8834e-05 +272 *2304:14 *5862:75 8.91927e-05 +273 *2310:10 *24247:RESET_B 0.000125354 +274 *2311:13 *24491:RESET_B 0.000340742 +275 *2311:13 *24495:RESET_B 3.05039e-05 +276 *2313:11 *5862:47 0.000179856 +277 *2313:11 *5862:57 0.000190216 +278 *2319:23 *24296:RESET_B 0.000865369 +279 *2319:26 *24295:SET_B 0.00045656 +280 *2319:26 *24298:RESET_B 0.000251576 +281 *2333:38 *24335:SET_B 4.12686e-05 +282 *2355:17 *24464:SET_B 1.13815e-05 +283 *2360:43 *24442:RESET_B 3.16295e-05 +284 *2379:58 *24249:RESET_B 0.000476065 +285 *2381:26 *5862:8 0 +286 *2384:38 *24230:RESET_B 0.000246254 +287 *2389:81 *24230:RESET_B 1.91114e-05 +288 *2389:96 *24230:RESET_B 3.29488e-05 +289 *2391:89 *24469:RESET_B 0.000109427 +290 *2394:16 *5862:8 3.46206e-05 +291 *2397:10 *24249:RESET_B 0.000470984 +292 *2397:76 *24363:SET_B 0.00107917 +293 *2415:22 *5862:147 2.57635e-05 +294 *2415:22 *5862:153 4.6292e-05 +295 *2415:22 *5862:662 0.000627331 +296 *2415:26 *5862:153 9.13411e-05 +297 *2415:26 *5862:168 0.000543225 +298 *2415:26 *5862:177 0.000262231 +299 *2415:26 *5862:190 0.000134242 +300 *2415:26 *5862:227 5.05262e-05 +301 *2415:26 *5862:238 3.39843e-05 +302 *2415:26 *5862:247 1.22851e-05 +303 *2421:91 *24469:RESET_B 0.000109421 +304 *2423:93 *5862:296 1.09444e-05 +305 *2425:17 *5862:8 6.53354e-05 +306 *2425:40 *24341:RESET_B 0 +307 *2425:48 *24494:RESET_B 0.000100397 +308 *2425:48 *5862:634 0.000537407 +309 *2433:31 *24768:RESET_B 0.000271895 +310 *2446:145 *5862:329 2.37478e-05 +311 *2446:145 *5862:335 0.000361531 +312 *2446:145 *5862:347 0.000317437 +313 *2459:10 *24246:RESET_B 9.32891e-05 +314 *2459:10 *5862:57 0.000160009 +315 *2459:10 *5862:66 0.000115878 +316 *2459:10 *5862:75 0.000234691 +317 *2461:11 *5862:491 2.91525e-05 +318 *2473:57 *24314:RESET_B 0.00097017 +319 *2478:11 *24341:RESET_B 1.01177e-05 +320 *2486:70 *5862:463 7.09666e-06 +321 *2486:70 *5862:472 0.000199353 +322 *2486:70 *5862:612 0.000179799 +323 *2486:76 *24442:RESET_B 0.000158451 +324 *2492:7 *24249:RESET_B 0.000398187 +325 *2494:13 *24301:RESET_B 4.66915e-05 +326 *2494:13 *24687:RESET_B 5.2825e-05 +327 *2494:17 *24301:RESET_B 0.00119312 +328 *2520:33 *5862:491 0 +329 *2520:53 *24312:RESET_B 0.000145937 +330 *2545:67 *24335:SET_B 0.000110067 +331 *2572:23 *24249:RESET_B 0.000253784 +332 *2610:48 *24223:SET_B 7.83643e-05 +333 *2610:56 *5862:250 0.000154145 +334 *2631:11 *5862:521 0.000113968 +335 *2635:35 *24297:RESET_B 5.37479e-05 +336 *2636:17 *24245:RESET_B 5.7051e-05 +337 *2679:41 *5862:329 3.06917e-06 +338 *2729:50 *24294:SET_B 3.55859e-05 +339 *2729:50 *5862:500 0.00012336 +340 *2772:12 *24229:RESET_B 1.83791e-05 +341 *2772:12 *5862:202 0.000154145 +342 *2814:15 *24492:RESET_B 7.98171e-06 +343 *2900:60 *24227:RESET_B 0.000124557 +344 *2900:60 *5862:8 0.000894583 +345 *2900:60 *5862:17 0.000533726 +346 *2900:60 *5862:32 0.000455661 +347 *2900:60 *5862:47 0.000259358 +348 *2900:111 *24312:RESET_B 0.000138643 +349 *2906:74 *24248:RESET_B 0.000186162 +350 *2950:92 *5862:146 1.1573e-05 +351 *2978:93 *5862:8 0.00028152 +352 *2990:19 *5862:101 0.000144051 +353 *2990:36 *5862:118 0.000298856 +354 *3010:8 *24765:RESET_B 0.000230019 +355 *3010:8 *5862:463 0.000358504 +356 *3010:8 *5862:472 0.000195797 +357 *3010:8 *5862:612 0.000490278 +358 *3041:61 *24247:RESET_B 0.000119035 +359 *3076:32 *5862:57 0.000192965 +360 *3076:32 *5862:66 4.05847e-05 +361 *3076:32 *5862:75 4.62323e-05 +362 *3076:32 *5862:146 4.75721e-06 +363 *3153:102 *24491:RESET_B 8.18283e-05 +364 *3153:112 *24491:RESET_B 3.88655e-06 +365 *3212:19 *5862:491 0.000367285 +366 *3257:36 *5862:454 0.000346593 +367 *3257:44 *5862:411 0.000222208 +368 *3257:80 *24247:RESET_B 0.000843713 +369 *4805:36 *24223:SET_B 7.83643e-05 +370 *4805:36 *24464:SET_B 0.000165171 +371 *4805:47 *24224:SET_B 0.00010836 +372 *4805:47 *24228:RESET_B 3.98617e-05 +373 *4805:47 *5862:247 0.000536601 +374 *4806:11 *5862:118 0.000349354 +375 *4806:80 *5862:662 0.000331486 +376 *4806:80 *5862:663 0.000107496 +377 *4810:22 *5862:202 0.000314302 +378 *4810:22 *5862:227 0.000108465 +379 *4810:29 *24492:RESET_B 0.000683882 +380 *4811:6 *5862:329 0.000119354 +381 *4811:18 *5862:259 0.000110766 +382 *4811:18 *5862:267 3.30186e-05 +383 *4811:18 *5862:319 3.20754e-05 +384 *4812:80 *5862:559 2.1203e-06 +385 *4812:80 *5862:568 4.33186e-05 +386 *4812:80 *5862:585 0.000149499 +387 *4815:35 *5862:559 3.09435e-05 +388 *4815:35 *5862:585 4.60375e-07 +389 *4816:55 *24296:RESET_B 0.000331873 +390 *4816:80 *24437:SET_B 2.20663e-05 +391 *4816:80 *5862:537 0.0002552 +392 *4816:80 *5862:559 5.76615e-05 +393 *4816:96 *5862:399 6.34651e-06 +394 *4816:96 *5862:441 5.36301e-05 +395 *4818:16 *24469:RESET_B 0.000235154 +396 *4818:16 *5862:411 7.58517e-05 +397 *4820:86 *24296:RESET_B 0.000205176 +398 *4826:84 *5862:319 0.000210332 +399 *4826:84 *5862:329 0.000362573 +400 *4826:84 *5862:335 0.000350807 +401 *4826:84 *5862:347 0.000342498 +402 *4826:84 *5862:365 0.000907806 +403 *4833:70 *5862:47 0.000221983 +404 *4833:77 *5862:47 0.000185786 +405 *4833:77 *5862:57 0.000377867 +406 *4843:298 *24765:RESET_B 0.000239143 +407 *4843:298 *5862:612 0.000185509 +408 *4843:385 *24244:RESET_B 5.04829e-06 +409 *4843:385 *5862:205 0.000240997 +410 *4844:207 *24469:RESET_B 6.38248e-05 +411 *4844:244 *5862:205 0.000440512 +412 *4845:250 *24294:SET_B 0.000265927 +413 *4845:252 *5862:411 1.5714e-05 +414 *4845:270 *5862:319 0.000381075 +415 *4866:102 *24785:SET_B 0.000103359 +416 *4872:78 *5862:491 3.77568e-05 +417 *4903:7 *5862:536 0.000231881 +418 *4903:10 *24295:SET_B 0.000178682 +419 *4903:10 *24298:RESET_B 0.00010084 +420 *4903:33 *24442:RESET_B 1.41853e-05 +421 *4903:44 *24442:RESET_B 0.000111708 +422 *4903:61 *24469:RESET_B 7.09879e-06 +423 *4903:149 *24301:RESET_B 0.00088433 +424 *4903:214 *24727:RESET_B 0.000358574 +425 *4903:214 *5862:585 0.000247035 +426 *4903:214 *5862:594 0.000144546 +427 *4904:57 *24230:RESET_B 9.87126e-06 +428 *4906:52 *5862:463 0.000203397 +429 *4908:19 *5862:101 0.000194216 +430 *4908:19 *5862:118 9.24241e-05 +431 *4908:146 *24244:RESET_B 5.37479e-05 +432 *4908:146 *24247:RESET_B 0.00011594 +433 *4911:100 *5862:8 0.000325124 +434 *4911:100 *5862:17 4.70104e-05 +435 *4911:109 *5862:17 0.000191541 +436 *4911:118 *5862:17 0.000209824 +437 *4911:133 *5862:17 9.24241e-05 +438 *4911:133 *5862:32 0.000401693 +439 *4911:147 *24785:SET_B 2.16355e-05 +440 *5034:13 *24437:SET_B 4.28856e-07 +441 *5057:10 *24309:RESET_B 4.58102e-06 +442 *5057:10 *5862:347 3.52746e-06 +443 *5065:7 *24491:RESET_B 4.3271e-05 +444 *5068:15 *24494:RESET_B 1.21461e-06 +445 *5068:15 *5862:634 5.20546e-06 +446 *5069:5 *24491:RESET_B 1.75921e-05 +447 *5069:5 *24495:RESET_B 0.000147046 +448 *5093:7 *24767:RESET_B 5.79739e-05 +449 *5093:7 *5862:454 9.55447e-05 +450 *5094:12 *24768:RESET_B 0.000155578 +451 *5096:9 *5862:475 3.4123e-05 +452 *5096:9 *5862:491 3.79135e-05 +453 *5234:7 *24786:RESET_B 0.000100397 +454 *5367:7 *24228:RESET_B 5.99529e-06 +455 *5368:9 *24342:RESET_B 5.481e-05 +456 *5369:7 *24230:RESET_B 0.000100397 +457 *5385:7 *24242:SET_B 4.32761e-05 +458 *5385:7 *5862:250 4.14681e-05 +459 *5390:7 *24244:RESET_B 5.51483e-06 +460 *5392:7 *24246:RESET_B 8.75812e-05 +461 *5393:7 *24247:RESET_B 0.000153482 +462 *5394:8 *24248:RESET_B 0.000153421 +463 *5416:5 *24296:RESET_B 3.66588e-05 +464 *5417:5 *24297:RESET_B 1.91114e-05 +465 *5417:26 *24469:RESET_B 0.000238694 +466 *5417:26 *5862:411 7.01887e-05 +467 *5418:10 *5862:536 3.91317e-05 +468 *5420:5 *24300:RESET_B 1.8696e-05 +469 *5424:13 *5862:441 8.08437e-05 +470 *5428:5 *24464:SET_B 7.82422e-05 +471 *5428:5 *5862:368 0.000110949 +472 *5430:8 *5862:329 5.61803e-05 +473 *5552:7 *24727:RESET_B 4.59816e-06 +474 *5592:87 *5862:319 0.000173255 +475 *5853:208 *24299:RESET_B 1.91114e-05 +476 *5853:208 *5862:521 9.40978e-05 +477 *5853:227 *5862:521 9.75599e-05 +478 *5853:260 *5862:250 3.82228e-05 +479 *5853:304 *24227:RESET_B 5.35413e-05 +480 *5857:97 *24294:SET_B 1.3807e-05 +481 *5857:97 *5862:411 1.9101e-05 +482 *5859:155 *5862:475 6.08467e-05 +483 *5859:155 *5862:491 0.000337948 +484 *5859:167 *24312:RESET_B 1.91391e-05 +485 *5859:167 *5862:384 9.79705e-05 +486 *5859:167 *5862:390 0.000688317 +487 *5859:167 *5862:399 7.4749e-05 +488 *5859:167 *5862:411 0.000559464 +489 *5859:215 *5862:101 0.00065563 +490 *5861:338 *5862:454 3.88655e-06 +491 *5861:340 *5862:441 0.000222435 +492 *5861:340 *5862:454 0.000154421 +493 *5861:363 *24312:RESET_B 1.5714e-05 +494 *5861:363 *5862:347 8.62625e-06 +495 *5861:363 *5862:384 0.000103633 +496 *5861:363 *5862:390 0.000699041 +497 *5861:363 *5862:399 9.07692e-05 +498 *5861:363 *5862:441 2.43314e-05 +499 *5861:434 *5862:267 0.000530532 +500 *5861:598 *24727:RESET_B 1.21461e-06 +*RES +1 *25373:X *5862:8 38.6028 +2 *5862:8 *24786:RESET_B 5.6936 +3 *5862:8 *5862:17 9.72179 +4 *5862:17 *24363:SET_B 30.696 +5 *5862:17 *5862:32 8.47603 +6 *5862:32 *24785:SET_B 5.6936 +7 *5862:32 *5862:47 28.3449 +8 *5862:47 *24784:SET_B 4.5 +9 *5862:47 *5862:57 14.3628 +10 *5862:57 *24248:RESET_B 11.2396 +11 *5862:57 *5862:66 2.24725 +12 *5862:66 *24245:RESET_B 11.7942 +13 *5862:66 *5862:75 4.73876 +14 *5862:75 *24243:SET_B 4.5 +15 *5862:75 *24246:RESET_B 16.7851 +16 *24246:RESET_B *5862:101 23.0154 +17 *5862:101 *24496:RESET_B 5.6936 +18 *5862:101 *5862:118 24.9523 +19 *5862:118 *24489:SET_B 22.8144 +20 *5862:118 *24493:RESET_B 3.43613 +21 *24493:RESET_B *5862:146 14.0206 +22 *5862:146 *5862:147 1.62437 +23 *5862:147 *24225:RESET_B 4.5 +24 *5862:147 *5862:153 3.70063 +25 *5862:153 *24335:SET_B 14.8595 +26 *5862:153 *5862:168 9.92941 +27 *5862:168 *24492:RESET_B 12.3488 +28 *5862:168 *5862:177 5.15401 +29 *5862:177 *24342:RESET_B 13.4822 +30 *5862:177 *5862:190 7.1625 +31 *5862:190 *24229:RESET_B 1.21772 +32 *5862:190 *5862:202 12.7324 +33 *5862:202 *5862:205 13.5424 +34 *5862:205 *24244:RESET_B 1.1936 +35 *5862:205 *24247:RESET_B 27.0534 +36 *5862:202 *5862:227 4.73876 +37 *5862:227 *24341:RESET_B 9.04534 +38 *5862:227 *5862:238 1.832 +39 *5862:238 *24228:RESET_B 6.24821 +40 *5862:238 *5862:247 11.3828 +41 *5862:247 *5862:250 12.9878 +42 *5862:250 *24242:SET_B 1.1936 +43 *5862:250 *5862:259 6.74725 +44 *5862:259 *5862:267 18.0024 +45 *5862:267 *24491:RESET_B 29.4503 +46 *5862:267 *5862:282 1.832 +47 *5862:282 *24495:RESET_B 7.53561 +48 *5862:282 *5862:293 0.793864 +49 *5862:293 *5862:296 4.03059 +50 *5862:296 *24223:SET_B 9.49315 +51 *5862:296 *24230:RESET_B 10.671 +52 *5862:293 *5862:319 17.3362 +53 *5862:319 *24311:RESET_B 3.41202 +54 *5862:319 *5862:329 12.593 +55 *5862:329 *24310:RESET_B 4.5 +56 *5862:329 *5862:335 6.81502 +57 *5862:335 *24314:RESET_B 15.6765 +58 *5862:335 *5862:347 13.0083 +59 *5862:347 *24309:RESET_B 12.11 +60 *5862:347 *24470:RESET_B 0.494321 +61 *24470:RESET_B *5862:365 27.4421 +62 *5862:365 *5862:368 7.99641 +63 *5862:368 *24312:RESET_B 18.6366 +64 *5862:368 *5862:384 1.832 +65 *5862:384 *24308:SET_B 4.5 +66 *5862:384 *5862:390 13.4591 +67 *5862:390 *24313:RESET_B 11.2396 +68 *5862:390 *5862:399 1.832 +69 *5862:399 *5862:402 10.2148 +70 *5862:402 *5862:411 15.4322 +71 *5862:411 *24469:RESET_B 12.2355 +72 *5862:411 *24466:RESET_B 5.6936 +73 *5862:402 *24465:RESET_B 2.37515 +74 *24465:RESET_B *5862:434 0.168792 +75 *5862:399 *5862:441 5.88681 +76 *5862:441 *24307:SET_B 4.5 +77 *5862:441 *5862:454 22.766 +78 *5862:454 *24767:RESET_B 0.639 +79 *5862:454 *5862:463 11.315 +80 *5862:463 *24768:RESET_B 14.0127 +81 *5862:463 *5862:472 3.90826 +82 *5862:472 *5862:475 6.88721 +83 *5862:475 *24770:RESET_B 0.494321 +84 *5862:475 *5862:491 17.4327 +85 *5862:491 *24294:SET_B 11.1074 +86 *5862:491 *5862:500 6.95487 +87 *5862:500 *24299:RESET_B 0.639 +88 *5862:500 *5862:521 19.1126 +89 *5862:521 *24295:SET_B 12.976 +90 *5862:521 *24298:RESET_B 9.23876 +91 *24298:RESET_B *5862:536 11.2396 +92 *5862:536 *5862:537 11.3828 +93 *5862:537 *24437:SET_B 8.24367 +94 *5862:537 *24442:RESET_B 11.8184 +95 *5862:536 *5862:559 7.23027 +96 *5862:559 *24297:RESET_B 5.139 +97 *5862:559 *5862:568 2.24725 +98 *5862:568 *5862:570 4.5 +99 *5862:570 *24301:RESET_B 17.8558 +100 *5862:570 *24687:RESET_B 5.07583 +101 *5862:568 *5862:585 11.3828 +102 *5862:585 *24300:RESET_B 6.80281 +103 *5862:585 *5862:594 2.6625 +104 *5862:594 *24296:RESET_B 21.2225 +105 *5862:594 *24727:RESET_B 15.9756 +106 *5862:472 *5862:612 10.137 +107 *5862:612 *24766:RESET_B 4.5 +108 *5862:612 *24765:RESET_B 8.82351 +109 *5862:365 *24464:SET_B 17.7926 +110 *5862:259 *5862:634 16.3155 +111 *5862:634 *24494:RESET_B 1.1936 +112 *5862:634 *24249:RESET_B 22.8278 +113 *5862:247 *24224:SET_B 6.74725 +114 *5862:146 *5862:662 17.2385 +115 *5862:662 *5862:663 7.37864 +116 *5862:663 *24490:SET_B 1.74821 +117 *5862:663 *24227:RESET_B 11.2472 +118 *5862:662 *24226:RESET_B 1.1936 +*END + +*D_NET *5863 0.0237132 +*CONN +*I *21868:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *25136:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21868:A1_N 0 +2 *25136:X 4.22791e-05 +3 *5863:29 0.00113539 +4 *5863:27 0.00170424 +5 *5863:23 0.0016346 +6 *5863:11 0.00380138 +7 *5863:8 0.00277791 +8 *5863:11 *5904:45 0.00174803 +9 *20295:A *5863:23 6.3657e-05 +10 *20295:B *5863:27 6.08467e-05 +11 *21868:B1 *5863:29 1.65872e-05 +12 *21868:B2 *5863:29 0.000202315 +13 *24309:RESET_B *5863:23 9.68902e-05 +14 *25136:A *5863:8 7.50872e-05 +15 *480:27 *5863:27 6.3657e-05 +16 *480:27 *5863:29 0.000760464 +17 *502:14 *5863:23 0.000156477 +18 *502:18 *5863:23 0.000140342 +19 *2257:73 *5863:8 1.5714e-05 +20 *2298:36 *5863:29 0.000156955 +21 *2319:42 *5863:23 1.5714e-05 +22 *2320:68 *5863:23 0.00024885 +23 *2320:68 *5863:27 0.000451935 +24 *2404:67 *5863:11 0.000694008 +25 *2448:147 *5863:8 2.08587e-05 +26 *2888:19 *5863:23 0.00108045 +27 *2888:19 *5863:27 0.000460611 +28 *2888:19 *5863:29 0.00166414 +29 *2888:28 *5863:29 0.00168201 +30 *2896:72 *5863:23 3.8864e-05 +31 *2924:15 *5863:23 0.000301227 +32 *2924:46 *5863:23 0.000187652 +33 *3026:5 *5863:29 0.000592608 +34 *3179:212 *5863:29 0.000294093 +35 *4818:22 *5863:23 0.000451209 +36 *4824:122 *5863:23 0.000790099 +37 *4829:142 *5863:11 3.99086e-06 +38 *5057:10 *5863:23 8.20978e-05 +*RES +1 *25136:X *5863:8 19.6659 +2 *5863:8 *5863:11 47.3733 +3 *5863:11 *5863:23 41.385 +4 *5863:23 *5863:27 15.7218 +5 *5863:27 *5863:29 45.6644 +6 *5863:29 *21868:A1_N 9.24915 +*END + +*D_NET *5864 0.136854 +*CONN +*I *24902:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24564:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24696:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24170:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24367:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24695:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25373:A I *D sky130_fd_sc_hd__buf_12 +*I *24810:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24338:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24336:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24270:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24728:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24730:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24690:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24688:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24440:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24441:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24443:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24439:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24468:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24438:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24444:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24463:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24467:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24268:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24274:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24269:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24273:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24275:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24272:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24271:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24340:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24337:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24339:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24805:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24807:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24812:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24808:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24809:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24789:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24702:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24700:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24740:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24699:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24739:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24698:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24738:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24735:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24806:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24791:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24811:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24742:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24788:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24701:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24787:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24741:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24790:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24366:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24368:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24369:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24362:SET_B I *D sky130_fd_sc_hd__dfstp_2 +*I *24320:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24908:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24370:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24371:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24238:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24899:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24898:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24559:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24562:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24561:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24560:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24897:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24240:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24895:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *25374:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24902:RESET_B 0.000273354 +2 *24564:RESET_B 5.68619e-05 +3 *24696:RESET_B 0.000351139 +4 *24170:SET_B 0.000249015 +5 *24367:RESET_B 2.86821e-05 +6 *24695:RESET_B 6.39167e-05 +7 *25373:A 0 +8 *24810:RESET_B 9.24486e-05 +9 *24338:RESET_B 0.000132465 +10 *24336:SET_B 0.000234677 +11 *24270:RESET_B 0.000214773 +12 *24728:RESET_B 0.0001097 +13 *24730:RESET_B 0 +14 *24690:RESET_B 0 +15 *24688:RESET_B 0 +16 *24440:RESET_B 0.000223796 +17 *24441:RESET_B 0.000845672 +18 *24443:RESET_B 0.000509282 +19 *24439:RESET_B 4.81879e-05 +20 *24468:RESET_B 5.91464e-05 +21 *24438:SET_B 0.000407228 +22 *24444:RESET_B 0.00126095 +23 *24463:SET_B 2.524e-05 +24 *24467:RESET_B 1.78482e-05 +25 *24268:SET_B 2.2812e-05 +26 *24274:RESET_B 0.000327141 +27 *24269:SET_B 0 +28 *24273:RESET_B 0 +29 *24275:RESET_B 0.000299955 +30 *24272:RESET_B 0 +31 *24271:RESET_B 5.34924e-05 +32 *24340:RESET_B 3.63967e-05 +33 *24337:RESET_B 1.285e-05 +34 *24339:RESET_B 0 +35 *24805:SET_B 0.00025741 +36 *24807:RESET_B 0.00025741 +37 *24812:RESET_B 0.000664709 +38 *24808:RESET_B 0 +39 *24809:RESET_B 0.00124295 +40 *24789:RESET_B 0.000375869 +41 *24702:RESET_B 0.000117476 +42 *24700:RESET_B 0 +43 *24740:RESET_B 0.000168238 +44 *24699:RESET_B 7.18043e-05 +45 *24739:RESET_B 5.03145e-05 +46 *24698:RESET_B 0.000166762 +47 *24738:RESET_B 8.81176e-06 +48 *24735:RESET_B 0.000548064 +49 *24806:SET_B 0.00118132 +50 *24791:RESET_B 3.56271e-05 +51 *24811:RESET_B 0 +52 *24742:RESET_B 0.000149667 +53 *24788:RESET_B 0.000253806 +54 *24701:RESET_B 1.76235e-05 +55 *24787:RESET_B 6.08093e-05 +56 *24741:RESET_B 0.000358859 +57 *24790:RESET_B 0.000169075 +58 *24366:SET_B 4.57746e-05 +59 *24368:RESET_B 1.64346e-05 +60 *24369:SET_B 0.000344295 +61 *24362:SET_B 0 +62 *24320:RESET_B 0.000540532 +63 *24908:RESET_B 0.000708097 +64 *24370:RESET_B 0.000126165 +65 *24371:RESET_B 0.00107818 +66 *24238:RESET_B 0.000307368 +67 *24899:RESET_B 0.001701 +68 *24898:RESET_B 0.00207029 +69 *24559:SET_B 0.00075502 +70 *24562:RESET_B 0.000328676 +71 *24561:RESET_B 0.000994625 +72 *24560:SET_B 2.22263e-05 +73 *24897:RESET_B 3.00103e-05 +74 *24240:RESET_B 0.000203763 +75 *24895:SET_B 9.89001e-05 +76 *25374:X 0.000509605 +77 *5864:822 0.000678935 +78 *5864:759 0.000572533 +79 *5864:753 0.000716954 +80 *5864:747 0.000870823 +81 *5864:724 0.00125612 +82 *5864:690 0.000703644 +83 *5864:677 0.00128232 +84 *5864:636 0.000878966 +85 *5864:634 0.000860272 +86 *5864:623 0.00122302 +87 *5864:616 0.00131411 +88 *5864:607 0.000662193 +89 *5864:585 0.000980771 +90 *5864:579 0.000428639 +91 *5864:573 0.000517448 +92 *5864:558 0.00075814 +93 *5864:550 0.000298744 +94 *5864:539 0.000377355 +95 *5864:524 0.000688766 +96 *5864:520 0.000908872 +97 *5864:503 0.000795092 +98 *5864:494 0.000688133 +99 *5864:490 0.000693707 +100 *5864:461 0.000457656 +101 *5864:459 0.000424748 +102 *5864:450 0.00121593 +103 *5864:441 0.000890824 +104 *5864:412 0.00125957 +105 *5864:356 0.000596134 +106 *5864:352 0.00069414 +107 *5864:344 0.000787671 +108 *5864:336 0.000502619 +109 *5864:334 0.000488671 +110 *5864:332 0.000569718 +111 *5864:323 0.00120835 +112 *5864:302 0.000556937 +113 *5864:300 0.000310698 +114 *5864:294 0.000335452 +115 *5864:276 0.000403473 +116 *5864:274 0.000487941 +117 *5864:265 0.000314672 +118 *5864:256 0.000220936 +119 *5864:244 0.00102783 +120 *5864:231 0.00078646 +121 *5864:226 0.000452805 +122 *5864:220 0.000747681 +123 *5864:213 0.000471335 +124 *5864:207 0.000523828 +125 *5864:204 0.000559105 +126 *5864:196 0.000793608 +127 *5864:177 0.00110854 +128 *5864:171 0.000523787 +129 *5864:153 0.00127587 +130 *5864:136 0.00146746 +131 *5864:84 0.000524433 +132 *5864:70 0.00069586 +133 *5864:68 0.00123104 +134 *5864:49 0.00104925 +135 *5864:41 0.00171458 +136 *5864:20 0.000571391 +137 *5864:16 0.000345754 +138 *5864:11 0.00114173 +139 *24320:RESET_B *23931:A1 2.79216e-05 +140 *24366:SET_B *5953:8 0.000100397 +141 *24367:RESET_B *5954:11 0.000106869 +142 *24369:SET_B *6028:93 0.000171156 +143 *24696:RESET_B *5944:11 1.55025e-05 +144 *24789:RESET_B *5909:17 5.17993e-05 +145 *24908:RESET_B *23931:A1 3.75423e-05 +146 *5864:11 *24772:RESET_B 8.01048e-05 +147 *5864:49 *5908:9 8.92353e-05 +148 *5864:153 *23931:A1 7.14746e-05 +149 *5864:171 *6028:78 0.000234442 +150 *5864:177 *5949:12 0.000127179 +151 *5864:177 *5949:22 6.76686e-05 +152 *5864:177 *6028:78 0.000166471 +153 *5864:196 *5949:22 8.89094e-05 +154 *5864:220 *5953:8 0.00013082 +155 *5864:332 *5909:14 0.00014935 +156 *5864:634 *5910:36 3.86024e-05 +157 *5864:677 *5910:36 0.000285711 +158 *5864:822 *5944:11 2.15184e-05 +159 *19564:A0 *5864:558 0.000313073 +160 *19611:B2 *24897:RESET_B 1.91114e-05 +161 *19933:A *24898:RESET_B 0.000108987 +162 *20136:A1 *24812:RESET_B 0.000158371 +163 *20137:A1 *5864:441 0.00044897 +164 *20252:A *24788:RESET_B 0.000111708 +165 *20254:B1 *24742:RESET_B 0.000138843 +166 *20254:B1 *24788:RESET_B 0.000112361 +167 *20257:A1 *24739:RESET_B 3.31733e-05 +168 *20257:A1 *5864:352 0.000304434 +169 *20311:A2 *5864:332 0.000186268 +170 *20323:A2 *24728:RESET_B 1.22347e-05 +171 *20327:A1 *5864:753 0.000365237 +172 *20327:A2 *5864:753 0.000116971 +173 *20692:A1 *24444:RESET_B 6.87503e-05 +174 *20697:B2 *5864:690 0.000347849 +175 *20998:A1 *24274:RESET_B 0.000112278 +176 *20998:B1 *24274:RESET_B 0.000135038 +177 *20998:B2 *24274:RESET_B 9.31879e-05 +178 *21075:B1 *24275:RESET_B 0.000247443 +179 *21234:B1 *5864:11 0.000107496 +180 *21234:B1 *5864:41 0.000737644 +181 *21258:A *24444:RESET_B 2.16355e-05 +182 *21520:A *24899:RESET_B 0.000112947 +183 *21524:B1 *24238:RESET_B 1.44764e-05 +184 *21524:B1 *24899:RESET_B 1.83827e-06 +185 *21778:A *5864:690 0.000200794 +186 *22007:A *5864:524 0.000140725 +187 *23866:A *5864:585 0.000107176 +188 *23866:A *5864:607 0.000219208 +189 *23931:B1 *24371:RESET_B 0.00111102 +190 *23931:B1 *24562:RESET_B 1.86674e-05 +191 *23942:A0 *5864:49 0.000723385 +192 *24019:S *5864:332 6.27718e-05 +193 *24034:A0 *24739:RESET_B 7.50872e-05 +194 *24034:A0 *5864:352 6.79599e-05 +195 *24073:S *5864:607 2.20457e-05 +196 *24103:TE_B *5864:607 0.000186945 +197 *24270:D *24270:RESET_B 9.94284e-06 +198 *24271:D *24271:RESET_B 1.91114e-05 +199 *24336:D *24336:SET_B 2.16355e-05 +200 *24336:D *5864:524 4.58003e-05 +201 *24336:CLK *5864:524 1.45475e-05 +202 *24338:CLK *5864:494 2.16355e-05 +203 *24368:D *5864:196 2.65831e-05 +204 *24368:CLK *5864:196 0.00016553 +205 *24371:CLK *24371:RESET_B 5.85006e-05 +206 *24438:CLK *24438:SET_B 0 +207 *24439:D *24439:RESET_B 1.91114e-05 +208 *24439:D *5864:690 7.6719e-06 +209 *24441:D *24441:RESET_B 0.000280705 +210 *24444:D *24444:RESET_B 2.24465e-06 +211 *24559:CLK *24898:RESET_B 0.00015901 +212 *24562:D *24562:RESET_B 0.00016195 +213 *24562:D *5864:70 0 +214 *24696:D *24696:RESET_B 7.13972e-05 +215 *24698:D *24698:RESET_B 2.16355e-05 +216 *24702:D *5864:332 0.000122978 +217 *24738:CLK *24735:RESET_B 0.000164829 +218 *24741:D *24741:RESET_B 4.20506e-05 +219 *24741:CLK *24741:RESET_B 7.50722e-05 +220 *24741:CLK *5864:256 4.18989e-05 +221 *24787:CLK *5864:256 0.000144531 +222 *24790:CLK *24790:RESET_B 8.54603e-05 +223 *24806:CLK *24806:SET_B 0.000107496 +224 *24807:D *24805:SET_B 2.16355e-05 +225 *24807:CLK *24805:SET_B 0.000109625 +226 *24810:CLK *24812:RESET_B 7.12965e-05 +227 *24812:CLK *24812:RESET_B 1.91114e-05 +228 *24897:CLK *24897:RESET_B 4.89898e-06 +229 *24897:CLK *5864:20 4.74883e-05 +230 *24899:D *24238:RESET_B 9.84506e-05 +231 *24899:D *24899:RESET_B 6.11558e-05 +232 *24899:CLK *24238:RESET_B 6.88731e-05 +233 *24902:D *5864:49 5.74949e-05 +234 *24908:D *5864:153 3.1218e-05 +235 *24908:CLK *24370:RESET_B 0.000110099 +236 *24927:A *5864:11 4.31703e-05 +237 *24972:A *5864:244 0.000538117 +238 *460:82 *24320:RESET_B 0.000196623 +239 *460:82 *5864:153 0.000392157 +240 *480:103 *5864:759 2.39535e-05 +241 *480:110 *24728:RESET_B 0.000124892 +242 *480:110 *5864:759 7.44124e-05 +243 *482:15 *24809:RESET_B 1.11058e-05 +244 *482:20 *24809:RESET_B 1.83795e-06 +245 *482:40 *24809:RESET_B 0.000131163 +246 *482:129 *5864:344 4.33979e-05 +247 *482:133 *24739:RESET_B 0.000132557 +248 *482:133 *5864:344 4.3663e-05 +249 *482:133 *5864:352 0.000102729 +250 *494:26 *24560:SET_B 3.91685e-05 +251 *494:26 *24561:RESET_B 7.12677e-05 +252 *500:41 *24444:RESET_B 0.000198791 +253 *500:48 *24268:SET_B 4.99006e-05 +254 *500:48 *5864:616 0.000213966 +255 *502:26 *5864:226 0 +256 *504:16 *5864:153 0 +257 *504:16 *5864:171 0.000215405 +258 *504:16 *5864:177 1.21729e-05 +259 *504:16 *5864:196 3.92275e-05 +260 *522:18 *5864:11 0 +261 *522:18 *5864:20 0.000247845 +262 *522:24 *24895:SET_B 3.3258e-05 +263 *522:24 *5864:20 2.44468e-05 +264 *527:44 *5864:539 2.84833e-05 +265 *535:25 *5864:459 8.4224e-05 +266 *535:25 *5864:461 0.000259992 +267 *535:25 *5864:490 0.00084672 +268 *535:25 *5864:494 5.18511e-05 +269 *535:25 *5864:503 0.000212838 +270 *549:24 *24895:SET_B 0.000151983 +271 *598:8 *5864:753 0.000345398 +272 *598:8 *5864:759 0.0012915 +273 *1419:8 *5864:153 4.58666e-05 +274 *1419:8 *5864:171 0 +275 *1428:85 *5864:136 0.000442206 +276 *1428:85 *5864:153 9.72686e-05 +277 *1439:81 *5864:579 0 +278 *1439:81 *5864:585 0 +279 *1439:104 *5864:539 9.66194e-05 +280 *1439:104 *5864:550 8.94101e-05 +281 *1439:104 *5864:558 0.000166071 +282 *1453:51 *5864:11 4.79575e-05 +283 *1453:51 *5864:16 9.24241e-05 +284 *1520:42 *5864:207 0.000737913 +285 *1551:28 *5864:49 3.56601e-05 +286 *1555:69 *24440:RESET_B 7.40824e-05 +287 *1573:84 *5864:344 0 +288 *1573:84 *5864:352 1.16596e-05 +289 *1652:65 *5864:623 0.000363202 +290 *1669:129 *24240:RESET_B 0.000111708 +291 *1679:20 *5864:490 1.25301e-05 +292 *1690:17 *24898:RESET_B 4.68145e-05 +293 *1690:17 *24899:RESET_B 0.000276638 +294 *1701:20 *24370:RESET_B 0.000116976 +295 *1701:20 *5864:136 0 +296 *1701:39 *5864:136 4.97084e-05 +297 *1709:40 *5864:747 0.000915206 +298 *1709:40 *5864:753 0.000365237 +299 *1709:54 *5864:459 0 +300 *1709:54 *5864:494 0.000237969 +301 *1709:54 *5864:503 0.000841933 +302 *1731:13 *24240:RESET_B 0.000157938 +303 *1741:90 *24735:RESET_B 5.64511e-05 +304 *1741:93 *5864:196 9.48744e-05 +305 *1741:105 *24902:RESET_B 4.20506e-05 +306 *1741:105 *5864:41 1.31166e-05 +307 *1742:75 *24695:RESET_B 0.00011818 +308 *1742:75 *5864:220 0.000113968 +309 *1742:75 *5864:226 9.14387e-06 +310 *1742:76 *5864:226 0.00029372 +311 *1744:13 *5864:11 0.000346395 +312 *1744:13 *5864:16 9.24241e-05 +313 *1744:24 *5864:68 0 +314 *1854:76 *24805:SET_B 1.0758e-05 +315 *1899:24 *5864:623 4.66975e-05 +316 *1901:7 *24742:RESET_B 0.000360159 +317 *1901:7 *24788:RESET_B 6.08467e-05 +318 *1917:29 *5864:573 0.000148144 +319 *1917:29 *5864:579 0.000479364 +320 *1917:36 *5864:332 1.87269e-05 +321 *1917:36 *5864:441 0.000127194 +322 *1917:36 *5864:450 0.000395788 +323 *1918:48 *5864:344 0.000127179 +324 *1918:55 *5864:336 0.000175674 +325 *1918:55 *5864:344 0.000163465 +326 *1918:64 *5864:332 0.000231363 +327 *1918:64 *5864:334 0.000508314 +328 *1918:64 *5864:336 0.000472789 +329 *1920:40 *24728:RESET_B 7.30564e-05 +330 *1920:42 *24728:RESET_B 0.000205317 +331 *1920:42 *5864:759 0.00129614 +332 *1920:44 *5864:753 0.00015537 +333 *1920:44 *5864:759 0.000218625 +334 *1982:38 *5864:68 5.03122e-05 +335 *1982:38 *5864:70 0.000149372 +336 *1982:43 *5864:68 4.60375e-07 +337 *2039:31 *24443:RESET_B 2.47808e-05 +338 *2040:30 *24444:RESET_B 4.2372e-05 +339 *2040:30 *5864:677 0.000468306 +340 *2040:30 *5864:690 1.67658e-05 +341 *2040:40 *24444:RESET_B 3.99086e-06 +342 *2063:23 *5864:136 0 +343 *2085:11 *24320:RESET_B 3.31733e-05 +344 *2085:41 *5864:171 0.000167945 +345 *2085:41 *5864:177 5.2504e-06 +346 *2171:49 *24274:RESET_B 7.64506e-05 +347 *2256:14 *5864:226 0.000587665 +348 *2256:14 *5864:231 2.04806e-05 +349 *2278:28 *24170:SET_B 0.000245078 +350 *2278:28 *5864:207 0.000873803 +351 *2278:28 *5864:213 6.25413e-05 +352 *2287:74 *24440:RESET_B 7.13972e-05 +353 *2287:94 *5864:623 8.18851e-05 +354 *2295:59 *24275:RESET_B 0.000436841 +355 *2295:59 *5864:573 0.000377419 +356 *2299:8 *24895:SET_B 0.000261561 +357 *2299:8 *5864:20 0.000353932 +358 *2300:53 *24810:RESET_B 1.23467e-05 +359 *2300:53 *5864:441 5.54078e-05 +360 *2300:53 *5864:450 0.000173193 +361 *2301:27 *24338:RESET_B 0.000198002 +362 *2301:30 *5864:490 1.44467e-05 +363 *2301:30 *5864:520 0.000275295 +364 *2301:30 *5864:524 8.64424e-05 +365 *2320:59 *5864:677 3.38674e-05 +366 *2333:38 *24742:RESET_B 7.09395e-05 +367 *2357:50 *5864:759 0.000167384 +368 *2380:62 *24438:SET_B 0.000127194 +369 *2380:62 *5864:524 2.50449e-05 +370 *2380:62 *5864:539 2.87112e-05 +371 *2380:84 *5864:524 8.19226e-05 +372 *2381:26 *24320:RESET_B 7.7745e-05 +373 *2384:32 *5864:747 1.10565e-05 +374 *2389:81 *5864:579 3.51645e-05 +375 *2391:19 *24274:RESET_B 0.00051571 +376 *2393:21 *24240:RESET_B 2.209e-05 +377 *2394:7 *24791:RESET_B 1.18293e-05 +378 *2394:7 *24806:SET_B 1.62134e-05 +379 *2423:78 *5864:539 4.97938e-05 +380 *2423:78 *5864:550 7.86852e-05 +381 *2423:78 *5864:558 0.000571705 +382 *2425:17 *5864:226 2.65831e-05 +383 *2425:21 *5864:231 9.49135e-05 +384 *2428:87 *24370:RESET_B 1.77566e-05 +385 *2440:42 *5864:68 0.000157954 +386 *2456:30 *5864:49 0.000174552 +387 *2457:16 *5864:49 6.25468e-06 +388 *2468:117 *24809:RESET_B 0.000275849 +389 *2468:117 *5864:274 0.000801812 +390 *2468:117 *5864:294 0.000680579 +391 *2468:117 *5864:300 0.00024356 +392 *2468:117 *5864:412 0.000477412 +393 *2468:123 *5864:256 2.33193e-05 +394 *2468:123 *5864:265 5.22071e-05 +395 *2468:123 *5864:274 1.08264e-05 +396 *2471:35 *24367:RESET_B 3.56986e-05 +397 *2486:84 *5864:690 0.000288084 +398 *2503:31 *24438:SET_B 0.000123582 +399 *2520:87 *24275:RESET_B 6.63489e-05 +400 *2520:87 *5864:539 3.17436e-05 +401 *2520:87 *5864:558 4.17229e-05 +402 *2520:87 *5864:573 3.82228e-05 +403 *2528:35 *24564:RESET_B 1.47051e-05 +404 *2576:42 *24560:SET_B 3.91685e-05 +405 *2576:42 *24561:RESET_B 7.48886e-05 +406 *2581:30 *24897:RESET_B 0.000100397 +407 *2581:30 *5864:20 0.000366589 +408 *2584:32 *24443:RESET_B 5.92342e-05 +409 *2584:45 *24441:RESET_B 1.91114e-05 +410 *2584:45 *24443:RESET_B 1.91114e-05 +411 *2609:24 *5864:11 0.000106874 +412 *2610:36 *5864:753 2.79471e-05 +413 *2629:37 *5864:539 0.000134132 +414 *2635:47 *5864:747 0.000159964 +415 *2667:32 *24320:RESET_B 2.77368e-05 +416 *2679:37 *5864:747 0.000253046 +417 *2689:22 *5864:352 0.000799988 +418 *2720:12 *5864:68 0 +419 *2759:27 *24562:RESET_B 3.19995e-05 +420 *2813:30 *5864:677 0.000460918 +421 *2813:42 *5864:623 0.000249476 +422 *2896:10 *5864:207 4.98338e-05 +423 *2896:10 *5864:213 0.000266702 +424 *2900:84 *5864:520 0.000210796 +425 *2900:90 *5864:573 0.000148144 +426 *2900:90 *5864:579 0.000729169 +427 *2900:90 *5864:585 0.000181222 +428 *2900:90 *5864:607 0.000558385 +429 *2924:51 *24275:RESET_B 0.000394819 +430 *2949:55 *24561:RESET_B 2.66777e-05 +431 *2949:55 *5864:68 8.07316e-06 +432 *2949:60 *5864:441 6.28168e-05 +433 *2978:29 *24441:RESET_B 0.000117093 +434 *2978:29 *5864:747 6.89475e-05 +435 *2978:90 *5864:344 0.000158371 +436 *2978:93 *24741:RESET_B 4.28856e-07 +437 *3017:15 *5864:352 0.000283517 +438 *3017:15 *5864:356 0.000101133 +439 *3093:12 *24369:SET_B 0.000162584 +440 *3212:19 *5864:747 1.7351e-05 +441 *3257:44 *24441:RESET_B 0.000550211 +442 *3257:44 *24443:RESET_B 0.000118485 +443 *3263:24 *5864:690 0.000291697 +444 *4804:109 *24170:SET_B 0.000582811 +445 *4805:92 *5864:332 5.76282e-05 +446 *4805:92 *5864:459 0.000156309 +447 *4805:92 *5864:461 0.000119941 +448 *4805:92 *5864:490 0.000321532 +449 *4805:96 *5864:332 1.3023e-05 +450 *4805:96 *5864:334 0.000499688 +451 *4805:96 *5864:336 0.00064132 +452 *4805:96 *5864:344 0.00028916 +453 *4808:135 *5864:490 3.55968e-05 +454 *4809:52 *5864:503 0.000271548 +455 *4809:52 *5864:520 1.11594e-05 +456 *4809:52 *5864:524 0.000628905 +457 *4809:52 *5864:539 0.000253371 +458 *4812:87 *5864:747 7.40684e-06 +459 *4812:90 *5864:724 0.000493549 +460 *4812:90 *5864:747 1.10793e-05 +461 *4813:82 *5864:539 0.000253722 +462 *4817:54 *24441:RESET_B 3.10341e-05 +463 *4817:85 *5864:607 6.73338e-05 +464 *4818:13 *5864:747 5.60804e-05 +465 *4818:22 *5864:677 0.000207266 +466 *4819:14 *24444:RESET_B 0.000139074 +467 *4819:111 *5864:724 0.000490073 +468 *4819:111 *5864:747 1.66771e-05 +469 *4821:55 *5864:68 0.000212539 +470 *4829:57 *5864:136 3.21e-05 +471 *4832:84 *5864:323 0.00028037 +472 *4832:84 *5864:441 5.92342e-05 +473 *4843:407 *24275:RESET_B 0.00033913 +474 *4843:517 *24562:RESET_B 0.000111487 +475 *4843:517 *5864:68 2.24484e-05 +476 *4843:517 *5864:70 0.000565505 +477 *4844:324 *5864:11 0.000111722 +478 *4845:344 *5864:226 2.4331e-05 +479 *4845:359 *5864:68 3.88859e-05 +480 *4876:38 *24370:RESET_B 0 +481 *4876:38 *5864:136 3.55968e-05 +482 *4878:139 *5864:153 8.52369e-05 +483 *4904:15 *24270:RESET_B 0.000142758 +484 *4904:15 *5864:623 0.000158357 +485 *4904:34 *24274:RESET_B 0.000240345 +486 *4904:114 *24444:RESET_B 3.9253e-05 +487 *4904:116 *24444:RESET_B 3.4123e-05 +488 *4910:9 *5864:332 0.000556049 +489 *4910:72 *24702:RESET_B 7.95755e-05 +490 *4910:72 *5864:323 3.17103e-05 +491 *4910:83 *5864:323 6.18842e-05 +492 *4911:7 *24790:RESET_B 1.65872e-05 +493 *4911:7 *5864:244 0.000108638 +494 *4911:16 *5864:231 0.000145506 +495 *4911:38 *24735:RESET_B 5.37479e-05 +496 *4914:53 *24559:SET_B 1.02356e-05 +497 *4914:53 *24898:RESET_B 0.000208946 +498 *4938:8 *5864:323 7.10234e-05 +499 *4973:5 *24336:SET_B 5.63639e-06 +500 *4977:5 *24340:RESET_B 4.59816e-06 +501 *5038:5 *24438:SET_B 6.06525e-06 +502 *5043:11 *24441:RESET_B 2.39177e-05 +503 *5043:11 *24443:RESET_B 2.82607e-05 +504 *5044:5 *24438:SET_B 7.26536e-05 +505 *5044:5 *24444:RESET_B 8.91112e-05 +506 *5055:7 *24468:RESET_B 3.56986e-05 +507 *5055:7 *5864:690 2.16355e-05 +508 *5170:10 *24898:RESET_B 2.58616e-05 +509 *5235:11 *24787:RESET_B 0.000153519 +510 *5236:7 *24788:RESET_B 7.13972e-05 +511 *5237:5 *24789:RESET_B 5.60664e-05 +512 *5239:7 *24791:RESET_B 0.000103626 +513 *5239:7 *24806:SET_B 6.08467e-05 +514 *5255:5 *24371:RESET_B 2.3786e-05 +515 *5255:5 *24559:SET_B 0.000195173 +516 *5255:5 *24898:RESET_B 5.18745e-05 +517 *5259:17 *24561:RESET_B 0.000107496 +518 *5372:7 *24805:SET_B 0.000223492 +519 *5377:7 *24805:SET_B 0.000326544 +520 *5379:11 *24812:RESET_B 9.55447e-05 +521 *5379:11 *5864:441 8.78109e-05 +522 *5387:7 *24240:RESET_B 0.000159977 +523 *5398:7 *5864:607 0.000176582 +524 *5402:11 *24274:RESET_B 0.000156946 +525 *5402:11 *5864:585 7.83506e-06 +526 *5403:10 *24270:RESET_B 4.28856e-07 +527 *5417:26 *5864:634 0.00043716 +528 *5417:26 *5864:677 0.000100706 +529 *5494:10 *5864:753 1.5254e-05 +530 *5523:7 *24698:RESET_B 3.1566e-05 +531 *5524:7 *24699:RESET_B 6.07304e-07 +532 *5526:21 *24742:RESET_B 0.000169078 +533 *5528:8 *24441:RESET_B 0.000912851 +534 *5529:11 *5864:747 0.000915206 +535 *5529:11 *5864:753 6.28168e-05 +536 *5560:10 *5864:494 0.000102647 +537 *5853:383 *5864:213 0.000104737 +538 *5853:383 *5864:220 4.11232e-05 +539 *5853:444 *24695:RESET_B 3.3678e-05 +540 *5853:444 *5864:220 1.21461e-06 +541 *5855:265 *5864:503 8.02973e-05 +542 *5855:272 *5864:503 1.91391e-05 +543 *5855:329 *5864:352 0.000142076 +544 *5855:334 *5864:352 0.000216118 +545 *5855:334 *5864:356 9.75356e-05 +546 *5857:286 *5864:11 3.56292e-05 +547 *5857:298 *5864:11 0.000299933 +548 *5859:183 *24268:SET_B 5.34415e-05 +549 *5859:183 *5864:616 0.000213408 +550 *5859:197 *24275:RESET_B 2.5386e-05 +551 *5859:288 *24369:SET_B 0.000404547 +552 *5859:343 *5864:11 7.35524e-05 +553 *5861:397 *5864:634 0.00032919 +554 *5861:476 *24809:RESET_B 3.82559e-05 +555 *5861:476 *5864:412 7.59201e-05 +556 *5861:480 *5864:412 1.50212e-05 +557 *5861:482 *24741:RESET_B 7.14746e-05 +558 *5861:482 *5864:256 0.00025439 +559 *5861:482 *5864:265 0.000127194 +560 *5861:482 *5864:274 0.000839849 +561 *5861:482 *5864:294 0.000689205 +562 *5861:482 *5864:300 0.000252684 +563 *5861:482 *5864:412 0.000151942 +564 *5862:8 *5864:226 0.000164815 +*RES +1 *25374:X *5864:11 34.6795 +2 *5864:11 *5864:16 10.832 +3 *5864:16 *5864:20 15.366 +4 *5864:20 *24895:SET_B 9.23876 +5 *5864:20 *24240:RESET_B 10.685 +6 *5864:16 *24897:RESET_B 1.1936 +7 *5864:11 *5864:41 9.59705 +8 *5864:41 *5864:49 15.2502 +9 *5864:49 *24560:SET_B 5.29386 +10 *5864:49 *24561:RESET_B 8.98178 +11 *24561:RESET_B *5864:68 22.5016 +12 *5864:68 *5864:70 10.9675 +13 *5864:70 *24562:RESET_B 10.738 +14 *5864:70 *5864:84 5.07872 +15 *5864:84 *24559:SET_B 2.30281 +16 *24559:SET_B *24898:RESET_B 17.1204 +17 *24898:RESET_B *24899:RESET_B 23.1487 +18 *24899:RESET_B *24238:RESET_B 6.65524 +19 *5864:84 *24371:RESET_B 13.9495 +20 *24371:RESET_B *5864:136 22.2047 +21 *5864:136 *24370:RESET_B 13.2714 +22 *5864:136 *5864:153 17.3602 +23 *5864:153 *24908:RESET_B 7.91202 +24 *24908:RESET_B *24320:RESET_B 20.3632 +25 *5864:153 *5864:171 13.4591 +26 *5864:171 *24362:SET_B 4.5 +27 *5864:171 *5864:177 3.90826 +28 *5864:177 *24369:SET_B 21.1834 +29 *5864:177 *5864:196 20.0042 +30 *5864:196 *24368:RESET_B 0.494321 +31 *5864:196 *5864:204 2.38721 +32 *5864:204 *5864:207 20.8658 +33 *5864:207 *5864:213 9.97156 +34 *5864:213 *24366:SET_B 1.1936 +35 *5864:213 *5864:220 6.26943 +36 *5864:220 *5864:226 23.8764 +37 *5864:226 *5864:231 11.6625 +38 *5864:231 *24790:RESET_B 3.41202 +39 *5864:231 *5864:244 14.8446 +40 *5864:244 *24741:RESET_B 10.4621 +41 *5864:244 *5864:256 4.73876 +42 *5864:256 *24787:RESET_B 6.24821 +43 *5864:256 *5864:265 2.24725 +44 *5864:265 *24701:RESET_B 4.99432 +45 *5864:265 *5864:274 14.7048 +46 *5864:274 *5864:276 4.5 +47 *5864:276 *24788:RESET_B 6.76375 +48 *5864:276 *24742:RESET_B 16.1288 +49 *5864:274 *5864:294 12.6286 +50 *5864:294 *24811:RESET_B 4.5 +51 *5864:294 *5864:300 4.73876 +52 *5864:300 *5864:302 4.5 +53 *5864:302 *24791:RESET_B 1.1936 +54 *5864:302 *24806:SET_B 9.17507 +55 *24806:SET_B *5864:323 21.5327 +56 *5864:323 *5864:332 29.6566 +57 *5864:332 *5864:334 8.89128 +58 *5864:334 *5864:336 11.3828 +59 *5864:336 *5864:344 17.611 +60 *5864:344 *5864:352 22.5091 +61 *5864:352 *5864:356 6.332 +62 *5864:356 *24735:RESET_B 10.2602 +63 *5864:356 *24738:RESET_B 0.494321 +64 *5864:352 *24698:RESET_B 7.91202 +65 *5864:344 *24739:RESET_B 6.95487 +66 *5864:336 *24699:RESET_B 5.6936 +67 *5864:334 *24740:RESET_B 7.35741 +68 *5864:332 *24700:RESET_B 4.5 +69 *5864:323 *24702:RESET_B 2.88153 +70 *5864:300 *5864:412 8.89128 +71 *5864:412 *24789:RESET_B 11.7942 +72 *5864:412 *24809:RESET_B 16.9724 +73 *24809:RESET_B *5864:441 29.7216 +74 *5864:441 *24808:RESET_B 4.5 +75 *5864:441 *5864:450 14.8114 +76 *5864:450 *24812:RESET_B 13.3949 +77 *5864:450 *5864:459 11.315 +78 *5864:459 *5864:461 4.73876 +79 *5864:461 *24807:RESET_B 4.5 +80 *24807:RESET_B *24805:SET_B 7.76445 +81 *5864:461 *5864:490 20.0353 +82 *5864:490 *5864:494 10.6561 +83 *5864:494 *24339:RESET_B 4.5 +84 *5864:494 *5864:503 21.8679 +85 *5864:503 *24337:RESET_B 0.494321 +86 *5864:503 *5864:520 17.9639 +87 *5864:520 *5864:524 17.576 +88 *5864:524 *24340:RESET_B 5.139 +89 *5864:524 *5864:539 14.3417 +90 *5864:539 *24271:RESET_B 5.71772 +91 *5864:539 *5864:550 1.832 +92 *5864:550 *24272:RESET_B 4.5 +93 *5864:550 *5864:558 15.4675 +94 *5864:558 *24275:RESET_B 22.1233 +95 *5864:558 *5864:573 11.7681 +96 *5864:573 *24273:RESET_B 4.5 +97 *5864:573 *5864:579 13.4591 +98 *5864:579 *24269:SET_B 4.5 +99 *5864:579 *5864:585 3.90826 +100 *5864:585 *24274:RESET_B 17.1715 +101 *5864:585 *5864:607 26.3763 +102 *5864:607 *24268:SET_B 5.50149 +103 *5864:607 *5864:616 8.82351 +104 *5864:616 *5864:623 27.2447 +105 *5864:623 *24467:RESET_B 0.494321 +106 *5864:623 *5864:634 13.2936 +107 *5864:634 *5864:636 4.5 +108 *5864:636 *24463:SET_B 0.494321 +109 *5864:636 *24444:RESET_B 25.8049 +110 *24444:RESET_B *24438:SET_B 17.5408 +111 *5864:634 *5864:677 32.1509 +112 *5864:677 *24468:RESET_B 1.1936 +113 *5864:677 *5864:690 23.9199 +114 *5864:690 *24439:RESET_B 1.21772 +115 *5864:690 *24443:RESET_B 11.8862 +116 *24443:RESET_B *24441:RESET_B 27.414 +117 *24441:RESET_B *5864:724 16.6881 +118 *5864:724 *24440:RESET_B 9.59994 +119 *5864:724 *5864:747 25.3185 +120 *5864:747 *24688:RESET_B 4.5 +121 *5864:747 *5864:753 13.4591 +122 *5864:753 *24690:RESET_B 4.5 +123 *5864:753 *5864:759 26.7471 +124 *5864:759 *24730:RESET_B 4.5 +125 *5864:759 *24728:RESET_B 9.87776 +126 *5864:616 *24270:RESET_B 3.43613 +127 *5864:520 *24336:SET_B 3.43613 +128 *5864:490 *24338:RESET_B 3.41202 +129 *5864:459 *24810:RESET_B 6.4264 +130 *5864:226 *25373:A 9.24915 +131 *5864:220 *24695:RESET_B 2.30281 +132 *5864:207 *24367:RESET_B 5.6936 +133 *5864:204 *5864:822 1.8326 +134 *5864:822 *24170:SET_B 19.5523 +135 *5864:822 *24696:RESET_B 7.51126 +136 *5864:68 *24564:RESET_B 5.6936 +137 *5864:41 *24902:RESET_B 3.99073 +*END + +*D_NET *5865 0.124 +*CONN +*I *24616:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24436:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24618:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24216:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24733:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24691:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24694:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24731:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24681:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24722:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24680:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24725:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24721:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24679:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24720:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24678:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24719:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24726:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24734:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24706:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24414:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24418:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24417:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24903:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24416:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24415:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24413:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24327:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24323:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24689:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24732:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24905:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24729:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24693:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24692:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24198:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24324:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24325:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24326:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24322:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24328:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24215:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24217:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24487:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24488:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24486:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24462:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24458:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24460:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24617:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24435:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24211:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24764:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24321:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24763:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24769:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24213:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24214:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24210:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24212:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24431:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24612:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *25375:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24616:RESET_B 9.96093e-05 +2 *24436:RESET_B 0.000285063 +3 *24618:RESET_B 0.000115928 +4 *24216:RESET_B 7.36477e-05 +5 *24733:RESET_B 0 +6 *24691:RESET_B 0.000708158 +7 *24694:RESET_B 1.12765e-05 +8 *24731:RESET_B 0.000461821 +9 *24681:RESET_B 0.000382155 +10 *24722:RESET_B 9.40785e-05 +11 *24680:RESET_B 0 +12 *24725:RESET_B 0.000394849 +13 *24721:RESET_B 0.000296591 +14 *24679:RESET_B 4.55915e-05 +15 *24720:RESET_B 0.000372876 +16 *24678:RESET_B 0.000250654 +17 *24719:RESET_B 1.84691e-05 +18 *24726:RESET_B 0.000269632 +19 *24734:RESET_B 0.000919509 +20 *24706:RESET_B 0.000674695 +21 *24414:RESET_B 0.000201124 +22 *24418:RESET_B 0.000313062 +23 *24417:RESET_B 0.000342004 +24 *24903:RESET_B 0.000383003 +25 *24416:RESET_B 0.000514468 +26 *24415:RESET_B 1.30387e-05 +27 *24413:RESET_B 5.32337e-05 +28 *24327:RESET_B 0.000526257 +29 *24323:RESET_B 3.28262e-05 +30 *24689:RESET_B 0.000401745 +31 *24732:RESET_B 0.000774399 +32 *24905:RESET_B 0.000166331 +33 *24729:RESET_B 0.00081107 +34 *24693:RESET_B 0.00081107 +35 *24692:RESET_B 0.000211596 +36 *24198:RESET_B 1.76235e-05 +37 *24324:RESET_B 1.76235e-05 +38 *24325:RESET_B 1.59428e-05 +39 *24326:RESET_B 0.000229286 +40 *24322:SET_B 0.000631197 +41 *24328:RESET_B 0.00182952 +42 *24215:RESET_B 6.06347e-05 +43 *24217:RESET_B 0.000977898 +44 *24487:RESET_B 2.13421e-05 +45 *24488:RESET_B 0.000380087 +46 *24486:RESET_B 0.000114989 +47 *24462:RESET_B 6.41928e-05 +48 *24458:RESET_B 5.63144e-05 +49 *24460:RESET_B 0 +50 *24617:RESET_B 0.000411324 +51 *24435:RESET_B 5.48572e-05 +52 *24211:SET_B 7.64566e-05 +53 *24764:SET_B 0.000326673 +54 *24321:SET_B 0.000838115 +55 *24763:SET_B 1.96749e-05 +56 *24769:RESET_B 0 +57 *24213:RESET_B 0 +58 *24214:RESET_B 0.000217681 +59 *24210:SET_B 0.00113647 +60 *24212:RESET_B 0.000284268 +61 *24431:RESET_B 0.000302073 +62 *24612:SET_B 3.5672e-05 +63 *25375:X 0.000234066 +64 *5865:640 0.0016955 +65 *5865:629 0.00123343 +66 *5865:605 0.000997315 +67 *5865:595 0.000548615 +68 *5865:584 0.000637263 +69 *5865:575 0.00106483 +70 *5865:556 0.000739721 +71 *5865:548 0.00115579 +72 *5865:535 0.00102887 +73 *5865:525 0.000823513 +74 *5865:511 0.00106903 +75 *5865:508 0.00114943 +76 *5865:489 0.00153108 +77 *5865:478 0.000667309 +78 *5865:473 0.000925662 +79 *5865:450 0.000578292 +80 *5865:436 0.000672636 +81 *5865:427 0.000694142 +82 *5865:418 0.000234831 +83 *5865:409 0.00049583 +84 *5865:391 0.00139122 +85 *5865:382 0.00129806 +86 *5865:342 0.000529441 +87 *5865:333 0.000598296 +88 *5865:329 0.000548097 +89 *5865:321 0.000678652 +90 *5865:313 0.000607548 +91 *5865:310 0.000342855 +92 *5865:301 0.000394086 +93 *5865:289 0.000563328 +94 *5865:258 0.00164569 +95 *5865:212 0.00034842 +96 *5865:200 0.000515438 +97 *5865:194 0.000835232 +98 *5865:190 0.000667804 +99 *5865:178 0.00103278 +100 *5865:167 0.00119727 +101 *5865:165 0.000629489 +102 *5865:161 0.00108309 +103 *5865:151 0.000681934 +104 *5865:148 0.000971492 +105 *5865:100 0.00153938 +106 *5865:91 0.00127932 +107 *5865:88 0.00279794 +108 *5865:73 0.000326408 +109 *5865:70 0.00258597 +110 *5865:53 0.000823462 +111 *5865:51 0.000391174 +112 *5865:48 0.000648184 +113 *5865:35 0.000575067 +114 *5865:17 0.000679933 +115 *5865:10 0.000407898 +116 *24416:RESET_B *5921:98 6.58972e-05 +117 *24706:RESET_B *5921:99 8.74513e-05 +118 *24706:RESET_B *5921:109 5.3381e-05 +119 *24725:RESET_B *5906:20 0.000564953 +120 *5865:436 *5921:99 0.00020172 +121 *5865:450 *5921:99 0.000447869 +122 *19599:A0 *24413:RESET_B 4.48479e-05 +123 *19602:S *24903:RESET_B 9.73862e-06 +124 *19602:S *5865:418 0 +125 *19602:S *5865:427 0 +126 *19602:S *5865:436 0 +127 *20210:B2 *5865:91 0.000205086 +128 *20216:B1 *24321:SET_B 0.000174769 +129 *20280:A1 *24722:RESET_B 6.1189e-05 +130 *20283:A1 *24726:RESET_B 4.62705e-05 +131 *20320:A *24691:RESET_B 1.65872e-05 +132 *20321:B2 *24691:RESET_B 3.82228e-05 +133 *20326:A2 *24689:RESET_B 1.21461e-06 +134 *20326:B1 *24689:RESET_B 0.000211492 +135 *20326:B2 *24689:RESET_B 6.11836e-05 +136 *20326:B2 *24732:RESET_B 4.47179e-05 +137 *20340:A1 *24681:RESET_B 0 +138 *20343:A1 *5865:535 2.16355e-05 +139 *20343:A2 *5865:525 7.09148e-05 +140 *20343:A2 *5865:535 0.000110055 +141 *20439:B2 *5865:151 0.000370142 +142 *20628:B1 *24217:RESET_B 2.54507e-05 +143 *20628:B1 *5865:178 0.000310755 +144 *20628:B1 *5865:190 3.91685e-05 +145 *20667:B1 *5865:178 0.00037022 +146 *20703:A1 *24436:RESET_B 0.000153519 +147 *20708:B2 *24431:RESET_B 0.000168047 +148 *20733:B1 *24327:RESET_B 0.000195046 +149 *20918:B1 *24217:RESET_B 2.02035e-05 +150 *20921:A1 *5865:313 7.78924e-05 +151 *20921:A1 *5865:321 1.65872e-05 +152 *21178:A *24436:RESET_B 0.000286286 +153 *21178:A *5865:161 0.000103139 +154 *21190:A *5865:165 6.50727e-05 +155 *21347:A *24216:RESET_B 4.88955e-05 +156 *21347:A *5865:165 0.000298318 +157 *21404:A *5865:178 0.000141468 +158 *21611:B2 *5865:70 0 +159 *21611:B2 *5865:88 0 +160 *21975:B2 *5865:70 0.000588137 +161 *21975:B2 *5865:88 0.000211468 +162 *22368:A1 *5865:151 2.15276e-05 +163 *22383:A1 *24764:SET_B 0.000100572 +164 *22383:A1 *5865:88 0.000235175 +165 *22404:A *5865:88 7.4681e-05 +166 *23884:A *5865:605 0.000128093 +167 *24043:A1 *5865:640 5.79057e-05 +168 *24045:A1 *24721:RESET_B 8.02147e-05 +169 *24046:S *5865:640 6.02078e-06 +170 *24049:S *5865:556 2.41274e-06 +171 *24110:TE_B *24678:RESET_B 0.000107496 +172 *24111:TE_B *5865:548 9.51975e-05 +173 *24111:TE_B *5865:556 6.27718e-05 +174 *24111:TE_B *5865:575 2.86829e-05 +175 *24113:A *24681:RESET_B 0 +176 *24198:CLK *24324:RESET_B 0 +177 *24216:D *24216:RESET_B 7.89747e-05 +178 *24216:D *5865:165 5.04829e-06 +179 *24216:CLK *5865:165 2.65667e-05 +180 *24217:CLK *5865:258 3.024e-05 +181 *24321:CLK *24321:SET_B 3.99674e-05 +182 *24322:D *24322:SET_B 6.36477e-05 +183 *24416:CLK *24416:RESET_B 0.0001797 +184 *24417:CLK *24417:RESET_B 1.91114e-05 +185 *24417:CLK *24418:RESET_B 0.000167051 +186 *24417:CLK *5865:473 6.23875e-05 +187 *24486:D *24486:RESET_B 0.000113075 +188 *24487:CLK *24487:RESET_B 2.65831e-05 +189 *24487:CLK *5865:194 8.07939e-05 +190 *24488:D *24488:RESET_B 0.000154145 +191 *24488:CLK *5865:194 0 +192 *24616:D *24616:RESET_B 7.28319e-05 +193 *24616:D *5865:148 3.14978e-05 +194 *24616:CLK *5865:148 1.92172e-05 +195 *24618:D *24618:RESET_B 1.03594e-05 +196 *24618:CLK *24618:RESET_B 6.88731e-05 +197 *24679:D *24720:RESET_B 6.08467e-05 +198 *24680:CLK *24725:RESET_B 0.000271058 +199 *24689:CLK *5865:342 2.02035e-05 +200 *24691:CLK *24691:RESET_B 3.40557e-05 +201 *24693:D *24905:RESET_B 0.000160617 +202 *24694:D *5865:478 3.04443e-05 +203 *24719:D *24726:RESET_B 0.000209232 +204 *24726:CLK *24726:RESET_B 7.98171e-06 +205 *24729:D *24729:RESET_B 6.06823e-05 +206 *24729:CLK *24729:RESET_B 0.000171949 +207 *24731:CLK *5865:511 0 +208 *24732:D *24732:RESET_B 5.481e-05 +209 *24734:CLK *24706:RESET_B 0.000124709 +210 *24734:CLK *24734:RESET_B 1.19856e-05 +211 *24763:CLK *24321:SET_B 1.82679e-05 +212 *24763:CLK *5865:100 7.20484e-05 +213 *24962:A *24415:RESET_B 5.37479e-05 +214 *478:44 *5865:489 8.4092e-05 +215 *480:110 *24689:RESET_B 0.000107502 +216 *480:123 *24689:RESET_B 0.000173003 +217 *489:54 *5865:148 1.89968e-05 +218 *490:21 *24487:RESET_B 3.67474e-06 +219 *490:21 *5865:190 0.000201617 +220 *490:21 *5865:194 7.57081e-06 +221 *490:61 *24325:RESET_B 5.56794e-05 +222 *490:62 *24692:RESET_B 0.000355996 +223 *491:8 *5865:178 0.000211501 +224 *1439:421 *24215:RESET_B 8.22553e-05 +225 *1439:421 *5865:258 0.000115889 +226 *1444:17 *5865:409 0 +227 *1595:28 *24212:RESET_B 3.04443e-05 +228 *1602:161 *5865:88 3.04981e-06 +229 *1608:156 *24210:SET_B 8.58902e-06 +230 *1629:18 *24905:RESET_B 5.48151e-05 +231 *1629:30 *24323:RESET_B 3.56986e-05 +232 *1629:30 *5865:391 0.000280408 +233 *1634:22 *24321:SET_B 8.91927e-05 +234 *1634:22 *24327:RESET_B 0.000619177 +235 *1708:111 *24431:RESET_B 0.000317671 +236 *1717:163 *24210:SET_B 3.63738e-05 +237 *1725:201 *24217:RESET_B 7.86825e-06 +238 *1741:40 *24764:SET_B 2.23325e-05 +239 *1771:192 *24418:RESET_B 0.000203383 +240 *1784:96 *24214:RESET_B 0.000234545 +241 *1784:96 *5865:73 0.000204031 +242 *1800:171 *5865:148 0.000304777 +243 *1884:71 *24215:RESET_B 8.56804e-05 +244 *1884:71 *5865:91 0.000745181 +245 *1884:71 *5865:100 8.63646e-05 +246 *1884:71 *5865:258 0.000353445 +247 *1886:49 *24764:SET_B 4.80844e-05 +248 *1906:6 *5865:478 0.000330384 +249 *1906:6 *5865:489 8.62625e-06 +250 *1907:27 *24726:RESET_B 0.000353686 +251 *1907:38 *24726:RESET_B 6.08467e-05 +252 *1919:69 *24732:RESET_B 0.000173781 +253 *1920:5 *24691:RESET_B 7.22095e-06 +254 *1920:20 *24691:RESET_B 9.26783e-06 +255 *1920:34 *24689:RESET_B 9.89182e-05 +256 *1920:40 *24689:RESET_B 0.000173295 +257 *1924:8 *24903:RESET_B 9.60366e-05 +258 *1924:63 *5865:556 6.93171e-05 +259 *1958:31 *24612:SET_B 0.000147046 +260 *1958:31 *5865:17 0.000337242 +261 *1958:31 *5865:35 0.000536864 +262 *1958:31 *5865:48 9.55447e-05 +263 *1958:31 *5865:148 0.000969637 +264 *1958:55 *24618:RESET_B 2.8229e-05 +265 *1958:55 *5865:165 8.80458e-05 +266 *1959:40 *24212:RESET_B 1.91246e-05 +267 *1959:40 *24431:RESET_B 5.81447e-05 +268 *2018:25 *5865:190 0.000467914 +269 *2042:5 *5865:10 0.000111722 +270 *2042:10 *5865:10 0.000387391 +271 *2053:10 *5865:178 0.000226571 +272 *2149:10 *24327:RESET_B 0.000143175 +273 *2149:17 *24327:RESET_B 0.000177546 +274 *2208:41 *5865:70 0.000331709 +275 *2208:97 *24212:RESET_B 6.70581e-05 +276 *2245:17 *24326:RESET_B 0.000469791 +277 *2245:17 *5865:301 0.000561339 +278 *2250:13 *5865:200 0.000656444 +279 *2250:13 *5865:212 0.00036933 +280 *2258:12 *5865:190 1.35431e-05 +281 *2262:58 *24321:SET_B 0.000357898 +282 *2263:22 *5865:478 4.69494e-05 +283 *2263:77 *5865:88 2.87885e-05 +284 *2266:13 *5865:88 6.4789e-05 +285 *2293:38 *5865:88 0.00026924 +286 *2294:39 *24321:SET_B 0.000143355 +287 *2297:26 *5865:511 0.000958863 +288 *2297:26 *5865:525 0.000204811 +289 *2298:17 *5865:88 8.41581e-05 +290 *2305:8 *24764:SET_B 3.50844e-05 +291 *2306:12 *5865:301 7.26644e-05 +292 *2306:12 *5865:310 0.000210425 +293 *2306:12 *5865:382 0.0003129 +294 *2306:12 *5865:409 0.000598308 +295 *2306:12 *5865:418 0.000222269 +296 *2306:12 *5865:427 0.000107237 +297 *2306:12 *5865:436 0.000290759 +298 *2306:12 *5865:450 0.000430671 +299 *2319:17 *5865:382 3.94229e-05 +300 *2319:17 *5865:409 0.00010658 +301 *2331:13 *5865:88 4.2696e-05 +302 *2357:32 *5865:548 0.000568711 +303 *2369:24 *24436:RESET_B 5.34225e-05 +304 *2369:24 *5865:151 0.000572742 +305 *2375:12 *24436:RESET_B 0.000362951 +306 *2375:12 *5865:151 0.00012413 +307 *2404:25 *5865:88 1.73221e-05 +308 *2416:14 *5865:165 1.59781e-05 +309 *2433:20 *24903:RESET_B 9.60366e-05 +310 *2441:43 *24618:RESET_B 0.000193695 +311 *2464:10 *5865:178 0.000159016 +312 *2467:21 *24722:RESET_B 3.58187e-05 +313 *2480:8 *5865:91 0.000177846 +314 *2480:8 *5865:100 3.53682e-05 +315 *2487:14 *5865:190 1.71045e-05 +316 *2487:14 *5865:194 0.000123274 +317 *2487:14 *5865:200 0.000717809 +318 *2488:11 *24618:RESET_B 9.07279e-05 +319 *2488:11 *5865:165 0.000275254 +320 *2490:18 *24764:SET_B 0.00013589 +321 *2493:8 *5865:178 0.000564842 +322 *2493:8 *5865:190 3.29232e-05 +323 *2530:44 *24692:RESET_B 0.000379627 +324 *2530:44 *5865:342 0.000235955 +325 *2570:36 *24731:RESET_B 8.47856e-05 +326 *2610:21 *5865:391 0.000633581 +327 *2620:20 *24691:RESET_B 0.000284063 +328 *2620:20 *5865:511 0 +329 *2620:20 *5865:525 0 +330 *2620:20 *5865:640 9.60216e-05 +331 *2620:31 *5865:178 2.41274e-06 +332 *2620:37 *24217:RESET_B 0.000425846 +333 *2764:11 *24216:RESET_B 3.38355e-06 +334 *2764:11 *5865:165 7.39113e-05 +335 *2770:16 *24210:SET_B 0.000476281 +336 *2770:16 *24212:RESET_B 0.000119553 +337 *2770:16 *5865:51 0.000278375 +338 *2770:16 *5865:53 0.000308148 +339 *2770:18 *24210:SET_B 2.04171e-05 +340 *2770:18 *5865:70 0.000574018 +341 *2776:19 *24212:RESET_B 0 +342 *2776:19 *5865:51 6.10852e-05 +343 *2797:30 *24217:RESET_B 0.000132794 +344 *2806:8 *24210:SET_B 9.05735e-05 +345 *2806:8 *5865:51 4.00279e-05 +346 *2806:8 *5865:53 3.03275e-05 +347 *2820:34 *24214:RESET_B 0.000234545 +348 *2820:34 *5865:73 0.000194907 +349 *2868:12 *24431:RESET_B 3.309e-05 +350 *2871:8 *5865:10 0.00024189 +351 *2989:32 *24764:SET_B 9.47861e-05 +352 *2989:32 *5865:88 0.000517798 +353 *2989:32 *5865:91 1.91391e-05 +354 *2996:45 *24431:RESET_B 3.22031e-05 +355 *3010:8 *24321:SET_B 3.20794e-05 +356 *3022:6 *5865:258 6.04514e-05 +357 *3034:25 *5865:190 0 +358 *3106:229 *24211:SET_B 5.98634e-05 +359 *3155:207 *5865:88 0.00105621 +360 *3157:225 *5865:88 3.61399e-05 +361 *3162:167 *24214:RESET_B 0.000345404 +362 *3162:181 *5865:88 1.86819e-05 +363 *3164:180 *24214:RESET_B 0.000128792 +364 *3164:188 *5865:88 1.42717e-05 +365 *4815:19 *24706:RESET_B 0.000105916 +366 *4815:26 *24691:RESET_B 0.000123147 +367 *4815:26 *5865:511 0 +368 *4815:26 *5865:640 7.46648e-06 +369 *4816:9 *24462:RESET_B 0.000203397 +370 *4816:9 *24486:RESET_B 0.000452788 +371 *4816:22 *24905:RESET_B 0.000132292 +372 *4816:22 *5865:342 0.000226296 +373 *4816:55 *5865:100 1.43084e-05 +374 *4819:121 *24678:RESET_B 0.000448936 +375 *4819:121 *5865:548 0.000729198 +376 *4820:91 *24678:RESET_B 0.000152032 +377 *4820:91 *5865:548 9.64219e-05 +378 *4820:91 *5865:575 0.000497636 +379 *4820:91 *5865:584 0.000138415 +380 *4820:91 *5865:595 2.39535e-05 +381 *4820:91 *5865:605 3.25394e-05 +382 *4822:66 *24210:SET_B 0.000105884 +383 *4822:66 *24431:RESET_B 0.000261567 +384 *4822:66 *5865:70 0.000194108 +385 *4822:71 *5865:70 1.62321e-05 +386 *4822:80 *5865:88 3.03785e-05 +387 *4825:49 *24414:RESET_B 0.000178289 +388 *4826:68 *24326:RESET_B 0.000461249 +389 *4826:68 *5865:301 0.000759608 +390 *4826:68 *5865:310 8.11327e-05 +391 *4826:68 *5865:382 6.71756e-05 +392 *4827:106 *24692:RESET_B 9.37534e-05 +393 *4827:106 *24905:RESET_B 0.000137921 +394 *4827:106 *5865:342 0.000817838 +395 *4827:115 *5865:640 3.99086e-06 +396 *4827:118 *5865:478 0.00067683 +397 *4827:118 *5865:489 0.000127774 +398 *4828:44 *24678:RESET_B 0 +399 *4828:44 *24681:RESET_B 0 +400 *4828:44 *5865:575 0 +401 *4828:44 *5865:584 0 +402 *4828:44 *5865:595 0 +403 *4828:44 *5865:605 0 +404 *4829:179 *24681:RESET_B 0.000553264 +405 *4829:179 *5865:605 0.000359663 +406 *4831:25 *5865:88 0.00119552 +407 *4834:26 *24706:RESET_B 0.000494494 +408 *4835:37 *24326:RESET_B 2.40589e-05 +409 *4838:321 *24416:RESET_B 6.28175e-05 +410 *4838:331 *24325:RESET_B 1.91114e-05 +411 *4838:331 *5865:391 8.60168e-05 +412 *4839:119 *24217:RESET_B 0.000215593 +413 *4840:134 *24431:RESET_B 8.62625e-06 +414 *4840:139 *24431:RESET_B 1.91114e-05 +415 *4843:212 *24458:RESET_B 3.99086e-06 +416 *4843:212 *5865:212 1.19751e-05 +417 *4844:143 *24217:RESET_B 7.91028e-05 +418 *4844:665 *24212:RESET_B 0.000261834 +419 *4844:669 *24212:RESET_B 0.000194093 +420 *4845:220 *24328:RESET_B 0.000792932 +421 *4845:229 *24321:SET_B 0.000178194 +422 *4845:668 *24436:RESET_B 2.70563e-05 +423 *4846:5 *24905:RESET_B 4.93778e-05 +424 *4860:18 *24431:RESET_B 0.000113122 +425 *4860:18 *5865:10 0.00024189 +426 *4860:38 *5865:161 2.82064e-05 +427 *4872:33 *24458:RESET_B 0.000200168 +428 *4872:33 *5865:212 0.000107496 +429 *4881:187 *24415:RESET_B 5.37479e-05 +430 *4890:66 *5865:10 0.000480689 +431 *4898:35 *5865:212 4.84944e-05 +432 *4898:37 *5865:194 3.46062e-05 +433 *4898:37 *5865:200 1.25165e-05 +434 *4898:37 *5865:212 0.000325932 +435 *4900:112 *24720:RESET_B 8.77758e-05 +436 *4901:53 *5865:473 3.17283e-05 +437 *4901:53 *5865:629 6.23948e-05 +438 *4901:53 *5865:640 0.000142748 +439 *4901:55 *5865:473 0.00018714 +440 *4901:79 *24416:RESET_B 0.000455515 +441 *4901:84 *5865:391 0 +442 *4901:102 *5865:313 4.03381e-05 +443 *4901:102 *5865:321 7.03358e-05 +444 *4901:110 *5865:321 8.03262e-05 +445 *4901:122 *24198:RESET_B 0 +446 *4901:122 *24732:RESET_B 4.30017e-06 +447 *4901:122 *5865:321 8.20387e-05 +448 *4901:122 *5865:329 6.64662e-05 +449 *4902:20 *24322:SET_B 0.00019249 +450 *4902:20 *24328:RESET_B 0.000109455 +451 *4902:22 *24328:RESET_B 4.62705e-05 +452 *4902:27 *24328:RESET_B 1.99131e-05 +453 *4960:11 *24322:SET_B 8.01578e-05 +454 *4960:11 *5865:289 0.000150275 +455 *4961:8 *24323:RESET_B 0.000100397 +456 *4961:8 *5865:391 6.50727e-05 +457 *4962:5 *5865:321 5.90501e-05 +458 *4964:5 *24326:RESET_B 5.37479e-05 +459 *4965:10 *24327:RESET_B 0.000147046 +460 *5026:9 *5865:409 5.19205e-05 +461 *5027:10 *24414:RESET_B 6.08467e-05 +462 *5028:11 *5865:418 7.86825e-06 +463 *5029:7 *24416:RESET_B 0.000154144 +464 *5031:8 *24418:RESET_B 6.36275e-05 +465 *5220:7 *24612:SET_B 0.000147046 +466 *5220:7 *5865:17 8.41713e-05 +467 *5303:9 *24487:RESET_B 0.000110099 +468 *5313:7 *24458:RESET_B 5.98634e-05 +469 *5317:10 *24462:RESET_B 2.17474e-05 +470 *5325:10 *24431:RESET_B 1.91391e-05 +471 *5346:7 *24210:SET_B 0.000100397 +472 *5350:11 *24210:SET_B 0.000302809 +473 *5351:10 *24212:RESET_B 3.34561e-05 +474 *5355:5 *24216:RESET_B 0.000193695 +475 *5501:10 *24725:RESET_B 3.6455e-05 +476 *5518:7 *24706:RESET_B 0.000159977 +477 *5534:7 *24689:RESET_B 0.000159977 +478 *5534:7 *24732:RESET_B 7.3605e-05 +479 *5535:8 *5865:640 7.14746e-05 +480 *5536:8 *24734:RESET_B 2.14428e-07 +481 *5536:8 *5865:508 2.26894e-05 +482 *5540:5 *24722:RESET_B 0.000102003 +483 *5544:7 *24725:RESET_B 5.05841e-05 +484 *5545:7 *24726:RESET_B 0.000200794 +485 *5714:38 *24681:RESET_B 0.000102979 +486 *5714:38 *24731:RESET_B 0.000278373 +487 *5714:38 *5865:605 0 +488 *5853:137 *5865:178 5.20167e-05 +489 *5855:187 *24322:SET_B 0.000280855 +490 *5855:187 *5865:289 1.40953e-05 +491 *5861:298 *24210:SET_B 9.22013e-06 +492 *5861:298 *5865:53 6.84822e-05 +*RES +1 *25375:X *5865:10 28.7027 +2 *5865:10 *24612:SET_B 1.74821 +3 *5865:10 *5865:17 4.05102 +4 *5865:17 *24431:RESET_B 23.7949 +5 *5865:17 *5865:35 6.26943 +6 *5865:35 *24212:RESET_B 18.7951 +7 *5865:35 *5865:48 1.278 +8 *5865:48 *5865:51 9.65401 +9 *5865:51 *5865:53 6.39977 +10 *5865:53 *24210:SET_B 20.0564 +11 *24210:SET_B *5865:70 20.274 +12 *5865:70 *5865:73 7.48467 +13 *5865:73 *24214:RESET_B 13.2054 +14 *5865:73 *24213:RESET_B 4.5 +15 *5865:70 *5865:88 5.63367 +16 *5865:88 *5865:91 19.527 +17 *5865:91 *24769:RESET_B 4.5 +18 *5865:91 *5865:100 14.8198 +19 *5865:100 *24763:SET_B 0.494321 +20 *5865:100 *24321:SET_B 34.2001 +21 *5865:88 *24764:SET_B 11.3242 +22 *5865:53 *24211:SET_B 6.24821 +23 *5865:51 *24435:RESET_B 5.71772 +24 *5865:48 *5865:148 14.0339 +25 *5865:148 *5865:151 17.9591 +26 *5865:151 *24617:RESET_B 4.5 +27 *24617:RESET_B *5865:161 10.7091 +28 *5865:161 *5865:165 19.2511 +29 *5865:165 *5865:167 4.5 +30 *5865:167 *5865:178 31.598 +31 *5865:178 *24460:RESET_B 4.5 +32 *5865:178 *5865:190 10.506 +33 *5865:190 *5865:194 5.88681 +34 *5865:194 *5865:200 18.2766 +35 *5865:200 *24458:RESET_B 2.30281 +36 *5865:200 *5865:212 17.093 +37 *5865:212 *24462:RESET_B 2.30281 +38 *5865:212 *24486:RESET_B 5.07583 +39 *5865:194 *24488:RESET_B 10.1545 +40 *5865:190 *24487:RESET_B 5.6936 +41 *5865:167 *24217:RESET_B 13.7313 +42 *24217:RESET_B *5865:258 13.6419 +43 *5865:258 *24215:RESET_B 6.332 +44 *5865:258 *24328:RESET_B 24.0196 +45 *24328:RESET_B *24322:SET_B 16.3487 +46 *24322:SET_B *5865:289 6.24821 +47 *5865:289 *24326:RESET_B 14.0303 +48 *5865:289 *5865:301 14.1919 +49 *5865:301 *24325:RESET_B 5.139 +50 *5865:301 *5865:310 3.90826 +51 *5865:310 *5865:313 6.88721 +52 *5865:313 *24324:RESET_B 0.494321 +53 *5865:313 *5865:321 12.3701 +54 *5865:321 *24198:RESET_B 0.494321 +55 *5865:321 *5865:329 3.49641 +56 *5865:329 *5865:333 5.07872 +57 *5865:333 *24692:RESET_B 14.637 +58 *5865:333 *5865:342 15.4376 +59 *5865:342 *24693:RESET_B 4.5 +60 *24693:RESET_B *24729:RESET_B 12.2495 +61 *5865:342 *24905:RESET_B 10.5745 +62 *5865:329 *24732:RESET_B 9.9467 +63 *24732:RESET_B *24689:RESET_B 21.5876 +64 *5865:310 *5865:382 5.98452 +65 *5865:382 *5865:391 30.6439 +66 *5865:391 *24323:RESET_B 1.1936 +67 *5865:391 *24327:RESET_B 26.0132 +68 *5865:382 *5865:409 10.9675 +69 *5865:409 *24413:RESET_B 5.6936 +70 *5865:409 *5865:418 4.32351 +71 *5865:418 *24415:RESET_B 5.139 +72 *5865:418 *5865:427 2.24725 +73 *5865:427 *24416:RESET_B 19.0041 +74 *5865:427 *5865:436 5.15401 +75 *5865:436 *24903:RESET_B 19.9376 +76 *5865:436 *5865:450 12.976 +77 *5865:450 *24417:RESET_B 0.639 +78 *24417:RESET_B *24418:RESET_B 8.31905 +79 *5865:450 *5865:473 8.68075 +80 *5865:473 *5865:478 19.1071 +81 *5865:478 *24414:RESET_B 9.57583 +82 *5865:478 *5865:489 9.65401 +83 *5865:489 *24706:RESET_B 25.9089 +84 *5865:489 *24734:RESET_B 10.0914 +85 *24734:RESET_B *5865:508 3.96662 +86 *5865:508 *5865:511 21.2811 +87 *5865:511 *24726:RESET_B 13.3375 +88 *5865:511 *5865:525 11.3501 +89 *5865:525 *24719:RESET_B 0.494321 +90 *5865:525 *5865:535 11.8786 +91 *5865:535 *24678:RESET_B 22.5945 +92 *5865:535 *5865:548 14.7048 +93 *5865:548 *5865:556 18.4132 +94 *5865:556 *24720:RESET_B 6.93255 +95 *5865:556 *24679:RESET_B 1.21772 +96 *5865:548 *5865:575 18.4421 +97 *5865:575 *24721:RESET_B 10.685 +98 *5865:575 *5865:584 5.98452 +99 *5865:584 *24725:RESET_B 19.5828 +100 *5865:584 *5865:595 1.00149 +101 *5865:595 *24680:RESET_B 4.5 +102 *5865:595 *5865:605 14.9247 +103 *5865:605 *24722:RESET_B 7.35741 +104 *5865:605 *24681:RESET_B 17.9591 +105 *5865:508 *24731:RESET_B 21.1174 +106 *5865:473 *5865:629 3.49641 +107 *5865:629 *24694:RESET_B 0.494321 +108 *5865:629 *5865:640 19.8113 +109 *5865:640 *24691:RESET_B 18.0816 +110 *5865:640 *24733:RESET_B 4.5 +111 *5865:165 *24216:RESET_B 2.85741 +112 *5865:161 *24618:RESET_B 8.63481 +113 *5865:151 *24436:RESET_B 14.9052 +114 *5865:148 *24616:RESET_B 2.30281 +*END + +*D_NET *5866 0.126062 +*CONN +*I *24510:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24713:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24716:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24714:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24715:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24711:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24712:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24512:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24514:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24406:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24573:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24574:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24409:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24624:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24625:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24317:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24454:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24619:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24639:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24643:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24626:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24621:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24352:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24622:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24509:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24507:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24595:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24598:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24599:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24569:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24597:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24568:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24567:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24600:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24571:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24513:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24572:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24511:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24570:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24508:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24404:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24405:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24403:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24407:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24620:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24349:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24623:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24644:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24544:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24543:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24541:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24387:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24457:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24390:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24578:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24575:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24648:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24576:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24474:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24473:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24645:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24649:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24577:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24579:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24471:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24452:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24277:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24453:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24360:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24280:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24316:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24315:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24382:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25376:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24510:RESET_B 0.00090895 +2 *24713:RESET_B 1.61758e-05 +3 *24716:RESET_B 0.000536811 +4 *24714:RESET_B 0.00077027 +5 *24715:RESET_B 0.000773098 +6 *24711:RESET_B 0.000859574 +7 *24712:RESET_B 1.88243e-05 +8 *24512:RESET_B 0.00119767 +9 *24514:RESET_B 0 +10 *24406:RESET_B 0.000729129 +11 *24573:RESET_B 0.000363324 +12 *24574:RESET_B 0.000172523 +13 *24409:RESET_B 0.000288488 +14 *24624:RESET_B 2.23061e-05 +15 *24625:RESET_B 0.000158799 +16 *24317:SET_B 0.000136657 +17 *24454:RESET_B 0.000141488 +18 *24619:SET_B 0.000229082 +19 *24639:RESET_B 0 +20 *24643:RESET_B 0 +21 *24626:RESET_B 0.000600694 +22 *24621:RESET_B 1.69407e-05 +23 *24352:RESET_B 1.76235e-05 +24 *24622:RESET_B 0.000191755 +25 *24509:RESET_B 0.0010657 +26 *24507:SET_B 0 +27 *24595:RESET_B 0.000729071 +28 *24598:RESET_B 0.000482435 +29 *24599:RESET_B 0 +30 *24569:RESET_B 0 +31 *24597:RESET_B 0.00030776 +32 *24568:SET_B 0 +33 *24567:SET_B 0 +34 *24600:RESET_B 0.000101942 +35 *24571:RESET_B 0.000579018 +36 *24513:RESET_B 0.000223929 +37 *24572:RESET_B 0.00140691 +38 *24511:RESET_B 0.000153273 +39 *24570:RESET_B 8.30567e-05 +40 *24508:SET_B 0 +41 *24404:SET_B 0 +42 *24405:RESET_B 0.000650249 +43 *24403:SET_B 0.000174661 +44 *24407:RESET_B 0 +45 *24620:SET_B 0 +46 *24349:SET_B 2.73058e-05 +47 *24623:RESET_B 1.76235e-05 +48 *24644:RESET_B 0.000695981 +49 *24544:RESET_B 0.000278469 +50 *24543:RESET_B 0.000278469 +51 *24541:SET_B 0 +52 *24387:SET_B 0.000318716 +53 *24457:RESET_B 0.000318716 +54 *24390:RESET_B 0.0011362 +55 *24578:RESET_B 0.000236208 +56 *24575:RESET_B 6.16745e-05 +57 *24648:RESET_B 0.000363787 +58 *24576:RESET_B 5.11006e-05 +59 *24474:RESET_B 0 +60 *24473:SET_B 0.000457003 +61 *24645:SET_B 0 +62 *24649:RESET_B 0 +63 *24577:SET_B 0.000125497 +64 *24579:RESET_B 0.001001 +65 *24471:RESET_B 0.000250896 +66 *24452:SET_B 0.000509449 +67 *24277:RESET_B 0.000605428 +68 *24453:RESET_B 0 +69 *24360:RESET_B 0.000179933 +70 *24280:RESET_B 0 +71 *24316:RESET_B 0 +72 *24315:RESET_B 0.000422479 +73 *24382:RESET_B 0.000141593 +74 *25376:X 0.000352773 +75 *5866:744 0.000964891 +76 *5866:717 0.000794273 +77 *5866:696 0.00081427 +78 *5866:685 0.000952098 +79 *5866:664 0.000599278 +80 *5866:651 0.00107519 +81 *5866:630 0.000855816 +82 *5866:619 0.000883785 +83 *5866:610 0.000273997 +84 *5866:601 0.000686771 +85 *5866:563 0.000619297 +86 *5866:557 0.000548789 +87 *5866:543 0.00128764 +88 *5866:529 0.00143308 +89 *5866:499 0.00151604 +90 *5866:482 0.00138327 +91 *5866:473 0.000292705 +92 *5866:452 0.000456128 +93 *5866:449 0.000860822 +94 *5866:444 0.00105664 +95 *5866:438 0.000280045 +96 *5866:422 0.00107024 +97 *5866:417 0.00142671 +98 *5866:388 0.00138862 +99 *5866:375 0.000559795 +100 *5866:369 0.000683834 +101 *5866:365 0.000742803 +102 *5866:359 0.000373971 +103 *5866:340 0.00087069 +104 *5866:328 0.00112461 +105 *5866:322 0.00104164 +106 *5866:316 0.000285202 +107 *5866:313 0.000954879 +108 *5866:303 0.000824687 +109 *5866:287 0.00120552 +110 *5866:279 0.000745612 +111 *5866:259 0.00101719 +112 *5866:249 0.0004969 +113 *5866:237 0.000678822 +114 *5866:200 0.000767666 +115 *5866:196 0.00100271 +116 *5866:167 0.00141355 +117 *5866:162 0.00169334 +118 *5866:159 0.00109952 +119 *5866:153 0.000366157 +120 *5866:144 0.000802444 +121 *5866:130 0.000839088 +122 *5866:103 0.00151088 +123 *5866:76 0.00111488 +124 *5866:74 0.000548694 +125 *5866:68 0.000236637 +126 *5866:59 0.000499332 +127 *5866:54 0.00175627 +128 *5866:45 0.00186227 +129 *5866:33 0.000678548 +130 *5866:21 0.000422918 +131 *5866:8 0.000635191 +132 *24387:SET_B *5921:72 0.0013773 +133 *24509:RESET_B *5890:14 2.43179e-07 +134 *24513:RESET_B *5888:8 6.05584e-05 +135 *24572:RESET_B *5932:13 2.96281e-05 +136 *24597:RESET_B *5906:38 0.000126098 +137 *24598:RESET_B *5932:13 8.4534e-05 +138 *24600:RESET_B *5898:255 0.000153519 +139 *5866:303 *5920:28 0.000338286 +140 *5866:328 *5923:23 0.00161807 +141 *5866:359 *5890:14 0.000135557 +142 *5866:438 *5918:32 1.43055e-05 +143 *5866:482 *5932:13 2.54062e-05 +144 mgmt_gpio_out[28] *24716:RESET_B 0 +145 *19802:A *5866:33 7.39264e-05 +146 *20293:A2 *5866:696 7.09666e-06 +147 *20294:B1 *5866:696 3.30201e-05 +148 *20294:B1 *5866:717 4.82882e-05 +149 *20396:A *5866:279 0.000154465 +150 *20428:A1 *24624:RESET_B 5.37479e-05 +151 *20464:A1 *24600:RESET_B 0.000126185 +152 *20464:A1 *5866:422 0.000302374 +153 *20466:A1 *24598:RESET_B 0.000123479 +154 *20467:A1 *24597:RESET_B 7.68538e-06 +155 *20502:A1 *24573:RESET_B 0.00012568 +156 *20506:B1 *5866:375 6.28168e-05 +157 *20508:B2 *5866:473 6.83614e-05 +158 *20508:B2 *5866:482 5.22654e-06 +159 *20509:B1 *24600:RESET_B 0.000116986 +160 *20509:B1 *5866:422 3.67708e-05 +161 *20539:A *5866:259 4.75345e-05 +162 *20591:A1 *24512:RESET_B 3.56986e-05 +163 *20591:A1 *5866:685 9.4975e-05 +164 *20592:B2 *5866:499 2.30138e-05 +165 *20596:A1 *24509:RESET_B 1.94839e-05 +166 *20643:A *5866:103 0.000143047 +167 *20647:A1 *24473:SET_B 8.37812e-05 +168 *20647:A1 *5866:167 0.00011214 +169 *20677:A1 *24452:SET_B 9.5331e-05 +170 *20677:A2 *24452:SET_B 0.000110297 +171 *20677:B1 *24452:SET_B 3.58044e-05 +172 *20677:B2 *24452:SET_B 6.08467e-05 +173 *20748:B2 *24403:SET_B 0.000150008 +174 *20778:A *5866:237 0.000200794 +175 *20794:B1 *24315:RESET_B 0.000160068 +176 *20794:B1 *5866:33 3.69814e-05 +177 *20878:B1 *5866:601 0.000253916 +178 *20878:B2 *5866:601 5.65354e-05 +179 *20880:B1 *5866:313 0.0002646 +180 *20880:B1 *5866:529 0.000496653 +181 *20932:B1 *24315:RESET_B 1.99707e-05 +182 *20932:B1 *5866:33 0.000874614 +183 *20987:A1 *24277:RESET_B 4.22325e-05 +184 *21195:A *24600:RESET_B 3.072e-06 +185 *21230:A *24574:RESET_B 1.61631e-05 +186 *21285:A *5866:287 4.31539e-05 +187 *21287:A *5866:610 0 +188 *21407:A *24598:RESET_B 0.000113968 +189 *21446:A *5866:303 5.74949e-05 +190 *21508:A *5866:130 0 +191 *21513:A *24597:RESET_B 6.50586e-05 +192 *21752:B1 *5866:45 6.95067e-05 +193 *21964:A *24595:RESET_B 0.000226296 +194 *22026:A *24277:RESET_B 1.58551e-05 +195 *22045:A *5866:8 0.000123152 +196 *22045:A *5866:21 9.49908e-05 +197 *23904:A *24716:RESET_B 0.00012568 +198 *23952:A0 *24510:RESET_B 4.00504e-05 +199 *24114:A *24509:RESET_B 2.86529e-05 +200 *24114:A *5866:438 0.000790332 +201 *24114:A *5866:444 6.04273e-05 +202 *24114:A *5866:499 0.00091706 +203 *24352:D *5866:529 1.58551e-05 +204 *24352:D *5866:543 1.58551e-05 +205 *24352:CLK *5866:316 0.000200127 +206 *24352:CLK *5866:322 1.44467e-05 +207 *24390:CLK *24390:RESET_B 8.62625e-06 +208 *24405:D *24405:RESET_B 2.8322e-05 +209 *24405:CLK *24405:RESET_B 2.53347e-05 +210 *24409:CLK *24409:RESET_B 8.2739e-05 +211 *24452:CLK *24452:SET_B 0.000103138 +212 *24453:D *24277:RESET_B 0.000103139 +213 *24453:D *24452:SET_B 2.67908e-05 +214 *24454:D *24454:RESET_B 6.08467e-05 +215 *24454:D *5866:54 0.000107496 +216 *24454:D *5866:59 2.95757e-05 +217 *24454:CLK *5866:54 7.37288e-05 +218 *24457:D *24387:SET_B 0.000100599 +219 *24457:CLK *24387:SET_B 0.000570342 +220 *24473:CLK *24473:SET_B 0.000513037 +221 *24507:CLK *5866:499 0.000482787 +222 *24509:D *24509:RESET_B 4.40531e-05 +223 *24511:D *5866:499 0 +224 *24512:D *5866:685 0 +225 *24541:D *5866:259 0.000310109 +226 *24543:D *5866:259 0.000113374 +227 *24568:CLK *24597:RESET_B 0 +228 *24568:CLK *5866:452 5.23264e-05 +229 *24570:D *24570:RESET_B 8.39619e-05 +230 *24571:D *5866:422 7.41002e-05 +231 *24571:CLK *5866:422 7.16893e-05 +232 *24578:CLK *24578:RESET_B 2.52414e-06 +233 *24579:CLK *24579:RESET_B 5.44807e-05 +234 *24595:D *24595:RESET_B 0 +235 *24597:CLK *24597:RESET_B 4.70402e-05 +236 *24619:D *24619:SET_B 8.01355e-05 +237 *24620:D *5866:316 0.000122083 +238 *24621:D *5866:543 2.16355e-05 +239 *24648:D *24390:RESET_B 2.52414e-06 +240 *24648:D *5866:200 6.64528e-05 +241 *24648:CLK *5866:200 5.97576e-05 +242 *24711:D *5866:696 1.07248e-05 +243 *24711:D *5866:717 0.00016345 +244 *24711:CLK *24711:RESET_B 8.77922e-05 +245 *24711:CLK *24715:RESET_B 0.000142903 +246 *24713:CLK *24510:RESET_B 1.91114e-05 +247 *24714:CLK *24713:RESET_B 4.70402e-05 +248 *24714:CLK *24714:RESET_B 0.000111722 +249 *24716:CLK *24716:RESET_B 3.67586e-05 +250 *24954:A *24624:RESET_B 4.59816e-06 +251 *657:209 *24471:RESET_B 0.000193625 +252 *1153:15 *5866:162 0.000157034 +253 *1521:21 *24454:RESET_B 2.8182e-06 +254 *1521:21 *5866:54 4.27677e-05 +255 *1546:14 *5866:103 2.26985e-05 +256 *1547:43 *5866:59 3.37499e-05 +257 *1547:43 *5866:68 0.00037298 +258 *1547:43 *5866:74 0.000161966 +259 *1547:43 *5866:103 0.000377804 +260 *1547:49 *5866:103 3.18336e-05 +261 *1547:66 *5866:144 0 +262 *1550:64 *24317:SET_B 0.000416423 +263 *1550:64 *5866:21 8.62625e-06 +264 *1569:23 *5866:45 8.20569e-05 +265 *1569:23 *5866:54 0.000162529 +266 *1582:8 *5866:45 9.71323e-06 +267 *1582:12 *5866:45 8.55504e-05 +268 *1582:43 *5866:45 1.9101e-05 +269 *1585:8 *24473:SET_B 0 +270 *1585:8 *5866:103 3.52136e-05 +271 *1603:25 *5866:33 0.000356955 +272 *1619:8 *24619:SET_B 5.0459e-05 +273 *1619:8 *5866:279 0.000345155 +274 *1619:8 *5866:557 0.000120279 +275 *1619:8 *5866:563 0.000334838 +276 *1624:23 *5866:33 0.000127194 +277 *1624:23 *5866:45 0.000521391 +278 *1629:58 *5866:153 0.000144753 +279 *1656:8 *24595:RESET_B 0 +280 *1656:8 *24597:RESET_B 0 +281 *1656:8 *5866:313 5.28469e-05 +282 *1656:8 *5866:452 0 +283 *1656:8 *5866:473 0 +284 *1656:8 *5866:482 0 +285 *1770:8 *5866:259 0 +286 *1770:8 *5866:279 0 +287 *1783:8 *5866:279 0 +288 *1783:8 *5866:557 0 +289 *1783:8 *5866:563 0 +290 *1789:6 *24349:SET_B 3.91685e-05 +291 *1789:6 *5866:313 0.000369691 +292 *1884:129 *24349:SET_B 1.51692e-05 +293 *1884:129 *5866:313 1.73713e-05 +294 *1884:150 *5866:237 9.48629e-05 +295 *1884:150 *5866:249 2.48286e-05 +296 *1884:150 *5866:259 2.5638e-05 +297 *1884:150 *5866:279 0 +298 *1884:201 *24390:RESET_B 2.69601e-05 +299 *1884:201 *5866:237 0.00010603 +300 *1910:53 *5866:696 8.4128e-05 +301 *1910:53 *5866:717 0.000222175 +302 *1910:59 *24510:RESET_B 7.84479e-05 +303 *1910:59 *5866:717 0.000699019 +304 *1910:59 *5866:744 7.65861e-05 +305 *1943:20 *5866:153 0.000230418 +306 *1947:8 *5866:103 0.000139435 +307 *1947:70 *24382:RESET_B 8.54603e-05 +308 *1955:9 *5866:313 0.000149628 +309 *1956:46 *5866:316 0.000179271 +310 *1979:38 *5866:369 0 +311 *1979:38 *5866:375 0 +312 *1979:67 *5866:375 0 +313 *1980:9 *5866:375 0 +314 *1991:8 *5866:237 0.00036923 +315 *1991:8 *5866:249 0.000116986 +316 *1991:8 *5866:259 0.000109827 +317 *1991:85 *5866:259 0.000304466 +318 *1991:94 *5866:259 0.000383151 +319 *2005:10 *5866:601 0 +320 *2005:10 *5866:610 0 +321 *2006:6 *5866:664 0.000148129 +322 *2006:15 *24512:RESET_B 0.000407166 +323 *2006:15 *5866:664 0.000137936 +324 *2006:71 *24513:RESET_B 0 +325 *2055:38 *24403:SET_B 0.000299994 +326 *2055:40 *24403:SET_B 0.000294093 +327 *2077:9 *24544:RESET_B 0.000891732 +328 *2135:70 *5866:601 7.63448e-05 +329 *2152:24 *5866:33 0.000107496 +330 *2152:33 *5866:33 0.000107496 +331 *2167:6 *5866:54 2.47663e-05 +332 *2167:18 *5866:54 4.57241e-06 +333 *2262:10 *5866:359 0.000282814 +334 *2262:13 *24405:RESET_B 4.76635e-06 +335 *2294:12 *24626:RESET_B 0.000156762 +336 *2294:12 *5866:601 3.82278e-05 +337 *2297:8 *5866:630 7.86847e-05 +338 *2347:14 *5866:287 6.08467e-05 +339 *2375:10 *24513:RESET_B 7.5845e-05 +340 *2375:10 *24573:RESET_B 3.14199e-05 +341 *2375:10 *5866:630 0.000362682 +342 *2375:12 *5866:601 0.000387988 +343 *2375:12 *5866:610 0.000384703 +344 *2375:12 *5866:619 8.24e-05 +345 *2375:12 *5866:630 0.000123119 +346 *2380:8 *5866:664 2.35336e-05 +347 *2441:5 *24405:RESET_B 7.11343e-06 +348 *2441:8 *24405:RESET_B 5.18062e-06 +349 *2441:8 *5866:340 1.82824e-05 +350 *2467:8 *24595:RESET_B 5.0459e-05 +351 *2551:8 *24390:RESET_B 0.00048735 +352 *2552:14 *24648:RESET_B 0.000183386 +353 *2552:14 *5866:153 4.57311e-05 +354 *2552:14 *5866:159 1.75579e-05 +355 *2554:35 *5866:45 0.00036633 +356 *2564:8 *24575:RESET_B 0.000278373 +357 *2564:8 *24578:RESET_B 0.00046068 +358 *2564:8 *5866:130 0.000324839 +359 *2564:8 *5866:144 1.66771e-05 +360 *2566:8 *5866:438 0.00085318 +361 *2566:8 *5866:444 7.11521e-05 +362 *2566:8 *5866:499 0.000107981 +363 *2566:15 *24403:SET_B 0.00066611 +364 *2566:18 *24405:RESET_B 2.03171e-05 +365 *2566:18 *5866:340 9.13603e-05 +366 *2570:10 *24597:RESET_B 0.000381471 +367 *2570:14 *24571:RESET_B 0.000672331 +368 *2570:14 *24573:RESET_B 0 +369 *2570:14 *5866:630 0 +370 *2592:6 *24471:RESET_B 0.000190013 +371 *2620:40 *24579:RESET_B 0.00015324 +372 *2660:10 *5866:167 4.10139e-05 +373 *2684:8 *24317:SET_B 0.00042505 +374 *2684:8 *5866:21 8.23435e-05 +375 *2687:10 *24510:RESET_B 0 +376 *2687:10 *5866:717 0 +377 *2687:10 *5866:744 0 +378 *2737:10 *5866:303 6.20492e-05 +379 *2737:16 *5866:259 9.80738e-05 +380 *2764:42 *5866:162 2.1228e-06 +381 *2796:14 *24579:RESET_B 6.46135e-05 +382 *2813:8 *24512:RESET_B 0.000233455 +383 *2818:24 *5866:153 0.000125458 +384 *2843:11 *5866:259 3.57477e-05 +385 *2846:7 *24597:RESET_B 0.000377286 +386 *2869:14 *5866:499 9.98029e-06 +387 *2883:11 *24471:RESET_B 0.000506564 +388 *2883:11 *24579:RESET_B 0.00053677 +389 *2886:8 *5866:359 0.000138039 +390 *2886:8 *5866:365 7.47209e-05 +391 *2886:8 *5866:369 0.000153674 +392 *2886:8 *5866:375 0.000321456 +393 *2886:8 *5866:388 3.60268e-05 +394 *2918:16 *5866:130 0.000327446 +395 *2918:16 *5866:144 1.1539e-05 +396 *2950:22 *24390:RESET_B 0.000359932 +397 *2963:17 *24619:SET_B 1.41761e-05 +398 *2963:17 *5866:563 0.000102348 +399 *2963:22 *24390:RESET_B 0.000146805 +400 *2984:8 *24597:RESET_B 0.000148144 +401 *2984:8 *24622:RESET_B 3.28493e-05 +402 *2984:8 *5866:316 0.000748403 +403 *2984:8 *5866:322 7.58864e-05 +404 *2984:8 *5866:422 0 +405 *2996:24 *5866:328 3.63738e-05 +406 *2996:24 *5866:340 0.000156947 +407 *3035:23 *5866:45 8.20569e-05 +408 *3035:23 *5866:54 0.000314577 +409 *3036:14 *5866:328 1.22858e-05 +410 *3036:14 *5866:340 6.402e-05 +411 *3057:8 *24648:RESET_B 0.000937311 +412 *3057:8 *5866:153 0.000738103 +413 *3057:8 *5866:159 9.18769e-05 +414 *3065:8 *24473:SET_B 0.000272805 +415 *3065:8 *5866:103 3.48089e-05 +416 *3065:8 *5866:167 7.77141e-05 +417 *3069:6 *5866:33 0.000127194 +418 *3069:6 *5866:45 0.000655304 +419 *3155:139 *5866:59 7.65572e-05 +420 *3860:43 *5866:167 2.8182e-06 +421 *4138:124 *5866:8 0.000225475 +422 *4824:25 *24595:RESET_B 0 +423 *4824:84 *5866:619 6.51527e-05 +424 *4824:84 *5866:630 0.000248619 +425 *4825:12 *5866:340 0.000253916 +426 *4825:180 *5866:359 0.000761556 +427 *4825:180 *5866:365 0.000273277 +428 *4825:180 *5866:369 8.32995e-05 +429 *4826:28 *24512:RESET_B 0.000414293 +430 *4826:28 *5866:664 0.000362216 +431 *4835:7 *24714:RESET_B 0.00047271 +432 *4838:274 *24509:RESET_B 0 +433 *4838:274 *5866:499 0 +434 *4839:74 *24578:RESET_B 0.000188997 +435 *4839:78 *24575:RESET_B 0.000281971 +436 *4839:78 *24578:RESET_B 0.00027586 +437 *4839:320 *5866:369 4.75721e-06 +438 *4840:198 *24277:RESET_B 0.000130224 +439 *4840:198 *24452:SET_B 0.000219215 +440 *4840:283 *24597:RESET_B 1.2693e-05 +441 *4840:293 *24595:RESET_B 0 +442 *4840:293 *5866:452 0 +443 *4840:293 *5866:482 3.64684e-05 +444 *4840:341 *24510:RESET_B 3.55968e-05 +445 *4840:359 *24716:RESET_B 1.59204e-05 +446 *4841:218 *5866:375 0.000184414 +447 *4841:218 *5866:388 9.24241e-05 +448 *4841:302 *24513:RESET_B 0 +449 *4842:139 *5866:449 0.000129088 +450 *4842:176 *5866:449 4.40559e-05 +451 *4842:192 *24570:RESET_B 0.000205443 +452 *4843:150 *24512:RESET_B 0.000216427 +453 *4844:108 *24625:RESET_B 6.07304e-07 +454 *4869:42 *24473:SET_B 0.000372337 +455 *4869:42 *24577:SET_B 0.000286994 +456 *4869:44 *24473:SET_B 5.73392e-05 +457 *4869:59 *5866:54 8.61022e-05 +458 *4887:64 *24619:SET_B 4.81849e-05 +459 *4888:28 *24544:RESET_B 0.000171468 +460 *4888:55 *24544:RESET_B 4.96547e-05 +461 *4888:67 *5866:287 8.20522e-05 +462 *4888:67 *5866:303 2.23124e-05 +463 *4888:69 *5866:287 7.7927e-05 +464 *4889:28 *5866:167 0.000210224 +465 *4889:33 *5866:167 2.16355e-05 +466 *4893:18 *24622:RESET_B 1.11638e-05 +467 *4893:18 *5866:322 1.69846e-05 +468 *4893:84 *5866:543 0.000129814 +469 *4895:20 *24572:RESET_B 4.00689e-05 +470 *4895:22 *24511:RESET_B 0.000110583 +471 *4895:22 *24572:RESET_B 0.000239382 +472 *4895:24 *24511:RESET_B 1.91114e-05 +473 *4895:117 *24509:RESET_B 9.41529e-05 +474 *4896:22 *24406:RESET_B 8.22944e-05 +475 *4899:27 *24715:RESET_B 9.23118e-05 +476 *4899:59 *24716:RESET_B 6.76218e-05 +477 *4932:11 *5866:54 6.41208e-05 +478 *4940:6 *5866:8 0.000123152 +479 *4940:6 *5866:21 0.000159905 +480 *4942:15 *24387:SET_B 8.47289e-05 +481 *4967:5 *5866:33 2.07365e-05 +482 *4997:8 *24360:RESET_B 1.93033e-05 +483 *4997:8 *5866:59 0.000185205 +484 *5104:10 *5866:259 0.000121644 +485 *5117:11 *24597:RESET_B 8.92568e-06 +486 *5119:10 *24571:RESET_B 1.8696e-05 +487 *5119:10 *5866:417 2.14428e-07 +488 *5120:10 *24513:RESET_B 6.17339e-05 +489 *5121:13 *5866:651 9.27233e-05 +490 *5143:8 *24626:RESET_B 1.40978e-05 +491 *5143:8 *5866:543 0.000179332 +492 *5148:10 *24626:RESET_B 2.57516e-05 +493 *5148:10 *5866:601 2.87914e-05 +494 *5256:7 *24577:SET_B 1.11917e-05 +495 *5286:10 *24509:RESET_B 0.000117235 +496 *5287:10 *24510:RESET_B 5.37479e-05 +497 *5509:13 *5866:685 0.000421246 +498 *5509:13 *5866:696 5.03285e-05 +499 *5510:8 *24713:RESET_B 0.000110084 +500 *5511:8 *24714:RESET_B 0.000177342 +501 *5513:7 *24716:RESET_B 0.00010364 +502 *5594:8 *24595:RESET_B 0 +503 *5853:26 *24648:RESET_B 0.000134434 +504 *5853:46 *5866:103 0.00017301 +505 *5855:34 *5866:74 1.69932e-05 +506 *5855:34 *5866:103 8.11463e-06 +507 *5855:39 *5866:59 7.11636e-05 +508 *5855:39 *5866:68 0.000153894 +509 *5855:39 *5866:74 5.39463e-05 +510 *5857:14 *24622:RESET_B 7.09666e-06 +511 *5859:14 *24622:RESET_B 8.46199e-05 +*RES +1 *25376:X *5866:8 22.8204 +2 *5866:8 *24382:RESET_B 7.35741 +3 *5866:8 *5866:21 4.81204 +4 *5866:21 *24315:RESET_B 8.34606 +5 *24315:RESET_B *5866:33 17.9237 +6 *5866:33 *24316:RESET_B 4.5 +7 *5866:33 *5866:45 20.8764 +8 *5866:45 *24280:RESET_B 4.5 +9 *5866:45 *5866:54 28.8159 +10 *5866:54 *5866:59 10.3868 +11 *5866:59 *24360:RESET_B 7.91202 +12 *5866:59 *5866:68 6.81502 +13 *5866:68 *24453:RESET_B 4.5 +14 *5866:68 *5866:74 3.07775 +15 *5866:74 *5866:76 4.5 +16 *5866:76 *24277:RESET_B 13.9736 +17 *5866:76 *24452:SET_B 13.1055 +18 *5866:74 *5866:103 20.8658 +19 *5866:103 *24471:RESET_B 18.2078 +20 *5866:103 *24579:RESET_B 25.2503 +21 *24579:RESET_B *5866:130 12.6668 +22 *5866:130 *24577:SET_B 7.91202 +23 *5866:130 *5866:144 8.08395 +24 *5866:144 *24649:RESET_B 4.5 +25 *5866:144 *5866:153 13.4591 +26 *5866:153 *24645:SET_B 4.5 +27 *5866:153 *5866:159 1.832 +28 *5866:159 *5866:162 14.0971 +29 *5866:162 *5866:167 20.2237 +30 *5866:167 *24473:SET_B 21.6767 +31 *5866:167 *24474:RESET_B 4.5 +32 *5866:162 *24576:RESET_B 1.31417 +33 *5866:159 *24648:RESET_B 20.8658 +34 *24648:RESET_B *5866:196 0.494321 +35 *5866:196 *5866:200 11.324 +36 *5866:200 *24575:RESET_B 9.23876 +37 *5866:200 *24578:RESET_B 14.1696 +38 *5866:196 *24390:RESET_B 26.9494 +39 *24390:RESET_B *5866:237 20.8277 +40 *5866:237 *24457:RESET_B 4.5 +41 *24457:RESET_B *24387:SET_B 15.5289 +42 *5866:237 *5866:249 2.24725 +43 *5866:249 *24541:SET_B 4.5 +44 *5866:249 *5866:259 18.5154 +45 *5866:259 *24543:RESET_B 4.5 +46 *24543:RESET_B *24544:RESET_B 11.8396 +47 *5866:259 *5866:279 17.4162 +48 *5866:279 *24644:RESET_B 4.5 +49 *24644:RESET_B *5866:287 10.646 +50 *5866:287 *24623:RESET_B 0.494321 +51 *5866:287 *5866:303 14.9219 +52 *5866:303 *24349:SET_B 5.29386 +53 *5866:303 *5866:313 14.0492 +54 *5866:313 *5866:316 17.5438 +55 *5866:316 *24620:SET_B 4.5 +56 *5866:316 *5866:322 1.62437 +57 *5866:322 *5866:328 9.79954 +58 *5866:328 *24407:RESET_B 4.5 +59 *5866:328 *5866:340 16.8516 +60 *5866:340 *24403:SET_B 12.9035 +61 *5866:340 *24405:RESET_B 10.8773 +62 *24405:RESET_B *5866:359 17.9591 +63 *5866:359 *24404:SET_B 4.5 +64 *5866:359 *5866:365 4.73876 +65 *5866:365 *5866:369 7.54782 +66 *5866:369 *24508:SET_B 4.5 +67 *5866:369 *5866:375 13.4591 +68 *5866:375 *24570:RESET_B 7.53561 +69 *5866:375 *5866:388 6.332 +70 *5866:388 *24511:RESET_B 3.99073 +71 *5866:388 *24572:RESET_B 18.4104 +72 *24572:RESET_B *24513:RESET_B 15.815 +73 *5866:365 *24571:RESET_B 15.6765 +74 *24571:RESET_B *5866:417 2.88153 +75 *5866:417 *5866:422 22.0241 +76 *5866:422 *24600:RESET_B 8.49545 +77 *5866:422 *24567:SET_B 4.5 +78 *5866:417 *5866:438 19.6201 +79 *5866:438 *24568:SET_B 4.5 +80 *5866:438 *5866:444 1.20912 +81 *5866:444 *5866:449 8.08779 +82 *5866:449 *5866:452 4.43343 +83 *5866:452 *24597:RESET_B 18.292 +84 *5866:452 *24569:RESET_B 4.5 +85 *5866:449 *5866:473 3.28538 +86 *5866:473 *24599:RESET_B 4.5 +87 *5866:473 *5866:482 8.9951 +88 *5866:482 *24598:RESET_B 11.1765 +89 *5866:482 *24595:RESET_B 27.0268 +90 *5866:444 *5866:499 17.7215 +91 *5866:499 *24507:SET_B 4.5 +92 *5866:499 *24509:RESET_B 17.7678 +93 *5866:322 *24622:RESET_B 9.26049 +94 *5866:313 *5866:529 6.82404 +95 *5866:529 *24352:RESET_B 0.494321 +96 *5866:529 *5866:543 22.1359 +97 *5866:543 *24621:RESET_B 0.639 +98 *5866:543 *24626:RESET_B 6.18504 +99 *5866:279 *5866:557 4.73876 +100 *5866:557 *24643:RESET_B 4.5 +101 *5866:557 *5866:563 13.0438 +102 *5866:563 *24639:RESET_B 4.5 +103 *5866:563 *24619:SET_B 9.62877 +104 *5866:54 *24454:RESET_B 2.32692 +105 *5866:21 *24317:SET_B 12.1455 +106 *24626:RESET_B *5866:601 15.6969 +107 *5866:601 *24625:RESET_B 7.35741 +108 *5866:601 *5866:610 6.81502 +109 *5866:610 *24624:RESET_B 5.139 +110 *5866:610 *5866:619 1.832 +111 *5866:619 *24409:RESET_B 9.04534 +112 *5866:619 *5866:630 17.6116 +113 *5866:630 *24574:RESET_B 8.11433 +114 *5866:630 *24573:RESET_B 8.7258 +115 *24573:RESET_B *5866:651 5.63043 +116 *5866:651 *24406:RESET_B 11.08 +117 *5866:651 *5866:664 10.8998 +118 *5866:664 *24514:RESET_B 4.5 +119 *5866:664 *24512:RESET_B 31.4076 +120 *24512:RESET_B *5866:685 11.7552 +121 *5866:685 *24712:RESET_B 0.494321 +122 *5866:685 *5866:696 8.7192 +123 *5866:696 *24711:RESET_B 6.80281 +124 *24711:RESET_B *24715:RESET_B 12.2495 +125 *5866:696 *5866:717 17.6849 +126 *5866:717 *24714:RESET_B 15.3389 +127 *24714:RESET_B *24716:RESET_B 19.289 +128 *5866:717 *5866:744 1.41674 +129 *5866:744 *24713:RESET_B 5.6936 +130 *5866:744 *24510:RESET_B 15.951 +*END + +*D_NET *5867 0.138989 +*CONN +*I *24547:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24408:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24682:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24723:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24685:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24705:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24707:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24724:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24683:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24710:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24717:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24684:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24709:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24718:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24708:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24377:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24373:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24379:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24376:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24374:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24378:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24351:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24484:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24355:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24375:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24411:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24412:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24380:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24410:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24353:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24354:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24434:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24614:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24461:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24389:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24391:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24393:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24392:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24481:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24548:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24546:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24542:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24348:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24350:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24483:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24485:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24482:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24545:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24456:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24615:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24459:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24432:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24433:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24429:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24455:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24646:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24611:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24647:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25375:A I *D sky130_fd_sc_hd__buf_12 +*I *24430:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24613:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24652:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24651:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24650:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24906:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24450:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24451:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24357:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24361:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24359:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24318:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24319:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24343:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25377:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24547:RESET_B 0 +2 *24408:RESET_B 9.39366e-05 +3 *24682:RESET_B 2.17018e-05 +4 *24723:RESET_B 0.000302072 +5 *24685:RESET_B 0 +6 *24705:RESET_B 0.000428027 +7 *24707:RESET_B 0.000313894 +8 *24724:RESET_B 3.27607e-05 +9 *24683:RESET_B 0.000284638 +10 *24710:RESET_B 0.000775487 +11 *24717:RESET_B 0.000583077 +12 *24684:RESET_B 0.000280771 +13 *24709:RESET_B 4.25286e-05 +14 *24718:RESET_B 0.000354817 +15 *24708:RESET_B 0.000885971 +16 *24377:RESET_B 0.000145875 +17 *24373:SET_B 0.000305292 +18 *24379:RESET_B 0.000935634 +19 *24376:RESET_B 0 +20 *24374:SET_B 0.000313797 +21 *24378:RESET_B 0 +22 *24351:RESET_B 1.62807e-05 +23 *24484:RESET_B 0.000222409 +24 *24355:RESET_B 1.75736e-05 +25 *24375:RESET_B 1.03102e-05 +26 *24411:SET_B 0.000416417 +27 *24412:SET_B 1.4646e-05 +28 *24380:RESET_B 0.00065368 +29 *24410:RESET_B 0 +30 *24353:RESET_B 0 +31 *24354:RESET_B 5.10671e-05 +32 *24434:RESET_B 2.45056e-05 +33 *24614:RESET_B 0.000442564 +34 *24461:RESET_B 1.96779e-05 +35 *24389:RESET_B 0.00135578 +36 *24391:RESET_B 1.76235e-05 +37 *24393:RESET_B 0.000111381 +38 *24392:RESET_B 1.76235e-05 +39 *24481:SET_B 0.000269215 +40 *24548:RESET_B 0.000321657 +41 *24546:RESET_B 0 +42 *24542:SET_B 0.000564517 +43 *24348:SET_B 0.000127036 +44 *24350:RESET_B 8.34849e-05 +45 *24483:RESET_B 7.27209e-05 +46 *24485:RESET_B 0.000421857 +47 *24482:SET_B 0.00113046 +48 *24545:RESET_B 0.000116949 +49 *24456:SET_B 2.00375e-05 +50 *24615:RESET_B 0 +51 *24459:RESET_B 0.000128094 +52 *24432:RESET_B 0.000293407 +53 *24433:RESET_B 1.76235e-05 +54 *24429:SET_B 0.000369154 +55 *24455:SET_B 0.000379973 +56 *24646:SET_B 0.000590585 +57 *24611:SET_B 0 +58 *24647:RESET_B 9.32698e-05 +59 *25375:A 0.000367606 +60 *24430:SET_B 1.84691e-05 +61 *24613:RESET_B 0.000113217 +62 *24652:RESET_B 4.00992e-05 +63 *24651:RESET_B 1.76235e-05 +64 *24650:RESET_B 9.76476e-05 +65 *24906:RESET_B 0 +66 *24450:RESET_B 3.84564e-05 +67 *24451:RESET_B 0.000344633 +68 *24357:RESET_B 0.00087629 +69 *24361:RESET_B 6.53973e-05 +70 *24359:SET_B 5.19317e-05 +71 *24318:RESET_B 2.45056e-05 +72 *24319:RESET_B 1.84741e-05 +73 *24343:RESET_B 0.00124565 +74 *25377:X 0.000805314 +75 *5867:823 0.000679773 +76 *5867:820 0.000862734 +77 *5867:815 0.00053145 +78 *5867:785 0.000443031 +79 *5867:784 0.000986522 +80 *5867:765 0.000948951 +81 *5867:746 0.000323299 +82 *5867:744 0.000291695 +83 *5867:735 0.00097476 +84 *5867:732 0.000731119 +85 *5867:717 0.00108739 +86 *5867:688 0.00083731 +87 *5867:682 0.00059244 +88 *5867:645 0.00048397 +89 *5867:636 0.000545885 +90 *5867:622 0.000711123 +91 *5867:593 0.000954188 +92 *5867:581 0.000775358 +93 *5867:579 0.000287236 +94 *5867:577 0.000536466 +95 *5867:572 0.00142122 +96 *5867:563 0.00100524 +97 *5867:555 0.000566865 +98 *5867:549 0.000825387 +99 *5867:534 0.000669871 +100 *5867:514 0.000985019 +101 *5867:499 0.00115683 +102 *5867:473 0.000808075 +103 *5867:457 0.000259282 +104 *5867:456 0.000955469 +105 *5867:446 0.00146836 +106 *5867:432 0.00117295 +107 *5867:416 0.000518946 +108 *5867:395 0.00105906 +109 *5867:383 0.000924499 +110 *5867:369 0.000714444 +111 *5867:364 0.00071914 +112 *5867:358 0.000372977 +113 *5867:348 0.00116748 +114 *5867:321 0.00136058 +115 *5867:310 0.00115856 +116 *5867:301 0.000460253 +117 *5867:283 0.000365542 +118 *5867:282 7.21344e-05 +119 *5867:280 0.000217342 +120 *5867:266 0.00054788 +121 *5867:263 0.000752971 +122 *5867:251 0.00114183 +123 *5867:231 0.000906937 +124 *5867:223 0.000496728 +125 *5867:208 0.000439468 +126 *5867:188 0.00147615 +127 *5867:185 0.00121437 +128 *5867:176 0.00037186 +129 *5867:166 0.00089457 +130 *5867:147 0.00127254 +131 *5867:125 0.00131535 +132 *5867:116 0.00121219 +133 *5867:99 0.00091641 +134 *5867:78 0.00187642 +135 *5867:66 0.00131577 +136 *5867:46 0.0013374 +137 *5867:32 0.00142502 +138 *5867:21 0.000856342 +139 *24373:SET_B *5893:8 9.4893e-05 +140 *24408:RESET_B *5891:22 0.000168721 +141 *24461:RESET_B *5921:72 5.69771e-05 +142 *24485:RESET_B *5890:14 0.000109396 +143 *24683:RESET_B *5903:6 0.000264598 +144 *24707:RESET_B *5903:6 0.000308064 +145 *5867:223 *5918:20 4.53929e-05 +146 *5867:231 *5918:20 0.00012016 +147 *5867:499 *5921:72 0.000207266 +148 *5867:549 *5891:26 3.20711e-05 +149 *5867:549 *5920:28 0 +150 *5867:555 *5891:22 0.000383456 +151 *5867:555 *5891:26 0.000177877 +152 *5867:563 *5891:22 0.000209454 +153 *5867:572 *5898:239 0.000368207 +154 *5867:682 *5923:23 5.53585e-06 +155 *5867:785 *5903:6 0.000172707 +156 *19837:A *24373:SET_B 0.000106869 +157 *19875:A2 *5867:116 1.96456e-05 +158 *19876:A *24411:SET_B 0.000105636 +159 *19896:A *24348:SET_B 4.52384e-05 +160 *20278:B1 *24683:RESET_B 4.66492e-05 +161 *20337:B1 *24684:RESET_B 2.85139e-05 +162 *20337:B2 *24684:RESET_B 3.31733e-05 +163 *20340:A2 *24724:RESET_B 0.00014365 +164 *20385:A *5867:147 1.58551e-05 +165 *20385:A *5867:166 1.58551e-05 +166 *20388:A1 *5867:147 6.08467e-05 +167 *20388:A1 *5867:166 6.12686e-06 +168 *20388:B1 *5867:147 3.81368e-05 +169 *20388:B2 *5867:147 0.000247443 +170 *20393:A1 *24455:SET_B 4.31793e-05 +171 *20393:A1 *24646:SET_B 0.000218959 +172 *20440:A1 *5867:266 0.00025175 +173 *20541:A1 *5867:348 0.000217937 +174 *20630:B2 *5867:348 5.73392e-05 +175 *20633:B2 *24482:SET_B 5.32743e-05 +176 *20633:B2 *5867:348 4.06462e-05 +177 *20671:A2 *5867:310 5.05252e-05 +178 *20672:B2 *24455:SET_B 0.000137936 +179 *20705:A1 *24614:RESET_B 0.000160617 +180 *20705:B2 *24485:RESET_B 2.28919e-05 +181 *20709:A1 *25375:A 0.000111708 +182 *20739:A *5867:549 2.31895e-05 +183 *20739:A *5867:555 0.000311364 +184 *20743:A1 *5867:572 0.000240452 +185 *20749:B *5867:125 2.59533e-05 +186 *20780:A1 *24393:RESET_B 0.000106869 +187 *20782:A1 *5867:456 3.21548e-05 +188 *20782:A2 *5867:456 0.00036437 +189 *20782:B2 *5867:456 6.63489e-05 +190 *20802:B1 *24379:RESET_B 2.65667e-05 +191 *20802:B2 *24379:RESET_B 0.000175784 +192 *20862:B1 *24359:SET_B 0 +193 *20862:B1 *5867:46 0 +194 *20862:B1 *5867:66 3.41459e-05 +195 *20862:B2 *5867:66 0.00020502 +196 *20879:B1 *24354:RESET_B 0.000217937 +197 *21014:A *24318:RESET_B 5.37479e-05 +198 *21256:A *5867:593 0.000156578 +199 *21486:A *5867:32 0.00034926 +200 *21614:A *24357:RESET_B 2.16355e-05 +201 *21615:A *5867:32 4.53855e-05 +202 *21615:A *5867:46 2.93622e-05 +203 *21760:A *5867:395 0.000329949 +204 *21781:A *5867:636 9.74407e-05 +205 *21905:A *24646:SET_B 0.00029282 +206 *21905:A *5867:231 7.09666e-06 +207 *21905:A *5867:251 0.000168752 +208 *24126:TE_B *24718:RESET_B 0.000134832 +209 *24318:CLK *24343:RESET_B 0.000159788 +210 *24318:CLK *5867:21 0.000177106 +211 *24319:D *5867:21 0.000154136 +212 *24343:D *24343:RESET_B 1.4091e-06 +213 *24353:D *5867:549 1.66626e-05 +214 *24355:CLK *24355:RESET_B 1.91114e-05 +215 *24355:CLK *5867:636 0.000134849 +216 *24358:CLK *5867:32 0 +217 *24359:D *5867:46 8.39059e-05 +218 *24373:CLK *24373:SET_B 4.32761e-05 +219 *24380:CLK *5867:577 0 +220 *24389:D *5867:499 2.61955e-05 +221 *24389:CLK *24614:RESET_B 0.000231363 +222 *24389:CLK *5867:514 0.000285517 +223 *24391:D *5867:457 0.000174205 +224 *24392:CLK *5867:446 5.92192e-05 +225 *24414:CLK *24411:SET_B 0.000114594 +226 *24429:D *24429:SET_B 2.59398e-05 +227 *24451:D *5867:78 0.000152901 +228 *24482:D *24482:SET_B 2.16355e-05 +229 *24484:D *24484:RESET_B 0.000122068 +230 *24546:CLK *5867:395 0.000117308 +231 *24547:D *5867:358 0.000115508 +232 *24548:CLK *24481:SET_B 0.00036962 +233 *24613:CLK *24613:RESET_B 0.0001428 +234 *24650:D *24650:RESET_B 2.28919e-05 +235 *24651:D *5867:147 0.000111708 +236 *24651:CLK *24650:RESET_B 5.69771e-05 +237 *24682:D *5867:815 9.19632e-06 +238 *24682:CLK *24682:RESET_B 4.32761e-05 +239 *24683:CLK *24683:RESET_B 4.46219e-05 +240 *24684:CLK *24684:RESET_B 0.00010816 +241 *24708:CLK *24377:RESET_B 3.24105e-05 +242 *24708:CLK *24708:RESET_B 4.81849e-05 +243 *24724:D *24683:RESET_B 0 +244 *144:8 *24683:RESET_B 0 +245 *144:8 *24707:RESET_B 0 +246 *144:8 *5867:785 0 +247 *477:44 *24411:SET_B 4.55167e-05 +248 *478:12 *24705:RESET_B 0.000372943 +249 *478:12 *5867:823 0.00063262 +250 *489:8 *24389:RESET_B 0.000249457 +251 *489:8 *24408:RESET_B 0 +252 *489:8 *5867:555 2.65227e-05 +253 *489:8 *5867:563 0 +254 *490:28 *5867:593 0 +255 *490:31 *5867:572 0.000314506 +256 *1550:16 *24429:SET_B 6.87503e-05 +257 *1550:16 *5867:251 1.03403e-05 +258 *1550:16 *5867:263 6.96795e-05 +259 *1565:12 *5867:125 0.000124982 +260 *1566:68 *5867:66 1.91391e-05 +261 *1595:13 *24484:RESET_B 2.57383e-05 +262 *1607:18 *5867:263 0.000260081 +263 *1607:18 *5867:301 3.45797e-05 +264 *1607:18 *5867:310 0.000211123 +265 *1620:153 *5867:499 0.000164843 +266 *1620:153 *5867:514 3.31733e-05 +267 *1624:15 *5867:21 0.000128436 +268 *1624:15 *5867:32 6.28222e-05 +269 *1624:38 *24357:RESET_B 1.59857e-05 +270 *1624:62 *5867:32 0.000220967 +271 *1625:146 *5867:99 0.00014886 +272 *1625:146 *5867:116 0.000495687 +273 *1646:12 *5867:166 6.91111e-05 +274 *1656:12 *24456:SET_B 1.04965e-05 +275 *1688:34 *5867:116 6.85293e-05 +276 *1729:19 *5867:223 1.5714e-05 +277 *1732:12 *5867:116 0.000352946 +278 *1732:12 *5867:125 0.00124249 +279 *1741:21 *5867:176 1.32509e-05 +280 *1771:203 *24393:RESET_B 1.8672e-05 +281 *1771:203 *5867:432 2.11195e-05 +282 *1771:206 *24548:RESET_B 3.31882e-05 +283 *1771:207 *24481:SET_B 0.000314521 +284 *1787:17 *24652:RESET_B 3.56986e-05 +285 *1787:17 *5867:176 0.000331845 +286 *1787:17 *5867:185 0.000282896 +287 *1787:17 *5867:208 9.75356e-05 +288 *1787:17 *5867:223 0.000237655 +289 *1788:164 *5867:166 0.000192472 +290 *1789:28 *5867:432 0.000103626 +291 *1789:65 *5867:166 0.00026602 +292 *1789:65 *5867:188 4.2273e-06 +293 *1790:191 *24432:RESET_B 0.000159765 +294 *1790:191 *24545:RESET_B 8.95272e-05 +295 *1799:26 *24455:SET_B 0.000181044 +296 *1799:28 *24455:SET_B 0.000175921 +297 *1809:80 *5867:21 2.93477e-05 +298 *1884:102 *5867:636 0.000158077 +299 *1884:154 *24455:SET_B 0.000257147 +300 *1909:12 *5867:577 0.000223753 +301 *1909:12 *5867:579 0.00011946 +302 *1909:12 *5867:581 0.000638826 +303 *1909:12 *5867:593 9.22013e-06 +304 *1909:12 *5867:622 0.000109075 +305 *1912:20 *24412:SET_B 2.71397e-05 +306 *1912:20 *5867:593 0.00031341 +307 *1913:37 *5867:735 0 +308 *1913:46 *5867:735 0 +309 *1943:25 *5867:125 0.000107496 +310 *1943:37 *5867:125 0.000294093 +311 *1943:37 *5867:147 0.000193069 +312 *1947:70 *5867:66 0.000130063 +313 *1991:43 *5867:348 8.81615e-05 +314 *1991:48 *5867:383 0 +315 *1992:39 *5867:383 0.000315206 +316 *2030:50 *5867:310 0.000175689 +317 *2033:14 *24357:RESET_B 0.000319582 +318 *2033:34 *24357:RESET_B 9.88578e-05 +319 *2042:10 *25375:A 4.59785e-05 +320 *2042:28 *25375:A 0.000108706 +321 *2043:41 *25375:A 0.000207266 +322 *2053:20 *24389:RESET_B 0 +323 *2076:39 *5867:499 5.41377e-05 +324 *2076:52 *5867:499 0.000111358 +325 *2077:19 *24481:SET_B 0.00125988 +326 *2083:7 *5867:572 1.03403e-05 +327 *2083:66 *24373:SET_B 3.41406e-05 +328 *2083:66 *24379:RESET_B 2.46262e-05 +329 *2135:50 *24354:RESET_B 1.00846e-05 +330 *2136:18 *5867:549 6.79169e-05 +331 *2166:8 *5867:46 0.000712241 +332 *2262:27 *5867:682 0.00024536 +333 *2264:6 *24393:RESET_B 6.65681e-05 +334 *2264:6 *5867:457 6.11062e-05 +335 *2264:6 *5867:534 0.000204363 +336 *2264:6 *5867:549 2.2599e-05 +337 *2264:15 *24393:RESET_B 0 +338 *2297:11 *24373:SET_B 2.16355e-05 +339 *2297:17 *24373:SET_B 5.56367e-05 +340 *2316:8 *5867:682 0.000125817 +341 *2316:8 *5867:688 6.95291e-05 +342 *2316:8 *5867:717 0.000102374 +343 *2331:8 *5867:514 0.000197171 +344 *2347:26 *24542:SET_B 0.000194893 +345 *2347:36 *5867:514 0.000110411 +346 *2357:17 *5867:682 0.000138582 +347 *2357:32 *5867:682 0.000221241 +348 *2369:23 *5867:446 2.11869e-05 +349 *2369:24 *24614:RESET_B 0.000308017 +350 *2369:24 *5867:514 0.000282003 +351 *2374:14 *24548:RESET_B 0.000100636 +352 *2374:14 *5867:416 0.000109048 +353 *2375:12 *24614:RESET_B 2.97007e-05 +354 *2380:8 *5867:499 4.98048e-05 +355 *2416:10 *5867:499 0.00047203 +356 *2416:10 *5867:514 2.93278e-05 +357 *2416:14 *5867:514 6.14989e-05 +358 *2448:41 *24459:RESET_B 4.59816e-06 +359 *2451:13 *24684:RESET_B 0.000466373 +360 *2451:13 *24709:RESET_B 0.000150275 +361 *2467:21 *24717:RESET_B 0.000195415 +362 *2468:34 *5867:78 0.000107496 +363 *2485:8 *24484:RESET_B 0.000374427 +364 *2485:8 *5867:645 0.000597199 +365 *2530:16 *5867:416 0.000196638 +366 *2530:29 *5867:514 0.000247443 +367 *2535:8 *5867:46 0.000400057 +368 *2545:11 *5867:820 5.84505e-05 +369 *2552:14 *5867:116 0.000330576 +370 *2552:14 *5867:125 0.00124962 +371 *2566:25 *24350:RESET_B 0.000196925 +372 *2566:25 *5867:310 3.00521e-05 +373 *2566:25 *5867:321 0.000127157 +374 *2566:25 *5867:383 0.000492367 +375 *2566:28 *5867:263 0.000108602 +376 *2570:25 *24380:RESET_B 7.64506e-05 +377 *2589:12 *5867:395 0.000118485 +378 *2608:14 *5867:66 2.27135e-05 +379 *2616:13 *5867:21 6.50727e-05 +380 *2616:13 *5867:32 0.000248437 +381 *2616:28 *5867:21 0.000202613 +382 *2620:10 *24373:SET_B 6.42805e-05 +383 *2620:16 *24374:SET_B 0.000917895 +384 *2620:19 *24705:RESET_B 7.81255e-05 +385 *2620:37 *5867:223 1.34264e-05 +386 *2655:25 *5867:21 0.000157717 +387 *2697:8 *24650:RESET_B 7.14746e-05 +388 *2728:41 *5867:125 0.000193885 +389 *2728:41 *5867:147 5.97576e-05 +390 *2728:41 *5867:166 2.02035e-05 +391 *2728:44 *5867:166 0.000104572 +392 *2737:29 *5867:223 0.000228644 +393 *2764:55 *24450:RESET_B 1.91114e-05 +394 *2766:6 *24650:RESET_B 3.45653e-05 +395 *2795:12 *5867:99 0.000145263 +396 *2795:12 *5867:116 0.000553775 +397 *2796:26 *5867:99 6.57877e-05 +398 *2813:16 *5867:572 0.000878316 +399 *2826:10 *24456:SET_B 1.5714e-05 +400 *2827:13 *5867:188 1.82679e-05 +401 *2843:12 *5867:166 0.000260909 +402 *2843:12 *5867:176 0.000344245 +403 *2843:12 *5867:185 0.000284432 +404 *2843:12 *5867:208 9.04083e-05 +405 *2843:12 *5867:223 0.000233687 +406 *2845:14 *5867:147 7.50722e-05 +407 *2846:16 *5867:223 0.000123007 +408 *2846:16 *5867:231 0.000335348 +409 *2881:17 *5867:432 6.74667e-05 +410 *2881:17 *5867:446 8.33404e-05 +411 *2881:18 *24485:RESET_B 0.000313132 +412 *2881:18 *5867:358 0.000121399 +413 *2881:18 *5867:364 0.000147049 +414 *2886:8 *5867:383 0.000337654 +415 *2893:13 *24485:RESET_B 0.000166238 +416 *2893:13 *5867:358 6.49436e-05 +417 *2893:13 *5867:364 0.000529264 +418 *2963:42 *5867:147 7.14746e-05 +419 *2985:8 *24542:SET_B 0.000118268 +420 *2985:14 *24432:RESET_B 0.000156239 +421 *3022:6 *24484:RESET_B 0.000110133 +422 *3022:6 *5867:645 4.55455e-05 +423 *3040:18 *5867:66 0.000408481 +424 *3045:12 *24545:RESET_B 8.61022e-05 +425 *3070:20 *25375:A 0.000256835 +426 *3106:227 *24652:RESET_B 4.48479e-05 +427 *3106:227 *5867:176 9.75243e-05 +428 *3114:190 *5867:99 0.00040098 +429 *3128:162 *5867:99 3.31745e-05 +430 *3131:142 *24450:RESET_B 4.55962e-05 +431 *3131:167 *24650:RESET_B 0.000155272 +432 *3162:115 *24357:RESET_B 0.000423536 +433 *3164:113 *24361:RESET_B 0.000174521 +434 *3164:113 *5867:78 0.000435539 +435 *3175:112 *24361:RESET_B 0.000179618 +436 *3175:112 *5867:78 0.000439971 +437 *3529:155 *5867:46 0.000124125 +438 *3533:100 *5867:78 1.17431e-05 +439 *3533:100 *5867:99 0.00088773 +440 *4126:57 *24429:SET_B 6.51527e-05 +441 *4126:57 *5867:263 0.000683787 +442 *4126:57 *5867:301 9.60366e-05 +443 *4126:57 *5867:310 0.000723241 +444 *4126:66 *24647:RESET_B 0.000253275 +445 *4814:7 *24484:RESET_B 0.000207609 +446 *4822:50 *24456:SET_B 4.40531e-05 +447 *4823:8 *24548:RESET_B 0.000109158 +448 *4823:8 *5867:432 0.000165481 +449 *4823:8 *5867:446 0.000243701 +450 *4823:12 *24548:RESET_B 9.30205e-05 +451 *4823:12 *5867:416 2.39535e-05 +452 *4824:90 *24389:RESET_B 0.000337717 +453 *4825:42 *5867:645 0.000658966 +454 *4825:49 *24351:RESET_B 7.64959e-06 +455 *4825:49 *24411:SET_B 0.000616278 +456 *4829:182 *5867:784 0.000430352 +457 *4829:186 *5867:784 0.000116964 +458 *4830:36 *5867:636 0 +459 *4830:36 *5867:645 6.84219e-05 +460 *4833:188 *24718:RESET_B 0.000520083 +461 *4834:16 *24710:RESET_B 0.000515526 +462 *4834:16 *5867:735 5.56367e-05 +463 *4834:16 *5867:744 0.000370912 +464 *4834:16 *5867:765 0.00038109 +465 *4834:25 *24718:RESET_B 2.16355e-05 +466 *4835:18 *24684:RESET_B 0.000264613 +467 *4835:18 *24705:RESET_B 0.00016062 +468 *4835:18 *5867:823 0.000267254 +469 *4836:43 *5867:636 1.71577e-05 +470 *4836:49 *5867:815 0.000113348 +471 *4836:49 *5867:820 4.56563e-05 +472 *4836:55 *24684:RESET_B 7.08276e-05 +473 *4836:55 *24710:RESET_B 0 +474 *4836:56 *24707:RESET_B 0.000152676 +475 *4838:306 *5867:682 7.93542e-05 +476 *4838:306 *5867:688 4.76606e-05 +477 *4838:306 *5867:717 6.80025e-05 +478 *4839:357 *24380:RESET_B 2.01653e-05 +479 *4839:357 *5867:577 1.21729e-05 +480 *4839:357 *5867:579 4.33948e-05 +481 *4839:357 *5867:581 0.000277398 +482 *4839:357 *5867:622 3.60268e-05 +483 *4839:367 *5867:622 0.00011818 +484 *4841:262 *24379:RESET_B 0.000169253 +485 *4841:276 *24682:RESET_B 0.000106869 +486 *4843:936 *24683:RESET_B 0.000224381 +487 *4844:665 *24485:RESET_B 0 +488 *4844:783 *24684:RESET_B 0.000263945 +489 *4845:171 *5867:622 0.000248819 +490 *4845:171 *5867:636 1.44467e-05 +491 *4845:183 *24412:SET_B 6.28168e-05 +492 *4845:183 *5867:593 0.000689595 +493 *4845:678 *24455:SET_B 0.000142895 +494 *4872:127 *24393:RESET_B 7.26596e-05 +495 *4872:127 *5867:457 0.000299353 +496 *4872:136 *5867:457 2.24484e-05 +497 *4872:136 *5867:534 0.000496923 +498 *4872:136 *5867:549 0.000177428 +499 *4881:177 *5867:310 0.000109522 +500 *4881:177 *5867:321 0.000473996 +501 *4890:75 *25375:A 4.58529e-05 +502 *4890:75 *5867:188 0.000119345 +503 *4891:51 *24614:RESET_B 5.16234e-05 +504 *4892:20 *24459:RESET_B 0.000386764 +505 *4892:86 *24542:SET_B 0.000157099 +506 *4897:11 *24389:RESET_B 3.24105e-05 +507 *4897:11 *5867:473 1.03403e-05 +508 *4897:65 *24354:RESET_B 0.00026073 +509 *4897:65 *5867:549 0.000118485 +510 *4898:15 *24411:SET_B 8.36326e-05 +511 *4900:79 *24724:RESET_B 0.000322528 +512 *4900:124 *24723:RESET_B 5.62451e-05 +513 *4932:89 *5867:46 2.8182e-06 +514 *4942:21 *24613:RESET_B 0.000386764 +515 *4982:7 *24348:SET_B 0.000139414 +516 *4988:5 *24351:RESET_B 5.69771e-05 +517 *4991:7 *24354:RESET_B 3.70772e-05 +518 *4992:11 *24355:RESET_B 5.37479e-05 +519 *4992:11 *5867:636 9.97706e-05 +520 *4996:8 *24359:SET_B 5.37479e-05 +521 *4996:8 *5867:46 3.03262e-06 +522 *4996:8 *5867:66 5.51483e-06 +523 *4999:11 *24374:SET_B 0.000143751 +524 *5000:5 *24375:RESET_B 5.79739e-05 +525 *5000:5 *5867:622 0.000111708 +526 *5005:8 *24380:RESET_B 5.37479e-05 +527 *5005:8 *5867:593 0.000160384 +528 *5014:11 *24389:RESET_B 6.08467e-05 +529 *5025:10 *24411:SET_B 9.70559e-05 +530 *5103:8 *24542:SET_B 3.75221e-05 +531 *5106:8 *24545:RESET_B 3.12041e-05 +532 *5156:10 *24647:RESET_B 6.50727e-05 +533 *5250:32 *24483:RESET_B 3.82228e-05 +534 *5250:32 *24542:SET_B 1.27749e-05 +535 *5250:32 *5867:369 0.000128466 +536 *5250:32 *5867:416 0.000118938 +537 *5298:8 *5867:348 0.000131817 +538 *5301:10 *24485:RESET_B 6.1189e-05 +539 *5316:9 *5867:514 6.31809e-05 +540 *5327:10 *5867:280 3.07384e-05 +541 *5507:11 *5867:572 0.000167076 +542 *5507:12 *5867:577 8.63795e-06 +543 *5517:7 *24705:RESET_B 0.000154501 +544 *5520:8 *24708:RESET_B 2.73711e-06 +545 *5520:8 *5867:732 3.18172e-05 +546 *5521:8 *24709:RESET_B 5.60664e-05 +547 *5594:16 *24710:RESET_B 0.000167002 +548 *5594:16 *5867:735 0.000330774 +549 *5594:16 *5867:744 0.000162053 +550 *5594:16 *5867:765 0.000171878 +551 *5594:16 *5867:815 0.000257456 +552 *5594:16 *5867:820 0.000123477 +553 *5855:547 *24434:RESET_B 5.37479e-05 +554 *5855:561 *5867:499 0.000174175 +555 *5857:60 *24375:RESET_B 2.40589e-05 +556 *5857:60 *5867:622 1.67988e-05 +557 *5858:40 *5867:32 0.00011439 +558 *5858:40 *5867:46 6.04273e-05 +559 *5858:59 *5867:32 4.42033e-05 +560 *5859:28 *24542:SET_B 0.000269694 +561 *5859:71 *24545:RESET_B 0.000200168 +562 *5861:97 *24357:RESET_B 0.000487161 +563 *5861:257 *24429:SET_B 0.000113054 +*RES +1 *25377:X *24343:RESET_B 21.1972 +2 *24343:RESET_B *5867:21 23.423 +3 *5867:21 *24319:RESET_B 0.494321 +4 *5867:21 *5867:32 16.6202 +5 *5867:32 *24318:RESET_B 5.139 +6 *5867:32 *5867:46 28.542 +7 *5867:46 *24359:SET_B 1.62764 +8 *5867:46 *5867:66 15.722 +9 *5867:66 *24361:RESET_B 7.78538 +10 *5867:66 *5867:78 24.324 +11 *5867:78 *24357:RESET_B 27.1522 +12 *5867:78 *24451:RESET_B 0.904245 +13 *24451:RESET_B *5867:99 17.645 +14 *5867:99 *24450:RESET_B 5.71772 +15 *5867:99 *5867:116 28.1999 +16 *5867:116 *24906:RESET_B 4.5 +17 *5867:116 *5867:125 33.7793 +18 *5867:125 *24650:RESET_B 12.7168 +19 *5867:125 *5867:147 21.7499 +20 *5867:147 *24651:RESET_B 0.494321 +21 *5867:147 *5867:166 32.9459 +22 *5867:166 *24652:RESET_B 1.1936 +23 *5867:166 *5867:176 12.1778 +24 *5867:176 *24613:RESET_B 9.02122 +25 *5867:176 *5867:185 5.15401 +26 *5867:185 *5867:188 19.0885 +27 *5867:188 *24430:SET_B 0.494321 +28 *5867:188 *25375:A 28.3169 +29 *5867:185 *5867:208 1.832 +30 *5867:208 *24647:RESET_B 7.35741 +31 *5867:208 *5867:223 14.1559 +32 *5867:223 *24611:SET_B 4.5 +33 *5867:223 *5867:231 10.8998 +34 *5867:231 *24646:SET_B 6.20915 +35 *24646:SET_B *24455:SET_B 23.8161 +36 *5867:231 *5867:251 11.8786 +37 *5867:251 *24429:SET_B 11.0167 +38 *5867:251 *5867:263 12.2133 +39 *5867:263 *5867:266 10.7694 +40 *5867:266 *24433:RESET_B 0.494321 +41 *5867:266 *5867:280 2.30281 +42 *5867:280 *5867:282 9.24915 +43 *5867:282 *5867:283 104.301 +44 *5867:283 *24432:RESET_B 24.8233 +45 *5867:280 *24459:RESET_B 5.07583 +46 *5867:263 *5867:301 1.832 +47 *5867:301 *24615:RESET_B 4.5 +48 *5867:301 *5867:310 16.6373 +49 *5867:310 *24456:SET_B 8.66265 +50 *5867:310 *5867:321 5.40742 +51 *5867:321 *24545:RESET_B 8.63481 +52 *5867:321 *24482:SET_B 11.8184 +53 *24482:SET_B *5867:348 15.8694 +54 *5867:348 *24485:RESET_B 18.1828 +55 *5867:348 *5867:358 5.15401 +56 *5867:358 *5867:364 14.5393 +57 *5867:364 *5867:369 4.79853 +58 *5867:369 *24483:RESET_B 1.77232 +59 *5867:369 *5867:383 24.027 +60 *5867:383 *24350:RESET_B 2.30281 +61 *5867:383 *5867:395 18.6259 +62 *5867:395 *24348:SET_B 3.41202 +63 *5867:395 *24542:SET_B 24.9152 +64 *5867:364 *5867:416 14.5383 +65 *5867:416 *24546:RESET_B 4.5 +66 *5867:416 *24548:RESET_B 11.3888 +67 *24548:RESET_B *5867:432 8.77135 +68 *5867:432 *24481:SET_B 19.4381 +69 *5867:432 *5867:446 18.4206 +70 *5867:446 *24392:RESET_B 0.494321 +71 *5867:446 *5867:456 14.6517 +72 *5867:456 *5867:457 5.56926 +73 *5867:457 *24393:RESET_B 8.42938 +74 *5867:457 *5867:473 5.2234 +75 *5867:473 *24391:RESET_B 0.494321 +76 *5867:473 *24389:RESET_B 29.5068 +77 *24389:RESET_B *5867:499 25.5178 +78 *5867:499 *24461:RESET_B 0.639 +79 *5867:499 *5867:514 30.0719 +80 *5867:514 *24614:RESET_B 15.3086 +81 *5867:514 *24434:RESET_B 5.139 +82 *5867:456 *5867:534 8.89128 +83 *5867:534 *24354:RESET_B 7.91202 +84 *5867:534 *5867:549 11.9704 +85 *5867:549 *24353:RESET_B 4.5 +86 *5867:549 *5867:555 15.5353 +87 *5867:555 *24410:RESET_B 4.5 +88 *5867:555 *5867:563 4.64105 +89 *5867:563 *5867:572 35.9827 +90 *5867:572 *5867:577 4.53113 +91 *5867:577 *5867:579 2.24725 +92 *5867:579 *5867:581 11.1752 +93 *5867:581 *24380:RESET_B 7.91422 +94 *24380:RESET_B *5867:593 23.7726 +95 *5867:593 *24412:SET_B 5.50149 +96 *5867:593 *24411:SET_B 28.4468 +97 *5867:581 *5867:622 14.1112 +98 *5867:622 *24375:RESET_B 0.639 +99 *5867:622 *5867:636 17.968 +100 *5867:636 *24355:RESET_B 0.639 +101 *5867:636 *5867:645 17.9591 +102 *5867:645 *24484:RESET_B 13.6178 +103 *5867:645 *24351:RESET_B 5.139 +104 *5867:579 *24378:RESET_B 4.5 +105 *5867:577 *24374:SET_B 14.5673 +106 *5867:572 *5867:682 13.4694 +107 *5867:682 *24376:RESET_B 4.5 +108 *5867:682 *5867:688 3.07775 +109 *5867:688 *24379:RESET_B 20.3062 +110 *24379:RESET_B *24373:SET_B 18.2614 +111 *5867:688 *5867:717 8.82351 +112 *5867:717 *24377:RESET_B 2.32692 +113 *5867:717 *24708:RESET_B 11.7552 +114 *24708:RESET_B *5867:732 1.74821 +115 *5867:732 *5867:735 17.9591 +116 *5867:735 *24718:RESET_B 15.1219 +117 *5867:735 *5867:744 6.81502 +118 *5867:744 *5867:746 4.5 +119 *5867:746 *24709:RESET_B 1.74821 +120 *5867:746 *24684:RESET_B 21.7564 +121 *5867:744 *5867:765 6.81502 +122 *5867:765 *24717:RESET_B 17.8949 +123 *5867:765 *24710:RESET_B 13.8065 +124 *24710:RESET_B *5867:784 18.3289 +125 *5867:784 *5867:785 3.493 +126 *5867:785 *24683:RESET_B 13.2054 +127 *5867:785 *24724:RESET_B 7.91202 +128 *5867:784 *24707:RESET_B 14.0359 +129 *5867:732 *5867:815 11.0714 +130 *5867:815 *5867:820 11.9075 +131 *5867:820 *5867:823 15.8828 +132 *5867:823 *24705:RESET_B 17.5001 +133 *5867:823 *24685:RESET_B 4.5 +134 *5867:820 *24723:RESET_B 6.18504 +135 *5867:815 *24682:RESET_B 5.6936 +136 *5867:563 *24408:RESET_B 7.57775 +137 *5867:358 *24547:RESET_B 4.5 +*END + +*D_NET *5868 0.12228 +*CONN +*I *24554:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24528:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24531:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24420:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25376:A I *D sky130_fd_sc_hd__buf_12 +*I *24472:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24401:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24399:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24398:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24539:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24596:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24536:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24640:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24535:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24802:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24533:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24638:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24594:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24642:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24637:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24593:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24540:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24641:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24592:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24591:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24388:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24386:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24587:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24590:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24586:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24537:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24538:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24534:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24803:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24804:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24190:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24801:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24800:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24194:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24588:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24193:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24585:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24188:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24589:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24400:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24402:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24192:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24191:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24189:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24187:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24427:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24426:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24424:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24425:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24475:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24428:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24250:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24276:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24278:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24252:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24253:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24251:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24254:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24279:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24383:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24385:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24381:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24384:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25377:A I *D sky130_fd_sc_hd__buf_12 +*I *24419:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24423:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24345:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24422:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24421:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24530:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24558:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25378:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24554:RESET_B 0.000687898 +2 *24528:RESET_B 2.00407e-05 +3 *24531:RESET_B 6.8664e-05 +4 *24420:RESET_B 0.000297244 +5 *25376:A 2.54354e-05 +6 *24472:RESET_B 0.00017948 +7 *24401:RESET_B 0.000340581 +8 *24399:RESET_B 0.00127845 +9 *24398:RESET_B 0.000548223 +10 *24539:RESET_B 2.20928e-05 +11 *24596:RESET_B 0.000112709 +12 *24536:RESET_B 0.00050671 +13 *24640:RESET_B 0.000746349 +14 *24535:RESET_B 0.000272794 +15 *24802:SET_B 0.000599336 +16 *24533:SET_B 6.33709e-05 +17 *24638:SET_B 0.000196777 +18 *24594:SET_B 0.000773191 +19 *24642:RESET_B 4.09259e-05 +20 *24637:SET_B 0.000171358 +21 *24593:SET_B 0.00138723 +22 *24540:RESET_B 7.48975e-05 +23 *24641:RESET_B 0 +24 *24592:RESET_B 0.000484844 +25 *24591:RESET_B 0.000255911 +26 *24388:RESET_B 0 +27 *24386:SET_B 0.000397816 +28 *24587:RESET_B 0.000233211 +29 *24590:RESET_B 0.000881243 +30 *24586:SET_B 0.000297236 +31 *24537:RESET_B 0.000591823 +32 *24538:RESET_B 8.40066e-05 +33 *24534:SET_B 0.0010717 +34 *24803:RESET_B 1.76235e-05 +35 *24804:RESET_B 3.51351e-05 +36 *24190:RESET_B 0.000120794 +37 *24801:RESET_B 0 +38 *24800:RESET_B 0.000420478 +39 *24194:RESET_B 0.000115451 +40 *24588:RESET_B 0 +41 *24193:RESET_B 4.60698e-05 +42 *24585:SET_B 0.000317289 +43 *24188:SET_B 3.12915e-05 +44 *24589:RESET_B 0.000449092 +45 *24400:SET_B 0.000449092 +46 *24402:RESET_B 0.000184267 +47 *24192:RESET_B 1.04758e-05 +48 *24191:RESET_B 3.07814e-05 +49 *24189:RESET_B 0.000210523 +50 *24187:SET_B 0 +51 *24427:RESET_B 3.85962e-05 +52 *24426:SET_B 0.000487953 +53 *24424:RESET_B 0.000950519 +54 *24425:RESET_B 0.00105473 +55 *24475:RESET_B 0 +56 *24428:RESET_B 3.16642e-05 +57 *24250:RESET_B 0.000561485 +58 *24276:RESET_B 0.000290257 +59 *24278:SET_B 9.51298e-05 +60 *24252:SET_B 0.000524948 +61 *24253:RESET_B 0.000319527 +62 *24251:RESET_B 0 +63 *24254:RESET_B 0 +64 *24279:RESET_B 0.00019738 +65 *24383:SET_B 0.000460734 +66 *24385:RESET_B 0.000188057 +67 *24381:RESET_B 0.00103957 +68 *24384:RESET_B 0 +69 *25377:A 0.000189936 +70 *24419:RESET_B 1.96779e-05 +71 *24423:SET_B 0.000295205 +72 *24345:SET_B 0.000660933 +73 *24422:SET_B 0 +74 *24421:RESET_B 0.00119935 +75 *24530:SET_B 0 +76 *24558:RESET_B 0.000141065 +77 *25378:X 0.000392688 +78 *5868:856 0.000760771 +79 *5868:761 0.000856574 +80 *5868:734 0.000959234 +81 *5868:723 0.000485675 +82 *5868:697 0.00122294 +83 *5868:694 0.000717065 +84 *5868:660 0.00129077 +85 *5868:593 0.00150497 +86 *5868:581 0.000650659 +87 *5868:579 0.000651163 +88 *5868:577 0.0007722 +89 *5868:575 0.000541602 +90 *5868:553 0.000752551 +91 *5868:540 0.000295256 +92 *5868:538 0.000280183 +93 *5868:536 0.000585553 +94 *5868:533 0.000640975 +95 *5868:531 0.000648865 +96 *5868:494 0.000947114 +97 *5868:477 0.00104469 +98 *5868:464 0.000752901 +99 *5868:458 0.000252165 +100 *5868:449 0.000864806 +101 *5868:440 0.000622724 +102 *5868:434 0.000715414 +103 *5868:421 0.000625588 +104 *5868:406 0.000645886 +105 *5868:397 0.000731351 +106 *5868:379 0.000568802 +107 *5868:369 0.00076361 +108 *5868:349 0.00087474 +109 *5868:333 0.000538101 +110 *5868:317 0.000451188 +111 *5868:312 0.000982318 +112 *5868:306 0.000334148 +113 *5868:303 0.00146969 +114 *5868:291 0.000596338 +115 *5868:280 0.000768744 +116 *5868:262 0.000646393 +117 *5868:259 0.00065172 +118 *5868:251 0.000570507 +119 *5868:237 0.000663424 +120 *5868:222 0.000868782 +121 *5868:213 0.00043287 +122 *5868:206 0.000462922 +123 *5868:183 0.000694054 +124 *5868:175 0.000298507 +125 *5868:169 0.000186914 +126 *5868:148 0.000547513 +127 *5868:123 0.000916233 +128 *5868:103 0.000564827 +129 *5868:100 0.000444115 +130 *5868:86 0.000607182 +131 *5868:77 0.000309378 +132 *5868:57 0.0010866 +133 *5868:51 0.000387948 +134 *5868:49 0.000820545 +135 *5868:27 0.000852849 +136 *5868:23 0.000797238 +137 *5868:17 0.000679427 +138 *5868:6 0.000567209 +139 *24190:RESET_B *5907:54 0.000583244 +140 *24637:SET_B *5898:255 0.000312841 +141 *5868:312 *5921:63 5.15415e-05 +142 *5868:349 *6020:16 0.000487335 +143 *5868:477 *5906:45 0.000139154 +144 *5868:531 *21567:A 4.9762e-05 +145 *20014:A *24637:SET_B 3.42931e-05 +146 *20144:A1 *5868:494 0.00022791 +147 *20144:A2 *5868:494 0.000160617 +148 *20398:B1 *24591:RESET_B 0.000333359 +149 *20471:A1 *24637:SET_B 1.12605e-05 +150 *20528:B2 *5868:23 0.000503666 +151 *20555:A1 *24536:RESET_B 5.69771e-05 +152 *20556:B1 *24535:RESET_B 0 +153 *20556:B2 *24535:RESET_B 3.35388e-05 +154 *20557:A2 *24534:SET_B 1.61631e-05 +155 *20557:B1 *24534:SET_B 2.16355e-05 +156 *20557:B2 *24534:SET_B 2.7585e-05 +157 *20565:A1 *5868:6 1.48503e-05 +158 *20715:B2 *24426:SET_B 9.46346e-05 +159 *20722:A1 *24345:SET_B 0.000164829 +160 *20724:A1 *5868:49 8.5511e-05 +161 *20724:A2 *5868:49 7.65807e-05 +162 *20753:A1 *24401:RESET_B 0.000207609 +163 *20754:A1 *5868:369 2.15184e-05 +164 *20754:A2 *5868:369 1.41976e-05 +165 *20754:B1 *5868:369 1.41291e-05 +166 *20754:B2 *24402:RESET_B 4.01437e-05 +167 *20754:B2 *5868:369 6.08467e-05 +168 *20792:A1 *25376:A 0.000110458 +169 *20792:A1 *5868:100 1.58551e-05 +170 *20793:A1 *24383:SET_B 1.91114e-05 +171 *20793:A1 *5868:148 0.000147046 +172 *20793:A2 *24385:RESET_B 5.12109e-05 +173 *20793:B1 *24383:SET_B 0.000142758 +174 *20793:B1 *24385:RESET_B 0.000353686 +175 *21017:B1 *24383:SET_B 1.75293e-05 +176 *21018:A1 *24253:RESET_B 1.5613e-05 +177 *21018:B1 *24253:RESET_B 0.000211478 +178 *21018:B2 *24253:RESET_B 2.65667e-05 +179 *21019:A1 *24252:SET_B 2.32606e-05 +180 *21019:A1 *5868:206 0.000234837 +181 *21148:A1 *24585:SET_B 2.23124e-05 +182 *21148:A1 *5868:406 9.21574e-05 +183 *21148:A1 *5868:421 0.000116704 +184 *21151:A1 *24190:RESET_B 0.000164843 +185 *21152:A2 *24398:RESET_B 3.8122e-05 +186 *21220:A *24593:SET_B 1.65872e-05 +187 *21231:A *24592:RESET_B 0.000324151 +188 *21535:A *5868:477 0 +189 *21545:A *24537:RESET_B 0.000114769 +190 *21545:A *5868:575 0.000231567 +191 *21680:A *24190:RESET_B 7.24449e-05 +192 *21711:A *24536:RESET_B 0.000195436 +193 *21767:A *24398:RESET_B 0.000164829 +194 *21802:A *24802:SET_B 8.87554e-05 +195 *21824:A *5868:49 2.77564e-05 +196 *21867:A *5868:206 5.88657e-05 +197 *22051:A *24401:RESET_B 0.000169078 +198 *22867:B *24535:RESET_B 0.000242119 +199 *24191:CLK *24191:RESET_B 4.70567e-05 +200 *24192:CLK *5868:349 2.23259e-05 +201 *24192:CLK *5868:369 1.70204e-05 +202 *24253:D *24253:RESET_B 0.000555273 +203 *24253:CLK *24253:RESET_B 1.71673e-05 +204 *24276:D *24276:RESET_B 0.000125145 +205 *24279:CLK *24279:RESET_B 0.000176518 +206 *24345:D *24345:SET_B 2.16355e-05 +207 *24383:CLK *24383:SET_B 9.04224e-05 +208 *24388:CLK *5868:593 8.39059e-05 +209 *24398:D *24398:RESET_B 5.85117e-05 +210 *24399:D *24399:RESET_B 7.20484e-05 +211 *24399:CLK *24399:RESET_B 9.5562e-05 +212 *24399:CLK *5868:306 4.27003e-05 +213 *24399:CLK *5868:312 2.71397e-05 +214 *24400:D *24589:RESET_B 4.58003e-05 +215 *24400:D *5868:379 7.44269e-05 +216 *24400:D *5868:397 1.44467e-05 +217 *24401:CLK *24401:RESET_B 8.02476e-05 +218 *24402:D *24402:RESET_B 8.39059e-05 +219 *24402:CLK *24402:RESET_B 0.000253289 +220 *24419:CLK *24419:RESET_B 5.69771e-05 +221 *24420:D *24420:RESET_B 1.07248e-05 +222 *24421:CLK *24421:RESET_B 5.481e-05 +223 *24422:CLK *5868:49 0.00023143 +224 *24422:CLK *5868:51 5.23737e-05 +225 *24423:CLK *5868:77 6.47945e-05 +226 *24472:D *24472:RESET_B 7.35609e-05 +227 *24472:D *5868:262 2.41274e-06 +228 *24472:CLK *5868:262 5.22855e-05 +229 *24475:CLK *24424:RESET_B 0.000301539 +230 *24532:D *5868:6 0.000132567 +231 *24534:D *5868:531 1.65872e-05 +232 *24539:CLK *5868:531 0.000138824 +233 *24554:CLK *24554:RESET_B 7.1639e-05 +234 *24585:D *24585:SET_B 5.67722e-05 +235 *24588:D *5868:434 0 +236 *24590:CLK *24590:RESET_B 2.61955e-05 +237 *24593:CLK *24593:SET_B 9.46975e-05 +238 *24594:D *24594:SET_B 5.05841e-05 +239 *24594:CLK *24594:SET_B 0.00012316 +240 *24596:D *5868:761 0.000127179 +241 *24596:CLK *24536:RESET_B 0.000119378 +242 *24638:D *24638:SET_B 6.08167e-05 +243 *24800:D *24800:RESET_B 4.73413e-05 +244 *24801:D *5868:458 2.71542e-05 +245 *24801:D *5868:464 7.84205e-05 +246 *24801:D *5868:477 3.10924e-05 +247 *24804:CLK *5868:494 2.41916e-05 +248 *24948:A *24642:RESET_B 0.00010364 +249 *24996:A *24250:RESET_B 0.000533811 +250 *657:199 *24279:RESET_B 0.00062827 +251 *657:212 *24401:RESET_B 0 +252 *1066:22 *24554:RESET_B 1.61873e-05 +253 *1438:119 *24421:RESET_B 0.000160068 +254 *1438:119 *5868:49 1.78704e-05 +255 *1483:14 *5868:77 9.53269e-05 +256 *1483:14 *5868:86 5.19991e-05 +257 *1483:14 *5868:100 0.000204533 +258 *1485:170 *24421:RESET_B 6.16428e-05 +259 *1485:185 *24420:RESET_B 0.000197907 +260 *1521:46 *24421:RESET_B 0 +261 *1521:46 *5868:23 3.84199e-05 +262 *1521:46 *5868:27 5.61204e-05 +263 *1619:8 *24637:SET_B 0.000116986 +264 *1619:26 *24402:RESET_B 3.38355e-06 +265 *1619:44 *5868:251 0.000192395 +266 *1619:44 *5868:259 0.000281933 +267 *1625:106 *24345:SET_B 0.000180998 +268 *1763:43 *24531:RESET_B 0.000141533 +269 *1763:43 *5868:6 3.25361e-05 +270 *1763:59 *24421:RESET_B 0.000162809 +271 *1763:59 *24531:RESET_B 6.01944e-06 +272 *1763:59 *5868:27 0.000220831 +273 *1784:58 *24420:RESET_B 0.000171398 +274 *1784:58 *5868:57 0 +275 *1784:71 *24423:SET_B 0.000294241 +276 *1784:71 *5868:57 0.000254108 +277 *1784:71 *5868:77 4.09102e-05 +278 *1809:160 *5868:303 7.41458e-05 +279 *1829:112 *5868:349 3.31736e-05 +280 *1858:19 *5868:477 0 +281 *1946:8 *24594:SET_B 0 +282 *1947:89 *24381:RESET_B 4.31485e-06 +283 *1947:89 *5868:103 5.457e-05 +284 *1997:10 *5868:6 0.000118485 +285 *1997:31 *5868:6 0.000515184 +286 *1997:31 *5868:17 5.30797e-05 +287 *1997:31 *5868:23 0.000254668 +288 *1997:40 *5868:23 0.000496643 +289 *1997:51 *24531:RESET_B 0.000137921 +290 *1997:51 *5868:23 0.000364249 +291 *2045:17 *5868:237 0.000158092 +292 *2048:26 *24420:RESET_B 0.000169432 +293 *2048:26 *5868:49 0.000368812 +294 *2076:103 *24386:SET_B 9.60216e-05 +295 *2077:78 *24590:RESET_B 0.00031882 +296 *2077:78 *5868:593 0.0003014 +297 *2077:80 *24590:RESET_B 8.62625e-06 +298 *2173:9 *5868:148 0.000169041 +299 *2174:30 *24253:RESET_B 1.92172e-05 +300 *2233:14 *5868:349 0.000109859 +301 *2233:19 *5868:317 1.65872e-05 +302 *2233:19 *5868:333 5.66868e-06 +303 *2233:19 *5868:349 0.00061642 +304 *2233:26 *24189:RESET_B 2.16355e-05 +305 *2233:26 *5868:317 2.16355e-05 +306 *2233:26 *5868:333 5.56367e-05 +307 *2288:14 *5868:440 4.40158e-05 +308 *2298:7 *24592:RESET_B 0.000111722 +309 *2298:8 *24590:RESET_B 8.53663e-05 +310 *2298:8 *5868:593 1.5254e-05 +311 *2448:10 *24540:RESET_B 0.000154145 +312 *2448:10 *24593:SET_B 4.66492e-05 +313 *2448:20 *5868:593 0.000263099 +314 *2523:38 *24385:RESET_B 4.77858e-05 +315 *2592:6 *5868:406 0 +316 *2592:6 *5868:421 0 +317 *2592:6 *5868:434 0 +318 *2592:6 *5868:440 7.90904e-05 +319 *2592:6 *5868:449 0.000151021 +320 *2592:6 *5868:458 8.47856e-05 +321 *2592:6 *5868:464 4.87669e-05 +322 *2592:6 *5868:477 0.000185808 +323 *2624:6 *5868:434 0 +324 *2624:6 *5868:449 0 +325 *2624:6 *5868:458 0 +326 *2624:6 *5868:477 0 +327 *2624:27 *24383:SET_B 0.000166563 +328 *2654:10 *5868:251 0.000166563 +329 *2654:10 *5868:259 1.8623e-05 +330 *2660:18 *5868:123 6.90247e-05 +331 *2684:8 *5868:148 0.000139435 +332 *2684:8 *5868:169 0.000244564 +333 *2684:8 *5868:175 0.000381637 +334 *2684:8 *5868:183 0.000367268 +335 *2685:8 *24587:RESET_B 0.000122098 +336 *2818:12 *24586:SET_B 0.000144525 +337 *2853:8 *24538:RESET_B 8.23435e-05 +338 *2853:8 *5868:536 0.000832232 +339 *2853:8 *5868:538 0.000238605 +340 *2853:8 *5868:540 0.000732022 +341 *2853:8 *5868:575 0.000195418 +342 *2853:8 *5868:577 7.40982e-05 +343 *2853:8 *5868:579 0.000114576 +344 *2853:8 *5868:581 0.000144506 +345 *2853:8 *5868:593 0.000100958 +346 *2853:8 *5868:694 0.000134101 +347 *2853:8 *5868:723 0.000191435 +348 *2853:8 *5868:734 0.000102979 +349 *2878:50 *24421:RESET_B 0.000690749 +350 *2950:9 *24586:SET_B 0.000148017 +351 *2950:13 *24586:SET_B 1.84293e-05 +352 *2950:22 *24386:SET_B 9.60216e-05 +353 *2954:8 *24402:RESET_B 7.58217e-06 +354 *2954:8 *5868:379 8.92568e-06 +355 *2954:8 *5868:397 0.000134223 +356 *3033:22 *5868:575 0.000298107 +357 *3033:24 *5868:575 4.87445e-05 +358 *3033:24 *5868:577 0.000252342 +359 *3033:24 *5868:579 0.000426549 +360 *3033:24 *5868:581 0.000510684 +361 *3033:24 *5868:593 0.000235037 +362 *3089:18 *5868:6 1.2687e-05 +363 *3089:22 *5868:6 0 +364 *3089:33 *24381:RESET_B 3.91317e-05 +365 *3089:33 *5868:123 0.000166964 +366 *3089:36 *24252:SET_B 3.31882e-05 +367 *3089:36 *5868:183 6.01266e-05 +368 *3671:31 *24420:RESET_B 1.9101e-05 +369 *3671:31 *5868:51 2.29752e-05 +370 *3671:31 *5868:57 5.69404e-05 +371 *3671:43 *24421:RESET_B 2.41274e-06 +372 *3857:23 *5868:251 3.17103e-05 +373 *3857:42 *24383:SET_B 9.46387e-05 +374 *3857:42 *5868:148 7.15505e-05 +375 *3860:22 *24402:RESET_B 0 +376 *3860:22 *24585:SET_B 7.18816e-06 +377 *3860:22 *5868:379 0 +378 *3860:22 *5868:397 0 +379 *3860:22 *5868:406 0 +380 *3860:22 *5868:421 0 +381 *3860:22 *5868:434 0 +382 *3860:30 *24401:RESET_B 0.000118282 +383 *4106:131 *24423:SET_B 0.000287114 +384 *4106:131 *5868:57 0.000148159 +385 *4126:76 *24250:RESET_B 0.000103992 +386 *4126:79 *24252:SET_B 5.79399e-05 +387 *4137:73 *24252:SET_B 4.73211e-05 +388 *4137:73 *5868:148 0.000143047 +389 *4137:73 *5868:169 0.000237422 +390 *4137:73 *5868:175 0.000370912 +391 *4137:73 *5868:183 0.000570601 +392 *4138:124 *25376:A 6.08467e-05 +393 *4138:137 *5868:251 0.000263876 +394 *4138:137 *5868:259 0.000339428 +395 *4374:32 *24189:RESET_B 0.00019364 +396 *4374:55 *24428:RESET_B 1.91114e-05 +397 *4374:55 *5868:251 6.23875e-05 +398 *4538:20 *5868:291 0.000135121 +399 *4538:20 *5868:303 0.000293127 +400 *4538:22 *24278:SET_B 0.000256479 +401 *4538:22 *24425:RESET_B 3.69482e-05 +402 *4538:22 *5868:213 0.000118568 +403 *4538:22 *5868:222 0.000382589 +404 *4538:22 *5868:237 0.000172679 +405 *4538:22 *5868:280 0.000264795 +406 *4538:22 *5868:291 2.01535e-05 +407 *4550:19 *24426:SET_B 0.000154145 +408 *4700:16 *5868:303 0 +409 *4700:16 *5868:333 6.03493e-05 +410 *4700:16 *5868:349 6.34651e-06 +411 *4700:30 *24425:RESET_B 9.64718e-05 +412 *4700:30 *5868:237 0.00024001 +413 *4700:30 *5868:280 0.000600784 +414 *4700:30 *5868:291 0.000191556 +415 *4700:30 *5868:303 2.01653e-05 +416 *4700:32 *24278:SET_B 0.000256479 +417 *4700:32 *5868:213 0.000123597 +418 *4700:32 *5868:222 0.000389731 +419 *4700:32 *5868:237 0.000118203 +420 *4702:28 *24421:RESET_B 0.000845067 +421 *4728:17 *5868:57 8.98943e-05 +422 *4739:5 *24188:SET_B 0.000110084 +423 *4797:22 *24381:RESET_B 0.000708081 +424 *4797:22 *5868:77 0.000298437 +425 *4797:22 *5868:86 0.000137956 +426 *4797:22 *5868:100 0.000507375 +427 *4797:22 *5868:103 0.00014257 +428 *4797:24 *5868:77 2.72058e-05 +429 *4798:8 *5868:123 0.000775454 +430 *4798:39 *24421:RESET_B 5.32162e-05 +431 *4799:6 *5868:49 0.0003045 +432 *4799:6 *5868:51 1.12605e-05 +433 *4799:6 *5868:57 0.000215593 +434 *4828:13 *24534:SET_B 1.2693e-05 +435 *4832:10 *5868:494 0 +436 *4838:40 *5868:397 0 +437 *4838:86 *24424:RESET_B 7.37441e-06 +438 *4838:86 *24425:RESET_B 2.32766e-05 +439 *4838:101 *24252:SET_B 0.000111802 +440 *4838:101 *5868:206 0.000307312 +441 *4839:194 *24401:RESET_B 3.83429e-05 +442 *4839:257 *24594:SET_B 0 +443 *4840:12 *5868:494 0.000304983 +444 *4840:90 *5868:593 7.14746e-05 +445 *4841:44 *24401:RESET_B 9.34396e-06 +446 *4841:50 *24399:RESET_B 1.99707e-05 +447 *4841:50 *24401:RESET_B 0.000116273 +448 *4841:57 *24426:SET_B 0.000687319 +449 *4841:67 *24426:SET_B 4.46935e-05 +450 *4841:159 *5868:477 0 +451 *4842:15 *5868:477 2.67358e-05 +452 *4842:15 *5868:494 7.92757e-06 +453 *4842:21 *5868:494 0.000105763 +454 *4842:24 *24534:SET_B 9.24241e-05 +455 *4842:36 *24402:RESET_B 0.000155272 +456 *4842:124 *24594:SET_B 0.000118268 +457 *4842:124 *5868:697 0.000260374 +458 *4843:22 *5868:536 0 +459 *4843:22 *5868:694 8.55514e-05 +460 *4843:22 *5868:723 1.47102e-05 +461 *4843:24 *5868:536 0 +462 *4843:35 *24538:RESET_B 7.73683e-05 +463 *4843:35 *5868:536 0.000124913 +464 *4843:35 *5868:538 0.000243633 +465 *4843:35 *5868:540 0.000735413 +466 *4843:35 *5868:575 0.000210571 +467 *4844:41 *5868:397 8.91108e-05 +468 *4844:41 *5868:406 8.97336e-05 +469 *4869:67 *5868:123 0.000602509 +470 *4869:113 *24386:SET_B 0.000480689 +471 *4869:127 *24190:RESET_B 5.42549e-05 +472 *4881:169 *24189:RESET_B 0.000357912 +473 *4881:169 *5868:317 9.55447e-05 +474 *4881:177 *24587:RESET_B 1.41291e-05 +475 *4884:35 *24589:RESET_B 0.000461961 +476 *4884:44 *5868:317 5.1573e-05 +477 *4884:46 *5868:312 0.000268756 +478 *4884:46 *5868:317 3.14544e-05 +479 *4884:138 *24589:RESET_B 0.0001195 +480 *4886:8 *24594:SET_B 5.2504e-06 +481 *4886:24 *24594:SET_B 4.3116e-06 +482 *4886:37 *5868:723 0 +483 *4886:37 *5868:734 0 +484 *4886:80 *24540:RESET_B 0.000309598 +485 *4886:80 *24593:SET_B 0.000219054 +486 *4933:169 *24420:RESET_B 6.88731e-05 +487 *4935:15 *24250:RESET_B 0.00019381 +488 *4935:93 *24424:RESET_B 9.18889e-05 +489 *5009:5 *5868:148 7.6446e-05 +490 *5019:10 *5868:123 0.000107496 +491 *5035:10 *24426:SET_B 8.9116e-05 +492 *5082:101 *5868:77 0.000153519 +493 *5181:10 *5868:397 6.66538e-05 +494 *5186:5 *24193:RESET_B 0 +495 *5187:8 *5868:440 0.0001682 +496 *5203:10 *24637:SET_B 3.21004e-06 +497 *5209:8 *5868:761 0.000127179 +498 *5246:8 *24586:SET_B 1.7022e-05 +499 *5268:10 *5868:723 2.692e-05 +500 *5272:8 *24534:SET_B 7.14746e-05 +501 *5272:8 *5868:531 0.000237392 +502 *5274:5 *24536:RESET_B 0.000103983 +503 *5275:5 *24537:RESET_B 4.24629e-05 +504 *5277:8 *24594:SET_B 6.48865e-05 +505 *5399:19 *5868:206 7.17276e-05 +506 *5458:104 *24590:RESET_B 0.000139435 +507 *5458:108 *24193:RESET_B 2.65667e-05 +508 *5656:13 *24535:RESET_B 0 +509 *5656:13 *24802:SET_B 8.28804e-05 +510 *5661:17 *24640:RESET_B 6.2314e-05 +511 *5661:17 *24802:SET_B 0.00135019 +512 *5671:136 *24381:RESET_B 9.63121e-05 +513 *5671:140 *24381:RESET_B 0.000255259 +514 *5672:8 *5868:761 7.05251e-05 +515 *5673:9 *5868:761 6.72681e-05 +516 *5673:19 *24638:SET_B 1.75637e-06 +517 *5673:19 *5868:494 0.000621804 +518 *5673:19 *5868:697 5.04054e-06 +519 *5681:19 *24558:RESET_B 3.62009e-05 +520 *5801:92 *5868:6 0.000270717 +521 *5801:92 *5868:17 1.82249e-05 +522 *5801:92 *5868:23 0.000262005 +523 *5801:92 *5868:27 0 +524 *5801:92 *5868:856 1.85244e-05 +525 *5815:18 *24189:RESET_B 7.87126e-05 +526 *5854:719 *25376:A 7.67734e-06 +527 *5854:719 *5868:100 0.000107496 +528 *5856:9 *24589:RESET_B 0.000309626 +529 *5856:28 *24191:RESET_B 5.79598e-05 +530 *5856:28 *5868:317 0.000190028 +531 *5856:28 *5868:333 0.000139294 +532 *5856:28 *5868:349 4.19379e-05 +533 *5856:33 *5868:306 0.000101133 +534 *5856:33 *5868:312 0.00071497 +535 *5856:33 *5868:317 2.4562e-05 +536 *5861:25 *24587:RESET_B 0.000229242 +537 *5861:25 *24590:RESET_B 0.000245617 +*RES +1 *25378:X *5868:6 28.454 +2 *5868:6 *24558:RESET_B 6.82692 +3 *5868:6 *5868:17 1.20912 +4 *5868:17 *5868:23 23.4373 +5 *5868:23 *5868:27 4.64105 +6 *5868:27 *24530:SET_B 4.5 +7 *5868:27 *24421:RESET_B 29.8371 +8 *24421:RESET_B *5868:49 20.6793 +9 *5868:49 *5868:51 1.62437 +10 *5868:51 *24422:SET_B 4.5 +11 *5868:51 *5868:57 13.0438 +12 *5868:57 *24345:SET_B 14.5914 +13 *5868:57 *24423:SET_B 9.65401 +14 *24423:SET_B *5868:77 13.0632 +15 *5868:77 *24419:RESET_B 5.139 +16 *5868:77 *5868:86 2.6625 +17 *5868:86 *25377:A 17.2456 +18 *5868:86 *5868:100 16.4884 +19 *5868:100 *5868:103 7.1625 +20 *5868:103 *24384:RESET_B 4.5 +21 *5868:103 *24381:RESET_B 20.4253 +22 *24381:RESET_B *5868:123 28.7806 +23 *5868:123 *24385:RESET_B 14.0525 +24 *5868:123 *24383:SET_B 15.1281 +25 *24383:SET_B *5868:148 13.3475 +26 *5868:148 *24279:RESET_B 13.3375 +27 *5868:148 *5868:169 4.32351 +28 *5868:169 *24254:RESET_B 4.5 +29 *5868:169 *5868:175 6.81502 +30 *5868:175 *24251:RESET_B 4.5 +31 *5868:175 *5868:183 14.637 +32 *5868:183 *24253:RESET_B 9.9467 +33 *5868:183 *24252:SET_B 15.1281 +34 *24252:SET_B *5868:206 14.0127 +35 *5868:206 *24278:SET_B 9.23876 +36 *5868:206 *5868:213 2.24725 +37 *5868:213 *24276:RESET_B 10.1304 +38 *5868:213 *5868:222 6.81502 +39 *5868:222 *24250:RESET_B 19.5587 +40 *5868:222 *5868:237 12.2188 +41 *5868:237 *24428:RESET_B 0.639 +42 *5868:237 *5868:251 16.2698 +43 *5868:251 *24475:RESET_B 4.5 +44 *5868:251 *5868:259 10.8998 +45 *5868:259 *5868:262 9.10562 +46 *5868:262 *24425:RESET_B 7.5256 +47 *24425:RESET_B *24424:RESET_B 14.4679 +48 *5868:262 *5868:280 10.5523 +49 *5868:280 *24426:SET_B 18.7388 +50 *5868:280 *5868:291 3.493 +51 *5868:291 *24427:RESET_B 5.139 +52 *5868:291 *5868:303 14.8142 +53 *5868:303 *5868:306 6.332 +54 *5868:306 *24187:SET_B 4.5 +55 *5868:306 *5868:312 13.0438 +56 *5868:312 *5868:317 9.68626 +57 *5868:317 *24189:RESET_B 16.544 +58 *5868:317 *5868:333 8.89679 +59 *5868:333 *24191:RESET_B 5.6936 +60 *5868:333 *5868:349 20.2874 +61 *5868:349 *24192:RESET_B 0.494321 +62 *5868:349 *5868:369 15.4715 +63 *5868:369 *24402:RESET_B 10.8504 +64 *5868:369 *5868:379 3.493 +65 *5868:379 *24400:SET_B 4.5 +66 *24400:SET_B *24589:RESET_B 14.0821 +67 *5868:379 *5868:397 13.4591 +68 *5868:397 *24188:SET_B 5.6936 +69 *5868:397 *5868:406 7.4379 +70 *5868:406 *24585:SET_B 10.1567 +71 *5868:406 *5868:421 2.45487 +72 *5868:421 *24193:RESET_B 5.71772 +73 *5868:421 *5868:434 12.4087 +74 *5868:434 *24588:RESET_B 4.5 +75 *5868:434 *5868:440 6.81502 +76 *5868:440 *24194:RESET_B 6.80281 +77 *5868:440 *5868:449 8.89128 +78 *5868:449 *24800:RESET_B 13.4581 +79 *5868:449 *5868:458 4.73876 +80 *5868:458 *24801:RESET_B 4.5 +81 *5868:458 *5868:464 3.493 +82 *5868:464 *24190:RESET_B 11.6737 +83 *5868:464 *5868:477 20.0353 +84 *5868:477 *24804:RESET_B 0.904245 +85 *5868:477 *5868:494 26.1918 +86 *5868:494 *24803:RESET_B 0.494321 +87 *5868:494 *24534:SET_B 16.5468 +88 *24534:SET_B *5868:531 11.924 +89 *5868:531 *5868:533 4.5 +90 *5868:533 *5868:536 15.8529 +91 *5868:536 *5868:538 4.32351 +92 *5868:538 *5868:540 13.0438 +93 *5868:540 *24538:RESET_B 7.13446 +94 *5868:540 *5868:553 4.5 +95 *5868:553 *24537:RESET_B 7.31836 +96 *24537:RESET_B *24586:SET_B 16.8227 +97 *5868:553 *5868:575 22.1438 +98 *5868:575 *5868:577 4.32351 +99 *5868:577 *5868:579 7.64553 +100 *5868:579 *5868:581 8.89128 +101 *5868:581 *5868:593 23.8448 +102 *5868:593 *24590:RESET_B 20.4071 +103 *24590:RESET_B *24587:RESET_B 15.2139 +104 *5868:593 *24386:SET_B 21.0468 +105 *5868:581 *24388:RESET_B 4.5 +106 *5868:579 *24591:RESET_B 9.04534 +107 *5868:577 *24592:RESET_B 15.8694 +108 *5868:575 *24641:RESET_B 4.5 +109 *5868:538 *5868:660 4.5 +110 *5868:660 *24540:RESET_B 3.41202 +111 *5868:660 *24593:SET_B 19.5679 +112 *24593:SET_B *24637:SET_B 14.6593 +113 *5868:536 *24642:RESET_B 5.6936 +114 *5868:533 *5868:694 5.56926 +115 *5868:694 *5868:697 8.55102 +116 *5868:697 *24594:SET_B 24.5918 +117 *5868:697 *24638:SET_B 3.43613 +118 *5868:694 *5868:723 8.47603 +119 *5868:723 *24533:SET_B 5.6936 +120 *5868:723 *5868:734 8.40826 +121 *5868:734 *24802:SET_B 15.4927 +122 *24802:SET_B *24535:RESET_B 16.5478 +123 *5868:734 *24640:RESET_B 12.1651 +124 *24640:RESET_B *5868:761 17.0086 +125 *5868:761 *24536:RESET_B 10.2843 +126 *5868:761 *24596:RESET_B 2.30281 +127 *5868:531 *24539:RESET_B 0.494321 +128 *5868:312 *24398:RESET_B 13.1058 +129 *5868:303 *24399:RESET_B 13.419 +130 *24399:RESET_B *24401:RESET_B 20.5628 +131 *5868:259 *24472:RESET_B 3.60492 +132 *5868:100 *25376:A 10.5271 +133 *5868:49 *24420:RESET_B 14.3628 +134 *5868:23 *24531:RESET_B 7.57775 +135 *5868:17 *5868:856 5.61141 +136 *5868:856 *24528:RESET_B 0.494321 +137 *5868:856 *24554:RESET_B 9.53677 +*END + +*D_NET *5869 0.129498 +*CONN +*I *24583:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24582:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24556:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24552:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24551:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24549:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24358:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24550:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24553:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24344:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24347:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24346:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24555:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24557:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24629:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24630:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24584:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24658:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24606:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24524:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24480:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24628:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25378:A I *D sky130_fd_sc_hd__buf_12 +*I *24529:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24631:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24627:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24532:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24527:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24525:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24888:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24500:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24580:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24581:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24501:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24478:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24498:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24479:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24526:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24523:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24477:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24476:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24633:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24636:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24499:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24497:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24610:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24608:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24632:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24660:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24662:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24607:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24634:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24448:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24445:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24635:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24609:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24661:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24659:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24655:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24656:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24654:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25379:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24583:RESET_B 6.04114e-05 +2 *24582:SET_B 0.000560636 +3 *24556:SET_B 0.000639306 +4 *24552:RESET_B 0.000245557 +5 *24551:SET_B 3.02321e-05 +6 *24549:RESET_B 8.89694e-05 +7 *24358:RESET_B 0.000522218 +8 *24550:RESET_B 0.00123658 +9 *24553:RESET_B 2.82685e-05 +10 *24344:RESET_B 0.000144577 +11 *24347:RESET_B 0.000250154 +12 *24346:RESET_B 0.00060441 +13 *24555:RESET_B 0.000546231 +14 *24557:RESET_B 1.20235e-05 +15 *24629:SET_B 1.84691e-05 +16 *24630:RESET_B 0.000169293 +17 *24584:RESET_B 0 +18 *24658:RESET_B 0.000237315 +19 *24606:RESET_B 4.92099e-05 +20 *24524:RESET_B 7.52198e-06 +21 *24480:RESET_B 0.000208423 +22 *24628:RESET_B 0.000310715 +23 *25378:A 0.000253637 +24 *24529:RESET_B 1.75736e-05 +25 *24631:RESET_B 0.00143142 +26 *24627:RESET_B 0.00108005 +27 *24532:RESET_B 0.0010956 +28 *24527:RESET_B 0.000787623 +29 *24525:SET_B 0.000938546 +30 *24888:RESET_B 5.66391e-05 +31 *24500:RESET_B 2.55071e-05 +32 *24580:RESET_B 0.000212635 +33 *24581:RESET_B 3.63967e-05 +34 *24501:RESET_B 0 +35 *24478:SET_B 0.000637128 +36 *24498:RESET_B 0.000926621 +37 *24479:RESET_B 0.000639715 +38 *24526:RESET_B 0.000101567 +39 *24523:RESET_B 0.000417803 +40 *24477:RESET_B 3.28262e-05 +41 *24476:RESET_B 6.58332e-05 +42 *24633:RESET_B 3.34028e-05 +43 *24636:RESET_B 3.846e-05 +44 *24499:SET_B 7.30731e-06 +45 *24497:RESET_B 0.000307967 +46 *24610:RESET_B 0.00015149 +47 *24608:SET_B 0 +48 *24632:RESET_B 0.000647082 +49 *24660:SET_B 9.24441e-05 +50 *24662:RESET_B 5.45887e-05 +51 *24607:RESET_B 9.65633e-05 +52 *24634:SET_B 0.000412789 +53 *24448:SET_B 0.000568984 +54 *24445:RESET_B 0.000178116 +55 *24635:RESET_B 2.09459e-05 +56 *24609:RESET_B 0.000157418 +57 *24661:RESET_B 0 +58 *24659:RESET_B 0.00105909 +59 *24655:SET_B 0.000255208 +60 *24656:RESET_B 0 +61 *24654:RESET_B 2.05039e-05 +62 *25379:X 0.000308917 +63 *5869:753 0.00042118 +64 *5869:718 0.00120292 +65 *5869:700 0.000763098 +66 *5869:689 0.000664668 +67 *5869:680 0.000637272 +68 *5869:674 0.000653808 +69 *5869:649 0.00118744 +70 *5869:640 0.000693644 +71 *5869:636 0.00106519 +72 *5869:625 0.000717393 +73 *5869:611 0.000975393 +74 *5869:594 0.000726851 +75 *5869:588 0.00130022 +76 *5869:510 0.000319073 +77 *5869:485 0.000629267 +78 *5869:464 0.00101864 +79 *5869:448 0.000742033 +80 *5869:445 0.000758949 +81 *5869:421 0.000892306 +82 *5869:418 0.00081591 +83 *5869:409 0.00046897 +84 *5869:386 0.00207544 +85 *5869:374 0.00178865 +86 *5869:372 0.000343393 +87 *5869:354 0.000758741 +88 *5869:338 0.00122744 +89 *5869:331 0.00126475 +90 *5869:319 0.000859373 +91 *5869:308 0.000852929 +92 *5869:262 0.00154811 +93 *5869:255 0.00207172 +94 *5869:249 0.00144203 +95 *5869:239 0.00121672 +96 *5869:236 0.000598123 +97 *5869:212 0.00110588 +98 *5869:201 0.00101385 +99 *5869:174 0.00123506 +100 *5869:163 0.000985952 +101 *5869:108 0.00137162 +102 *5869:92 0.00124623 +103 *5869:84 0.00209133 +104 *5869:73 0.00146245 +105 *5869:68 0.000715331 +106 *5869:65 0.00116853 +107 *5869:55 0.000906684 +108 *5869:41 0.00120852 +109 *5869:27 0.000691505 +110 *5869:21 0.000515992 +111 *5869:6 0.000768647 +112 *24655:SET_B *5908:9 0.00075147 +113 *19635:A2 *5869:464 3.31733e-05 +114 *19635:B2 *5869:464 3.82228e-05 +115 *19635:C1 *5869:445 0.000138815 +116 *19970:B2 *5869:331 0 +117 *20000:A *5869:6 3.27269e-05 +118 *20037:A *24476:RESET_B 2.16355e-05 +119 *20037:A *5869:308 0 +120 *20037:A *5869:319 9.80912e-05 +121 *20159:A1 *5869:68 0.000591158 +122 *20159:A1 *5869:163 4.88955e-05 +123 *20369:A *5869:174 0.000171273 +124 *20374:B1 *24659:RESET_B 1.41976e-05 +125 *20381:B1 *5869:21 6.08467e-05 +126 *20382:A1 *5869:6 5.96936e-05 +127 *20410:A1 *5869:262 0.000393863 +128 *20410:B1 *5869:255 2.26713e-06 +129 *20412:B1 *24634:SET_B 8.62625e-06 +130 *20416:A *5869:625 6.36477e-05 +131 *20419:A1 *24630:RESET_B 4.94655e-05 +132 *20445:A *5869:84 0.000843901 +133 *20448:A1 *5869:212 7.01935e-06 +134 *20448:A1 *5869:236 4.92187e-05 +135 *20448:A2 *5869:236 0.00015607 +136 *20448:B1 *5869:212 1.00901e-05 +137 *20448:B2 *5869:212 6.08467e-05 +138 *20451:A1 *24607:RESET_B 0.000100397 +139 *20452:B1 *5869:239 3.82145e-05 +140 *20489:A1 *24581:RESET_B 4.59816e-06 +141 *20489:B2 *5869:588 7.77744e-05 +142 *20490:B2 *5869:588 3.56986e-05 +143 *20533:A2 *24552:RESET_B 0.000133963 +144 *20536:B1 *24347:RESET_B 6.08467e-05 +145 *20536:B1 *5869:689 2.69795e-05 +146 *20536:B1 *5869:700 0.000309456 +147 *20559:B *24630:RESET_B 0.000828046 +148 *20562:A1 *24529:RESET_B 1.91114e-05 +149 *20565:A1 *25378:A 6.08467e-05 +150 *20567:B *5869:448 4.35192e-05 +151 *20568:A *24628:RESET_B 0.000117307 +152 *20568:A *5869:445 3.82228e-05 +153 *20571:A1 *24526:RESET_B 6.50586e-05 +154 *20572:A1 *24525:SET_B 8.41713e-05 +155 *20608:A1 *5869:421 4.33979e-05 +156 *20611:B1 *24477:RESET_B 0.000100397 +157 *20639:A1 *5869:386 7.77701e-05 +158 *20639:A1 *5869:445 8.71358e-05 +159 *20640:B2 *5869:331 2.80155e-05 +160 *20642:A1 *5869:308 3.0577e-05 +161 *20889:A1 *5869:674 0.000111802 +162 *21491:A *5869:611 0.000102647 +163 *21540:A *24525:SET_B 5.45775e-05 +164 *21540:A *24527:RESET_B 2.17516e-05 +165 *21571:B1 *5869:418 3.88655e-06 +166 *21672:A *5869:445 0.000123532 +167 *21674:A *24526:RESET_B 4.66492e-05 +168 *21676:A1 *5869:386 1.91246e-05 +169 *21676:B1 *24523:RESET_B 0.000755601 +170 *21676:B1 *5869:354 0.00017391 +171 *21676:B1 *5869:386 5.11449e-05 +172 *21677:C1 *5869:386 0.000138978 +173 *21691:A *24630:RESET_B 0.000117376 +174 *21721:A *24609:RESET_B 5.04829e-06 +175 *21721:A *5869:84 4.97617e-05 +176 *21777:A1 *5869:331 2.51934e-05 +177 *21777:A1 *5869:338 0.000172211 +178 *21788:B2 *24633:RESET_B 5.55617e-05 +179 *21788:B2 *5869:255 0.000168752 +180 *21836:A *24525:SET_B 0.000116623 +181 *21836:A *5869:464 6.50727e-05 +182 *21836:A *5869:485 0.000115573 +183 *21962:A *5869:6 8.45896e-06 +184 *21962:A *5869:21 0.000107496 +185 *22500:B1 *24358:RESET_B 0.000615737 +186 *22501:C1 *24358:RESET_B 1.41976e-05 +187 *22758:A1 *5869:718 7.15765e-05 +188 *24448:D *24448:SET_B 2.16355e-05 +189 *24476:D *24476:RESET_B 0.000197921 +190 *24476:D *5869:319 1.61631e-05 +191 *24477:CLK *24477:RESET_B 3.56986e-05 +192 *24479:D *24479:RESET_B 6.58333e-05 +193 *24480:CLK *24480:RESET_B 0.0001428 +194 *24480:CLK *5869:372 5.04829e-06 +195 *24498:D *24478:SET_B 1.92481e-05 +196 *24498:CLK *24478:SET_B 0.000134167 +197 *24499:D *5869:262 6.57364e-05 +198 *24499:CLK *5869:262 0.000207266 +199 *24500:D *24500:RESET_B 3.88941e-05 +200 *24500:D *5869:418 5.89592e-05 +201 *24525:D *24527:RESET_B 7.82499e-05 +202 *24527:CLK *24527:RESET_B 1.7883e-05 +203 *24549:D *5869:689 0.00011158 +204 *24549:CLK *24344:RESET_B 5.37479e-05 +205 *24550:D *24550:RESET_B 0.000114053 +206 *24550:CLK *24550:RESET_B 5.0715e-05 +207 *24550:CLK *24553:RESET_B 4.06462e-05 +208 *24552:CLK *5869:674 0.000114584 +209 *24553:D *24553:RESET_B 0.000100397 +210 *24582:D *24582:SET_B 5.52748e-05 +211 *24582:CLK *24582:SET_B 2.77334e-05 +212 *24583:D *24583:RESET_B 6.38248e-05 +213 *24583:D *5869:611 7.13972e-05 +214 *24583:D *5869:625 2.16355e-05 +215 *24606:D *5869:236 0.000154145 +216 *24606:D *5869:239 2.86829e-05 +217 *24610:D *5869:212 6.57698e-05 +218 *24610:D *5869:236 6.13051e-05 +219 *24610:CLK *24610:RESET_B 5.04829e-06 +220 *24627:D *24627:RESET_B 0.000119386 +221 *24627:D *24631:RESET_B 2.28919e-05 +222 *24627:CLK *24532:RESET_B 4.87718e-05 +223 *24627:CLK *24627:RESET_B 8.43782e-05 +224 *24628:D *24628:RESET_B 6.65341e-05 +225 *24629:D *5869:625 0.000106697 +226 *24631:CLK *24631:RESET_B 4.73185e-05 +227 *24632:D *24632:RESET_B 0.000106869 +228 *24633:D *5869:249 0.000253675 +229 *24634:D *24634:SET_B 0.000240345 +230 *24659:CLK *24659:RESET_B 0.000116094 +231 *24794:D *5869:65 0.00014038 +232 *24888:D *5869:464 3.99086e-06 +233 *24888:CLK *24888:RESET_B 0.000156748 +234 *25365:A *24344:RESET_B 0.000210067 +235 *460:57 *24655:SET_B 2.02035e-05 +236 *514:28 *24634:SET_B 3.63593e-05 +237 *514:28 *5869:201 0.000126392 +238 *1427:29 *24654:RESET_B 5.24254e-05 +239 *1427:87 *25378:A 0.000122488 +240 *1427:99 *24630:RESET_B 9.54357e-06 +241 *1435:67 *24445:RESET_B 0 +242 *1435:67 *5869:108 7.90693e-05 +243 *1438:134 *24344:RESET_B 7.15522e-05 +244 *1442:90 *5869:625 0.00017042 +245 *1442:90 *5869:636 0.000279378 +246 *1448:222 *24610:RESET_B 0.000165084 +247 *1461:228 *24632:RESET_B 1.21028e-05 +248 *1467:91 *5869:6 9.92647e-05 +249 *1467:91 *5869:21 9.21153e-06 +250 *1467:117 *5869:21 4.65422e-05 +251 *1467:138 *5869:308 0.000505138 +252 *1467:184 *5869:448 8.75142e-06 +253 *1467:198 *5869:625 0.000156946 +254 *1471:213 *24628:RESET_B 5.92342e-05 +255 *1485:142 *24523:RESET_B 0.000130555 +256 *1501:70 *5869:409 0 +257 *1501:70 *5869:418 7.85226e-05 +258 *1501:76 *5869:421 1.06772e-05 +259 *1502:129 *24635:RESET_B 7.11636e-05 +260 *1502:155 *24498:RESET_B 0.000343705 +261 *1502:155 *5869:409 7.94607e-05 +262 *1506:87 *24655:SET_B 0.000149408 +263 *1537:12 *24498:RESET_B 0.000111631 +264 *1537:36 *24498:RESET_B 0.000183963 +265 *1541:142 *5869:588 2.7645e-05 +266 *1546:32 *24552:RESET_B 0.000301569 +267 *1560:36 *5869:421 0 +268 *1569:78 *5869:588 0.000197395 +269 *1569:78 *5869:594 0.000223097 +270 *1569:78 *5869:611 0.00010617 +271 *1575:19 *24346:RESET_B 0.000306735 +272 *1582:8 *5869:718 7.13655e-06 +273 *1597:45 *5869:386 0.000679521 +274 *1598:11 *5869:421 0.000476834 +275 *1603:28 *5869:700 2.57465e-06 +276 *1603:28 *5869:718 5.26993e-06 +277 *1661:9 *24497:RESET_B 1.50924e-05 +278 *1668:85 *5869:588 0.000555559 +279 *1677:139 *24445:RESET_B 0.000158117 +280 *1689:71 *5869:418 8.03699e-06 +281 *1689:71 *5869:421 2.2599e-05 +282 *1699:11 *24654:RESET_B 0.000106869 +283 *1699:42 *25378:A 0.000150481 +284 *1707:43 *5869:92 0.000728073 +285 *1707:43 *5869:108 0.000676687 +286 *1707:43 *5869:338 0.000383356 +287 *1716:57 *5869:108 4.07914e-06 +288 *1722:13 *24583:RESET_B 0.000193695 +289 *1722:13 *5869:611 2.8182e-06 +290 *1722:13 *5869:625 0.000650687 +291 *1725:17 *24655:SET_B 0 +292 *1725:69 *24497:RESET_B 0.000202726 +293 *1726:28 *5869:319 6.50727e-05 +294 *1726:28 *5869:331 0.000139978 +295 *1726:28 *5869:338 1.15741e-05 +296 *1729:64 *24556:SET_B 7.14746e-05 +297 *1729:64 *5869:386 1.23028e-05 +298 *1729:64 *5869:640 0.000276105 +299 *1729:64 *5869:649 0.000702667 +300 *1729:80 *24634:SET_B 0.000351227 +301 *1729:80 *5869:92 0.000103948 +302 *1730:83 *24607:RESET_B 4.06462e-05 +303 *1730:97 *24523:RESET_B 0.000135136 +304 *1733:26 *5869:689 0.000212807 +305 *1733:45 *5869:718 7.44128e-05 +306 *1744:156 *5869:588 3.29488e-05 +307 *1744:171 *5869:611 0.000110017 +308 *1753:26 *5869:163 0.000354465 +309 *1755:33 *5869:201 1.58551e-05 +310 *1755:110 *5869:108 0 +311 *1761:74 *24632:RESET_B 0 +312 *1761:74 *24634:SET_B 8.76878e-05 +313 *1763:15 *5869:212 1.50924e-05 +314 *1764:32 *24607:RESET_B 0.00010696 +315 *1764:32 *24633:RESET_B 9.46484e-05 +316 *1764:32 *5869:249 8.7818e-05 +317 *1764:65 *24580:RESET_B 7.09666e-06 +318 *1764:65 *5869:421 0.000115863 +319 *1772:22 *5869:588 1.09398e-05 +320 *1773:18 *24498:RESET_B 2.43314e-05 +321 *1773:18 *5869:386 6.08467e-05 +322 *1773:18 *5869:409 0.000261956 +323 *1773:18 *5869:418 1.41761e-05 +324 *1784:16 *24659:RESET_B 0.000137921 +325 *1784:16 *5869:6 1.9101e-05 +326 *1784:16 *5869:21 0.000147752 +327 *1784:18 *24659:RESET_B 5.65074e-05 +328 *1784:32 *24479:RESET_B 8.3506e-05 +329 *1784:32 *5869:354 0.000237593 +330 *1803:13 *24497:RESET_B 5.37327e-05 +331 *1804:96 *5869:84 4.0752e-05 +332 *1936:9 *24662:RESET_B 4.06462e-05 +333 *1936:9 *5869:174 0.000151664 +334 *1947:137 *5869:640 0.000206393 +335 *1947:167 *24628:RESET_B 0.000340742 +336 *1947:196 *5869:84 0.000139947 +337 *1947:212 *5869:108 0.000169063 +338 *1973:33 *5869:588 3.618e-05 +339 *1974:18 *5869:611 0.00010623 +340 *2274:30 *24660:SET_B 0.000286448 +341 *2274:38 *5869:319 0.000115878 +342 *2274:38 *5869:331 1.66771e-05 +343 *2281:13 *5869:386 9.85648e-05 +344 *2285:16 *24633:RESET_B 1.94827e-05 +345 *2285:16 *5869:249 2.85257e-05 +346 *2285:16 *5869:386 0.000157484 +347 *2398:24 *5869:55 0 +348 *2510:28 *24659:RESET_B 0.000265131 +349 *2510:28 *5869:41 0.00056058 +350 *2510:36 *5869:73 0.000317219 +351 *2510:36 *5869:239 4.74417e-05 +352 *2510:36 *5869:308 0.000123414 +353 *2510:54 *5869:331 1.09847e-05 +354 *2516:16 *5869:6 8.58847e-05 +355 *2516:16 *5869:21 6.08347e-05 +356 *2516:31 *24658:RESET_B 0.000299188 +357 *2516:31 *24659:RESET_B 3.82228e-05 +358 *2516:31 *5869:21 0.000149828 +359 *2516:31 *5869:27 4.4921e-05 +360 *2516:31 *5869:41 6.75694e-05 +361 *2521:9 *5869:308 7.50722e-05 +362 *2523:52 *5869:625 0.00020952 +363 *2531:38 *5869:625 5.34699e-05 +364 *2531:38 *5869:636 0.000399559 +365 *2531:43 *24631:RESET_B 0.000301962 +366 *2531:43 *5869:588 0.000342256 +367 *2537:35 *5869:625 8.90248e-05 +368 *2561:41 *24628:RESET_B 0.000102628 +369 *2561:41 *5869:445 0.000111722 +370 *2561:47 *24628:RESET_B 0.000188717 +371 *2563:8 *5869:55 0.000721977 +372 *2563:8 *5869:65 0.000424604 +373 *2563:8 *5869:201 6.22702e-05 +374 *2593:24 *24347:RESET_B 5.49983e-05 +375 *2593:30 *5869:625 9.34985e-06 +376 *2597:12 *5869:464 0.000192561 +377 *2603:29 *5869:68 0.000123688 +378 *2603:59 *5869:201 0.000294241 +379 *2603:96 *24552:RESET_B 1.16164e-05 +380 *2603:100 *24552:RESET_B 0.000141828 +381 *2608:32 *5869:588 4.87595e-05 +382 *2608:32 *5869:594 0.000233822 +383 *2608:32 *5869:611 0.000254863 +384 *2612:62 *5869:386 0.000128737 +385 *2612:77 *24500:RESET_B 8.98534e-05 +386 *2612:77 *5869:409 4.4486e-06 +387 *2612:77 *5869:418 0.000268682 +388 *2618:18 *5869:331 0 +389 *2627:24 *5869:319 4.76197e-05 +390 *2627:24 *5869:331 4.31485e-06 +391 *2702:35 *24635:RESET_B 3.20711e-05 +392 *2702:37 *24636:RESET_B 9.60366e-05 +393 *2702:37 *5869:255 0.000106985 +394 *2702:45 *24580:RESET_B 7.0986e-05 +395 *2702:45 *5869:421 0.000163112 +396 *2703:36 *24445:RESET_B 0.000197395 +397 *2703:43 *24445:RESET_B 5.26705e-05 +398 *2703:43 *24448:SET_B 2.16355e-05 +399 *2703:43 *5869:108 6.63778e-05 +400 *2713:38 *24497:RESET_B 0.000199597 +401 *2723:5 *24630:RESET_B 0.000538733 +402 *2749:11 *24448:SET_B 0.000117565 +403 *2749:11 *24632:RESET_B 4.32761e-05 +404 *2784:23 *5869:6 0.000303428 +405 *2785:30 *24607:RESET_B 0.00010696 +406 *2785:75 *24358:RESET_B 2.23454e-05 +407 *2785:75 *24550:RESET_B 8.98397e-06 +408 *2789:12 *5869:201 0 +409 *2789:12 *5869:212 0 +410 *2810:40 *24479:RESET_B 7.98343e-05 +411 *2810:40 *24524:RESET_B 2.02035e-05 +412 *2810:40 *5869:354 0.000237593 +413 *2810:40 *5869:485 0.000188273 +414 *2836:8 *24660:SET_B 0.000286448 +415 *2836:20 *5869:386 0.000523219 +416 *2838:76 *24556:SET_B 4.91225e-06 +417 *2840:13 *24556:SET_B 0.000111708 +418 *2844:24 *24632:RESET_B 0.000325236 +419 *2844:46 *24636:RESET_B 8.89094e-05 +420 *2844:46 *5869:255 0.000110582 +421 *2844:56 *5869:625 9.34533e-05 +422 *2852:8 *25378:A 6.26778e-05 +423 *2852:19 *24555:RESET_B 1.4091e-06 +424 *2852:27 *24346:RESET_B 2.90471e-05 +425 *2852:27 *24555:RESET_B 8.58902e-06 +426 *2856:9 *24346:RESET_B 4.87718e-05 +427 *2856:9 *24552:RESET_B 2.61955e-05 +428 *2856:9 *5869:674 0.000222458 +429 *2856:9 *5869:753 4.20506e-05 +430 *2864:82 *24634:SET_B 1.67442e-05 +431 *2877:31 *5869:65 7.14746e-05 +432 *2878:32 *24658:RESET_B 0.000183411 +433 *2933:10 *24556:SET_B 6.43474e-05 +434 *2933:10 *5869:649 0.000389321 +435 *2933:22 *5869:640 3.17266e-05 +436 *2933:22 *5869:649 0.000124712 +437 *2933:40 *5869:421 1.37895e-05 +438 *2948:25 *24632:RESET_B 4.77168e-06 +439 *2948:25 *5869:174 1.40953e-05 +440 *2948:27 *24632:RESET_B 0.000534066 +441 *2971:23 *5869:255 0.000550739 +442 *2971:23 *5869:262 1.77472e-05 +443 *2971:24 *24445:RESET_B 9.18679e-06 +444 *2976:45 *24497:RESET_B 0.000161043 +445 *2993:20 *5869:21 0.000419785 +446 *2993:20 *5869:27 0.000121515 +447 *2993:20 *5869:41 0.000756156 +448 *2993:42 *25378:A 6.7034e-05 +449 *2993:42 *5869:485 0.000615108 +450 *2993:42 *5869:510 8.98169e-05 +451 *3004:16 *24580:RESET_B 0.000132606 +452 *3059:18 *24358:RESET_B 0.000615737 +453 *3060:43 *5869:386 0.000755264 +454 *3089:12 *24524:RESET_B 2.02035e-05 +455 *3089:12 *24610:RESET_B 9.70704e-05 +456 *3368:53 *24527:RESET_B 0.000107496 +457 *3388:14 *24580:RESET_B 0.000326477 +458 *3388:14 *5869:421 0.000467056 +459 *3407:23 *24497:RESET_B 0.000161043 +460 *3533:88 *5869:718 0.000202933 +461 *3671:20 *5869:718 0.000214104 +462 *3671:67 *5869:448 2.77419e-05 +463 *3671:67 *5869:464 0.000156432 +464 *4798:56 *5869:201 0.000811595 +465 *4798:56 *5869:212 0.000483269 +466 *4799:21 *25378:A 0.000214537 +467 *4799:21 *5869:485 0.000559341 +468 *4799:21 *5869:510 8.26897e-05 +469 *4799:22 *5869:73 0.000317219 +470 *4828:139 *5869:92 0 +471 *4828:139 *5869:108 0 +472 *4858:10 *24557:RESET_B 2.28919e-05 +473 *4859:8 *5869:680 0.000451796 +474 *4859:8 *5869:689 0.000110058 +475 *4859:8 *5869:700 0.0003267 +476 *4859:8 *5869:718 0.00011439 +477 *4863:157 *24628:RESET_B 5.92342e-05 +478 *4863:157 *5869:386 0.000341221 +479 *4905:47 *5869:68 0.000247443 +480 *4905:47 *5869:163 0.000228071 +481 *4905:114 *24610:RESET_B 1.40631e-05 +482 *4916:71 *24527:RESET_B 1.58551e-05 +483 *4927:13 *24628:RESET_B 5.18992e-05 +484 *4927:123 *5869:588 1.97735e-05 +485 *4927:141 *5869:625 0.000164527 +486 *4933:105 *24556:SET_B 0.000115356 +487 *4933:134 *24346:RESET_B 0.000143783 +488 *4933:134 *5869:674 2.75338e-05 +489 *4936:8 *5869:212 0.000121672 +490 *4984:5 *5869:674 6.08467e-05 +491 *4985:24 *5869:680 0.000451796 +492 *4994:11 *24344:RESET_B 0.000216458 +493 *5082:43 *24658:RESET_B 0.000752741 +494 *5082:43 *24659:RESET_B 0.000107496 +495 *5111:7 *24529:RESET_B 5.37479e-05 +496 *5152:5 *24634:SET_B 1.33419e-05 +497 *5167:8 *5869:163 0.000119171 +498 *5227:8 *24631:RESET_B 7.98171e-06 +499 *5297:8 *5869:421 0.000221577 +500 *5456:31 *24557:RESET_B 5.69771e-05 +501 *5475:59 *24523:RESET_B 2.30883e-05 +502 *5475:83 *24523:RESET_B 3.42038e-05 +503 *5475:83 *5869:354 2.25879e-05 +504 *5476:51 *5869:331 0 +505 *5674:34 *24523:RESET_B 0.00018698 +506 *5682:16 *5869:588 1.1718e-05 +507 *5801:78 *5869:163 9.75356e-05 +508 *5801:78 *5869:308 0.000214314 +509 *5851:70 *5869:718 1.57386e-05 +510 *5851:83 *24346:RESET_B 0.000303194 +511 *5851:83 *5869:636 5.17282e-05 +512 *5851:171 *5869:236 0.000167587 +513 *5851:196 *24632:RESET_B 7.14746e-05 +514 *5851:577 *24628:RESET_B 7.92757e-06 +515 *5852:125 *24549:RESET_B 1.656e-05 +516 *5852:125 *5869:689 2.32967e-05 +517 *5854:14 *24344:RESET_B 6.08467e-05 +518 *5854:14 *5869:718 0.000134323 +519 *5854:67 *24582:SET_B 6.3657e-05 +520 *5854:67 *5869:640 0.000253916 +521 *5854:122 *5869:588 0.000369282 +522 *5854:139 *5869:212 0.000156955 +523 *5854:148 *5869:55 2.66039e-05 +524 *5854:148 *5869:65 0.000228284 +525 *5854:148 *5869:201 0.000156046 +526 *5854:158 *5869:55 3.65842e-05 +527 *5854:578 *5869:84 0.000471785 +528 *5854:578 *5869:201 6.08467e-05 +529 *5854:586 *24634:SET_B 7.65861e-05 +530 *5854:586 *5869:92 9.93938e-05 +531 *5854:706 *5869:718 0.000328651 +532 *5856:232 *5869:163 0.000221006 +533 *5856:241 *24658:RESET_B 0.000183411 +534 *5856:243 *24655:SET_B 2.02035e-05 +535 *5858:59 *5869:718 1.91391e-05 +536 *5858:158 *5869:308 9.49269e-05 +537 *5858:179 *24609:RESET_B 1.19726e-05 +538 *5860:9 *5869:386 7.31749e-05 +539 *5860:9 *5869:445 0.000325795 +540 *5860:46 *24476:RESET_B 2.47469e-05 +541 *5860:46 *5869:239 2.09356e-05 +542 *5860:46 *5869:308 1.6039e-05 +543 *5860:56 *5869:249 0.000127654 +544 *5860:75 *24632:RESET_B 2.26399e-05 +545 *5860:636 *5869:249 0.000363721 +546 *5860:651 *5869:445 0.000207779 +547 *5860:651 *5869:448 9.28983e-05 +548 *5860:651 *5869:464 9.75438e-05 +549 *5860:661 *5869:464 3.31733e-05 +*RES +1 *25379:X *5869:6 23.8862 +2 *5869:6 *24654:RESET_B 5.6936 +3 *5869:6 *5869:21 22.5257 +4 *5869:21 *24656:RESET_B 4.5 +5 *5869:21 *5869:27 2.45487 +6 *5869:27 *24655:SET_B 12.6604 +7 *5869:27 *5869:41 18.9972 +8 *5869:41 *24659:RESET_B 22.1958 +9 *24659:RESET_B *5869:55 17.9591 +10 *5869:55 *24661:RESET_B 4.5 +11 *5869:55 *5869:65 14.7781 +12 *5869:65 *5869:68 11.324 +13 *5869:68 *5869:73 14.9845 +14 *5869:73 *24609:RESET_B 2.32692 +15 *5869:73 *5869:84 24.0799 +16 *5869:84 *5869:92 6.742 +17 *5869:92 *24635:RESET_B 9.0779 +18 *5869:92 *5869:108 10.6785 +19 *5869:108 *24445:RESET_B 9.65401 +20 *5869:108 *24448:SET_B 12.9276 +21 *5869:84 *24634:SET_B 14.5877 +22 *24634:SET_B *24607:RESET_B 12.4409 +23 *5869:68 *5869:163 23.5936 +24 *5869:163 *24662:RESET_B 1.1936 +25 *5869:163 *5869:174 9.23536 +26 *5869:174 *24660:SET_B 14.154 +27 *5869:174 *24632:RESET_B 23.4174 +28 *5869:65 *5869:201 28.6152 +29 *5869:201 *24608:SET_B 4.5 +30 *5869:201 *5869:212 18.4363 +31 *5869:212 *24610:RESET_B 14.0358 +32 *5869:212 *5869:236 11.502 +33 *5869:236 *5869:239 6.74725 +34 *5869:239 *5869:249 21.7312 +35 *5869:249 *5869:255 23.0627 +36 *5869:255 *5869:262 23.5916 +37 *5869:262 *24497:RESET_B 19.0163 +38 *5869:262 *24499:SET_B 0.578717 +39 *5869:255 *24636:RESET_B 6.332 +40 *5869:249 *24633:RESET_B 6.332 +41 *5869:239 *5869:308 21.9331 +42 *5869:308 *24476:RESET_B 2.30281 +43 *5869:308 *5869:319 10.7983 +44 *5869:319 *24477:RESET_B 5.6936 +45 *5869:319 *5869:331 5.32709 +46 *5869:331 *5869:338 2.72069 +47 *5869:338 *24523:RESET_B 12.5998 +48 *5869:338 *5869:354 8.14103 +49 *5869:354 *24526:RESET_B 6.80281 +50 *5869:354 *24479:RESET_B 12.5411 +51 *24479:RESET_B *5869:372 2.30281 +52 *5869:372 *5869:374 4.5 +53 *5869:374 *5869:386 39.3671 +54 *5869:386 *24498:RESET_B 12.6341 +55 *24498:RESET_B *24478:SET_B 9.47649 +56 *5869:386 *5869:409 7.27913 +57 *5869:409 *24501:RESET_B 4.5 +58 *5869:409 *5869:418 9.54971 +59 *5869:418 *5869:421 24.6031 +60 *5869:421 *24581:RESET_B 5.139 +61 *5869:421 *24580:RESET_B 11.315 +62 *5869:418 *24500:RESET_B 1.1936 +63 *5869:374 *5869:445 16.3303 +64 *5869:445 *5869:448 7.993 +65 *5869:448 *24888:RESET_B 6.24821 +66 *5869:448 *5869:464 18.2151 +67 *5869:464 *24525:SET_B 3.96662 +68 *24525:SET_B *24527:RESET_B 11.6949 +69 *5869:464 *5869:485 19.2781 +70 *5869:485 *24532:RESET_B 8.49074 +71 *24532:RESET_B *24627:RESET_B 12.9729 +72 *24627:RESET_B *24631:RESET_B 6.65524 +73 *5869:485 *5869:510 1.832 +74 *5869:510 *24529:RESET_B 5.139 +75 *5869:510 *25378:A 22.6783 +76 *5869:445 *24628:RESET_B 20.7078 +77 *5869:372 *24480:RESET_B 4.52122 +78 *5869:331 *24524:RESET_B 8.2474 +79 *5869:236 *24606:RESET_B 1.21772 +80 *5869:41 *24658:RESET_B 20.9809 +81 *24631:RESET_B *5869:588 30.8552 +82 *5869:588 *24584:RESET_B 4.5 +83 *5869:588 *5869:594 4.73876 +84 *5869:594 *24630:RESET_B 14.0127 +85 *5869:594 *5869:611 23.9824 +86 *5869:611 *5869:625 31.0163 +87 *5869:625 *24629:SET_B 4.99432 +88 *5869:625 *5869:636 12.5608 +89 *5869:636 *5869:640 12.5958 +90 *5869:640 *24557:RESET_B 5.139 +91 *5869:640 *5869:649 13.8743 +92 *5869:649 *24555:RESET_B 6.82692 +93 *24555:RESET_B *24346:RESET_B 20.7235 +94 *24346:RESET_B *5869:674 7.48715 +95 *5869:674 *5869:680 18.8853 +96 *5869:680 *5869:689 12.3904 +97 *5869:689 *24347:RESET_B 8.49074 +98 *5869:689 *5869:700 6.39977 +99 *5869:700 *24344:RESET_B 10.1304 +100 *5869:700 *5869:718 21.8791 +101 *5869:718 *24553:RESET_B 1.1936 +102 *5869:718 *24550:RESET_B 11.3935 +103 *24550:RESET_B *24358:RESET_B 24.92 +104 *5869:680 *24549:RESET_B 1.74821 +105 *5869:674 *5869:753 2.94181 +106 *5869:753 *24551:SET_B 0.904245 +107 *5869:753 *24552:RESET_B 16.8171 +108 *5869:649 *24556:SET_B 14.8989 +109 *5869:636 *24582:SET_B 8.42756 +110 *5869:611 *24583:RESET_B 2.30281 +*END + +*D_NET *5870 0.132924 +*CONN +*I *24234:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24364:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24365:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24372:SET_B I *D sky130_fd_sc_hd__dfstp_4 +*I *24566:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24565:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24563:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24900:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24901:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24839:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24503:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24506:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24762:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24603:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24605:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24520:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24518:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24522:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24517:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24672:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24237:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24333:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24233:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24232:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24235:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24231:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24773:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24774:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24775:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24896:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24772:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25374:A I *D sky130_fd_sc_hd__buf_12 +*I *24771:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24332:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24331:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24329:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24330:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24239:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24875:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24673:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24302:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24303:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24305:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24671:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24674:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24675:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24221:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24220:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24502:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24218:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24604:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24219:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24601:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24222:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24859:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24863:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24853:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24855:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24857:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24602:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24758:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24760:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24845:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24848:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24851:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24844:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24846:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24884:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24883:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *25380:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24234:RESET_B 0.000335402 +2 *24364:RESET_B 0.000333123 +3 *24365:RESET_B 0.000632072 +4 *24372:SET_B 0.000137445 +5 *24566:RESET_B 8.39405e-05 +6 *24565:RESET_B 0.00120522 +7 *24563:RESET_B 0.000223693 +8 *24900:RESET_B 0.000713481 +9 *24901:RESET_B 0.000835608 +10 *24839:RESET_B 8.70542e-05 +11 *24503:RESET_B 0.000422156 +12 *24506:RESET_B 0 +13 *24762:SET_B 0.000165148 +14 *24603:SET_B 0 +15 *24605:RESET_B 0 +16 *24520:RESET_B 1.02497e-05 +17 *24518:RESET_B 0.000276324 +18 *24522:RESET_B 0 +19 *24517:RESET_B 0 +20 *24672:RESET_B 0 +21 *24237:RESET_B 7.98962e-05 +22 *24333:RESET_B 0.000352564 +23 *24233:SET_B 0.000270906 +24 *24232:RESET_B 7.98493e-05 +25 *24235:RESET_B 0.000104058 +26 *24231:RESET_B 0 +27 *24773:SET_B 0.000122743 +28 *24774:RESET_B 0 +29 *24775:RESET_B 4.54743e-05 +30 *24896:SET_B 0.000530888 +31 *24772:RESET_B 0.000241259 +32 *25374:A 0 +33 *24771:RESET_B 7.68324e-05 +34 *24332:RESET_B 0.000349733 +35 *24331:SET_B 0.000713134 +36 *24329:RESET_B 0.000120127 +37 *24330:RESET_B 0 +38 *24239:SET_B 0.000877549 +39 *24875:SET_B 0.000888989 +40 *24673:SET_B 0.00038527 +41 *24302:RESET_B 6.63297e-05 +42 *24303:RESET_B 2.31096e-05 +43 *24305:RESET_B 0.0005288 +44 *24671:RESET_B 8.66991e-05 +45 *24674:RESET_B 0.000150809 +46 *24675:RESET_B 0.000397566 +47 *24221:RESET_B 0 +48 *24220:SET_B 0.00062817 +49 *24502:RESET_B 0.00027222 +50 *24218:RESET_B 0 +51 *24604:RESET_B 0.000553151 +52 *24219:RESET_B 0.000473736 +53 *24601:RESET_B 0 +54 *24222:RESET_B 4.89744e-05 +55 *24859:SET_B 0 +56 *24863:SET_B 0.000529854 +57 *24853:SET_B 0.000500527 +58 *24855:SET_B 0.000630715 +59 *24857:SET_B 8.98214e-05 +60 *24602:RESET_B 0.000446999 +61 *24758:RESET_B 0.000637285 +62 *24760:RESET_B 1.54271e-05 +63 *24845:RESET_B 0.000750609 +64 *24848:RESET_B 0.000956806 +65 *24851:SET_B 7.48442e-05 +66 *24844:SET_B 0.000490725 +67 *24846:RESET_B 4.09469e-05 +68 *24884:RESET_B 0.000357267 +69 *24883:RESET_B 0.00113269 +70 *25380:X 0.000145228 +71 *5870:779 0.00168117 +72 *5870:743 0.000818391 +73 *5870:742 0.00071179 +74 *5870:733 0.000886455 +75 *5870:713 0.00100382 +76 *5870:712 0.00157954 +77 *5870:668 0.000611379 +78 *5870:659 0.000644113 +79 *5870:650 0.000675061 +80 *5870:628 0.000474422 +81 *5870:576 0.000263671 +82 *5870:573 0.000138554 +83 *5870:572 0.000659503 +84 *5870:557 0.00110404 +85 *5870:554 0.00125735 +86 *5870:542 0.000994374 +87 *5870:527 0.000606283 +88 *5870:516 0.000597946 +89 *5870:505 0.000715252 +90 *5870:494 0.000472622 +91 *5870:486 0.000273625 +92 *5870:474 0.000938514 +93 *5870:460 0.00131161 +94 *5870:436 0.000943474 +95 *5870:425 0.00140301 +96 *5870:406 0.000681844 +97 *5870:395 0.00107669 +98 *5870:392 0.000690075 +99 *5870:368 0.000925698 +100 *5870:359 0.00045198 +101 *5870:341 0.000765558 +102 *5870:340 0.00124294 +103 *5870:327 0.00111973 +104 *5870:307 0.000609735 +105 *5870:306 0.00156033 +106 *5870:276 0.000792339 +107 *5870:274 0.00184006 +108 *5870:272 0.00108869 +109 *5870:270 0.000889241 +110 *5870:269 0.001176 +111 *5870:264 0.00113285 +112 *5870:253 0.000840837 +113 *5870:247 0.000342782 +114 *5870:232 0.000842891 +115 *5870:218 0 +116 *5870:200 0.000556521 +117 *5870:191 0.000421135 +118 *5870:174 0.00077843 +119 *5870:173 0.000782804 +120 *5870:144 0.000594916 +121 *5870:140 0.000887194 +122 *5870:136 0.000449889 +123 *5870:126 0.00092129 +124 *5870:94 0.000479661 +125 *5870:64 0.000638708 +126 *5870:57 0.000389331 +127 *5870:40 0.000770975 +128 *5870:29 0.000447232 +129 *5870:19 0.00134606 +130 *5870:8 0.000591061 +131 *24365:RESET_B *5952:14 0.000111067 +132 *24372:SET_B *5948:7 4.44267e-05 +133 *5870:8 *5917:260 0.00026012 +134 *5870:554 *5956:58 5.18801e-05 +135 *5870:557 *5956:58 0.000776651 +136 *5870:572 *5956:58 0.000427677 +137 *19641:A *24844:SET_B 0.000138827 +138 *19641:A *5870:57 0.000307023 +139 *19711:A1 *24853:SET_B 0.000161121 +140 *19715:B1 *5870:40 1.33885e-05 +141 *19715:B2 *5870:29 5.302e-05 +142 *19715:B2 *5870:40 1.44611e-05 +143 *19719:A *24848:RESET_B 0.000176473 +144 *19719:A *24851:SET_B 2.95757e-05 +145 *19719:A *5870:64 0.000529097 +146 *19724:A1 *24844:SET_B 5.84003e-05 +147 *19724:A2 *24844:SET_B 0.000464412 +148 *19724:B2 *24844:SET_B 4.21765e-05 +149 *20025:A *24857:SET_B 5.04734e-05 +150 *20025:A *5870:144 1.44611e-05 +151 *20203:A2 *5870:486 9.24241e-05 +152 *20349:B *5870:272 4.31118e-05 +153 *20353:A1 *5870:306 0.00023617 +154 *20353:A2 *5870:306 9.42702e-05 +155 *20456:A2 *5870:136 4.98351e-05 +156 *20456:B2 *24602:RESET_B 0 +157 *20456:B2 *5870:136 0 +158 *20457:A1 *24604:RESET_B 0.000151272 +159 *20457:B2 *5870:650 0 +160 *20457:B2 *5870:659 0.000479276 +161 *20459:A1 *5870:126 8.67474e-05 +162 *20459:A2 *5870:126 0.000172794 +163 *20459:B2 *5870:126 6.50586e-05 +164 *20460:B2 *24602:RESET_B 3.00073e-05 +165 *20817:A2 *24372:SET_B 0.000103626 +166 *20949:A1 *24305:RESET_B 4.47713e-05 +167 *20950:A1 *24305:RESET_B 2.25948e-05 +168 *20952:A1 *5870:340 0.000113968 +169 *20953:B1 *5870:359 7.13655e-06 +170 *21061:A2 *5870:572 1.65872e-05 +171 *21061:B1 *5870:572 1.74911e-05 +172 *21061:B2 *5870:572 2.36575e-05 +173 *21080:B2 *5870:200 0.000118485 +174 *21081:A1 *24220:SET_B 0 +175 *21082:B1 *5870:173 0.000314444 +176 *21084:B2 *5870:247 2.63758e-05 +177 *21189:A *5870:733 6.08467e-05 +178 *21358:A *5870:779 0 +179 *21575:A *24220:SET_B 0 +180 *21586:A *5870:19 3.58812e-05 +181 *21621:A *24305:RESET_B 3.73525e-05 +182 *21633:A *24220:SET_B 0 +183 *21633:A *5870:276 0 +184 *21650:A *5870:542 0.000107052 +185 *21820:C1 *5870:173 0.000670127 +186 *21848:A *24220:SET_B 5.16917e-05 +187 *21893:B1 *5870:554 0.000105095 +188 *21914:A2 *24220:SET_B 3.04084e-05 +189 *21915:B2 *5870:516 4.12977e-05 +190 *22000:A *5870:341 4.86688e-06 +191 *22000:A *5870:359 2.50627e-05 +192 *22057:A *24771:RESET_B 0.000210067 +193 *22179:A *5870:779 0.000292603 +194 *24219:D *24219:RESET_B 2.22923e-05 +195 *24222:CLK *24222:RESET_B 4.32761e-05 +196 *24232:CLK *5870:572 0.000296513 +197 *24232:CLK *5870:576 1.94584e-05 +198 *24233:CLK *24233:SET_B 4.88955e-05 +199 *24233:CLK *5870:554 0.000158371 +200 *24235:CLK *5870:572 1.5714e-05 +201 *24237:D *24237:RESET_B 9.30378e-05 +202 *24239:D *24239:SET_B 5.56913e-05 +203 *24239:CLK *24239:SET_B 2.57847e-05 +204 *24241:CLK *24875:SET_B 3.58047e-05 +205 *24241:CLK *5870:392 9.56287e-05 +206 *24302:D *24302:RESET_B 0.00010553 +207 *24302:CLK *24302:RESET_B 0.000303167 +208 *24303:D *24303:RESET_B 3.67474e-06 +209 *24303:D *5870:341 3.90477e-05 +210 *24305:CLK *24305:RESET_B 2.28919e-05 +211 *24331:CLK *24331:SET_B 0.000203715 +212 *24331:CLK *5870:460 2.1249e-05 +213 *24503:D *24503:RESET_B 5.37479e-05 +214 *24565:CLK *24563:RESET_B 2.35394e-05 +215 *24565:CLK *24565:RESET_B 4.23858e-05 +216 *24565:CLK *5870:712 0.000167076 +217 *24565:CLK *5870:713 8.90058e-06 +218 *24602:D *5870:126 5.51483e-06 +219 *24605:D *5870:136 0 +220 *24605:D *5870:140 0 +221 *24760:D *24848:RESET_B 9.96342e-05 +222 *24772:D *5870:474 7.14746e-05 +223 *24848:CLK *24845:RESET_B 0.000145266 +224 *24855:CLK *24853:SET_B 0.000321905 +225 *24863:D *24863:SET_B 2.65831e-05 +226 *24883:D *24883:RESET_B 3.14978e-05 +227 *24883:CLK *24883:RESET_B 8.07438e-05 +228 *24896:D *5870:505 4.99006e-05 +229 *24896:D *5870:516 6.22259e-05 +230 *24927:A *5870:486 0.000393863 +231 *24927:A *5870:494 0.000247443 +232 *24990:A *24851:SET_B 4.23384e-05 +233 *494:44 *5870:542 4.97617e-05 +234 *522:18 *24772:RESET_B 5.65074e-05 +235 *522:18 *5870:542 0.000140055 +236 *533:8 *24234:RESET_B 1.88878e-05 +237 *541:38 *24364:RESET_B 0.000676704 +238 *541:38 *24372:SET_B 0.000273855 +239 *541:38 *5870:743 8.12625e-05 +240 *543:21 *24875:SET_B 7.01586e-06 +241 *543:21 *5870:359 8.10016e-06 +242 *543:21 *5870:368 5.47736e-05 +243 *1419:107 *5870:57 6.08467e-05 +244 *1427:13 *24518:RESET_B 0.000298067 +245 *1439:145 *5870:542 0.000200794 +246 *1439:152 *24773:SET_B 4.95807e-05 +247 *1439:152 *5870:542 7.92757e-06 +248 *1439:242 *24675:RESET_B 0.000110966 +249 *1439:243 *5870:272 0 +250 *1439:243 *5870:274 0 +251 *1439:243 *5870:276 0 +252 *1439:271 *24884:RESET_B 0 +253 *1439:271 *5870:29 0 +254 *1439:271 *5870:40 0 +255 *1471:75 *5870:272 2.10284e-05 +256 *1472:14 *24520:RESET_B 6.34651e-06 +257 *1472:14 *5870:232 0.000275239 +258 *1472:14 *5870:247 0.000330503 +259 *1472:14 *5870:253 0.000325909 +260 *1472:14 *5870:264 0.000462656 +261 *1488:16 *24675:RESET_B 0.000115058 +262 *1488:16 *5870:307 7.7321e-05 +263 *1488:16 *5870:327 0.000222083 +264 *1497:161 *5870:474 0.000455489 +265 *1505:8 *5870:29 0.000143598 +266 *1511:56 *24219:RESET_B 0 +267 *1511:56 *5870:200 0 +268 *1516:20 *24219:RESET_B 0.000113605 +269 *1516:20 *5870:174 0.000112002 +270 *1516:20 *5870:191 0.000131747 +271 *1516:20 *5870:200 0.000155715 +272 *1517:10 *24884:RESET_B 6.60114e-05 +273 *1520:29 *24502:RESET_B 0.000845398 +274 *1557:34 *24565:RESET_B 0.000123274 +275 *1557:34 *5870:712 0.000118166 +276 *1557:34 *5870:779 9.49244e-05 +277 *1557:62 *24565:RESET_B 1.84803e-05 +278 *1557:62 *5870:733 0.000138825 +279 *1573:46 *5870:359 8.25963e-05 +280 *1637:25 *24875:SET_B 0.000195246 +281 *1637:25 *5870:425 0.000286688 +282 *1640:8 *24518:RESET_B 0.000106359 +283 *1640:8 *5870:270 0.00012579 +284 *1640:8 *5870:272 0.000100261 +285 *1640:8 *5870:628 0.000126552 +286 *1641:10 *5870:272 0 +287 *1649:80 *24234:RESET_B 0.000153464 +288 *1650:7 *24237:RESET_B 0.000293466 +289 *1650:7 *5870:392 6.50586e-05 +290 *1650:8 *5870:395 0.000365409 +291 *1650:8 *5870:406 8.61654e-05 +292 *1650:8 *5870:425 0.000322372 +293 *1650:8 *5870:436 0.000688148 +294 *1668:41 *24503:RESET_B 0.000399942 +295 *1669:15 *5870:425 3.82228e-05 +296 *1669:20 *24875:SET_B 0.000259637 +297 *1669:20 *5870:395 0.000382761 +298 *1669:20 *5870:406 9.32927e-05 +299 *1669:20 *5870:425 0.00033642 +300 *1669:24 *24673:SET_B 0.00101182 +301 *1669:146 *5870:554 0.000161374 +302 *1672:16 *24863:SET_B 0.00017128 +303 *1680:71 *24235:RESET_B 3.49809e-05 +304 *1697:10 *5870:668 0.000270379 +305 *1697:14 *24503:RESET_B 0.000144869 +306 *1697:14 *5870:668 3.06932e-05 +307 *1701:54 *24333:RESET_B 6.6897e-05 +308 *1701:54 *5870:425 1.80887e-05 +309 *1711:16 *5870:270 8.15952e-06 +310 *1711:18 *5870:270 3.70312e-05 +311 *1711:18 *5870:272 0.000135848 +312 *1715:127 *5870:527 0.000275228 +313 *1715:127 *5870:542 0.000249758 +314 *1731:13 *24331:SET_B 0.00036013 +315 *1731:20 *5870:436 0.000149355 +316 *1739:8 *24219:RESET_B 0.00046383 +317 *1742:60 *24896:SET_B 5.44807e-05 +318 *1742:67 *24365:RESET_B 1.51658e-05 +319 *1744:88 *24863:SET_B 0.000166947 +320 *1744:88 *5870:174 0.000154396 +321 *1744:88 *5870:191 0.000132019 +322 *1744:88 *5870:200 8.61791e-05 +323 *1744:95 *24758:RESET_B 0.000272415 +324 *1744:95 *5870:94 4.78065e-05 +325 *1744:95 *5870:136 0.000110084 +326 *1744:99 *5870:94 0.000240351 +327 *1746:8 *5870:392 0.000597765 +328 *1746:8 *5870:425 0.000279686 +329 *1749:65 *5870:474 8.83837e-05 +330 *1749:65 *5870:486 2.16797e-05 +331 *1780:15 *5870:436 0.000152358 +332 *1802:177 *24518:RESET_B 0.000578484 +333 *1802:177 *5870:628 0.000278775 +334 *1802:187 *5870:270 0.000143371 +335 *1802:187 *5870:628 6.24695e-05 +336 *1884:262 *24364:RESET_B 0.000683801 +337 *1884:262 *24372:SET_B 0.000270243 +338 *1884:262 *5870:743 8.84048e-05 +339 *1931:7 *5870:306 0.000171288 +340 *1931:48 *5870:306 0.000302789 +341 *1964:12 *5870:650 0 +342 *1964:14 *24602:RESET_B 0 +343 *1964:33 *5870:126 0.000168591 +344 *1965:7 *5870:136 1.41976e-05 +345 *1965:9 *5870:136 3.82278e-05 +346 *2063:82 *24365:RESET_B 8.83396e-05 +347 *2093:10 *5870:779 0.00162629 +348 *2123:47 *5870:779 0.000150654 +349 *2145:35 *5870:436 9.30719e-05 +350 *2145:42 *5870:425 0.000190281 +351 *2146:19 *5870:436 0.000102579 +352 *2158:8 *5870:359 0 +353 *2158:10 *5870:341 0 +354 *2158:10 *5870:359 0 +355 *2158:12 *24305:RESET_B 0 +356 *2158:12 *5870:341 0 +357 *2159:29 *24305:RESET_B 0.000230789 +358 *2200:57 *5870:572 0.000538359 +359 *2206:43 *24219:RESET_B 0.000569701 +360 *2206:43 *5870:200 3.31882e-05 +361 *2256:13 *5870:733 0.000154145 +362 *2259:15 *5870:269 0 +363 *2378:12 *5870:733 0.000102887 +364 *2393:22 *24900:RESET_B 0.000108327 +365 *2398:39 *24673:SET_B 1.92336e-05 +366 *2398:47 *24673:SET_B 0.000778674 +367 *2401:22 *5870:554 0.000508199 +368 *2421:138 *24234:RESET_B 0.000213502 +369 *2426:53 *24762:SET_B 0.000164843 +370 *2426:53 *5870:659 0.000900098 +371 *2426:61 *24219:RESET_B 9.32983e-05 +372 *2426:68 *24303:RESET_B 0.000110084 +373 *2441:72 *5870:436 5.51934e-05 +374 *2445:10 *24758:RESET_B 0.00017419 +375 *2446:27 *24673:SET_B 0.000253489 +376 *2471:17 *24771:RESET_B 0.000344421 +377 *2576:76 *5870:557 0.000766908 +378 *2576:76 *5870:572 0.000173529 +379 *2577:48 *24235:RESET_B 0.000106762 +380 *2579:16 *24604:RESET_B 1.42932e-05 +381 *2581:30 *5870:460 0.00020502 +382 *2586:8 *5870:306 0.000517234 +383 *2596:11 *5870:712 0.00011393 +384 *2609:24 *24772:RESET_B 3.89332e-06 +385 *2609:24 *5870:505 7.83227e-05 +386 *2609:24 *5870:516 0.000104799 +387 *2609:24 *5870:527 9.9363e-05 +388 *2609:24 *5870:542 3.75e-05 +389 *2623:8 *24758:RESET_B 0.00017419 +390 *2632:8 *24220:SET_B 0 +391 *2632:8 *5870:274 0 +392 *2632:8 *5870:276 0 +393 *2632:18 *24604:RESET_B 0.000795378 +394 *2638:35 *24773:SET_B 2.6437e-05 +395 *2675:14 *5870:306 1.80887e-05 +396 *2675:51 *24332:RESET_B 0.00026341 +397 *2693:19 *24233:SET_B 0.000111231 +398 *2739:14 *24675:RESET_B 0.000267013 +399 *2739:14 *5870:307 0.000167062 +400 *2739:14 *5870:327 0.000554149 +401 *2803:13 *24844:SET_B 0.000169041 +402 *2803:13 *5870:57 0.000131249 +403 *2808:20 *5870:650 0 +404 *2808:20 *5870:659 0 +405 *2815:12 *5870:460 1.47773e-05 +406 *2836:5 *5870:144 2.85274e-05 +407 *2836:5 *5870:173 0.000128646 +408 *2836:8 *5870:40 1.36556e-05 +409 *2864:11 *24220:SET_B 0.000101133 +410 *2864:19 *24758:RESET_B 0.000374696 +411 *2864:19 *5870:94 0.000161949 +412 *2894:19 *5870:542 0.000140055 +413 *2900:10 *5870:392 0.000135406 +414 *2904:8 *5870:474 0.00030319 +415 *2904:8 *5870:486 0.000154073 +416 *2909:17 *24233:SET_B 0.000107496 +417 *2909:17 *5870:554 0.000154145 +418 *2926:9 *24220:SET_B 0.000110084 +419 *2928:24 *24302:RESET_B 6.12686e-06 +420 *2928:24 *5870:359 2.05912e-05 +421 *2946:8 *5870:136 0 +422 *2946:8 *5870:140 0 +423 *2946:8 *5870:144 0 +424 *2949:13 *5870:712 4.31539e-05 +425 *2951:28 *5870:253 0 +426 *2951:28 *5870:264 0 +427 *2955:5 *24845:RESET_B 3.22817e-05 +428 *2955:5 *24848:RESET_B 1.01044e-05 +429 *2955:5 *5870:126 0.000430175 +430 *2963:102 *5870:269 0.000112963 +431 *2967:14 *24331:SET_B 0.00029445 +432 *2970:8 *24305:RESET_B 0 +433 *2970:8 *24875:SET_B 0 +434 *2970:8 *5870:341 0 +435 *2970:8 *5870:359 0 +436 *2970:8 *5870:368 0 +437 *2971:47 *24502:RESET_B 0.000205006 +438 *2973:27 *24331:SET_B 0.000298047 +439 *2975:50 *5870:516 7.93531e-05 +440 *2992:12 *24604:RESET_B 4.42142e-05 +441 *3063:13 *5870:425 0.000202719 +442 *3106:42 *24232:RESET_B 6.88731e-05 +443 *3126:148 *24232:RESET_B 0.000240345 +444 *3126:148 *5870:576 0.000205285 +445 *3126:152 *5870:572 4.98682e-05 +446 *3134:9 *24235:RESET_B 1.91114e-05 +447 *3177:27 *24365:RESET_B 0.000663133 +448 *3177:27 *5870:742 3.4123e-05 +449 *3177:37 *24365:RESET_B 1.27831e-06 +450 *3177:63 *24219:RESET_B 8.58902e-06 +451 *3177:63 *24303:RESET_B 1.62073e-05 +452 *3177:63 *5870:659 1.80647e-05 +453 *3481:9 *24234:RESET_B 0.000546711 +454 *3481:16 *24234:RESET_B 0.000305487 +455 *3487:30 *5870:542 0.000205006 +456 *3498:24 *24233:SET_B 0.000223073 +457 *4830:84 *24900:RESET_B 1.5714e-05 +458 *4844:317 *24900:RESET_B 0.000194294 +459 *4844:317 *24901:RESET_B 1.91114e-05 +460 *4844:324 *5870:486 0.000145324 +461 *4844:324 *5870:494 8.78837e-05 +462 *4844:434 *24851:SET_B 0.000137921 +463 *4844:434 *5870:64 0.000223232 +464 *4856:30 *5870:779 0.000410692 +465 *4865:6 *24563:RESET_B 0.000324953 +466 *4865:6 *5870:713 7.13677e-05 +467 *4865:6 *5870:779 0.000304086 +468 *4865:24 *5870:779 3.04617e-05 +469 *4866:110 *24234:RESET_B 0.000326476 +470 *4868:18 *24900:RESET_B 3.81608e-05 +471 *4876:14 *5870:733 0.000102887 +472 *4876:65 *5870:253 0.000250346 +473 *4918:16 *5870:392 1.45944e-05 +474 *4918:16 *5870:395 9.2346e-06 +475 *4918:75 *5870:460 9.32954e-05 +476 *4919:15 *24563:RESET_B 1.70308e-05 +477 *4919:36 *24901:RESET_B 0.000131124 +478 *4919:108 *24563:RESET_B 3.59066e-05 +479 *4920:14 *5870:554 3.04443e-05 +480 *4920:19 *24773:SET_B 0.00029343 +481 *4920:19 *5870:554 0.000881247 +482 *4924:18 *24863:SET_B 0.000313724 +483 *4924:18 *5870:174 0.00010623 +484 *4924:23 *24863:SET_B 8.01837e-05 +485 *4926:28 *24503:RESET_B 1.91114e-05 +486 *4929:54 *24883:RESET_B 6.01504e-05 +487 *4929:54 *5870:19 0.000262948 +488 *4929:56 *24883:RESET_B 4.6284e-05 +489 *4970:8 *24331:SET_B 6.11273e-05 +490 *4970:8 *5870:460 0.000229914 +491 *4971:7 *24332:RESET_B 0.000455496 +492 *4980:7 *24329:RESET_B 5.22859e-05 +493 *5087:8 *24758:RESET_B 0.000267394 +494 *5089:10 *24762:SET_B 7.20343e-06 +495 *5168:13 *24901:RESET_B 0.000237075 +496 *5172:10 *5870:779 0 +497 *5201:8 *24671:RESET_B 0.000154501 +498 *5232:5 *24775:RESET_B 2.57847e-05 +499 *5240:5 *24771:RESET_B 4.94655e-05 +500 *5254:9 *24602:RESET_B 0 +501 *5265:5 *24566:RESET_B 2.23479e-05 +502 *5375:5 *24235:RESET_B 5.37479e-05 +503 *5436:9 *5870:340 0.000167076 +504 *5436:9 *5870:341 0.000168473 +505 *5481:31 *24237:RESET_B 4.89898e-06 +506 *5481:31 *5870:392 4.34841e-05 +507 *5671:10 *24503:RESET_B 5.65971e-05 +508 *5671:10 *5870:668 0.000347315 +509 *5726:29 *24239:SET_B 0.000146631 +510 *5742:7 *24846:RESET_B 0.000103626 +511 *5744:7 *24845:RESET_B 2.63773e-05 +512 *5744:7 *24848:RESET_B 6.36275e-05 +513 *5744:8 *24884:RESET_B 0.000268662 +514 *5744:8 *5870:29 0.000480771 +515 *5744:8 *5870:40 0.000410764 +516 *5744:20 *24848:RESET_B 2.15348e-05 +517 *5756:8 *24845:RESET_B 1.43983e-05 +518 *5779:7 *24853:SET_B 1.33419e-05 +519 *5781:5 *24853:SET_B 2.01758e-05 +520 *5781:5 *24855:SET_B 0.000240621 +521 *5806:20 *24839:RESET_B 0.00017419 +522 *5806:20 *5870:8 0.000166253 +523 *5809:7 *24883:RESET_B 0.000369025 +524 *5809:7 *5870:19 3.14194e-05 +525 *5809:11 *5870:8 0.000111658 +526 *5810:8 *24884:RESET_B 1.43698e-05 +527 *5830:22 *24220:SET_B 0 +528 *5854:194 *24848:RESET_B 2.93796e-05 +529 *5854:194 *5870:64 0.000130286 +530 *5854:194 *5870:94 2.01503e-05 +531 *5854:331 *5870:650 1.61918e-05 +532 *5854:337 *5870:306 4.71618e-05 +533 *5856:353 *24839:RESET_B 0 +534 *5856:353 *5870:8 0 +535 *5856:396 *5870:269 0.000306711 +536 *5857:298 *24772:RESET_B 0.000373806 +537 *5857:298 *5870:505 9.24392e-05 +538 *5857:298 *5870:516 0.000170512 +539 *5857:298 *5870:527 7.61444e-07 +540 *5858:233 *24503:RESET_B 0.000324011 +541 *5858:240 *5870:126 4.07355e-05 +542 *5858:415 *5870:650 0 +543 *5858:429 *5870:232 0.000275239 +544 *5858:429 *5870:247 8.62625e-06 +545 *5858:443 *24671:RESET_B 9.68184e-05 +546 *5858:443 *5870:340 0.000157812 +547 *5858:459 *5870:340 7.92757e-06 +548 *5859:258 *5870:713 0 +549 *5859:352 *24332:RESET_B 2.16355e-05 +550 *5859:352 *5870:460 0.00033801 +551 *5859:352 *5870:474 9.30265e-05 +552 *5860:141 *5870:650 0.000447446 +553 *5860:141 *5870:659 0.000114239 +554 *5860:288 *24602:RESET_B 0 +555 *5860:288 *24857:SET_B 0 +556 *5860:288 *5870:136 0 +557 *5860:288 *5870:140 0 +558 *5860:288 *5870:144 0 +559 *5860:288 *5870:650 0 +560 *5860:401 *5870:247 0.000167247 +561 *5860:401 *5870:253 1.1246e-05 +562 *5864:11 *24772:RESET_B 8.01048e-05 +*RES +1 *25380:X *5870:8 19.7687 +2 *5870:8 *24883:RESET_B 17.2197 +3 *24883:RESET_B *5870:19 21.2225 +4 *5870:19 *24884:RESET_B 14.3146 +5 *5870:19 *5870:29 8.89128 +6 *5870:29 *24846:RESET_B 5.6936 +7 *5870:29 *5870:40 11.7303 +8 *5870:40 *24844:SET_B 14.4559 +9 *5870:40 *5870:57 8.55102 +10 *5870:57 *24851:SET_B 7.1625 +11 *5870:57 *5870:64 9.92941 +12 *5870:64 *24848:RESET_B 11.1974 +13 *24848:RESET_B *24845:RESET_B 12.9729 +14 *5870:64 *5870:94 13.4603 +15 *5870:94 *24760:RESET_B 0.578717 +16 *5870:94 *24758:RESET_B 23.206 +17 *24758:RESET_B *5870:126 18.4736 +18 *5870:126 *24602:RESET_B 7.993 +19 *24602:RESET_B *5870:136 12.0934 +20 *5870:136 *5870:140 8.40826 +21 *5870:140 *5870:144 13.4235 +22 *5870:144 *24857:SET_B 6.74725 +23 *5870:144 *24855:SET_B 8.46662 +24 *24855:SET_B *24853:SET_B 13.9615 +25 *5870:140 *5870:173 18.5339 +26 *5870:173 *5870:174 7.64553 +27 *5870:174 *24863:SET_B 17.3848 +28 *5870:174 *24859:SET_B 4.5 +29 *5870:173 *5870:191 5.98452 +30 *5870:191 *24222:RESET_B 5.6936 +31 *5870:191 *5870:200 6.81502 +32 *5870:200 *24601:RESET_B 4.5 +33 *5870:200 *24219:RESET_B 19.1527 +34 *24219:RESET_B *5870:218 0.168792 +35 *24219:RESET_B *5870:232 9.65401 +36 *5870:232 *24604:RESET_B 24.1829 +37 *5870:232 *5870:247 6.39977 +38 *5870:247 *24218:RESET_B 4.5 +39 *5870:247 *5870:253 6.39977 +40 *5870:253 *24502:RESET_B 14.0127 +41 *5870:253 *5870:264 10.247 +42 *5870:264 *5870:269 8.62322 +43 *5870:269 *5870:270 7.02265 +44 *5870:270 *5870:272 15.5353 +45 *5870:272 *5870:274 10.137 +46 *5870:274 *5870:276 3.90826 +47 *5870:276 *24220:SET_B 20.203 +48 *5870:276 *24221:RESET_B 4.5 +49 *5870:274 *5870:306 33.8125 +50 *5870:306 *5870:307 3.07775 +51 *5870:307 *24675:RESET_B 15.9784 +52 *5870:307 *24674:RESET_B 7.35741 +53 *5870:306 *5870:327 15.0523 +54 *5870:327 *24671:RESET_B 2.85741 +55 *5870:327 *5870:340 16.5084 +56 *5870:340 *5870:341 5.15401 +57 *5870:341 *24305:RESET_B 18.5981 +58 *5870:341 *24303:RESET_B 5.6936 +59 *5870:340 *5870:359 6.39977 +60 *5870:359 *24302:RESET_B 7.91202 +61 *5870:359 *5870:368 2.6625 +62 *5870:368 *24673:SET_B 23.465 +63 *5870:368 *24875:SET_B 13.9206 +64 *24875:SET_B *5870:392 23.3246 +65 *5870:392 *5870:395 11.7303 +66 *5870:395 *24239:SET_B 17.3644 +67 *5870:395 *5870:406 1.832 +68 *5870:406 *24330:RESET_B 4.5 +69 *5870:406 *5870:425 32.7941 +70 *5870:425 *5870:436 29.1552 +71 *5870:436 *24329:RESET_B 2.30281 +72 *5870:436 *24331:SET_B 23.4362 +73 *24331:SET_B *5870:460 19.6423 +74 *5870:460 *24332:RESET_B 9.70556 +75 *5870:460 *5870:474 18.4178 +76 *5870:474 *24771:RESET_B 8.46662 +77 *5870:474 *5870:486 12.1834 +78 *5870:486 *25374:A 9.24915 +79 *5870:486 *5870:494 7.44181 +80 *5870:494 *24772:RESET_B 12.7806 +81 *5870:494 *5870:505 4.73876 +82 *5870:505 *24896:SET_B 7.93613 +83 *5870:505 *5870:516 9.30653 +84 *5870:516 *24775:RESET_B 5.71772 +85 *5870:516 *5870:527 5.98452 +86 *5870:527 *24774:RESET_B 4.5 +87 *5870:527 *5870:542 25.815 +88 *5870:542 *24773:SET_B 3.96662 +89 *5870:542 *5870:554 28.4442 +90 *5870:554 *5870:557 20.8658 +91 *5870:557 *24231:RESET_B 4.5 +92 *5870:557 *5870:572 31.7364 +93 *5870:572 *5870:573 81.1229 +94 *5870:573 *5870:576 11.6364 +95 *5870:576 *24235:RESET_B 11.8862 +96 *5870:576 *24232:RESET_B 2.85741 +97 *5870:554 *24233:SET_B 9.03039 +98 *5870:425 *24333:RESET_B 5.09994 +99 *5870:392 *24237:RESET_B 3.41202 +100 *5870:272 *24672:RESET_B 4.5 +101 *5870:270 *24517:RESET_B 4.5 +102 *5870:269 *5870:628 6.6074 +103 *5870:628 *24522:RESET_B 4.5 +104 *5870:628 *24518:RESET_B 15.4675 +105 *5870:264 *24520:RESET_B 4.87861 +106 *5870:136 *24605:RESET_B 4.5 +107 *5870:126 *5870:650 10.5523 +108 *5870:650 *24603:SET_B 4.5 +109 *5870:650 *5870:659 16.8989 +110 *5870:659 *24762:SET_B 3.96662 +111 *5870:659 *5870:668 11.315 +112 *5870:668 *24506:RESET_B 4.5 +113 *5870:668 *24503:RESET_B 18.5981 +114 *5870:8 *24839:RESET_B 7.57775 +115 *24896:SET_B *24901:RESET_B 7.76445 +116 *24901:RESET_B *24900:RESET_B 18.011 +117 *24900:RESET_B *5870:712 10.7091 +118 *5870:712 *5870:713 1.41674 +119 *5870:713 *24563:RESET_B 10.8998 +120 *5870:713 *24565:RESET_B 15.146 +121 *24565:RESET_B *5870:733 20.2053 +122 *5870:733 *24566:RESET_B 1.74821 +123 *5870:733 *5870:742 10.7694 +124 *5870:742 *5870:743 1.832 +125 *5870:743 *24372:SET_B 10.8476 +126 *5870:743 *24365:RESET_B 25.568 +127 *5870:742 *24364:RESET_B 17.9591 +128 *5870:712 *5870:779 44.6085 +129 *5870:779 *24234:RESET_B 22.9206 +*END + +*D_NET *5871 0.132076 +*CONN +*I *24396:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24395:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24737:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24697:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24736:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24173:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24172:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24255:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24282:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24283:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24281:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24397:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24394:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24171:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24334:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24186:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24356:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24257:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24258:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24264:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24267:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24293:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24265:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24266:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24259:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24889:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24907:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24195:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24174:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24196:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24904:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24256:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24747:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24745:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24289:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24263:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24288:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24261:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24284:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24260:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24262:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24287:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24756:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24285:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24752:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24754:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24755:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24286:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24751:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24750:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24753:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24749:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24669:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24668:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24666:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24670:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24290:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24292:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24291:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24746:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24744:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24873:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24748:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24667:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24241:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24664:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24665:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24306:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24304:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24872:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24663:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24874:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24867:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24870:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24871:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24869:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *25381:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *24396:RESET_B 0.000293763 +2 *24395:RESET_B 0.000135436 +3 *24737:RESET_B 5.38653e-05 +4 *24697:RESET_B 0.000325186 +5 *24736:RESET_B 0 +6 *24173:RESET_B 0 +7 *24172:RESET_B 0.000420486 +8 *24255:RESET_B 0.000249416 +9 *24282:RESET_B 8.11435e-05 +10 *24283:RESET_B 5.21554e-05 +11 *24281:RESET_B 0.000130864 +12 *24397:RESET_B 0 +13 *24394:RESET_B 0.00018108 +14 *24171:RESET_B 0.000834084 +15 *24334:RESET_B 0 +16 *24186:RESET_B 0.000349981 +17 *24356:RESET_B 0.000104637 +18 *24257:RESET_B 0.000200799 +19 *24258:RESET_B 4.09506e-05 +20 *24264:RESET_B 0.000286033 +21 *24267:RESET_B 0 +22 *24293:RESET_B 7.89513e-05 +23 *24265:RESET_B 0.000241557 +24 *24266:RESET_B 0.000425967 +25 *24259:RESET_B 5.91651e-05 +26 *24889:RESET_B 0 +27 *24907:RESET_B 1.62807e-05 +28 *24195:RESET_B 0 +29 *24174:RESET_B 0.000389822 +30 *24196:RESET_B 0.000401239 +31 *24904:RESET_B 0.000100609 +32 *24256:RESET_B 1.20235e-05 +33 *24747:SET_B 0.000156204 +34 *24745:RESET_B 0.000218999 +35 *24289:RESET_B 2.43197e-05 +36 *24263:RESET_B 0 +37 *24288:RESET_B 0.00022103 +38 *24261:RESET_B 0.000110677 +39 *24284:RESET_B 0.000129196 +40 *24260:RESET_B 0.000788303 +41 *24262:RESET_B 0.000159084 +42 *24287:RESET_B 0.000470545 +43 *24756:RESET_B 5.66894e-05 +44 *24285:RESET_B 0.000805826 +45 *24752:RESET_B 0.000156795 +46 *24754:RESET_B 0 +47 *24755:RESET_B 5.66417e-05 +48 *24286:RESET_B 0.00014532 +49 *24751:RESET_B 0.000394584 +50 *24750:SET_B 0.000260605 +51 *24753:RESET_B 0.000408502 +52 *24749:SET_B 0.00123373 +53 *24669:RESET_B 0.000141114 +54 *24668:RESET_B 1.76235e-05 +55 *24666:RESET_B 9.9481e-05 +56 *24670:RESET_B 2.43553e-05 +57 *24290:RESET_B 0 +58 *24292:RESET_B 0.000414925 +59 *24291:RESET_B 0.00121718 +60 *24746:RESET_B 7.87869e-05 +61 *24744:RESET_B 0.000543923 +62 *24873:SET_B 0.000312069 +63 *24748:SET_B 0.000626059 +64 *24667:RESET_B 0.000346154 +65 *24241:RESET_B 0.000435326 +66 *24664:SET_B 0 +67 *24665:RESET_B 0.000381657 +68 *24306:RESET_B 0 +69 *24304:SET_B 0.000448964 +70 *24872:SET_B 0.000220063 +71 *24663:SET_B 0 +72 *24874:SET_B 7.64613e-05 +73 *24867:SET_B 1.39965e-05 +74 *24870:SET_B 0.000785526 +75 *24871:SET_B 0.000735755 +76 *24869:SET_B 3.64477e-05 +77 *25381:X 0.000137234 +78 *5871:886 0.000773899 +79 *5871:860 0.000925664 +80 *5871:845 0.000889295 +81 *5871:839 0.000790421 +82 *5871:836 0.000981134 +83 *5871:805 0.000619019 +84 *5871:799 0.000778563 +85 *5871:787 0.000771858 +86 *5871:772 0.00101516 +87 *5871:749 0.000666808 +88 *5871:677 0.000848043 +89 *5871:670 0.00102202 +90 *5871:668 0.00108448 +91 *5871:659 0.000914335 +92 *5871:650 0.000986978 +93 *5871:604 0.000772352 +94 *5871:602 0.000718026 +95 *5871:600 0.000167829 +96 *5871:598 0.000686949 +97 *5871:592 0.000661782 +98 *5871:590 0.000817562 +99 *5871:588 0.000507601 +100 *5871:587 0.000222628 +101 *5871:584 0.000884664 +102 *5871:580 0.00138841 +103 *5871:562 0.000908134 +104 *5871:560 0.000992146 +105 *5871:530 0.000570524 +106 *5871:505 0.000671859 +107 *5871:481 0.000677011 +108 *5871:478 0.000868672 +109 *5871:469 0.000812693 +110 *5871:448 0.000350445 +111 *5871:423 0.000751408 +112 *5871:419 0.00145037 +113 *5871:399 0.000340225 +114 *5871:398 0.000358492 +115 *5871:354 0.000686417 +116 *5871:334 0.00105276 +117 *5871:320 0.00193368 +118 *5871:317 0.000390218 +119 *5871:306 0.00027726 +120 *5871:297 0.000829839 +121 *5871:286 0.00103842 +122 *5871:282 0.000622952 +123 *5871:280 0.000370299 +124 *5871:271 0.000415271 +125 *5871:247 0.000848215 +126 *5871:246 0.00138314 +127 *5871:237 0.00179587 +128 *5871:230 0.000680654 +129 *5871:219 5.71353e-07 +130 *5871:210 0 +131 *5871:165 0 +132 *5871:146 0.000584332 +133 *5871:131 0.000921374 +134 *5871:119 0.000792768 +135 *5871:97 0.000698311 +136 *5871:83 0.000571859 +137 *5871:82 0.000672606 +138 *5871:74 0.000373432 +139 *5871:63 0.000752353 +140 *5871:60 0.000666525 +141 *5871:48 0.000960868 +142 *5871:12 0.00103303 +143 *5871:10 0.000538499 +144 *24174:RESET_B *24174:D 6.47133e-05 +145 *24186:RESET_B *5960:5 8.77922e-05 +146 *24196:RESET_B *5957:11 0 +147 *24196:RESET_B *5959:12 0.000122083 +148 *24256:RESET_B *21011:B2 2.28919e-05 +149 *24257:RESET_B *5982:7 0.000154501 +150 *24258:RESET_B *5960:19 0.000216299 +151 *24258:RESET_B *5983:11 3.18172e-05 +152 *24259:RESET_B *5984:8 6.92705e-05 +153 *24260:RESET_B *6027:58 2.29084e-05 +154 *24264:RESET_B *5989:7 1.78436e-05 +155 *24265:RESET_B *21001:B2 1.09551e-05 +156 *24265:RESET_B *5978:10 2.7837e-05 +157 *24266:RESET_B *5979:8 6.64392e-05 +158 *24281:RESET_B *5964:7 0.000151272 +159 *24283:RESET_B *5969:5 3.32967e-05 +160 *24284:RESET_B *6027:234 6.39594e-05 +161 *24284:RESET_B *6027:249 0.000223233 +162 *24288:RESET_B *5974:7 7.11885e-05 +163 *24291:RESET_B *20970:B2 9.60366e-05 +164 *24292:RESET_B *5966:10 0.000165481 +165 *24293:RESET_B *20967:A1 2.78503e-05 +166 *24356:RESET_B *5956:32 5.3381e-05 +167 *24394:RESET_B *6022:8 6.13961e-05 +168 *24394:RESET_B *6022:39 6.49002e-05 +169 *24667:RESET_B *5960:47 0.000206626 +170 *24907:RESET_B *5958:5 5.69771e-05 +171 *5871:247 *20970:B2 0.000176583 +172 *5871:271 *20970:B2 5.23577e-05 +173 *5871:469 *20973:B2 7.61196e-05 +174 *5871:469 *23979:A1 0.000152239 +175 *5871:469 *23979:S 7.48633e-05 +176 *5871:481 *6027:62 5.2936e-05 +177 *5871:481 *6027:64 9.88843e-05 +178 *5871:481 *6027:66 6.74811e-05 +179 *5871:481 *6027:234 7.49767e-05 +180 *5871:505 *5975:8 6.25562e-05 +181 *5871:560 *6027:47 0.000481241 +182 *5871:560 *6027:58 0.000412529 +183 *5871:580 *21011:B2 3.95516e-05 +184 *5871:580 *23993:A1 0.000143891 +185 *5871:580 *6027:41 6.23875e-05 +186 *5871:584 *23936:A0 3.42931e-05 +187 *5871:584 *6029:44 0 +188 *5871:588 *5956:32 1.93378e-05 +189 *5871:590 *5956:25 1.82832e-05 +190 *5871:590 *5956:32 0.000158059 +191 *5871:592 *5956:13 1.2601e-05 +192 *5871:592 *5956:25 3.18408e-05 +193 *5871:598 *5956:13 6.66318e-05 +194 *5871:772 *6022:39 0.000106165 +195 *5871:772 *6027:8 3.14978e-05 +196 *5871:799 *23991:S 0.000159658 +197 *5871:805 *23967:A1 0.000161406 +198 *5871:805 *23967:S 2.68102e-05 +199 *6207:DIODE *24753:RESET_B 8.39223e-05 +200 *6207:DIODE *5871:398 0.000346067 +201 *19601:A2 *24196:RESET_B 0.000113968 +202 *19601:B1 *24196:RESET_B 5.82695e-05 +203 *19601:B1 *24904:RESET_B 3.63743e-05 +204 *19601:B1 *5871:604 8.62784e-05 +205 *19689:B2 *5871:10 1.65872e-05 +206 *19915:A1 *24748:SET_B 0.000432409 +207 *19915:A1 *24872:SET_B 0.00105923 +208 *19915:A1 *5871:83 0.000148852 +209 *19916:B2 *24871:SET_B 0.000112057 +210 *19989:A1 *5871:63 0.000217602 +211 *20232:A1 *24752:RESET_B 2.71397e-05 +212 *20232:A1 *5871:423 3.80024e-05 +213 *20236:B1 *24241:RESET_B 0 +214 *20260:A2 *5871:860 0.000169041 +215 *20361:A1 *24749:SET_B 0.000158357 +216 *20362:A1 *5871:297 0 +217 *20364:A1 *5871:286 7.14746e-05 +218 *20364:A1 *5871:297 0.000119553 +219 *20365:A1 *24665:RESET_B 0.000104609 +220 *20367:B2 *24667:RESET_B 0 +221 *20905:B2 *5871:584 0.000200221 +222 *20951:B1 *5871:119 0 +223 *20965:A *24259:RESET_B 0.00011875 +224 *20965:A *5871:659 0.000421531 +225 *20967:A2 *5871:677 0.000149628 +226 *20967:B2 *5871:677 5.40763e-05 +227 *20970:B1 *24291:RESET_B 0.000364356 +228 *21001:B1 *24265:RESET_B 7.34948e-06 +229 *21003:B1 *24264:RESET_B 6.08467e-05 +230 *21004:B1 *24288:RESET_B 3.31733e-05 +231 *21142:B2 *24196:RESET_B 0 +232 *21155:A2 *5871:598 2.22342e-05 +233 *21224:A2 *24753:RESET_B 7.5108e-05 +234 *21270:A *24746:RESET_B 0 +235 *21330:A *5871:670 0 +236 *21337:A *24871:SET_B 2.25948e-05 +237 *21374:A *24746:RESET_B 0.00011946 +238 *21374:A *5871:237 2.06598e-05 +239 *21377:A2 *5871:237 0.000418116 +240 *21377:A2 *5871:530 0.000242399 +241 *21406:A *5871:48 0.000245771 +242 *21588:B1 *5871:63 0.000263281 +243 *21588:B1 *5871:74 0.000747855 +244 *21708:A *5871:10 0.000148129 +245 *21708:A *5871:12 5.69489e-05 +246 *21715:A *5871:297 0.000135905 +247 *21735:A *5871:230 0.000113968 +248 *21736:A2 *24748:SET_B 4.75721e-06 +249 *21799:A *5871:119 0.000254213 +250 *21887:B1 *5871:63 0 +251 *21948:A *24196:RESET_B 0 +252 *23967:A0 *24283:RESET_B 0.000164829 +253 *23967:A0 *5871:805 0.000248423 +254 *23979:A0 *5871:469 0.000387915 +255 *23985:A0 *24670:RESET_B 0.000100397 +256 *23985:A0 *5871:286 1.32509e-05 +257 *23985:A0 *5871:297 5.86449e-05 +258 *23993:A0 *24256:RESET_B 5.69771e-05 +259 *23993:A0 *5871:580 0.000408868 +260 *24044:A0 *5871:860 9.12416e-06 +261 *24171:D *5871:580 6.50586e-05 +262 *24171:CLK *5871:580 2.75715e-05 +263 *24172:D *24172:RESET_B 7.89747e-05 +264 *24172:D *5871:836 0.000137936 +265 *24172:CLK *24172:RESET_B 0.000113478 +266 *24172:CLK *24255:RESET_B 0 +267 *24172:CLK *5871:799 4.66492e-05 +268 *24172:CLK *5871:805 0.000313633 +269 *24174:CLK *24174:RESET_B 0.000113968 +270 *24196:CLK *24196:RESET_B 0.000170592 +271 *24255:CLK *24172:RESET_B 0.000528339 +272 *24255:CLK *5871:799 1.20637e-06 +273 *24255:CLK *5871:805 0.000161161 +274 *24260:CLK *24260:RESET_B 0.00035144 +275 *24261:D *24261:RESET_B 5.23916e-05 +276 *24261:D *5871:478 3.14978e-05 +277 *24262:D *24262:RESET_B 3.20069e-06 +278 *24263:D *24288:RESET_B 0.000122098 +279 *24266:D *24265:RESET_B 4.27148e-05 +280 *24285:D *24285:RESET_B 2.20702e-05 +281 *24287:D *24287:RESET_B 0.000120636 +282 *24287:CLK *24287:RESET_B 4.88955e-05 +283 *24287:CLK *24756:RESET_B 5.04879e-05 +284 *24287:CLK *5871:448 0.00011818 +285 *24288:CLK *24288:RESET_B 0.000163192 +286 *24289:D *5871:286 5.85045e-05 +287 *24291:D *24291:RESET_B 6.50586e-05 +288 *24291:CLK *24291:RESET_B 4.32777e-05 +289 *24291:CLK *24292:RESET_B 9.33116e-05 +290 *24293:D *24266:RESET_B 6.52025e-05 +291 *24334:D *24186:RESET_B 0 +292 *24334:D *5871:749 0 +293 *24396:CLK *5871:886 4.58003e-05 +294 *24667:D *24667:RESET_B 1.07248e-05 +295 *24667:CLK *24667:RESET_B 8.40117e-05 +296 *24668:D *5871:297 0 +297 *24670:CLK *5871:246 4.5966e-05 +298 *24697:D *24697:RESET_B 6.17366e-05 +299 *24697:CLK *24697:RESET_B 5.04054e-06 +300 *24736:D *5871:860 6.50586e-05 +301 *24744:D *24744:RESET_B 4.49829e-06 +302 *24744:CLK *5871:219 3.09489e-06 +303 *24744:CLK *5871:230 8.02311e-05 +304 *24747:D *24745:RESET_B 0.000210379 +305 *24750:D *24750:SET_B 0.000171671 +306 *24750:CLK *24750:SET_B 0.000317721 +307 *24867:D *5871:48 7.14746e-05 +308 *24870:D *24870:SET_B 6.50586e-05 +309 *24870:D *5871:10 0 +310 *24870:D *5871:12 0 +311 *24873:CLK *5871:48 6.92705e-05 +312 *24873:CLK *5871:60 0.000477015 +313 *25312:A *24907:RESET_B 7.64959e-06 +314 *324:11 *24196:RESET_B 0.000132662 +315 *324:11 *24356:RESET_B 5.29627e-05 +316 *324:11 *5871:588 2.0833e-05 +317 *324:11 *5871:590 0.000174567 +318 *324:11 *5871:592 4.07664e-05 +319 *324:11 *5871:598 0.000135533 +320 *399:11 *24292:RESET_B 7.87126e-05 +321 *408:11 *24289:RESET_B 5.0608e-05 +322 *408:11 *24291:RESET_B 9.96342e-05 +323 *408:11 *5871:247 0.000165859 +324 *408:11 *5871:271 8.5976e-05 +325 *408:11 *5871:280 0.000689435 +326 *439:106 *24264:RESET_B 0.000181333 +327 *476:82 *24697:RESET_B 7.50722e-05 +328 *497:35 *24285:RESET_B 1.9101e-05 +329 *497:35 *5871:423 0 +330 *497:39 *24286:RESET_B 0 +331 *497:39 *5871:399 0 +332 *497:50 *5871:297 8.62625e-06 +333 *497:50 *5871:306 0.000109859 +334 *497:50 *5871:317 0.000223189 +335 *500:73 *5871:836 0.000644265 +336 *500:73 *5871:886 0.000787425 +337 *510:71 *5871:419 1.9101e-05 +338 *510:72 *5871:398 0.000195301 +339 *518:62 *24284:RESET_B 0.000381586 +340 *518:62 *5871:481 0.000715177 +341 *520:63 *24666:RESET_B 4.58003e-05 +342 *521:18 *5871:286 0.000108038 +343 *521:18 *5871:505 0.000652403 +344 *533:8 *24751:RESET_B 0.00067656 +345 *534:8 *24755:RESET_B 3.38355e-06 +346 *539:53 *5871:587 0.000566144 +347 *539:53 *5871:749 0.000519481 +348 *547:38 *5871:334 0.000783662 +349 *547:49 *5871:297 0 +350 *547:49 *5871:334 8.51926e-05 +351 *548:21 *5871:246 0.000495721 +352 *550:13 *24669:RESET_B 4.08578e-05 +353 *550:25 *24669:RESET_B 0.000882528 +354 *550:29 *24262:RESET_B 0.00010623 +355 *1419:8 *24282:RESET_B 4.03281e-05 +356 *1431:6 *24196:RESET_B 0 +357 *1439:213 *24748:SET_B 2.02035e-05 +358 *1439:213 *24874:SET_B 0.000310136 +359 *1439:217 *24874:SET_B 2.29891e-05 +360 *1488:16 *24871:SET_B 0 +361 *1488:16 *5871:12 0 +362 *1488:21 *5871:48 0.000110567 +363 *1492:88 *5871:48 8.47466e-05 +364 *1494:40 *5871:10 0.000111722 +365 *1494:91 *24871:SET_B 1.17108e-05 +366 *1497:103 *24871:SET_B 0.000579598 +367 *1497:103 *5871:12 0.00017561 +368 *1502:80 *24871:SET_B 0.000101118 +369 *1502:90 *5871:530 0.000109128 +370 *1520:35 *24241:RESET_B 0.000207266 +371 *1520:42 *5871:787 7.85485e-05 +372 *1520:42 *5871:799 0.000568995 +373 *1556:16 *24186:RESET_B 0.000128879 +374 *1556:19 *5871:650 0.000825237 +375 *1573:59 *24285:RESET_B 0.000909817 +376 *1573:59 *24750:SET_B 0.00014642 +377 *1573:59 *24753:RESET_B 0.000402763 +378 *1573:59 *5871:354 0.000138402 +379 *1573:71 *5871:580 2.15807e-05 +380 *1573:71 *5871:584 8.47992e-05 +381 *1573:76 *24697:RESET_B 7.14746e-05 +382 *1636:10 *24241:RESET_B 0.000342059 +383 *1668:12 *24872:SET_B 0.00105544 +384 *1668:12 *5871:74 2.67922e-05 +385 *1671:38 *24241:RESET_B 0.000120204 +386 *1671:38 *5871:131 0.000346906 +387 *1671:38 *5871:146 0.00022723 +388 *1671:42 *5871:97 0.000555341 +389 *1671:59 *5871:74 0.000104695 +390 *1671:59 *5871:97 0.000194492 +391 *1695:11 *5871:677 0 +392 *1695:12 *24264:RESET_B 0 +393 *1744:24 *5871:423 0 +394 *1744:31 *24286:RESET_B 2.95757e-05 +395 *1744:31 *24752:RESET_B 0.000110147 +396 *1744:31 *24755:RESET_B 0.000153519 +397 *1744:31 *5871:399 0.000193657 +398 *1744:31 *5871:419 7.33231e-05 +399 *1744:31 *5871:423 0.000737999 +400 *1744:53 *24748:SET_B 7.84982e-05 +401 *1780:44 *5871:97 1.64699e-05 +402 *1780:44 *5871:119 0.000751663 +403 *1780:44 *5871:131 0.000174175 +404 *1780:61 *5871:237 6.82129e-05 +405 *1780:61 *5871:530 0.000135492 +406 *1934:43 *24749:SET_B 9.89515e-06 +407 *2062:42 *5871:839 0 +408 *2103:46 *5871:839 0 +409 *2132:12 *5871:580 3.93144e-05 +410 *2132:12 *5871:584 0.000593564 +411 *2163:9 *5871:650 0 +412 *2163:9 *5871:659 0 +413 *2163:73 *5871:560 0 +414 *2163:86 *5871:560 0 +415 *2163:86 *5871:787 0 +416 *2164:15 *24264:RESET_B 2.15348e-05 +417 *2164:41 *24288:RESET_B 0 +418 *2164:43 *24288:RESET_B 0 +419 *2164:43 *5871:505 0 +420 *2164:97 *24264:RESET_B 0.00024594 +421 *2164:97 *5871:668 1.32509e-05 +422 *2164:97 *5871:670 8.38918e-05 +423 *2164:99 *5871:670 7.08412e-05 +424 *2164:99 *5871:677 0.000146512 +425 *2240:19 *24282:RESET_B 8.86331e-05 +426 *2240:19 *24283:RESET_B 0.000246817 +427 *2240:19 *5871:805 6.50727e-05 +428 *2267:21 *5871:505 0.000303887 +429 *2268:11 *24869:SET_B 1.18293e-05 +430 *2270:51 *5871:63 3.60268e-05 +431 *2273:6 *24751:RESET_B 0.000127614 +432 *2276:11 *24870:SET_B 1.19856e-05 +433 *2276:11 *5871:48 1.71154e-05 +434 *2278:8 *5871:271 1.59966e-05 +435 *2278:8 *5871:280 0.000136628 +436 *2278:23 *24264:RESET_B 0.000124942 +437 *2278:24 *5871:560 0.000156141 +438 *2278:24 *5871:650 9.0014e-05 +439 *2278:24 *5871:659 3.42931e-05 +440 *2278:24 *5871:787 0.000198685 +441 *2278:24 *5871:799 0 +442 *2290:18 *24289:RESET_B 0 +443 *2290:18 *5871:280 0 +444 *2290:30 *24669:RESET_B 0.000276172 +445 *2295:13 *24665:RESET_B 0.00104817 +446 *2299:8 *24665:RESET_B 1.66771e-05 +447 *2333:24 *5871:505 2.20702e-05 +448 *2333:27 *24264:RESET_B 0.000825106 +449 *2333:28 *24186:RESET_B 0 +450 *2333:38 *5871:845 0.000279944 +451 *2333:38 *5871:860 4.61582e-05 +452 *2389:33 *24737:RESET_B 1.03403e-05 +453 *2389:33 *5871:860 0.000111041 +454 *2392:8 *5871:668 0 +455 *2398:63 *24281:RESET_B 3.67714e-05 +456 *2398:63 *5871:787 1.53125e-05 +457 *2398:71 *5871:799 0.000131114 +458 *2410:8 *24751:RESET_B 0.000331252 +459 *2420:29 *5871:230 0.000319954 +460 *2420:32 *5871:237 0.000111932 +461 *2426:68 *24665:RESET_B 7.86825e-06 +462 *2426:71 *24665:RESET_B 3.56986e-05 +463 *2428:30 *24667:RESET_B 1.5714e-05 +464 *2434:8 *5871:237 1.43055e-05 +465 *2437:24 *24752:RESET_B 0 +466 *2437:24 *5871:423 0 +467 *2439:10 *24265:RESET_B 0 +468 *2440:10 *5871:334 0.000396225 +469 *2446:27 *24241:RESET_B 0.000211492 +470 *2585:8 *24904:RESET_B 2.94426e-05 +471 *2585:31 *24286:RESET_B 5.19713e-05 +472 *2641:13 *5871:131 5.04829e-06 +473 *2641:19 *24241:RESET_B 0.000178122 +474 *2644:13 *24744:RESET_B 0.000513988 +475 *2644:13 *5871:63 0 +476 *2644:13 *5871:230 0.000288614 +477 *2667:30 *24260:RESET_B 4.71792e-05 +478 *2675:28 *24241:RESET_B 1.66626e-05 +479 *2689:18 *24395:RESET_B 0.000401371 +480 *2739:14 *24871:SET_B 0 +481 *2759:8 *24904:RESET_B 8.3647e-05 +482 *2759:8 *5871:598 0.000127126 +483 *2759:8 *5871:600 0.000119972 +484 *2759:8 *5871:602 3.60268e-05 +485 *2759:8 *5871:604 0.000257063 +486 *2815:8 *24241:RESET_B 0.000124632 +487 *2815:8 *5871:131 9.94056e-05 +488 *2815:8 *5871:146 5.07858e-05 +489 *2831:11 *24264:RESET_B 0.00016096 +490 *2839:14 *5871:48 0.000278937 +491 *2859:8 *24292:RESET_B 0 +492 *2899:11 *24292:RESET_B 0.000160617 +493 *2899:12 *24262:RESET_B 6.22769e-05 +494 *2899:12 *24288:RESET_B 2.67062e-05 +495 *2899:12 *5871:505 6.60341e-05 +496 *2902:12 *24744:RESET_B 1.16297e-05 +497 *2902:12 *5871:230 6.21526e-05 +498 *2903:8 *5871:63 0 +499 *2925:8 *24262:RESET_B 0 +500 *2944:11 *24874:SET_B 0.000355354 +501 *3177:50 *24282:RESET_B 7.77309e-06 +502 *3212:73 *24396:RESET_B 7.03358e-05 +503 *3212:73 *5871:836 0.000640054 +504 *3212:73 *5871:886 0.000859481 +505 *4829:45 *24286:RESET_B 1.29348e-05 +506 *4829:45 *24755:RESET_B 0.000160617 +507 *4843:525 *5871:297 0.000247231 +508 *4843:525 *5871:306 0.000122083 +509 *4843:525 *5871:317 0.000233329 +510 *4843:568 *24744:RESET_B 0.000163192 +511 *4843:568 *24867:SET_B 1.50841e-06 +512 *4843:568 *24873:SET_B 4.02373e-05 +513 *4843:568 *5871:48 8.74869e-05 +514 *4843:568 *5871:60 0.00044993 +515 *4844:382 *24749:SET_B 1.00981e-05 +516 *4844:389 *24871:SET_B 6.51637e-05 +517 *4845:396 *5871:297 0 +518 *4866:65 *24395:RESET_B 0.000396275 +519 *4875:11 *24286:RESET_B 0.000114296 +520 *4875:24 *24286:RESET_B 3.20069e-06 +521 *4875:24 *24756:RESET_B 0.000122098 +522 *4875:24 *5871:297 0 +523 *4875:28 *5871:286 3.42931e-05 +524 *4875:28 *5871:297 1.19651e-05 +525 *4875:30 *5871:286 2.71397e-05 +526 *4875:82 *24260:RESET_B 0.000755329 +527 *4875:82 *5871:560 0.000102546 +528 *4875:140 *5871:805 0.000138966 +529 *4877:26 *24262:RESET_B 2.22605e-05 +530 *4877:26 *5871:478 0.000128736 +531 *4877:142 *24257:RESET_B 0.00023418 +532 *4877:142 *5871:587 0.000570356 +533 *4877:142 *5871:749 0.000507516 +534 *4878:111 *5871:398 0.000207266 +535 *4915:13 *5871:246 0.000691543 +536 *4915:17 *5871:230 0.000138693 +537 *4915:57 *24745:RESET_B 0.000206626 +538 *4915:106 *24669:RESET_B 0.000319299 +539 *4915:139 *24750:SET_B 3.95584e-05 +540 *4915:139 *24753:RESET_B 6.72055e-05 +541 *4915:139 *5871:354 1.86543e-05 +542 *4917:26 *24872:SET_B 1.1573e-05 +543 *4939:12 *5871:584 5.30345e-05 +544 *4943:8 *24749:SET_B 4.93036e-05 +545 *4945:13 *24745:RESET_B 5.44027e-05 +546 *4945:13 *24747:SET_B 0.000112495 +547 *4947:10 *24750:SET_B 4.17341e-05 +548 *4950:39 *24753:RESET_B 4.52738e-05 +549 *5199:9 *24749:SET_B 0.000453443 +550 *5200:10 *24670:RESET_B 0.000100397 +551 *5425:8 *24304:SET_B 0.000156748 +552 *5425:8 *24667:RESET_B 8.41713e-05 +553 *5425:8 *5871:119 1.21643e-05 +554 *5427:10 *5871:131 4.72779e-05 +555 *5541:8 *5871:845 5.92342e-05 +556 *5541:8 *5871:860 0.000107115 +557 *5695:19 *5871:772 0.000264586 +558 *5745:33 *5871:119 0 +559 *5768:8 *5871:10 2.95757e-05 +560 *5768:11 *5871:10 6.08467e-05 +561 *5770:8 *24869:SET_B 0.000101875 +562 *5771:8 *24870:SET_B 4.44267e-05 +563 *5771:8 *5871:10 5.04879e-05 +564 *5771:8 *5871:48 0.000246082 +565 *5772:8 *24871:SET_B 3.12646e-05 +566 *5772:15 *24871:SET_B 1.24178e-05 +567 *5775:13 *24744:RESET_B 4.54217e-05 +568 *5775:13 *24873:SET_B 7.48797e-05 +569 *5775:13 *5871:60 5.0715e-05 +570 *5775:13 *5871:63 3.10924e-05 +571 *5785:39 *24665:RESET_B 0.000167644 +572 *5793:22 *5871:584 0 +573 *5793:34 *5871:584 0 +574 *5794:8 *5871:677 0 +575 *5794:35 *5871:670 0 +576 *5794:35 *5871:677 0 +577 *5851:337 *24667:RESET_B 1.37157e-05 +578 *5851:389 *24241:RESET_B 0.000178122 +579 *5855:343 *5871:836 0.000134323 +580 *5856:436 *24304:SET_B 1.65872e-05 +581 *5856:436 *5871:63 6.40459e-05 +582 *5856:436 *5871:74 0.000133621 +583 *5856:436 *5871:97 0.000472327 +584 *5857:215 *24186:RESET_B 0.000231873 +585 *5857:215 *5871:749 0.000213445 +586 *5857:226 *24174:RESET_B 7.10944e-06 +587 *5859:294 *5871:598 0.000163086 +588 *5859:294 *5871:600 0.000121726 +589 *5859:294 *5871:602 3.77804e-05 +590 *5859:294 *5871:604 0.000170498 +591 *5859:294 *5871:839 0.000240738 +592 *5859:294 *5871:845 0.00031264 +593 *5861:498 *24394:RESET_B 0.000156261 +594 *5861:498 *5871:772 0.000100939 +*RES +1 *25381:X *5871:10 18.8377 +2 *5871:10 *5871:12 6.39977 +3 *5871:12 *24869:SET_B 5.6936 +4 *5871:12 *24871:SET_B 23.8214 +5 *5871:10 *24870:SET_B 8.12874 +6 *24870:SET_B *5871:48 27.0666 +7 *5871:48 *24867:SET_B 0.494321 +8 *5871:48 *5871:60 12.563 +9 *5871:60 *5871:63 14.637 +10 *5871:63 *24874:SET_B 9.04534 +11 *5871:63 *5871:74 13.8743 +12 *5871:74 *24663:SET_B 4.5 +13 *5871:74 *5871:82 3.36879 +14 *5871:82 *5871:83 0.202865 +15 *5871:83 *24872:SET_B 9.67411 +16 *5871:83 *5871:97 21.5355 +17 *5871:97 *24304:SET_B 1.74821 +18 *24304:SET_B *5871:119 18.8628 +19 *5871:119 *24306:RESET_B 4.5 +20 *5871:119 *5871:131 21.7663 +21 *5871:131 *24665:RESET_B 14.2365 +22 *5871:131 *5871:146 4.53113 +23 *5871:146 *24664:SET_B 4.5 +24 *5871:146 *24241:RESET_B 29.5173 +25 *24304:SET_B *5871:165 0.168792 +26 *5871:97 *24667:RESET_B 17.3787 +27 *5871:82 *24748:SET_B 10.0566 +28 *5871:60 *24873:SET_B 2.73685 +29 *24873:SET_B *24744:RESET_B 9.42826 +30 *24744:RESET_B *5871:210 0.168792 +31 *24744:RESET_B *5871:219 0.168792 +32 *24744:RESET_B *5871:230 15.1219 +33 *5871:230 *24746:RESET_B 6.74725 +34 *5871:230 *5871:237 10.5523 +35 *5871:237 *5871:246 33.6196 +36 *5871:246 *5871:247 3.07775 +37 *5871:247 *24291:RESET_B 18.6418 +38 *24291:RESET_B *24292:RESET_B 20.6178 +39 *5871:247 *24290:RESET_B 4.5 +40 *5871:246 *5871:271 1.832 +41 *5871:271 *24670:RESET_B 5.6936 +42 *5871:271 *5871:280 12.6286 +43 *5871:280 *5871:282 4.5 +44 *5871:282 *5871:286 10.1043 +45 *5871:286 *24666:RESET_B 6.27232 +46 *5871:286 *5871:297 18.8573 +47 *5871:297 *24668:RESET_B 4.99432 +48 *5871:297 *5871:306 2.24725 +49 *5871:306 *24669:RESET_B 15.1219 +50 *5871:306 *5871:317 8.82351 +51 *5871:317 *5871:320 2.96592 +52 *5871:320 *24749:SET_B 18.4104 +53 *5871:320 *5871:334 27.6055 +54 *5871:334 *24753:RESET_B 19.5436 +55 *24753:RESET_B *5871:354 1.5553 +56 *5871:354 *24750:SET_B 9.09068 +57 *5871:354 *24751:RESET_B 22.4591 +58 *5871:334 *5871:398 11.5169 +59 *5871:398 *5871:399 3.90826 +60 *5871:399 *24286:RESET_B 8.67365 +61 *5871:399 *24755:RESET_B 6.80281 +62 *5871:398 *5871:419 1.62437 +63 *5871:419 *5871:423 15.6452 +64 *5871:423 *24754:RESET_B 4.5 +65 *5871:423 *24752:RESET_B 9.23876 +66 *5871:419 *24285:RESET_B 18.4902 +67 *5871:317 *5871:448 2.38721 +68 *5871:448 *24756:RESET_B 11.2472 +69 *5871:448 *24287:RESET_B 5.07583 +70 *24287:RESET_B *5871:469 10.0673 +71 *5871:469 *24262:RESET_B 13.7388 +72 *5871:469 *5871:478 7.93324 +73 *5871:478 *5871:481 17.9591 +74 *5871:481 *24260:RESET_B 12.9035 +75 *5871:481 *24284:RESET_B 11.315 +76 *5871:478 *24261:RESET_B 2.30281 +77 *5871:282 *5871:505 23.83 +78 *5871:505 *24288:RESET_B 11.7198 +79 *5871:505 *24263:RESET_B 4.5 +80 *5871:280 *24289:RESET_B 5.50149 +81 *5871:237 *5871:530 11.315 +82 *5871:530 *24745:RESET_B 7.12545 +83 *5871:530 *24747:SET_B 4.40066 +84 *24260:RESET_B *5871:560 21.9369 +85 *5871:560 *5871:562 4.5 +86 *5871:562 *24256:RESET_B 0.639 +87 *5871:562 *5871:580 23.4051 +88 *5871:580 *5871:584 20.8658 +89 *5871:584 *5871:587 10.7694 +90 *5871:587 *5871:588 1.41674 +91 *5871:588 *5871:590 10.137 +92 *5871:590 *5871:592 3.07775 +93 *5871:592 *5871:598 17.4162 +94 *5871:598 *5871:600 4.73876 +95 *5871:600 *5871:602 1.832 +96 *5871:602 *5871:604 10.5523 +97 *5871:604 *24904:RESET_B 7.993 +98 *5871:604 *24196:RESET_B 25.1965 +99 *5871:602 *24174:RESET_B 10.7091 +100 *5871:600 *24195:RESET_B 4.5 +101 *5871:598 *24907:RESET_B 5.139 +102 *5871:592 *24889:RESET_B 4.5 +103 *5871:590 *5871:650 23.1993 +104 *5871:650 *5871:659 15.0127 +105 *5871:659 *24259:RESET_B 2.30281 +106 *5871:659 *5871:668 12.5608 +107 *5871:668 *5871:670 10.137 +108 *5871:670 *5871:677 20.9222 +109 *5871:677 *24266:RESET_B 4.52122 +110 *24266:RESET_B *24265:RESET_B 14.8227 +111 *5871:677 *24293:RESET_B 2.30281 +112 *5871:670 *24267:RESET_B 4.5 +113 *5871:668 *24264:RESET_B 27.7837 +114 *5871:650 *24258:RESET_B 6.80281 +115 *5871:588 *24257:RESET_B 10.685 +116 *5871:587 *24356:RESET_B 7.993 +117 *5871:584 *5871:749 18.2756 +118 *5871:749 *24186:RESET_B 16.1093 +119 *5871:749 *24334:RESET_B 4.5 +120 *5871:580 *24171:RESET_B 4.5 +121 *24171:RESET_B *5871:772 24.6003 +122 *5871:772 *24394:RESET_B 10.8998 +123 *5871:772 *24397:RESET_B 4.5 +124 *5871:560 *5871:787 14.6693 +125 *5871:787 *24281:RESET_B 3.41202 +126 *5871:787 *5871:799 20.2757 +127 *5871:799 *5871:805 16.8551 +128 *5871:805 *24283:RESET_B 2.85741 +129 *5871:805 *24282:RESET_B 11.2472 +130 *5871:799 *24255:RESET_B 0.494321 +131 *24255:RESET_B *24172:RESET_B 9.06656 +132 *24172:RESET_B *5871:836 19.0411 +133 *5871:836 *5871:839 15.4675 +134 *5871:839 *24173:RESET_B 4.5 +135 *5871:839 *5871:845 13.4591 +136 *5871:845 *24736:RESET_B 4.5 +137 *5871:845 *5871:860 16.1608 +138 *5871:860 *24697:RESET_B 14.9621 +139 *5871:860 *24737:RESET_B 1.21772 +140 *5871:836 *5871:886 12.9729 +141 *5871:886 *24395:RESET_B 16.2303 +142 *5871:886 *24396:RESET_B 4.54534 +*END + +*D_NET *5872 0.00117582 +*CONN +*I *24174:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *23932:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *24174:D 0.000306458 +2 *23932:HI 0.000306458 +3 *24174:RESET_B *24174:D 6.47133e-05 +4 *25312:A *24174:D 2.16355e-05 +5 *1556:16 *24174:D 0 +6 *4939:12 *24174:D 0.000195139 +7 *5793:6 *24174:D 0.00016554 +8 *5857:226 *24174:D 0.00011588 +*RES +1 *23932:HI *24174:D 37.9785 +*END + +*D_NET *5873 0.000584341 +*CONN +*I *24175:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *21156:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *24175:CLK 0.000141794 +2 *21156:Y 0.000141794 +3 *24175:CLK *24061:A1 5.88253e-05 +4 *24175:CLK *5898:51 2.35642e-05 +5 *24175:CLK *5898:63 6.02259e-05 +6 *21127:A *24175:CLK 3.02866e-05 +7 *24201:RESET_B *24175:CLK 6.27782e-05 +8 *1756:25 *24175:CLK 6.50727e-05 +*RES +1 *21156:Y *24175:CLK 31.1923 +*END + +*D_NET *5874 0.135436 +*CONN +*I *21622:A I *D sky130_fd_sc_hd__inv_2 +*I *23943:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6034:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25137:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *21622:A 0.000503663 +2 *23943:A1 0 +3 *6034:DIODE 0.000252208 +4 *25137:X 0.000996159 +5 *5874:133 0.00604485 +6 *5874:97 0.0010882 +7 *5874:89 0.00222628 +8 *5874:79 0.0102481 +9 *5874:75 0.0117747 +10 *5874:64 0.00376771 +11 *5874:54 0.0015442 +12 *5874:50 0.00112784 +13 *5874:46 0.00255224 +14 *5874:36 0.0104703 +15 *5874:17 0.00380751 +16 *5874:17 *5902:32 3.46002e-05 +17 *5874:36 *5908:87 0.00146352 +18 *5874:46 *5908:55 0.000224061 +19 *5874:64 *5908:6 2.02035e-05 +20 *5874:64 *5908:23 0.000463523 +21 *5874:75 *20868:A 8.68133e-05 +22 *5874:75 *5886:8 0.000791162 +23 *5874:75 *6024:8 1.33885e-05 +24 *5874:97 *5996:6 0.000277478 +25 mgmt_gpio_oeb[1] *5874:75 9.47861e-05 +26 mgmt_gpio_oeb[2] *5874:64 6.56617e-05 +27 mgmt_gpio_oeb[4] *5874:54 3.6549e-05 +28 mgmt_gpio_oeb[9] *5874:36 3.17436e-05 +29 mgmt_gpio_out[1] *5874:75 0.000113077 +30 *19564:S *5874:36 2.95481e-05 +31 *19564:S *5874:46 5.41967e-05 +32 *19648:B1 *5874:79 0.000379482 +33 *20311:A1 *5874:46 1.85244e-05 +34 *20311:A1 *5874:50 0.00017136 +35 *20383:A1 *5874:89 2.02035e-05 +36 *20383:A2 *5874:89 0.000186014 +37 *21194:B1 *5874:36 0.000107949 +38 *21343:A *5874:89 0.000109018 +39 *21623:B1 *21622:A 6.50727e-05 +40 *21624:A1 *21622:A 0.000149989 +41 *21844:B1 *5874:79 0.00351425 +42 *22310:B2 *5874:133 0.000213234 +43 *22338:A *5874:133 0.000168268 +44 *22338:C *5874:133 6.54785e-05 +45 *22644:B1 *5874:133 0 +46 *22645:B1 *5874:133 4.48365e-05 +47 *22820:A *5874:50 1.9101e-05 +48 *22820:A *5874:54 4.82917e-05 +49 *22821:A *5874:54 0.000301172 +50 *23860:A *5874:64 0.000115309 +51 *23863:A *5874:46 0.000152123 +52 *23943:A0 *6034:DIODE 6.50727e-05 +53 *23943:A0 *5874:97 0.000258128 +54 *24653:D *5874:89 7.50872e-05 +55 *24653:CLK *5874:97 5.97738e-05 +56 *24893:CLK *5874:89 0.000121854 +57 *25136:A *5874:17 0.000303929 +58 *25170:A *5874:50 0.000113199 +59 *25233:A *5874:64 8.22747e-05 +60 *25242:A *5874:64 0.000320257 +61 *25245:A *5874:46 0.000106568 +62 *25250:A *5874:75 0.00014506 +63 *74:12 *5874:64 0.000379487 +64 *74:18 *5874:75 0.00156328 +65 *74:18 *5874:79 0.00244497 +66 *490:84 *5874:17 0.00143982 +67 *493:7 *6034:DIODE 5.20546e-06 +68 *508:28 *5874:36 0.00263486 +69 *510:71 *5874:75 0 +70 *520:27 *5874:36 0.000152087 +71 *520:27 *5874:46 0 +72 *520:27 *5874:133 1.63723e-05 +73 *526:16 *5874:79 0.000645654 +74 *527:44 *5874:36 0.000229165 +75 *527:69 *5874:75 1.5714e-05 +76 *529:62 *5874:64 0.000139342 +77 *529:62 *5874:75 0.000732645 +78 *535:19 *5874:133 0.00338385 +79 *535:25 *5874:46 0.000112789 +80 *545:37 *5874:79 0.000732286 +81 *1419:70 *5874:79 0.000180694 +82 *1467:93 *5874:89 0 +83 *1592:16 *21622:A 0.000163178 +84 *1610:33 *21622:A 0.000203595 +85 *1610:33 *5874:133 0.00117369 +86 *1641:109 *21622:A 0.00119333 +87 *1655:29 *21622:A 0.000164843 +88 *1688:91 *5874:36 0.000129091 +89 *1726:6 *5874:97 0.00118616 +90 *1731:39 *5874:79 6.25468e-06 +91 *1740:65 *5874:79 0.000290005 +92 *1764:128 *5874:79 0.00145183 +93 *1884:307 *5874:79 0.00420612 +94 *1917:29 *5874:36 8.12259e-06 +95 *1939:33 *5874:89 5.54585e-05 +96 *2067:8 *5874:75 0.000120343 +97 *2129:9 *5874:75 2.99929e-05 +98 *2244:19 *5874:133 0.000719621 +99 *2244:36 *5874:133 0.00118692 +100 *2257:73 *5874:36 0.00108351 +101 *2266:38 *5874:17 2.53656e-05 +102 *2267:59 *5874:36 0.000368692 +103 *2267:59 *5874:46 0.0027871 +104 *2274:95 *5874:46 0.000154689 +105 *2291:32 *5874:50 1.3813e-05 +106 *2291:32 *5874:54 0.00202903 +107 *2291:32 *5874:64 6.34651e-06 +108 *2291:61 *5874:36 0.000534481 +109 *2295:39 *5874:46 0.000164927 +110 *2295:39 *5874:50 0.000260464 +111 *2295:39 *5874:54 2.12472e-05 +112 *2301:18 *5874:50 2.81361e-06 +113 *2380:84 *5874:36 3.17436e-05 +114 *2384:73 *5874:36 8.42665e-05 +115 *2389:49 *5874:54 0.00118914 +116 *2391:27 *5874:36 1.13844e-05 +117 *2391:34 *5874:46 2.29013e-05 +118 *2391:49 *5874:46 0.000115582 +119 *2391:49 *5874:50 0.000107499 +120 *2404:73 *5874:36 0.00108217 +121 *2422:14 *5874:64 9.94284e-06 +122 *2426:38 *5874:79 0.000384891 +123 *2433:128 *5874:64 3.90689e-06 +124 *2433:141 *5874:64 0 +125 *2446:68 *5874:46 5.74709e-05 +126 *2446:68 *5874:50 0.00122231 +127 *2446:89 *5874:46 0.000472957 +128 *2448:161 *5874:64 1.27132e-05 +129 *2448:161 *5874:75 0 +130 *2503:19 *5874:17 9.5562e-05 +131 *2520:87 *5874:36 0.00055024 +132 *2563:8 *5874:89 0.000350919 +133 *2584:62 *5874:36 3.80957e-05 +134 *2629:37 *5874:133 9.1813e-05 +135 *2635:67 *5874:36 0.000124744 +136 *2635:67 *5874:46 0.00136407 +137 *2635:67 *5874:133 3.92592e-05 +138 *2676:45 *5874:133 5.41506e-05 +139 *2687:68 *5874:17 7.51156e-05 +140 *2687:68 *5874:36 4.63624e-05 +141 *2692:53 *5874:17 0.000364735 +142 *2729:62 *5874:17 0.00158688 +143 *2729:111 *5874:46 0.000918739 +144 *2784:23 *5874:89 4.34636e-05 +145 *2789:8 *5874:97 0.000351895 +146 *2791:46 *5874:17 0.000374271 +147 *2825:22 *5874:133 0.000211737 +148 *2896:58 *5874:133 1.22908e-05 +149 *2896:72 *5874:133 0.00289629 +150 *2900:84 *5874:36 0.000137362 +151 *2963:102 *5874:79 0 +152 *3014:48 *5874:79 0.000194934 +153 *3128:46 *5874:133 5.44901e-05 +154 *3179:167 *5874:133 0.00183579 +155 *3204:299 *5874:133 0.000162739 +156 *3206:146 *5874:133 0.00018162 +157 *3485:163 *21622:A 0.00145729 +158 *4814:77 *5874:133 4.72882e-05 +159 *4817:85 *5874:36 2.6825e-05 +160 *4821:76 *5874:133 0.00012421 +161 *4821:125 *5874:36 0.000137362 +162 *4825:146 *21622:A 0.000398786 +163 *4828:100 *5874:133 8.7628e-06 +164 *4828:107 *5874:54 6.39389e-05 +165 *4828:107 *5874:64 0.000432398 +166 *4828:115 *5874:64 0.00063216 +167 *4829:125 *5874:36 0.000175778 +168 *4830:55 *5874:133 0.000641704 +169 *4830:111 *5874:133 0.00404199 +170 *4832:109 *5874:75 8.89569e-05 +171 *4832:109 *5874:79 0.00133001 +172 *4845:474 *5874:79 0.00330066 +173 *4894:22 *5874:97 0.00056596 +174 *4894:24 *5874:97 0.000416889 +175 *5488:25 *6034:DIODE 7.42988e-06 +176 *5525:11 *5874:50 0.000147005 +177 *5527:23 *5874:46 0.00182719 +178 *5592:102 *5874:17 6.21488e-06 +179 *5683:33 *5874:64 0 +180 *5812:47 *5874:89 2.02035e-05 +181 *5854:173 *5874:89 0.00031058 +182 *5855:261 *5874:133 5.42322e-06 +*RES +1 *25137:X *5874:17 48.8761 +2 *5874:17 *5874:36 36.7361 +3 *5874:36 *5874:46 43.5802 +4 *5874:46 *5874:50 22.4969 +5 *5874:50 *5874:54 36.6155 +6 *5874:54 *5874:64 47.0645 +7 *5874:64 *5874:75 39.958 +8 *5874:75 *5874:79 33.2116 +9 *5874:79 *5874:89 36.1868 +10 *5874:89 *5874:97 36.1309 +11 *5874:97 *6034:DIODE 12.7456 +12 *5874:97 *23943:A1 9.24915 +13 *5874:36 *5874:133 44.5076 +14 *5874:133 *21622:A 34.3751 +*END + +*D_NET *5875 0.000520881 +*CONN +*I *22139:A I *D sky130_fd_sc_hd__nor2_2 +*I *25205:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22139:A 0.00012394 +2 *25205:Y 0.00012394 +3 *22139:B *22139:A 1.09551e-05 +4 *495:7 *22139:A 0.000110297 +5 *1521:21 *22139:A 7.62036e-05 +6 *1521:25 *22139:A 2.57847e-05 +7 *1550:53 *22139:A 4.97617e-05 +*RES +1 *25205:Y *22139:A 22.5975 +*END + +*D_NET *5876 0.000361201 +*CONN +*I *21476:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *25206:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *21476:A1 0.000151042 +2 *25206:Y 0.000151042 +3 *21476:B1 *21476:A1 1.47978e-05 +4 *1641:83 *21476:A1 5.99529e-06 +5 *4870:134 *21476:A1 3.8323e-05 +*RES +1 *25206:Y *21476:A1 22.0429 +*END + +*D_NET *5877 0.00107068 +*CONN +*I *21572:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25138:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21572:A 0.000477349 +2 *25138:X 0.000477349 +3 *20696:A1 *21572:A 2.12472e-05 +4 *25138:A *21572:A 0 +5 *1741:40 *21572:A 2.75033e-05 +6 *2367:49 *21572:A 3.20069e-06 +7 *5040:13 *21572:A 0 +8 *5493:51 *21572:A 6.40334e-05 +*RES +1 *25138:X *21572:A 36.5693 +*END + +*D_NET *5878 0.00266607 +*CONN +*I *19986:A I *D sky130_fd_sc_hd__inv_2 +*I *25139:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19986:A 1.35775e-05 +2 *25139:X 0.000483816 +3 *5878:14 6.43071e-05 +4 *5878:13 0.000534546 +5 *21887:A1 *19986:A 0.000172794 +6 *21887:A1 *5878:13 0.00037233 +7 *21930:A *5878:13 0.000135252 +8 *24744:D *5878:13 0.00012601 +9 *1492:88 *5878:13 0.000321905 +10 *2270:51 *19986:A 0.000172794 +11 *2270:75 *5878:13 0.000127995 +12 *2420:29 *5878:13 7.14746e-05 +13 *2644:13 *5878:13 0 +14 *4843:555 *5878:13 0 +15 *5830:13 *5878:13 6.92705e-05 +*RES +1 *25139:X *5878:13 42.4125 +2 *5878:13 *5878:14 57.9449 +3 *5878:14 *19986:A 20.3309 +*END + +*D_NET *5879 0.00109319 +*CONN +*I *21434:A I *D sky130_fd_sc_hd__inv_2 +*I *25140:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21434:A 0.000187392 +2 *25140:X 0.000187392 +3 *2467:49 *21434:A 0.000307168 +4 *2545:35 *21434:A 0.000107496 +5 *4828:50 *21434:A 0.000303742 +*RES +1 *25140:X *21434:A 34.3456 +*END + +*D_NET *5880 0.016118 +*CONN +*I *21308:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *25141:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21308:A1_N 0 +2 *25141:X 0.0016168 +3 *5880:31 0.0010817 +4 *5880:17 0.00275663 +5 *5880:16 0.00329173 +6 *5880:16 *5993:20 0.000139435 +7 *20273:A *5880:17 6.50727e-05 +8 *20273:B *5880:17 0.000198779 +9 *21088:A1 *5880:17 8.41339e-05 +10 *21088:A1 *5880:31 3.44677e-06 +11 *21308:B1 *5880:31 6.08467e-05 +12 *21308:B2 *5880:31 0.000118008 +13 *480:196 *5880:31 0.000258142 +14 *1439:424 *5880:31 0.000734181 +15 *2053:10 *5880:31 0.000135192 +16 *2208:117 *5880:31 0.000253916 +17 *2208:129 *5880:31 0.000351774 +18 *2250:16 *5880:31 0.000136812 +19 *2263:33 *5880:17 0.000102153 +20 *2363:20 *5880:17 0.000107496 +21 *2369:27 *5880:31 0.000113968 +22 *2770:13 *5880:31 0.000785986 +23 *4834:39 *5880:17 0.00291188 +24 *4839:148 *5880:16 0.000143047 +25 *4844:151 *5880:31 0.000111708 +26 *5356:9 *5880:17 0.000555179 +*RES +1 *25141:X *5880:16 47.3638 +2 *5880:16 *5880:17 50.6377 +3 *5880:17 *5880:31 46.066 +4 *5880:31 *21308:A1_N 9.24915 +*END + +*D_NET *5881 0.0108873 +*CONN +*I *21253:A1 I *D sky130_fd_sc_hd__a22oi_1 +*I *25142:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21253:A1 0 +2 *25142:X 0.00361278 +3 *5881:16 0.00361278 +4 *21253:B2 *5881:16 0.000259537 +5 *477:43 *5881:16 0.000214716 +6 *480:207 *5881:16 2.02035e-05 +7 *1658:155 *5881:16 8.80995e-05 +8 *1771:182 *5881:16 0.000742353 +9 *1904:49 *5881:16 0.000104494 +10 *2433:19 *5881:16 0 +11 *2460:20 *5881:16 0.00108997 +12 *2570:36 *5881:16 0.000108091 +13 *2797:11 *5881:16 0.000860568 +14 *4872:60 *5881:16 1.67733e-05 +15 *5028:11 *5881:16 0.000156938 +*RES +1 *25142:X *5881:16 47.6269 +2 *5881:16 *21253:A1 9.24915 +*END + +*D_NET *5882 0.00101106 +*CONN +*I *19871:A I *D sky130_fd_sc_hd__inv_2 +*I *25143:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19871:A 0.000178368 +2 *25143:X 0.000178368 +3 *2467:28 *19871:A 0.000328966 +4 *3034:6 *19871:A 0.000325354 +*RES +1 *25143:X *19871:A 34.3456 +*END + +*D_NET *5883 0.000893719 +*CONN +*I *22020:A I *D sky130_fd_sc_hd__inv_2 +*I *25144:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22020:A 0.000192099 +2 *25144:X 0.000192099 +3 *25144:A *22020:A 6.09999e-05 +4 *2372:32 *22020:A 0.000117492 +5 *2467:28 *22020:A 0.000331029 +*RES +1 *25144:X *22020:A 34.2062 +*END + +*D_NET *5884 0.0331644 +*CONN +*I *21805:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *25145:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21805:A1_N 0 +2 *25145:X 0.00012978 +3 *5884:45 0.00165858 +4 *5884:32 0.00241215 +5 *5884:29 0.00151826 +6 *5884:23 0.00274406 +7 *5884:14 0.00239809 +8 *5884:13 0.000418715 +9 *5884:11 0.00126118 +10 *5884:7 0.00139096 +11 *5884:11 *5907:17 0 +12 *5884:32 *5903:6 9.70967e-05 +13 mgmt_gpio_out[17] *5884:11 0.000312913 +14 *19567:S *5884:23 7.39264e-05 +15 *20273:A *5884:32 0 +16 *20319:A2 *5884:32 0.000565614 +17 *20334:B1 *5884:32 0.000128894 +18 *20954:B *5884:45 2.57365e-05 +19 *21805:A2_N *5884:45 6.23875e-05 +20 *21805:B1 *5884:45 6.08467e-05 +21 *23883:A *5884:11 6.80719e-05 +22 *24692:CLK *5884:32 0.000174175 +23 *25219:A *5884:11 0 +24 *478:46 *5884:32 0.000158839 +25 *1418:120 *5884:45 0 +26 *1439:15 *5884:32 0.000249238 +27 *1439:404 *5884:32 7.72413e-05 +28 *1439:413 *5884:32 1.56279e-05 +29 *1631:133 *5884:32 0 +30 *1658:132 *5884:32 9.58043e-06 +31 *1709:13 *5884:32 0.000239283 +32 *1906:6 *5884:32 0.00032387 +33 *1919:69 *5884:29 5.04829e-06 +34 *2263:30 *5884:32 0 +35 *2294:39 *5884:45 0.000461231 +36 *2297:39 *5884:29 0.000879772 +37 *2321:26 *5884:45 0.00024029 +38 *2357:34 *5884:14 0.00331138 +39 *2367:19 *5884:11 6.08331e-05 +40 *2367:20 *5884:14 0.00331497 +41 *2372:30 *5884:11 0 +42 *2372:32 *5884:11 0 +43 *2417:28 *5884:11 0.000258002 +44 *2417:43 *5884:14 0.000121621 +45 *2460:20 *5884:23 0.000117298 +46 *2465:7 *5884:45 0.000258829 +47 *2465:10 *5884:45 0.000428399 +48 *2520:33 *5884:45 5.71344e-05 +49 *2813:26 *5884:29 0.00142922 +50 *2820:9 *5884:45 1.41976e-05 +51 *4805:35 *5884:45 8.13542e-05 +52 *4812:7 *5884:29 0.000193653 +53 *4821:155 *5884:11 0.00218821 +54 *4827:106 *5884:29 0.00143787 +55 *4829:170 *5884:23 0 +56 *5556:10 *5884:23 0.00137211 +57 *5857:88 *5884:45 0.000357884 +*RES +1 *25145:X *5884:7 15.5817 +2 *5884:7 *5884:11 49.8376 +3 *5884:11 *5884:13 4.5 +4 *5884:13 *5884:14 52.908 +5 *5884:14 *5884:23 43.0237 +6 *5884:23 *5884:29 45.0683 +7 *5884:29 *5884:32 32.0776 +8 *5884:32 *5884:45 42.4513 +9 *5884:45 *21805:A1_N 9.24915 +*END + +*D_NET *5885 0.00165094 +*CONN +*I *21638:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25146:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21638:A 0.000356213 +2 *25146:X 0.000356213 +3 *21638:A *5906:10 0.000251456 +4 *21638:A *5906:14 2.1152e-05 +5 mgmt_gpio_out[36] *21638:A 1.32509e-05 +6 *24113:TE_B *21638:A 0.000101148 +7 *2692:10 *21638:A 0.000551511 +*RES +1 *25146:X *21638:A 40.4675 +*END + +*D_NET *5886 0.0596583 +*CONN +*I *21998:A1 I *D sky130_fd_sc_hd__a22oi_1 +*I *25147:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21998:A1 0.00497344 +2 *25147:X 0.000671004 +3 *5886:48 0.00545092 +4 *5886:47 0.000692571 +5 *5886:36 0.00120169 +6 *5886:20 0.00138627 +7 *5886:19 0.000534728 +8 *5886:14 0.00106877 +9 *5886:13 0.0013635 +10 *5886:8 0.00110079 +11 *21998:A1 *5902:32 0.000353191 +12 *21998:A1 *5903:18 0 +13 *21998:A1 *5913:18 0.000100364 +14 *5886:8 *20868:A 8.62262e-06 +15 *5886:8 *6022:39 0 +16 *5886:8 *6025:8 5.89338e-05 +17 mgmt_gpio_oeb[10] *21998:A1 0 +18 mgmt_gpio_oeb[1] *5886:8 0.000154914 +19 *20997:B1 *21998:A1 0.000414593 +20 *21412:C1 *21998:A1 0 +21 *21998:A2 *21998:A1 0.000179156 +22 *21998:B2 *21998:A1 0.000190232 +23 *24438:D *21998:A1 2.15762e-05 +24 *25147:A *5886:8 0 +25 *476:100 *5886:14 0.000139306 +26 *476:100 *5886:20 0.000108474 +27 *527:44 *5886:36 6.40675e-05 +28 *527:44 *5886:47 0.000127932 +29 *527:46 *5886:20 0.00318833 +30 *527:46 *5886:36 0.000150351 +31 *527:51 *5886:19 0.000215189 +32 *527:52 *5886:14 0.0043427 +33 *529:62 *5886:8 0.000127179 +34 *1554:113 *21998:A1 7.08433e-05 +35 *1555:38 *21998:A1 3.97964e-05 +36 *1555:58 *21998:A1 9.00714e-07 +37 *1610:74 *21998:A1 6.29412e-05 +38 *1679:61 *21998:A1 0.00239809 +39 *1688:76 *5886:48 0.000174534 +40 *1688:91 *5886:47 3.17436e-05 +41 *2067:8 *5886:8 4.31485e-06 +42 *2320:56 *21998:A1 3.49777e-05 +43 *2391:34 *5886:36 0.000178025 +44 *2391:77 *21998:A1 0.00110899 +45 *2421:91 *21998:A1 0.000652439 +46 *2421:103 *5886:48 0.00160428 +47 *2446:101 *5886:47 8.81948e-07 +48 *2446:113 *5886:48 0.00126928 +49 *2534:23 *21998:A1 8.20742e-05 +50 *2629:37 *5886:47 1.28964e-05 +51 *2629:37 *5886:48 0.00048514 +52 *2635:47 *21998:A1 0 +53 *2687:68 *21998:A1 1.03851e-05 +54 *2882:36 *5886:14 0.00023385 +55 *2882:38 *5886:20 0.00318474 +56 *2882:46 *5886:36 2.97171e-05 +57 *2906:52 *21998:A1 0.000186681 +58 *2924:15 *21998:A1 0.00154823 +59 *2924:46 *21998:A1 0.000604731 +60 *2989:91 *5886:20 0.000265385 +61 *3192:91 *21998:A1 8.35842e-06 +62 *3212:38 *5886:48 0.00327539 +63 *3212:49 *5886:36 0.00173082 +64 *3212:66 *5886:14 0.00646654 +65 *3212:73 *5886:13 0 +66 *3359:14 *21998:A1 1.21863e-05 +67 *4813:110 *21998:A1 0.000489381 +68 *4815:68 *21998:A1 3.80436e-07 +69 *4815:91 *21998:A1 1.31711e-05 +70 *4821:143 *21998:A1 0.00039863 +71 *4823:85 *21998:A1 3.87699e-06 +72 *4828:116 *5886:8 0.00188464 +73 *4873:26 *21998:A1 4.75011e-05 +74 *4904:110 *21998:A1 0.000843807 +75 *5725:14 *5886:14 0.0010278 +76 *5874:75 *5886:8 0.000791162 +*RES +1 *25147:X *5886:8 47.0332 +2 *5886:8 *5886:13 16.9332 +3 *5886:13 *5886:14 103.154 +4 *5886:14 *5886:19 13.678 +5 *5886:19 *5886:20 50.8318 +6 *5886:20 *5886:36 48.396 +7 *5886:36 *5886:47 9.1929 +8 *5886:47 *5886:48 52.4928 +9 *5886:48 *21998:A1 45.6209 +*END + +*D_NET *5887 0.0149503 +*CONN +*I *21567:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25148:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21567:A 0.00178457 +2 *25148:X 0.000876827 +3 *5887:13 0.00288078 +4 *5887:10 0.00195845 +5 *5887:7 0.00173906 +6 *5887:10 *23951:A1 0.000231378 +7 *20145:A1 *21567:A 3.9252e-05 +8 *20145:B2 *21567:A 6.50727e-05 +9 *21710:A *5887:10 0 +10 *24534:D *21567:A 0.000269548 +11 *24534:CLK *21567:A 2.65831e-05 +12 *24539:CLK *21567:A 0.000307898 +13 *24539:CLK *5887:13 0.000111708 +14 *24595:CLK *5887:10 0.000390843 +15 *25034:A *5887:7 2.41483e-05 +16 *25034:A *5887:10 5.41227e-05 +17 *25035:A *5887:7 0.000589703 +18 *25038:A *5887:10 0 +19 *25039:A *5887:7 7.28922e-05 +20 *25075:A *5887:10 0 +21 *25118:A *5887:7 3.60121e-05 +22 *25148:A *5887:7 0.000114594 +23 *1741:6 *5887:10 0.00140433 +24 *2737:6 *5887:10 8.92568e-06 +25 *2809:6 *5887:10 0 +26 *4839:257 *5887:13 0.000164829 +27 *4839:267 *5887:13 0.00103542 +28 *4841:159 *21567:A 5.23916e-05 +29 *4842:9 *21567:A 0.000428134 +30 *4842:124 *5887:13 9.2985e-05 +31 *4842:128 *5887:13 6.73667e-05 +32 *4887:26 *5887:10 7.26792e-05 +33 *5594:8 *5887:10 0 +34 *5868:531 *21567:A 4.9762e-05 +*RES +1 *25148:X *5887:7 28.3376 +2 *5887:7 *5887:10 34.9844 +3 *5887:10 *5887:13 27.9231 +4 *5887:13 *21567:A 42.7665 +*END + +*D_NET *5888 0.0424117 +*CONN +*I *21416:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *25149:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21416:A1_N 0.000109297 +2 *25149:X 0.000592789 +3 *5888:14 0.00389056 +4 *5888:13 0.00419493 +5 *5888:8 0.0039776 +6 *5888:7 0.00415672 +7 *5888:7 *5891:7 0.000695903 +8 *5888:7 *5892:10 0.00116098 +9 mgmt_gpio_oeb[21] *5888:7 4.03749e-05 +10 mgmt_gpio_oeb[22] *5888:7 2.81717e-05 +11 mgmt_gpio_out[23] *5888:8 0 +12 mgmt_gpio_out[24] *5888:8 0 +13 *20428:A1 *5888:8 1.94327e-05 +14 *20628:B2 *5888:14 0.000408069 +15 *21187:A2 *5888:14 0.000132548 +16 *21322:A *5888:14 0.000462537 +17 *21416:A2_N *21416:A1_N 6.46371e-05 +18 *21608:A *5888:13 0.000167076 +19 *22297:A2 *5888:14 0.000146659 +20 *22391:A1 *5888:14 0.000331458 +21 *22404:A *5888:14 1.5714e-05 +22 *22683:B1 *5888:14 3.29488e-05 +23 *22683:C1 *5888:14 3.58315e-06 +24 *22721:A2 *5888:14 1.37385e-05 +25 *23897:A *5888:8 0 +26 *24117:A *5888:8 0 +27 *24389:CLK *5888:8 0.000892397 +28 *24513:D *5888:8 0.000122236 +29 *24513:RESET_B *5888:8 6.05584e-05 +30 *24953:A *5888:13 0.00120261 +31 *25151:A *5888:7 3.25584e-05 +32 *25224:A *5888:7 0.000115615 +33 *25225:A *5888:7 2.65667e-05 +34 *25226:A *5888:7 0.000164123 +35 *25227:A *5888:8 0 +36 *1608:117 *5888:14 5.74262e-05 +37 *1884:117 *5888:8 0.000334412 +38 *2007:52 *5888:8 7.77309e-06 +39 *2030:16 *5888:14 0 +40 *2030:25 *5888:13 0.000670084 +41 *2135:70 *5888:8 0.000118485 +42 *2249:27 *5888:14 0.00020808 +43 *2250:16 *5888:14 0.000374006 +44 *2250:55 *5888:14 0.000171472 +45 *2251:12 *5888:14 0.00248982 +46 *2254:11 *5888:14 2.55688e-05 +47 *2254:17 *5888:14 0.00145412 +48 *2306:38 *5888:14 0.00144879 +49 *2306:48 *5888:14 0.000275176 +50 *2339:8 *5888:8 0 +51 *2369:24 *5888:8 0.0017854 +52 *2375:10 *5888:8 0.00227837 +53 *2375:12 *5888:8 0.000965271 +54 *3022:12 *5888:14 0.00134816 +55 *3316:14 *21416:A1_N 1.35667e-05 +56 *3347:9 *5888:14 0.000160201 +57 *3517:310 *5888:14 2.87975e-05 +58 *3663:10 *5888:14 0.00168402 +59 *4824:70 *5888:8 0.000493565 +60 *4824:84 *5888:8 0.000964234 +61 *4825:28 *5888:8 0.000110819 +62 *4841:302 *5888:8 0 +63 *4843:202 *5888:13 0.000883969 +64 *4844:108 *5888:8 0.000101136 +65 *4844:116 *5888:8 0.000216496 +66 *4844:752 *5888:8 0.000118485 +67 *4881:185 *5888:13 0.000244658 +68 *5120:10 *5888:8 0 +69 *5147:9 *5888:8 0.000116986 +*RES +1 *25149:X *5888:7 37.7659 +2 *5888:7 *5888:8 131.806 +3 *5888:8 *5888:13 30.7983 +4 *5888:13 *5888:14 129.314 +5 *5888:14 *21416:A1_N 16.3172 +*END + +*D_NET *5889 0.00132349 +*CONN +*I *21886:A I *D sky130_fd_sc_hd__inv_2 +*I *25150:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21886:A 0.000339414 +2 *25150:X 0.000339414 +3 *21886:A *21709:A 6.92705e-05 +4 *21202:A *21886:A 0.000111708 +5 *21966:A *21886:A 5.07284e-05 +6 *25134:A *21886:A 7.34948e-06 +7 *25150:A *21886:A 2.65831e-05 +8 *2385:10 *21886:A 5.26446e-05 +9 *4843:545 *21886:A 0.000205916 +10 *5564:12 *21886:A 0.000120463 +*RES +1 *25150:X *21886:A 37.7026 +*END + +*D_NET *5890 0.0373734 +*CONN +*I *21283:B1 I *D sky130_fd_sc_hd__a22oi_1 +*I *25151:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21283:B1 0 +2 *25151:X 0.0090125 +3 *5890:36 0.0042094 +4 *5890:14 0.0132219 +5 *5890:14 *5892:10 0 +6 *5890:36 *21283:A1 4.6012e-05 +7 *19842:A2 *5890:36 2.99332e-05 +8 *20540:B1 *5890:14 0.000137756 +9 *20596:B2 *5890:14 0 +10 *21279:B1 *5890:36 0.000121734 +11 *22700:A2 *5890:36 0.000174741 +12 *22700:B1 *5890:36 1.4551e-05 +13 *22701:C1 *5890:36 0.000125512 +14 *24485:RESET_B *5890:14 0.000109396 +15 *24509:RESET_B *5890:14 2.43179e-07 +16 *25224:A *5890:14 0 +17 *25225:A *5890:14 7.42004e-05 +18 *1592:140 *5890:36 2.37907e-05 +19 *1615:20 *5890:36 0.00103945 +20 *1708:104 *5890:36 0 +21 *1717:142 *5890:36 0.00190833 +22 *1717:163 *5890:36 0.000609331 +23 *1979:38 *5890:14 0.000115238 +24 *1991:48 *5890:14 0.000150218 +25 *2337:40 *5890:36 0.000438576 +26 *2342:20 *5890:36 0.000392156 +27 *2350:48 *5890:36 4.18527e-05 +28 *2358:16 *5890:36 1.00333e-05 +29 *2377:26 *5890:36 0.000536973 +30 *2441:8 *5890:14 0.000422098 +31 *2881:18 *5890:14 0.000300942 +32 *2886:8 *5890:14 0.000114178 +33 *2893:14 *5890:14 0.000157435 +34 *2893:14 *5890:36 0.000628584 +35 *2893:20 *5890:36 2.97235e-05 +36 *3146:181 *5890:36 0.00164811 +37 *3527:207 *5890:36 7.20344e-05 +38 *3531:211 *5890:36 0.000106353 +39 *3533:143 *5890:36 0.000954458 +40 *4824:55 *5890:14 6.67769e-06 +41 *4825:180 *5890:14 0.000140478 +42 *4841:218 *5890:14 0.000112923 +43 *5866:359 *5890:14 0.000135557 +*RES +1 *25151:X *5890:14 46.0876 +2 *5890:14 *5890:36 45.7435 +3 *5890:36 *21283:B1 9.24915 +*END + +*D_NET *5891 0.0228326 +*CONN +*I *21253:B1 I *D sky130_fd_sc_hd__a22oi_1 +*I *25152:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21253:B1 0.00146692 +2 *25152:X 0.000584293 +3 *5891:26 0.00250929 +4 *5891:22 0.00207597 +5 *5891:14 0.00230485 +6 *5891:7 0.00185555 +7 *5891:7 *5893:7 0.00072965 +8 *5891:14 *21648:A 3.88002e-05 +9 *5891:14 *21797:A 0 +10 mgmt_gpio_oeb[23] *5891:7 2.82583e-05 +11 mgmt_gpio_oeb[24] *5891:7 1.41291e-05 +12 mgmt_gpio_out[25] *5891:14 0 +13 mgmt_gpio_out[26] *5891:14 0 +14 *20293:A1 *5891:14 0.000237053 +15 *20293:B1 *5891:14 5.33266e-05 +16 *20293:B2 *5891:14 0.000210134 +17 *20782:A1 *5891:26 0.000123582 +18 *20877:B1 *5891:26 0.000135932 +19 *20877:B2 *5891:26 4.55115e-05 +20 *20881:B2 *5891:26 0.000907657 +21 *21253:B2 *21253:B1 0.000200221 +22 *21427:A *5891:14 0 +23 *21433:A *5891:26 0.000461304 +24 *23898:A *5891:14 0.00046268 +25 *23901:A *5891:14 0 +26 *24119:A *5891:14 0.000156046 +27 *24120:A *5891:14 8.33259e-05 +28 *24353:D *5891:26 5.42506e-06 +29 *24408:RESET_B *5891:22 0.000168721 +30 *25153:A *5891:7 0.000175485 +31 *25155:A *5891:7 0.000175485 +32 *25226:A *5891:7 0.000122378 +33 *25227:A *5891:7 0.000171273 +34 *25229:A *5891:14 0.000112148 +35 *135:11 *5891:14 0.000561143 +36 *480:207 *21253:B1 5.22654e-06 +37 *489:93 *5891:14 0 +38 *489:93 *5891:22 0.000290926 +39 *1595:16 *21253:B1 9.71323e-06 +40 *1771:192 *21253:B1 0.000464099 +41 *2053:20 *5891:26 1.41761e-05 +42 *2251:10 *5891:22 0 +43 *2251:10 *5891:26 0 +44 *2251:12 *5891:26 0 +45 *2473:8 *5891:22 0 +46 *2485:8 *21253:B1 6.1479e-05 +47 *2493:8 *5891:26 0.000180271 +48 *2797:11 *21253:B1 0.000273248 +49 *4828:21 *5891:14 0.000659812 +50 *4830:36 *21253:B1 0.000338549 +51 *4831:71 *5891:22 0 +52 *4840:359 *5891:14 8.01837e-05 +53 *4841:252 *5891:22 0.000126224 +54 *4841:322 *5891:7 0.000761368 +55 *4845:151 *5891:26 1.16596e-05 +56 *4872:167 *5891:22 0.0012408 +57 *4896:62 *5891:14 0 +58 *4896:62 *5891:22 0.000248059 +59 *4897:10 *5891:26 3.31736e-05 +60 *4897:58 *5891:26 0.000259137 +61 *4898:34 *21253:B1 7.65564e-05 +62 *5510:8 *5891:14 2.26808e-05 +63 *5867:549 *5891:26 3.20711e-05 +64 *5867:555 *5891:22 0.000383456 +65 *5867:555 *5891:26 0.000177877 +66 *5867:563 *5891:22 0.000209454 +67 *5888:7 *5891:7 0.000695903 +*RES +1 *25152:X *5891:7 40.5389 +2 *5891:7 *5891:14 43.003 +3 *5891:14 *5891:22 40.0108 +4 *5891:22 *5891:26 43.4971 +5 *5891:26 *21253:B1 47.6846 +*END + +*D_NET *5892 0.00849505 +*CONN +*I *19898:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25153:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19898:A 0.00156765 +2 *25153:X 0.000859881 +3 *5892:10 0.00242753 +4 mgmt_gpio_out[20] *19898:A 0.000211492 +5 mgmt_gpio_out[22] *5892:10 0.00035709 +6 *20469:A1 *19898:A 0.000536581 +7 *21853:A *19898:A 0.000332239 +8 *21964:A *19898:A 0.000275256 +9 *25225:A *5892:10 0.000266681 +10 *4822:27 *19898:A 4.4403e-05 +11 *4824:55 *5892:10 0.000151673 +12 *4825:181 *5892:10 0 +13 *4840:325 *19898:A 6.32915e-05 +14 *4895:109 *19898:A 1.80257e-05 +15 *4895:117 *19898:A 0.000222281 +16 *5888:7 *5892:10 0.00116098 +17 *5890:14 *5892:10 0 +*RES +1 *25153:X *5892:10 38.68 +2 *5892:10 *19898:A 40.3793 +*END + +*D_NET *5893 0.037083 +*CONN +*I *21998:B1 I *D sky130_fd_sc_hd__a22oi_1 +*I *25154:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21998:B1 0.000466476 +2 *25154:X 0.000652279 +3 *5893:18 0.00418339 +4 *5893:16 0.00420692 +5 *5893:8 0.00468888 +6 *5893:7 0.00485115 +7 *5893:7 *21648:A 0.000377259 +8 *5893:7 *21797:A 0.000171288 +9 *5893:8 *5896:9 0 +10 mgmt_gpio_out[27] *5893:8 0 +11 *19837:A *5893:8 0 +12 *20212:A1 *5893:18 0.000192228 +13 *20288:B1 *5893:8 0 +14 *20290:A1 *5893:8 3.91628e-05 +15 *20291:A2 *5893:8 0.000116806 +16 *20631:A2 *5893:8 0.000191541 +17 *20651:B *5893:18 0.000125912 +18 *20735:B2 *5893:18 0 +19 *20800:B1 *5893:8 0 +20 *20804:A1 *5893:8 8.86331e-05 +21 *20805:B1 *5893:8 0 +22 *21253:B2 *5893:18 4.80339e-05 +23 *21254:C1 *5893:18 0.000184662 +24 *21619:A *5893:8 3.94229e-05 +25 *21998:B2 *21998:B1 3.82228e-05 +26 *21999:B1 *21998:B1 7.71503e-05 +27 *21999:C1 *21998:B1 0.000218874 +28 *24122:A *5893:8 0.00017097 +29 *24323:CLK *5893:18 0.000513264 +30 *24373:SET_B *5893:8 9.4893e-05 +31 *24375:D *5893:8 3.85909e-05 +32 *24379:D *5893:8 0 +33 *24714:D *5893:8 0 +34 *24959:A *5893:16 8.92789e-06 +35 *25156:A *5893:7 0.000103943 +36 *25231:A *5893:8 0.000125695 +37 *1564:171 *21998:B1 0 +38 *1564:171 *5893:18 5.80533e-06 +39 *1595:8 *5893:8 0 +40 *1629:30 *5893:18 0 +41 *1771:173 *5893:18 0.00146498 +42 *1887:8 *5893:18 0.000134782 +43 *1887:10 *5893:18 0.000310679 +44 *1887:12 *5893:18 0.000163602 +45 *1887:14 *5893:18 0.000496458 +46 *1887:18 *5893:18 0.000337077 +47 *1887:63 *5893:18 0.000188051 +48 *1910:59 *5893:8 0 +49 *2018:111 *5893:8 0.000341222 +50 *2050:9 *5893:18 0 +51 *2081:6 *5893:16 7.21078e-05 +52 *2081:6 *5893:18 0.00057041 +53 *2149:23 *5893:18 1.87269e-05 +54 *2246:14 *5893:18 5.88009e-05 +55 *2294:12 *5893:8 8.50796e-05 +56 *2297:17 *5893:8 0.000188392 +57 *2319:9 *5893:18 0 +58 *2357:17 *5893:8 7.94884e-05 +59 *2461:12 *5893:18 0.000315828 +60 *2461:16 *5893:18 6.30278e-05 +61 *2462:8 *21998:B1 0.000624264 +62 *2467:20 *5893:8 0.000186445 +63 *2486:40 *5893:18 0.000427573 +64 *2486:44 *5893:18 0.00160757 +65 *2497:22 *21998:B1 4.18605e-05 +66 *2497:22 *5893:18 5.11853e-06 +67 *2499:23 *5893:16 0.000378039 +68 *2613:29 *5893:18 0.000127541 +69 *2620:10 *5893:8 0 +70 *3011:34 *21998:B1 0.000315431 +71 *3022:6 *5893:8 0.00100163 +72 *3192:91 *21998:B1 1.65872e-05 +73 *3343:22 *5893:18 0.000163872 +74 *3348:39 *5893:18 0.00108385 +75 *4825:42 *5893:8 3.58499e-05 +76 *4829:187 *5893:8 0 +77 *4830:32 *5893:8 0 +78 *4841:322 *5893:7 0.000174943 +79 *4842:195 *5893:8 2.26357e-05 +80 *4842:200 *5893:8 0.000390079 +81 *4844:762 *5893:8 0 +82 *4845:205 *5893:18 9.91921e-05 +83 *4845:220 *5893:18 0.000163735 +84 *4845:229 *5893:18 0.000958894 +85 *4872:180 *5893:8 0 +86 *4898:34 *5893:16 0.000754773 +87 *4902:27 *5893:18 0.000383136 +88 *4902:36 *5893:18 0.000356844 +89 *5302:9 *5893:16 5.94319e-06 +90 *5505:15 *5893:8 0 +91 *5714:26 *5893:16 0.000118485 +92 *5891:7 *5893:7 0.00072965 +*RES +1 *25154:X *5893:7 34.4383 +2 *5893:7 *5893:8 115.611 +3 *5893:8 *5893:16 25.6585 +4 *5893:16 *5893:18 129.73 +5 *5893:18 *21998:B1 29.7053 +*END + +*D_NET *5894 0.000697973 +*CONN +*I *21797:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25155:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21797:A 0.000187407 +2 *25155:X 0.000187407 +3 mgmt_gpio_out[25] *21797:A 0.000146645 +4 *23901:A *21797:A 5.22654e-06 +5 *25229:A *21797:A 0 +6 *5891:14 *21797:A 0 +7 *5893:7 *21797:A 0.000171288 +*RES +1 *25155:X *21797:A 31.9934 +*END + +*D_NET *5895 0.00115182 +*CONN +*I *21648:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25156:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21648:A 0.000296364 +2 *25156:X 0.000296364 +3 mgmt_gpio_out[26] *21648:A 0.000143032 +4 *5891:14 *21648:A 3.88002e-05 +5 *5893:7 *21648:A 0.000377259 +*RES +1 *25156:X *21648:A 34.2118 +*END + +*D_NET *5896 0.00452255 +*CONN +*I *21563:A1 I *D sky130_fd_sc_hd__a22oi_4 +*I *25157:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21563:A1 0 +2 *25157:X 0 +3 *5896:9 0.00129968 +4 *5896:5 0.00129968 +5 *5896:9 *21563:B1 4.5539e-05 +6 *5896:9 *5906:36 4.41474e-05 +7 mgmt_gpio_oeb[28] *5896:9 0.000124145 +8 mgmt_gpio_out[28] *5896:9 0 +9 *20290:A1 *5896:9 7.34872e-05 +10 *21563:B2 *5896:9 6.50727e-05 +11 *23904:A *5896:9 0.000608369 +12 *23907:A *5896:9 0 +13 *24122:A *5896:9 0.000279342 +14 *25231:A *5896:9 0 +15 *4830:28 *5896:9 0.000435792 +16 *4839:339 *5896:9 2.65831e-05 +17 *4842:195 *5896:9 8.92568e-06 +18 *4842:200 *5896:9 4.26935e-05 +19 *5508:9 *5896:9 0.000169093 +20 *5893:8 *5896:9 0 +*RES +1 *25157:X *5896:5 13.7491 +2 *5896:5 *5896:9 44.8433 +3 *5896:9 *21563:A1 9.24915 +*END + +*D_NET *5897 0.00539485 +*CONN +*I *21440:B1 I *D sky130_fd_sc_hd__a22oi_4 +*I *25158:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21440:B1 0 +2 *25158:X 0.000108788 +3 *5897:12 0.00138802 +4 *5897:9 0.0014968 +5 mgmt_gpio_out[28] *5897:12 0 +6 mgmt_gpio_out[29] *5897:12 0 +7 *20289:A1 *5897:12 9.96342e-05 +8 *20289:A2 *5897:12 0 +9 *21563:A2 *5897:12 0 +10 *21563:B2 *5897:12 0.000118858 +11 *24123:A *5897:12 0.000179318 +12 *24123:TE_B *5897:12 8.01687e-05 +13 *25158:A *5897:9 5.04829e-06 +14 *1911:16 *5897:12 1.89195e-05 +15 *1911:39 *5897:12 3.51249e-05 +16 *2451:12 *5897:12 0.000383136 +17 *2499:8 *5897:12 1.2601e-05 +18 *4831:77 *5897:12 0 +19 *4835:17 *5897:12 5.36686e-05 +20 *4899:24 *5897:12 0.000153225 +21 *4899:39 *5897:12 0.000186445 +22 *4899:50 *5897:12 0.000918091 +23 *5507:12 *5897:12 0.000156998 +24 *5715:18 *5897:12 0 +*RES +1 *25158:X *5897:9 16.1605 +2 *5897:9 *5897:12 47.442 +3 *5897:12 *21440:B1 9.24915 +*END + +*D_NET *5898 0.188616 +*CONN +*I *19562:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23956:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *20102:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *6035:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6036:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *24061:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23955:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *20129:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *20124:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24024:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20066:A I *D sky130_fd_sc_hd__inv_2 +*I *20078:A3 I *D sky130_fd_sc_hd__a41o_1 +*I *24028:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25159:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *19562:A1 9.87502e-05 +2 *23956:A0 0.000537837 +3 *20102:B1 0.000130695 +4 *6035:DIODE 0 +5 *6036:DIODE 0 +6 *24061:A1 0.000599353 +7 *23955:A1 0.000279601 +8 *20129:A3 0 +9 *20124:A0 2.98868e-05 +10 *24024:A1 0.000191227 +11 *20066:A 0 +12 *20078:A3 0 +13 *24028:A1 0.000820778 +14 *25159:X 0 +15 *5898:279 0.00311074 +16 *5898:276 0.003025 +17 *5898:273 0.00115673 +18 *5898:264 0.00225928 +19 *5898:255 0.00602377 +20 *5898:254 0.00592868 +21 *5898:245 0.00318979 +22 *5898:242 0.00180356 +23 *5898:239 0.0014379 +24 *5898:236 0.00293477 +25 *5898:228 0.00292155 +26 *5898:226 0.00131382 +27 *5898:224 0.00103221 +28 *5898:222 0.000966766 +29 *5898:220 0.00137156 +30 *5898:219 0.00134385 +31 *5898:217 0.000147913 +32 *5898:211 0.000115215 +33 *5898:205 0.000561369 +34 *5898:201 0.00153348 +35 *5898:194 0.00143075 +36 *5898:191 0.00246134 +37 *5898:168 0.00278845 +38 *5898:167 0.000741105 +39 *5898:164 0.000614723 +40 *5898:158 0.00145431 +41 *5898:131 0.000716537 +42 *5898:120 0.00214488 +43 *5898:73 0.00160444 +44 *5898:66 0.00130203 +45 *5898:64 0.000920848 +46 *5898:63 0.00332326 +47 *5898:51 0.0034441 +48 *5898:34 0.00295212 +49 *5898:25 0.00325021 +50 *5898:23 0.00172953 +51 *5898:21 0.00567543 +52 *5898:19 0.00651679 +53 *5898:16 0.00279122 +54 *5898:4 0.00279023 +55 *23956:A0 *23956:A1 2.52592e-05 +56 *23956:A0 *23956:S 1.43983e-05 +57 *24028:A1 *5929:42 0.000195753 +58 *5898:16 *6023:8 0.000118166 +59 *5898:19 *6028:43 0.000204945 +60 *5898:25 *24677:RESET_B 5.8663e-05 +61 *5898:25 *5917:481 8.65522e-05 +62 *5898:51 *25379:A 0.000562232 +63 *5898:191 *5910:26 0.000119433 +64 *5898:201 *5902:32 4.15236e-05 +65 *5898:220 *5904:38 0.000944519 +66 *5898:264 *6018:38 0.000291851 +67 *5898:264 *6019:9 0.000148773 +68 *5898:264 *6019:38 0 +69 *5898:264 *6020:25 0 +70 mgmt_gpio_out[2] *5898:158 0.000306296 +71 mgmt_gpio_out[6] *5898:191 0 +72 *19562:S *19562:A1 5.04829e-06 +73 *19562:S *5898:201 0.000469584 +74 *19628:B1 *5898:51 6.21526e-05 +75 *19807:A *5898:255 0.000208792 +76 *19924:A *5898:73 0.000127179 +77 *19936:A2 *5898:25 0.00056387 +78 *19936:B1 *5898:25 0.000195654 +79 *19946:B2 *5898:25 0.000213739 +80 *20000:A *5898:51 1.92172e-05 +81 *20014:A *5898:255 4.58003e-05 +82 *20077:A1 *24028:A1 3.04443e-05 +83 *20078:A4 *5898:73 7.40769e-05 +84 *20078:B1 *24028:A1 7.86847e-05 +85 *20081:C *5898:120 0.000206373 +86 *20102:A2 *20102:B1 0 +87 *20102:B2 *20102:B1 2.57071e-05 +88 *20120:A *5898:131 0 +89 *20129:A1 *23955:A1 4.80635e-06 +90 *20142:A *5898:255 8.90486e-05 +91 *20142:A *5898:264 0.000470557 +92 *20173:A1 *5898:273 0.000160384 +93 *20178:A2 *5898:273 0.000219447 +94 *20179:B *5898:273 2.99929e-05 +95 *20282:A1 *5898:236 0.000523679 +96 *20282:B2 *5898:236 4.31703e-05 +97 *20330:A *5898:120 6.13731e-05 +98 *20331:A *5898:120 0.000118568 +99 *20331:B *5898:120 0.000121814 +100 *20333:A1 *5898:120 8.28759e-06 +101 *20333:A2 *5898:120 5.8518e-05 +102 *20345:A1 *5898:25 0.000114523 +103 *20500:A *5898:254 0.000153225 +104 *21127:A *5898:51 4.15897e-05 +105 *21158:A *5898:51 5.81224e-05 +106 *21158:A *5898:63 0.000166875 +107 *21195:A *5898:255 3.58951e-06 +108 *21523:B2 *5898:25 0.000360145 +109 *21719:A2 *5898:21 0.000151319 +110 *21979:A *5898:25 0.00060504 +111 *23867:A *5898:201 0.000206679 +112 *23873:A *5898:220 0.000130777 +113 *23955:S *23955:A1 2.16355e-05 +114 *24015:A1 *5898:64 0.000257476 +115 *24015:A1 *5898:120 0.000345266 +116 *24015:S *5898:64 0.000143047 +117 *24024:A0 *24024:A1 6.92705e-05 +118 *24028:S *24028:A1 3.18815e-05 +119 *24049:A1 *5898:236 7.92757e-06 +120 *24077:A0 *5898:273 0.000134849 +121 *24077:A0 *5898:276 8.03393e-06 +122 *24104:A *19562:A1 4.81452e-05 +123 *24104:A *5898:217 6.3657e-05 +124 *24175:CLK *24061:A1 5.88253e-05 +125 *24175:CLK *5898:51 2.35642e-05 +126 *24175:CLK *5898:63 6.02259e-05 +127 *24190:D *5898:264 4.12533e-05 +128 *24330:CLK *5898:21 8.79845e-05 +129 *24518:D *5898:25 0.000164815 +130 *24600:RESET_B *5898:255 0.000153519 +131 *24600:CLK *5898:255 8.05954e-05 +132 *24624:CLK *5898:254 0.000284249 +133 *24637:D *5898:255 6.86098e-05 +134 *24637:SET_B *5898:255 0.000312841 +135 *24637:CLK *5898:255 7.48797e-05 +136 *24677:CLK *5898:25 1.41976e-05 +137 *24677:CLK *5898:34 0.000255445 +138 *24685:CLK *5898:236 6.61971e-05 +139 *24813:D *23955:A1 6.3657e-05 +140 *24813:D *5898:131 1.39717e-06 +141 *24818:D *20102:B1 0.000224381 +142 *24825:D *5898:73 1.00937e-05 +143 *24936:A *5898:19 0.000464113 +144 *24936:A *5898:21 9.82896e-06 +145 *25137:A *5898:220 0.000117754 +146 *25175:A *5898:194 4.04556e-05 +147 *459:21 *5898:131 0.000717573 +148 *459:31 *23955:A1 1.09551e-05 +149 *476:61 *5898:21 7.67129e-05 +150 *476:100 *5898:164 0.00015494 +151 *476:100 *5898:168 0.000132356 +152 *484:33 *24028:A1 7.89747e-05 +153 *485:12 *5898:273 2.58696e-05 +154 *490:31 *5898:239 0.0011287 +155 *490:105 *5898:205 1.15969e-05 +156 *493:10 *24061:A1 0 +157 *493:10 *5898:51 1.88692e-05 +158 *493:10 *5898:63 0 +159 *514:68 *24028:A1 0.000195753 +160 *520:27 *5898:191 0.000605848 +161 *520:28 *5898:158 0.000580718 +162 *527:44 *5898:191 6.03122e-05 +163 *527:69 *5898:16 8.36498e-05 +164 *527:69 *5898:158 2.93863e-05 +165 *527:71 *5898:19 0.00401522 +166 *529:41 *5898:191 2.6347e-05 +167 *529:42 *5898:168 1.3706e-05 +168 *529:49 *5898:167 8.17436e-05 +169 *529:50 *5898:164 0.00213078 +170 *529:62 *5898:158 0.000694253 +171 *531:68 *5898:19 0.0010328 +172 *535:38 *5898:168 0.000197765 +173 *539:36 *5898:191 0.00137679 +174 *541:63 *5898:21 0.00196096 +175 *1439:318 *5898:34 4.0752e-05 +176 *1458:18 *5898:34 9.75356e-05 +177 *1467:30 *5898:25 0.00113015 +178 *1471:117 *5898:63 0.00145046 +179 *1471:128 *23955:A1 5.20155e-05 +180 *1485:84 *24061:A1 0 +181 *1497:27 *5898:25 0.000393863 +182 *1524:10 *5898:120 0.000304871 +183 *1595:7 *5898:245 0.000112361 +184 *1612:24 *5898:220 0.000246962 +185 *1679:18 *5898:205 3.62248e-05 +186 *1679:20 *5898:205 3.28324e-05 +187 *1687:13 *5898:51 6.1708e-05 +188 *1688:91 *5898:191 4.40131e-06 +189 *1692:19 *5898:25 3.38355e-06 +190 *1692:19 *5898:34 4.03684e-05 +191 *1695:31 *5898:21 0.00171863 +192 *1695:42 *5898:21 0.00022578 +193 *1697:110 *5898:25 0.00025943 +194 *1709:47 *5898:201 1.41976e-05 +195 *1731:50 *5898:73 3.33771e-05 +196 *1741:64 *5898:168 1.59781e-05 +197 *1741:70 *5898:168 3.72318e-05 +198 *1741:81 *5898:164 0.000154145 +199 *1756:24 *5898:63 1.09738e-05 +200 *1756:25 *5898:63 0.000559532 +201 *1756:31 *5898:131 0 +202 *1764:113 *5898:34 0.000313495 +203 *1783:82 *5898:63 8.62625e-06 +204 *1792:56 *5898:25 6.50727e-05 +205 *1792:76 *5898:21 7.68538e-06 +206 *1792:76 *5898:25 0.0022813 +207 *1813:35 *24028:A1 5.481e-05 +208 *1813:45 *24028:A1 2.65831e-05 +209 *1816:23 *24028:A1 2.79575e-05 +210 *1816:56 *5898:120 0.000111708 +211 *1818:15 *5898:66 3.5534e-06 +212 *1818:15 *5898:73 1.29445e-05 +213 *1818:21 *5898:64 5.65074e-05 +214 *1818:21 *5898:66 0.000316412 +215 *1818:21 *5898:120 7.18591e-05 +216 *1845:113 *5898:63 1.32729e-05 +217 *1845:138 *5898:63 1.35317e-05 +218 *1920:34 *5898:228 3.14199e-05 +219 *1920:42 *5898:224 0.000121169 +220 *1925:17 *5898:236 0.000217602 +221 *1947:228 *5898:25 0.000110701 +222 *1979:11 *5898:254 3.20069e-06 +223 *2244:19 *5898:191 6.23101e-05 +224 *2274:95 *5898:191 0.00234727 +225 *2282:78 *5898:191 0.000132101 +226 *2295:14 *5898:164 0.0021696 +227 *2295:39 *5898:167 8.17436e-05 +228 *2295:39 *5898:168 2.02035e-05 +229 *2297:25 *5898:236 8.88984e-06 +230 *2357:50 *5898:220 0.000152728 +231 *2357:50 *5898:224 0.00027994 +232 *2360:43 *5898:220 5.46889e-05 +233 *2367:49 *5898:220 0.000466346 +234 *2384:73 *5898:191 1.66578e-05 +235 *2391:27 *5898:201 6.56935e-05 +236 *2391:34 *5898:191 5.22997e-05 +237 *2404:101 *5898:191 0.000502988 +238 *2405:17 *5898:66 0 +239 *2423:69 *5898:168 0.000745352 +240 *2423:69 *5898:191 0.000105056 +241 *2426:78 *5898:16 4.51619e-05 +242 *2433:118 *5898:191 0.00136354 +243 *2433:141 *5898:158 0.000629705 +244 *2451:16 *5898:228 0.00212044 +245 *2451:36 *5898:228 0.00012639 +246 *2467:49 *5898:220 3.32887e-05 +247 *2503:31 *5898:205 4.24053e-05 +248 *2561:26 *5898:63 3.96415e-05 +249 *2566:15 *5898:254 0.000205317 +250 *2570:19 *5898:245 0.00287746 +251 *2570:66 *5898:201 7.24899e-05 +252 *2580:16 *5898:25 0.000111708 +253 *2584:62 *5898:205 8.27707e-05 +254 *2610:36 *5898:220 0.001236 +255 *2610:36 *5898:222 1.59501e-05 +256 *2610:36 *5898:224 0.00111207 +257 *2627:10 *5898:34 3.92275e-05 +258 *2629:37 *5898:191 5.60804e-05 +259 *2632:27 *5898:73 0.000584609 +260 *2689:18 *5898:16 7.86825e-06 +261 *2692:12 *5898:220 0.000444276 +262 *2692:12 *5898:224 0.00314928 +263 *2692:12 *5898:228 0.000320234 +264 *2732:17 *5898:21 4.89898e-06 +265 *2732:17 *5898:25 7.6885e-06 +266 *2744:19 *5898:21 0.000247443 +267 *2784:23 *5898:51 1.10554e-05 +268 *2812:11 *24028:A1 0 +269 *2869:14 *5898:254 1.2819e-05 +270 *2869:18 *5898:254 7.457e-05 +271 *2876:7 *5898:21 0.000101939 +272 *2882:74 *5898:191 0.000120804 +273 *2900:90 *5898:205 4.23622e-05 +274 *2938:42 *5898:168 0.00346866 +275 *2978:47 *5898:201 5.20417e-05 +276 *2989:80 *5898:194 0.000995376 +277 *2989:91 *5898:191 0.000531506 +278 *3017:8 *5898:16 1.66771e-05 +279 *3072:20 *5898:201 0.000102995 +280 *3212:52 *5898:168 0.0017151 +281 *3212:64 *5898:168 2.71397e-05 +282 *3212:66 *5898:168 0 +283 *4814:64 *5898:220 4.15201e-05 +284 *4816:38 *5898:224 6.84784e-06 +285 *4816:38 *5898:228 4.51619e-05 +286 *4817:41 *5898:228 0.000770359 +287 *4817:47 *5898:224 0.00147832 +288 *4817:64 *5898:205 0.00122399 +289 *4818:110 *5898:220 6.20642e-05 +290 *4824:84 *5898:254 0 +291 *4828:44 *5898:228 0.00415259 +292 *4828:62 *5898:220 5.68225e-06 +293 *4828:115 *5898:158 0.000123799 +294 *4828:116 *5898:158 0.000749009 +295 *4829:127 *5898:194 4.41851e-05 +296 *4829:127 *5898:201 0.00157082 +297 *4830:32 *5898:242 9.88666e-05 +298 *4838:11 *23956:A0 0 +299 *4838:271 *5898:254 0.000258128 +300 *4838:283 *5898:254 0.00200153 +301 *4839:15 *5898:273 0.000160617 +302 *4839:343 *5898:242 0.000363106 +303 *4841:22 *5898:273 0.000105636 +304 *4842:237 *5898:236 0.000221185 +305 *4843:18 *23956:A0 4.03749e-05 +306 *4844:13 *5898:279 0.000213706 +307 *4863:114 *24061:A1 6.08467e-05 +308 *4867:115 *24028:A1 0.000825268 +309 *4878:40 *24061:A1 0.000265501 +310 *4878:40 *5898:63 4.15267e-05 +311 *5446:23 *24028:A1 1.59078e-05 +312 *5466:27 *20102:B1 0 +313 *5474:70 *5898:64 0 +314 *5474:70 *5898:66 0 +315 *5474:70 *5898:73 0 +316 *5474:70 *5898:120 0 +317 *5475:110 *5898:191 0.00036109 +318 *5475:112 *5898:194 0.00113195 +319 *5475:112 *5898:201 0.000367431 +320 *5477:9 *5898:73 0.000111594 +321 *5480:5 *20124:A0 2.57847e-05 +322 *5481:16 *5898:51 0.000323889 +323 *5482:18 *5898:120 0.000113968 +324 *5482:69 *24028:A1 2.30104e-05 +325 *5485:31 *24024:A1 0.000189558 +326 *5485:94 *24061:A1 0.000142836 +327 *5493:51 *19562:A1 6.36477e-05 +328 *5493:51 *5898:220 0.00192587 +329 *5495:11 *5898:228 5.54078e-05 +330 *5507:11 *5898:239 0.00280164 +331 *5529:11 *5898:220 0.000263427 +332 *5529:16 *5898:205 0.000972829 +333 *5553:9 *5898:224 2.33193e-05 +334 *5683:33 *5898:158 0.000122083 +335 *5683:33 *5898:164 9.2259e-06 +336 *5801:105 *20102:B1 0.000402844 +337 *5801:105 *5898:279 0.000752359 +338 *5852:53 *5898:73 0.000215325 +339 *5853:392 *5898:16 4.04556e-05 +340 *5860:257 *5898:51 0.000324523 +341 *5867:572 *5898:239 0.000368207 +*RES +1 *25159:X *5898:4 9.24915 +2 *5898:4 *5898:16 27.6277 +3 *5898:16 *5898:19 46.0803 +4 *5898:19 *5898:21 87.7962 +5 *5898:21 *5898:23 0.578717 +6 *5898:23 *5898:25 60.6206 +7 *5898:25 *5898:34 33.209 +8 *5898:34 *5898:51 42.6746 +9 *5898:51 *5898:63 46.6118 +10 *5898:63 *5898:64 7.23027 +11 *5898:64 *5898:66 13.8743 +12 *5898:66 *5898:73 27.3071 +13 *5898:73 *24028:A1 48.1481 +14 *5898:73 *20078:A3 9.24915 +15 *5898:66 *20066:A 13.7491 +16 *5898:64 *24024:A1 18.9094 +17 *5898:63 *5898:120 41.0595 +18 *5898:120 *20124:A0 9.97254 +19 *5898:120 *5898:131 22.0438 +20 *5898:131 *20129:A3 9.24915 +21 *5898:131 *23955:A1 15.5427 +22 *5898:51 *24061:A1 28.9697 +23 *5898:4 *5898:158 49.6248 +24 *5898:158 *5898:164 49.2124 +25 *5898:164 *5898:167 5.89857 +26 *5898:167 *5898:168 57.6834 +27 *5898:168 *5898:191 45.0821 +28 *5898:191 *5898:194 20.8359 +29 *5898:194 *5898:201 45.5434 +30 *5898:201 *5898:205 29.0638 +31 *5898:205 *6036:DIODE 9.24915 +32 *5898:205 *5898:211 1.278 +33 *5898:211 *6035:DIODE 9.24915 +34 *5898:211 *5898:217 1.278 +35 *5898:217 *5898:219 4.5 +36 *5898:219 *5898:220 64.5351 +37 *5898:220 *5898:222 0.732798 +38 *5898:222 *5898:224 54.7766 +39 *5898:224 *5898:226 1.29461 +40 *5898:226 *5898:228 73.463 +41 *5898:228 *5898:236 41.425 +42 *5898:236 *5898:239 45.7095 +43 *5898:239 *5898:242 11.315 +44 *5898:242 *5898:245 45.7095 +45 *5898:245 *5898:254 46.7184 +46 *5898:254 *5898:255 62.839 +47 *5898:255 *5898:264 49.6882 +48 *5898:264 *5898:273 27.7259 +49 *5898:273 *5898:276 5.50149 +50 *5898:276 *5898:279 46.5023 +51 *5898:279 *20102:B1 23.9942 +52 *5898:273 *23956:A0 24.7517 +53 *5898:217 *19562:A1 11.6364 +*END + +*D_NET *5899 0.000994335 +*CONN +*I *21310:A I *D sky130_fd_sc_hd__inv_2 +*I *25160:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21310:A 0.000217323 +2 *25160:X 0.000217323 +3 mgmt_gpio_out[29] *21310:A 0 +4 *23909:A *21310:A 8.62625e-06 +5 *25232:A *21310:A 1.79807e-05 +6 *2372:7 *21310:A 0.000171273 +7 *5506:22 *21310:A 1.92336e-05 +8 *5715:21 *21310:A 0.00011818 +9 *5716:15 *21310:A 0.000224395 +*RES +1 *25160:X *21310:A 33.826 +*END + +*D_NET *5900 0.00140204 +*CONN +*I *21709:A I *D sky130_fd_sc_hd__inv_2 +*I *25161:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21709:A 0.00051658 +2 *25161:X 0.00051658 +3 *21709:A *5922:11 0.000184931 +4 *21326:A1 *21709:A 0 +5 *21326:B1 *21709:A 0 +6 *21886:A *21709:A 6.92705e-05 +7 *25150:A *21709:A 2.82583e-05 +8 *25161:A *21709:A 1.43983e-05 +9 *5564:12 *21709:A 7.20258e-05 +*RES +1 *25161:X *21709:A 37.6732 +*END + +*D_NET *5901 0.00273828 +*CONN +*I *21249:A I *D sky130_fd_sc_hd__inv_2 +*I *25162:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21249:A 0.000567906 +2 *25162:X 0.000567906 +3 *21249:A *23946:A1 0.000271058 +4 *21249:A *23947:A1 8.01987e-05 +5 *21249:A *5935:21 0.000261615 +6 mgmt_gpio_out[30] *21249:A 0.000264942 +7 *23908:A *21249:A 1.44611e-05 +8 *23909:A *21249:A 0 +9 *23910:A *21249:A 3.31882e-05 +10 *2316:7 *21249:A 6.50727e-05 +11 *4899:59 *21249:A 0.000163912 +12 *5715:21 *21249:A 0.000369331 +13 *5729:8 *21249:A 7.86847e-05 +*RES +1 *25162:X *21249:A 45.9008 +*END + +*D_NET *5902 0.0616458 +*CONN +*I *19800:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *25163:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *19800:A1_N 8.57277e-05 +2 *25163:X 0.000443749 +3 *5902:33 0.00387203 +4 *5902:32 0.00524545 +5 *5902:18 0.00396579 +6 *5902:16 0.0032945 +7 *5902:13 0.00174039 +8 *5902:10 0.00139629 +9 *5902:10 *5903:6 3.92275e-05 +10 *5902:16 *5904:16 0.00131338 +11 *5902:16 *5904:18 1.41761e-05 +12 *5902:16 *5907:10 0 +13 *5902:18 *5904:18 0.00741904 +14 *5902:33 *5910:33 0.000111722 +15 mgmt_gpio_oeb[37] *5902:13 0.000623463 +16 mgmt_gpio_out[19] *5902:16 0 +17 mgmt_gpio_out[32] *5902:10 0 +18 mgmt_gpio_out[35] *5902:13 0.000175485 +19 mgmt_gpio_out[9] *5902:32 1.65465e-05 +20 *19800:B1 *5902:33 2.07365e-05 +21 *21998:A1 *5902:32 0.000353191 +22 *25140:A *5902:18 9.09898e-05 +23 *25168:A *5902:13 0.000180515 +24 *25168:A *5902:16 2.36813e-05 +25 *1555:70 *5902:18 7.43738e-05 +26 *1556:35 *19800:A1_N 3.5748e-06 +27 *1556:35 *5902:33 0.00199572 +28 *1557:113 *5902:33 7.54269e-06 +29 *1557:126 *19800:A1_N 5.04829e-06 +30 *1557:126 *5902:33 7.92757e-06 +31 *2257:69 *5902:32 0.000752138 +32 *2275:71 *19800:A1_N 0.00010707 +33 *2360:43 *5902:18 0.000200728 +34 *2391:27 *5902:32 3.33849e-05 +35 *2503:12 *5902:18 5.2332e-05 +36 *2527:13 *19800:A1_N 5.77567e-05 +37 *2545:28 *5902:18 0.00163194 +38 *2679:10 *5902:16 0.00215243 +39 *2679:10 *5902:18 1.41761e-05 +40 *2679:12 *5902:18 0.0071493 +41 *2687:68 *5902:32 0.000931888 +42 *2692:53 *5902:18 0.00105859 +43 *2692:53 *5902:32 0.000364884 +44 *2791:46 *5902:32 0.000231886 +45 *2882:74 *5902:32 0.000508761 +46 *2989:55 *5902:18 1.5254e-05 +47 *4811:83 *5902:33 3.39313e-05 +48 *4821:145 *5902:18 0.00456863 +49 *4821:155 *5902:16 2.04806e-05 +50 *4823:69 *5902:18 0.00178631 +51 *4827:89 *5902:33 0.000436841 +52 *5475:112 *5902:32 4.15236e-05 +53 *5493:34 *5902:18 0.00315322 +54 *5592:102 *5902:33 0.000626267 +55 *5715:21 *5902:10 0.000642796 +56 *5716:19 *5902:13 0.00246066 +57 *5729:9 *5902:13 1.62073e-05 +58 *5874:17 *5902:32 3.46002e-05 +59 *5898:201 *5902:32 4.15236e-05 +*RES +1 *25163:X *5902:10 26.9052 +2 *5902:10 *5902:13 38.4996 +3 *5902:13 *5902:16 46.5205 +4 *5902:16 *5902:18 225.238 +5 *5902:18 *5902:32 17.8912 +6 *5902:32 *5902:33 58.4022 +7 *5902:33 *19800:A1_N 12.4261 +*END + +*D_NET *5903 0.0425747 +*CONN +*I *22028:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *25164:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22028:A1_N 0.000192976 +2 *25164:X 0 +3 *5903:18 0.00277494 +4 *5903:6 0.00910551 +5 *5903:5 0.00652354 +6 mgmt_gpio_out[32] *5903:6 0 +7 *19566:S *5903:6 0.000188185 +8 *20277:A1 *5903:6 0.000115374 +9 *20301:A1 *5903:6 2.692e-05 +10 *20319:A2 *5903:6 5.75791e-05 +11 *20341:A2 *5903:6 9.60216e-05 +12 *20656:A1 *5903:18 0.000168835 +13 *20656:B1 *5903:18 6.17955e-06 +14 *20940:B1 *5903:18 1.57481e-05 +15 *21259:A2 *5903:18 2.56476e-05 +16 *21394:A *5903:18 0.000161484 +17 *21412:C1 *5903:18 2.09025e-05 +18 *21998:A1 *5903:18 0 +19 *22028:B1 *22028:A1_N 0.000154145 +20 *22028:B2 *22028:A1_N 6.3657e-05 +21 *24032:A0 *5903:6 9.71474e-05 +22 *24033:A0 *5903:6 8.92568e-06 +23 *24033:A1 *5903:6 0.000339149 +24 *24093:S *5903:6 0.000347397 +25 *24128:A *5903:6 6.66454e-05 +26 *24128:TE_B *5903:6 0 +27 *24683:RESET_B *5903:6 0.000264598 +28 *24683:CLK *5903:6 0.000195154 +29 *24692:D *5903:6 0.000104731 +30 *24707:RESET_B *5903:6 0.000308064 +31 *24725:CLK *5903:6 0 +32 *24734:D *5903:6 0.000127179 +33 *25237:A *5903:6 0 +34 *476:172 *5903:6 0.000258463 +35 *476:174 *5903:6 0.000804658 +36 *477:117 *5903:6 0 +37 *478:44 *5903:6 0.000273732 +38 *478:46 *5903:6 0.00346141 +39 *478:50 *5903:6 0.000371775 +40 *1419:239 *5903:18 3.00179e-05 +41 *1439:15 *5903:6 0.000287415 +42 *1554:113 *22028:A1_N 0.000110297 +43 *1555:38 *5903:18 1.29721e-05 +44 *1608:107 *5903:6 4.72005e-05 +45 *1908:56 *5903:6 0 +46 *1908:65 *5903:6 0 +47 *1908:76 *5903:6 0 +48 *1912:8 *5903:18 0.000110106 +49 *1912:10 *5903:18 7.84457e-06 +50 *1924:16 *5903:6 0 +51 *1924:96 *5903:6 0 +52 *1925:31 *5903:6 4.54077e-05 +53 *1925:40 *5903:6 0 +54 *1925:68 *5903:6 0 +55 *2294:28 *5903:6 0 +56 *2297:86 *5903:18 7.70185e-05 +57 *2319:33 *5903:6 0 +58 *2320:16 *5903:6 1.14755e-05 +59 *2367:16 *5903:6 0 +60 *2451:42 *5903:6 0.000889755 +61 *2468:59 *5903:6 0 +62 *2468:63 *5903:18 2.10284e-05 +63 *2631:11 *5903:6 0.00128963 +64 *2631:28 *5903:6 1.99624e-05 +65 *2631:28 *5903:18 0.00157813 +66 *2676:22 *5903:18 1.12793e-05 +67 *2777:7 *22028:A1_N 0.000143116 +68 *2896:72 *5903:18 0.00105538 +69 *2906:52 *5903:18 6.30676e-05 +70 *2924:46 *5903:18 0 +71 *3051:14 *5903:6 0.000100645 +72 *3306:32 *5903:18 6.09999e-05 +73 *4820:83 *5903:6 3.31194e-06 +74 *4827:118 *5903:6 0 +75 *4828:43 *5903:6 0.000118485 +76 *4833:181 *5903:6 0.000910285 +77 *4839:148 *5903:6 0.000178211 +78 *4839:153 *5903:6 0.00188421 +79 *4842:233 *5903:6 0.000380561 +80 *4842:237 *5903:6 0.00235223 +81 *4843:313 *5903:18 7.92906e-05 +82 *4844:786 *5903:6 0 +83 *4845:734 *5903:6 5.05252e-05 +84 *4847:11 *5903:6 8.01597e-05 +85 *4903:144 *5903:6 0.000160329 +86 *4903:162 *5903:6 0.000635105 +87 *5853:227 *5903:6 0.000643464 +88 *5853:232 *5903:6 2.49967e-05 +89 *5853:232 *5903:18 1.25629e-05 +90 *5853:243 *5903:18 0.000805452 +91 *5857:113 *5903:18 0.00119102 +92 *5861:363 *5903:18 5.60804e-05 +93 *5867:785 *5903:6 0.000172707 +94 *5884:32 *5903:6 9.70967e-05 +95 *5902:10 *5903:6 3.92275e-05 +*RES +1 *25164:X *5903:5 13.7491 +2 *5903:5 *5903:6 242.3 +3 *5903:6 *5903:18 49.2546 +4 *5903:18 *22028:A1_N 15.7296 +*END + +*D_NET *5904 0.109466 +*CONN +*I *23920:A I *D sky130_fd_sc_hd__and2_1 +*I *6038:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21770:A I *D sky130_fd_sc_hd__inv_2 +*I *6037:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25165:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23920:A 0 +2 *6038:DIODE 0.000197959 +3 *21770:A 2.32417e-05 +4 *6037:DIODE 0 +5 *25165:X 5.08629e-05 +6 *5904:130 0.00164341 +7 *5904:122 0.00213858 +8 *5904:114 0.00368464 +9 *5904:110 0.00346486 +10 *5904:91 0.000119111 +11 *5904:86 0.00134685 +12 *5904:77 0.0030157 +13 *5904:70 0.00309871 +14 *5904:64 0.00226826 +15 *5904:45 0.00378172 +16 *5904:44 0.00351378 +17 *5904:38 0.0019938 +18 *5904:35 0.0016016 +19 *5904:24 0.00140136 +20 *5904:23 0.000788241 +21 *5904:18 0.00161748 +22 *5904:16 0.00271614 +23 *5904:13 0.00219068 +24 *5904:8 0.0010084 +25 *5904:7 0.000101592 +26 *5904:13 *5906:10 2.65831e-05 +27 *5904:35 *19854:A 0.00081154 +28 mgmt_gpio_oeb[36] *5904:13 0.000381471 +29 mgmt_gpio_oeb[37] *5904:13 4.75576e-05 +30 mgmt_gpio_oeb[37] *5904:16 8.16827e-05 +31 mgmt_gpio_out[37] *5904:16 0 +32 *19820:A1 *5904:77 0.000720407 +33 *20019:A *5904:86 8.10996e-05 +34 *20527:A1 *5904:114 0.000829326 +35 *20527:B1 *5904:114 6.3657e-05 +36 *20527:B2 *5904:114 7.89747e-05 +37 *20533:A1 *5904:91 0.000158371 +38 *20536:A1 *5904:77 6.08467e-05 +39 *20536:A1 *5904:86 0.00015689 +40 *20937:A *5904:45 9.5562e-05 +41 *20938:A2 *5904:45 0.000112149 +42 *20938:B1 *5904:45 6.08467e-05 +43 *21257:A *5904:45 7.6719e-06 +44 *21549:B1 *5904:64 4.02705e-06 +45 *21694:A *21770:A 0.000110306 +46 *21694:A *5904:91 9.55447e-05 +47 *21990:A *5904:114 0.000235492 +48 *22011:A1 *5904:64 0.000347839 +49 *22018:B *5904:64 1.81263e-05 +50 *22324:A2 *5904:64 4.35654e-05 +51 *22413:A1 *5904:77 0.00101965 +52 *22422:A2 *5904:70 2.16608e-05 +53 *22545:A1 *5904:70 0.000671592 +54 *22740:B1 *5904:77 0.000105901 +55 *22740:B2 *5904:77 2.57847e-05 +56 *22741:A2 *5904:77 1.7883e-05 +57 *22741:B2 *5904:77 2.40736e-05 +58 *22741:C1 *5904:77 3.71311e-05 +59 *22971:A *5904:122 0.000342638 +60 *22971:A *5904:130 0.000118436 +61 *22971:B *5904:122 0.000107496 +62 *23458:B *5904:130 3.95516e-05 +63 *23458:C *5904:130 0.000285424 +64 *23732:A *5904:122 3.67895e-05 +65 *23732:D *5904:130 0.000154145 +66 *23870:A *5904:35 5.04829e-06 +67 *23921:A *5904:130 0.000158217 +68 *24104:A *5904:38 0.000247494 +69 *24314:D *5904:45 0.000162583 +70 *24920:A *21770:A 1.15389e-05 +71 *24920:A *5904:91 9.97112e-05 +72 *24920:A *5904:110 0 +73 *25166:A *5904:7 0.000175485 +74 *25315:A *6038:DIODE 5.75209e-05 +75 *25315:A *5904:130 0.000250244 +76 *490:105 *5904:38 7.5119e-05 +77 *490:105 *5904:44 1.03403e-05 +78 *1506:125 *5904:114 0.00121485 +79 *1554:36 *5904:70 1.63536e-05 +80 *1554:42 *5904:70 0.0017567 +81 *1554:49 *5904:45 7.54269e-06 +82 *1554:49 *5904:64 5.2142e-05 +83 *1554:85 *5904:45 0.000341668 +84 *1554:113 *5904:45 0.000586812 +85 *1555:70 *5904:18 0.00390307 +86 *1555:74 *5904:16 7.26112e-05 +87 *1555:74 *5904:18 0.00171155 +88 *1570:106 *5904:70 0.000101888 +89 *1588:131 *5904:64 5.57273e-05 +90 *1608:98 *5904:38 4.22768e-05 +91 *1612:24 *5904:35 1.0758e-05 +92 *1655:88 *5904:64 0.000647982 +93 *1655:94 *5904:45 0.00289916 +94 *1655:94 *5904:64 1.81951e-05 +95 *1679:18 *5904:44 6.85398e-05 +96 *1690:108 *5904:110 0 +97 *1690:132 *5904:77 0.000531645 +98 *1690:133 *5904:70 0.000111062 +99 *1708:95 *5904:64 0.000840255 +100 *1730:113 *5904:110 0.00112998 +101 *1733:26 *5904:110 1.81263e-05 +102 *1740:139 *5904:86 8.34211e-06 +103 *1740:139 *5904:91 3.39118e-05 +104 *1757:120 *5904:110 0.00111239 +105 *1784:55 *5904:114 3.02534e-05 +106 *1899:24 *5904:44 1.68279e-05 +107 *1985:56 *5904:114 1.50584e-05 +108 *2093:181 *5904:64 1.6732e-05 +109 *2156:7 *5904:45 0.000347214 +110 *2288:38 *5904:45 0.000911397 +111 *2320:36 *5904:24 0.00298865 +112 *2354:47 *5904:45 0.000258208 +113 *2367:27 *5904:23 4.20506e-05 +114 *2372:49 *5904:23 3.82228e-05 +115 *2384:32 *5904:24 7.76167e-05 +116 *2404:67 *5904:45 0.00121913 +117 *2417:43 *5904:24 0.000443264 +118 *2433:85 *5904:38 0 +119 *2452:36 *5904:45 0.000775655 +120 *2460:40 *5904:38 6.50847e-05 +121 *2570:66 *5904:38 0.000139869 +122 *2584:45 *5904:38 0.000611992 +123 *2593:24 *5904:77 3.71311e-05 +124 *2608:26 *5904:86 0.000688293 +125 *2614:35 *5904:86 0.000748009 +126 *2629:10 *5904:35 0.00072883 +127 *2629:21 *5904:35 0 +128 *2660:80 *5904:122 1.5613e-05 +129 *2660:80 *5904:130 0.000710393 +130 *2660:94 *5904:114 2.33978e-05 +131 *2783:56 *5904:77 0.000542808 +132 *2791:24 *5904:24 3.31733e-05 +133 *2791:26 *5904:24 1.72941e-05 +134 *2791:46 *5904:24 0.000107146 +135 *2791:46 *5904:35 1.23804e-05 +136 *2806:45 *5904:64 0.000818012 +137 *2888:81 *5904:64 0.000586869 +138 *2924:46 *5904:44 9.95149e-05 +139 *3004:15 *5904:114 9.87648e-05 +140 *3020:31 *5904:64 0.00118603 +141 *3120:179 *5904:77 5.88009e-05 +142 *3122:115 *5904:45 0.000110306 +143 *3131:97 *5904:70 0.00279656 +144 *3198:170 *5904:45 0.00168778 +145 *3212:27 *5904:35 9.57388e-06 +146 *3377:20 *5904:70 1.91391e-05 +147 *3394:8 *5904:77 6.22114e-05 +148 *3415:22 *5904:70 3.54949e-06 +149 *3419:12 *5904:70 0.000187793 +150 *3493:78 *5904:64 1.88014e-05 +151 *3493:88 *5904:64 9.86918e-05 +152 *3511:147 *5904:64 0.000309754 +153 *3533:79 *5904:86 0.000163208 +154 *3533:79 *5904:91 1.01553e-05 +155 *3881:18 *5904:122 4.5162e-05 +156 *4326:77 *5904:130 3.31745e-05 +157 *4326:160 *5904:130 0.00279303 +158 *4365:7 *5904:130 2.37827e-05 +159 *4487:36 *5904:130 0.000296903 +160 *4702:21 *5904:114 2.05741e-05 +161 *4812:105 *5904:38 0.000453632 +162 *4814:64 *5904:38 0.000109645 +163 *4817:64 *5904:38 2.97587e-05 +164 *4825:72 *5904:24 0.00353688 +165 *4829:147 *5904:24 0.000140089 +166 *4829:147 *5904:35 0.000771675 +167 *4829:161 *5904:24 0.000249633 +168 *4829:171 *5904:16 0 +169 *4844:214 *5904:44 0.000289861 +170 *4850:68 *21770:A 6.3657e-05 +171 *5269:10 *5904:86 0.000162788 +172 *5434:9 *5904:45 1.55995e-05 +173 *5529:11 *5904:38 0.000208968 +174 *5715:21 *5904:7 0.000332116 +175 *5715:21 *5904:13 0.000319236 +176 *5855:223 *5904:44 0.000191541 +177 *5863:11 *5904:45 0.00174803 +178 *5898:220 *5904:38 0.000944519 +179 *5902:16 *5904:16 0.00131338 +180 *5902:16 *5904:18 1.41761e-05 +181 *5902:18 *5904:18 0.00741904 +*RES +1 *25165:X *5904:7 21.9947 +2 *5904:7 *5904:8 57.9449 +3 *5904:8 *5904:13 30.0014 +4 *5904:13 *5904:16 46.7892 +5 *5904:16 *5904:18 122.878 +6 *5904:18 *5904:23 11.9418 +7 *5904:23 *5904:24 62.6664 +8 *5904:24 *5904:35 36.1929 +9 *5904:35 *5904:38 41.2132 +10 *5904:38 *5904:44 33.04 +11 *5904:44 *5904:45 90.0146 +12 *5904:45 *5904:64 49.7639 +13 *5904:64 *5904:70 46.7685 +14 *5904:70 *5904:77 49.022 +15 *5904:77 *5904:86 30.1846 +16 *5904:86 *5904:91 8.23567 +17 *5904:91 *6037:DIODE 9.24915 +18 *5904:91 *21770:A 10.5271 +19 *5904:86 *5904:110 14.6816 +20 *5904:110 *5904:114 46.7676 +21 *5904:114 *5904:122 16.0473 +22 *5904:122 *5904:130 49.6009 +23 *5904:130 *6038:DIODE 13.3002 +24 *5904:130 *23920:A 9.24915 +*END + +*D_NET *5905 0.000500938 +*CONN +*I *21655:A I *D sky130_fd_sc_hd__clkinv_4 +*I *25166:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21655:A 0.000250469 +2 *25166:X 0.000250469 +3 mgmt_gpio_out[34] *21655:A 0 +4 *5714:38 *21655:A 0 +*RES +1 *25166:X *21655:A 33.0676 +*END + +*D_NET *5906 0.0650422 +*CONN +*I *23934:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6039:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21563:B1 I *D sky130_fd_sc_hd__a22oi_4 +*I *25167:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23934:A 0 +2 *6039:DIODE 6.62583e-05 +3 *21563:B1 6.25829e-05 +4 *25167:X 0.000400302 +5 *5906:57 0.000174904 +6 *5906:52 0.00540956 +7 *5906:50 0.00677593 +8 *5906:45 0.00270092 +9 *5906:38 0.00754361 +10 *5906:36 0.00676824 +11 *5906:23 0.00255238 +12 *5906:20 0.00451479 +13 *5906:14 0.00319153 +14 *5906:10 0.0011163 +15 *5906:50 *20195:A1 0.000530006 +16 *5906:57 *5932:11 4.37831e-05 +17 mgmt_gpio_oeb[36] *5906:10 3.31882e-05 +18 mgmt_gpio_out[36] *5906:10 0 +19 spimemio_flash_io2_di *5906:57 4.20184e-06 +20 *20146:B2 *5906:45 0 +21 *20195:A0 *5906:50 0.000228593 +22 *20195:S *5906:50 0.000320569 +23 *20287:A1 *5906:23 0.00018674 +24 *20293:A1 *5906:38 1.41291e-05 +25 *20293:A2 *5906:36 0.000270193 +26 *20293:A2 *5906:38 1.00981e-05 +27 *20340:A1 *5906:14 0 +28 *20467:A1 *5906:38 0.000164843 +29 *20507:A1 *5906:38 9.2668e-05 +30 *20589:B2 *5906:38 9.65504e-05 +31 *21307:A *5906:38 3.6455e-05 +32 *21427:A *5906:38 9.80912e-05 +33 *21513:A *5906:38 1.00846e-05 +34 *21638:A *5906:10 0.000251456 +35 *21638:A *5906:14 2.1152e-05 +36 *23887:A *5906:10 5.52842e-05 +37 *23887:A *5906:14 4.41414e-05 +38 *23910:A *5906:23 0.000207892 +39 *24113:A *5906:14 0 +40 *24113:TE_B *5906:14 0.000101148 +41 *24513:D *5906:38 0.0002646 +42 *24597:RESET_B *5906:38 0.000126098 +43 *24597:CLK *5906:38 0.00034865 +44 *24712:D *5906:36 6.92705e-05 +45 *24725:D *5906:20 0.000124658 +46 *24725:RESET_B *5906:20 0.000564953 +47 *24725:CLK *5906:20 1.84293e-05 +48 *24781:D *5906:52 8.27255e-05 +49 *24781:RESET_B *5906:50 0.000417436 +50 *24781:RESET_B *5906:52 0.000267235 +51 *24781:CLK *5906:52 0.000383717 +52 *24960:A *5906:23 0.000982327 +53 *24960:A *5906:36 0.000132798 +54 *25221:A *5906:10 0 +55 *25253:A *5906:10 0.000122083 +56 *25318:A *6039:DIODE 0.000113968 +57 *143:7 *5906:20 3.33532e-05 +58 *1858:6 *5906:45 0 +59 *2006:30 *5906:38 0.000321181 +60 *2006:44 *5906:38 0.000716593 +61 *2007:83 *5906:38 0.000765671 +62 *2369:7 *5906:38 2.32702e-05 +63 *2451:16 *5906:14 0.000519961 +64 *2487:13 *5906:38 1.75155e-06 +65 *2570:10 *5906:38 6.80656e-05 +66 *2624:6 *5906:45 0 +67 *2692:10 *5906:14 0.000281301 +68 *2692:12 *5906:14 0.000723544 +69 *2818:11 *5906:45 0.00036437 +70 *2963:8 *5906:38 0.000217951 +71 *4828:44 *5906:14 0.000270335 +72 *4833:188 *5906:20 2.3794e-05 +73 *4833:188 *5906:23 0.000150019 +74 *4834:25 *5906:20 8.65358e-05 +75 *4836:55 *5906:20 5.01375e-05 +76 *4840:9 *5906:45 0.000975869 +77 *4840:40 *5906:45 0.000460852 +78 *4842:15 *5906:45 0 +79 *4842:200 *21563:B1 0.000120584 +80 *4842:200 *5906:36 9.55049e-05 +81 *4843:150 *5906:38 0.000743835 +82 *4843:898 *5906:38 0.000107496 +83 *4844:67 *5906:45 0.00208614 +84 *4844:775 *5906:23 6.1074e-05 +85 *4844:775 *5906:36 0.000366603 +86 *4882:103 *5906:52 0.000430366 +87 *4882:113 *5906:52 0.00446395 +88 *4882:132 *5906:52 0.000963687 +89 *4882:142 *5906:52 0.000937896 +90 *5117:11 *5906:38 1.03403e-05 +91 *5501:10 *5906:20 1.73666e-05 +92 *5544:7 *5906:20 1.46928e-05 +93 *5594:16 *5906:20 0.000195124 +94 *5838:16 *5906:57 0 +95 *5868:477 *5906:45 0.000139154 +96 *5896:9 *21563:B1 4.5539e-05 +97 *5896:9 *5906:36 4.41474e-05 +98 *5904:13 *5906:10 2.65831e-05 +*RES +1 *25167:X *5906:10 25.55 +2 *5906:10 *5906:14 35.192 +3 *5906:14 *5906:20 49.2656 +4 *5906:20 *5906:23 36.8358 +5 *5906:23 *21563:B1 15.9964 +6 *5906:23 *5906:36 19.8354 +7 *5906:36 *5906:38 99.9974 +8 *5906:38 *5906:45 48.6496 +9 *5906:45 *5906:50 42.4059 +10 *5906:50 *5906:52 92.233 +11 *5906:52 *5906:57 11.2472 +12 *5906:57 *6039:DIODE 11.0817 +13 *5906:57 *23934:A 9.24915 +*END + +*D_NET *5907 0.0555295 +*CONN +*I *21440:A1 I *D sky130_fd_sc_hd__a22oi_4 +*I *23935:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *25168:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *21440:A1 0 +2 *23935:A 0.000265923 +3 *25168:X 0.000674873 +4 *5907:65 0.00248185 +5 *5907:63 0.00313387 +6 *5907:60 0.00197528 +7 *5907:57 0.00209569 +8 *5907:54 0.00344945 +9 *5907:47 0.00341447 +10 *5907:43 0.00126267 +11 *5907:33 0.00198923 +12 *5907:31 0.00317542 +13 *5907:21 0.00380667 +14 *5907:17 0.00362957 +15 *5907:10 0.00194326 +16 *23935:A *5934:7 0.000228796 +17 *5907:54 *23963:A1 0.000222699 +18 *5907:60 *20189:A1 0 +19 *5907:60 *23959:A1 0.000519026 +20 *5907:60 *23960:A1 8.04463e-05 +21 *5907:60 *6001:252 0 +22 *5907:63 *20193:A1 0.000271044 +23 mgmt_gpio_oeb[18] *5907:17 4.97938e-05 +24 mgmt_gpio_out[18] *5907:10 0.000605294 +25 mgmt_gpio_out[18] *5907:17 0.000155707 +26 mgmt_gpio_out[19] *5907:10 0.000334602 +27 mgmt_gpio_out[36] *5907:10 0.000201734 +28 *20087:B2 *5907:60 0.000184946 +29 *20090:A2 *5907:60 0 +30 *20189:S *5907:60 0.000388247 +31 *20192:A *5907:63 2.6243e-05 +32 *20192:A *5907:65 0.000308254 +33 *20193:A0 *5907:63 3.93679e-06 +34 *20193:S *5907:63 0.000169041 +35 *20341:B1 *5907:17 0.000171273 +36 *20400:A2 *5907:47 8.14875e-05 +37 *20400:B2 *5907:47 0.000629893 +38 *20464:B2 *5907:43 0.000443049 +39 *20464:B2 *5907:47 7.31939e-05 +40 *20471:B2 *5907:47 6.99486e-05 +41 *21563:B2 *5907:21 2.59533e-05 +42 *22886:A *5907:65 4.4785e-05 +43 *23959:A0 *5907:60 7.02362e-05 +44 *23960:A0 *5907:60 0 +45 *23961:A0 *5907:60 0 +46 *24190:RESET_B *5907:54 0.000583244 +47 *24190:CLK *5907:54 1.47743e-05 +48 *24514:CLK *5907:33 6.50727e-05 +49 *24777:D *5907:65 0.000543039 +50 *24778:D *5907:65 5.35406e-05 +51 *24780:CLK *5907:60 0 +52 *24783:D *5907:57 0.000122378 +53 *25145:A *5907:17 4.51176e-05 +54 *25168:A *5907:10 6.08467e-05 +55 *25220:A *5907:17 2.04806e-05 +56 *478:23 *5907:21 2.52287e-06 +57 *478:23 *5907:31 0.000486268 +58 *566:7 *5907:54 0.000266374 +59 *1480:6 *5907:54 0 +60 *1480:8 *5907:54 0 +61 *1555:79 *5907:17 0.000735405 +62 *1555:83 *5907:17 0.00056613 +63 *1555:83 *5907:21 0.00142514 +64 *1555:83 *5907:31 0 +65 *1872:59 *5907:60 0.000111343 +66 *1872:60 *5907:63 0.00089217 +67 *2372:22 *5907:17 0.00010906 +68 *2372:30 *5907:17 0.00023618 +69 *2467:26 *5907:10 4.38058e-05 +70 *2467:26 *5907:17 1.07248e-05 +71 *2467:28 *5907:17 9.71323e-06 +72 *2692:10 *5907:10 0.000359061 +73 *4821:155 *5907:10 0 +74 *4821:155 *5907:17 0 +75 *4825:180 *5907:33 0.000629908 +76 *4831:74 *5907:31 0.000536763 +77 *4838:186 *5907:47 0.000182453 +78 *4842:8 *5907:60 1.65078e-05 +79 *4843:11 *5907:60 0 +80 *4845:63 *5907:43 0.000161092 +81 *4845:63 *5907:47 0.00254408 +82 *4845:69 *5907:43 1.28832e-05 +83 *4845:80 *5907:33 0.00365282 +84 *4845:80 *5907:43 2.404e-05 +85 *4869:127 *5907:47 7.92757e-06 +86 *4869:127 *5907:54 5.69712e-05 +87 *4869:135 *5907:47 0.000736971 +88 *4885:7 *5907:47 0.000612765 +89 *5291:8 *5907:31 0.000266832 +90 *5504:26 *5907:31 0.000182965 +91 *5504:26 *5907:33 0.000189437 +92 *5612:12 *23935:A 6.69207e-05 +93 *5628:6 *23935:A 0.000222684 +94 *5801:102 *5907:60 0 +95 *5839:8 *5907:65 5.20546e-06 +96 *5884:11 *5907:17 0 +97 *5902:16 *5907:10 0 +*RES +1 *25168:X *5907:10 40.9143 +2 *5907:10 *5907:17 43.4561 +3 *5907:17 *5907:21 39.835 +4 *5907:21 *5907:31 40.7513 +5 *5907:31 *5907:33 52.8561 +6 *5907:33 *5907:43 8.8616 +7 *5907:43 *5907:47 45.3931 +8 *5907:47 *5907:54 47.4625 +9 *5907:54 *5907:57 26.2983 +10 *5907:57 *5907:60 36.2302 +11 *5907:60 *5907:63 16.5537 +12 *5907:63 *5907:65 50.915 +13 *5907:65 *23935:A 27.8722 +14 *5907:21 *21440:A1 9.24915 +*END + +*D_NET *5908 0.162667 +*CONN +*I *19746:C I *D sky130_fd_sc_hd__or3_4 +*I *6040:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *19564:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21657:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *23953:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *25169:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *19746:C 2.04335e-05 +2 *6040:DIODE 4.46635e-05 +3 *19564:A1 8.88952e-05 +4 *21657:A1_N 0.00374237 +5 *23953:A1 3.01234e-05 +6 *25169:X 7.52198e-06 +7 *5908:95 0.000866983 +8 *5908:87 0.0106817 +9 *5908:59 0.0100932 +10 *5908:56 0.00107889 +11 *5908:55 0.00274746 +12 *5908:29 0.0070927 +13 *5908:23 0.00235457 +14 *5908:9 0.0158757 +15 *5908:6 0.0166505 +16 *23953:A1 *5926:54 2.07872e-05 +17 *23953:A1 *5926:56 4.46284e-06 +18 *5908:9 *24054:S 0.000305672 +19 *5908:9 *6001:121 0.000755886 +20 *5908:55 *21177:A 0.000247443 +21 *5908:55 *5909:14 0.000123179 +22 *19564:S *5908:55 0.000347534 +23 *19564:S *5908:87 2.68417e-05 +24 *19594:A1 *6040:DIODE 6.08467e-05 +25 *19594:A1 *5908:95 0.000160617 +26 *19805:A *5908:9 0 +27 *19842:A2 *5908:87 0.00395041 +28 *19990:A1 *5908:9 8.08061e-05 +29 *20010:B *5908:9 0.000294825 +30 *20011:B2 *5908:9 0.000275123 +31 *20777:B *5908:95 0.000501792 +32 *20900:A1 *5908:55 2.8182e-06 +33 *20996:A2 *19564:A1 3.82228e-05 +34 *20996:A2 *5908:59 4.97617e-05 +35 *21657:B1 *21657:A1_N 2.1203e-06 +36 *21753:C1 *5908:95 5.47373e-05 +37 *21875:A1 *5908:87 0.000119815 +38 *21925:A *23953:A1 6.60706e-05 +39 *22344:A1 *5908:87 0.000108986 +40 *22613:B1 *5908:87 0.000427486 +41 *22821:A *5908:23 1.27071e-05 +42 *23942:A0 *5908:9 0 +43 *24655:SET_B *5908:9 0.00075147 +44 *25169:A *5908:9 0.000182201 +45 *25202:A *5908:9 7.14452e-05 +46 *74:10 *5908:23 0.00154202 +47 *74:12 *5908:6 2.02035e-05 +48 *74:12 *5908:23 0.000187473 +49 *468:17 *5908:9 9.88439e-05 +50 *468:54 *5908:9 4.97504e-05 +51 *476:123 *5908:56 0.00210706 +52 *476:142 *5908:56 9.36438e-06 +53 *508:20 *5908:87 0.00282761 +54 *508:28 *5908:29 0 +55 *508:28 *5908:87 5.60333e-05 +56 *529:41 *5908:55 0.000220725 +57 *533:8 *21657:A1_N 3.66916e-05 +58 *1424:56 *5908:9 0.00171329 +59 *1435:318 *5908:95 0.000476811 +60 *1443:49 *21657:A1_N 0.00586508 +61 *1461:61 *5908:9 0.000365139 +62 *1461:88 *5908:9 0.0010122 +63 *1466:9 *5908:9 0.00147026 +64 *1466:13 *5908:9 0.0016434 +65 *1466:84 *5908:9 0.00177206 +66 *1506:87 *5908:9 0.000172671 +67 *1515:130 *5908:9 0.000229926 +68 *1535:8 *5908:95 0.000102062 +69 *1563:46 *5908:9 5.39404e-06 +70 *1592:140 *5908:87 0.00238789 +71 *1612:24 *5908:87 0.00826818 +72 *1614:104 *5908:87 0.000209297 +73 *1679:20 *5908:56 8.31538e-05 +74 *1679:20 *5908:87 1.5714e-05 +75 *1725:17 *5908:9 0 +76 *1730:184 *5908:87 0 +77 *1766:21 *5908:9 0.00027923 +78 *1917:29 *5908:56 0.000544464 +79 *1917:36 *5908:55 0.000149236 +80 *2291:61 *5908:87 0.001254 +81 *2295:39 *5908:29 0.000469647 +82 *2295:39 *5908:55 0.0021858 +83 *2295:42 *5908:55 0.0011206 +84 *2301:11 *5908:9 0 +85 *2301:18 *5908:23 0.000777703 +86 *2301:18 *5908:29 0.000133182 +87 *2380:62 *5908:87 0.000132807 +88 *2384:32 *5908:87 3.08603e-06 +89 *2389:16 *5908:9 0 +90 *2389:49 *5908:23 0.00050256 +91 *2389:53 *5908:29 0.000142472 +92 *2391:49 *5908:29 0.000596537 +93 *2400:12 *5908:9 0.00202277 +94 *2417:53 *5908:87 0.00132292 +95 *2421:125 *21657:A1_N 0.00871965 +96 *2441:72 *5908:9 0 +97 *2446:89 *5908:55 8.11934e-06 +98 *2448:146 *5908:87 0.000761979 +99 *2457:16 *5908:9 0.00230064 +100 *2468:37 *5908:87 0.00103771 +101 *2471:36 *5908:23 4.69204e-06 +102 *2471:36 *5908:29 0.000150552 +103 *2613:29 *5908:87 0.000255018 +104 *2635:47 *5908:87 3.80286e-05 +105 *2635:67 *5908:56 0.000659139 +106 *2694:36 *21657:A1_N 0.00017138 +107 *2766:6 *19746:C 5.41377e-05 +108 *2766:6 *5908:95 3.71096e-05 +109 *2791:50 *5908:87 1.16089e-05 +110 *2850:42 *5908:95 2.48638e-05 +111 *2896:58 *5908:56 0.000957093 +112 *2900:90 *5908:56 0.000714817 +113 *2900:111 *5908:87 0.00142808 +114 *2922:33 *5908:9 0 +115 *2954:28 *5908:95 0 +116 *2978:29 *5908:87 0.00144417 +117 *3106:187 *5908:95 0.000220688 +118 *3131:158 *19746:C 5.41377e-05 +119 *3243:41 *5908:87 0.000554343 +120 *3481:77 *21657:A1_N 1.50389e-06 +121 *3483:11 *21657:A1_N 0.000158476 +122 *3579:23 *5908:87 0.00119431 +123 *4807:28 *21657:A1_N 0.00028986 +124 *4814:64 *5908:87 1.51338e-05 +125 *4817:85 *5908:87 0.0017967 +126 *4821:17 *5908:9 0.00031436 +127 *4821:55 *5908:9 0.00839124 +128 *4828:100 *5908:29 0 +129 *4828:100 *5908:55 0 +130 *4828:107 *5908:23 0.00162286 +131 *4974:9 *5908:56 0.000166533 +132 *5527:36 *5908:55 0.0011206 +133 *5592:102 *5908:87 0.00041347 +134 *5855:319 *5908:29 0.000150552 +135 *5855:319 *5908:55 0.000157699 +136 *5864:49 *5908:9 8.92353e-05 +137 *5874:36 *5908:87 0.00146352 +138 *5874:46 *5908:55 0.000224061 +139 *5874:64 *5908:6 2.02035e-05 +140 *5874:64 *5908:23 0.000463523 +*RES +1 *25169:X *5908:6 14.1278 +2 *5908:6 *5908:9 49.8482 +3 *5908:9 *23953:A1 18.327 +4 *5908:6 *5908:23 47.583 +5 *5908:23 *5908:29 7.77118 +6 *5908:29 *21657:A1_N 33.919 +7 *5908:29 *5908:55 41.5597 +8 *5908:55 *5908:56 48.7555 +9 *5908:56 *5908:59 6.3326 +10 *5908:59 *19564:A1 10.5271 +11 *5908:59 *5908:87 48.9248 +12 *5908:87 *5908:95 28.3776 +13 *5908:95 *6040:DIODE 9.97254 +14 *5908:95 *19746:C 19.2506 +*END + +*D_NET *5909 0.0902912 +*CONN +*I *21398:A I *D sky130_fd_sc_hd__inv_2 +*I *23918:A I *D sky130_fd_sc_hd__and2_1 +*I *25170:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *21398:A 0.000171605 +2 *23918:A 0 +3 *25170:X 0.000685048 +4 *5909:38 0.0135126 +5 *5909:30 0.0142052 +6 *5909:26 0.00221975 +7 *5909:24 0.00244554 +8 *5909:17 0.00355455 +9 *5909:14 0.00349284 +10 *19994:A *5909:26 7.25274e-05 +11 *20167:B1 *5909:17 0.000255047 +12 *20311:A1 *5909:14 0.000168797 +13 *20311:A2 *5909:14 1.41976e-05 +14 *20614:A *5909:26 4.12833e-05 +15 *21636:A2 *5909:38 0.00010558 +16 *21636:B2 *5909:38 4.32862e-06 +17 *21800:A2 *5909:38 9.25046e-05 +18 *21851:B2 *5909:38 0.000104754 +19 *22156:A *5909:24 0.000145013 +20 *22189:A *5909:24 6.36787e-05 +21 *22316:C *5909:26 8.60109e-05 +22 *23344:A *5909:38 0 +23 *23344:B *5909:38 1.57662e-05 +24 *23666:C1 *5909:38 0.000374888 +25 *24489:CLK *5909:26 0.000513563 +26 *24496:CLK *5909:26 0.000315312 +27 *24789:RESET_B *5909:17 5.17993e-05 +28 *657:171 *5909:38 5.69128e-05 +29 *1435:197 *5909:38 0.00114307 +30 *1438:92 *5909:38 0 +31 *1561:154 *5909:38 0.000934854 +32 *1577:97 *5909:38 0.000247471 +33 *1648:10 *5909:24 0.00165505 +34 *1658:215 *5909:38 0.00081318 +35 *1658:242 *5909:38 0.00133283 +36 *1679:20 *5909:14 0.000106126 +37 *1679:30 *5909:14 1.03434e-05 +38 *1716:45 *5909:38 0.000156923 +39 *1732:67 *5909:38 6.57795e-05 +40 *1790:73 *5909:38 0.000927879 +41 *1864:5 *5909:17 8.76253e-06 +42 *1864:5 *5909:24 1.98189e-05 +43 *1884:24 *5909:24 3.19104e-05 +44 *1884:24 *5909:26 0.000481224 +45 *1917:36 *5909:14 0.00116868 +46 *1998:44 *5909:38 0 +47 *2177:13 *5909:26 4.31485e-06 +48 *2275:9 *5909:26 3.58968e-05 +49 *2300:53 *5909:14 0.000207119 +50 *2313:11 *5909:24 0.00145877 +51 *2459:56 *21398:A 3.82228e-05 +52 *2492:32 *21398:A 5.1493e-06 +53 *2570:94 *21398:A 0.000148418 +54 *2572:37 *5909:38 6.23415e-06 +55 *2589:65 *5909:38 0.000153427 +56 *2610:83 *5909:26 0.000472409 +57 *2613:69 *5909:38 5.60804e-05 +58 *2680:27 *5909:38 0.00270957 +59 *2697:65 *5909:38 0.000156087 +60 *2815:32 *5909:38 4.91448e-05 +61 *2815:48 *5909:38 2.07222e-05 +62 *3106:11 *5909:24 0.000107496 +63 *3120:55 *5909:26 0.000132349 +64 *3120:74 *21398:A 0.000181069 +65 *3120:74 *5909:26 0.000488039 +66 *3120:74 *5909:30 0.0008071 +67 *3131:66 *5909:38 5.60804e-05 +68 *3148:72 *5909:38 0.000509708 +69 *3177:237 *5909:26 0.00114732 +70 *3192:12 *5909:26 0 +71 *3192:69 *5909:30 0 +72 *3198:18 *5909:24 0.000452758 +73 *3198:18 *5909:26 0.000177594 +74 *3411:42 *5909:38 1.81956e-05 +75 *3466:125 *5909:26 1.05862e-05 +76 *3507:39 *5909:38 0.000453355 +77 *3507:135 *5909:38 2.2989e-05 +78 *3511:63 *5909:38 6.42559e-05 +79 *3511:93 *5909:38 4.35662e-05 +80 *3527:91 *5909:38 0.000256311 +81 *3899:29 *5909:38 3.24597e-05 +82 *3917:72 *5909:38 4.70498e-05 +83 *4001:106 *5909:38 9.42394e-05 +84 *4001:126 *5909:38 9.60005e-05 +85 *4070:36 *5909:38 0 +86 *4233:44 *5909:38 9.00714e-06 +87 *4627:23 *5909:38 0 +88 *4804:96 *5909:38 3.73639e-05 +89 *4805:52 *5909:30 0.000507186 +90 *4805:56 *5909:26 0.000322271 +91 *4805:56 *5909:30 0.000231005 +92 *4811:29 *5909:38 0.000864512 +93 *4815:98 *5909:38 5.60804e-05 +94 *4823:106 *5909:26 0.000152833 +95 *4827:79 *5909:38 0.000209119 +96 *4910:9 *5909:14 2.29838e-05 +97 *4910:72 *5909:14 5.84813e-05 +98 *5082:72 *5909:38 6.78021e-06 +99 *5237:5 *5909:17 0.000340503 +100 *5593:46 *5909:38 0.017703 +101 *5593:57 *5909:38 0.00690437 +102 *5864:332 *5909:14 0.00014935 +103 *5908:55 *5909:14 0.000123179 +*RES +1 *25170:X *5909:14 44.6699 +2 *5909:14 *5909:17 39.2925 +3 *5909:17 *5909:24 35.3053 +4 *5909:24 *5909:26 56.6453 +5 *5909:26 *5909:30 17.5139 +6 *5909:30 *5909:38 49.791 +7 *5909:38 *23918:A 9.24915 +8 *5909:30 *21398:A 18.7278 +*END + +*D_NET *5910 0.0480838 +*CONN +*I *21283:A1 I *D sky130_fd_sc_hd__a22oi_1 +*I *25171:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21283:A1 0.00225736 +2 *25171:X 0.00403196 +3 *5910:41 0.00336758 +4 *5910:36 0.0021273 +5 *5910:35 0.00101708 +6 *5910:33 0.00209535 +7 *5910:26 0.00612731 +8 *5910:26 *5913:17 0.000150454 +9 mgmt_gpio_oeb[9] *5910:26 3.60286e-06 +10 *20651:B *21283:A1 5.24879e-05 +11 *21283:B2 *21283:A1 0.0018352 +12 *22683:B1 *21283:A1 0.000300365 +13 *22683:C1 *21283:A1 8.70316e-05 +14 *25171:A *5910:26 4.67401e-05 +15 *25248:A *5910:33 1.93033e-05 +16 *476:100 *5910:26 0 +17 *477:177 *5910:41 0.000578294 +18 *510:47 *5910:33 0.000531924 +19 *510:47 *5910:36 0.00253924 +20 *535:38 *5910:26 0.000390916 +21 *1564:171 *21283:A1 0.000153912 +22 *1688:91 *5910:26 0.000155117 +23 *2257:73 *5910:26 1.5714e-05 +24 *2266:55 *5910:26 3.80286e-05 +25 *2301:18 *5910:26 5.74984e-05 +26 *2350:48 *21283:A1 4.12833e-05 +27 *2384:73 *5910:26 0.00189125 +28 *2389:81 *5910:33 0.000702717 +29 *2391:34 *5910:26 0.000751838 +30 *2391:49 *5910:26 5.60804e-05 +31 *2404:73 *5910:26 1.9101e-05 +32 *2404:85 *5910:26 0.000212143 +33 *2404:101 *5910:26 0.00025593 +34 *2423:69 *5910:26 0.00368563 +35 *2433:114 *5910:26 0.000100364 +36 *2452:29 *5910:41 0.000110306 +37 *2454:11 *5910:41 0.000929584 +38 *2460:51 *21283:A1 3.93873e-06 +39 *2468:67 *5910:33 0.000543986 +40 *2471:36 *5910:26 6.56617e-05 +41 *2475:18 *21283:A1 0.00159136 +42 *2570:80 *5910:33 0.000156955 +43 *2729:77 *5910:26 0.000228049 +44 *2791:46 *5910:26 0.0010189 +45 *2989:91 *5910:26 0.000984272 +46 *3011:33 *5910:41 0.00119589 +47 *3064:13 *21283:A1 0.00185143 +48 *3153:137 *21283:A1 6.22732e-06 +49 *3192:91 *21283:A1 0.000150299 +50 *3192:92 *5910:41 6.08467e-05 +51 *3537:215 *21283:A1 0.000605774 +52 *3537:224 *21283:A1 7.39827e-05 +53 *4873:26 *5910:36 0.000820554 +54 *5417:26 *5910:36 1.63456e-05 +55 *5417:30 *5910:36 0.000935148 +56 *5592:102 *5910:33 0.000107496 +57 *5861:397 *5910:36 0.00032919 +58 *5864:634 *5910:36 3.86024e-05 +59 *5864:677 *5910:36 0.000285711 +60 *5890:36 *21283:A1 4.6012e-05 +61 *5898:191 *5910:26 0.000119433 +62 *5902:33 *5910:33 0.000111722 +*RES +1 *25171:X *5910:26 46.5735 +2 *5910:26 *5910:33 47.6201 +3 *5910:33 *5910:35 4.5 +4 *5910:35 *5910:36 47.0945 +5 *5910:36 *5910:41 47.4364 +6 *5910:41 *21283:A1 30.5326 +*END + +*D_NET *5911 0.0022158 +*CONN +*I *21503:A I *D sky130_fd_sc_hd__inv_2 +*I *25172:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21503:A 0.000287934 +2 *25172:X 0.000287934 +3 *21503:A *5933:13 0.000950469 +4 *2290:18 *21503:A 0 +5 *4875:35 *21503:A 0.000689459 +*RES +1 *25172:X *21503:A 40.4462 +*END + +*D_NET *5912 0.00124566 +*CONN +*I *21177:A I *D sky130_fd_sc_hd__inv_4 +*I *25173:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21177:A 0.000228357 +2 *25173:X 0.000228357 +3 *20900:A1 *21177:A 1.59634e-05 +4 *2244:19 *21177:A 4.51062e-05 +5 *2291:32 *21177:A 2.20084e-05 +6 *2295:42 *21177:A 0.000208228 +7 *2391:34 *21177:A 0.000106781 +8 *4813:17 *21177:A 0.00014342 +9 *5908:55 *21177:A 0.000247443 +*RES +1 *25173:X *21177:A 35.4576 +*END + +*D_NET *5913 0.0194259 +*CONN +*I *19854:A I *D sky130_fd_sc_hd__inv_2 +*I *25174:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *19854:A 0.000352826 +2 *25174:X 0.00092367 +3 *5913:18 0.00125321 +4 *5913:17 0.00182406 +5 *21998:A1 *5913:18 0.000100364 +6 *25174:A *5913:17 1.60547e-05 +7 *25213:A *19854:A 6.08467e-05 +8 *527:44 *5913:17 0.000153427 +9 *1612:24 *19854:A 1.03403e-05 +10 *1688:76 *5913:18 1.26298e-05 +11 *1688:91 *5913:17 0.000381033 +12 *1709:48 *5913:18 0.00311723 +13 *1741:56 *5913:18 0.00314286 +14 *2295:42 *5913:17 0.000100647 +15 *2391:34 *5913:17 0.000632865 +16 *2391:34 *5913:18 0.000284387 +17 *2391:77 *5913:18 0.000118815 +18 *2404:85 *5913:17 0.000196498 +19 *2421:91 *5913:18 0.000483597 +20 *2423:69 *5913:17 0.00045051 +21 *2446:101 *5913:17 0.000314783 +22 *2938:30 *5913:18 0.000781188 +23 *2938:34 *5913:18 4.69727e-05 +24 *2989:63 *5913:18 0.00158006 +25 *2989:80 *5913:17 0.000105254 +26 *4821:143 *5913:17 1.87227e-05 +27 *4823:85 *5913:18 0.0019009 +28 *4829:145 *5913:18 7.05606e-05 +29 *4833:138 *19854:A 2.95884e-05 +30 *5904:35 *19854:A 0.00081154 +31 *5910:26 *5913:17 0.000150454 +*RES +1 *25174:X *5913:17 26.1018 +2 *5913:17 *5913:18 90.9036 +3 *5913:18 *19854:A 23.9249 +*END + +*D_NET *5914 0.000322867 +*CONN +*I *22058:A I *D sky130_fd_sc_hd__inv_2 +*I *25175:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22058:A 0.000137446 +2 *25175:X 0.000137446 +3 *25175:A *22058:A 3.18128e-05 +4 *3072:20 *22058:A 1.61631e-05 +*RES +1 *25175:X *22058:A 21.4883 +*END + +*D_NET *5915 0.00130731 +*CONN +*I *22135:B I *D sky130_fd_sc_hd__and2b_1 +*I *25176:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22135:B 0.000617189 +2 *25176:X 0.000617189 +3 *22135:B *5917:14 0 +4 *22127:A *22135:B 0 +5 *25176:A *22135:B 3.25584e-05 +6 *25255:A *22135:B 0 +7 *5474:20 *22135:B 0 +8 *5814:27 *22135:B 4.03749e-05 +*RES +1 *25176:X *22135:B 39.4707 +*END + +*D_NET *5916 0.0218215 +*CONN +*I *23944:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *22137:B I *D sky130_fd_sc_hd__and2b_1 +*I *25177:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23944:A1 3.51063e-05 +2 *22137:B 1.35113e-05 +3 *25177:X 0.00113596 +4 *5916:35 0.00180882 +5 *5916:33 0.00184422 +6 *5916:23 0.000134333 +7 *5916:20 0.00225649 +8 *5916:19 0.00262675 +9 *5916:12 0.00155654 +10 *5916:12 *23933:A 0 +11 *5916:12 *5930:20 0 +12 sram_ro_addr[7] *5916:20 6.11074e-05 +13 *20111:A *5916:20 0.00056363 +14 *20118:S *5916:20 0 +15 *20119:A *5916:20 0.000228942 +16 *20152:B *5916:35 6.50727e-05 +17 *20152:C *5916:35 0.00021569 +18 *21130:A *5916:35 0.000311343 +19 *22128:A *5916:12 0.000332573 +20 *22136:A *5916:12 0 +21 *22827:A1 *5916:35 1.92172e-05 +22 *22827:A2 *5916:35 0.00033061 +23 *24025:A0 *5916:35 1.15389e-05 +24 *24025:S *5916:35 4.88955e-05 +25 *24815:RESET_B *5916:20 0 +26 *25259:A *5916:12 0.000278937 +27 *25260:A *5916:12 0.000103493 +28 *25324:A *5916:19 0.000458861 +29 *657:39 *5916:20 0.000538541 +30 *1471:111 *23944:A1 4.31603e-06 +31 *1471:111 *5916:35 0.000373061 +32 *1522:40 *5916:35 0.00126876 +33 *1690:59 *5916:35 0.000490677 +34 *1690:67 *5916:35 0.000467985 +35 *1734:13 *22137:B 0 +36 *1734:17 *5916:23 2.16355e-05 +37 *1734:17 *5916:33 2.48809e-05 +38 *1734:17 *5916:35 0.000254178 +39 *1841:16 *5916:20 0 +40 *1861:11 *5916:35 0.000154145 +41 *2426:28 *5916:35 0.00093922 +42 *5449:8 *5916:20 0 +43 *5449:18 *5916:20 0 +44 *5450:8 *5916:20 0 +45 *5450:18 *5916:20 0 +46 *5473:104 *23944:A1 4.80635e-06 +47 *5473:104 *5916:35 0.000159853 +48 *5485:21 *5916:35 0.000324166 +49 *5735:24 *5916:20 0.00139933 +50 *5800:32 *5916:20 0.000326211 +51 *5814:27 *5916:12 0.000174599 +52 *5852:74 *5916:20 0.000453526 +*RES +1 *25177:X *5916:12 45.6476 +2 *5916:12 *5916:19 17.4729 +3 *5916:19 *5916:20 74.5011 +4 *5916:20 *5916:23 5.2234 +5 *5916:23 *22137:B 9.82786 +6 *5916:23 *5916:33 1.57941 +7 *5916:33 *5916:35 61.4525 +8 *5916:35 *23944:A1 10.2378 +*END + +*D_NET *5917 0.106105 +*CONN +*I *25380:A I *D sky130_fd_sc_hd__buf_12 +*I *24836:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24878:SET_B I *D sky130_fd_sc_hd__dfstp_2 +*I *24882:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24887:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24892:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24516:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24515:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24521:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24446:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *19745:A I *D sky130_fd_sc_hd__inv_2 +*I *24449:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24447:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24519:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24676:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24504:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24505:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24677:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24894:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24890:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *25379:A I *D sky130_fd_sc_hd__buf_12 +*I *24657:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24653:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24893:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24891:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24761:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24759:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24885:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24886:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24847:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24843:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24881:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24879:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *24880:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *24877:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24850:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24849:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24868:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *25381:A I *D sky130_fd_sc_hd__buf_12 +*I *24865:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24866:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24864:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24862:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24861:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24860:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24858:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24856:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24854:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24852:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24842:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24841:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24840:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *24838:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *24837:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *22127:B I *D sky130_fd_sc_hd__nor2_1 +*I *22128:B I *D sky130_fd_sc_hd__nor2_1 +*I *25178:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *25380:A 0 +2 *24836:RESET_B 0.000145029 +3 *24878:SET_B 0.000323017 +4 *24882:RESET_B 0.000191099 +5 *24887:RESET_B 0.000193069 +6 *24892:SET_B 0 +7 *24516:SET_B 0 +8 *24515:SET_B 0.000630994 +9 *24521:RESET_B 1.76235e-05 +10 *24446:RESET_B 0.000188084 +11 *19745:A 0.000352708 +12 *24449:SET_B 0.000681377 +13 *24447:RESET_B 0 +14 *24519:RESET_B 0.000408017 +15 *24676:RESET_B 0.000445474 +16 *24504:SET_B 0 +17 *24505:RESET_B 0.000264691 +18 *24677:RESET_B 4.31877e-05 +19 *24894:RESET_B 0.000731352 +20 *24890:RESET_B 0.00041706 +21 *25379:A 0.000201328 +22 *24657:RESET_B 1.76235e-05 +23 *24653:RESET_B 0.000139233 +24 *24893:RESET_B 0 +25 *24891:RESET_B 0.000439044 +26 *24761:SET_B 0 +27 *24759:RESET_B 0.000394217 +28 *24885:RESET_B 0.000233531 +29 *24886:RESET_B 1.49945e-05 +30 *24847:SET_B 0.000200219 +31 *24843:RESET_B 1.71593e-05 +32 *24881:RESET_B 8.90174e-06 +33 *24879:RESET_B 0 +34 *24880:RESET_B 0.000673663 +35 *24877:RESET_B 0 +36 *24850:SET_B 0.00028256 +37 *24849:SET_B 0 +38 *24868:SET_B 0.000104746 +39 *25381:A 0.000113816 +40 *24865:SET_B 9.00496e-05 +41 *24866:SET_B 0.000941369 +42 *24864:SET_B 0 +43 *24862:SET_B 8.81176e-06 +44 *24861:RESET_B 0.000133455 +45 *24860:SET_B 1.76235e-05 +46 *24858:SET_B 1.76235e-05 +47 *24856:SET_B 1.8176e-05 +48 *24854:SET_B 0 +49 *24852:SET_B 3.73129e-05 +50 *24842:RESET_B 2.07151e-05 +51 *24841:RESET_B 8.96021e-05 +52 *24840:SET_B 5.30464e-05 +53 *24838:RESET_B 0.000865696 +54 *24837:SET_B 0.000904608 +55 *22127:B 4.37098e-05 +56 *22128:B 0 +57 *25178:X 0.000179762 +58 *5917:607 0.000581383 +59 *5917:582 0.00143768 +60 *5917:577 0.00136644 +61 *5917:560 0.00141776 +62 *5917:530 0.00115703 +63 *5917:524 0.00107963 +64 *5917:502 0.00125578 +65 *5917:481 0.00072015 +66 *5917:465 0.000972338 +67 *5917:460 0.00111152 +68 *5917:438 0.00118459 +69 *5917:431 0.00112272 +70 *5917:408 0.00104634 +71 *5917:399 0.000152611 +72 *5917:390 0.000616162 +73 *5917:380 0.00046704 +74 *5917:356 0.000973303 +75 *5917:353 0.00149644 +76 *5917:350 0.000658817 +77 *5917:336 0.000674853 +78 *5917:325 0.000613167 +79 *5917:314 0.000628704 +80 *5917:305 0.000514531 +81 *5917:292 0.000393412 +82 *5917:290 0.000834688 +83 *5917:277 0.000984214 +84 *5917:269 0.000750287 +85 *5917:263 0.000900986 +86 *5917:260 0.00147485 +87 *5917:225 0.000607885 +88 *5917:203 0.000980023 +89 *5917:179 0.00125845 +90 *5917:176 0.000956388 +91 *5917:168 0.000620745 +92 *5917:148 0.000785927 +93 *5917:137 0.000969139 +94 *5917:129 0.0011104 +95 *5917:115 0.000666403 +96 *5917:106 0.000752815 +97 *5917:93 0.00141398 +98 *5917:86 0.00111528 +99 *5917:85 0.000744677 +100 *5917:76 0.000346489 +101 *5917:64 0.000909591 +102 *5917:54 0.00116064 +103 *5917:51 0.00104178 +104 *5917:14 0.000130502 +105 *5917:9 0.00256464 +106 *5917:6 0.00287237 +107 pll_ena *5917:6 0 +108 pll_ena *5917:9 2.18523e-06 +109 *19624:A2 *5917:438 6.23948e-06 +110 *19627:B1 *24759:RESET_B 1.33885e-05 +111 *19627:B1 *5917:356 0 +112 *19637:A0 *24887:RESET_B 0.000318109 +113 *19655:S *24878:SET_B 7.53343e-05 +114 *19657:A0 *5917:269 0 +115 *19657:S *24878:SET_B 2.04806e-05 +116 *19688:A1 *25381:A 6.08467e-05 +117 *19691:A2 *5917:225 0.000207266 +118 *19691:B1 *5917:225 0.000211478 +119 *19691:B2 *5917:225 1.79196e-05 +120 *19699:A1 *5917:179 0 +121 *19699:A2 *24866:SET_B 0 +122 *19699:A2 *5917:179 0 +123 *19700:A1 *5917:168 0.000155085 +124 *19700:A2 *24861:RESET_B 1.86242e-05 +125 *19700:B1 *5917:168 2.41274e-06 +126 *19706:B *5917:560 6.89449e-05 +127 *19712:A1 *5917:115 6.23202e-05 +128 *19716:B1 *24850:SET_B 0.000135592 +129 *19717:B2 *24850:SET_B 0 +130 *19717:B2 *5917:86 0 +131 *19737:A1 *5917:54 2.16355e-05 +132 *19893:A *24515:SET_B 3.82011e-05 +133 *19909:A *25381:A 0 +134 *19914:A *5917:260 0.000543124 +135 *19935:A *5917:263 0 +136 *19936:B1 *24677:RESET_B 6.64392e-05 +137 *19936:B1 *5917:481 0.000201023 +138 *20000:A *25379:A 0.000411006 +139 *20345:A1 *5917:465 0.000116986 +140 *20345:S *5917:465 0.000355782 +141 *20347:A1 *24519:RESET_B 9.97706e-05 +142 *20683:A *24449:SET_B 6.50727e-05 +143 *20684:B2 *24449:SET_B 7.88576e-05 +144 *20687:A1 *5917:560 0.000294093 +145 *20687:A1 *5917:577 0.000211492 +146 *21201:A *5917:129 0.000215148 +147 *21459:A *5917:85 0.00021243 +148 *21546:A *5917:460 0.000154145 +149 *21569:A *24847:SET_B 1.81081e-06 +150 *21569:A *5917:325 4.21825e-05 +151 *21570:A1 *24886:RESET_B 5.69771e-05 +152 *21570:B1 *5917:325 4.37014e-05 +153 *21570:B1 *5917:336 9.7112e-06 +154 *21792:A *5917:64 0.000221864 +155 *21819:B2 *5917:530 0.000215419 +156 *21819:B2 *5917:560 2.77564e-05 +157 *21913:A *24866:SET_B 0 +158 *21932:B1 *5917:115 6.27782e-05 +159 *21932:B1 *5917:129 0.000677682 +160 *22127:A *5917:14 1.07248e-05 +161 *22128:A *22127:B 1.64789e-05 +162 *22130:A *22127:B 7.48633e-05 +163 *22135:B *5917:14 0 +164 *24446:CLK *24446:RESET_B 5.51794e-05 +165 *24447:D *5917:524 1.07248e-05 +166 *24447:D *5917:530 8.52968e-05 +167 *24447:CLK *24676:RESET_B 2.71542e-05 +168 *24447:CLK *5917:502 5.90227e-05 +169 *24515:CLK *24515:SET_B 3.31745e-05 +170 *24519:D *24519:RESET_B 3.14768e-05 +171 *24519:CLK *24519:RESET_B 0.000326011 +172 *24519:CLK *5917:524 0.000108362 +173 *24521:D *5917:582 6.08467e-05 +174 *24838:CLK *24838:RESET_B 0.0002028 +175 *24839:D *5917:263 0 +176 *24852:CLK *24852:SET_B 1.41976e-05 +177 *24852:CLK *5917:93 0.000298201 +178 *24860:D *5917:137 1.98189e-05 +179 *24860:CLK *5917:137 0.000132323 +180 *24860:CLK *5917:148 7.92757e-06 +181 *24861:D *5917:168 4.91225e-06 +182 *24861:CLK *24861:RESET_B 0.000110099 +183 *24861:CLK *5917:148 0 +184 *24862:D *5917:168 3.25584e-05 +185 *24862:D *5917:179 0 +186 *24862:CLK *5917:168 9.75288e-05 +187 *24864:D *24866:SET_B 3.97205e-05 +188 *24865:D *24865:SET_B 0 +189 *24865:D *5917:203 0.000220183 +190 *24865:CLK *25381:A 5.56367e-05 +191 *24866:D *24866:SET_B 9.50523e-05 +192 *24866:CLK *24866:SET_B 7.47055e-05 +193 *24867:CLK *24868:SET_B 6.22703e-05 +194 *24868:CLK *24868:SET_B 2.16355e-05 +195 *24868:CLK *5917:225 0.000136527 +196 *24880:D *24880:RESET_B 9.14505e-05 +197 *24880:CLK *24880:RESET_B 0.000113968 +198 *24886:CLK *5917:305 5.53903e-05 +199 *24887:CLK *24887:RESET_B 1.91114e-05 +200 *24891:CLK *5917:356 3.41725e-05 +201 *24984:A *24866:SET_B 1.34245e-05 +202 *25255:A *5917:14 7.50722e-05 +203 *25268:A *5917:6 0 +204 *25269:A *5917:51 0.000102647 +205 *25270:A *5917:51 0 +206 *25274:A *5917:6 0 +207 *25274:A *5917:9 0.000171456 +208 *25278:A *5917:86 0 +209 *25278:A *5917:93 0 +210 *25279:A *24861:RESET_B 0.000182019 +211 *25279:A *5917:148 0.000204232 +212 *25282:A *5917:179 0 +213 *25283:A *24866:SET_B 0 +214 *25283:A *5917:179 0 +215 *25284:A *24865:SET_B 0 +216 *25284:A *5917:225 0 +217 *25285:A *24865:SET_B 4.23384e-05 +218 *25285:A *5917:225 1.07248e-05 +219 *25286:A *5917:225 2.5386e-05 +220 *25298:A *5917:106 0.00015324 +221 *25298:A *5917:115 0.000600721 +222 *25300:A *5917:129 0.00031117 +223 *25301:A *5917:129 9.24241e-05 +224 *25321:A *5917:9 0.00297449 +225 *25322:A *22127:B 0.000169041 +226 *74:18 *5917:336 4.61962e-05 +227 *74:18 *5917:350 0.000225677 +228 *460:63 *5917:481 3.44597e-05 +229 *1427:29 *5917:431 0.000640054 +230 *1435:21 *24446:RESET_B 8.62625e-06 +231 *1439:271 *24759:RESET_B 0.000149094 +232 *1439:333 *5917:431 1.96722e-05 +233 *1439:333 *5917:438 4.75721e-06 +234 *1448:8 *19745:A 0.000331897 +235 *1466:41 *24446:RESET_B 6.3504e-06 +236 *1467:22 *5917:465 2.25583e-07 +237 *1467:93 *5917:305 0 +238 *1469:29 *5917:277 0.000323001 +239 *1469:40 *5917:277 5.33266e-05 +240 *1469:40 *5917:290 4.06401e-05 +241 *1469:50 *5917:290 9.14015e-05 +242 *1470:62 *5917:290 0 +243 *1471:84 *24886:RESET_B 1.22347e-05 +244 *1485:80 *5917:438 3.57844e-05 +245 *1485:230 *24515:SET_B 8.19184e-05 +246 *1494:31 *25381:A 1.77537e-06 +247 *1494:40 *25381:A 6.08467e-05 +248 *1501:34 *5917:560 0.000441056 +249 *1502:42 *24890:RESET_B 0.000209385 +250 *1504:21 *24850:SET_B 0 +251 *1515:20 *24894:RESET_B 0.000150773 +252 *1515:20 *5917:460 0.000122202 +253 *1515:20 *5917:502 7.58507e-05 +254 *1537:267 *24446:RESET_B 1.91246e-05 +255 *1537:267 *5917:577 7.6719e-06 +256 *1573:8 *5917:560 3.4902e-05 +257 *1573:15 *24519:RESET_B 0.000111708 +258 *1573:15 *5917:560 8.01482e-05 +259 *1639:20 *24446:RESET_B 7.18018e-05 +260 *1639:20 *5917:560 0.000347035 +261 *1692:8 *24878:SET_B 5.41973e-06 +262 *1692:8 *5917:263 0.000158857 +263 *1692:8 *5917:269 7.12459e-05 +264 *1692:19 *24677:RESET_B 3.28783e-05 +265 *1698:77 *24894:RESET_B 0 +266 *1698:81 *24890:RESET_B 0 +267 *1698:81 *24894:RESET_B 0 +268 *1698:117 *5917:530 0.000105599 +269 *1698:117 *5917:560 0.000181832 +270 *1699:7 *24519:RESET_B 0.000232449 +271 *1699:55 *24519:RESET_B 0.00132903 +272 *1753:30 *5917:431 8.1849e-05 +273 *1756:8 *5917:390 8.62625e-06 +274 *1756:8 *5917:399 9.60366e-05 +275 *1756:8 *5917:408 0.000208915 +276 *1756:24 *24653:RESET_B 0.000658788 +277 *1757:48 *5917:577 8.22553e-05 +278 *1757:48 *5917:582 0.000278947 +279 *1760:8 *24890:RESET_B 9.8929e-05 +280 *1760:8 *24894:RESET_B 0.00029029 +281 *1762:138 *24515:SET_B 9.80242e-07 +282 *1783:100 *24505:RESET_B 0.000137753 +283 *1784:13 *5917:438 0.000177536 +284 *1947:215 *19745:A 0.000263937 +285 *1947:219 *5917:560 8.13555e-05 +286 *1947:228 *5917:524 0.000319431 +287 *1947:228 *5917:530 3.60268e-05 +288 *1947:228 *5917:560 8.6297e-06 +289 *1947:242 *24505:RESET_B 3.91685e-05 +290 *2009:44 *24505:RESET_B 7.36639e-05 +291 *2009:44 *5917:481 6.60706e-05 +292 *2036:7 *24449:SET_B 8.29362e-05 +293 *2037:34 *24449:SET_B 1.00846e-05 +294 *2259:15 *24505:RESET_B 0.000656449 +295 *2259:15 *5917:481 7.92206e-05 +296 *2274:22 *5917:465 1.58415e-05 +297 *2274:28 *5917:431 7.14746e-05 +298 *2398:32 *5917:336 3.0591e-05 +299 *2398:32 *5917:350 0.000160384 +300 *2398:32 *5917:353 1.03403e-05 +301 *2435:15 *24861:RESET_B 5.19713e-05 +302 *2447:9 *24885:RESET_B 0.000137425 +303 *2447:9 *5917:350 3.82228e-05 +304 *2514:13 *5917:438 0.0002211 +305 *2516:8 *24847:SET_B 0.00023622 +306 *2516:8 *5917:325 0.000190883 +307 *2516:8 *5917:336 0.000108839 +308 *2517:13 *24446:RESET_B 0.000210743 +309 *2561:22 *24759:RESET_B 7.80741e-05 +310 *2561:25 *24881:RESET_B 2.40589e-05 +311 *2561:25 *5917:290 5.94759e-05 +312 *2561:25 *5917:305 0.000426671 +313 *2580:17 *24519:RESET_B 2.52414e-06 +314 *2603:13 *5917:460 0.000205006 +315 *2619:5 *24843:RESET_B 0.000106869 +316 *2619:5 *5917:314 0.000765629 +317 *2632:19 *5917:290 8.41339e-05 +318 *2700:7 *5917:129 0.000252308 +319 *2700:12 *5917:481 0.000305207 +320 *2705:33 *24894:RESET_B 0.00010901 +321 *2758:22 *24653:RESET_B 0.000470571 +322 *2803:27 *24847:SET_B 5.25994e-05 +323 *2803:33 *5917:290 0.000360265 +324 *2808:20 *5917:524 0.000110477 +325 *2808:32 *5917:524 0.00016995 +326 *2808:32 *5917:530 2.44056e-05 +327 *2834:17 *5917:577 7.15305e-05 +328 *2834:17 *5917:582 0.00028253 +329 *2836:8 *24759:RESET_B 5.7867e-05 +330 *2836:8 *5917:356 0.000277263 +331 *2836:8 *5917:431 7.46648e-06 +332 *2836:8 *5917:438 1.1573e-05 +333 *2838:17 *24843:RESET_B 4.82072e-05 +334 *2838:17 *5917:314 0.000316891 +335 *2878:14 *5917:325 0 +336 *2878:14 *5917:350 5.42506e-06 +337 *2878:25 *5917:350 4.15201e-05 +338 *2878:25 *5917:380 0.000101133 +339 *2878:25 *5917:390 0.000241289 +340 *2878:25 *5917:408 1.41341e-05 +341 *2878:25 *5917:431 2.37419e-05 +342 *2922:19 *24882:RESET_B 0.000235519 +343 *2926:9 *24880:RESET_B 0.00015773 +344 *2939:35 *24446:RESET_B 1.03403e-05 +345 *2942:7 *24891:RESET_B 2.69678e-05 +346 *2942:7 *5917:353 7.44668e-05 +347 *2942:15 *24891:RESET_B 8.05819e-05 +348 *2964:14 *24885:RESET_B 1.73057e-05 +349 *2964:14 *25379:A 1.9101e-05 +350 *2964:14 *5917:336 8.01987e-05 +351 *2964:14 *5917:350 2.61147e-05 +352 *2964:14 *5917:380 2.5131e-05 +353 *2964:14 *5917:390 0.000226756 +354 *2964:14 *5917:399 3.45797e-05 +355 *2964:14 *5917:408 0.000101625 +356 *2964:14 *5917:431 7.06477e-05 +357 *3003:24 *24515:SET_B 0.000258128 +358 *3016:7 *5917:577 0.000480689 +359 *3177:105 *24887:RESET_B 0.000960184 +360 *4832:116 *5917:465 8.93276e-05 +361 *4832:118 *5917:465 0.000192181 +362 *4843:586 *5917:129 3.28416e-06 +363 *4843:586 *5917:137 2.33551e-05 +364 *4843:591 *24842:RESET_B 9.13394e-06 +365 *4843:591 *5917:64 0.000111708 +366 *4843:591 *5917:85 4.17142e-05 +367 *4845:432 *24866:SET_B 0.000250952 +368 *4921:26 *24515:SET_B 1.80257e-05 +369 *4921:26 *5917:582 0.000141361 +370 *4921:31 *5917:582 1.03403e-05 +371 *4923:12 *25381:A 0.000125697 +372 *4926:70 *24676:RESET_B 1.15904e-05 +373 *4929:84 *24838:RESET_B 2.30074e-05 +374 *4929:84 *5917:51 0.000115721 +375 *5082:34 *5917:560 4.10997e-05 +376 *5475:8 *5917:263 0 +377 *5475:19 *5917:263 0 +378 *5475:19 *5917:269 0 +379 *5490:7 *5917:524 6.65788e-05 +380 *5490:11 *24519:RESET_B 6.50586e-05 +381 *5671:10 *5917:106 0 +382 *5671:10 *5917:115 0 +383 *5671:27 *24847:SET_B 2.1203e-06 +384 *5671:27 *5917:290 0.000111708 +385 *5671:27 *5917:305 0.000359336 +386 *5671:27 *5917:325 7.2389e-05 +387 *5735:24 *5917:14 0 +388 *5744:8 *24850:SET_B 0.000153655 +389 *5744:8 *5917:86 0.000111594 +390 *5744:8 *5917:93 9.97985e-05 +391 *5746:7 *24838:RESET_B 9.16264e-05 +392 *5747:19 *5917:51 6.28484e-05 +393 *5747:19 *5917:260 5.56367e-05 +394 *5748:31 *24882:RESET_B 0.00036519 +395 *5748:31 *5917:607 0.000300719 +396 *5749:11 *24840:SET_B 2.57483e-05 +397 *5749:11 *5917:54 2.24071e-05 +398 *5749:11 *5917:64 6.52161e-05 +399 *5750:8 *24841:RESET_B 1.16404e-05 +400 *5751:7 *24842:RESET_B 5.37479e-05 +401 *5751:7 *5917:64 2.20699e-05 +402 *5751:7 *5917:85 0.000111722 +403 *5753:7 *24836:RESET_B 4.44646e-05 +404 *5754:28 *24841:RESET_B 0.000170577 +405 *5754:28 *5917:76 0.000102632 +406 *5755:13 *24841:RESET_B 0.000170577 +407 *5755:13 *5917:76 9.76034e-05 +408 *5759:18 *5917:148 0 +409 *5761:5 *5917:203 7.06457e-06 +410 *5761:9 *5917:168 1.43983e-05 +411 *5761:9 *5917:176 5.67722e-05 +412 *5761:9 *5917:203 9.2985e-05 +413 *5765:11 *5917:225 0.000248145 +414 *5778:5 *5917:106 3.81793e-05 +415 *5778:18 *5917:106 0.000377339 +416 *5784:7 *5917:137 0.000143751 +417 *5806:7 *5917:305 0.000164843 +418 *5806:20 *5917:51 9.60366e-05 +419 *5806:20 *5917:260 0.000591619 +420 *5806:20 *5917:277 5.16327e-05 +421 *5806:20 *5917:290 0.000294965 +422 *5806:29 *24837:SET_B 9.99035e-06 +423 *5806:29 *24838:RESET_B 4.68339e-05 +424 *5807:10 *24882:RESET_B 1.43361e-05 +425 *5807:14 *24882:RESET_B 3.55676e-05 +426 *5807:14 *5917:607 0.000112505 +427 *5809:11 *24836:RESET_B 2.8232e-05 +428 *5809:11 *5917:260 0.00016299 +429 *5811:7 *24885:RESET_B 0.000157607 +430 *5812:32 *5917:336 0 +431 *5813:10 *24878:SET_B 0 +432 *5813:10 *5917:269 8.07794e-05 +433 *5813:21 *24878:SET_B 0.000139079 +434 *5813:21 *5917:269 3.20069e-06 +435 *5814:38 *24878:SET_B 4.01573e-05 +436 *5851:207 *19745:A 3.73607e-05 +437 *5851:207 *24449:SET_B 0.000474578 +438 *5851:211 *24505:RESET_B 7.81584e-05 +439 *5851:211 *5917:481 5.53458e-05 +440 *5851:502 *5917:465 1.9101e-05 +441 *5852:41 *5917:290 2.40078e-05 +442 *5854:194 *24759:RESET_B 0.000345944 +443 *5854:223 *24841:RESET_B 0.000107852 +444 *5854:223 *5917:85 0.000778588 +445 *5854:262 *5917:168 0.000637669 +446 *5854:262 *5917:179 0 +447 *5854:305 *5917:481 9.23706e-05 +448 *5854:322 *24505:RESET_B 1.51692e-05 +449 *5856:283 *24676:RESET_B 7.39899e-05 +450 *5856:283 *5917:502 0.000157839 +451 *5856:353 *5917:277 0.000692698 +452 *5858:213 *5917:465 0.000548654 +453 *5858:223 *5917:465 6.85807e-05 +454 *5858:223 *5917:481 0.000115539 +455 *5858:254 *5917:115 2.58757e-05 +456 *5858:254 *5917:129 0.000194657 +457 *5858:494 *5917:465 0.000135814 +458 *5860:104 *24519:RESET_B 0.000464113 +459 *5860:176 *24878:SET_B 4.01573e-05 +460 *5860:180 *5917:263 0 +461 *5860:198 *5917:86 0 +462 *5860:198 *5917:93 2.03183e-05 +463 *5860:257 *25379:A 0.00026459 +464 *5860:260 *5917:431 0.000452092 +465 *5860:313 *25381:A 0.000118485 +466 *5860:313 *5917:225 0.000110649 +467 *5870:8 *5917:260 0.00026012 +468 *5898:25 *24677:RESET_B 5.8663e-05 +469 *5898:25 *5917:481 8.65522e-05 +470 *5898:51 *25379:A 0.000562232 +*RES +1 *25178:X *5917:6 18.0727 +2 *5917:6 *5917:9 47.3733 +3 *5917:9 *5917:14 11.2472 +4 *5917:14 *22128:B 9.24915 +5 *5917:14 *22127:B 12.2392 +6 *5917:6 *24837:SET_B 8.24367 +7 *24837:SET_B *24838:RESET_B 13.5275 +8 *24838:RESET_B *5917:51 10.4352 +9 *5917:51 *5917:54 9.10562 +10 *5917:54 *24840:SET_B 1.72409 +11 *5917:54 *5917:64 17.4247 +12 *5917:64 *24841:RESET_B 8.77135 +13 *5917:64 *5917:76 6.332 +14 *5917:76 *24842:RESET_B 0.639 +15 *5917:76 *5917:85 15.2063 +16 *5917:85 *5917:86 4.73876 +17 *5917:86 *5917:93 22.1769 +18 *5917:93 *24852:SET_B 1.21772 +19 *5917:93 *5917:106 16.7596 +20 *5917:106 *24854:SET_B 4.5 +21 *5917:106 *5917:115 16.1909 +22 *5917:115 *24856:SET_B 0.494321 +23 *5917:115 *5917:129 23.6412 +24 *5917:129 *24858:SET_B 0.494321 +25 *5917:129 *5917:137 11.8155 +26 *5917:137 *24860:SET_B 0.494321 +27 *5917:137 *5917:148 9.13165 +28 *5917:148 *24861:RESET_B 9.18661 +29 *5917:148 *5917:168 18.6303 +30 *5917:168 *24862:SET_B 0.494321 +31 *5917:168 *5917:176 2.38721 +32 *5917:176 *5917:179 11.7303 +33 *5917:179 *24864:SET_B 4.5 +34 *5917:179 *24866:SET_B 32.987 +35 *5917:176 *5917:203 14.29 +36 *5917:203 *24865:SET_B 6.74725 +37 *5917:203 *5917:225 19.1684 +38 *5917:225 *25381:A 22.4655 +39 *5917:225 *24868:SET_B 3.29145 +40 *5917:86 *24849:SET_B 4.5 +41 *5917:85 *24850:SET_B 12.0478 +42 *5917:51 *5917:260 25.6192 +43 *5917:260 *5917:263 24.6031 +44 *5917:263 *24877:RESET_B 4.5 +45 *5917:263 *5917:269 4.32351 +46 *5917:269 *24880:RESET_B 12.3488 +47 *24880:RESET_B *5917:277 20.0353 +48 *5917:277 *24879:RESET_B 4.5 +49 *5917:277 *5917:290 23.7516 +50 *5917:290 *5917:292 0.578717 +51 *5917:292 *24881:RESET_B 0.639 +52 *5917:292 *5917:305 23.478 +53 *5917:305 *24843:RESET_B 1.1936 +54 *5917:305 *5917:314 12.9878 +55 *5917:314 *24847:SET_B 10.1425 +56 *5917:314 *5917:325 8.89128 +57 *5917:325 *24886:RESET_B 5.139 +58 *5917:325 *5917:336 12.1455 +59 *5917:336 *24885:RESET_B 7.48715 +60 *5917:336 *5917:350 10.1015 +61 *5917:350 *5917:353 11.324 +62 *5917:353 *5917:356 18.3743 +63 *5917:356 *24759:RESET_B 16.7866 +64 *5917:356 *24761:SET_B 4.5 +65 *5917:353 *24891:RESET_B 6.76375 +66 *5917:350 *5917:380 1.832 +67 *5917:380 *24893:RESET_B 4.5 +68 *5917:380 *5917:390 12.2866 +69 *5917:390 *24653:RESET_B 11.7942 +70 *5917:390 *5917:399 1.832 +71 *5917:399 *24657:RESET_B 4.99432 +72 *5917:399 *5917:408 4.11588 +73 *5917:408 *25379:A 23.5413 +74 *5917:408 *5917:431 27.7248 +75 *5917:431 *5917:438 8.31342 +76 *5917:438 *24890:RESET_B 13.8676 +77 *5917:438 *24894:RESET_B 15.6474 +78 *24894:RESET_B *5917:460 8.40345 +79 *5917:460 *5917:465 26.6116 +80 *5917:465 *24677:RESET_B 1.74821 +81 *5917:465 *5917:481 21.6114 +82 *5917:481 *24505:RESET_B 14.5471 +83 *5917:481 *24504:SET_B 4.5 +84 *5917:460 *5917:502 12.1834 +85 *5917:502 *24676:RESET_B 5.91674 +86 *24676:RESET_B *24519:RESET_B 19.604 +87 *5917:502 *5917:524 29.4168 +88 *5917:524 *24447:RESET_B 4.5 +89 *5917:524 *5917:530 5.98452 +90 *5917:530 *24449:SET_B 14.6155 +91 *24449:SET_B *19745:A 27.6698 +92 *5917:530 *5917:560 24.8508 +93 *5917:560 *24446:RESET_B 14.5412 +94 *5917:560 *5917:577 14.8198 +95 *5917:577 *5917:582 19.2511 +96 *5917:582 *24521:RESET_B 0.494321 +97 *5917:582 *24515:SET_B 10.0914 +98 *5917:577 *24516:SET_B 4.5 +99 *5917:431 *24892:SET_B 4.5 +100 *5917:290 *5917:607 10.4845 +101 *5917:607 *24887:RESET_B 15.1219 +102 *5917:607 *24882:RESET_B 11.7303 +103 *5917:269 *24878:SET_B 14.2951 +104 *5917:260 *24836:RESET_B 3.99073 +105 *5917:260 *25380:A 9.24915 +*END + +*D_NET *5918 0.0882929 +*CONN +*I *23946:S I *D sky130_fd_sc_hd__mux2_1 +*I *23947:S I *D sky130_fd_sc_hd__mux2_1 +*I *23952:S I *D sky130_fd_sc_hd__mux2_1 +*I *23951:S I *D sky130_fd_sc_hd__mux2_2 +*I *25179:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23946:S 0.000148488 +2 *23947:S 0 +3 *23952:S 0 +4 *23951:S 0 +5 *25179:X 0.00838719 +6 *5918:80 0.000167646 +7 *5918:78 0.00208153 +8 *5918:56 0.00268518 +9 *5918:51 0.00147688 +10 *5918:32 0.00188112 +11 *5918:30 0.00227456 +12 *5918:24 0.00240296 +13 *5918:23 0.00285117 +14 *5918:20 0.00887418 +15 *5918:14 0.0155656 +16 *5918:14 *5920:19 0.000555882 +17 *5918:14 *5927:30 2.37478e-05 +18 *5918:56 *23952:A1 2.41483e-05 +19 *5918:78 *23947:A1 0.000217679 +20 mgmt_gpio_out[20] *5918:32 4.34007e-05 +21 mgmt_gpio_out[20] *5918:51 1.18802e-05 +22 mgmt_gpio_out[24] *5918:56 0.000385374 +23 mgmt_gpio_out[24] *5918:78 9.03506e-05 +24 mgmt_gpio_out[30] *23946:S 0 +25 *19861:A *5918:32 0.000271778 +26 *20291:A1 *5918:78 0.00020502 +27 *20291:B2 *5918:78 0 +28 *20499:B *5918:24 0.000422687 +29 *20500:A *5918:30 0 +30 *20647:B1 *5918:20 0.00210919 +31 *20714:B2 *5918:20 0.000294914 +32 *20717:B1 *5918:20 0.000204425 +33 *20718:A2 *5918:20 9.33263e-05 +34 *20744:B1 *5918:30 0 +35 *20748:B1 *5918:30 0.000197 +36 *21485:A *5918:24 9.98029e-06 +37 *21557:A *5918:20 0.00103482 +38 *21905:A *5918:20 5.02079e-05 +39 *22094:A1 *5918:20 0 +40 *23108:A *5918:14 0.000295601 +41 *23848:C *5918:14 8.5176e-06 +42 *23888:A *5918:32 0 +43 *23889:A *5918:51 0.000123188 +44 *23890:A *5918:51 8.62625e-06 +45 *23897:A *5918:56 0.000111722 +46 *23899:A *5918:56 0.000324151 +47 *23900:A *5918:78 5.16895e-05 +48 *23905:A *5918:78 6.92705e-05 +49 *23947:A0 *23946:S 4.17467e-05 +50 *23947:A0 *5918:78 0.000117376 +51 *23952:A0 *5918:56 0.000111708 +52 *23952:A0 *5918:78 6.08467e-05 +53 *24114:A *5918:32 0.00070111 +54 *24116:A *5918:51 0 +55 *24117:A *5918:51 3.22726e-05 +56 *24117:TE_B *5918:51 0.000148261 +57 *24120:A *5918:78 0.000335824 +58 *24123:A *5918:78 2.41315e-05 +59 *24123:TE_B *5918:78 0.000205521 +60 *24350:D *5918:24 0.000127194 +61 *24352:D *5918:30 0.000127179 +62 *24546:CLK *5918:24 0.000170592 +63 *24571:D *5918:32 0.000127179 +64 *24950:A *5918:20 2.87885e-05 +65 *25228:A *5918:56 3.59437e-05 +66 *131:11 *5918:56 1.21461e-06 +67 *490:46 *5918:30 0.00109444 +68 *490:46 *5918:32 0.000362468 +69 *1607:14 *5918:30 0.000102945 +70 *1787:10 *5918:20 0.000430899 +71 *1787:17 *5918:20 0.000319856 +72 *1790:191 *5918:24 0.00188367 +73 *1911:39 *5918:78 0.000787325 +74 *1911:51 *5918:78 8.03262e-05 +75 *2031:36 *5918:23 7.58507e-05 +76 *2045:17 *5918:20 0.000210626 +77 *2075:31 *5918:20 5.83451e-05 +78 *2135:83 *5918:24 0.000330908 +79 *2135:88 *5918:24 0.000568434 +80 *2448:29 *5918:23 4.42071e-05 +81 *2566:8 *5918:30 0 +82 *2566:8 *5918:32 0 +83 *2566:15 *5918:30 0.000101728 +84 *2620:37 *5918:20 0.00368195 +85 *2624:21 *5918:20 0.000150593 +86 *2737:29 *5918:20 3.03034e-05 +87 *2846:16 *5918:20 0.000208946 +88 *2985:8 *5918:24 0.000422488 +89 *2985:8 *5918:30 0.000672016 +90 *3002:69 *5918:14 0.00229485 +91 *3045:10 *5918:30 0 +92 *3084:10 *5918:14 2.02035e-05 +93 *3787:8 *5918:14 0.000117769 +94 *3827:41 *5918:14 0 +95 *3833:34 *5918:14 0 +96 *3840:101 *5918:14 0.00047519 +97 *3842:141 *5918:14 7.53782e-05 +98 *3877:58 *5918:14 0 +99 *3907:37 *5918:14 0.000232969 +100 *3974:83 *5918:14 0 +101 *3974:107 *5918:14 0 +102 *3991:22 *5918:14 0.000132341 +103 *4001:26 *5918:14 0.000306256 +104 *4007:8 *5918:14 0.000150552 +105 *4011:22 *5918:14 0.00239211 +106 *4015:8 *5918:14 0.000234229 +107 *4021:10 *5918:14 0.000142472 +108 *4127:34 *5918:14 0.000130501 +109 *4127:36 *5918:14 0.000347922 +110 *4301:40 *5918:20 3.39207e-06 +111 *4352:34 *5918:14 0.000150552 +112 *4352:39 *5918:14 0.000102893 +113 *4353:19 *5918:14 0.00117557 +114 *4396:35 *5918:14 0.000171173 +115 *4397:21 *5918:20 7.68401e-05 +116 *4495:13 *5918:20 0.0019251 +117 *4524:27 *5918:14 0 +118 *4561:27 *5918:20 0 +119 *4681:20 *5918:14 0.00143232 +120 *4830:16 *5918:32 0.000290644 +121 *4835:17 *23946:S 0.000114584 +122 *4835:17 *5918:78 0.000288326 +123 *4842:148 *5918:32 0.00123402 +124 *4874:19 *5918:20 3.70027e-06 +125 *4888:116 *5918:20 0.00012568 +126 *4888:120 *5918:23 2.65831e-05 +127 *4889:183 *5918:20 0.000159263 +128 *4892:61 *5918:24 0.00016255 +129 *4892:80 *5918:24 0.000590985 +130 *5458:13 *5918:14 0.00012683 +131 *5506:22 *5918:51 0.00011322 +132 *5715:10 *5918:51 0.000101148 +133 *5715:13 *5918:51 0.00224525 +134 *5727:10 *23946:S 5.94075e-05 +135 *5853:97 *5918:23 0.000329901 +136 *5866:438 *5918:32 1.43055e-05 +137 *5867:223 *5918:20 4.53929e-05 +138 *5867:231 *5918:20 0.00012016 +*RES +1 *25179:X *5918:14 42.3373 +2 *5918:14 *5918:20 48.483 +3 *5918:20 *5918:23 29.0714 +4 *5918:23 *5918:24 52.7004 +5 *5918:24 *5918:30 41.5741 +6 *5918:30 *5918:32 42.6611 +7 *5918:32 *23951:S 13.7491 +8 *5918:32 *5918:51 46.2229 +9 *5918:51 *5918:56 19.6281 +10 *5918:56 *23952:S 9.24915 +11 *5918:56 *5918:78 49.5767 +12 *5918:78 *5918:80 0.578717 +13 *5918:80 *23947:S 9.24915 +14 *5918:80 *23946:S 22.6049 +*END + +*D_NET *5919 0.0866923 +*CONN +*I *19565:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6042:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6041:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6045:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6044:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25180:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *19565:A1 0.000278544 +2 *6042:DIODE 4.51842e-05 +3 *6041:DIODE 0.000186516 +4 *6045:DIODE 2.75072e-05 +5 *6044:DIODE 0 +6 *25180:X 6.16982e-05 +7 *5919:75 0.000263231 +8 *5919:73 0.00105439 +9 *5919:72 0.00643966 +10 *5919:40 0.017345 +11 *5919:9 9.71186e-05 +12 *5919:6 0.011781 +13 *5919:6 *5927:30 0.00016385 +14 *5919:40 *5923:17 0.000483463 +15 *5919:40 *5927:30 1.66771e-05 +16 *6222:DIODE *6041:DIODE 3.8122e-05 +17 *21073:A1 *6041:DIODE 0.000247443 +18 *21073:A1 *6042:DIODE 6.08467e-05 +19 *21279:B1 *5919:40 0.000220804 +20 *21395:A2 *5919:72 0.00158184 +21 *21549:A2 *5919:40 0.000277938 +22 *21654:A *19565:A1 8.79845e-05 +23 *22010:A2 *5919:40 0.00146005 +24 *22376:A1 *5919:72 0.000104754 +25 *22601:B1 *5919:40 0.00187937 +26 *22617:B *5919:72 4.51619e-05 +27 *22617:C *5919:40 1.41976e-05 +28 *22625:B1 *5919:72 4.9425e-05 +29 *22712:B1 *5919:72 0.000167175 +30 *22762:B1 *5919:40 4.56654e-05 +31 *22771:C1 *5919:40 5.12145e-05 +32 *23241:C1 *5919:40 2.65609e-05 +33 *23325:A *6045:DIODE 1.1718e-05 +34 *23387:A *5919:40 0.000105105 +35 *23611:A2 *5919:40 4.57321e-06 +36 *23756:A2 *5919:40 0.00030368 +37 *324:19 *6041:DIODE 5.05252e-05 +38 *324:19 *5919:73 0.00156166 +39 *324:19 *5919:75 7.14746e-05 +40 *518:15 *5919:40 0.000109176 +41 *518:31 *5919:40 4.90151e-05 +42 *520:27 *5919:72 0.000709027 +43 *657:171 *5919:40 0 +44 *1419:239 *5919:72 0.0015487 +45 *1545:66 *5919:72 0.00121663 +46 *1573:155 *5919:72 0.000168737 +47 *1583:10 *5919:73 1.3706e-05 +48 *1584:49 *5919:40 5.17138e-05 +49 *1641:130 *5919:72 4.04447e-05 +50 *1730:127 *5919:40 8.01625e-07 +51 *1730:150 *5919:40 2.44786e-05 +52 *2256:114 *5919:72 0.000398766 +53 *2286:53 *5919:40 1.9101e-05 +54 *2295:82 *5919:72 0.00433224 +55 *2333:60 *5919:72 0.00236806 +56 *2397:63 *19565:A1 5.51483e-06 +57 *2423:93 *5919:72 1.07881e-05 +58 *2474:37 *5919:72 0.000104754 +59 *2660:68 *5919:40 3.42757e-05 +60 *2809:24 *5919:73 0.000100364 +61 *2810:60 *5919:40 0.00123578 +62 *2811:26 *5919:40 2.72284e-06 +63 *2814:24 *5919:72 0.0012766 +64 *2836:20 *5919:40 0.0114132 +65 *2837:49 *5919:40 0.00105636 +66 *2840:24 *5919:40 0.0015928 +67 *2857:52 *5919:40 0.000992085 +68 *2908:29 *5919:72 7.27023e-06 +69 *2990:62 *5919:72 0.000514929 +70 *3022:45 *5919:40 0.000262202 +71 *3050:41 *5919:40 7.41735e-05 +72 *3106:81 *5919:40 8.44854e-05 +73 *3114:160 *5919:40 1.97655e-05 +74 *3206:190 *5919:72 8.66003e-05 +75 *3243:30 *5919:40 2.27135e-05 +76 *3411:57 *5919:40 0.000176377 +77 *3471:162 *5919:72 5.88569e-05 +78 *3491:226 *5919:40 0.000626286 +79 *3500:152 *5919:40 3.73639e-05 +80 *3543:33 *5919:72 9.13942e-05 +81 *3868:279 *5919:40 0.000641498 +82 *3868:302 *5919:40 0.000582865 +83 *3872:164 *5919:40 8.98137e-05 +84 *3917:60 *6045:DIODE 2.41274e-06 +85 *3917:60 *5919:9 1.82679e-05 +86 *4138:78 *5919:40 0.000428823 +87 *4233:166 *5919:40 1.34851e-05 +88 *4254:48 *5919:40 1.43499e-05 +89 *4352:21 *5919:40 2.22559e-05 +90 *4627:23 *5919:40 9.22546e-05 +91 *4744:20 *5919:40 4.59195e-06 +92 *4745:43 *5919:40 0.00149044 +93 *4805:91 *6041:DIODE 5.0715e-05 +94 *4814:64 *5919:72 0.000198603 +95 *4816:124 *5919:72 0.00113681 +96 *4828:169 *5919:72 0.00032032 +97 *4845:316 *6041:DIODE 5.41227e-05 +98 *4845:316 *5919:73 0.00114422 +99 *4845:316 *5919:75 6.64609e-05 +100 *5452:33 *5919:40 3.12734e-06 +101 *5453:21 *5919:40 0.000996751 +102 *5526:32 *19565:A1 6.08467e-05 +103 *5593:65 *5919:73 0.000393134 +104 *5792:11 *5919:6 0.000170146 +105 *5792:11 *5919:40 1.3023e-05 +106 *5800:42 *5919:40 0.000192966 +107 *5852:117 *5919:40 0.000457972 +108 *5857:138 *5919:72 0.000168189 +109 *5859:210 *6041:DIODE 0.000214373 +*RES +1 *25180:X *5919:6 16.8269 +2 *5919:6 *5919:9 5.778 +3 *5919:9 *6044:DIODE 9.24915 +4 *5919:9 *6045:DIODE 9.97254 +5 *5919:6 *5919:40 46.3209 +6 *5919:40 *5919:72 40.4565 +7 *5919:72 *5919:73 29.8615 +8 *5919:73 *5919:75 1.41674 +9 *5919:75 *6041:DIODE 21.0201 +10 *5919:75 *6042:DIODE 14.4725 +11 *5919:73 *19565:A1 17.8002 +*END + +*D_NET *5920 0.0739306 +*CONN +*I *19561:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6046:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25181:X O *D sky130_fd_sc_hd__clkbuf_8 +*CAP +1 *19561:A1 0.000107147 +2 *6046:DIODE 0 +3 *25181:X 0.00489142 +4 *5920:28 0.0142776 +5 *5920:22 0.0215585 +6 *5920:19 0.0122795 +7 *5920:28 *5924:50 4.75721e-06 +8 *19561:A0 *19561:A1 0.000123176 +9 *20431:B1 *5920:28 4.9361e-05 +10 *20431:B2 *5920:28 5.9865e-05 +11 *20883:A2 *5920:28 7.70832e-05 +12 *23108:A *5920:19 0.00269626 +13 *23241:B2 *5920:19 0.000308722 +14 *23327:A *5920:19 6.50727e-05 +15 *23557:A2_N *5920:19 2.16355e-05 +16 *23658:A *5920:22 0 +17 *24127:A *19561:A1 1.00846e-05 +18 *657:181 *5920:22 0.000425603 +19 *1809:35 *5920:19 0.00300834 +20 *2135:70 *5920:28 0 +21 *2136:18 *5920:28 0 +22 *2136:29 *5920:28 0 +23 *2660:52 *5920:19 5.76799e-05 +24 *2813:25 *5920:28 0.000334705 +25 *2963:93 *5920:19 0.000123082 +26 *3086:14 *5920:28 0 +27 *3373:24 *5920:22 0.000520195 +28 *3781:66 *5920:22 0.00224906 +29 *3781:68 *5920:22 0.000110809 +30 *3791:34 *5920:22 0.000424186 +31 *3791:42 *5920:22 0.000486471 +32 *3846:51 *5920:22 2.40249e-06 +33 *3868:93 *5920:22 0.00176025 +34 *3902:44 *5920:19 0.000213273 +35 *3902:50 *5920:19 5.56367e-05 +36 *4011:22 *5920:19 3.93633e-05 +37 *4024:70 *5920:19 2.6603e-05 +38 *4089:27 *5920:19 0.000151342 +39 *4301:40 *5920:22 3.9803e-05 +40 *4308:29 *5920:22 0 +41 *4352:21 *5920:19 0.000696208 +42 *4396:23 *5920:22 0.000227323 +43 *4396:35 *5920:22 0 +44 *4397:22 *5920:22 0.000244869 +45 *4500:16 *5920:22 0.000125458 +46 *4522:8 *5920:19 0.000255596 +47 *4529:25 *5920:19 0.000158469 +48 *4587:31 *5920:28 0.000364933 +49 *4602:34 *5920:22 0.00387781 +50 *4676:27 *5920:19 3.17436e-05 +51 *4701:18 *5920:19 1.97764e-05 +52 *4748:19 *5920:19 2.68045e-05 +53 *5456:41 *5920:19 0 +54 *5457:13 *5920:19 0.00044846 +55 *5866:303 *5920:28 0.000338286 +56 *5867:549 *5920:28 0 +57 *5918:14 *5920:19 0.000555882 +*RES +1 *25181:X *5920:19 41.6631 +2 *5920:19 *5920:22 25.4596 +3 *5920:22 *5920:28 41.1498 +4 *5920:28 *6046:DIODE 9.24915 +5 *5920:28 *19561:A1 12.7456 +*END + +*D_NET *5921 0.0861295 +*CONN +*I *23945:S I *D sky130_fd_sc_hd__mux2_1 +*I *19560:S I *D sky130_fd_sc_hd__mux2_1 +*I *19561:S I *D sky130_fd_sc_hd__mux2_1 +*I *23950:S I *D sky130_fd_sc_hd__mux2_2 +*I *23920:B I *D sky130_fd_sc_hd__and2_1 +*I *25182:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23945:S 0.000435359 +2 *19560:S 0.000147413 +3 *19561:S 0 +4 *23950:S 0 +5 *23920:B 0 +6 *25182:X 4.49093e-05 +7 *5921:109 0.00136761 +8 *5921:99 0.00161415 +9 *5921:98 0.00356561 +10 *5921:94 0.0030599 +11 *5921:78 0.000538675 +12 *5921:72 0.00653197 +13 *5921:70 0.0071803 +14 *5921:63 0.00263644 +15 *5921:57 0.0022181 +16 *5921:51 0.00158588 +17 *5921:49 0.00183362 +18 *5921:45 0.00179378 +19 *5921:42 0.00119291 +20 *5921:37 0.00259574 +21 *5921:26 0.00512504 +22 *5921:25 0.00262124 +23 *5921:20 0.00073696 +24 *5921:6 0.00078187 +25 *23945:S *5924:50 0 +26 *5921:6 *5927:30 0.000141001 +27 *5921:20 *5927:30 0.000170131 +28 *5921:51 *6021:14 0.000269598 +29 *5921:57 *6021:14 0.000122907 +30 *5921:63 *23964:S 6.49003e-05 +31 *5921:78 *23950:A1 6.50586e-05 +32 *5921:94 *23950:A1 9.97706e-05 +33 *19602:S *5921:99 1.79807e-05 +34 *19827:A *5921:70 0.000381471 +35 *20472:B *5921:70 5.97411e-05 +36 *20473:A *5921:70 0.000171273 +37 *20665:A1 *5921:94 0.000263138 +38 *20665:A2 *5921:94 0.000107496 +39 *20665:B1 *5921:94 3.51034e-05 +40 *20665:B2 *5921:94 9.55447e-05 +41 *20670:B1 *5921:72 4.50672e-05 +42 *20756:A1 *5921:70 5.71185e-05 +43 *20756:B2 *5921:70 6.50586e-05 +44 *21253:B2 *5921:98 5.20546e-06 +45 *21254:C1 *5921:98 0.000140028 +46 *21496:A *5921:49 0.000188549 +47 *22088:A1 *5921:20 1.91391e-05 +48 *22088:A2 *5921:20 0.000364493 +49 *22088:B2 *5921:20 0.000145979 +50 *23009:A1 *5921:26 1.9633e-05 +51 *23009:A2 *5921:26 0.000391697 +52 *23010:A2 *5921:20 2.23124e-05 +53 *23046:B *5921:26 0.000126652 +54 *23047:B1_N *5921:26 0.000114584 +55 *23518:B *5921:26 0.000150466 +56 *23519:D1 *5921:26 6.50586e-05 +57 *23611:A3 *5921:20 0.00019109 +58 *23617:A *5921:26 3.53797e-05 +59 *23643:A1 *5921:26 0.000346367 +60 *23643:A2 *5921:26 0.000107496 +61 *23643:A3 *5921:26 9.95234e-05 +62 *23950:A0 *5921:94 2.57365e-05 +63 *24087:A0 *5921:51 0 +64 *24250:D *5921:51 0.000101133 +65 *24345:D *5921:45 0.000118485 +66 *24382:D *5921:45 0.000115448 +67 *24387:D *5921:72 0.000103942 +68 *24387:SET_B *5921:72 0.0013773 +69 *24387:CLK *5921:72 2.16355e-05 +70 *24416:RESET_B *5921:98 6.58972e-05 +71 *24416:CLK *5921:98 0.000384965 +72 *24427:CLK *5921:57 0.000190427 +73 *24457:D *5921:72 9.4975e-05 +74 *24461:D *5921:72 5.40227e-05 +75 *24461:RESET_B *5921:72 5.69771e-05 +76 *24461:CLK *5921:72 8.84575e-05 +77 *24547:D *5921:72 6.5364e-05 +78 *24685:D *23945:S 1.44611e-05 +79 *24685:CLK *19560:S 1.43848e-05 +80 *24685:CLK *5921:109 4.03381e-05 +81 *24704:D *5921:57 0.000506901 +82 *24706:RESET_B *5921:99 8.74513e-05 +83 *24706:RESET_B *5921:109 5.3381e-05 +84 *24734:CLK *5921:99 0.000424832 +85 *24757:RESET_B *5921:63 4.075e-05 +86 *24903:D *5921:99 0.000115448 +87 *566:116 *5921:51 0.000475021 +88 *566:116 *5921:57 0.000184266 +89 *566:120 *5921:51 0.0001549 +90 *1485:185 *5921:37 1.69103e-05 +91 *1581:10 *5921:45 2.05966e-05 +92 *1597:16 *5921:45 0.000738773 +93 *1620:153 *5921:72 8.55661e-05 +94 *1708:124 *5921:72 3.31745e-05 +95 *1783:38 *5921:45 0.000110494 +96 *1799:21 *5921:72 0.000207266 +97 *1947:56 *5921:49 0.000157432 +98 *1947:102 *5921:42 0.000207266 +99 *1992:19 *5921:72 0.000852525 +100 *1992:29 *5921:72 0.00193262 +101 *2030:25 *5921:78 2.22198e-05 +102 *2031:85 *5921:78 0.000113968 +103 *2031:85 *5921:94 6.50727e-05 +104 *2057:31 *5921:70 0.000216535 +105 *2297:25 *19560:S 3.40207e-05 +106 *2306:12 *5921:99 0.000126074 +107 *2317:8 *5921:94 1.03403e-05 +108 *2320:10 *5921:99 0 +109 *2523:31 *5921:57 0.000397835 +110 *2523:38 *5921:37 0.000586886 +111 *2616:30 *5921:37 0.000641552 +112 *2620:16 *23945:S 0 +113 *2660:18 *5921:45 0.00173998 +114 *2660:18 *5921:49 0.000238908 +115 *2660:18 *5921:51 0.00187376 +116 *2672:13 *5921:45 0.000883416 +117 *2813:25 *5921:109 1.91391e-05 +118 *2943:78 *5921:37 2.94753e-05 +119 *3083:48 *5921:20 0.000252147 +120 *3083:50 *5921:20 0.000296377 +121 *3084:10 *5921:20 0.000444624 +122 *3084:35 *5921:6 0.000148129 +123 *3084:35 *5921:20 0.000359574 +124 *3868:180 *5921:26 6.96237e-05 +125 *3872:104 *5921:26 9.99867e-06 +126 *3872:119 *5921:26 0.000293043 +127 *3917:57 *5921:26 0.00104909 +128 *3917:72 *5921:20 1.35146e-05 +129 *4024:41 *5921:26 6.17774e-05 +130 *4024:48 *5921:26 3.13254e-05 +131 *4034:34 *5921:51 0 +132 *4415:123 *5921:26 0.000220682 +133 *4415:146 *5921:26 0.000110297 +134 *4540:14 *5921:26 0.000158371 +135 *4548:8 *5921:26 6.36477e-05 +136 *4550:40 *5921:49 0.000751864 +137 *4712:18 *5921:26 0.000247443 +138 *4834:26 *5921:99 0 +139 *4834:26 *5921:109 0 +140 *4834:30 *5921:99 0 +141 *4836:49 *5921:109 0.000218546 +142 *4838:47 *5921:70 5.51483e-06 +143 *4838:52 *5921:70 0.000109747 +144 *4838:92 *5921:51 0 +145 *4838:321 *5921:98 0.000510789 +146 *4843:189 *5921:78 0 +147 *4843:202 *5921:78 0 +148 *4843:212 *5921:72 1.63493e-05 +149 *4862:8 *5921:45 0.000546874 +150 *4862:8 *5921:49 0.000597353 +151 *4862:19 *5921:49 0.00121411 +152 *4862:19 *5921:51 0.000604432 +153 *4872:30 *5921:78 0.000117926 +154 *4884:46 *5921:63 4.15661e-05 +155 *4901:69 *5921:99 5.5822e-05 +156 *4933:169 *5921:37 0.000269306 +157 *4935:102 *5921:51 0.000318457 +158 *4935:102 *5921:57 4.97938e-05 +159 *4942:7 *5921:63 0.00139138 +160 *4942:7 *5921:70 0.00179243 +161 *4942:15 *5921:72 1.46925e-05 +162 *5011:6 *5921:49 0.000138436 +163 *5019:10 *5921:45 0.000118485 +164 *5030:9 *5921:99 9.60366e-05 +165 *5455:32 *5921:20 0.000412688 +166 *5594:16 *23945:S 0.000151539 +167 *5594:16 *5921:99 0.00108401 +168 *5594:16 *5921:109 0.00123334 +169 *5714:10 *5921:94 0.000799484 +170 *5815:21 *5921:70 1.82679e-05 +171 *5865:436 *5921:99 0.00020172 +172 *5865:450 *5921:99 0.000447869 +173 *5867:499 *5921:72 0.000207266 +174 *5868:312 *5921:63 5.15415e-05 +*RES +1 *25182:X *5921:6 16.4116 +2 *5921:6 *5921:20 43.4304 +3 *5921:20 *23920:B 9.24915 +4 *5921:6 *5921:25 4.5 +5 *5921:25 *5921:26 62.5617 +6 *5921:26 *5921:37 47.6278 +7 *5921:37 *5921:42 11.3872 +8 *5921:42 *5921:45 47.6197 +9 *5921:45 *5921:49 33.5011 +10 *5921:49 *5921:51 50.6241 +11 *5921:51 *5921:57 24.713 +12 *5921:57 *5921:63 37.3459 +13 *5921:63 *5921:70 30.7443 +14 *5921:70 *5921:72 97.779 +15 *5921:72 *5921:78 15.432 +16 *5921:78 *23950:S 9.24915 +17 *5921:78 *5921:94 14.8537 +18 *5921:94 *5921:98 44.6003 +19 *5921:98 *5921:99 35.4674 +20 *5921:99 *19561:S 13.7491 +21 *5921:99 *5921:109 29.4818 +22 *5921:109 *19560:S 12.6491 +23 *5921:109 *23945:S 29.2167 +*END + +*D_NET *5922 0.00556444 +*CONN +*I *21376:A I *D sky130_fd_sc_hd__inv_2 +*I *25183:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21376:A 8.90078e-05 +2 *25183:X 0.00138443 +3 *5922:11 0.00147343 +4 *21204:A *21376:A 3.27635e-05 +5 *21211:B1 *21376:A 0 +6 *21211:B1 *5922:11 0.000459901 +7 *21709:A *5922:11 0.000184931 +8 *25134:A *5922:11 0 +9 *25150:A *5922:11 5.41377e-05 +10 *2333:13 *5922:11 0.000397744 +11 *5564:12 *5922:11 0.0014881 +*RES +1 *25183:X *5922:11 46.3401 +2 *5922:11 *21376:A 20.4964 +*END + +*D_NET *5923 0.0808482 +*CONN +*I *19560:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6048:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6047:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25184:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *19560:A1 0 +2 *6048:DIODE 0.000209456 +3 *6047:DIODE 0.000147416 +4 *25184:X 0.0017464 +5 *5923:36 0.000378829 +6 *5923:23 0.015685 +7 *5923:20 0.0268179 +8 *5923:17 0.0131961 +9 *6048:DIODE *5924:50 9.60216e-05 +10 *5923:20 *5929:28 0.00129604 +11 *19560:A0 *5923:36 6.08467e-05 +12 *23611:A2 *5923:17 3.55093e-05 +13 *23725:C1 *5923:20 0.000105699 +14 *23756:A2 *5923:17 6.85005e-05 +15 *23757:B *5923:20 0.000112374 +16 *23835:A1 *5923:20 4.72999e-05 +17 *24378:CLK *6047:DIODE 2.41274e-06 +18 *490:42 *5923:23 0.000142542 +19 *1913:29 *6047:DIODE 0 +20 *1914:10 *6047:DIODE 5.4651e-05 +21 *2288:14 *5923:23 8.91819e-06 +22 *2357:32 *5923:23 0.000113007 +23 *2417:21 *6047:DIODE 6.13004e-05 +24 *2417:25 *6047:DIODE 1.06893e-05 +25 *2417:25 *6048:DIODE 7.89585e-06 +26 *2620:16 *6048:DIODE 9.75356e-05 +27 *2620:16 *5923:36 0.000461973 +28 *3078:14 *5923:23 0 +29 *3779:47 *5923:20 0.000110463 +30 *3789:21 *5923:20 4.08979e-05 +31 *3799:28 *5923:20 0.000106928 +32 *3829:75 *5923:20 0.000807655 +33 *3829:77 *5923:20 0.0003907 +34 *3829:85 *5923:20 0.00118974 +35 *3831:21 *5923:20 0.000129632 +36 *3840:42 *5923:20 0.000503418 +37 *3840:57 *5923:20 0.00117077 +38 *3862:58 *5923:20 5.92722e-05 +39 *3862:77 *5923:20 0.000180424 +40 *3862:113 *5923:20 7.10462e-05 +41 *3866:30 *5923:20 0.000125723 +42 *3891:133 *5923:17 0.000240197 +43 *3891:133 *5923:20 0.000719805 +44 *3891:146 *5923:20 0.000210302 +45 *3891:176 *5923:20 0.00059277 +46 *3892:23 *5923:17 0.000689487 +47 *3905:14 *5923:20 0.000142472 +48 *3917:19 *5923:20 0.000291982 +49 *3917:26 *5923:20 0.000562606 +50 *3917:28 *5923:20 4.62354e-05 +51 *3923:17 *5923:20 0.000111693 +52 *3928:18 *5923:20 0.000133404 +53 *3980:8 *5923:20 0.000124228 +54 *3980:26 *5923:20 0.00078498 +55 *3990:15 *5923:23 0.000311178 +56 *3990:25 *5923:23 0.000698766 +57 *3990:46 *5923:23 0.000115521 +58 *4024:70 *5923:17 7.03472e-06 +59 *4038:22 *5923:20 0.000101358 +60 *4231:54 *5923:17 0.000944749 +61 *4240:8 *5923:20 0.000110421 +62 *4245:6 *5923:20 0.000238244 +63 *4301:50 *5923:23 0.001735 +64 *4338:17 *5923:20 0.000663477 +65 *4367:15 *5923:20 0.000125458 +66 *4368:8 *5923:20 0.000269189 +67 *4418:14 *5923:20 0.000108025 +68 *4520:12 *5923:20 0.00012188 +69 *4522:8 *5923:17 0.000937124 +70 *4664:33 *5923:17 1.41861e-05 +71 *4741:18 *5923:20 0.000549906 +72 *4744:20 *5923:17 7.40684e-06 +73 *4825:28 *5923:23 0.000812433 +74 *4839:377 *6048:DIODE 9.19886e-06 +75 *5600:50 *5923:20 0.000105914 +76 *5600:63 *5923:20 0.000125365 +77 *5631:22 *5923:20 0.000128282 +78 *5800:42 *5923:17 0 +79 *5866:328 *5923:23 0.00161807 +80 *5867:682 *5923:23 5.53585e-06 +81 *5919:40 *5923:17 0.000483463 +*RES +1 *25184:X *5923:17 44.9286 +2 *5923:17 *5923:20 33.4609 +3 *5923:20 *5923:23 40.6311 +4 *5923:23 *6047:DIODE 17.482 +5 *5923:23 *5923:36 9.66022 +6 *5923:36 *6048:DIODE 22.4683 +7 *5923:36 *19560:A1 9.24915 +*END + +*D_NET *5924 0.100554 +*CONN +*I *23945:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6049:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25185:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *23945:A1 6.39249e-05 +2 *6049:DIODE 4.19981e-05 +3 *25185:X 0.00425859 +4 *5924:52 0.000105923 +5 *5924:50 0.00162689 +6 *5924:46 0.0032663 +7 *5924:44 0.00169065 +8 *5924:42 0.00239502 +9 *5924:40 0.00364132 +10 *5924:37 0.0157012 +11 *5924:20 0.0186622 +12 *6048:DIODE *5924:50 9.60216e-05 +13 *19560:A0 *5924:50 0.000394813 +14 *19561:A0 *5924:50 7.64786e-05 +15 *19789:A *5924:37 0.000518764 +16 *19790:B1 *5924:37 0.000934791 +17 *19810:A2 *5924:37 0.000108986 +18 *20302:A1 *5924:50 8.09327e-05 +19 *20303:A1 *5924:50 9.75356e-05 +20 *20921:B1 *5924:46 0 +21 *20944:A1 *5924:40 0.000193228 +22 *20959:B1 *5924:42 6.08112e-05 +23 *21242:C1 *5924:37 0.000415944 +24 *21273:A2 *5924:37 0.000191896 +25 *21405:A *5924:42 0.000387942 +26 *21804:A *5924:42 2.92708e-05 +27 *21814:B1 *5924:37 0.00045051 +28 *21832:B1 *5924:37 0.000119996 +29 *22324:A2 *5924:37 9.95036e-05 +30 *22354:B2 *5924:37 2.57753e-05 +31 *22398:B2 *5924:37 0.000156525 +32 *22712:B1 *5924:37 0.00139919 +33 *22773:A2 *5924:37 7.98208e-05 +34 *23344:B *5924:20 0.000120807 +35 *23381:B *5924:20 0.000476884 +36 *23746:A *5924:20 8.00779e-06 +37 *23945:S *5924:50 0 +38 *24296:CLK *5924:42 0 +39 *24301:CLK *5924:42 9.74472e-05 +40 *24307:D *5924:40 0.000109895 +41 *24322:D *5924:42 0.000114495 +42 *24414:D *5924:50 0.000104731 +43 *24417:D *5924:46 0.000211819 +44 *477:44 *5924:46 0.000426451 +45 *477:44 *5924:50 3.73011e-05 +46 *490:58 *5924:46 0.000764613 +47 *512:38 *5924:37 6.88492e-05 +48 *1419:239 *5924:37 0.000162569 +49 *1435:251 *5924:37 0.000216145 +50 *1485:170 *5924:37 0.000683265 +51 *1545:118 *5924:37 0.000323785 +52 *1558:24 *5924:37 0.000961026 +53 *1558:42 *5924:37 0.000115772 +54 *1564:106 *5924:37 0.000641434 +55 *1569:54 *5924:37 0.00139231 +56 *1573:166 *5924:37 0.000131688 +57 *1592:93 *5924:37 0.00134802 +58 *1605:29 *5924:37 5.60804e-05 +59 *1608:98 *5924:37 0.000477772 +60 *1627:101 *5924:37 0.000308971 +61 *1647:35 *5924:37 9.29815e-06 +62 *1655:77 *5924:37 7.26682e-05 +63 *1716:35 *5924:37 2.48044e-05 +64 *1716:45 *5924:37 5.46505e-05 +65 *1717:133 *5924:37 7.57858e-05 +66 *1720:52 *5924:37 0.000485141 +67 *1795:44 *5924:37 3.03787e-05 +68 *1808:78 *5924:37 7.78053e-05 +69 *1913:14 *5924:50 5.98269e-05 +70 *1913:29 *5924:50 2.82834e-05 +71 *2148:38 *5924:42 0 +72 *2249:8 *5924:42 8.18725e-05 +73 *2262:102 *5924:37 0.000218537 +74 *2282:53 *5924:40 2.27135e-05 +75 *2286:53 *5924:37 0.000501314 +76 *2306:12 *5924:46 0 +77 *2306:12 *5924:50 0 +78 *2319:42 *5924:40 0.000467837 +79 *2319:55 *5924:40 8.59549e-05 +80 *2321:14 *5924:46 0 +81 *2379:63 *5924:37 0.000684029 +82 *2389:96 *5924:37 2.39421e-05 +83 *2404:60 *5924:37 0.000417631 +84 *2421:67 *5924:40 0.00030374 +85 *2433:31 *5924:42 8.07113e-05 +86 *2486:24 *5924:50 0.00123918 +87 *2486:32 *5924:46 0 +88 *2499:28 *5924:42 0 +89 *2499:39 *5924:42 0 +90 *2503:31 *5924:37 0.000133334 +91 *2520:43 *5924:42 0.000598683 +92 *2527:13 *5924:37 0.000451149 +93 *2545:11 *6049:DIODE 0.000110458 +94 *2545:11 *23945:A1 0.000197348 +95 *2620:16 *5924:50 9.45719e-05 +96 *2687:68 *5924:37 0 +97 *2820:9 *5924:42 0.000131163 +98 *2863:27 *5924:37 0.000174584 +99 *2963:93 *5924:20 7.25148e-06 +100 *2963:93 *5924:37 0.000438438 +101 *2996:72 *5924:37 0.000444318 +102 *3020:14 *5924:37 9.00714e-07 +103 *3041:118 *5924:40 9.43185e-05 +104 *3139:200 *5924:37 4.32862e-06 +105 *3164:112 *5924:37 0.000445752 +106 *3196:185 *5924:37 0.000103805 +107 *3217:25 *5924:37 3.30319e-05 +108 *3257:28 *5924:42 9.4218e-05 +109 *3257:36 *5924:42 9.5251e-05 +110 *3333:33 *5924:37 0.000328278 +111 *3368:27 *5924:37 0 +112 *3415:22 *5924:37 0.000536165 +113 *3421:32 *5924:37 0.000172186 +114 *3471:183 *5924:37 0.000851038 +115 *3474:195 *5924:37 8.50044e-05 +116 *3476:127 *5924:37 0.00234908 +117 *3494:25 *5924:37 0.000109235 +118 *3521:64 *5924:37 0.000597262 +119 *3529:92 *5924:37 0.000697237 +120 *3541:180 *5924:37 7.91253e-06 +121 *3546:26 *5924:37 0.000138599 +122 *3717:14 *5924:37 0.00275368 +123 *3877:134 *5924:37 3.49643e-05 +124 *3917:72 *5924:20 0.00029328 +125 *3974:107 *5924:20 0.0016715 +126 *4089:27 *5924:20 0.000153427 +127 *4106:157 *5924:37 0.000174361 +128 *4126:161 *5924:20 0 +129 *4137:28 *5924:20 0.000637659 +130 *4137:47 *5924:20 0.000109247 +131 *4233:33 *5924:20 0.00026128 +132 *4619:26 *5924:20 6.55666e-06 +133 *4635:18 *5924:20 9.50684e-05 +134 *4664:33 *5924:20 0.000226098 +135 *4686:14 *5924:20 9.05141e-05 +136 *4695:22 *5924:20 3.67363e-05 +137 *4695:56 *5924:20 1.60156e-05 +138 *4748:19 *5924:20 0.000781987 +139 *4802:18 *5924:37 0.00103275 +140 *4816:96 *5924:40 0.00210325 +141 *4824:112 *5924:46 0.000171187 +142 *4826:117 *5924:37 3.12734e-06 +143 *4830:49 *5924:42 1.71478e-05 +144 *4835:49 *5924:42 0 +145 *4838:331 *5924:46 0.000294464 +146 *4839:377 *5924:50 0 +147 *4872:60 *5924:46 0.00034951 +148 *4872:65 *5924:42 0.000138327 +149 *4872:65 *5924:46 0.0019092 +150 *4872:74 *5924:42 0.00133922 +151 *4872:76 *5924:42 0.000299933 +152 *4872:78 *5924:40 0.000269274 +153 *4872:78 *5924:42 0.0014601 +154 *4901:79 *5924:46 6.27764e-05 +155 *4902:19 *5924:42 0 +156 *4960:11 *5924:42 9.35979e-05 +157 *5453:21 *5924:20 0.00130854 +158 *5453:21 *5924:37 0.000664906 +159 *5454:15 *5924:20 4.33066e-05 +160 *5456:41 *5924:20 0 +161 *5558:10 *5924:50 0.000189565 +162 *5594:16 *5924:50 0 +163 *5852:117 *5924:20 8.31701e-05 +164 *5853:208 *5924:42 4.58504e-05 +165 *5862:441 *5924:40 8.91699e-06 +166 *5862:454 *5924:42 1.48713e-05 +167 *5920:28 *5924:50 4.75721e-06 +*RES +1 *25185:X *5924:20 42.6835 +2 *5924:20 *5924:37 41.3638 +3 *5924:37 *5924:40 44.09 +4 *5924:40 *5924:42 71.6188 +5 *5924:42 *5924:44 0.732798 +6 *5924:44 *5924:46 59.1612 +7 *5924:46 *5924:50 49.2318 +8 *5924:50 *5924:52 4.5 +9 *5924:52 *6049:DIODE 10.5271 +10 *5924:52 *23945:A1 11.6364 +*END + +*D_NET *5925 0.0724137 +*CONN +*I *6125:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23950:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *25186:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6125:DIODE 0 +2 *23950:A1 0.00020166 +3 *25186:X 0.00387533 +4 *5925:22 0.00792021 +5 *5925:17 0.0220955 +6 *5925:11 0.0182523 +7 *5925:11 *5927:43 1.22756e-05 +8 *5925:17 *6021:9 0.000728447 +9 *20669:B2 *23950:A1 0.000161253 +10 *20669:B2 *5925:22 1.10793e-05 +11 *21608:A *23950:A1 0.000203151 +12 *21608:A *5925:22 2.02035e-05 +13 *21777:A1 *5925:17 0.000589706 +14 *22972:A *5925:11 0.000108997 +15 *23573:B2 *5925:11 0.000282368 +16 *1438:152 *5925:17 0.00012862 +17 *1482:16 *5925:17 0.000138857 +18 *1482:29 *5925:17 0 +19 *1483:14 *5925:17 0.000357689 +20 *1483:20 *5925:17 0.000134557 +21 *1707:43 *5925:17 0.000408901 +22 *2554:35 *5925:17 0 +23 *2784:42 *5925:11 0.000810773 +24 *2785:74 *5925:17 0.000507903 +25 *2810:60 *5925:17 0.00164267 +26 *3089:30 *5925:17 0.000128423 +27 *3842:241 *5925:11 4.7851e-06 +28 *3860:123 *5925:11 3.63593e-05 +29 *3971:12 *5925:17 0.0001184 +30 *4106:126 *5925:17 0.000128583 +31 *4126:161 *5925:11 0.00112269 +32 *4209:40 *5925:17 0.000193994 +33 *4211:11 *5925:17 0.0001184 +34 *4371:36 *5925:17 0.000113119 +35 *4506:12 *5925:17 0.000217113 +36 *4536:10 *5925:17 0.000221855 +37 *4585:17 *5925:17 0.000118394 +38 *4611:12 *5925:17 0.000296061 +39 *4656:8 *5925:17 0.000272221 +40 *4799:6 *5925:17 0.0001128 +41 *4799:10 *5925:17 0.000117093 +42 *4843:86 *5925:22 7.37743e-05 +43 *4872:30 *23950:A1 1.55462e-05 +44 *4873:54 *5925:17 0.000220421 +45 *4881:177 *5925:22 0.000922723 +46 *5454:15 *5925:11 6.94288e-05 +47 *5458:65 *5925:17 0.00028271 +48 *5593:46 *5925:11 0.00796833 +49 *5801:92 *5925:17 0.0005859 +50 *5861:157 *5925:17 0.000197242 +51 *5921:78 *23950:A1 6.50586e-05 +52 *5921:94 *23950:A1 9.97706e-05 +*RES +1 *25186:X *5925:11 30.0031 +2 *5925:11 *5925:17 34.8366 +3 *5925:17 *5925:22 21.5048 +4 *5925:22 *23950:A1 19.49 +5 *5925:22 *6125:DIODE 13.7491 +*END + +*D_NET *5926 0.0771575 +*CONN +*I *6056:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6055:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6053:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6052:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6051:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6050:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23954:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *25187:X O *D sky130_fd_sc_hd__clkbuf_8 +*CAP +1 *6056:DIODE 0 +2 *6055:DIODE 0 +3 *6053:DIODE 5.81894e-05 +4 *6052:DIODE 6.29926e-05 +5 *6051:DIODE 0 +6 *6050:DIODE 0 +7 *23954:A0 0.000190814 +8 *25187:X 0.00019747 +9 *5926:95 0.000145507 +10 *5926:93 0.000188713 +11 *5926:78 0.000126083 +12 *5926:72 0.000184174 +13 *5926:60 0.00114838 +14 *5926:56 0.00220128 +15 *5926:54 0.00182584 +16 *5926:46 0.00139068 +17 *5926:42 0.00185853 +18 *5926:35 0.00289515 +19 *5926:19 0.0135408 +20 *5926:7 0.0118734 +21 *5926:19 *5929:10 0.000591726 +22 *5926:42 *5927:48 0.000128938 +23 *5926:42 *5927:64 0.000512428 +24 *5926:46 *23953:A0 0.000151056 +25 *5926:46 *5927:64 0.00059879 +26 *5926:46 *5927:68 0.000284566 +27 *5926:54 *21409:A 0.000144085 +28 *5926:54 *23953:A0 0.000261699 +29 sram_ro_clk *23954:A0 4.75721e-06 +30 sram_ro_clk *5926:60 2.54084e-05 +31 *19765:A *5926:42 0.000339738 +32 *19766:B1 *5926:42 1.43998e-05 +33 *20054:A3 *5926:19 0.00206061 +34 *21366:A *5926:42 5.53789e-05 +35 *21555:A *5926:46 0.000122083 +36 *21796:A *5926:60 3.58321e-05 +37 *21925:A *5926:46 3.51107e-05 +38 *21925:A *5926:54 0.000940225 +39 *21925:A *5926:56 0 +40 *22089:A1 *5926:19 0.000924463 +41 *23348:A *5926:35 0.000864674 +42 *23427:B *5926:19 0.00011672 +43 *23619:A1 *5926:35 0.000354802 +44 *23953:A1 *5926:54 2.07872e-05 +45 *23953:A1 *5926:56 4.46284e-06 +46 *24815:D *5926:60 9.75356e-05 +47 *24828:D *5926:54 3.45397e-05 +48 *24830:RESET_B *5926:46 9.92046e-06 +49 *24831:D *5926:42 0.000622015 +50 *25005:A *5926:54 0.000154976 +51 *25006:A *5926:46 3.73224e-05 +52 *25009:A *5926:60 8.50767e-05 +53 *25012:A *5926:46 0.000122789 +54 *25013:A *5926:46 0.000173503 +55 *25021:A *5926:60 9.97479e-06 +56 *25024:A *5926:56 9.61451e-05 +57 *25198:A *5926:60 6.95449e-05 +58 *25337:A *5926:19 0.000111273 +59 *25361:A *5926:19 0.000125488 +60 *1471:169 *5926:46 0.00129398 +61 *1705:16 *5926:42 0.000298702 +62 *1734:13 *5926:56 0.000680016 +63 *1734:13 *5926:60 0.000132729 +64 *2259:15 *5926:56 1.1573e-05 +65 *2281:13 *5926:35 2.46887e-05 +66 *2612:22 *5926:46 0.00037088 +67 *2632:36 *5926:46 8.73538e-05 +68 *2715:10 *5926:56 0.000389621 +69 *2715:12 *5926:54 9.92046e-06 +70 *2715:12 *5926:56 0.00268742 +71 *2715:24 *5926:46 0.000828025 +72 *2812:11 *5926:60 2.37478e-05 +73 *2937:10 *5926:46 2.20788e-05 +74 *3079:24 *5926:19 0.000112923 +75 *3079:47 *5926:19 0.000106048 +76 *3080:24 *5926:19 0.00014074 +77 *3087:8 *5926:19 0.000105932 +78 *3087:42 *5926:19 0.000104559 +79 *3087:50 *5926:19 0.000128282 +80 *3088:24 *5926:19 0.000149131 +81 *3088:28 *5926:19 0.000123923 +82 *3103:8 *5926:56 0.000251205 +83 *3840:138 *5926:19 0.000405318 +84 *3840:147 *5926:35 0.000310744 +85 *3840:160 *5926:42 0.000242425 +86 *3860:74 *5926:19 0.000260892 +87 *3860:78 *5926:19 0.000150179 +88 *3860:82 *5926:19 0.000124228 +89 *3860:191 *5926:35 7.84479e-05 +90 *3868:213 *5926:42 7.65309e-05 +91 *3883:18 *5926:35 2.59705e-05 +92 *3899:29 *5926:19 0 +93 *3902:23 *5926:19 0.00185522 +94 *3902:31 *5926:19 0.000115931 +95 *3974:126 *5926:35 0.00014663 +96 *4134:54 *5926:19 0.000156333 +97 *4231:11 *5926:19 9.00714e-07 +98 *4233:26 *5926:19 0.000676801 +99 *4233:28 *5926:19 0.00287147 +100 *4233:33 *5926:19 0.00141902 +101 *4249:14 *5926:19 0.000562144 +102 *4257:9 *5926:35 0.000286905 +103 *4326:32 *5926:19 0.000173198 +104 *4373:32 *5926:19 0.000203148 +105 *4374:73 *5926:19 0.000136198 +106 *4519:22 *5926:19 0.000319402 +107 *4867:56 *23954:A0 2.65667e-05 +108 *4880:60 *5926:19 0.000145322 +109 *4880:66 *5926:19 4.61962e-05 +110 *4880:66 *5926:93 0.000114955 +111 *5452:23 *5926:35 0.00114829 +112 *5452:23 *5926:46 0.000184045 +113 *5452:54 *5926:19 0.000176181 +114 *5453:68 *5926:19 0.000114645 +115 *5455:24 *5926:35 0.00106666 +116 *5457:17 *5926:19 0.000298304 +117 *5457:93 *5926:19 0.000142484 +118 *5458:22 *5926:19 2.58866e-05 +119 *5458:37 *5926:19 0.000701233 +120 *5591:10 *5926:54 5.08071e-06 +121 *5591:10 *5926:56 0.0014255 +122 *5733:22 *23954:A0 0 +123 *5733:22 *5926:56 0 +124 *5733:22 *5926:60 0 +125 *5735:24 *5926:56 0 +126 *5735:24 *5926:60 0 +127 *5785:6 *5926:42 0.00156193 +128 *5785:16 *5926:42 0.000397852 +129 *5800:32 *5926:19 3.30319e-05 +130 *5800:32 *5926:35 0.000109191 +131 *5800:32 *5926:54 0.000133193 +132 *5800:32 *5926:56 0.0002368 +133 *5800:49 *5926:19 0 +134 *5801:49 *5926:54 0.000593689 +135 *5807:18 *23954:A0 0.000295755 +136 *5807:18 *5926:60 0.000320302 +137 *5834:14 *5926:19 0.00022586 +138 *5835:16 *5926:19 1.59052e-05 +139 *5835:16 *5926:93 5.19205e-05 +140 *5836:27 *5926:19 0.000246961 +141 *5838:20 *5926:19 0.000173386 +142 *5850:13 *5926:19 0.000228109 +*RES +1 *25187:X *5926:7 17.8002 +2 *5926:7 *5926:19 47.1848 +3 *5926:19 *5926:35 45.7788 +4 *5926:35 *5926:42 45.5556 +5 *5926:42 *5926:46 44.6518 +6 *5926:46 *5926:54 23.132 +7 *5926:54 *5926:56 65.7808 +8 *5926:56 *5926:60 23.9503 +9 *5926:60 *23954:A0 19.6266 +10 *5926:60 *5926:72 6.88721 +11 *5926:72 *6050:DIODE 9.24915 +12 *5926:72 *5926:78 1.278 +13 *5926:78 *6051:DIODE 9.24915 +14 *5926:78 *6052:DIODE 10.5271 +15 *5926:7 *5926:93 7.47064 +16 *5926:93 *5926:95 1.278 +17 *5926:95 *6053:DIODE 9.97254 +18 *5926:95 *6055:DIODE 9.24915 +19 *5926:93 *6056:DIODE 9.24915 +*END + +*D_NET *5927 0.0621251 +*CONN +*I *23953:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *25188:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23953:A0 0.000529584 +2 *25188:X 0.000262674 +3 *5927:68 0.00111566 +4 *5927:64 0.00147147 +5 *5927:48 0.00218743 +6 *5927:46 0.00171844 +7 *5927:43 0.0012514 +8 *5927:30 0.00203349 +9 *5927:28 0.00122485 +10 *5927:26 0.00111225 +11 *5927:24 0.00191581 +12 *5927:16 0.00176679 +13 *5927:8 0.00175744 +14 *5927:7 0.00108321 +15 *23953:A0 *21409:A 7.34948e-06 +16 *5927:64 *21895:A 0.000402269 +17 *5927:68 *21895:A 0.00144009 +18 *5927:68 *5938:8 2.3719e-05 +19 wb_dat_o[12] *5927:24 2.82537e-05 +20 wb_dat_o[13] *5927:24 7.86825e-06 +21 wb_dat_o[15] *5927:24 7.13655e-06 +22 wb_dat_o[16] *5927:16 1.81253e-05 +23 wb_dat_o[16] *5927:24 3.029e-07 +24 wb_dat_o[17] *5927:16 1.91246e-05 +25 wb_dat_o[18] *5927:16 5.88253e-05 +26 wb_dat_o[19] *5927:16 0.000113077 +27 *19765:A *5927:48 0.000105832 +28 *21217:A *5927:64 5.32981e-05 +29 *21366:A *5927:48 0.000122083 +30 *21555:A *5927:68 0.000122083 +31 *21923:A *5927:68 0.000116986 +32 *22084:B1 *5927:26 0.000255925 +33 *22085:B1 *5927:26 0.000169684 +34 *22088:A1 *5927:30 1.27831e-06 +35 *22088:B2 *5927:30 0.000375702 +36 *23329:A2 *5927:30 7.86847e-05 +37 *23344:A *5927:43 6.98716e-05 +38 *23354:B *5927:48 1.61918e-05 +39 *23354:D *5927:48 2.60879e-06 +40 *23619:C1 *5927:48 0 +41 *24132:D *5927:24 0.000149628 +42 *24132:CLK *5927:24 0.000372364 +43 *24133:D *5927:16 9.43255e-05 +44 *24142:D *5927:26 0.000101133 +45 *24828:D *23953:A0 0.000352924 +46 *24831:D *5927:48 0.000328199 +47 *24831:D *5927:64 0.000186173 +48 *24831:RESET_B *5927:48 0.00017416 +49 *25005:A *23953:A0 2.19131e-05 +50 *25017:A *5927:64 2.02035e-05 +51 *25188:A *5927:7 0.000109421 +52 *25210:A *5927:46 0.000903033 +53 *25331:A *5927:30 0.00011755 +54 *25333:A *5927:24 0.000273118 +55 *25335:A *5927:24 0.000349163 +56 *25338:A *5927:16 3.80436e-07 +57 *25342:A *5927:30 8.74039e-05 +58 *25343:A *5927:16 0.000167076 +59 *25357:A *5927:26 0.00060519 +60 *25358:A *5927:26 0.0001425 +61 *657:146 *5927:46 9.78551e-06 +62 *657:146 *5927:48 0.000332729 +63 *657:171 *5927:43 0.000278895 +64 *657:171 *5927:46 0.00126993 +65 *657:171 *5927:48 4.69204e-06 +66 *1455:82 *5927:48 3.74883e-05 +67 *1471:160 *23953:A0 0.000403819 +68 *1471:160 *5927:68 5.34824e-05 +69 *1765:9 *23953:A0 0.000111722 +70 *1829:49 *5927:64 0.000203756 +71 *2406:10 *5927:48 4.87343e-05 +72 *2632:36 *5927:48 0.000272791 +73 *2632:36 *5927:64 0.000462083 +74 *2715:12 *23953:A0 0.000724742 +75 *2715:24 *5927:68 0.000831622 +76 *3083:19 *5927:16 0.000236223 +77 *3083:19 *5927:24 0.000324657 +78 *3083:30 *5927:26 0.000687587 +79 *3084:10 *5927:30 0.000189792 +80 *3084:35 *5927:30 0.000473824 +81 *3084:41 *5927:30 0.000598692 +82 *3084:63 *5927:26 9.92046e-06 +83 *3084:76 *5927:26 0.000137238 +84 *3840:85 *5927:26 0.000924839 +85 *3840:85 *5927:30 0.000641095 +86 *3840:151 *5927:48 1.66771e-05 +87 *3840:160 *5927:48 0.000598414 +88 *3860:123 *5927:43 5.59705e-05 +89 *3860:131 *5927:46 5.93278e-05 +90 *3860:131 *5927:48 0.000596365 +91 *3860:138 *5927:48 0.000368336 +92 *3868:200 *5927:48 7.39195e-05 +93 *3868:213 *5927:48 0.000431028 +94 *3903:48 *5927:48 0.000307792 +95 *3917:57 *5927:30 0.00132813 +96 *3917:72 *5927:30 0.000290856 +97 *3917:72 *5927:43 0.00115425 +98 *4006:22 *5927:8 0.000326109 +99 *4006:24 *5927:8 0.00210698 +100 *4231:11 *5927:43 0 +101 *4257:9 *5927:48 0 +102 *4258:9 *5927:48 0.000135644 +103 *4373:20 *5927:24 0.000373511 +104 *4373:22 *5927:24 0.000188331 +105 *4373:22 *5927:26 0.000885279 +106 *4525:14 *5927:48 0.000218862 +107 *4677:11 *5927:43 2.82597e-05 +108 *4880:10 *5927:16 6.21496e-05 +109 *4880:91 *5927:16 0.000322871 +110 *4880:93 *5927:16 0.000150554 +111 *4880:106 *5927:16 0.000268557 +112 *4880:106 *5927:24 0.000296588 +113 *5452:23 *5927:68 0.000111957 +114 *5453:71 *5927:8 0.00203528 +115 *5453:86 *5927:8 0.00279695 +116 *5454:96 *5927:8 0.00204692 +117 *5455:47 *5927:16 0 +118 *5456:72 *5927:48 0.000789009 +119 *5457:67 *5927:26 5.05252e-05 +120 *5457:82 *5927:26 9.00364e-06 +121 *5457:89 *5927:24 0.000101434 +122 *5457:89 *5927:26 0.000744771 +123 *5592:9 *5927:43 9.42362e-06 +124 *5592:9 *5927:46 6.63327e-05 +125 *5785:16 *5927:64 0.000540148 +126 *5792:11 *5927:30 4.91195e-05 +127 *5792:11 *5927:43 0.00101753 +128 *5800:49 *5927:26 0.000270417 +129 *5801:49 *23953:A0 0.000196559 +130 *5815:77 *5927:30 0.00015324 +131 *5821:18 *5927:24 1.72818e-05 +132 *5824:20 *5927:16 9.67537e-05 +133 *5828:24 *5927:30 4.4602e-05 +134 *5840:19 *5927:30 0.000192472 +135 *5840:37 *5927:26 7.89785e-05 +136 *5840:37 *5927:30 0.000723637 +137 *5918:14 *5927:30 2.37478e-05 +138 *5919:6 *5927:30 0.00016385 +139 *5919:40 *5927:30 1.66771e-05 +140 *5921:6 *5927:30 0.000141001 +141 *5921:20 *5927:30 0.000170131 +142 *5925:11 *5927:43 1.22756e-05 +143 *5926:42 *5927:48 0.000128938 +144 *5926:42 *5927:64 0.000512428 +145 *5926:46 *23953:A0 0.000151056 +146 *5926:46 *5927:64 0.00059879 +147 *5926:46 *5927:68 0.000284566 +148 *5926:54 *23953:A0 0.000261699 +*RES +1 *25188:X *5927:7 18.9094 +2 *5927:7 *5927:8 79.0689 +3 *5927:8 *5927:16 39.7471 +4 *5927:16 *5927:24 36.0781 +5 *5927:24 *5927:26 54.7278 +6 *5927:26 *5927:28 0.732798 +7 *5927:28 *5927:30 61.4207 +8 *5927:30 *5927:43 36.3308 +9 *5927:43 *5927:46 23.0587 +10 *5927:46 *5927:48 59.9673 +11 *5927:48 *5927:64 42.8975 +12 *5927:64 *5927:68 29.9714 +13 *5927:68 *23953:A0 37.8294 +*END + +*D_NET *5928 0.0702515 +*CONN +*I *6127:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23955:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *6126:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25189:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6127:DIODE 0 +2 *23955:A0 6.23674e-05 +3 *6126:DIODE 0 +4 *25189:X 0.000165712 +5 *5928:32 6.23674e-05 +6 *5928:30 0.00113509 +7 *5928:29 0.0103611 +8 *5928:18 0.0162709 +9 *5928:7 0.0072106 +10 *5928:18 *5930:14 0.000726277 +11 *5928:18 *5931:14 1.91391e-05 +12 *5928:29 *5930:14 0.000111607 +13 *5928:29 *5931:22 0.00106012 +14 *19772:B1 *5928:30 0.000259471 +15 *24829:D *5928:30 0.000200236 +16 *24830:D *5928:30 0.000122083 +17 *24834:CLK_N *5928:30 0.000719475 +18 *25012:A *5928:29 3.55651e-05 +19 *25013:A *5928:29 0.000117167 +20 *25189:A *5928:18 0.000291917 +21 *25190:A *5928:18 0 +22 *1427:48 *5928:30 0.00107511 +23 *1471:128 *23955:A0 0.000180684 +24 *1525:24 *5928:30 0.000107004 +25 *1731:70 *5928:30 6.54928e-05 +26 *1734:18 *5928:30 0.00119926 +27 *1755:27 *5928:30 0.000743306 +28 *1829:50 *5928:29 0.00212452 +29 *2329:25 *5928:7 5.04829e-06 +30 *2505:54 *5928:30 0.000481433 +31 *2838:34 *5928:29 0.00114727 +32 *2864:49 *23955:A0 0.000253916 +33 *3883:18 *5928:18 0.00120419 +34 *3883:18 *5928:29 0.00354817 +35 *3892:12 *5928:29 0.00196166 +36 *3894:16 *5928:18 0.000143506 +37 *3894:16 *5928:29 0.000776476 +38 *4377:16 *5928:29 0.000117093 +39 *4524:27 *5928:29 0.00242345 +40 *4524:28 *5928:29 0.000719165 +41 *5452:23 *5928:29 0 +42 *5453:40 *5928:29 0.000156672 +43 *5454:68 *5928:29 0.000441682 +44 *5455:56 *5928:18 0.000116362 +45 *5456:113 *5928:18 0.000279329 +46 *5456:113 *5928:29 0.000659068 +47 *5457:34 *5928:29 0.010936 +48 *5800:32 *5928:30 0.000140228 +49 *5800:49 *5928:18 0 +50 *5852:74 *5928:29 0.000314121 +*RES +1 *25189:X *5928:7 12.625 +2 *5928:7 *5928:18 26.342 +3 *5928:18 *5928:29 45.0705 +4 *5928:29 *5928:30 53.5309 +5 *5928:30 *5928:32 4.5 +6 *5928:32 *6126:DIODE 9.24915 +7 *5928:32 *23955:A0 12.191 +8 *5928:7 *6127:DIODE 9.24915 +*END + +*D_NET *5929 0.0893545 +*CONN +*I *6071:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6070:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6069:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6068:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6067:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6066:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6064:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6062:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6060:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6058:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22129:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *6057:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6059:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6061:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6063:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25190:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6071:DIODE 4.93685e-05 +2 *6070:DIODE 0 +3 *6069:DIODE 0 +4 *6068:DIODE 0 +5 *6067:DIODE 0 +6 *6066:DIODE 0 +7 *6064:DIODE 9.41147e-05 +8 *6062:DIODE 0 +9 *6060:DIODE 0 +10 *6058:DIODE 0 +11 *22129:B_N 6.1073e-05 +12 *6057:DIODE 0 +13 *6059:DIODE 0 +14 *6061:DIODE 0 +15 *6063:DIODE 5.71331e-05 +16 *25190:X 0.000256937 +17 *5929:128 0.000139289 +18 *5929:122 0.00017549 +19 *5929:116 0.000213148 +20 *5929:100 0.000139423 +21 *5929:99 0.000266975 +22 *5929:57 0.000125577 +23 *5929:55 0.000132162 +24 *5929:53 0.00010266 +25 *5929:51 0.000290729 +26 *5929:49 0.000241491 +27 *5929:47 8.99169e-05 +28 *5929:45 0.000661329 +29 *5929:42 0.00469234 +30 *5929:40 0.00409593 +31 *5929:38 0.00230142 +32 *5929:37 0.0035708 +33 *5929:28 0.00504293 +34 *5929:10 0.00863839 +35 *5929:9 0.00524164 +36 *5929:42 *5930:20 0 +37 *20072:B2 *5929:42 0 +38 *20082:A *5929:42 5.36397e-05 +39 *20107:A3 *5929:42 0.0010911 +40 *20122:B *5929:42 3.05171e-05 +41 *20129:A1 *5929:42 0 +42 *20152:C *5929:42 2.92012e-05 +43 *20248:A2 *5929:42 0.000237023 +44 *21102:A *5929:42 0.000105589 +45 *22069:A *5929:10 0 +46 *22072:A1 *5929:10 1.69261e-05 +47 *22073:B2 *5929:10 0.000196653 +48 *22077:A1 *5929:10 0 +49 *22077:B1 *5929:10 0.00024873 +50 *22082:B1 *5929:10 9.75356e-05 +51 *22082:B2 *5929:10 0.000524152 +52 *22086:A1 *5929:28 1.41976e-05 +53 *22086:A2 *5929:28 7.92757e-06 +54 *22099:A2 *5929:10 0.000398425 +55 *22099:B2 *5929:10 0.000440324 +56 *22133:A *5929:42 9.75356e-05 +57 *22987:A *5929:38 0.000101148 +58 *23241:C1 *5929:28 0.0013809 +59 *23329:B1 *5929:10 0.000205332 +60 *23360:A *5929:38 0.000338876 +61 *23481:B *5929:37 4.65615e-06 +62 *23745:C1 *5929:37 0.000286208 +63 *24028:A1 *5929:42 0.000195753 +64 *24134:D *5929:10 0.000101133 +65 *24160:D *5929:9 7.02539e-05 +66 *25331:A *5929:28 0.000639092 +67 *25361:A *5929:10 0 +68 *74:32 *5929:38 0.000275836 +69 *484:26 *5929:42 0 +70 *514:68 *5929:42 0.000508224 +71 *1587:63 *5929:38 0 +72 *1697:39 *5929:42 0 +73 *1731:59 *5929:42 0.000534285 +74 *1763:23 *5929:38 0.000875589 +75 *1813:23 *5929:42 0.000330789 +76 *1813:32 *5929:42 0.000423895 +77 *1813:63 *5929:42 3.20735e-05 +78 *1815:34 *5929:42 0.000213544 +79 *1816:23 *5929:42 0 +80 *1816:37 *5929:42 0.000604382 +81 *1825:8 *5929:42 0.000101133 +82 *1829:34 *5929:38 0.000992568 +83 *1829:34 *5929:42 0.000318036 +84 *1829:46 *5929:38 0.000371057 +85 *2412:10 *5929:42 0.000350824 +86 *2412:12 *5929:38 1.3813e-05 +87 *2412:12 *5929:42 0.00162267 +88 *2426:28 *5929:42 0.000144412 +89 *2502:36 *5929:38 0.00026622 +90 *2963:96 *5929:28 0.00113414 +91 *3002:69 *5929:28 0.000153282 +92 *3079:24 *5929:10 0.00021186 +93 *3079:41 *5929:10 0 +94 *3079:47 *5929:10 2.66305e-06 +95 *3079:51 *5929:10 1.82696e-05 +96 *3083:46 *5929:28 0.000574198 +97 *3083:48 *5929:28 0.000568015 +98 *3084:35 *5929:28 0.00038086 +99 *3087:8 *5929:10 0 +100 *3087:10 *5929:10 0 +101 *3087:14 *5929:10 0 +102 *3087:48 *5929:10 0.000253801 +103 *3087:50 *5929:10 0.000784065 +104 *3088:24 *5929:10 0.000998715 +105 *3088:26 *5929:10 0.000331044 +106 *3088:28 *5929:10 0.0008418 +107 *3097:6 *5929:42 2.18741e-05 +108 *3791:174 *5929:38 0.00118881 +109 *3791:185 *5929:38 7.36639e-05 +110 *3840:75 *5929:10 0.00157309 +111 *3840:101 *5929:28 0 +112 *3860:82 *5929:10 1.29445e-05 +113 *3862:15 *5929:38 0.000359579 +114 *3862:37 *5929:37 0.000717854 +115 *3862:37 *5929:38 0.00023397 +116 *3862:58 *5929:37 0.000339394 +117 *3868:239 *5929:38 3.9768e-05 +118 *3874:77 *5929:28 4.14611e-05 +119 *3891:133 *5929:28 0.00132675 +120 *3895:27 *5929:37 8.93394e-05 +121 *3895:27 *5929:38 0.000407145 +122 *3895:39 *5929:38 1.85773e-05 +123 *3895:48 *5929:38 2.39297e-05 +124 *3897:11 *5929:38 6.28189e-05 +125 *3897:29 *5929:38 9.82479e-06 +126 *3902:23 *5929:10 0.000205796 +127 *3902:31 *5929:10 0.0014947 +128 *3974:146 *5929:37 0.000878306 +129 *3989:11 *5929:9 6.44214e-06 +130 *4019:32 *5929:37 2.57465e-06 +131 *4019:34 *5929:37 0.000107237 +132 *4019:38 *5929:37 0.000217602 +133 *4138:42 *5929:28 0.00157503 +134 *4138:59 *5929:28 0.000469081 +135 *4236:61 *5929:38 0.000256541 +136 *4249:14 *5929:10 4.60375e-07 +137 *4268:6 *5929:38 0.000125695 +138 *4269:6 *5929:38 7.41058e-05 +139 *4326:32 *5929:10 2.01595e-05 +140 *4373:32 *5929:10 0.00136306 +141 *4741:18 *5929:28 0.00248326 +142 *4741:18 *5929:38 1.22026e-05 +143 *4867:75 *5929:42 0 +144 *4867:79 *5929:42 0 +145 *4880:66 *5929:10 0.000346682 +146 *4880:66 *5929:99 7.6959e-05 +147 *4882:87 *5929:9 2.44829e-05 +148 *5082:60 *5929:38 0.00245014 +149 *5082:62 *5929:37 8.45892e-05 +150 *5082:62 *5929:38 0 +151 *5447:14 *5929:42 3.42931e-05 +152 *5455:39 *5929:10 0.000853671 +153 *5457:93 *5929:10 0.00214395 +154 *5457:107 *5929:10 0.000165495 +155 *5458:13 *5929:28 0.000305072 +156 *5474:15 *5929:42 0.000128976 +157 *5474:15 *5929:45 0.000436133 +158 *5474:20 *5929:45 2.41483e-05 +159 *5474:20 *5929:47 4.82966e-05 +160 *5474:20 *5929:49 2.65831e-05 +161 *5474:40 *5929:42 0.000555221 +162 *5482:10 *5929:42 0 +163 *5482:18 *5929:42 1.21887e-05 +164 *5731:11 *22129:B_N 0.000252327 +165 *5735:24 *22129:B_N 0.000252327 +166 *5800:49 *5929:10 0.000386575 +167 *5817:11 *5929:10 4.09467e-05 +168 *5821:10 *5929:10 0.000130777 +169 *5822:16 *5929:10 0.000108016 +170 *5824:20 *5929:10 0.000144531 +171 *5826:28 *5929:10 0.000799303 +172 *5827:10 *5929:10 0.000148144 +173 *5829:20 *5929:10 0.000198654 +174 *5831:14 *5929:10 0 +175 *5838:16 *5929:10 0.000128365 +176 *5838:16 *5929:99 8.18789e-05 +177 *5838:20 *5929:10 0.00233141 +178 *5843:8 *5929:10 0.000116439 +179 *5843:8 *5929:99 8.62625e-06 +180 *5843:18 *5929:10 0.000963398 +181 *5923:20 *5929:28 0.00129604 +182 *5926:19 *5929:10 0.000591726 +*RES +1 *25190:X *5929:9 17.8243 +2 *5929:9 *5929:10 226.068 +3 *5929:10 *5929:28 49.5206 +4 *5929:28 *5929:37 43.5172 +5 *5929:37 *5929:38 94.6409 +6 *5929:38 *5929:40 0.732798 +7 *5929:40 *5929:42 138.658 +8 *5929:42 *5929:45 21.3069 +9 *5929:45 *5929:47 1.278 +10 *5929:47 *5929:49 1.278 +11 *5929:49 *5929:51 4.05102 +12 *5929:51 *5929:53 0.723396 +13 *5929:53 *5929:55 1.278 +14 *5929:55 *5929:57 1.278 +15 *5929:57 *6063:DIODE 10.5271 +16 *5929:57 *6061:DIODE 9.24915 +17 *5929:55 *6059:DIODE 9.24915 +18 *5929:53 *6057:DIODE 9.24915 +19 *5929:51 *22129:B_N 22.5727 +20 *5929:49 *6058:DIODE 9.24915 +21 *5929:47 *6060:DIODE 9.24915 +22 *5929:45 *6062:DIODE 9.24915 +23 *5929:9 *5929:99 7.993 +24 *5929:99 *5929:100 0.723396 +25 *5929:100 *6064:DIODE 10.5271 +26 *5929:100 *6066:DIODE 9.24915 +27 *5929:99 *6067:DIODE 9.24915 +28 *5929:99 *5929:116 1.8326 +29 *5929:116 *6068:DIODE 9.24915 +30 *5929:116 *5929:122 1.278 +31 *5929:122 *6069:DIODE 9.24915 +32 *5929:122 *5929:128 1.278 +33 *5929:128 *6070:DIODE 9.24915 +34 *5929:128 *6071:DIODE 9.97254 +*END + +*D_NET *5930 0.081357 +*CONN +*I *6129:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6128:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23933:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *25191:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6129:DIODE 0.000287236 +2 *6128:DIODE 0 +3 *23933:A 0.000130248 +4 *25191:X 0.000239729 +5 *5930:20 0.00228587 +6 *5930:14 0.009944 +7 *5930:13 0.00796087 +8 *5930:10 0.000699451 +9 *5930:14 *5931:22 0.0318636 +10 *5930:20 *5931:25 0 +11 *22133:A *5930:20 0 +12 *22136:A *5930:20 0.000507392 +13 *25317:A *6129:DIODE 0.000167076 +14 *25322:A *23933:A 0.000228593 +15 *1587:48 *5930:14 0.000369539 +16 *1755:58 *5930:14 0.00264015 +17 *2619:30 *5930:14 0.00792362 +18 *2955:30 *5930:14 0.000205291 +19 *3883:18 *5930:14 0.000386155 +20 *4006:22 *5930:14 0.00194809 +21 *4006:24 *5930:14 0.00319833 +22 *4149:18 *5930:14 0.00013021 +23 *4377:16 *5930:14 0.00695343 +24 *4524:27 *5930:14 0.000271919 +25 *4867:51 *5930:14 0 +26 *4867:56 *5930:14 0 +27 *5453:86 *5930:14 0 +28 *5453:106 *5930:14 0.000297987 +29 *5454:96 *5930:14 0.000647344 +30 *5455:56 *5930:10 0.000221217 +31 *5457:34 *5930:14 0.000786921 +32 *5457:123 *5930:10 0.000224814 +33 *5457:123 *5930:14 0 +34 *5733:22 *5930:14 0 +35 *5916:12 *23933:A 0 +36 *5916:12 *5930:20 0 +37 *5928:18 *5930:14 0.000726277 +38 *5928:29 *5930:14 0.000111607 +39 *5929:42 *5930:20 0 +*RES +1 *25191:X *5930:10 25.6538 +2 *5930:10 *5930:13 8.55102 +3 *5930:13 *5930:14 593.151 +4 *5930:14 *5930:20 47.036 +5 *5930:20 *23933:A 17.5531 +6 *5930:20 *6128:DIODE 13.7491 +7 *5930:10 *6129:DIODE 15.5186 +*END + +*D_NET *5931 0.0850961 +*CONN +*I *6075:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6074:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6073:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22132:B I *D sky130_fd_sc_hd__or2_1 +*I *6072:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25192:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6075:DIODE 8.12773e-05 +2 *6074:DIODE 0 +3 *6073:DIODE 0 +4 *22132:B 6.1223e-05 +5 *6072:DIODE 0 +6 *25192:X 0.000133746 +7 *5931:48 0.000229581 +8 *5931:31 0.000217261 +9 *5931:25 0.00120481 +10 *5931:22 0.00664188 +11 *5931:21 0.0061936 +12 *5931:16 0.00216209 +13 *5931:14 0.0025085 +14 *5931:7 0.00122895 +15 spimemio_flash_io0_di *5931:16 0 +16 spimemio_flash_io1_di *5931:14 0 +17 *6225:DIODE *5931:25 0.000423936 +18 *6226:DIODE *5931:25 9.97706e-05 +19 *21355:A2 *6075:DIODE 2.23124e-05 +20 *21355:A2 *5931:48 1.43983e-05 +21 *21747:B2 *5931:16 0.000146434 +22 *22071:A1 *5931:16 5.41377e-05 +23 *22073:B1 *5931:16 0.000276889 +24 *22128:A *22132:B 0.000122378 +25 *22128:A *5931:25 1.03403e-05 +26 *22128:A *5931:31 8.62721e-05 +27 *24145:D *5931:21 1.11158e-05 +28 *24145:CLK *5931:21 4.31703e-05 +29 *24150:D *5931:16 3.45653e-05 +30 *24156:D *5931:16 5.18062e-06 +31 *25189:A *5931:14 0.000138718 +32 *25189:A *5931:16 2.04981e-05 +33 *25190:A *5931:14 0 +34 *25191:A *5931:14 0 +35 *25191:A *5931:48 0 +36 *25192:A *5931:48 4.83428e-05 +37 *25316:A *5931:16 0.000251215 +38 *25317:A *5931:14 0 +39 *1829:50 *5931:22 6.77301e-05 +40 *2329:16 *5931:16 7.26153e-05 +41 *2838:34 *5931:22 0.0148217 +42 *3894:16 *5931:22 0.00148387 +43 *4524:27 *5931:22 0.00034294 +44 *4882:22 *5931:16 0.000634961 +45 *4882:39 *5931:16 0.00152144 +46 *4882:57 *5931:16 0.000242134 +47 *4882:83 *5931:14 8.33259e-05 +48 *4882:83 *5931:16 0.000118444 +49 *4882:87 *5931:14 0 +50 *4882:96 *5931:48 0 +51 *5453:40 *5931:22 0.00107143 +52 *5454:64 *5931:22 0.000805874 +53 *5455:56 *5931:14 0 +54 *5455:56 *5931:16 0 +55 *5456:113 *5931:22 0.00660398 +56 *5800:49 *5931:16 0.000159636 +57 *5827:20 *5931:16 0.000607055 +58 *5831:8 *5931:16 0 +59 *5831:14 *5931:16 0.000207478 +60 *5837:31 *5931:16 0 +61 *5838:16 *5931:14 4.88112e-06 +62 *5838:16 *5931:48 6.96056e-06 +63 *5843:18 *5931:16 0.000824243 +64 *5928:18 *5931:14 1.91391e-05 +65 *5928:29 *5931:22 0.00106012 +66 *5930:14 *5931:22 0.0318636 +67 *5930:20 *5931:25 0 +*RES +1 *25192:X *5931:7 16.691 +2 *5931:7 *5931:14 23.6083 +3 *5931:14 *5931:16 65.5732 +4 *5931:16 *5931:21 18.0424 +5 *5931:21 *5931:22 513.423 +6 *5931:22 *5931:25 30.1806 +7 *5931:25 *6072:DIODE 9.24915 +8 *5931:25 *5931:31 4.05102 +9 *5931:31 *22132:B 11.5158 +10 *5931:31 *6073:DIODE 9.24915 +11 *5931:7 *5931:48 7.8859 +12 *5931:48 *6074:DIODE 9.24915 +13 *5931:48 *6075:DIODE 10.5271 +*END + +*D_NET *5932 0.0468862 +*CONN +*I *23946:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25193:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23946:A1 0.000818909 +2 *25193:X 0.000686973 +3 *5932:17 0.00238294 +4 *5932:13 0.0162954 +5 *5932:11 0.0154183 +6 *5932:13 *20183:A1 8.65278e-05 +7 *5932:13 *6002:7 0.000207294 +8 *20176:A *5932:13 0.000108054 +9 *20176:B *5932:13 1.47499e-05 +10 *20183:S *5932:13 6.08467e-05 +11 *20184:A *5932:13 1.84293e-05 +12 *20189:S *5932:13 9.14505e-05 +13 *20190:A *5932:13 0.000121243 +14 *20288:A1 *5932:17 1.58551e-05 +15 *20288:B1 *5932:17 0.000243297 +16 *20288:B2 *5932:17 0.000127288 +17 *20289:A2 *5932:17 6.50727e-05 +18 *20466:A1 *5932:13 0.000329533 +19 *21249:A *23946:A1 0.000271058 +20 *21355:A1 *5932:13 2.44829e-05 +21 *21355:A2 *5932:11 0.000566364 +22 *21355:A2 *5932:13 6.30029e-05 +23 *21407:A *5932:13 2.20702e-05 +24 *22895:B1 *5932:13 3.58208e-05 +25 *22956:A *5932:13 3.33519e-05 +26 *23908:A *23946:A1 0.000142826 +27 *24157:D *5932:11 7.86847e-05 +28 *24572:RESET_B *5932:13 2.96281e-05 +29 *24598:RESET_B *5932:13 8.4534e-05 +30 *24598:CLK *5932:13 0.000128915 +31 *24707:CLK *23946:A1 0.000113436 +32 *24776:D *5932:13 0.000192207 +33 *24776:RESET_B *5932:13 4.72735e-05 +34 *24776:CLK *5932:13 0.000100692 +35 *24779:D *5932:13 1.27226e-05 +36 *25193:A *5932:11 1.37274e-05 +37 *25319:A *5932:11 2.19276e-05 +38 *1910:97 *5932:17 6.75138e-05 +39 *1914:49 *23946:A1 0 +40 *2191:18 *5932:13 0.000136856 +41 *2316:8 *23946:A1 0.000279959 +42 *2467:20 *5932:17 0.000257839 +43 *3796:11 *5932:13 5.481e-05 +44 *3796:21 *5932:13 0.000297807 +45 *3866:15 *5932:13 0.000209255 +46 *4131:8 *5932:13 3.99086e-06 +47 *4792:11 *5932:13 0.000181711 +48 *4838:11 *5932:13 5.84069e-06 +49 *4838:13 *5932:13 3.91975e-05 +50 *4882:96 *5932:11 0 +51 *4895:20 *5932:13 9.14669e-05 +52 *4895:22 *5932:13 0.000534011 +53 *4895:24 *5932:13 0.00037783 +54 *4899:59 *23946:A1 2.3527e-05 +55 *5120:10 *5932:13 8.29362e-06 +56 *5120:10 *5932:17 0.000147963 +57 *5455:56 *5932:11 0 +58 *5505:9 *5932:17 0.00221175 +59 *5515:9 *5932:17 7.88559e-05 +60 *5613:37 *5932:13 0.000729636 +61 *5672:11 *5932:13 0.00196294 +62 *5838:16 *5932:11 0 +63 *5842:24 *5932:13 4.10764e-05 +64 *5866:482 *5932:13 2.54062e-05 +65 *5906:57 *5932:11 4.37831e-05 +*RES +1 *25193:X *5932:11 36.618 +2 *5932:11 *5932:13 233.102 +3 *5932:13 *5932:17 49.2753 +4 *5932:17 *23946:A1 37.4258 +*END + +*D_NET *5933 0.00369489 +*CONN +*I *21323:A I *D sky130_fd_sc_hd__inv_2 +*I *25194:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21323:A 0 +2 *25194:X 0.000811232 +3 *5933:13 0.000811232 +4 *21202:A *5933:13 2.16355e-05 +5 *21503:A *5933:13 0.000950469 +6 *21966:A *5933:13 2.53145e-06 +7 *25172:A *5933:13 1.84293e-05 +8 *25183:A *5933:13 4.26566e-05 +9 *25194:A *5933:13 1.43983e-05 +10 *2333:18 *5933:13 3.07313e-05 +11 *2385:10 *5933:13 6.08467e-05 +12 *2386:17 *5933:13 0.000785018 +13 *4875:35 *5933:13 0.000105636 +14 *5564:12 *5933:13 4.00791e-05 +*RES +1 *25194:X *5933:13 42.0668 +2 *5933:13 *21323:A 9.24915 +*END + +*D_NET *5934 0.0310293 +*CONN +*I *23951:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *25195:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23951:A1 0.00103441 +2 *25195:X 0.00108939 +3 *5934:25 0.0027809 +4 *5934:24 0.00264947 +5 *5934:9 0.00473748 +6 *5934:7 0.00492389 +7 *5934:9 *6004:9 4.4379e-05 +8 *5934:9 *6006:10 9.99035e-06 +9 *5934:24 *22853:A 0.000210651 +10 *5934:24 *22866:B1 2.02035e-05 +11 *5934:24 *6018:65 0.000324248 +12 *5934:24 *6020:80 7.08723e-06 +13 *5934:25 *22866:A1 6.50727e-05 +14 *5934:25 *22866:B1 2.97286e-05 +15 *20191:A0 *5934:9 0.000145905 +16 *20469:B2 *5934:25 5.51483e-06 +17 *20555:A1 *5934:25 7.45422e-05 +18 *20556:A1 *5934:25 0.000271044 +19 *20556:B1 *5934:25 0.000583244 +20 *21046:B *5934:9 0.000139764 +21 *21837:A *5934:25 0.000755469 +22 *21964:A *5934:25 0.000111722 +23 *22853:B *5934:24 0.000783334 +24 *22854:A2 *5934:24 0 +25 *22854:C1 *5934:24 0.000117376 +26 *22863:B *5934:25 0.000536581 +27 *22864:C1 *5934:25 0.000271389 +28 *22866:B2 *5934:24 0.000148852 +29 *22866:C1 *5934:25 0.000107496 +30 *22867:B *5934:25 5.49209e-05 +31 *22885:A *5934:7 6.50727e-05 +32 *22885:A *5934:9 2.41483e-05 +33 *22885:D *5934:7 4.33819e-05 +34 *22885:D *5934:9 0.000187562 +35 *22888:A *5934:7 6.50727e-05 +36 *22892:C *5934:7 0.000629922 +37 *22896:A1 *5934:9 8.39059e-05 +38 *22896:A2 *5934:9 2.18145e-05 +39 *23935:A *5934:7 0.000228796 +40 *24078:S *5934:24 0.000370815 +41 *24083:A0 *5934:24 3.75343e-05 +42 *24083:A1 *5934:24 0.00011818 +43 *24085:A1 *5934:24 0.00011818 +44 *24535:D *5934:25 0.00074032 +45 *24536:D *5934:25 0.000122378 +46 *24595:CLK *23951:A1 0.00015324 +47 *24596:D *5934:25 0.0010081 +48 *24778:RESET_B *5934:9 1.77433e-05 +49 *24778:CLK *5934:9 1.00846e-05 +50 *24780:D *5934:9 1.22938e-05 +51 *24780:RESET_B *5934:9 5.81182e-05 +52 *25195:A *5934:7 2.16355e-05 +53 *25196:A *5934:7 1.00846e-05 +54 *566:20 *5934:9 1.00981e-05 +55 *566:20 *5934:24 7.24449e-05 +56 *566:51 *5934:24 1.92172e-05 +57 *566:59 *5934:24 9.40969e-05 +58 *566:84 *5934:24 8.4329e-05 +59 *569:10 *5934:24 0.000264586 +60 *571:19 *5934:24 9.47861e-05 +61 *572:15 *5934:25 2.45789e-05 +62 *2809:6 *23951:A1 2.352e-05 +63 *2853:7 *5934:25 6.50727e-05 +64 *2978:7 *5934:25 0.00027329 +65 *3796:11 *5934:7 1.9633e-05 +66 *3799:20 *5934:7 0.000483404 +67 *3806:8 *5934:9 6.08467e-05 +68 *4824:25 *23951:A1 0.000534191 +69 *4830:11 *5934:25 0.000842323 +70 *4841:191 *5934:25 0.000158028 +71 *4841:203 *5934:25 0.000661487 +72 *5614:13 *5934:9 4.58003e-05 +73 *5644:14 *5934:24 4.69495e-06 +74 *5644:14 *5934:25 0.000457669 +75 *5661:17 *5934:25 1.44925e-05 +76 *5676:23 *5934:24 1.66626e-05 +77 *5715:10 *23951:A1 0.000290255 +78 *5887:10 *23951:A1 0.000231378 +*RES +1 *25195:X *5934:7 38.836 +2 *5934:7 *5934:9 58.4022 +3 *5934:9 *5934:24 40.6429 +4 *5934:24 *5934:25 68.385 +5 *5934:25 *23951:A1 38.4097 +*END + +*D_NET *5935 0.0419548 +*CONN +*I *6077:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23947:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *6078:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *25196:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6077:DIODE 0 +2 *23947:A1 0.000100823 +3 *6078:DIODE 4.36938e-05 +4 *25196:X 0.000300969 +5 *5935:21 0.000270741 +6 *5935:20 0.0175913 +7 *5935:6 0.017766 +8 mgmt_gpio_out[30] *5935:21 1.06441e-05 +9 spimemio_flash_io3_di *5935:6 0 +10 *21249:A *23947:A1 8.01987e-05 +11 *21249:A *5935:21 0.000261615 +12 *22860:A2 *5935:20 0.00163117 +13 *22864:B2 *5935:20 0.0010383 +14 *23909:A *23947:A1 0 +15 *23909:A *5935:21 0 +16 *24157:D *5935:6 4.47578e-05 +17 *25067:A *5935:6 0 +18 *25068:A *5935:6 9.75356e-05 +19 *25068:A *5935:20 1.1539e-05 +20 *25195:A *5935:20 0 +21 *25196:A *5935:6 0 +22 *25196:A *5935:20 0 +23 *25234:A *5935:21 4.06514e-05 +24 *568:31 *5935:20 0 +25 *574:17 *5935:20 0.000216024 +26 *3800:23 *5935:20 0 +27 *4835:17 *23947:A1 0.000369269 +28 *5600:17 *5935:6 0 +29 *5635:12 *5935:20 0.000304435 +30 *5635:20 *5935:20 0.00135634 +31 *5838:8 *5935:6 0.000201089 +32 *5918:78 *23947:A1 0.000217679 +*RES +1 *25196:X *5935:6 22.2252 +2 *5935:6 *6078:DIODE 14.4725 +3 *5935:6 *5935:20 46.788 +4 *5935:20 *5935:21 5.36164 +5 *5935:21 *23947:A1 19.2169 +6 *5935:21 *6077:DIODE 13.7491 +*END + +*D_NET *5936 0.0389195 +*CONN +*I *23952:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *25197:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23952:A1 0.000315193 +2 *25197:X 9.78732e-05 +3 *5936:11 0.0153298 +4 *5936:10 0.0151125 +5 mgmt_gpio_oeb[25] *23952:A1 0 +6 mgmt_gpio_out[25] *23952:A1 7.96796e-05 +7 *23952:A0 *23952:A1 6.50586e-05 +8 *25041:A *5936:10 0.00010167 +9 *25154:A *23952:A1 0 +10 *25228:A *23952:A1 0.000383631 +11 *2813:8 *23952:A1 7.77309e-06 +12 *4834:9 *5936:11 0.00735771 +13 *5716:8 *23952:A1 4.44689e-05 +14 *5918:56 *23952:A1 2.41483e-05 +*RES +1 *25197:X *5936:10 20.3893 +2 *5936:10 *5936:11 229.22 +3 *5936:11 *23952:A1 28.0032 +*END + +*D_NET *5937 0.000238628 +*CONN +*I *19977:A I *D sky130_fd_sc_hd__inv_2 +*I *25198:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *19977:A 0.000105057 +2 *25198:X 0.000105057 +3 *1734:7 *19977:A 2.85139e-05 +*RES +1 *25198:X *19977:A 21.3195 +*END + +*D_NET *5938 0.0110258 +*CONN +*I *21895:A I *D sky130_fd_sc_hd__inv_2 +*I *25199:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21895:A 0.000692322 +2 *25199:X 0 +3 *5938:8 0.0016057 +4 *5938:5 0.000913375 +5 *5938:8 *21338:A 0.000122083 +6 *5938:8 *21669:A 0.000148144 +7 *21217:A *21895:A 8.62625e-06 +8 *21675:A *21895:A 5.53934e-05 +9 *21923:A *5938:8 0.000125695 +10 *25007:A *21895:A 3.80906e-05 +11 *25008:A *21895:A 2.5386e-05 +12 *25200:A *5938:8 7.00991e-05 +13 *25204:A *5938:8 2.02035e-05 +14 *1471:160 *5938:8 0.000984205 +15 *1714:8 *5938:8 7.86847e-05 +16 *2400:12 *5938:8 2.37478e-05 +17 *2715:12 *5938:8 6.22262e-05 +18 *2715:24 *5938:8 0.000770584 +19 *2877:10 *21895:A 0.000290614 +20 *5452:23 *21895:A 0.000210393 +21 *5590:10 *5938:8 0.000306398 +22 *5733:12 *5938:8 0.00117883 +23 *5785:16 *21895:A 0.000884224 +24 *5785:24 *21895:A 0 +25 *5785:24 *5938:8 0.000187165 +26 *5785:28 *5938:8 0 +27 *5801:12 *5938:8 0.000225158 +28 *5801:58 *5938:8 2.19131e-05 +29 *5852:74 *5938:8 0.00011041 +30 *5927:64 *21895:A 0.000402269 +31 *5927:68 *21895:A 0.00144009 +32 *5927:68 *5938:8 2.3719e-05 +*RES +1 *25199:X *5938:5 13.7491 +2 *5938:5 *5938:8 47.6197 +3 *5938:8 *21895:A 47.4212 +*END + +*D_NET *5939 0.000590723 +*CONN +*I *21669:A I *D sky130_fd_sc_hd__inv_2 +*I *25200:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21669:A 0.000147218 +2 *25200:X 0.000147218 +3 *5590:10 *21669:A 0.000148144 +4 *5938:8 *21669:A 0.000148144 +*RES +1 *25200:X *21669:A 31.4388 +*END + +*D_NET *5940 0.000676698 +*CONN +*I *21453:A I *D sky130_fd_sc_hd__inv_2 +*I *25201:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21453:A 0.000226487 +2 *25201:X 0.000226487 +3 *22138:A *21453:A 2.41274e-06 +4 *657:51 *21453:A 0.000153225 +5 *2405:20 *21453:A 6.80864e-05 +*RES +1 *25201:X *21453:A 32.548 +*END + +*D_NET *5941 0.000741566 +*CONN +*I *21409:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25202:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21409:A 0.000241644 +2 *25202:X 0.000241644 +3 *21925:A *21409:A 5.30211e-05 +4 *23953:A0 *21409:A 7.34948e-06 +5 *23953:S *21409:A 3.82228e-05 +6 *1765:9 *21409:A 1.55995e-05 +7 *5926:54 *21409:A 0.000144085 +*RES +1 *25202:X *21409:A 32.548 +*END + +*D_NET *5942 0.000550122 +*CONN +*I *21338:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25203:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21338:A 0.000133867 +2 *25203:X 0.000133867 +3 *25203:A *21338:A 3.82228e-05 +4 *5785:24 *21338:A 0.000122083 +5 *5938:8 *21338:A 0.000122083 +*RES +1 *25203:X *21338:A 31.0235 +*END + +*D_NET *5943 0.000330811 +*CONN +*I *21216:A I *D sky130_fd_sc_hd__clkinv_2 +*I *25204:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21216:A 3.97254e-05 +2 *25204:X 3.97254e-05 +3 *2864:52 *21216:A 0.00012568 +4 *5785:24 *21216:A 0.00012568 +*RES +1 *25204:X *21216:A 29.7455 +*END + +*D_NET *5944 0.038898 +*CONN +*I *20825:A I *D sky130_fd_sc_hd__inv_2 +*I *20831:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *22581:B I *D sky130_fd_sc_hd__or4_1 +*I *22516:B I *D sky130_fd_sc_hd__or4_1 +*I *22524:B I *D sky130_fd_sc_hd__or4_1 +*I *22593:B I *D sky130_fd_sc_hd__or4_1 +*I *22591:B I *D sky130_fd_sc_hd__or4_1 +*I *22559:B I *D sky130_fd_sc_hd__or4_1 +*I *22535:B I *D sky130_fd_sc_hd__or4_1 +*I *22546:B I *D sky130_fd_sc_hd__or4_1 +*I *20810:B I *D sky130_fd_sc_hd__or2_1 +*I *24368:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20825:A 2.3034e-05 +2 *20831:B1 0 +3 *22581:B 0.000470141 +4 *22516:B 0 +5 *22524:B 0 +6 *22593:B 0 +7 *22591:B 0.000261735 +8 *22559:B 0.000483827 +9 *22535:B 0 +10 *22546:B 7.93064e-05 +11 *20810:B 7.64313e-05 +12 *24368:Q 0.000513443 +13 *5944:102 0.00146675 +14 *5944:90 0.00169092 +15 *5944:83 0.000761236 +16 *5944:80 0.0015794 +17 *5944:69 0.00288866 +18 *5944:68 0.00178224 +19 *5944:54 0.000391836 +20 *5944:45 0.000855994 +21 *5944:44 0.00212417 +22 *5944:23 0.00178593 +23 *5944:18 0.000252532 +24 *5944:17 0.000131546 +25 *5944:13 0.000167927 +26 *5944:11 0.00064388 +27 *20810:B *22518:A 6.50586e-05 +28 *5944:13 *20831:A2 6.08467e-05 +29 *5944:44 *5949:41 1.6749e-05 +30 *5944:69 *5948:81 5.21758e-06 +31 *5944:90 *5948:145 0.000170442 +32 *20811:A *20810:B 6.08467e-05 +33 *20831:B2 *5944:17 6.51721e-05 +34 *22148:A *5944:69 0.000358636 +35 *22221:A *5944:69 0.000148129 +36 *22222:A *5944:69 0.000132885 +37 *22226:B *5944:69 0.000263795 +38 *22226:B *5944:80 6.22259e-05 +39 *22516:A *5944:90 6.23461e-05 +40 *22524:A *5944:90 0.000110477 +41 *22525:A *5944:90 9.12416e-06 +42 *22526:A *5944:90 0.000166283 +43 *22562:A *5944:80 8.35425e-05 +44 *22568:A *5944:80 0.000124169 +45 *22569:A *22581:B 6.08467e-05 +46 *22572:A *5944:80 8.09916e-05 +47 *22578:A *5944:80 8.95237e-05 +48 *22581:A *22581:B 3.58208e-05 +49 *22581:C *22581:B 8.67924e-06 +50 *22592:A *5944:102 2.46347e-05 +51 *22643:A1 *5944:90 0.000127807 +52 *24363:CLK *5944:69 0 +53 *24696:RESET_B *5944:11 1.55025e-05 +54 *1450:113 *5944:44 0.00144893 +55 *1551:67 *22559:B 0.00068011 +56 *2088:11 *20810:B 1.99996e-05 +57 *2088:103 *5944:69 9.97981e-05 +58 *2089:22 *5944:44 0.00016986 +59 *2089:53 *5944:80 2.79944e-05 +60 *2089:62 *5944:80 1.82031e-05 +61 *2089:74 *5944:90 5.15415e-05 +62 *2098:10 *5944:45 0.00118581 +63 *2098:10 *5944:54 5.88009e-05 +64 *2098:19 *5944:54 0.000131539 +65 *2098:34 *5944:54 5.93329e-05 +66 *2098:34 *5944:68 0.000138789 +67 *2098:49 *22581:B 0.0001607 +68 *2099:5 *5944:11 3.14978e-05 +69 *2099:5 *5944:13 5.94362e-05 +70 *2099:9 *5944:13 2.99287e-05 +71 *2099:9 *5944:17 4.58907e-05 +72 *2099:9 *5944:23 0.00109807 +73 *2100:12 *5944:45 0.00118941 +74 *2100:12 *5944:54 0.000252308 +75 *2100:12 *5944:68 0.000128064 +76 *2100:55 *5944:69 0.00018869 +77 *2100:58 *5944:80 0.000171187 +78 *2278:28 *5944:11 0.000121824 +79 *2300:19 *5944:44 0.000538117 +80 *2313:25 *5944:90 8.73108e-05 +81 *2378:12 *5944:44 3.01508e-05 +82 *2378:27 *5944:69 4.95627e-05 +83 *2398:93 *5944:44 3.20069e-06 +84 *2411:5 *5944:68 0.000449786 +85 *2425:7 *5944:68 2.20702e-05 +86 *2546:20 *5944:102 4.15661e-05 +87 *2595:15 *22591:B 0.000298399 +88 *2635:87 *5944:83 0.000400291 +89 *2689:46 *22546:B 0.000107496 +90 *2924:89 *5944:69 1.32514e-05 +91 *2949:57 *5944:23 0.00109466 +92 *3052:28 *5944:69 6.34564e-05 +93 *3116:17 *5944:69 0.000138621 +94 *3118:27 *5944:69 0.000220277 +95 *3126:10 *5944:80 0 +96 *3126:12 *5944:90 1.99266e-05 +97 *3126:12 *5944:102 0.000327675 +98 *3136:149 *22591:B 6.22114e-05 +99 *3175:267 *5944:102 3.20069e-06 +100 *3177:37 *20810:B 5.88009e-05 +101 *3177:37 *5944:44 8.98279e-05 +102 *3179:136 *22591:B 6.56365e-05 +103 *3204:140 *5944:102 0.000243463 +104 *3467:62 *22581:B 0.000109358 +105 *3469:12 *5944:69 0.00254405 +106 *3469:12 *5944:80 5.17042e-05 +107 *3469:52 *22581:B 0.000187317 +108 *3469:52 *5944:80 1.28732e-05 +109 *3472:69 *5944:80 1.83754e-05 +110 *3472:82 *22581:B 2.33664e-05 +111 *3472:82 *5944:80 0.000190806 +112 *3474:12 *5944:102 0.000206377 +113 *3476:7 *22581:B 8.80953e-05 +114 *3485:19 *5944:68 0.000126107 +115 *3491:10 *5944:90 1.76994e-05 +116 *3491:17 *22581:B 0.000357884 +117 *3493:202 *5944:102 0.000921479 +118 *3498:11 *5944:69 0.000126194 +119 *3519:24 *22581:B 0.000158357 +120 *3531:11 *22581:B 0.000205127 +121 *4806:24 *5944:102 0.000326004 +122 *4807:107 *5944:102 0 +123 *4813:56 *22581:B 5.1493e-06 +124 *4821:59 *5944:11 0.000118363 +125 *5853:334 *5944:80 0.000104665 +126 *5857:196 *5944:11 0.000139702 +127 *5864:822 *5944:11 2.15184e-05 +*RES +1 *24368:Q *5944:11 30.2622 +2 *5944:11 *5944:13 2.94181 +3 *5944:13 *5944:17 11.0817 +4 *5944:17 *5944:18 81.1229 +5 *5944:18 *5944:23 26.1192 +6 *5944:23 *20810:B 15.8893 +7 *5944:23 *5944:44 45.8467 +8 *5944:44 *5944:45 23.4251 +9 *5944:45 *22546:B 15.0271 +10 *5944:45 *5944:54 5.56926 +11 *5944:54 *22535:B 13.7491 +12 *5944:54 *5944:68 17.4014 +13 *5944:68 *5944:69 51.6623 +14 *5944:69 *22559:B 21.6824 +15 *5944:69 *5944:80 20.8359 +16 *5944:80 *5944:83 9.10562 +17 *5944:83 *5944:90 21.9461 +18 *5944:90 *5944:102 42.9231 +19 *5944:102 *22591:B 23.1623 +20 *5944:102 *22593:B 9.24915 +21 *5944:90 *22524:B 9.24915 +22 *5944:83 *22516:B 9.24915 +23 *5944:80 *22581:B 37.722 +24 *5944:13 *20831:B1 9.24915 +25 *5944:11 *20825:A 9.82786 +*END + +*D_NET *5945 0.00598363 +*CONN +*I *20810:A I *D sky130_fd_sc_hd__or2_1 +*I *22518:A I *D sky130_fd_sc_hd__or2_4 +*I *20824:A I *D sky130_fd_sc_hd__inv_2 +*I *20829:B1 I *D sky130_fd_sc_hd__o32a_1 +*I *24369:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *20810:A 0 +2 *22518:A 0.000615937 +3 *20824:A 0.000272547 +4 *20829:B1 0.000177962 +5 *24369:Q 0.000277196 +6 *5945:13 0.000450509 +7 *5945:11 0.000893133 +8 *5945:11 *5949:41 0.000141491 +9 *20810:B *22518:A 6.50586e-05 +10 *20811:A *22518:A 0.000148901 +11 *20811:A *5945:11 0.000110306 +12 *20827:A *20824:A 3.31745e-05 +13 *518:58 *20824:A 0.000206885 +14 *518:58 *20829:B1 0.000334204 +15 *1419:6 *5945:11 4.33186e-05 +16 *1741:93 *5945:11 0.000137293 +17 *2098:5 *20824:A 6.08467e-05 +18 *2099:9 *22518:A 0.000210569 +19 *2099:9 *5945:11 0.000116893 +20 *2896:7 *20824:A 0.00071255 +21 *3093:12 *5945:11 0.000116728 +22 *3177:37 *20824:A 7.22068e-05 +23 *3177:37 *20829:B1 0.000262224 +24 *5857:263 *20824:A 0.000523693 +*RES +1 *24369:Q *5945:11 26.6573 +2 *5945:11 *5945:13 4.5 +3 *5945:13 *20829:B1 20.1489 +4 *5945:13 *20824:A 26.0059 +5 *5945:11 *22518:A 21.8603 +6 *5945:11 *20810:A 9.24915 +*END + +*D_NET *5946 0.00730758 +*CONN +*I *20812:B I *D sky130_fd_sc_hd__or2_4 +*I *22530:B I *D sky130_fd_sc_hd__or2_4 +*I *20818:A1 I *D sky130_fd_sc_hd__o31a_1 +*I *20820:A I *D sky130_fd_sc_hd__inv_2 +*I *20822:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *24370:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *20812:B 0 +2 *22530:B 0.000129277 +3 *20818:A1 0.000373228 +4 *20820:A 0 +5 *20822:C1 5.11628e-06 +6 *24370:Q 0.000228209 +7 *5946:38 0.000502505 +8 *5946:36 0.000715895 +9 *5946:22 0.000902002 +10 *5946:11 0.000419432 +11 *5946:36 *20812:A 6.50586e-05 +12 *20813:A *5946:36 0.000107496 +13 *20813:C *5946:36 0.000127062 +14 *20822:A2 *5946:22 7.24618e-06 +15 *20822:B1 *5946:22 2.91559e-06 +16 *20829:A2 *5946:22 0 +17 *20829:A2 *5946:36 0 +18 *21364:A1 *20818:A1 0.000203172 +19 *24908:CLK *5946:11 1.03403e-05 +20 *518:58 *5946:22 5.21168e-05 +21 *518:58 *5946:36 0.000140606 +22 *524:6 *20818:A1 0.000573512 +23 *524:6 *22530:B 0.000106246 +24 *1419:8 *5946:11 0.00018643 +25 *2085:13 *20822:C1 1.96267e-05 +26 *2085:13 *5946:11 0.000485975 +27 *2088:17 *5946:36 8.15832e-05 +28 *2088:24 *5946:36 7.54269e-06 +29 *2089:7 *5946:36 0.000160617 +30 *2090:7 *5946:36 0.000116 +31 *2095:9 *5946:22 1.91391e-05 +32 *2422:27 *20822:C1 2.92975e-06 +33 *2422:27 *5946:11 0.000181332 +34 *2422:27 *5946:22 4.80635e-06 +35 *2423:33 *5946:36 4.69495e-06 +36 *2428:87 *5946:11 5.04829e-06 +37 *2433:151 *5946:36 0.000154868 +38 *2439:24 *5946:11 0.000190013 +39 *2440:42 *22530:B 2.20702e-05 +40 *3177:37 *5946:36 5.04829e-06 +41 *3468:7 *22530:B 5.481e-05 +42 *4829:57 *20818:A1 0.000566317 +43 *4829:57 *22530:B 0.000113374 +44 *4876:38 *5946:22 0 +45 *5859:277 *22530:B 0.000253916 +*RES +1 *24370:Q *5946:11 28.1804 +2 *5946:11 *20822:C1 9.45411 +3 *5946:11 *5946:22 8.56639 +4 *5946:22 *20820:A 13.7491 +5 *5946:22 *5946:36 24.9872 +6 *5946:36 *5946:38 4.5 +7 *5946:38 *20818:A1 28.2131 +8 *5946:38 *22530:B 18.9382 +9 *5946:36 *20812:B 9.24915 +*END + +*D_NET *5947 0.00497518 +*CONN +*I *22519:A I *D sky130_fd_sc_hd__inv_2 +*I *20812:A I *D sky130_fd_sc_hd__or2_4 +*I *22523:A I *D sky130_fd_sc_hd__or2_4 +*I *20818:B1 I *D sky130_fd_sc_hd__o31a_1 +*I *24371:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22519:A 0.000130471 +2 *20812:A 9.36721e-06 +3 *22523:A 6.22336e-05 +4 *20818:B1 8.15734e-05 +5 *24371:Q 0.000198836 +6 *5947:37 0.000277534 +7 *5947:20 0.000483308 +8 *5947:8 0.000563789 +9 *5947:20 *20823:A3 0.000160196 +10 *20813:C *20812:A 6.50586e-05 +11 *20822:A1 *20818:B1 4.88955e-05 +12 *24370:CLK *5947:8 0.00011191 +13 *1428:85 *5947:8 6.27718e-05 +14 *1884:262 *22519:A 3.09155e-05 +15 *1884:262 *5947:37 3.56893e-05 +16 *2063:31 *20818:B1 0.000161172 +17 *2063:34 *5947:20 0.000326847 +18 *2384:103 *22523:A 0.000203663 +19 *2384:103 *5947:20 0.000504398 +20 *2389:16 *5947:37 3.91944e-05 +21 *2439:31 *22523:A 0.000143876 +22 *2439:31 *5947:20 0.000492433 +23 *2925:12 *5947:8 7.20119e-05 +24 *2925:12 *5947:20 4.57241e-06 +25 *3468:7 *22519:A 6.92705e-05 +26 *4829:57 *22519:A 5.1573e-05 +27 *4829:57 *5947:37 6.80172e-05 +28 *4833:51 *5947:37 4.15661e-05 +29 *4876:38 *5947:8 0.000286355 +30 *4876:38 *5947:20 8.74293e-05 +31 *4878:157 *5947:8 8.62625e-06 +32 *5859:277 *22519:A 2.65667e-05 +33 *5946:36 *20812:A 6.50586e-05 +*RES +1 *24371:Q *5947:8 20.0418 +2 *5947:8 *20818:B1 16.1364 +3 *5947:8 *5947:20 17.0299 +4 *5947:20 *22523:A 12.3356 +5 *5947:20 *5947:37 8.40826 +6 *5947:37 *20812:A 14.4725 +7 *5947:37 *22519:A 17.7138 +*END + +*D_NET *5948 0.0334187 +*CONN +*I *22541:C I *D sky130_fd_sc_hd__or3_1 +*I *22533:C I *D sky130_fd_sc_hd__or3_1 +*I *22526:C I *D sky130_fd_sc_hd__or3_1 +*I *22589:C I *D sky130_fd_sc_hd__or3_1 +*I *22587:C I *D sky130_fd_sc_hd__or3_1 +*I *22593:D I *D sky130_fd_sc_hd__or4_1 +*I *22581:D I *D sky130_fd_sc_hd__or4_1 +*I *22521:C I *D sky130_fd_sc_hd__or3_1 +*I *22567:C I *D sky130_fd_sc_hd__or3_1 +*I *22573:C I *D sky130_fd_sc_hd__or3_1 +*I *22577:C I *D sky130_fd_sc_hd__or3_1 +*I *22557:C I *D sky130_fd_sc_hd__or3_1 +*I *22531:C I *D sky130_fd_sc_hd__or3_1 +*I *20814:A I *D sky130_fd_sc_hd__clkinv_4 +*I *22535:D I *D sky130_fd_sc_hd__or4_1 +*I *22546:D I *D sky130_fd_sc_hd__or4_1 +*I *20817:A1 I *D sky130_fd_sc_hd__o32a_1 +*I *22515:C I *D sky130_fd_sc_hd__nor3_4 +*I *24372:Q O *D sky130_fd_sc_hd__dfstp_4 +*CAP +1 *22541:C 0 +2 *22533:C 0 +3 *22526:C 0 +4 *22589:C 6.14338e-05 +5 *22587:C 0 +6 *22593:D 0.000165755 +7 *22581:D 0.000246791 +8 *22521:C 0 +9 *22567:C 0 +10 *22573:C 5.61799e-05 +11 *22577:C 0 +12 *22557:C 8.9625e-05 +13 *22531:C 4.2235e-05 +14 *20814:A 0 +15 *22535:D 0 +16 *22546:D 0.000307644 +17 *20817:A1 3.20361e-05 +18 *22515:C 0.000407024 +19 *24372:Q 0.000140256 +20 *5948:182 0.000114127 +21 *5948:169 0.000458481 +22 *5948:152 0.000676773 +23 *5948:145 0.000336027 +24 *5948:140 0.000419358 +25 *5948:128 0.000604791 +26 *5948:113 7.66836e-05 +27 *5948:106 0.000110129 +28 *5948:104 0.00156655 +29 *5948:95 0.00159281 +30 *5948:81 0.000605703 +31 *5948:76 0.000851592 +32 *5948:63 0.000708402 +33 *5948:39 0.000718011 +34 *5948:30 0.00106231 +35 *5948:17 0.000685681 +36 *5948:7 0.000611495 +37 *20815:A *5948:39 4.51626e-05 +38 *20815:C *5948:30 6.97183e-05 +39 *20815:C *5948:63 7.14746e-05 +40 *20817:A2 *5948:7 6.23075e-05 +41 *22177:C *5948:76 0.000351795 +42 *22178:A *5948:76 0.000122083 +43 *22516:A *5948:145 0.000170442 +44 *22516:D *5948:140 5.23916e-05 +45 *22521:A *5948:152 0.000122488 +46 *22531:A *22531:C 0.000113968 +47 *22531:A *5948:81 5.85325e-05 +48 *22531:B *22531:C 7.92757e-06 +49 *22535:A *5948:39 6.08467e-05 +50 *22535:C *5948:39 3.8122e-05 +51 *22541:A *5948:76 0.000193953 +52 *22541:B *5948:81 7.92757e-06 +53 *22557:A *22557:C 6.08467e-05 +54 *22562:A *22557:C 0.000393863 +55 *22562:A *22573:C 0.000194565 +56 *22562:A *5948:113 9.61086e-05 +57 *22567:A *5948:128 6.08467e-05 +58 *22567:A *5948:140 4.66492e-05 +59 *22570:A *5948:152 0.000255539 +60 *22577:A *22557:C 0.000286367 +61 *22577:A *22573:C 6.36477e-05 +62 *22577:A *5948:113 0.000110306 +63 *22581:A *22581:D 0.000207266 +64 *22581:C *22581:D 1.25352e-05 +65 *22583:A *5948:128 6.28701e-05 +66 *22583:A *5948:140 0.000213509 +67 *22589:A *22589:C 0.000107496 +68 *22593:A *22593:D 0.00035152 +69 *22593:A *5948:169 0.000117961 +70 *22593:C *22593:D 6.08467e-05 +71 *24363:CLK *5948:76 2.81262e-05 +72 *24363:CLK *5948:81 0.000135252 +73 *24364:D *5948:30 4.96469e-06 +74 *24372:SET_B *5948:7 4.44267e-05 +75 *506:32 *22546:D 1.71707e-05 +76 *541:35 *5948:76 5.44728e-05 +77 *1542:201 *22573:C 5.04829e-06 +78 *1741:93 *22515:C 5.97576e-05 +79 *2085:50 *5948:7 1.61631e-05 +80 *2088:103 *5948:63 2.82537e-05 +81 *2089:22 *5948:39 7.09666e-06 +82 *2089:28 *5948:39 0.000118864 +83 *2089:37 *5948:104 0.00132567 +84 *2091:63 *5948:104 0.000129186 +85 *2091:72 *5948:104 0.000143769 +86 *2091:72 *5948:128 0.000176232 +87 *2091:83 *5948:128 0.000327544 +88 *2098:36 *5948:104 0.00129127 +89 *2098:49 *5948:104 0.000117395 +90 *2098:58 *5948:169 2.69685e-05 +91 *2098:63 *22581:D 6.08467e-05 +92 *2098:63 *5948:152 0.000686785 +93 *2098:82 *5948:169 0.000154591 +94 *2100:12 *22546:D 0.000187913 +95 *2100:37 *5948:81 8.3506e-05 +96 *2100:55 *5948:81 7.20738e-05 +97 *2100:55 *5948:95 0.000302134 +98 *2108:45 *5948:76 1.55462e-05 +99 *2115:39 *22531:C 0.000118166 +100 *2115:39 *5948:95 0.000188363 +101 *2115:48 *5948:76 8.39598e-05 +102 *2115:100 *5948:95 0.000110808 +103 *2123:25 *5948:95 0.000107496 +104 *2123:29 *5948:95 0.000297054 +105 *2123:29 *5948:104 3.73237e-05 +106 *2282:88 *22557:C 1.65872e-05 +107 *2282:88 *22573:C 8.56505e-05 +108 *2378:12 *22515:C 0.000662349 +109 *2378:12 *5948:17 0.000186865 +110 *2378:12 *5948:30 0.000907243 +111 *2378:27 *5948:39 2.24715e-05 +112 *2411:5 *5948:76 9.5562e-05 +113 *2425:7 *5948:76 5.73392e-05 +114 *2425:14 *5948:76 0.000111722 +115 *2471:21 *5948:7 7.65564e-05 +116 *2471:28 *5948:7 0.000381471 +117 *2595:11 *22589:C 0.000154145 +118 *2595:15 *22589:C 3.66984e-05 +119 *2595:15 *22593:D 0.000456358 +120 *2595:15 *5948:182 0.000101018 +121 *2635:87 *5948:140 0.000118825 +122 *2689:46 *22546:D 3.53803e-05 +123 *2690:39 *22581:D 1.47978e-05 +124 *2978:99 *22546:D 6.50586e-05 +125 *3104:22 *5948:81 3.3239e-06 +126 *3104:22 *5948:95 3.33173e-06 +127 *3126:10 *5948:140 0.000143996 +128 *3177:16 *5948:76 0.000121819 +129 *3177:27 *5948:76 0.000367366 +130 *3185:18 *5948:81 3.08296e-05 +131 *3467:28 *5948:104 0.000380754 +132 *3467:28 *5948:128 0.000123118 +133 *3467:47 *5948:128 0.000318972 +134 *3467:61 *5948:128 3.17913e-05 +135 *3469:12 *5948:39 8.70893e-05 +136 *3469:52 *5948:140 0.000147537 +137 *3472:30 *22546:D 0.000176044 +138 *3472:69 *5948:95 2.78256e-05 +139 *3472:69 *5948:104 5.04829e-06 +140 *3476:7 *5948:140 0.000247443 +141 *3479:10 *22515:C 0.000655282 +142 *3479:10 *5948:17 0.000188915 +143 *3479:10 *5948:30 0.000980838 +144 *3479:13 *22546:D 6.08467e-05 +145 *3479:13 *5948:39 0.000483648 +146 *3479:58 *5948:104 1.96817e-05 +147 *3491:17 *5948:140 5.481e-05 +148 *3496:13 *22546:D 1.03403e-05 +149 *3496:13 *5948:39 7.30003e-05 +150 *3498:11 *5948:81 7.58067e-06 +151 *3519:24 *22581:D 2.15348e-05 +152 *3519:24 *5948:152 3.07561e-05 +153 *3531:11 *5948:169 0.000290135 +154 *4813:56 *5948:128 1.16596e-05 +155 *4818:73 *22589:C 9.17739e-05 +156 *4818:73 *22593:D 0.000133375 +157 *4818:73 *5948:182 0.000260999 +158 *4845:349 *20817:A1 0.000164829 +159 *4876:8 *5948:30 0.00013498 +160 *4876:8 *5948:63 0.000134406 +161 *4876:26 *20817:A1 6.73186e-05 +162 *5944:69 *5948:81 5.21758e-06 +163 *5944:90 *5948:145 0.000170442 +*RES +1 *24372:Q *5948:7 20.0186 +2 *5948:7 *22515:C 28.6256 +3 *5948:7 *5948:17 3.493 +4 *5948:17 *20817:A1 15.5817 +5 *5948:17 *5948:30 22.2526 +6 *5948:30 *5948:39 23.9886 +7 *5948:39 *22546:D 26.7308 +8 *5948:39 *22535:D 9.24915 +9 *5948:30 *5948:63 7.1625 +10 *5948:63 *20814:A 9.24915 +11 *5948:63 *5948:76 30.8583 +12 *5948:76 *5948:81 10.2409 +13 *5948:81 *22531:C 15.5817 +14 *5948:81 *5948:95 16.0841 +15 *5948:95 *5948:104 43.5415 +16 *5948:104 *5948:106 4.5 +17 *5948:106 *22557:C 13.8548 +18 *5948:106 *5948:113 1.278 +19 *5948:113 *22577:C 9.24915 +20 *5948:113 *22573:C 12.191 +21 *5948:104 *5948:128 15.7757 +22 *5948:128 *22567:C 9.24915 +23 *5948:128 *5948:140 19.0706 +24 *5948:140 *5948:145 12.9083 +25 *5948:145 *22521:C 9.24915 +26 *5948:145 *5948:152 8.51196 +27 *5948:152 *22581:D 15.181 +28 *5948:152 *5948:169 15.3998 +29 *5948:169 *22593:D 16.8448 +30 *5948:169 *5948:182 2.94181 +31 *5948:182 *22587:C 9.24915 +32 *5948:182 *22589:C 12.191 +33 *5948:140 *22526:C 9.24915 +34 *5948:95 *22533:C 9.24915 +35 *5948:76 *22541:C 9.24915 +*END + +*D_NET *5949 0.0221915 +*CONN +*I *20835:A I *D sky130_fd_sc_hd__inv_2 +*I *22173:D I *D sky130_fd_sc_hd__or4_2 +*I *22228:B I *D sky130_fd_sc_hd__or4_1 +*I *22141:B I *D sky130_fd_sc_hd__or4_1 +*I *22234:B I *D sky130_fd_sc_hd__or4_1 +*I *22155:B I *D sky130_fd_sc_hd__or4_1 +*I *22183:B I *D sky130_fd_sc_hd__or4_1 +*I *22215:B I *D sky130_fd_sc_hd__or4_1 +*I *20854:B I *D sky130_fd_sc_hd__or2_2 +*I *20852:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *20858:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24362:Q O *D sky130_fd_sc_hd__dfstp_2 +*CAP +1 *20835:A 0 +2 *22173:D 0 +3 *22228:B 0.000274012 +4 *22141:B 0.000114467 +5 *22234:B 0.000514274 +6 *22155:B 0 +7 *22183:B 0.000229856 +8 *22215:B 0 +9 *20854:B 0.000604082 +10 *20852:A2 3.60745e-05 +11 *20858:A1 0 +12 *24362:Q 0.000606877 +13 *5949:108 0.000540171 +14 *5949:90 0.000271353 +15 *5949:89 0.000555771 +16 *5949:87 0.000754797 +17 *5949:79 0.000739823 +18 *5949:74 0.000627521 +19 *5949:52 0.00129998 +20 *5949:43 0.000521114 +21 *5949:41 0.001989 +22 *5949:22 0.00184939 +23 *5949:12 0.000747208 +24 *20852:A2 *20852:A1 0.000107496 +25 *20852:A2 *20852:A3 6.1516e-05 +26 *22183:B *22183:A 2.77625e-06 +27 *22228:B *22228:A 0.000291584 +28 *22228:B *5950:100 6.18026e-05 +29 *5949:43 *5951:8 0.000139166 +30 *5949:52 *5951:8 4.49912e-05 +31 *5949:52 *5951:19 0.000118507 +32 *5949:52 *5951:28 0.000136837 +33 *5949:74 *22173:A 0.000300735 +34 *5949:74 *5951:28 0.000220377 +35 *5949:79 *22173:C 0.000285889 +36 *5949:87 *5950:81 9.32704e-05 +37 *5949:108 *5950:81 6.26791e-05 +38 *5949:108 *5950:100 0.000296142 +39 *20839:A *5949:74 3.89332e-06 +40 *20851:A1 *5949:41 1.13071e-05 +41 *20853:A1 *5949:41 0 +42 *20853:A1 *5949:43 5.5807e-05 +43 *20854:A *20854:B 6.50727e-05 +44 *20856:B2 *20854:B 6.08467e-05 +45 *20858:B1 *5949:41 5.481e-05 +46 *21169:B *5949:41 9.57557e-06 +47 *21169:C *5949:41 0.000287522 +48 *22141:C *22141:B 0.000154145 +49 *22155:D *22183:B 9.95542e-06 +50 *22174:B *5949:87 0.000425315 +51 *22183:C *22183:B 2.58616e-05 +52 *22189:A *22228:B 3.88655e-06 +53 *22234:C *22183:B 1.65872e-05 +54 *22234:C *22234:B 5.20308e-05 +55 *22234:C *5949:90 2.16355e-05 +56 *22235:A *22234:B 0.000587716 +57 *24362:CLK *5949:12 7.43669e-05 +58 *24365:D *5949:41 7.00709e-05 +59 *24365:CLK *5949:41 0.000136538 +60 *24369:D *5949:41 6.92705e-05 +61 *504:16 *5949:22 1.2012e-05 +62 *1418:311 *20854:B 5.69128e-05 +63 *1419:184 *20854:B 1.5714e-05 +64 *1439:145 *20854:B 0.000290296 +65 *1520:52 *5949:41 2.55493e-05 +66 *1636:68 *5949:43 0.000154139 +67 *1741:93 *5949:22 2.16355e-05 +68 *1741:93 *5949:41 0.000214877 +69 *1884:260 *5949:79 0.000226638 +70 *2063:82 *5949:41 3.88655e-06 +71 *2085:41 *5949:12 5.88662e-05 +72 *2085:41 *5949:22 5.2367e-05 +73 *2088:11 *5949:41 6.22114e-05 +74 *2088:84 *5949:43 3.73224e-05 +75 *2088:84 *5949:52 0.000141909 +76 *2107:5 *5949:22 1.65872e-05 +77 *2107:5 *5949:41 5.04829e-06 +78 *2107:20 *5949:22 5.30145e-05 +79 *2109:8 *5949:41 0.000233596 +80 *2109:8 *5949:43 3.17984e-05 +81 *2110:17 *5949:52 3.47394e-05 +82 *2110:17 *5949:74 8.35041e-05 +83 *2110:28 *5949:74 2.82635e-05 +84 *2115:14 *20854:B 1.5714e-05 +85 *2123:25 *5949:87 4.14481e-05 +86 *2391:49 *20854:B 0.000406527 +87 *2397:85 *5949:74 0.00011985 +88 *2433:151 *5949:12 1.54824e-05 +89 *2440:55 *5949:74 0 +90 *2440:55 *5949:87 5.74064e-05 +91 *2467:126 *5949:79 1.75625e-05 +92 *2689:35 *5949:43 2.57465e-06 +93 *3091:28 *5949:74 9.2346e-06 +94 *3118:44 *22183:B 0.000110297 +95 *3120:11 *22228:B 7.82454e-06 +96 *3137:6 *5949:74 6.73739e-06 +97 *3177:16 *5949:87 0.000302686 +98 *3177:37 *5949:41 0 +99 *4804:24 *5949:74 0.000271296 +100 *4830:71 *22228:B 0.000331088 +101 *4830:71 *5949:87 0.000560243 +102 *4830:71 *5949:108 0.000360183 +103 *4830:79 *5949:41 9.78496e-06 +104 *4876:29 *5949:41 0.000530633 +105 *4876:38 *5949:41 2.14842e-06 +106 *5859:289 *5949:12 1.41307e-05 +107 *5864:177 *5949:12 0.000127179 +108 *5864:177 *5949:22 6.76686e-05 +109 *5864:196 *5949:22 8.89094e-05 +110 *5944:44 *5949:41 1.6749e-05 +111 *5945:11 *5949:41 0.000141491 +*RES +1 *24362:Q *5949:12 24.6865 +2 *5949:12 *20858:A1 13.7491 +3 *5949:12 *5949:22 9.13165 +4 *5949:22 *5949:41 47.5226 +5 *5949:41 *5949:43 8.2684 +6 *5949:43 *20852:A2 15.0271 +7 *5949:43 *5949:52 6.19214 +8 *5949:52 *20854:B 23.3214 +9 *5949:52 *5949:74 20.1925 +10 *5949:74 *5949:79 14.5693 +11 *5949:79 *22215:B 9.24915 +12 *5949:79 *5949:87 21.4555 +13 *5949:87 *5949:89 4.5 +14 *5949:89 *5949:90 0.723396 +15 *5949:90 *22183:B 12.7456 +16 *5949:90 *22155:B 9.24915 +17 *5949:89 *22234:B 17.737 +18 *5949:87 *5949:108 6.81502 +19 *5949:108 *22141:B 15.5817 +20 *5949:108 *22228:B 21.8422 +21 *5949:74 *22173:D 9.24915 +22 *5949:22 *20835:A 9.24915 +*END + +*D_NET *5950 0.0184329 +*CONN +*I *22234:A I *D sky130_fd_sc_hd__or4_1 +*I *22228:A I *D sky130_fd_sc_hd__or4_1 +*I *22141:A I *D sky130_fd_sc_hd__or4_1 +*I *22155:A I *D sky130_fd_sc_hd__or4_1 +*I *22183:A I *D sky130_fd_sc_hd__or4_1 +*I *22215:A I *D sky130_fd_sc_hd__or4_1 +*I *22173:C I *D sky130_fd_sc_hd__or4_2 +*I *20855:A I *D sky130_fd_sc_hd__or2_2 +*I *20852:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *20834:A I *D sky130_fd_sc_hd__inv_2 +*I *24363:Q O *D sky130_fd_sc_hd__dfstp_2 +*CAP +1 *22234:A 0.000428217 +2 *22228:A 0.000198511 +3 *22141:A 0.000187374 +4 *22155:A 0 +5 *22183:A 0.000189746 +6 *22215:A 0 +7 *22173:C 0.000249464 +8 *20855:A 0 +9 *20852:A1 0.000664339 +10 *20834:A 0.000155154 +11 *24363:Q 0.000398211 +12 *5950:100 0.000534364 +13 *5950:83 0.000189746 +14 *5950:81 0.000681082 +15 *5950:60 0.000344081 +16 *5950:58 0.000900819 +17 *5950:55 0.000801035 +18 *5950:20 0.000846247 +19 *5950:18 0.000599923 +20 *5950:9 0.0010706 +21 *20834:A *20852:A3 6.08467e-05 +22 *20834:A *20856:A1 0.000141915 +23 *20834:A *6028:102 2.69795e-05 +24 *20852:A1 *20852:A3 1.70805e-05 +25 *20852:A1 *20852:B1 0.000141642 +26 *5950:18 *20856:A1 0.000166196 +27 *5950:20 *20856:A1 3.63738e-05 +28 *20130:B *22234:A 7.05017e-05 +29 *20852:A2 *20852:A1 0.000107496 +30 *20853:A3 *20852:A1 6.22114e-05 +31 *20853:C1 *20852:A1 1.61477e-05 +32 *20857:A *5950:18 7.71779e-05 +33 *22141:C *22141:A 8.79845e-05 +34 *22141:D *22141:A 6.08467e-05 +35 *22152:A *22183:A 1.61631e-05 +36 *22155:D *22183:A 6.08467e-05 +37 *22183:B *22183:A 2.77625e-06 +38 *22183:C *22183:A 2.58616e-05 +39 *22189:A *22228:A 2.81627e-06 +40 *22211:A *22234:A 0.000111802 +41 *22211:B *22234:A 0.000111802 +42 *22228:B *22228:A 0.000291584 +43 *22228:B *5950:100 6.18026e-05 +44 *22234:C *22183:A 6.08467e-05 +45 *23941:S *20852:A1 3.08367e-05 +46 *24363:SET_B *5950:9 0.000151272 +47 *1419:196 *5950:81 3.31745e-05 +48 *1439:145 *20852:A1 0.000526283 +49 *1679:37 *22234:A 4.19763e-05 +50 *1679:37 *5950:18 0.000204591 +51 *1679:37 *5950:55 0.000251024 +52 *1679:37 *5950:58 2.16355e-05 +53 *1709:78 *20834:A 6.39068e-05 +54 *1884:24 *22228:A 2.02201e-05 +55 *1884:257 *22234:A 4.73831e-05 +56 *1884:257 *5950:9 3.24705e-06 +57 *1884:260 *22173:C 1.2693e-05 +58 *2088:84 *20852:A1 8.4653e-05 +59 *2108:29 *20852:A1 0.000186018 +60 *2110:17 *20852:A1 3.74542e-05 +61 *2111:17 *5950:58 0.000194565 +62 *2111:17 *5950:60 0.000498566 +63 *2111:20 *22173:C 3.04443e-05 +64 *2112:22 *20834:A 6.88203e-05 +65 *2112:22 *5950:18 0.000104803 +66 *2112:22 *5950:20 1.01656e-05 +67 *2123:10 *5950:18 0.000130264 +68 *2397:76 *5950:58 0.000169472 +69 *2440:54 *20852:A1 5.60804e-05 +70 *2440:55 *22173:C 8.2683e-05 +71 *2440:55 *22228:A 0.000339395 +72 *2440:55 *5950:81 0.000154593 +73 *2440:55 *5950:100 0.000354446 +74 *2467:123 *5950:58 0.0002646 +75 *2467:123 *5950:60 0.000495755 +76 *2467:126 *22173:C 0 +77 *2800:14 *22234:A 0.000105599 +78 *3091:28 *5950:81 0.000521228 +79 *3093:10 *5950:18 0.000119553 +80 *3108:30 *22234:A 0.000466919 +81 *3108:30 *5950:18 3.61466e-05 +82 *3108:30 *5950:55 0.000210465 +83 *3108:35 *5950:81 5.481e-05 +84 *3108:39 *5950:81 5.9036e-05 +85 *3108:58 *22183:A 8.67924e-06 +86 *3118:44 *22183:A 2.21021e-05 +87 *3120:11 *22228:A 6.08467e-05 +88 *3122:11 *22234:A 4.64983e-05 +89 *3177:16 *5950:81 0.000130502 +90 *3177:229 *5950:81 0.000155109 +91 *4804:37 *5950:81 0.000200794 +92 *4823:142 *5950:18 0.000341221 +93 *4866:109 *22234:A 0.000225735 +94 *5949:79 *22173:C 0.000285889 +95 *5949:87 *5950:81 9.32704e-05 +96 *5949:108 *5950:81 6.26791e-05 +97 *5949:108 *5950:100 0.000296142 +*RES +1 *24363:Q *5950:9 19.4881 +2 *5950:9 *5950:18 18.4665 +3 *5950:18 *5950:20 0.793864 +4 *5950:20 *20834:A 18.8671 +5 *5950:20 *20852:A1 28.0681 +6 *5950:18 *20855:A 13.7491 +7 *5950:9 *5950:55 4.73876 +8 *5950:55 *5950:58 12.9878 +9 *5950:58 *5950:60 5.71483 +10 *5950:60 *22173:C 25.4794 +11 *5950:60 *22215:A 9.24915 +12 *5950:58 *5950:81 30.6265 +13 *5950:81 *5950:83 4.5 +14 *5950:83 *22183:A 14.0718 +15 *5950:83 *22155:A 9.24915 +16 *5950:81 *5950:100 6.81502 +17 *5950:100 *22141:A 17.8002 +18 *5950:100 *22228:A 21.2876 +19 *5950:55 *22234:A 28.4862 +*END + +*D_NET *5951 0.00305286 +*CONN +*I *22140:B I *D sky130_fd_sc_hd__or2_1 +*I *22154:B I *D sky130_fd_sc_hd__or2_2 +*I *20838:A I *D sky130_fd_sc_hd__inv_2 +*I *20852:B1 I *D sky130_fd_sc_hd__a31o_1 +*I *24364:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22140:B 0.000112306 +2 *22154:B 0 +3 *20838:A 0 +4 *20852:B1 0.000150869 +5 *24364:Q 0.000183273 +6 *5951:28 0.000307065 +7 *5951:19 0.000276931 +8 *5951:8 0.000416313 +9 *20852:B1 *20852:A3 1.78868e-05 +10 *22140:B *22140:A 8.65358e-05 +11 *20852:A1 *20852:B1 0.000141642 +12 *2109:8 *5951:19 1.04965e-05 +13 *2109:8 *5951:28 0.000144034 +14 *2425:14 *5951:8 0.000168711 +15 *2425:14 *5951:19 0.000100741 +16 *2924:80 *22140:B 1.9633e-05 +17 *2978:97 *5951:8 2.61037e-05 +18 *3091:28 *22140:B 0 +19 *3104:9 *22140:B 0.000214279 +20 *4804:21 *22140:B 1.61631e-05 +21 *5949:43 *5951:8 0.000139166 +22 *5949:52 *5951:8 4.49912e-05 +23 *5949:52 *5951:19 0.000118507 +24 *5949:52 *5951:28 0.000136837 +25 *5949:74 *5951:28 0.000220377 +*RES +1 *24364:Q *5951:8 18.5201 +2 *5951:8 *20852:B1 16.5704 +3 *5951:8 *5951:19 2.6625 +4 *5951:19 *20838:A 13.7491 +5 *5951:19 *5951:28 11.315 +6 *5951:28 *22154:B 9.24915 +7 *5951:28 *22140:B 13.3243 +*END + +*D_NET *5952 0.00819386 +*CONN +*I *20850:A I *D sky130_fd_sc_hd__or3_1 +*I *22168:A I *D sky130_fd_sc_hd__or3_1 +*I *22173:A I *D sky130_fd_sc_hd__or4_2 +*I *22112:A I *D sky130_fd_sc_hd__or3_1 +*I *22140:A I *D sky130_fd_sc_hd__or2_1 +*I *20837:A I *D sky130_fd_sc_hd__inv_2 +*I *24365:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *20850:A 3.58481e-05 +2 *22168:A 0 +3 *22173:A 9.84814e-05 +4 *22112:A 0 +5 *22140:A 0.000136971 +6 *20837:A 4.58704e-05 +7 *24365:Q 0.000609779 +8 *5952:48 0.000326271 +9 *5952:36 0.000307569 +10 *5952:25 0.000511471 +11 *5952:23 0.00080122 +12 *5952:14 0.0011263 +13 *5952:14 *5954:24 6.50586e-05 +14 *5952:14 *5956:48 3.76047e-05 +15 *5952:23 *5956:48 4.43939e-05 +16 *20840:A *5952:36 7.58217e-06 +17 *20840:A *5952:48 4.82237e-05 +18 *20850:B *5952:25 6.85742e-05 +19 *20850:C *5952:23 7.26959e-06 +20 *20850:C *5952:25 7.72394e-06 +21 *20851:A1 *20837:A 6.92705e-05 +22 *20851:A2 *20837:A 5.23916e-05 +23 *20851:B2 *20837:A 0.000211464 +24 *20851:B2 *5952:23 0.000129526 +25 *21169:C *5952:14 5.01835e-05 +26 *21169:C *5952:23 0.00013773 +27 *22140:B *22140:A 8.65358e-05 +28 *24365:RESET_B *5952:14 0.000111067 +29 *518:58 *5952:23 0 +30 *1557:83 *5952:23 0.000429501 +31 *2063:82 *5952:14 2.60879e-06 +32 *2109:8 *5952:23 0 +33 *2111:11 *5952:48 0.000107496 +34 *2113:21 *5952:14 9.1094e-05 +35 *2120:12 *5952:23 1.0064e-05 +36 *2120:12 *5952:25 0.000374984 +37 *2120:12 *5952:36 0.000172707 +38 *2120:12 *5952:48 0.000154324 +39 *2122:15 *5952:25 0.000140487 +40 *2122:15 *5952:36 0.000160384 +41 *2123:17 *22173:A 4.66492e-05 +42 *2123:17 *5952:48 3.95516e-05 +43 *2123:25 *22173:A 6.50586e-05 +44 *2397:85 *22173:A 0.000104854 +45 *2397:85 *5952:48 5.51483e-06 +46 *2924:80 *22140:A 0.000504398 +47 *3091:28 *22140:A 9.97112e-05 +48 *4804:21 *22140:A 7.54269e-06 +49 *4823:142 *20850:A 0 +50 *4823:142 *5952:25 0.000222102 +51 *4830:79 *5952:14 1.09898e-05 +52 *4830:79 *5952:23 8.72221e-06 +53 *5949:74 *22173:A 0.000300735 +*RES +1 *24365:Q *5952:14 25.1112 +2 *5952:14 *20837:A 16.1364 +3 *5952:14 *5952:23 13.4591 +4 *5952:23 *5952:25 10.137 +5 *5952:25 *22140:A 20.0427 +6 *5952:25 *5952:36 3.493 +7 *5952:36 *22112:A 13.7491 +8 *5952:36 *5952:48 11.0983 +9 *5952:48 *22173:A 13.8789 +10 *5952:48 *22168:A 9.24915 +11 *5952:23 *20850:A 14.4819 +*END + +*D_NET *5953 0.00552153 +*CONN +*I *22143:B I *D sky130_fd_sc_hd__or2_1 +*I *20833:A I *D sky130_fd_sc_hd__inv_2 +*I *20849:A1 I *D sky130_fd_sc_hd__o21ba_1 +*I *22111:B I *D sky130_fd_sc_hd__or2_4 +*I *24366:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *22143:B 0.000153425 +2 *20833:A 0 +3 *20849:A1 0 +4 *22111:B 0.000236421 +5 *24366:Q 0.000758689 +6 *5953:32 0.000600657 +7 *5953:10 0.000236421 +8 *5953:8 0.00120592 +9 *22143:B *22143:A 0.000111708 +10 *20846:B1 *22111:B 0.000298304 +11 *20848:B1 *22143:B 1.65872e-05 +12 *20849:A2 *22111:B 6.10722e-05 +13 *20849:B1_N *22111:B 0.000110306 +14 *20856:B2 *22143:B 3.31745e-05 +15 *20856:B2 *5953:32 0.000140948 +16 *24366:D *22111:B 0.000357911 +17 *24366:D *5953:8 7.14746e-05 +18 *24366:SET_B *5953:8 0.000100397 +19 *504:16 *5953:32 1.95409e-05 +20 *1450:122 *5953:8 7.51378e-06 +21 *1450:122 *5953:32 1.48548e-05 +22 *1636:52 *22143:B 0 +23 *1636:52 *5953:32 1.72134e-05 +24 *2105:9 *22111:B 0.00011445 +25 *2105:9 *5953:32 1.07248e-05 +26 *3091:10 *22111:B 9.98615e-06 +27 *3091:10 *5953:32 6.51637e-05 +28 *4843:488 *5953:32 0.000411032 +29 *5857:189 *5953:8 0.000108268 +30 *5857:189 *5953:32 0.000118548 +31 *5864:220 *5953:8 0.00013082 +*RES +1 *24366:Q *5953:8 25.3161 +2 *5953:8 *5953:10 4.5 +3 *5953:10 *22111:B 18.3157 +4 *5953:10 *20849:A1 9.24915 +5 *5953:8 *5953:32 23.4629 +6 *5953:32 *20833:A 9.24915 +7 *5953:32 *22143:B 12.7697 +*END + +*D_NET *5954 0.00556585 +*CONN +*I *22143:A I *D sky130_fd_sc_hd__or2_1 +*I *20842:A I *D sky130_fd_sc_hd__or2_1 +*I *20832:A I *D sky130_fd_sc_hd__inv_2 +*I *24367:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22143:A 0.000219792 +2 *20842:A 1.26553e-05 +3 *20832:A 3.09012e-05 +4 *24367:Q 0.000858288 +5 *5954:24 0.000791814 +6 *5954:11 0.00144856 +7 *20843:A *22143:A 0.000124034 +8 *20846:B1 *20842:A 6.50586e-05 +9 *20846:B1 *5954:24 2.53145e-06 +10 *20848:B1 *22143:A 0.000207266 +11 *22111:A *5954:24 6.08467e-05 +12 *22143:B *22143:A 0.000111708 +13 *24366:CLK *5954:11 0.000185193 +14 *24367:D *5954:11 0.000107496 +15 *24367:RESET_B *5954:11 0.000106869 +16 *1419:179 *22143:A 6.16037e-05 +17 *1419:179 *5954:24 8.98169e-05 +18 *1636:52 *5954:11 0 +19 *2104:5 *20832:A 6.64392e-05 +20 *2104:5 *5954:24 0.000347839 +21 *2105:9 *20842:A 2.65667e-05 +22 *2113:21 *5954:24 1.90101e-05 +23 *2119:10 *22143:A 0.000105549 +24 *2471:35 *5954:11 0.000134626 +25 *3093:12 *22143:A 3.3452e-05 +26 *3093:12 *5954:24 3.49122e-05 +27 *5857:189 *5954:11 0.00024797 +28 *5952:14 *5954:24 6.50586e-05 +*RES +1 *24367:Q *5954:11 34.4176 +2 *5954:11 *20832:A 10.5271 +3 *5954:11 *5954:24 14.6993 +4 *5954:24 *20842:A 14.4725 +5 *5954:24 *22143:A 20.4599 +*END + +*D_NET *5955 0.00331926 +*CONN +*I *19637:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *19923:A I *D sky130_fd_sc_hd__or3_1 +*I *24887:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19637:A1 6.35605e-05 +2 *19923:A 0.00106175 +3 *24887:Q 8.61958e-05 +4 *5955:8 0.0012115 +5 *19637:A0 *5955:8 0.000142562 +6 *19638:A *19923:A 0.000357884 +7 *2426:38 *19923:A 0.00032864 +8 *4878:40 *5955:8 0 +9 *4883:62 *5955:8 6.71695e-05 +*RES +1 *24887:Q *5955:8 21.3269 +2 *5955:8 *19923:A 32.7354 +3 *5955:8 *19637:A1 10.5271 +*END + +*D_NET *5956 0.0483786 +*CONN +*I *21475:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *23936:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *19629:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24889:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *21475:A1_N 0.000671066 +2 *23936:A1 0 +3 *19629:A1 0.000101446 +4 *24889:Q 8.92931e-05 +5 *5956:58 0.00235475 +6 *5956:57 0.00232404 +7 *5956:52 0.00297111 +8 *5956:48 0.00291282 +9 *5956:45 0.00257687 +10 *5956:32 0.00402917 +11 *5956:25 0.00212774 +12 *5956:14 0.000177222 +13 *5956:13 0.000541955 +14 *5956:7 0.000648852 +15 *19613:B1 *5956:52 0.000253916 +16 *19629:B2 *19629:A1 3.01683e-06 +17 *20851:B2 *5956:48 0.000148643 +18 *21155:A2 *5956:13 0.000253199 +19 *21155:B2 *19629:A1 3.93004e-05 +20 *21317:A *5956:52 0.000225306 +21 *21582:B1 *21475:A1_N 0.000260661 +22 *21893:B1 *5956:58 9.72199e-05 +23 *21894:A2 *5956:58 4.17105e-05 +24 *22320:B2 *21475:A1_N 0.0001584 +25 *24044:A0 *5956:32 2.39535e-05 +26 *24186:D *5956:13 0.000167076 +27 *24186:CLK *5956:13 3.83172e-05 +28 *24356:RESET_B *5956:32 5.3381e-05 +29 *24889:D *19629:A1 0.000164815 +30 *324:11 *5956:32 0 +31 *482:151 *5956:32 0.000104929 +32 *494:58 *5956:58 0.000178159 +33 *510:55 *5956:45 3.88655e-06 +34 *510:59 *5956:32 0.000377831 +35 *510:59 *5956:45 9.67553e-06 +36 *510:71 *5956:32 0.000778414 +37 *518:58 *5956:48 0.000304812 +38 *1430:62 *19629:A1 0.000152158 +39 *1430:63 *5956:14 3.8732e-05 +40 *1557:62 *5956:52 0.000608461 +41 *1660:40 *5956:58 0.000437226 +42 *1669:130 *5956:58 0.000676408 +43 *1669:146 *5956:58 0.000263269 +44 *1691:80 *21475:A1_N 0.00032901 +45 *1691:87 *21475:A1_N 6.38982e-06 +46 *1741:90 *5956:45 0.000176143 +47 *1788:21 *21475:A1_N 0.000171523 +48 *1902:25 *5956:32 1.14979e-05 +49 *2103:62 *5956:32 0.000256513 +50 *2267:31 *5956:7 8.39059e-05 +51 *2392:24 *5956:52 6.62741e-05 +52 *2401:22 *5956:58 0.000616595 +53 *2407:41 *21475:A1_N 0.000171523 +54 *2576:57 *5956:57 9.31601e-05 +55 *2576:64 *5956:58 0.000598982 +56 *2576:76 *5956:58 7.70172e-06 +57 *2638:37 *21475:A1_N 0.000210483 +58 *2689:46 *5956:57 0.000360145 +59 *2896:7 *5956:45 0.000142034 +60 *2924:95 *5956:57 0.000787281 +61 *2978:99 *5956:57 0.00275373 +62 *3052:66 *5956:58 3.29488e-05 +63 *3114:22 *5956:58 0.000145085 +64 *3126:152 *21475:A1_N 2.60273e-07 +65 *3126:154 *21475:A1_N 0.000397279 +66 *3177:37 *5956:48 0.000640453 +67 *4843:488 *5956:52 0.000428267 +68 *4843:490 *5956:52 0.00100763 +69 *4865:6 *5956:52 0.000410319 +70 *4911:28 *5956:45 0.00065297 +71 *4911:38 *5956:45 3.79253e-05 +72 *4920:74 *5956:58 3.29573e-05 +73 *5785:59 *5956:32 0.00190959 +74 *5855:378 *5956:45 0.000465415 +75 *5857:263 *5956:45 0.00131097 +76 *5859:292 *5956:32 0.000178186 +77 *5859:294 *5956:13 0.000264583 +78 *5859:294 *5956:25 0.000190057 +79 *5859:294 *5956:32 0.00424472 +80 *5861:492 *5956:32 0.000148058 +81 *5861:492 *5956:45 3.88655e-06 +82 *5870:554 *5956:58 5.18801e-05 +83 *5870:557 *5956:58 0.000776651 +84 *5870:572 *5956:58 0.000427677 +85 *5871:588 *5956:32 1.93378e-05 +86 *5871:590 *5956:25 1.82832e-05 +87 *5871:590 *5956:32 0.000158059 +88 *5871:592 *5956:13 1.2601e-05 +89 *5871:592 *5956:25 3.18408e-05 +90 *5871:598 *5956:13 6.66318e-05 +91 *5952:14 *5956:48 3.76047e-05 +92 *5952:23 *5956:48 4.43939e-05 +*RES +1 *24889:Q *5956:7 16.1364 +2 *5956:7 *5956:13 24.2027 +3 *5956:13 *5956:14 104.301 +4 *5956:14 *19629:A1 22.0188 +5 *5956:7 *5956:25 3.493 +6 *5956:25 *23936:A1 13.7491 +7 *5956:25 *5956:32 92.5646 +8 *5956:32 *5956:45 47.8968 +9 *5956:45 *5956:48 22.5268 +10 *5956:48 *5956:52 46.5604 +11 *5956:52 *5956:57 40.2266 +12 *5956:57 *5956:58 52.0775 +13 *5956:58 *21475:A1_N 40.173 +*END + +*D_NET *5957 0.00212788 +*CONN +*I *21142:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *23939:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *24196:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21142:A1 0 +2 *23939:A1 0.000335644 +3 *24196:Q 0.000481853 +4 *5957:11 0.000817497 +5 *23939:A1 *23939:A0 0 +6 *23939:A1 *23939:S 6.92705e-05 +7 *23939:A1 *5959:21 4.88955e-05 +8 *21142:A2 *23939:A1 0 +9 *21142:B1 *5957:11 0 +10 *21142:B2 *23939:A1 7.22498e-05 +11 *21142:B2 *5957:11 9.90022e-05 +12 *21948:A *5957:11 8.62625e-06 +13 *24196:D *23939:A1 1.62206e-05 +14 *24196:D *5957:11 6.11359e-06 +15 *24196:RESET_B *5957:11 0 +16 *24196:CLK *5957:11 0.000106081 +17 *2290:10 *5957:11 1.81331e-05 +18 *5794:8 *23939:A1 4.82966e-05 +*RES +1 *24196:Q *5957:11 27.7651 +2 *5957:11 *23939:A1 26.9587 +3 *5957:11 *21142:A1 9.24915 +*END + +*D_NET *5958 0.00297413 +*CONN +*I *19584:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *23940:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24907:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19584:A1 0.000111217 +2 *23940:A1 0.000142072 +3 *24907:Q 0.00073717 +4 *5958:5 0.000990459 +5 *24907:RESET_B *5958:5 5.69771e-05 +6 *25312:A *5958:5 3.18944e-05 +7 *1430:8 *19584:A1 0 +8 *1430:10 *19584:A1 0 +9 *1431:34 *19584:A1 3.20069e-06 +10 *1431:46 *19584:A1 0.000118253 +11 *2267:21 *23940:A1 0.000209312 +12 *2267:21 *5958:5 0.000352405 +13 *4913:20 *19584:A1 0.000177772 +14 *4913:29 *19584:A1 4.33979e-05 +*RES +1 *24907:Q *5958:5 20.51 +2 *5958:5 *23940:A1 11.6364 +3 *5958:5 *19584:A1 22.9879 +*END + +*D_NET *5959 0.0223715 +*CONN +*I *23936:S I *D sky130_fd_sc_hd__mux2_4 +*I *23937:S I *D sky130_fd_sc_hd__mux2_1 +*I *23938:S I *D sky130_fd_sc_hd__mux2_1 +*I *19601:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21967:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *23940:S I *D sky130_fd_sc_hd__mux2_1 +*I *23939:S I *D sky130_fd_sc_hd__mux2_4 +*I *24904:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *23936:S 0.000604378 +2 *23937:S 0 +3 *23938:S 8.47298e-05 +4 *19601:A1 0 +5 *21967:A1_N 0.00060056 +6 *23940:S 0 +7 *23939:S 6.67041e-06 +8 *24904:Q 0 +9 *5959:68 0.00112338 +10 *5959:59 0.00105952 +11 *5959:40 0.00201921 +12 *5959:30 0.00205496 +13 *5959:21 0.000970929 +14 *5959:12 0.00111898 +15 *5959:4 0.00124682 +16 *23936:S *23936:A0 1.92336e-05 +17 *23938:S *23938:A0 3.86121e-05 +18 *5959:30 *23939:A0 0 +19 *5959:30 *5980:8 0 +20 *5959:68 *23937:A1 7.50872e-05 +21 *19601:A2 *5959:21 0.000167344 +22 *19601:B1 *5959:21 6.08467e-05 +23 *19601:B2 *5959:21 0.000122378 +24 *20972:A2 *21967:A1_N 0 +25 *21004:B1 *21967:A1_N 8.62625e-06 +26 *21173:B1 *5959:68 4.62112e-05 +27 *21175:B2 *5959:59 9.60135e-05 +28 *21866:A *5959:68 0.000626852 +29 *21967:B1 *21967:A1_N 0.000257036 +30 *23939:A1 *23939:S 6.92705e-05 +31 *23939:A1 *5959:21 4.88955e-05 +32 *23981:A0 *21967:A1_N 0.000529344 +33 *24164:CLK *5959:68 0.000169093 +34 *24196:RESET_B *5959:12 0.000122083 +35 *24904:D *5959:12 6.08467e-05 +36 *324:11 *5959:12 0.000118485 +37 *518:75 *21967:A1_N 0.00107574 +38 *1430:7 *5959:40 0.000171273 +39 *1430:10 *5959:30 0 +40 *1430:12 *5959:30 0 +41 *1430:14 *5959:30 0 +42 *1431:34 *5959:30 0.000169078 +43 *1431:46 *5959:30 0.000191609 +44 *1431:46 *5959:40 0.000618143 +45 *1556:11 *5959:59 0.000324166 +46 *1556:19 *23936:S 7.25614e-05 +47 *2241:13 *5959:68 0.000168692 +48 *2242:19 *5959:59 6.20216e-05 +49 *2242:32 *5959:59 8.89961e-05 +50 *2242:32 *5959:68 4.07936e-05 +51 *2267:39 *23936:S 0.000175674 +52 *2689:14 *23936:S 1.18802e-05 +53 *2689:14 *5959:68 5.75903e-05 +54 *2738:14 *5959:40 0.000104535 +55 *2899:12 *21967:A1_N 0.000175689 +56 *2962:8 *5959:30 0 +57 *2962:8 *5959:40 0 +58 *4913:47 *5959:59 0.000513666 +59 *4913:47 *5959:68 0.000210445 +60 *4913:49 *5959:59 0.000222699 +61 *4913:65 *5959:12 0.000212475 +62 *4913:65 *5959:59 7.24449e-05 +63 *5793:22 *23936:S 0.000217951 +64 *5794:8 *23939:S 6.50586e-05 +65 *5794:8 *5959:21 0.000166477 +66 *5798:11 *23938:S 0.000107451 +67 *5798:11 *5959:59 0 +68 *5852:5 *5959:40 0.00306934 +69 *5857:215 *23936:S 9.1099e-05 +70 *5857:215 *5959:68 0.000305965 +71 *5857:226 *5959:68 8.15723e-05 +*RES +1 *24904:Q *5959:4 9.24915 +2 *5959:4 *5959:12 23.4968 +3 *5959:12 *5959:21 9.98286 +4 *5959:21 *23939:S 9.97254 +5 *5959:21 *5959:30 21.2811 +6 *5959:30 *23940:S 13.7491 +7 *5959:30 *5959:40 48.9126 +8 *5959:40 *21967:A1_N 46.4649 +9 *5959:12 *19601:A1 9.24915 +10 *5959:4 *5959:59 22.704 +11 *5959:59 *23938:S 16.691 +12 *5959:59 *5959:68 23.8404 +13 *5959:68 *23937:S 13.7491 +14 *5959:68 *23936:S 29.497 +*END + +*D_NET *5960 0.0229109 +*CONN +*I *23937:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21155:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *21717:A1_N I *D sky130_fd_sc_hd__o2bb2a_1 +*I *24186:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *23937:A1 0.000161341 +2 *21155:A1 3.40108e-05 +3 *21717:A1_N 0.000675895 +4 *24186:Q 0 +5 *5960:47 0.00248591 +6 *5960:27 0.00336043 +7 *5960:19 0.00372804 +8 *5960:5 0.00313854 +9 *5960:4 0.00108824 +10 *5960:19 *21008:B2 0.000680936 +11 *5960:19 *24005:S 3.75221e-05 +12 *5960:19 *5983:11 1.20421e-05 +13 *5960:27 *24005:S 6.44764e-05 +14 *20362:B2 *5960:27 1.43983e-05 +15 *20951:A1 *5960:47 2.16608e-05 +16 *20951:B1 *5960:47 7.36069e-05 +17 *20951:B2 *21717:A1_N 0.000373061 +18 *21155:B2 *21155:A1 3.01683e-06 +19 *21717:B1 *21717:A1_N 0.000113789 +20 *21717:B2 *21717:A1_N 0.000219668 +21 *24004:S *5960:47 0.000411006 +22 *24005:A0 *5960:27 0.000491259 +23 *24186:D *5960:5 2.31669e-05 +24 *24186:RESET_B *5960:5 8.77922e-05 +25 *24186:CLK *5960:5 5.61125e-05 +26 *24186:CLK *5960:19 0.000185746 +27 *24258:RESET_B *5960:19 0.000216299 +28 *24259:D *5960:19 6.50586e-05 +29 *24304:D *21717:A1_N 7.92757e-06 +30 *24304:D *5960:47 1.65698e-05 +31 *24667:RESET_B *5960:47 0.000206626 +32 *24667:CLK *5960:47 0.000157775 +33 *24668:D *5960:27 0.00020502 +34 *324:11 *5960:19 7.14746e-05 +35 *515:13 *5960:27 1.8867e-05 +36 *515:13 *5960:47 0.000447069 +37 *1934:32 *5960:27 0.000150649 +38 *2267:31 *5960:19 1.4091e-06 +39 *2270:16 *21717:A1_N 4.58003e-05 +40 *2428:5 *5960:19 1.00937e-05 +41 *2428:13 *5960:19 3.41712e-05 +42 *2428:13 *5960:27 0.00229688 +43 *4843:531 *5960:27 0.000638488 +44 *4915:88 *5960:47 0.000628902 +45 *5857:215 *23937:A1 7.50872e-05 +46 *5959:68 *23937:A1 7.50872e-05 +*RES +1 *24186:Q *5960:4 9.24915 +2 *5960:4 *5960:5 13.4793 +3 *5960:5 *5960:19 46.4539 +4 *5960:19 *5960:27 46.6952 +5 *5960:27 *5960:47 41.4265 +6 *5960:47 *21717:A1_N 27.2075 +7 *5960:5 *21155:A1 10.2378 +8 *5960:4 *23937:A1 21.4985 +*END + +*D_NET *5961 0.0047837 +*CONN +*I *23938:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21815:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21143:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *24195:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23938:A1 0.0006751 +2 *21815:A 0.000179234 +3 *21143:A1 3.91348e-05 +4 *24195:Q 0 +5 *5961:7 0.000772214 +6 *5961:4 0.00122894 +7 *24195:D *5961:7 0.000107496 +8 *324:11 *21143:A1 9.60216e-05 +9 *324:11 *21815:A 0.000431645 +10 *520:45 *21815:A 6.73022e-05 +11 *2241:13 *23938:A1 0.000111708 +12 *2241:16 *23938:A1 7.50722e-05 +13 *2242:32 *23938:A1 7.14746e-05 +14 *2831:7 *21815:A 6.92705e-05 +15 *4913:7 *23938:A1 1.89968e-05 +16 *4913:9 *23938:A1 0.000165357 +17 *4913:9 *5961:7 0.000150581 +18 *5855:350 *21143:A1 9.96342e-05 +19 *5855:350 *21815:A 0.000424518 +*RES +1 *24195:Q *5961:4 9.24915 +2 *5961:4 *5961:7 12.9878 +3 *5961:7 *21143:A1 15.5811 +4 *5961:7 *21815:A 23.2273 +5 *5961:4 *23938:A1 29.8175 +*END + +*D_NET *5962 0.00151813 +*CONN +*I *20925:C1 I *D sky130_fd_sc_hd__o221a_1 +*I *19944:A I *D sky130_fd_sc_hd__inv_2 +*I *24320:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20925:C1 7.70245e-05 +2 *19944:A 0.00044534 +3 *24320:Q 0 +4 *5962:4 0.000522365 +5 *20925:C1 *22108:A 0.000110306 +6 *20925:B1 *20925:C1 0.000156955 +7 *24320:D *19944:A 1.32043e-05 +8 *531:51 *19944:A 2.77625e-06 +9 *531:58 *19944:A 7.20253e-05 +10 *2381:26 *19944:A 0.000118136 +*RES +1 *24320:Q *5962:4 9.24915 +2 *5962:4 *19944:A 25.6566 +3 *5962:4 *20925:C1 12.0704 +*END + +*D_NET *5963 0.00291532 +*CONN +*I *23936:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *20873:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *24356:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23936:A0 0.000546856 +2 *20873:B1 0.000238547 +3 *24356:Q 0 +4 *5963:4 0.000785403 +5 *20873:A2 *20873:B1 8.41713e-05 +6 *20873:A3 *20873:B1 1.99543e-06 +7 *20873:B2 *20873:B1 2.16355e-05 +8 *23936:S *23936:A0 1.92336e-05 +9 *1556:19 *23936:A0 0.000153721 +10 *2291:25 *20873:B1 0.000213725 +11 *2585:8 *23936:A0 0 +12 *4939:12 *23936:A0 0 +13 *5793:6 *23936:A0 8.62625e-06 +14 *5793:22 *20873:B1 0.000217572 +15 *5793:22 *23936:A0 0.000371965 +16 *5859:294 *20873:B1 0.000217572 +17 *5871:584 *23936:A0 3.42931e-05 +*RES +1 *24356:Q *5963:4 9.24915 +2 *5963:4 *20873:B1 25.5453 +3 *5963:4 *23936:A0 34.9287 +*END + +*D_NET *5964 0.00383845 +*CONN +*I *23967:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20980:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *24281:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23967:A1 0.000720387 +2 *20980:B2 0.00012275 +3 *24281:Q 0.000574294 +4 *5964:7 0.00141743 +5 *20980:B1 *20980:B2 6.36477e-05 +6 *21012:A *23967:A1 0 +7 *24281:RESET_B *5964:7 0.000151272 +8 *24281:CLK *5964:7 1.82679e-05 +9 *439:11 *20980:B2 6.64392e-05 +10 *439:18 *20980:B2 0 +11 *439:18 *23967:A1 0 +12 *507:25 *20980:B2 0.000120592 +13 *507:25 *23967:A1 0.000193348 +14 *2398:63 *5964:7 1.69121e-05 +15 *2448:171 *23967:A1 9.71998e-05 +16 *2667:32 *23967:A1 3.06481e-05 +17 *4875:136 *23967:A1 8.38588e-05 +18 *4875:140 *23967:A1 0 +19 *5871:805 *23967:A1 0.000161406 +*RES +1 *24281:Q *5964:7 21.6824 +2 *5964:7 *20980:B2 17.135 +3 *5964:7 *23967:A1 29.4589 +*END + +*D_NET *5965 0.00171103 +*CONN +*I *23987:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20969:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24291:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23987:A1 0.000176115 +2 *20969:B2 0.000229808 +3 *24291:Q 5.74025e-05 +4 *5965:6 0.000463325 +5 *20969:B2 *20968:B2 1.90039e-05 +6 *20968:A1 *20969:B2 7.6719e-06 +7 *20968:A2 *23987:A1 2.8322e-05 +8 *20969:A1 *20969:B2 0.000175485 +9 *24292:D *20969:B2 6.50586e-05 +10 *24292:D *5965:6 2.99929e-05 +11 *439:143 *23987:A1 0.000190707 +12 *439:150 *20969:B2 0.00026814 +13 *2333:18 *23987:A1 0 +14 *2899:12 *23987:A1 0 +15 *2899:12 *5965:6 0 +*RES +1 *24291:Q *5965:6 15.1659 +2 *5965:6 *20969:B2 21.176 +3 *5965:6 *23987:A1 18.0727 +*END + +*D_NET *5966 0.00175219 +*CONN +*I *20968:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23989:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24292:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20968:B2 0.00022025 +2 *23989:A1 0 +3 *24292:Q 0.000327123 +4 *5966:10 0.000547374 +5 *20968:A1 *20968:B2 9.46484e-05 +6 *20969:B2 *20968:B2 1.90039e-05 +7 *24292:RESET_B *5966:10 0.000165481 +8 *399:11 *20968:B2 5.03285e-05 +9 *399:11 *5966:10 0.000143325 +10 *439:150 *20968:B2 0 +11 *550:29 *20968:B2 6.92705e-05 +12 *2899:12 *5966:10 5.04879e-05 +13 *4875:46 *5966:10 6.49003e-05 +*RES +1 *24292:Q *5966:10 25.9297 +2 *5966:10 *23989:A1 9.24915 +3 *5966:10 *20968:B2 15.7356 +*END + +*D_NET *5967 0.00183144 +*CONN +*I *23939:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *20967:A1 I *D sky130_fd_sc_hd__o22a_1 +*I *24293:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23939:A0 0.000140733 +2 *20967:A1 0.000483043 +3 *24293:Q 0.000158595 +4 *5967:5 0.000782371 +5 *20967:A2 *20967:A1 0.000104731 +6 *20967:B2 *20967:A1 1.52448e-05 +7 *21142:B1 *23939:A0 0 +8 *23939:A1 *23939:A0 0 +9 *24293:RESET_B *20967:A1 2.78503e-05 +10 *1695:11 *20967:A1 0 +11 *2962:8 *23939:A0 0.000118873 +12 *5959:30 *23939:A0 0 +*RES +1 *24293:Q *5967:5 11.6364 +2 *5967:5 *20967:A1 26.6265 +3 *5967:5 *23939:A0 22.5727 +*END + +*D_NET *5968 0.00197821 +*CONN +*I *20978:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23969:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24282:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20978:B2 2.17206e-05 +2 *23969:A1 0.000102396 +3 *24282:Q 0.00019677 +4 *5968:9 0.000320887 +5 *23969:A1 *23967:S 0.000144173 +6 *23969:A1 *6027:256 6.50586e-05 +7 *20978:B1 *20978:B2 3.47394e-05 +8 *20978:B1 *23969:A1 4.98564e-05 +9 *20978:B1 *5968:9 4.59656e-05 +10 *21012:A *5968:9 0.000298702 +11 *504:16 *5968:9 1.91391e-05 +12 *1636:33 *5968:9 0.000109068 +13 *2448:176 *23969:A1 0.000181244 +14 *2448:176 *5968:9 0.000213725 +15 *2900:47 *23969:A1 0.000108178 +16 *2900:59 *5968:9 6.65878e-05 +*RES +1 *24282:Q *5968:9 26.2056 +2 *5968:9 *23969:A1 14.6023 +3 *5968:9 *20978:B2 10.1896 +*END + +*D_NET *5969 0.00239031 +*CONN +*I *23971:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20977:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24283:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23971:A1 8.65045e-05 +2 *20977:B2 0.000169021 +3 *24283:Q 0.000349366 +4 *5969:5 0.000604892 +5 *5969:5 *23967:S 6.08467e-05 +6 *20977:A2 *20977:B2 0.000271462 +7 *20977:A2 *5969:5 9.80747e-05 +8 *23967:A0 *5969:5 6.50586e-05 +9 *24283:D *20977:B2 9.1725e-05 +10 *24283:RESET_B *5969:5 3.32967e-05 +11 *24283:CLK *20977:B2 0.000160617 +12 *532:12 *23971:A1 7.0954e-05 +13 *2063:17 *23971:A1 0.000155021 +14 *2240:19 *5969:5 3.91317e-05 +15 *2448:176 *23971:A1 6.50727e-05 +16 *2900:47 *23971:A1 6.92705e-05 +*RES +1 *24283:Q *5969:5 17.737 +2 *5969:5 *20977:B2 15.9526 +3 *5969:5 *23971:A1 22.0503 +*END + +*D_NET *5970 0.00235052 +*CONN +*I *20976:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23973:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24284:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20976:B2 6.42037e-05 +2 *23973:A1 0.000113093 +3 *24284:Q 0.000641913 +4 *5970:7 0.000819209 +5 *24284:D *20976:B2 6.43474e-05 +6 *24284:D *23973:A1 3.40382e-05 +7 *1573:63 *23973:A1 6.92705e-05 +8 *2528:20 *20976:B2 1.10848e-05 +9 *2528:20 *23973:A1 0.000119634 +10 *2859:14 *20976:B2 0.000115573 +11 *2859:14 *23973:A1 0.000298155 +*RES +1 *24284:Q *5970:7 22.237 +2 *5970:7 *23973:A1 19.6266 +3 *5970:7 *20976:B2 15.9964 +*END + +*D_NET *5971 0.00167948 +*CONN +*I *23975:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20975:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24285:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23975:A1 0.000187797 +2 *20975:B2 0.000149668 +3 *24285:Q 0.000255976 +4 *5971:7 0.000593441 +5 *20975:B2 *23975:S 0.000103757 +6 *20975:B2 *6027:207 3.20069e-06 +7 *23975:A1 *23975:S 2.47663e-05 +8 *537:33 *23975:A1 0.000213739 +9 *2899:24 *20975:B2 0.000108691 +10 *2899:24 *23975:A1 2.652e-05 +11 *4878:118 *5971:7 1.1919e-05 +*RES +1 *24285:Q *5971:7 17.2456 +2 *5971:7 *20975:B2 18.4879 +3 *5971:7 *23975:A1 17.5531 +*END + +*D_NET *5972 0.00143215 +*CONN +*I *20974:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23977:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24286:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20974:B2 0.000175689 +2 *23977:A1 0 +3 *24286:Q 0.000110669 +4 *5972:8 0.000286359 +5 *23978:S *20974:B2 0.000333627 +6 *23978:S *5972:8 0.000209493 +7 *2378:12 *20974:B2 9.06283e-05 +8 *2378:12 *5972:8 1.7576e-05 +9 *2585:29 *5972:8 6.50727e-05 +10 *4829:45 *5972:8 0.000143032 +*RES +1 *24286:Q *5972:8 18.3808 +2 *5972:8 *23977:A1 13.7491 +3 *5972:8 *20974:B2 20.1489 +*END + +*D_NET *5973 0.00115123 +*CONN +*I *23979:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20973:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24287:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23979:A1 9.32464e-05 +2 *20973:B2 0.000235408 +3 *24287:Q 0 +4 *5973:4 0.000328655 +5 *20972:A2 *20973:B2 0 +6 *20973:A2 *20973:B2 1.03403e-05 +7 *439:194 *20973:B2 0.000185952 +8 *550:25 *20973:B2 6.92705e-05 +9 *5871:469 *20973:B2 7.61196e-05 +10 *5871:469 *23979:A1 0.000152239 +*RES +1 *24287:Q *5973:4 9.24915 +2 *5973:4 *20973:B2 25.4074 +3 *5973:4 *23979:A1 12.7456 +*END + +*D_NET *5974 0.00189493 +*CONN +*I *20972:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23981:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24288:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20972:B2 9.68558e-05 +2 *23981:A1 0.000187722 +3 *24288:Q 0.000111422 +4 *5974:7 0.000396 +5 *20972:B2 *6027:96 0.000137389 +6 *23981:A1 *6027:96 0.000163982 +7 *21004:B1 *20972:B2 8.62625e-06 +8 *23981:A0 *20972:B2 1.37925e-05 +9 *23981:A0 *23981:A1 2.50218e-05 +10 *24007:A0 *5974:7 0.000434067 +11 *24263:CLK *23981:A1 1.24097e-05 +12 *24288:RESET_B *5974:7 7.11885e-05 +13 *24288:CLK *5974:7 0.000119093 +14 *2164:41 *20972:B2 4.87805e-05 +15 *2164:41 *23981:A1 3.04973e-05 +16 *2164:43 *23981:A1 1.1512e-05 +17 *2831:29 *23981:A1 2.65667e-05 +*RES +1 *24288:Q *5974:7 20.5732 +2 *5974:7 *23981:A1 18.7961 +3 *5974:7 *20972:B2 17.2421 +*END + +*D_NET *5975 0.0011607 +*CONN +*I *23983:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20971:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24289:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23983:A1 0.000121132 +2 *20971:B2 0 +3 *24289:Q 0.00027731 +4 *5975:8 0.000398442 +5 *408:11 *5975:8 0 +6 *2290:18 *23983:A1 0.000185811 +7 *2290:18 *5975:8 0.000115451 +8 *2831:29 *23983:A1 0 +9 *2831:29 *5975:8 0 +10 *4875:24 *23983:A1 0 +11 *5871:505 *5975:8 6.25562e-05 +*RES +1 *24289:Q *5975:8 18.9382 +2 *5975:8 *20971:B2 13.7491 +3 *5975:8 *23983:A1 17.2421 +*END + +*D_NET *5976 0.00244326 +*CONN +*I *23985:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *20970:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24290:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23985:A1 0 +2 *20970:B2 0.000237501 +3 *24290:Q 0.000176164 +4 *5976:10 0.000413665 +5 *20970:A1 *20970:B2 2.4815e-05 +6 *20970:A1 *5976:10 0.000122378 +7 *23985:A0 *20970:B2 0.000401104 +8 *24291:RESET_B *20970:B2 9.60366e-05 +9 *408:11 *20970:B2 2.5386e-05 +10 *439:166 *5976:10 2.40924e-05 +11 *548:21 *20970:B2 0.000448783 +12 *2278:8 *20970:B2 0.000244389 +13 *5871:247 *20970:B2 0.000176583 +14 *5871:271 *20970:B2 5.23577e-05 +*RES +1 *24290:Q *5976:10 21.7744 +2 *5976:10 *20970:B2 29.8091 +3 *5976:10 *23985:A1 9.24915 +*END + +*D_NET *5977 0.00115926 +*CONN +*I *23991:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21013:B2 I *D sky130_fd_sc_hd__a32o_1 +*I *24255:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23991:A1 0 +2 *21013:B2 0.000118695 +3 *24255:Q 0.000185004 +4 *5977:9 0.000303699 +5 *21013:B1 *21013:B2 5.481e-05 +6 *2278:24 *5977:9 0.000142789 +7 *2398:71 *5977:9 0.000142789 +8 *2900:59 *21013:B2 0.000211478 +*RES +1 *24255:Q *5977:9 23.2989 +2 *5977:9 *21013:B2 12.7456 +3 *5977:9 *23991:A1 9.24915 +*END + +*D_NET *5978 0.00154915 +*CONN +*I *24011:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21002:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24265:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24011:A1 0 +2 *21002:B2 9.69034e-05 +3 *24265:Q 0.000235047 +4 *5978:10 0.000331951 +5 *21002:B2 *6027:129 0.000399362 +6 *21002:A1 *21002:B2 0.000307799 +7 *21002:B1 *21002:B2 3.13805e-06 +8 *24265:RESET_B *5978:10 2.7837e-05 +9 *439:132 *21002:B2 0.000126169 +10 *2559:8 *5978:10 2.09447e-05 +*RES +1 *24265:Q *5978:10 22.329 +2 *5978:10 *21002:B2 15.1569 +3 *5978:10 *24011:A1 9.24915 +*END + +*D_NET *5979 0.00235811 +*CONN +*I *24013:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21001:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24266:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24013:A1 0.000415234 +2 *21001:B2 0.000229206 +3 *24266:Q 0.000118343 +4 *5979:8 0.000762783 +5 *24013:A1 *24013:S 6.64392e-05 +6 *20967:A2 *21001:B2 0.000197615 +7 *20967:B2 *24013:A1 0.000101996 +8 *20967:B2 *5979:8 3.52807e-05 +9 *21000:A2 *24013:A1 7.02462e-05 +10 *21001:A1 *21001:B2 6.50727e-05 +11 *21001:A1 *24013:A1 0 +12 *21001:B1 *21001:B2 4.24529e-05 +13 *24174:CLK *24013:A1 4.87301e-05 +14 *24265:RESET_B *21001:B2 1.09551e-05 +15 *24266:RESET_B *5979:8 6.64392e-05 +16 *2164:99 *24013:A1 0.000127318 +17 *2439:10 *24013:A1 0 +18 *2439:10 *5979:8 0 +*RES +1 *24266:Q *5979:8 16.3045 +2 *5979:8 *21001:B2 20.5973 +3 *5979:8 *24013:A1 25.9947 +*END + +*D_NET *5980 0.00148427 +*CONN +*I *23940:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *21000:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24267:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23940:A0 4.41419e-05 +2 *21000:B2 0.000286082 +3 *24267:Q 7.46532e-05 +4 *5980:8 0.000404877 +5 *21330:A *21000:B2 6.23875e-05 +6 *25312:A *21000:B2 2.16355e-05 +7 *25312:A *23940:A0 6.98314e-05 +8 *2267:21 *21000:B2 0.000323699 +9 *2267:21 *23940:A0 7.48797e-05 +10 *2962:8 *5980:8 0.000122083 +11 *5959:30 *5980:8 0 +*RES +1 *24267:Q *5980:8 20.4964 +2 *5980:8 *21000:B2 18.3157 +3 *5980:8 *23940:A0 11.6605 +*END + +*D_NET *5981 0.00172039 +*CONN +*I *23993:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21011:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24256:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23993:A1 8.2181e-05 +2 *21011:B2 0.000170565 +3 *24256:Q 0 +4 *5981:4 0.000252746 +5 *23993:A0 *21011:B2 6.25632e-05 +6 *24171:CLK *23993:A1 6.08467e-05 +7 *24256:RESET_B *21011:B2 2.28919e-05 +8 *2163:73 *21011:B2 3.67708e-05 +9 *2163:86 *21011:B2 0.000153257 +10 *4875:89 *21011:B2 0.000212247 +11 *4875:89 *23993:A1 0.00029284 +12 *5794:37 *21011:B2 0.000190042 +13 *5871:580 *21011:B2 3.95516e-05 +14 *5871:580 *23993:A1 0.000143891 +*RES +1 *24256:Q *5981:4 9.24915 +2 *5981:4 *21011:B2 26.3478 +3 *5981:4 *23993:A1 13.3002 +*END + +*D_NET *5982 0.00222263 +*CONN +*I *21010:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *23995:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24257:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21010:B2 0.000179272 +2 *23995:A1 0.000124578 +3 *24257:Q 0.000535986 +4 *5982:7 0.000839836 +5 *21010:A1 *21010:B2 2.65667e-05 +6 *24257:D *21010:B2 0.000169078 +7 *24257:RESET_B *5982:7 0.000154501 +8 *2278:24 *21010:B2 0 +9 *2291:15 *21010:B2 2.65667e-05 +10 *4877:142 *5982:7 0.000148682 +11 *5794:35 *21010:B2 0 +12 *5794:35 *23995:A1 0 +13 *5794:37 *21010:B2 1.75625e-05 +*RES +1 *24257:Q *5982:7 22.237 +2 *5982:7 *23995:A1 15.8893 +3 *5982:7 *21010:B2 19.2113 +*END + +*D_NET *5983 0.00181466 +*CONN +*I *23997:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21009:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24258:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23997:A1 0 +2 *21009:B2 0.000174937 +3 *24258:Q 0.000377841 +4 *5983:11 0.000552778 +5 *21009:B2 *23999:A1 0 +6 *21009:B2 *6027:178 0.000118166 +7 *5983:11 *6027:178 4.66492e-05 +8 *24258:RESET_B *5983:11 3.18172e-05 +9 *2163:11 *21009:B2 0.000113968 +10 *2163:11 *5983:11 6.08467e-05 +11 *2392:8 *21009:B2 7.42334e-05 +12 *2392:8 *5983:11 0.000122083 +13 *2962:27 *21009:B2 1.08178e-05 +14 *5794:35 *5983:11 0.000118485 +15 *5960:19 *5983:11 1.20421e-05 +*RES +1 *24258:Q *5983:11 25.8254 +2 *5983:11 *21009:B2 23.3818 +3 *5983:11 *23997:A1 9.24915 +*END + +*D_NET *5984 0.00480442 +*CONN +*I *23999:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21008:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24259:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23999:A1 0.000932675 +2 *21008:B2 0.000182435 +3 *24259:Q 0.000133769 +4 *5984:8 0.00124888 +5 *23999:A1 *6027:58 0.000253916 +6 *21007:A2 *23999:A1 6.85778e-05 +7 *21009:A2 *23999:A1 0 +8 *21009:B2 *23999:A1 0 +9 *24258:D *23999:A1 0.000304983 +10 *24258:CLK *21008:B2 0.000216467 +11 *24259:RESET_B *5984:8 6.92705e-05 +12 *507:25 *23999:A1 0.000331523 +13 *2381:22 *23999:A1 0.000296114 +14 *2392:8 *23999:A1 0 +15 *2585:19 *23999:A1 3.65454e-05 +16 *2667:30 *23999:A1 4.83312e-05 +17 *4877:134 *23999:A1 0 +18 *5960:19 *21008:B2 0.000680936 +*RES +1 *24259:Q *5984:8 16.3045 +2 *5984:8 *21008:B2 21.7065 +3 *5984:8 *23999:A1 41.4351 +*END + +*D_NET *5985 0.0017753 +*CONN +*I *24001:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21007:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24260:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24001:A1 9.97347e-05 +2 *21007:B2 0 +3 *24260:Q 0.000227564 +4 *5985:10 0.000327299 +5 *24001:A1 *6027:61 6.92705e-05 +6 *5985:10 *6027:58 0.000256037 +7 *21007:B1 *24001:A1 1.35274e-05 +8 *439:61 *24001:A1 2.99287e-05 +9 *439:66 *24001:A1 0.000235301 +10 *507:25 *5985:10 2.96543e-05 +11 *2426:73 *24001:A1 0.000277595 +12 *2439:21 *5985:10 0 +13 *2667:30 *5985:10 0.000209388 +*RES +1 *24260:Q *5985:10 24.2687 +2 *5985:10 *21007:B2 9.24915 +3 *5985:10 *24001:A1 15.181 +*END + +*D_NET *5986 0.00250714 +*CONN +*I *24003:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21006:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24261:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24003:A1 0.000346914 +2 *21006:B2 6.98026e-05 +3 *24261:Q 0.000371684 +4 *5986:7 0.000788401 +5 *21006:A2 *21006:B2 2.99929e-05 +6 *21006:A2 *24003:A1 5.1892e-05 +7 *23995:A0 *5986:7 2.20228e-05 +8 *505:22 *24003:A1 7.50722e-05 +9 *539:55 *24003:A1 0.000400335 +10 *1419:8 *21006:B2 1.75625e-05 +11 *1695:12 *21006:B2 0.000146645 +12 *1695:12 *24003:A1 0.000186814 +*RES +1 *24261:Q *5986:7 18.9094 +2 *5986:7 *21006:B2 16.4116 +3 *5986:7 *24003:A1 21.8478 +*END + +*D_NET *5987 0.00163502 +*CONN +*I *21005:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24005:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24262:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21005:B2 0 +2 *24005:A1 0.00015352 +3 *24262:Q 0.000107216 +4 *5987:11 0.000260735 +5 *5987:11 *6027:66 9.60366e-05 +6 *21005:A1 *5987:11 9.60366e-05 +7 *21005:B1 *24005:A1 2.50842e-05 +8 *21005:B1 *5987:11 0.000231611 +9 *24262:D *24005:A1 2.23259e-05 +10 *519:11 *24005:A1 0.0002646 +11 *519:11 *5987:11 4.6284e-05 +12 *550:29 *24005:A1 0.000167594 +13 *4877:28 *24005:A1 0.000163982 +*RES +1 *24262:Q *5987:11 23.0471 +2 *5987:11 *24005:A1 24.8474 +3 *5987:11 *21005:B2 9.24915 +*END + +*D_NET *5988 0.00150672 +*CONN +*I *24007:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *21004:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24263:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24007:A1 3.15954e-05 +2 *21004:B2 0.000114057 +3 *24263:Q 7.45252e-05 +4 *5988:8 0.000220177 +5 *21003:A2 *24007:A1 1.19856e-05 +6 *21004:A1 *21004:B2 0.000160617 +7 *24007:A0 *21004:B2 7.60348e-05 +8 *24007:A0 *24007:A1 4.0752e-05 +9 *24288:CLK *21004:B2 0.000264586 +10 *2164:25 *21004:B2 0.000107496 +11 *2164:25 *24007:A1 0.000164843 +12 *2859:8 *5988:8 7.0954e-05 +13 *2925:8 *5988:8 0.000169093 +*RES +1 *24263:Q *5988:8 21.3269 +2 *5988:8 *21004:B2 14.4335 +3 *5988:8 *24007:A1 11.0817 +*END + +*D_NET *5989 0.00153838 +*CONN +*I *21003:B2 I *D sky130_fd_sc_hd__o22a_1 +*I *24009:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24264:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *21003:B2 0.000106591 +2 *24009:A1 0.000109509 +3 *24264:Q 0.000104562 +4 *5989:7 0.000320662 +5 *24264:RESET_B *5989:7 1.78436e-05 +6 *520:45 *5989:7 0.000231442 +7 *1695:12 *21003:B2 4.41664e-05 +8 *1695:12 *24009:A1 3.68867e-05 +9 *2267:21 *24009:A1 6.92705e-05 +10 *2278:23 *5989:7 0.000113968 +11 *2333:24 *21003:B2 0.000111343 +12 *2333:24 *24009:A1 0.000148129 +13 *2720:8 *21003:B2 3.31736e-05 +14 *2831:11 *5989:7 9.08349e-05 +*RES +1 *24264:Q *5989:7 19.464 +2 *5989:7 *24009:A1 17.135 +3 *5989:7 *21003:B2 17.2421 +*END + +*D_NET *5990 0.00369482 +*CONN +*I *20905:B1 I *D sky130_fd_sc_hd__a32o_1 +*I *23937:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24334:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20905:B1 0.000505129 +2 *23937:A0 0.000404797 +3 *24334:Q 0 +4 *5990:5 0.000909926 +5 *20905:B2 *20905:B1 9.58242e-05 +6 *2291:25 *20905:B1 0.000574224 +7 *2882:8 *20905:B1 0 +8 *2882:8 *23937:A0 0 +9 *3017:8 *20905:B1 0.000528687 +10 *3017:8 *23937:A0 0.000476578 +11 *5796:7 *23937:A0 0.000184627 +12 *5796:11 *23937:A0 1.50262e-05 +*RES +1 *24334:Q *5990:5 13.7491 +2 *5990:5 *23937:A0 26.9701 +3 *5990:5 *20905:B1 30.7116 +*END + +*D_NET *5991 0.000453311 +*CONN +*I *23938:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *24174:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23938:A0 0.00020643 +2 *24174:Q 0.00020643 +3 *23938:S *23938:A0 3.86121e-05 +4 *5798:11 *23938:A0 1.4091e-06 +5 *5857:226 *23938:A0 4.28856e-07 +*RES +1 *24174:Q *23938:A0 21.4401 +*END + +*D_NET *5992 0.00517704 +*CONN +*I *22108:A I *D sky130_fd_sc_hd__inv_2 +*I *20926:A2 I *D sky130_fd_sc_hd__a31o_1 +*I *23931:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *24908:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22108:A 0.000218095 +2 *20926:A2 4.67961e-05 +3 *23931:A1 0.00088378 +4 *24908:Q 0 +5 *5992:18 0.000718351 +6 *5992:4 0.00133724 +7 *20926:A2 *20926:A1 0.000148002 +8 *22108:A *20925:A1 6.44432e-05 +9 *5992:18 *20808:B 0.000351426 +10 *5992:18 *20926:A1 0.000158042 +11 *20808:A *5992:18 2.81262e-05 +12 *20925:B1 *22108:A 3.53886e-05 +13 *20925:C1 *22108:A 0.000110306 +14 *20926:B1 *22108:A 0.000389322 +15 *22109:A1_N *5992:18 0.000178255 +16 *24320:RESET_B *23931:A1 2.79216e-05 +17 *24908:RESET_B *23931:A1 3.75423e-05 +18 *24908:CLK *23931:A1 1.83795e-06 +19 *504:16 *23931:A1 0 +20 *531:51 *22108:A 2.92975e-05 +21 *1419:8 *23931:A1 0.000139585 +22 *2062:15 *20926:A2 0.000146652 +23 *2062:15 *5992:18 4.19841e-05 +24 *2085:11 *23931:A1 3.072e-06 +25 *2085:11 *5992:18 1.00937e-05 +26 *5864:153 *23931:A1 7.14746e-05 +*RES +1 *24908:Q *5992:4 9.24915 +2 *5992:4 *23931:A1 32.1725 +3 *5992:4 *5992:18 13.8472 +4 *5992:18 *20926:A2 16.4116 +5 *5992:18 *22108:A 20.5973 +*END + +*D_NET *5993 0.00627145 +*CONN +*I *19568:S I *D sky130_fd_sc_hd__mux2_1 +*I *19952:A I *D sky130_fd_sc_hd__clkinv_4 +*I *21135:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24198:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *19568:S 0.000174117 +2 *19952:A 0.000240859 +3 *21135:A1 0.000217392 +4 *24198:Q 0.000166659 +5 *5993:20 0.000893895 +6 *5993:9 0.000862971 +7 *20273:B *5993:20 0.00059724 +8 *21135:S *21135:A1 1.43832e-05 +9 *24093:A0 *5993:20 7.77309e-06 +10 *24093:S *5993:20 2.95796e-05 +11 *24094:S *19952:A 4.0744e-05 +12 *476:174 *5993:20 3.60933e-06 +13 *478:53 *19952:A 0.000393863 +14 *480:73 *19568:S 0.000160557 +15 *480:73 *19952:A 7.60482e-05 +16 *490:61 *21135:A1 0.000588753 +17 *490:61 *5993:9 0.000285818 +18 *1439:416 *19952:A 7.92757e-06 +19 *1709:13 *19952:A 0.000207266 +20 *2262:40 *5993:9 0.000173175 +21 *2294:30 *5993:20 0.000191491 +22 *2320:20 *5993:20 4.07733e-05 +23 *2530:44 *5993:9 0.000173175 +24 *4839:148 *5993:20 0.00046358 +25 *4839:153 *5993:20 8.21849e-06 +26 *5555:7 *19568:S 0.000112149 +27 *5880:16 *5993:20 0.000139435 +*RES +1 *24198:Q *5993:9 24.8233 +2 *5993:9 *21135:A1 16.0732 +3 *5993:9 *5993:20 28.2726 +4 *5993:20 *19952:A 17.3753 +5 *5993:20 *19568:S 14.4094 +*END + +*D_NET *5994 0.0135287 +*CONN +*I *20161:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24062:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *24792:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20161:B1 0.000165204 +2 *24062:A1 1.86703e-05 +3 *24792:Q 4.51842e-05 +4 *5994:8 0.00236 +5 *5994:7 0.00255172 +6 *5994:8 *24052:S 1.10793e-05 +7 *5994:8 *24062:S 0.000143741 +8 *5994:8 *5995:14 0.000379809 +9 *5994:8 *5998:12 2.09501e-05 +10 *20158:A1 *5994:8 0.000244529 +11 *24792:D *20161:B1 8.05465e-05 +12 *1459:39 *24062:A1 6.08467e-05 +13 *1506:98 *5994:8 0.000242958 +14 *1521:68 *5994:8 1.64102e-05 +15 *1521:74 *5994:8 0.00173513 +16 *1744:110 *5994:8 1.38872e-05 +17 *1744:119 *5994:8 0.000414516 +18 *1755:45 *5994:7 6.08467e-05 +19 *2283:29 *5994:8 0.000116986 +20 *2469:19 *24062:A1 1.43983e-05 +21 *2603:16 *5994:8 0.00218033 +22 *2623:18 *5994:8 0.000713265 +23 *2623:26 *20161:B1 9.2889e-05 +24 *2623:26 *5994:8 0.000799647 +25 *2911:30 *5994:8 0.000118485 +26 *4801:22 *20161:B1 8.98279e-05 +27 *4801:22 *5994:8 0.000836857 +*RES +1 *24792:Q *5994:7 14.4725 +2 *5994:7 *5994:8 91.9417 +3 *5994:8 *24062:A1 14.4725 +4 *5994:7 *20161:B1 17.9683 +*END + +*D_NET *5995 0.00821374 +*CONN +*I *24064:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *20160:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24793:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *24064:A1 0.000947603 +2 *20160:B1 4.5266e-05 +3 *24793:Q 0.000101202 +4 *5995:14 0.0010323 +5 *5995:5 0.00203584 +6 *24064:A1 *6001:109 0.000233425 +7 *24064:A1 *6001:116 0.000419215 +8 *24064:A1 *6001:121 1.91391e-05 +9 *5995:14 *5996:6 0.00111362 +10 *6211:DIODE *20160:B1 3.31745e-05 +11 *20159:B2 *20160:B1 0.000154145 +12 *20160:B2 *20160:B1 6.08467e-05 +13 *23942:A0 *24064:A1 2.37478e-05 +14 *24659:CLK *24064:A1 5.44672e-05 +15 *24659:CLK *5995:5 2.41274e-06 +16 *463:15 *24064:A1 2.9912e-05 +17 *466:15 *24064:A1 2.16355e-05 +18 *1744:110 *5995:14 0.000159555 +19 *1744:119 *5995:14 3.25863e-06 +20 *2398:24 *24064:A1 0.000175995 +21 *2563:8 *24064:A1 0 +22 *2789:8 *5995:14 0.00110356 +23 *4876:69 *24064:A1 6.3609e-05 +24 *5994:8 *5995:14 0.000379809 +*RES +1 *24793:Q *5995:5 10.5271 +2 *5995:5 *5995:14 45.9936 +3 *5995:14 *20160:B1 15.5817 +4 *5995:5 *24064:A1 42.1809 +*END + +*D_NET *5996 0.00771753 +*CONN +*I *20159:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24052:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *24794:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20159:B1 0.000195117 +2 *24052:A1 0.000136573 +3 *24794:Q 0 +4 *5996:6 0.001105 +5 *5996:4 0.00116354 +6 *463:15 *24052:A1 6.79231e-05 +7 *466:15 *24052:A1 6.08467e-05 +8 *1682:19 *20159:B1 0.000160617 +9 *1726:6 *5996:6 0.00226425 +10 *2603:20 *20159:B1 0.000205581 +11 *2789:8 *20159:B1 0.000205581 +12 *2789:8 *5996:6 0.000354597 +13 *4876:74 *24052:A1 0.000406808 +14 *5874:97 *5996:6 0.000277478 +15 *5995:14 *5996:6 0.00111362 +*RES +1 *24794:Q *5996:4 9.24915 +2 *5996:4 *5996:6 46.6115 +3 *5996:6 *24052:A1 18.9335 +4 *5996:4 *20159:B1 23.99 +*END + +*D_NET *5997 0.00822753 +*CONN +*I *20158:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24054:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *24795:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20158:B1 0.000138307 +2 *24054:A1 0 +3 *24795:Q 0 +4 *5997:12 0.00175186 +5 *5997:10 0.00204014 +6 *5997:4 0.000426593 +7 *5997:12 *20156:B1 3.80716e-05 +8 *5997:12 *24059:A1 0.000101458 +9 *5997:12 *24066:A1 0.000262416 +10 *5997:12 *6001:72 6.25467e-05 +11 *19574:A1 *5997:12 0.000140487 +12 *20158:A2 *20158:B1 4.80635e-06 +13 *20158:B2 *20158:B1 2.65667e-05 +14 *20158:B2 *5997:10 4.31703e-05 +15 *1459:51 *5997:12 0.000819868 +16 *1459:52 *5997:10 6.39754e-06 +17 *1459:52 *5997:12 3.77568e-05 +18 *1482:69 *5997:10 0.000471686 +19 *1482:69 *5997:12 4.5435e-07 +20 *1482:71 *5997:12 5.1493e-06 +21 *1485:84 *5997:12 0.000582386 +22 *1714:24 *5997:12 8.71534e-05 +23 *2284:28 *20158:B1 1.58551e-05 +24 *4803:12 *5997:10 0.000446014 +25 *4878:47 *5997:12 0 +26 *5485:119 *5997:12 0 +27 *5485:128 *5997:12 0 +28 *5485:140 *5997:12 0 +29 *5671:32 *5997:12 6.97131e-05 +30 *5671:43 *5997:12 6.02603e-05 +31 *5671:52 *5997:12 0.000216881 +32 *5671:65 *5997:12 0.000299338 +33 *5671:78 *5997:12 7.2192e-05 +*RES +1 *24795:Q *5997:4 9.24915 +2 *5997:4 *5997:10 15.1945 +3 *5997:10 *5997:12 53.5309 +4 *5997:12 *24054:A1 13.7491 +5 *5997:4 *20158:B1 11.6605 +*END + +*D_NET *5998 0.00953549 +*CONN +*I *20157:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24056:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24796:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20157:B1 0 +2 *24056:A1 6.47704e-05 +3 *24796:Q 0.00041443 +4 *5998:18 0.00145286 +5 *5998:17 0.00147173 +6 *5998:12 0.000498069 +7 *5998:18 *20156:B1 9.97045e-06 +8 *24056:A0 *24056:A1 0.000200794 +9 *24797:D *5998:18 0.00028973 +10 *24798:D *5998:18 0.000168681 +11 *1422:31 *24056:A1 0.000255881 +12 *1459:51 *5998:18 8.36973e-06 +13 *1482:69 *5998:18 0.000224809 +14 *1482:71 *5998:18 0.000234292 +15 *1482:81 *5998:18 5.38612e-06 +16 *1483:48 *5998:12 7.66983e-06 +17 *1483:52 *5998:17 1.50924e-05 +18 *1483:52 *5998:18 4.22821e-05 +19 *1521:68 *5998:12 0.000230853 +20 *1521:68 *5998:18 0.000587859 +21 *1744:110 *5998:18 0.00156582 +22 *1744:119 *5998:18 0.000268884 +23 *1744:125 *5998:18 7.26748e-05 +24 *1763:15 *5998:17 1.80042e-05 +25 *2623:26 *5998:12 0.000179246 +26 *5671:32 *5998:18 0.00011318 +27 *5671:43 *5998:18 0.0011132 +28 *5994:8 *5998:12 2.09501e-05 +*RES +1 *24796:Q *5998:12 26.913 +2 *5998:12 *5998:17 6.35672 +3 *5998:17 *5998:18 54.9843 +4 *5998:18 *24056:A1 16.691 +5 *5998:12 *20157:B1 9.24915 +*END + +*D_NET *5999 0.0032277 +*CONN +*I *20156:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24066:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *24797:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20156:B1 0.000336701 +2 *24066:A1 0.000311498 +3 *24797:Q 8.10069e-05 +4 *5999:7 0.000729205 +5 *20156:A1 *20156:B1 3.15947e-05 +6 *20156:A2 *20156:B1 2.16355e-05 +7 *20159:B2 *20156:B1 0 +8 *24797:D *20156:B1 0.000286118 +9 *1459:51 *20156:B1 0.000235341 +10 *1483:77 *20156:B1 5.51483e-06 +11 *1714:24 *24066:A1 8.35558e-05 +12 *5671:43 *20156:B1 3.04443e-05 +13 *5671:43 *24066:A1 0.000718822 +14 *5671:43 *5999:7 4.58003e-05 +15 *5997:12 *20156:B1 3.80716e-05 +16 *5997:12 *24066:A1 0.000262416 +17 *5998:18 *20156:B1 9.97045e-06 +*RES +1 *24797:Q *5999:7 15.0271 +2 *5999:7 *24066:A1 26.7929 +3 *5999:7 *20156:B1 22.9755 +*END + +*D_NET *6000 0.00449266 +*CONN +*I *20155:B1 I *D sky130_fd_sc_hd__a22o_1 +*I *24059:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24798:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20155:B1 0.000351407 +2 *24059:A1 0.000725899 +3 *24798:Q 0 +4 *6000:5 0.00107731 +5 *24059:A1 *6001:72 9.24241e-05 +6 *20155:A1 *20155:B1 1.04383e-05 +7 *24798:D *20155:B1 2.41483e-05 +8 *24798:RESET_B *20155:B1 5.60664e-05 +9 *1483:65 *20155:B1 6.94589e-05 +10 *1483:65 *24059:A1 0.000336096 +11 *1699:24 *20155:B1 1.44611e-05 +12 *1801:49 *24059:A1 0.000116014 +13 *2284:22 *20155:B1 0.00025378 +14 *2603:59 *20155:B1 0.000322839 +15 *3014:72 *20155:B1 0 +16 *4802:18 *24059:A1 1.91246e-05 +17 *4864:7 *20155:B1 4.82656e-05 +18 *4878:47 *24059:A1 0.000266291 +19 *4878:59 *20155:B1 3.88655e-06 +20 *4878:59 *24059:A1 7.13917e-05 +21 *5671:52 *24059:A1 8.76441e-05 +22 *5671:65 *20155:B1 3.31733e-05 +23 *5671:65 *24059:A1 0.00036408 +24 *5671:78 *20155:B1 4.70104e-05 +25 *5997:12 *24059:A1 0.000101458 +*RES +1 *24798:Q *6000:5 13.7491 +2 *6000:5 *24059:A1 36.376 +3 *6000:5 *20155:B1 34.1453 +*END + +*D_NET *6001 0.0998651 +*CONN +*I *23956:S I *D sky130_fd_sc_hd__mux2_8 +*I *23959:S I *D sky130_fd_sc_hd__mux2_8 +*I *23958:S I *D sky130_fd_sc_hd__mux2_8 +*I *23957:S I *D sky130_fd_sc_hd__mux2_8 +*I *23960:S I *D sky130_fd_sc_hd__mux2_8 +*I *23961:S I *D sky130_fd_sc_hd__mux2_8 +*I *23962:S I *D sky130_fd_sc_hd__mux2_8 +*I *23963:S I *D sky130_fd_sc_hd__mux2_8 +*I *20305:A1 I *D sky130_fd_sc_hd__a211o_1 +*I *23964:S I *D sky130_fd_sc_hd__mux2_1 +*I *19573:A I *D sky130_fd_sc_hd__inv_2 +*I *24057:S I *D sky130_fd_sc_hd__mux2_8 +*I *24064:S I *D sky130_fd_sc_hd__mux2_4 +*I *24052:S I *D sky130_fd_sc_hd__mux2_4 +*I *24062:S I *D sky130_fd_sc_hd__mux2_4 +*I *24054:S I *D sky130_fd_sc_hd__mux2_4 +*I *24056:S I *D sky130_fd_sc_hd__mux2_1 +*I *24066:S I *D sky130_fd_sc_hd__mux2_2 +*I *24059:S I *D sky130_fd_sc_hd__mux2_1 +*I *24704:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *23956:S 0.000237356 +2 *23959:S 1.76235e-05 +3 *23958:S 1.76235e-05 +4 *23957:S 0.000306852 +5 *23960:S 0.000818072 +6 *23961:S 0.000179605 +7 *23962:S 0 +8 *23963:S 0 +9 *20305:A1 0.000156033 +10 *23964:S 0.000113157 +11 *19573:A 8.17512e-05 +12 *24057:S 0.00114202 +13 *24064:S 0 +14 *24052:S 5.82347e-06 +15 *24062:S 7.15047e-05 +16 *24054:S 0.000268917 +17 *24056:S 0 +18 *24066:S 7.5721e-05 +19 *24059:S 2.09921e-05 +20 *24704:Q 0 +21 *6001:253 0.000625243 +22 *6001:252 0.000768535 +23 *6001:240 0.000716078 +24 *6001:229 0.000379192 +25 *6001:223 0.000700055 +26 *6001:211 0.00192389 +27 *6001:206 0.00178638 +28 *6001:189 0.00147069 +29 *6001:175 0.00133812 +30 *6001:137 7.73282e-05 +31 *6001:121 0.000481341 +32 *6001:116 0.000649 +33 *6001:109 0.00188161 +34 *6001:98 0.000437245 +35 *6001:94 0.000400562 +36 *6001:84 0.000447794 +37 *6001:72 0.000614761 +38 *6001:66 0.0016225 +39 *6001:62 0.00260764 +40 *6001:54 0.00208704 +41 *6001:49 0.00199867 +42 *6001:38 0.00479217 +43 *6001:26 0.00440575 +44 *6001:8 0.00316486 +45 *6001:7 0.0024167 +46 *6001:4 0.000552515 +47 *20305:A1 *23062:B1 1.43983e-05 +48 *23957:S *23958:A1 0 +49 *6001:8 *6021:14 0.000318345 +50 *6001:175 *6021:9 2.02035e-05 +51 *6001:206 *22118:A 0.000313145 +52 *6001:206 *6017:16 0.000376383 +53 *6001:211 *22118:A 8.06406e-05 +54 *6001:211 *23963:A1 1.2693e-05 +55 *6001:223 *20181:A1 0 +56 *6001:223 *23963:A1 0.000445436 +57 *6001:229 *23962:A1 0.000205317 +58 *6001:240 *20183:A1 4.33979e-05 +59 *6001:240 *23962:A1 0.000116986 +60 *6001:252 *23961:A1 0 +61 *19666:A *6001:206 2.01186e-05 +62 *19668:B *6001:189 0.000107496 +63 *20174:B1 *6001:223 0 +64 *20178:B1 *6001:211 0 +65 *20226:C1 *6001:189 3.13563e-05 +66 *20305:A2 *6001:206 0.000225288 +67 *20305:B1 *6001:189 0.000366603 +68 *21056:B *6001:211 8.01741e-05 +69 *21751:A *6001:8 0.000112677 +70 *22970:B *6001:38 0.000280592 +71 *22972:A *6001:49 1.91391e-05 +72 *23041:B *6001:62 0.000143047 +73 *23042:A *6001:49 1.80281e-05 +74 *23042:B *6001:49 0.000879481 +75 *23045:B *6001:38 8.69154e-05 +76 *23166:A1 *6001:38 0.0017461 +77 *23266:A *6001:62 0.000152743 +78 *23372:B *6001:66 0 +79 *23374:A *6001:66 6.31809e-05 +80 *23536:A1 *6001:49 1.17512e-05 +81 *23537:B *6001:54 6.74322e-05 +82 *23539:A2 *6001:49 0.000121924 +83 *23623:A *6001:38 0.000127179 +84 *23623:A *6001:49 1.4059e-05 +85 *23669:B *6001:49 7.56514e-05 +86 *23669:B *6001:54 1.05272e-06 +87 *23716:B *6001:49 9.34145e-05 +88 *23792:A *6001:62 0.000160995 +89 *23792:B *6001:62 1.93614e-05 +90 *23841:A2 *6001:38 0.000128673 +91 *23928:B *6001:211 8.62625e-06 +92 *23942:A0 *6001:116 2.02035e-05 +93 *23956:A0 *23956:S 1.43983e-05 +94 *23960:A0 *23957:S 0 +95 *23961:A0 *6001:252 7.65985e-05 +96 *23962:A0 *6001:223 0.000143032 +97 *23962:A0 *6001:229 7.81379e-05 +98 *23964:A0 *23964:S 0.000117376 +99 *24059:A1 *6001:72 9.24241e-05 +100 *24060:S *6001:66 7.86825e-06 +101 *24064:A1 *6001:109 0.000233425 +102 *24064:A1 *6001:116 0.000419215 +103 *24064:A1 *6001:121 1.91391e-05 +104 *24251:D *6001:8 0.000122083 +105 *24252:D *6001:8 0.000127164 +106 *24757:D *6001:189 0.000243151 +107 *24757:RESET_B *23964:S 2.85274e-05 +108 *24757:RESET_B *6001:189 8.85525e-05 +109 *460:8 *24057:S 0.00127881 +110 *460:8 *6001:109 0.000160617 +111 *460:30 *6001:66 0 +112 *472:7 *24066:S 0.000154145 +113 *472:7 *6001:94 0.000247443 +114 *657:224 *6001:189 6.13007e-05 +115 *1421:5 *19573:A 6.50727e-05 +116 *1422:31 *6001:109 0.000446985 +117 *1433:17 *19573:A 0.000300565 +118 *1438:168 *6001:26 5.04829e-06 +119 *1476:29 *20305:A1 0.000132567 +120 *1476:29 *6001:206 0.000306512 +121 *1480:6 *6001:223 0 +122 *1483:137 *6001:189 0.000253904 +123 *1506:87 *24054:S 6.79169e-05 +124 *1506:87 *6001:121 0.000283139 +125 *1515:34 *6001:116 2.33193e-05 +126 *1515:60 *6001:62 1.2366e-05 +127 *1515:78 *6001:62 2.17582e-05 +128 *1521:28 *6001:8 0.000693919 +129 *1521:28 *6001:26 0.00123736 +130 *1522:21 *6001:109 0.000451196 +131 *1575:29 *6001:38 2.24946e-05 +132 *1714:25 *6001:84 0.000247443 +133 *1725:17 *24054:S 0 +134 *1729:58 *6001:26 1.69821e-05 +135 *1744:110 *24054:S 0.000174248 +136 *1744:110 *6001:84 0.000211262 +137 *1744:131 *6001:62 0.000490465 +138 *1765:15 *24054:S 0.000107496 +139 *1784:71 *6001:38 0 +140 *1801:49 *24059:S 5.05791e-05 +141 *1801:49 *6001:84 0.000400335 +142 *2174:12 *6001:8 0.000370276 +143 *2174:22 *6001:8 0.000461209 +144 *2174:30 *6001:8 7.77309e-06 +145 *2398:22 *6001:72 0.000129238 +146 *2398:24 *6001:109 9.91788e-05 +147 *2510:21 *24054:S 2.75423e-05 +148 *2510:25 *24054:S 6.08467e-05 +149 *2516:31 *24057:S 0.000129915 +150 *2523:24 *20305:A1 5.31845e-05 +151 *2523:24 *6001:206 3.74738e-05 +152 *2531:24 *6001:8 0.00195681 +153 *2531:24 *6001:26 0.000809749 +154 *2563:8 *6001:116 0.000133354 +155 *2563:8 *6001:121 4.75721e-06 +156 *2603:16 *6001:84 0.000211262 +157 *2623:18 *24052:S 2.02035e-05 +158 *2623:18 *24062:S 0.000147339 +159 *2623:18 *6001:94 0.000125656 +160 *2623:18 *6001:98 0.000401555 +161 *2704:54 *6001:62 0 +162 *2704:54 *6001:66 0.000218637 +163 *2785:47 *6001:66 0 +164 *2785:63 *6001:49 0.000104754 +165 *2803:58 *6001:62 9.24241e-05 +166 *2937:11 *19573:A 8.55661e-05 +167 *2939:21 *6001:72 0.000994585 +168 *3002:69 *6001:38 8.34512e-06 +169 *3089:33 *6001:26 0.000107496 +170 *3177:120 *6001:116 8.86481e-05 +171 *3373:15 *6001:38 0 +172 *3842:99 *6001:38 0.00159382 +173 *3857:42 *6001:26 1.15942e-05 +174 *3857:49 *6001:26 0.000328511 +175 *3872:164 *6001:38 3.57291e-06 +176 *3872:164 *6001:49 0.000730448 +177 *3872:179 *6001:49 4.09612e-05 +178 *3874:92 *6001:38 0.000488543 +179 *3874:109 *6001:49 0.000480786 +180 *3874:109 *6001:54 0 +181 *3874:115 *6001:54 0.00145594 +182 *3877:134 *6001:38 0.00160264 +183 *3879:50 *6001:49 3.39588e-06 +184 *3885:17 *6001:62 1.15883e-05 +185 *3886:27 *6001:49 3.12342e-05 +186 *3886:27 *6001:54 0 +187 *3887:8 *6001:62 0.00019702 +188 *3887:19 *6001:62 0.000126823 +189 *3887:30 *6001:62 0.000478555 +190 *3951:13 *6001:62 0.00012905 +191 *3951:14 *6001:54 0.00161382 +192 *3951:14 *6001:62 0.00046237 +193 *3953:10 *6001:49 0.00119626 +194 *4008:26 *6001:49 0.000429177 +195 *4034:34 *6001:8 0 +196 *4137:73 *6001:8 0 +197 *4137:77 *6001:8 3.40576e-05 +198 *4137:77 *6001:175 0 +199 *4137:77 *6001:189 0 +200 *4138:143 *6001:8 0.000177846 +201 *4138:143 *6001:189 0 +202 *4181:9 *6001:54 1.30711e-05 +203 *4181:9 *6001:62 0.000163849 +204 *4289:21 *6001:62 0.000313418 +205 *4289:28 *6001:54 1.26119e-05 +206 *4301:40 *6001:38 0.000888401 +207 *4392:12 *6001:62 1.30327e-05 +208 *4396:23 *6001:38 1.18189e-05 +209 *4441:10 *6001:54 9.59822e-06 +210 *4442:11 *6001:54 5.35941e-05 +211 *4490:8 *6001:38 7.6287e-06 +212 *4529:9 *6001:38 0.00078183 +213 *4529:25 *6001:38 0.000161961 +214 *4538:51 *6001:38 5.54182e-05 +215 *4547:14 *6001:8 0.000767585 +216 *4547:19 *6001:8 2.57809e-05 +217 *4547:19 *6001:26 2.77564e-05 +218 *4547:35 *6001:38 0.00339567 +219 *4557:10 *6001:38 3.74883e-05 +220 *4620:26 *6001:38 0.000125458 +221 *4665:82 *6001:206 0.000160617 +222 *4704:17 *6001:49 0.000118501 +223 *4704:17 *6001:54 7.12632e-06 +224 *4739:51 *6001:26 7.20648e-06 +225 *4788:8 *6001:211 0.000301194 +226 *4788:27 *6001:211 0.000792462 +227 *4796:9 *6001:223 1.79807e-05 +228 *4796:9 *6001:229 0 +229 *4802:18 *6001:49 0.000225412 +230 *4837:8 *6001:211 0.00120131 +231 *4840:9 *23957:S 0.00011818 +232 *4840:9 *6001:253 0.00109496 +233 *4841:70 *6001:8 0.00249159 +234 *4842:9 *23961:S 0.00056226 +235 *4843:11 *23960:S 0.00038695 +236 *4843:11 *6001:252 0.000500688 +237 *4843:18 *23956:S 0.000876842 +238 *4843:18 *23960:S 0.000220183 +239 *4844:13 *6001:223 7.77309e-06 +240 *4845:10 *6001:211 0.000344523 +241 *4861:14 *6001:49 0.000127179 +242 *4867:8 *6001:66 0.000387209 +243 *4874:20 *6001:175 0.000157515 +244 *4874:20 *6001:189 4.79439e-05 +245 *4878:47 *6001:72 2.08059e-05 +246 *4881:10 *6001:211 4.40506e-05 +247 *4881:19 *6001:211 8.52624e-05 +248 *4881:30 *6001:211 4.12119e-05 +249 *4881:45 *6001:211 4.3116e-06 +250 *4881:54 *6001:211 6.02424e-05 +251 *4881:61 *6001:211 5.73596e-05 +252 *4881:78 *6001:211 0 +253 *4881:140 *6001:8 2.72089e-05 +254 *4942:7 *23964:S 0.00031834 +255 *5458:13 *6001:38 1.43499e-05 +256 *5475:38 *6001:94 0.000134283 +257 *5475:38 *6001:98 0.000397958 +258 *5476:38 *6001:66 0.00208551 +259 *5476:51 *6001:62 0.000616121 +260 *5476:51 *6001:66 5.6912e-05 +261 *5488:28 *6001:116 0.000115772 +262 *5671:32 *24054:S 0.000178894 +263 *5671:301 *6001:223 0 +264 *5671:310 *6001:223 0 +265 *5856:65 *6001:8 0 +266 *5907:60 *6001:252 0 +267 *5908:9 *24054:S 0.000305672 +268 *5908:9 *6001:121 0.000755886 +269 *5921:63 *23964:S 6.49003e-05 +270 *5994:8 *24052:S 1.10793e-05 +271 *5994:8 *24062:S 0.000143741 +272 *5997:12 *6001:72 6.25467e-05 +*RES +1 *24704:Q *6001:4 9.24915 +2 *6001:4 *6001:7 7.99641 +3 *6001:7 *6001:8 93.6027 +4 *6001:8 *6001:26 43.9994 +5 *6001:26 *6001:38 34.6982 +6 *6001:38 *6001:49 47.278 +7 *6001:49 *6001:54 35.8317 +8 *6001:54 *6001:62 47.7784 +9 *6001:62 *6001:66 47.2343 +10 *6001:66 *6001:72 23.3386 +11 *6001:72 *24059:S 9.97254 +12 *6001:72 *6001:84 17.5139 +13 *6001:84 *24066:S 11.0817 +14 *6001:84 *6001:94 10.1043 +15 *6001:94 *6001:98 11.7303 +16 *6001:98 *24056:S 9.24915 +17 *6001:98 *6001:109 20.3163 +18 *6001:109 *6001:116 18.0323 +19 *6001:116 *6001:121 4.79166 +20 *6001:121 *24054:S 23.1076 +21 *6001:121 *6001:137 3.36879 +22 *6001:137 *24062:S 16.6193 +23 *6001:137 *24052:S 14.1278 +24 *6001:116 *24064:S 13.7491 +25 *6001:109 *24057:S 28.7085 +26 *6001:94 *19573:A 17.2456 +27 *6001:4 *6001:175 14.9452 +28 *6001:175 *23964:S 18.9094 +29 *6001:175 *6001:189 29.0072 +30 *6001:189 *20305:A1 17.8678 +31 *6001:189 *6001:206 28.4442 +32 *6001:206 *6001:211 47.9983 +33 *6001:211 *23963:S 4.5 +34 *6001:211 *6001:223 18.0024 +35 *6001:223 *23962:S 4.5 +36 *6001:223 *6001:229 3.90826 +37 *6001:229 *23961:S 10.685 +38 *6001:229 *6001:240 7.57775 +39 *6001:240 *23960:S 12.2857 +40 *23960:S *6001:252 22.4366 +41 *6001:252 *6001:253 11.8155 +42 *6001:253 *23957:S 16.6778 +43 *6001:253 *23958:S 0.494321 +44 *6001:252 *23959:S 0.494321 +45 *6001:240 *23956:S 18.8462 +*END + +*D_NET *6002 0.00202644 +*CONN +*I *20195:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23956:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *24776:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20195:A1 0.000282924 +2 *23956:A1 0.000146456 +3 *24776:Q 9.82247e-05 +4 *6002:7 0.000527605 +5 *20195:A1 *6019:52 0 +6 *23956:A1 *6019:52 0 +7 *20195:S *20195:A1 6.92705e-05 +8 *23956:A0 *23956:A1 2.52592e-05 +9 *4838:11 *23956:A1 0 +10 *4838:11 *6002:7 8.86849e-05 +11 *4838:13 *6002:7 5.0715e-05 +12 *5906:50 *20195:A1 0.000530006 +13 *5932:13 *6002:7 0.000207294 +*RES +1 *24776:Q *6002:7 18.9094 +2 *6002:7 *23956:A1 7.993 +3 *6002:7 *20195:A1 22.957 +*END + +*D_NET *6003 0.00250418 +*CONN +*I *20193:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23957:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *24777:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20193:A1 0.000182571 +2 *23957:A1 0.00046377 +3 *24777:Q 0 +4 *6003:5 0.00064634 +5 *20192:A *20193:A1 0.000164829 +6 *20193:A0 *20193:A1 1.31657e-05 +7 *20193:S *20193:A1 1.64789e-05 +8 *24778:RESET_B *20193:A1 0.000196623 +9 *24779:D *23957:A1 4.61732e-05 +10 *24779:CLK *23957:A1 0.000450502 +11 *2194:12 *20193:A1 0 +12 *2194:12 *23957:A1 0 +13 *4839:7 *23957:A1 1.94997e-06 +14 *4839:9 *23957:A1 5.07314e-05 +15 *5907:63 *20193:A1 0.000271044 +*RES +1 *24777:Q *6003:5 13.7491 +2 *6003:5 *23957:A1 18.4856 +3 *6003:5 *20193:A1 21.1779 +*END + +*D_NET *6004 0.00290013 +*CONN +*I *20191:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23958:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *24778:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20191:A1 0 +2 *23958:A1 0.000857115 +3 *24778:Q 0.000170891 +4 *6004:9 0.00102801 +5 *20191:A0 *6004:9 6.92705e-05 +6 *20191:S *23958:A1 7.58739e-05 +7 *23957:S *23958:A1 0 +8 *24779:RESET_B *23958:A1 0 +9 *24780:CLK *23958:A1 0 +10 *2194:12 *23958:A1 0.000654595 +11 *5671:346 *23958:A1 0 +12 *5934:9 *6004:9 4.4379e-05 +*RES +1 *24778:Q *6004:9 13.903 +2 *6004:9 *23958:A1 34.9166 +3 *6004:9 *20191:A1 9.24915 +*END + +*D_NET *6005 0.00210431 +*CONN +*I *23959:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *20189:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24779:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23959:A1 0.000441272 +2 *20189:A1 0.000120318 +3 *24779:Q 0.000169623 +4 *6005:5 0.000731213 +5 *23960:A0 *20189:A1 4.18032e-05 +6 *23960:A0 *23959:A1 1.05099e-05 +7 *23960:A0 *6005:5 9.09602e-06 +8 *24779:RESET_B *20189:A1 0 +9 *4842:8 *20189:A1 2.71542e-05 +10 *4842:8 *23959:A1 3.42931e-05 +11 *5907:60 *20189:A1 0 +12 *5907:60 *23959:A1 0.000519026 +*RES +1 *24779:Q *6005:5 11.6364 +2 *6005:5 *20189:A1 21.7421 +3 *6005:5 *23959:A1 20.6937 +*END + +*D_NET *6006 0.00255588 +*CONN +*I *20187:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23960:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *24780:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20187:A1 0 +2 *23960:A1 0.000473935 +3 *24780:Q 0.000337342 +4 *6006:10 0.000811277 +5 *20186:A *23960:A1 0 +6 *20187:A0 *6006:10 7.50872e-05 +7 *20189:S *23960:A1 0.00038465 +8 *23960:A0 *23960:A1 7.1205e-05 +9 *24780:RESET_B *23960:A1 0 +10 *24780:RESET_B *6006:10 0.000167404 +11 *24781:D *23960:A1 0 +12 *1872:59 *23960:A1 0.000144546 +13 *5671:340 *23960:A1 0 +14 *5907:60 *23960:A1 8.04463e-05 +15 *5934:9 *6006:10 9.99035e-06 +*RES +1 *24780:Q *6006:10 20.6409 +2 *6006:10 *23960:A1 19.292 +3 *6006:10 *20187:A1 13.7491 +*END + +*D_NET *6007 0.00169008 +*CONN +*I *20185:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23961:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *24781:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20185:A1 0.000161667 +2 *23961:A1 0.000316678 +3 *24781:Q 8.98224e-05 +4 *6007:7 0.000568167 +5 *20185:A0 *20185:A1 2.16355e-05 +6 *24776:CLK *23961:A1 6.63327e-05 +7 *24781:RESET_B *6007:7 2.23124e-05 +8 *4792:11 *20185:A1 0.000182915 +9 *4792:11 *23961:A1 0.000170577 +10 *4881:100 *23961:A1 8.99731e-05 +11 *6001:252 *23961:A1 0 +*RES +1 *24781:Q *6007:7 15.0271 +2 *6007:7 *23961:A1 13.8065 +3 *6007:7 *20185:A1 17.9655 +*END + +*D_NET *6008 0.00177496 +*CONN +*I *20183:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *23962:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *24782:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20183:A1 0.000272472 +2 *23962:A1 0.000168222 +3 *24782:Q 0 +4 *6008:5 0.000440694 +5 *20183:S *20183:A1 2.16355e-05 +6 *24776:CLK *20183:A1 0.00041971 +7 *4796:9 *20183:A1 0 +8 *4838:11 *20183:A1 0 +9 *5932:13 *20183:A1 8.65278e-05 +10 *6001:229 *23962:A1 0.000205317 +11 *6001:240 *20183:A1 4.33979e-05 +12 *6001:240 *23962:A1 0.000116986 +*RES +1 *24782:Q *6008:5 13.7491 +2 *6008:5 *23962:A1 10.0693 +3 *6008:5 *20183:A1 22.6783 +*END + +*D_NET *6009 0.00175911 +*CONN +*I *23963:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *20181:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24783:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *23963:A1 0.000170016 +2 *20181:A1 0.000248478 +3 *24783:Q 0 +4 *6009:4 0.000418494 +5 *20178:B1 *23963:A1 0 +6 *566:7 *20181:A1 0.000115599 +7 *1480:8 *23963:A1 0 +8 *5671:310 *20181:A1 0.000125695 +9 *5907:54 *23963:A1 0.000222699 +10 *6001:211 *23963:A1 1.2693e-05 +11 *6001:223 *20181:A1 0 +12 *6001:223 *23963:A1 0.000445436 +*RES +1 *24783:Q *6009:4 9.24915 +2 *6009:4 *20181:A1 23.4382 +3 *6009:4 *23963:A1 17.0608 +*END + +*D_NET *6010 0.00226678 +*CONN +*I *20226:A1 I *D sky130_fd_sc_hd__o211a_2 +*I *23964:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *24757:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *20226:A1 0.000217186 +2 *23964:A1 0.000317728 +3 *24757:Q 0 +4 *6010:5 0.000534914 +5 *20226:A1 *23062:B1 4.78682e-05 +6 *20226:A2 *20226:A1 2.91008e-06 +7 *23964:A0 *23964:A1 4.87301e-05 +8 *24757:D *20226:A1 7.15745e-05 +9 *3082:8 *20226:A1 0.000127179 +10 *3082:8 *23964:A1 0.000383136 +11 *4665:68 *20226:A1 0.000116454 +12 *4665:68 *23964:A1 0.000383136 +13 *4942:7 *23964:A1 1.5966e-05 +*RES +1 *24757:Q *6010:5 13.7491 +2 *6010:5 *23964:A1 23.506 +3 *6010:5 *20226:A1 20.7949 +*END + +*D_NET *6011 0.00309417 +*CONN +*I *21057:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *21054:A I *D sky130_fd_sc_hd__and2_1 +*I *20304:A I *D sky130_fd_sc_hd__inv_2 +*I *24176:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *21057:A1 0.000129642 +2 *21054:A 7.59633e-05 +3 *20304:A 0 +4 *24176:Q 0.000376791 +5 *6011:17 0.000317112 +6 *6011:8 0.000488297 +7 *21054:B *21054:A 0.000107496 +8 *21056:A *21057:A1 8.36615e-05 +9 *21056:A *6011:17 0 +10 *21056:B *21054:A 0.000300565 +11 *21056:B *21057:A1 0.000103983 +12 *22067:B *21054:A 7.39264e-05 +13 *22067:B *21057:A1 4.58003e-05 +14 *24176:SET_B *6011:8 0.000310094 +15 *24176:SET_B *6011:17 3.31733e-05 +16 *24176:CLK *6011:8 0.000108054 +17 *1476:10 *21057:A1 4.37481e-05 +18 *1476:10 *6011:8 0.000313692 +19 *1476:10 *6011:17 0.000174206 +20 *4700:11 *6011:8 7.96261e-06 +*RES +1 *24176:Q *6011:8 23.6467 +2 *6011:8 *20304:A 13.7491 +3 *6011:8 *6011:17 7.993 +4 *6011:17 *21054:A 12.7456 +5 *6011:17 *21057:A1 23.0201 +*END + +*D_NET *6012 0.0104362 +*CONN +*I *20225:D I *D sky130_fd_sc_hd__or4_1 +*I *21041:A I *D sky130_fd_sc_hd__inv_2 +*I *24182:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *24177:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20225:D 1.47608e-05 +2 *21041:A 0.000697086 +3 *24182:D 2.50391e-05 +4 *24177:Q 0.000842075 +5 *6012:17 0.00159914 +6 *6012:12 0.00173385 +7 *20225:D *20225:C 6.08467e-05 +8 *20225:D *6013:7 6.08467e-05 +9 *6012:12 *20225:C 1.14755e-05 +10 *6012:12 *22078:A 0.000172144 +11 *6012:17 *22078:A 8.01987e-05 +12 *6012:17 *6017:7 2.16355e-05 +13 *21263:A *21041:A 6.50727e-05 +14 *22067:B *21041:A 6.50727e-05 +15 *22104:B1 *6012:12 0.000522744 +16 *22118:B *21041:A 0 +17 *24176:D *21041:A 5.39463e-05 +18 *24182:RESET_B *6012:17 0.000948384 +19 *24185:D *6012:12 0.000122098 +20 *24236:RESET_B *21041:A 7.77309e-06 +21 *657:228 *21041:A 0.000560194 +22 *3081:38 *6012:12 0.000737314 +23 *3082:8 *6012:12 0.000324824 +24 *3082:8 *6012:17 0.000191541 +25 *3085:8 *6012:12 3.76047e-05 +26 *4003:29 *6012:12 0.000318399 +27 *4137:83 *21041:A 0.000201333 +28 *4664:40 *21041:A 0.000175689 +29 *4665:68 *6012:12 9.22013e-06 +30 *4665:68 *6012:17 8.744e-05 +31 *4874:29 *24182:D 1.84293e-05 +32 *4874:29 *6012:17 0.000122303 +33 *5671:189 *6012:17 0.000160617 +34 *5671:191 *6012:17 0.000311261 +35 *5671:232 *21041:A 7.58595e-05 +*RES +1 *24177:Q *6012:12 43.6507 +2 *6012:12 *6012:17 30.9005 +3 *6012:17 *24182:D 9.97254 +4 *6012:17 *21041:A 42.3977 +5 *6012:12 *20225:D 14.4725 +*END + +*D_NET *6013 0.000788827 +*CONN +*I *20225:A I *D sky130_fd_sc_hd__or4_1 +*I *22090:A I *D sky130_fd_sc_hd__inv_2 +*I *24178:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20225:A 7.89834e-05 +2 *22090:A 4.07423e-05 +3 *24178:Q 7.42693e-05 +4 *6013:7 0.000193995 +5 *6013:7 *20225:C 2.15348e-05 +6 *20225:D *6013:7 6.08467e-05 +7 *1891:11 *20225:A 1.19751e-05 +8 *3082:8 *22090:A 0.00015324 +9 *4146:26 *22090:A 0.00015324 +*RES +1 *24178:Q *6013:7 11.1059 +2 *6013:7 *22090:A 20.9116 +3 *6013:7 *20225:A 11.1059 +*END + +*D_NET *6014 0.00167073 +*CONN +*I *20225:B I *D sky130_fd_sc_hd__or4_1 +*I *22078:A I *D sky130_fd_sc_hd__inv_2 +*I *24179:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20225:B 8.00743e-05 +2 *22078:A 6.10511e-05 +3 *24179:Q 0.000287382 +4 *6014:9 0.000428507 +5 *20225:B *20225:C 3.82228e-05 +6 *6014:9 *20225:C 4.31539e-05 +7 *4665:68 *22078:A 0.000252342 +8 *4665:68 *6014:9 3.96364e-05 +9 *4874:20 *6014:9 0 +10 *5671:189 *6014:9 5.08824e-05 +11 *5671:212 *6014:9 0.000137137 +12 *6012:12 *22078:A 0.000172144 +13 *6012:17 *22078:A 8.01987e-05 +*RES +1 *24179:Q *6014:9 24.8205 +2 *6014:9 *22078:A 22.5727 +3 *6014:9 *20225:B 10.5271 +*END + +*D_NET *6015 0.00355056 +*CONN +*I *20225:C I *D sky130_fd_sc_hd__or4_1 +*I *22066:A I *D sky130_fd_sc_hd__inv_2 +*I *24180:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20225:C 0.00046219 +2 *22066:A 8.04259e-05 +3 *24180:Q 7.81056e-05 +4 *6015:6 0.000620721 +5 *20225:B *20225:C 3.82228e-05 +6 *20225:D *20225:C 6.08467e-05 +7 *22104:B1 *20225:C 0.000571648 +8 *22104:B1 *22066:A 0 +9 *22104:B1 *6015:6 0.000151726 +10 *23929:A *6015:6 0 +11 *24185:CLK *22066:A 0.000113107 +12 *1891:11 *20225:C 5.04829e-06 +13 *3077:8 *6015:6 1.80134e-05 +14 *3085:8 *20225:C 0.000107052 +15 *4665:68 *20225:C 0.00022778 +16 *5671:212 *20225:C 1.19971e-05 +17 *5671:225 *20225:C 0.000811097 +18 *5671:225 *22066:A 9.22692e-05 +19 *5671:225 *6015:6 3.39313e-06 +20 *5815:15 *22066:A 2.07503e-05 +21 *6012:12 *20225:C 1.14755e-05 +22 *6013:7 *20225:C 2.15348e-05 +23 *6014:9 *20225:C 4.31539e-05 +*RES +1 *24180:Q *6015:6 16.4116 +2 *6015:6 *22066:A 16.3218 +3 *6015:6 *20225:C 33.472 +*END + +*D_NET *6016 0.000113176 +*CONN +*I *19660:A I *D sky130_fd_sc_hd__inv_2 +*I *24181:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *19660:A 3.23471e-05 +2 *24181:Q 3.23471e-05 +3 *19660:A *6019:23 3.00829e-05 +4 *1477:8 *19660:A 1.83992e-05 +*RES +1 *24181:Q *19660:A 19.8004 +*END + +*D_NET *6017 0.00499302 +*CONN +*I *22118:A I *D sky130_fd_sc_hd__or2_1 +*I *19668:A I *D sky130_fd_sc_hd__or2_1 +*I *19659:A I *D sky130_fd_sc_hd__inv_2 +*I *24182:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *22118:A 0.000390504 +2 *19668:A 0.00027191 +3 *19659:A 2.40272e-05 +4 *24182:Q 5.0318e-05 +5 *6017:16 0.000591674 +6 *6017:7 0.000736559 +7 *19666:A *22118:A 0.000127179 +8 *19666:A *6017:16 0.00031669 +9 *20305:A2 *22118:A 0 +10 *20305:A2 *6017:16 0.000148144 +11 *22091:A *22118:A 9.57735e-05 +12 *22119:A *22118:A 0.000169041 +13 *23928:B *22118:A 5.19081e-05 +14 *24176:D *22118:A 0.000164829 +15 *1476:10 *19659:A 1.03403e-05 +16 *1476:10 *19668:A 2.41274e-06 +17 *1476:29 *19668:A 2.99978e-05 +18 *4126:48 *19659:A 2.65831e-05 +19 *4126:48 *19668:A 0.000109714 +20 *4837:8 *19668:A 0.000365316 +21 *4837:8 *22118:A 0 +22 *4881:10 *22118:A 0.00015298 +23 *4881:135 *19668:A 0.000365316 +24 *6001:206 *22118:A 0.000313145 +25 *6001:206 *6017:16 0.000376383 +26 *6001:211 *22118:A 8.06406e-05 +27 *6012:17 *6017:7 2.16355e-05 +*RES +1 *24182:Q *6017:7 14.4725 +2 *6017:7 *6017:16 23.5644 +3 *6017:16 *19659:A 9.97254 +4 *6017:16 *19668:A 28.5606 +5 *6017:7 *22118:A 28.0766 +*END + +*D_NET *6018 0.0212377 +*CONN +*I *22855:A I *D sky130_fd_sc_hd__and2_1 +*I *22865:A I *D sky130_fd_sc_hd__and2_1 +*I *22859:A I *D sky130_fd_sc_hd__and2_1 +*I *22867:A I *D sky130_fd_sc_hd__and2_1 +*I *22861:A I *D sky130_fd_sc_hd__and2_1 +*I *22857:A I *D sky130_fd_sc_hd__and2_1 +*I *22863:A I *D sky130_fd_sc_hd__and2_1 +*I *22853:A I *D sky130_fd_sc_hd__and2_1 +*I *22850:C I *D sky130_fd_sc_hd__and3_1 +*I *19661:A I *D sky130_fd_sc_hd__inv_2 +*I *23300:B1 I *D sky130_fd_sc_hd__o31ai_4 +*I *24183:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *22855:A 0.000177466 +2 *22865:A 0.000130795 +3 *22859:A 0 +4 *22867:A 0.000166545 +5 *22861:A 1.72755e-05 +6 *22857:A 9.82902e-05 +7 *22863:A 0 +8 *22853:A 0.000688853 +9 *22850:C 1.84499e-05 +10 *19661:A 8.95732e-05 +11 *23300:B1 0.0017209 +12 *24183:Q 0.000578099 +13 *6018:83 0.000299599 +14 *6018:74 0.000214068 +15 *6018:72 0.000255527 +16 *6018:65 0.000804968 +17 *6018:51 0.00136909 +18 *6018:49 0.000911595 +19 *6018:38 0.00138487 +20 *6018:28 0.00147727 +21 *6018:11 0.00305441 +22 *22855:A *22866:B1 0 +23 *22855:A *6020:80 1.03434e-05 +24 *22855:A *6020:119 6.50586e-05 +25 *6018:11 *6020:25 9.49135e-05 +26 *6018:28 *6019:38 9.0014e-05 +27 *6018:28 *6020:25 0 +28 *6018:38 *6019:38 0.000282339 +29 *6018:38 *6020:53 0 +30 *6018:49 *6020:70 0.000296609 +31 *20177:A *6018:49 5.88662e-05 +32 *21055:A *23300:B1 3.57201e-05 +33 *21055:B *23300:B1 6.50586e-05 +34 *21264:B *23300:B1 0.000269548 +35 *22852:B1 *6018:38 3.10924e-05 +36 *22852:B1 *6018:49 1.07248e-05 +37 *22856:C1 *22855:A 1.00937e-05 +38 *22857:B *22865:A 2.96609e-05 +39 *22861:B *22867:A 4.5074e-06 +40 *22862:C1 *22867:A 7.13655e-06 +41 *22862:C1 *6018:65 5.30612e-06 +42 *22863:B *22867:A 0 +43 *22864:A2 *22857:A 7.09013e-05 +44 *22864:A2 *22867:A 1.81948e-05 +45 *22864:A2 *6018:83 1.5006e-05 +46 *22866:B2 *22855:A 1.6866e-05 +47 *22866:B2 *6018:65 6.28948e-05 +48 *22866:C1 *6018:65 0.000630724 +49 *22868:C1 *22867:A 0 +50 *24077:A1 *19661:A 0.000224381 +51 *24077:A1 *6018:28 2.95757e-05 +52 *24177:D *23300:B1 8.2739e-05 +53 *24184:D *6018:28 0 +54 *24185:RESET_B *23300:B1 2.85274e-05 +55 *24185:RESET_B *6018:11 1.00846e-05 +56 *24535:CLK *22867:A 0 +57 *568:31 *22853:A 9.78934e-05 +58 *571:19 *22853:A 0.000131243 +59 *571:19 *22855:A 0.00013346 +60 *574:17 *22853:A 0 +61 *1478:11 *6018:28 0 +62 *2197:14 *23300:B1 0.000211478 +63 *3773:11 *6018:65 1.91391e-05 +64 *3773:11 *6018:72 0.000125693 +65 *3774:16 *22865:A 0.000165653 +66 *3774:16 *6018:72 7.09666e-06 +67 *3774:16 *6018:83 0.000175485 +68 *3860:15 *6018:11 2.31463e-05 +69 *3860:21 *6018:11 1.44925e-05 +70 *4003:29 *23300:B1 0.00178377 +71 *4374:32 *6018:11 0 +72 *4374:32 *6018:28 0.000139461 +73 *4839:15 *6018:38 0.000466949 +74 *4839:15 *6018:49 4.52324e-05 +75 *5644:14 *22855:A 4.9073e-05 +76 *5656:26 *22855:A 2.86353e-06 +77 *5656:26 *6018:49 2.49775e-05 +78 *5658:13 *6018:65 0.000176744 +79 *5671:269 *23300:B1 1.03403e-05 +80 *5671:277 *23300:B1 0.000167189 +81 *5671:280 *6018:28 3.73754e-05 +82 *5671:291 *6018:28 0.000322112 +83 *5676:23 *22850:C 7.93303e-06 +84 *5676:23 *6018:49 0 +85 *5677:16 *6018:49 0 +86 *5678:30 *6018:49 3.0079e-05 +87 *5678:32 *6018:49 1.86035e-05 +88 *5678:37 *6018:38 0 +89 *5678:37 *6018:49 8.92568e-06 +90 *5898:264 *6018:38 0.000291851 +91 *5934:24 *22853:A 0.000210651 +92 *5934:24 *6018:65 0.000324248 +*RES +1 *24183:Q *6018:11 28.1832 +2 *6018:11 *23300:B1 49.8317 +3 *6018:11 *6018:28 31.1794 +4 *6018:28 *19661:A 12.191 +5 *6018:28 *6018:38 26.1598 +6 *6018:38 *22850:C 14.0144 +7 *6018:38 *6018:49 21.4588 +8 *6018:49 *6018:51 3.36879 +9 *6018:51 *22853:A 18.9997 +10 *6018:51 *6018:65 5.86252 +11 *6018:65 *22863:A 13.7491 +12 *6018:65 *6018:72 3.493 +13 *6018:72 *6018:74 4.5 +14 *6018:74 *22857:A 12.191 +15 *6018:74 *6018:83 3.52053 +16 *6018:83 *22861:A 9.82786 +17 *6018:83 *22867:A 22.6049 +18 *6018:74 *22859:A 9.24915 +19 *6018:72 *22865:A 17.2421 +20 *6018:49 *22855:A 18.8912 +*END + +*D_NET *6019 0.0202731 +*CONN +*I *22864:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *22868:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *22862:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *22866:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *22860:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *22854:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *22856:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *22858:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *22852:A1 I *D sky130_fd_sc_hd__a211o_1 +*I *19662:A I *D sky130_fd_sc_hd__inv_2 +*I *23410:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *24184:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *22864:B1 0.000222703 +2 *22868:B1 0.000168293 +3 *22862:B1 0 +4 *22866:B1 0.000209964 +5 *22860:B1 0 +6 *22854:B1 0.000104042 +7 *22856:B1 0 +8 *22858:B1 0 +9 *22852:A1 0 +10 *19662:A 0 +11 *23410:B1 0.00115268 +12 *24184:Q 0.000296961 +13 *6019:108 0.000490732 +14 *6019:100 0.000216647 +15 *6019:88 0.000518317 +16 *6019:80 0.000380679 +17 *6019:63 0.000156572 +18 *6019:59 0.000409537 +19 *6019:52 0.000984072 +20 *6019:38 0.00131145 +21 *6019:23 0.0020878 +22 *6019:13 0.0013344 +23 *6019:9 0.00119139 +24 *22854:B1 *22854:A1 0.000134254 +25 *22854:B1 *22856:A1 8.70622e-06 +26 *22864:B1 *22864:A1 0.000281811 +27 *22866:B1 *22866:A1 7.34948e-06 +28 *22868:B1 *22868:A1 4.85648e-05 +29 *6019:38 *6020:25 0 +30 *6019:52 *6020:70 0.000301401 +31 *6019:52 *6020:80 9.12416e-06 +32 *6019:59 *22860:A1 0 +33 *6019:59 *6020:80 0.00017452 +34 *6019:80 *22860:A1 1.1246e-05 +35 *6019:80 *6020:70 0 +36 *6019:88 *22860:A1 2.16355e-05 +37 *6019:100 *6020:119 7.3629e-05 +38 *6019:108 *22862:A1 1.47046e-05 +39 *6019:108 *22864:A1 6.45656e-05 +40 *6019:108 *6020:119 7.6719e-06 +41 *6019:108 *6020:121 9.86341e-06 +42 *19660:A *6019:23 3.00829e-05 +43 *19664:A *6019:13 3.31745e-05 +44 *19664:A *6019:23 1.61631e-05 +45 *20080:A *23410:B1 0.000309709 +46 *20095:A *23410:B1 6.46815e-05 +47 *20173:A1 *6019:52 0.000122083 +48 *20195:A1 *6019:52 0 +49 *22852:A2 *6019:52 6.50586e-05 +50 *22852:B1 *6019:52 1.64789e-05 +51 *22854:A2 *22854:B1 4.10703e-05 +52 *22855:A *22866:B1 0 +53 *22856:A2 *22854:B1 9.79532e-06 +54 *22856:A2 *6019:80 0.000110766 +55 *22856:B2 *22854:B1 1.47046e-05 +56 *22856:C1 *22854:B1 0.000158371 +57 *22858:B2 *6019:59 0 +58 *22858:C1 *6019:52 5.35128e-05 +59 *22858:C1 *6019:59 4.42742e-06 +60 *22860:A2 *6019:88 0.000290171 +61 *22860:C1 *22868:B1 7.32024e-06 +62 *22860:C1 *6019:88 4.77168e-06 +63 *22862:B2 *6019:88 8.8927e-06 +64 *22862:B2 *6019:100 1.47046e-05 +65 *22862:C1 *22866:B1 9.06734e-05 +66 *22862:C1 *6019:100 6.08467e-05 +67 *22864:A2 *22864:B1 0 +68 *22866:B2 *22866:B1 0.000170905 +69 *22866:B2 *6019:59 0 +70 *22866:B2 *6019:88 0.000113832 +71 *22866:C1 *22866:B1 4.31194e-05 +72 *22868:C1 *22868:B1 5.88052e-06 +73 *23956:A1 *6019:52 0 +74 *24077:A1 *6019:38 5.75903e-05 +75 *24078:A0 *22854:B1 0.000212109 +76 *24181:CLK *23410:B1 6.27782e-05 +77 *485:12 *6019:38 0.000553134 +78 *485:12 *6019:52 0.000278952 +79 *568:31 *6019:59 1.5714e-05 +80 *569:10 *6019:59 4.48637e-05 +81 *569:10 *6019:80 0 +82 *570:15 *6019:88 0.000207266 +83 *571:19 *22854:B1 9.75148e-06 +84 *572:15 *22866:B1 0.000321905 +85 *657:243 *23410:B1 0.00113618 +86 *657:248 *23410:B1 0.00075119 +87 *657:276 *23410:B1 0.000107496 +88 *1477:8 *6019:23 8.39099e-06 +89 *1478:11 *6019:13 0.000178222 +90 *1479:7 *6019:13 2.16355e-05 +91 *1479:8 *6019:23 0.00025694 +92 *1479:36 *6019:23 5.46356e-05 +93 *1483:139 *6019:23 0.000144252 +94 *1868:11 *6019:52 0.000122368 +95 *2233:8 *6019:9 0.000179271 +96 *4374:28 *23410:B1 0.000122925 +97 *4839:15 *6019:38 0.000355591 +98 *4844:26 *6019:9 8.07794e-05 +99 *5644:14 *22866:B1 6.12686e-06 +100 *5644:14 *22868:B1 3.40663e-05 +101 *5656:26 *22866:B1 2.77419e-05 +102 *5676:23 *22866:B1 4.73288e-05 +103 *5676:23 *6019:88 3.63683e-05 +104 *5898:264 *6019:9 0.000148773 +105 *5898:264 *6019:38 0 +106 *5934:24 *22866:B1 2.02035e-05 +107 *5934:25 *22866:B1 2.97286e-05 +108 *6018:28 *6019:38 9.0014e-05 +109 *6018:38 *6019:38 0.000282339 +*RES +1 *24184:Q *6019:9 27.5963 +2 *6019:9 *6019:13 10.7304 +3 *6019:13 *6019:23 26.4383 +4 *6019:23 *23410:B1 42.1395 +5 *6019:13 *19662:A 9.24915 +6 *6019:9 *6019:38 29.5183 +7 *6019:38 *22852:A1 9.24915 +8 *6019:38 *6019:52 29.4399 +9 *6019:52 *22858:B1 13.7491 +10 *6019:52 *6019:59 4.32351 +11 *6019:59 *6019:63 5.2328 +12 *6019:63 *22856:B1 9.24915 +13 *6019:63 *22854:B1 14.4335 +14 *6019:59 *6019:80 8.45711 +15 *6019:80 *22860:B1 9.24915 +16 *6019:80 *6019:88 11.3529 +17 *6019:88 *22866:B1 21.9843 +18 *6019:88 *6019:100 7.44181 +19 *6019:100 *22862:B1 9.24915 +20 *6019:100 *6019:108 1.85672 +21 *6019:108 *22868:B1 21.8463 +22 *6019:108 *22864:B1 13.3243 +*END + +*D_NET *6020 0.0278621 +*CONN +*I *22868:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22866:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22864:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22862:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22860:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22856:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22854:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22858:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22851:C I *D sky130_fd_sc_hd__and3_1 +*I *19663:A I *D sky130_fd_sc_hd__inv_2 +*I *23062:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *24185:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *22868:A1 0.000279531 +2 *22866:A1 0.000197627 +3 *22864:A1 0.000119862 +4 *22862:A1 3.29574e-06 +5 *22860:A1 0.00020698 +6 *22856:A1 1.64873e-05 +7 *22854:A1 0.000124055 +8 *22858:A1 0 +9 *22851:C 6.02177e-05 +10 *19663:A 9.61208e-06 +11 *23062:B1 0.00219329 +12 *24185:Q 9.97442e-05 +13 *6020:141 0.000477158 +14 *6020:121 0.000148934 +15 *6020:119 0.000221499 +16 *6020:101 0.000447807 +17 *6020:82 0.000160364 +18 *6020:80 0.00021018 +19 *6020:70 0.000859775 +20 *6020:53 0.00120857 +21 *6020:37 7.36353e-05 +22 *6020:36 0.000812581 +23 *6020:25 0.00239127 +24 *6020:16 0.00299821 +25 *6020:7 0.00211354 +26 *20174:A2 *6020:53 0.000196141 +27 *20179:A *6020:36 0.000105664 +28 *20179:B *6020:36 0.000256717 +29 *20179:C *6020:36 2.29454e-05 +30 *20226:A1 *23062:B1 4.78682e-05 +31 *20305:A1 *23062:B1 1.43983e-05 +32 *20305:B1 *23062:B1 0.000104572 +33 *22852:C1 *6020:53 0.000122098 +34 *22854:A2 *22854:A1 5.1829e-05 +35 *22854:A2 *22856:A1 0 +36 *22854:B1 *22854:A1 0.000134254 +37 *22854:B1 *22856:A1 8.70622e-06 +38 *22854:B2 *22854:A1 2.91008e-06 +39 *22855:A *6020:80 1.03434e-05 +40 *22855:A *6020:119 6.50586e-05 +41 *22855:B *22860:A1 7.77238e-05 +42 *22856:A2 *22856:A1 8.70622e-06 +43 *22856:A2 *22860:A1 0.000170704 +44 *22856:A2 *6020:82 1.61631e-05 +45 *22856:A2 *6020:101 6.60336e-05 +46 *22856:B2 *22854:A1 1.67404e-05 +47 *22856:C1 *22854:A1 1.00846e-05 +48 *22856:C1 *6020:82 1.00981e-05 +49 *22856:C1 *6020:119 4.66876e-05 +50 *22860:C1 *22860:A1 4.25812e-05 +51 *22860:C1 *22868:A1 3.55437e-06 +52 *22862:A2 *22864:A1 4.52451e-05 +53 *22862:A2 *22866:A1 2.57629e-05 +54 *22862:A2 *6020:121 3.45633e-05 +55 *22862:B2 *22868:A1 2.1558e-06 +56 *22862:B2 *6020:119 1.47046e-05 +57 *22862:C1 *6020:119 6.08467e-05 +58 *22864:A2 *22864:A1 1.58844e-06 +59 *22864:B1 *22864:A1 0.000281811 +60 *22864:B2 *22864:A1 9.42628e-05 +61 *22864:C1 *22864:A1 6.94208e-05 +62 *22864:C1 *22866:A1 9.84953e-06 +63 *22864:C1 *22868:A1 5.48015e-06 +64 *22864:C1 *6020:121 5.47097e-07 +65 *22866:B1 *22866:A1 7.34948e-06 +66 *22866:B2 *22860:A1 0 +67 *22866:B2 *22866:A1 1.23967e-05 +68 *22866:C1 *22866:A1 1.5714e-05 +69 *22868:B1 *22868:A1 4.85648e-05 +70 *22868:B2 *22868:A1 1.72577e-05 +71 *22868:C1 *22868:A1 9.6497e-05 +72 *24077:A0 *6020:36 1.70851e-05 +73 *24078:S *22854:A1 0 +74 *24190:D *6020:25 3.77804e-05 +75 *24191:CLK *23062:B1 7.34948e-06 +76 *24704:D *23062:B1 1.43983e-05 +77 *24757:D *23062:B1 0.000356415 +78 *485:12 *6020:70 0.000116971 +79 *568:31 *22854:A1 2.16355e-05 +80 *568:31 *6020:82 1.65872e-05 +81 *568:31 *6020:101 4.56831e-05 +82 *571:19 *22854:A1 0.000114833 +83 *571:19 *22864:A1 7.64512e-06 +84 *571:19 *6020:80 1.04568e-05 +85 *571:19 *6020:119 0.00019633 +86 *572:15 *22866:A1 1.71784e-05 +87 *1829:112 *6020:16 0.00157533 +88 *1868:11 *6020:53 2.36494e-05 +89 *1872:15 *19663:A 2.88923e-05 +90 *1872:15 *6020:36 0.000524146 +91 *2233:12 *6020:16 0.000118233 +92 *2233:14 *6020:16 0.000350368 +93 *2523:17 *6020:16 4.44163e-05 +94 *3971:8 *23062:B1 1.83795e-06 +95 *4374:32 *6020:16 0.000174175 +96 *4374:32 *6020:25 0 +97 *4839:15 *6020:70 5.05252e-05 +98 *4841:22 *19663:A 6.65878e-05 +99 *4841:22 *6020:25 7.08276e-05 +100 *4841:22 *6020:36 0.000854062 +101 *4841:22 *6020:53 6.08697e-06 +102 *4842:9 *6020:53 1.00846e-05 +103 *4842:9 *6020:70 0.000457669 +104 *4844:26 *6020:53 0 +105 *4884:117 *6020:16 0.000174175 +106 *4884:117 *6020:25 0.00225954 +107 *5644:14 *22868:A1 0 +108 *5644:14 *6020:80 0 +109 *5676:23 *22851:C 5.69598e-05 +110 *5676:23 *6020:70 0.000411006 +111 *5678:18 *22866:A1 1.28646e-05 +112 *5678:37 *6020:53 7.77309e-06 +113 *5815:18 *6020:16 7.23866e-05 +114 *5815:18 *6020:25 0 +115 *5868:349 *6020:16 0.000487335 +116 *5898:264 *6020:25 0 +117 *5934:24 *6020:80 7.08723e-06 +118 *5934:25 *22866:A1 6.50727e-05 +119 *6018:11 *6020:25 9.49135e-05 +120 *6018:28 *6020:25 0 +121 *6018:38 *6020:53 0 +122 *6018:49 *6020:70 0.000296609 +123 *6019:38 *6020:25 0 +124 *6019:52 *6020:70 0.000301401 +125 *6019:52 *6020:80 9.12416e-06 +126 *6019:59 *22860:A1 0 +127 *6019:59 *6020:80 0.00017452 +128 *6019:80 *22860:A1 1.1246e-05 +129 *6019:80 *6020:70 0 +130 *6019:88 *22860:A1 2.16355e-05 +131 *6019:100 *6020:119 7.3629e-05 +132 *6019:108 *22862:A1 1.47046e-05 +133 *6019:108 *22864:A1 6.45656e-05 +134 *6019:108 *6020:119 7.6719e-06 +135 *6019:108 *6020:121 9.86341e-06 +*RES +1 *24185:Q *6020:7 15.5817 +2 *6020:7 *6020:16 49.8674 +3 *6020:16 *23062:B1 40.3552 +4 *6020:7 *6020:25 43.3572 +5 *6020:25 *6020:36 41.8355 +6 *6020:36 *6020:37 81.1229 +7 *6020:37 *19663:A 19.2217 +8 *6020:25 *6020:53 16.4108 +9 *6020:53 *22851:C 11.0094 +10 *6020:53 *6020:70 31.2161 +11 *6020:70 *22858:A1 13.7491 +12 *6020:70 *6020:80 8.57172 +13 *6020:80 *6020:82 0.723396 +14 *6020:82 *22854:A1 14.4335 +15 *6020:82 *22856:A1 9.82786 +16 *6020:80 *6020:101 6.5108 +17 *6020:101 *22860:A1 19.9535 +18 *6020:101 *6020:119 11.5083 +19 *6020:119 *6020:121 0.988641 +20 *6020:121 *22862:A1 9.42397 +21 *6020:121 *22864:A1 14.5962 +22 *6020:119 *6020:141 4.5 +23 *6020:141 *22866:A1 18.129 +24 *6020:141 *22868:A1 18.823 +*END + +*D_NET *6021 0.0573694 +*CONN +*I *19669:A3 I *D sky130_fd_sc_hd__a32o_1 +*I *24057:A1 I *D sky130_fd_sc_hd__mux2_8 +*I *24876:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *19669:A3 0.000147662 +2 *24057:A1 0.00403695 +3 *24876:Q 0.000328414 +4 *6021:14 0.0197208 +5 *6021:9 0.0161599 +6 *20226:B1 *6021:9 0 +7 *21927:B1 *24057:A1 6.25838e-06 +8 *22047:B1 *6021:14 0 +9 *22428:B1 *6021:14 0.00916936 +10 *24876:RESET_B *19669:A3 3.69591e-05 +11 *1432:15 *24057:A1 4.40272e-05 +12 *1439:356 *24057:A1 0.000416429 +13 *1442:146 *24057:A1 1.74918e-05 +14 *1483:123 *19669:A3 0.000426208 +15 *1483:123 *6021:14 0.000142472 +16 *1522:13 *24057:A1 5.50662e-05 +17 *1575:24 *6021:14 0.000143753 +18 *1724:61 *6021:14 0 +19 *1734:26 *24057:A1 9.76424e-07 +20 *1764:31 *24057:A1 0.00187289 +21 *1773:41 *6021:14 4.32209e-05 +22 *1783:38 *6021:14 8.83714e-06 +23 *1795:29 *6021:14 8.39072e-05 +24 *1801:70 *24057:A1 6.69158e-05 +25 *1801:70 *6021:14 0.00140643 +26 *1809:80 *6021:14 0 +27 *2175:18 *6021:14 0.000120731 +28 *2523:24 *19669:A3 0.000141159 +29 *2523:31 *19669:A3 9.71323e-06 +30 *2531:24 *6021:14 0.000103455 +31 *2531:30 *6021:14 0.000114178 +32 *2554:35 *6021:14 0 +33 *2624:21 *6021:14 0 +34 *2660:18 *6021:14 0.00029746 +35 *2964:35 *24057:A1 1.34612e-05 +36 *3060:43 *6021:14 0.000120804 +37 *3177:148 *24057:A1 0 +38 *3717:14 *24057:A1 0 +39 *3717:14 *6021:14 0 +40 *3857:52 *6021:14 0.000103329 +41 *4034:43 *6021:14 0.000115132 +42 *4137:77 *6021:9 4.75721e-06 +43 *4547:14 *6021:14 0.000168181 +44 *4797:6 *6021:14 0.000262604 +45 *4874:19 *6021:14 0 +46 *5856:88 *6021:14 0 +47 *5921:51 *6021:14 0.000269598 +48 *5921:57 *6021:14 0.000122907 +49 *5925:17 *6021:9 0.000728447 +50 *6001:8 *6021:14 0.000318345 +51 *6001:175 *6021:9 2.02035e-05 +*RES +1 *24876:Q *6021:9 18.5026 +2 *6021:9 *6021:14 42.185 +3 *6021:14 *24057:A1 24.3232 +4 *6021:9 *19669:A3 24.5558 +*END + +*D_NET *6022 0.0053306 +*CONN +*I *20868:D I *D sky130_fd_sc_hd__or4_2 +*I *20766:D I *D sky130_fd_sc_hd__or4_1 +*I *20758:A I *D sky130_fd_sc_hd__inv_2 +*I *20776:B1 I *D sky130_fd_sc_hd__o221a_1 +*I *20905:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *24394:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *20868:D 0.000139554 +2 *20766:D 4.92606e-05 +3 *20758:A 0 +4 *20776:B1 4.79039e-05 +5 *20905:A1 0.000295704 +6 *24394:Q 0.000187817 +7 *6022:39 0.000752265 +8 *6022:15 0.000518588 +9 *6022:11 0.000423473 +10 *6022:8 0.000999759 +11 *20868:D *20869:B 1.27831e-06 +12 *20868:D *20869:C 1.02986e-05 +13 *20868:D *6023:21 2.57465e-06 +14 *20905:A1 *20905:A2 0.000122068 +15 *6022:39 *20869:B 4.37479e-05 +16 *6022:39 *20869:C 4.77106e-05 +17 *6022:39 *6025:8 0 +18 *20769:A2 *20766:D 6.50727e-05 +19 *20770:C1 *20766:D 6.50727e-05 +20 *20775:A *20905:A1 4.81015e-05 +21 *20776:A1 *20776:B1 0 +22 *20776:A1 *6022:11 6.50727e-05 +23 *20776:A1 *6022:15 3.30146e-05 +24 *20776:A2 *20905:A1 7.02172e-06 +25 *20776:B2 *20905:A1 0.000167076 +26 *20776:B2 *6022:15 9.32983e-05 +27 *20776:C1 *20776:B1 7.18018e-05 +28 *20776:C1 *20905:A1 1.19721e-05 +29 *20776:C1 *6022:15 3.40423e-05 +30 *20904:A *20905:A1 4.08185e-05 +31 *24394:D *6022:15 0.000160617 +32 *24394:RESET_B *6022:8 6.13961e-05 +33 *24394:RESET_B *6022:39 6.49002e-05 +34 *25147:A *6022:39 4.35519e-05 +35 *74:12 *20868:D 0.00022211 +36 *520:28 *6022:8 0 +37 *2060:18 *6022:11 2.41483e-05 +38 *2267:39 *6022:8 8.35699e-06 +39 *2333:28 *20905:A1 4.79823e-05 +40 *4828:116 *6022:8 0 +41 *4828:116 *6022:39 0 +42 *4912:23 *6022:39 7.18958e-05 +43 *4912:29 *20868:D 0.000175114 +44 *5857:215 *20905:A1 0 +45 *5861:498 *6022:39 0 +46 *5871:772 *6022:39 0.000106165 +47 *5886:8 *6022:39 0 +*RES +1 *24394:Q *6022:8 17.6896 +2 *6022:8 *6022:11 10.2148 +3 *6022:11 *6022:15 5.59426 +4 *6022:15 *20905:A1 27.3148 +5 *6022:15 *20776:B1 10.9612 +6 *6022:11 *20758:A 9.24915 +7 *6022:8 *6022:39 15.9506 +8 *6022:39 *20766:D 15.2053 +9 *6022:39 *20868:D 18.0727 +*END + +*D_NET *6023 0.0043332 +*CONN +*I *20766:C I *D sky130_fd_sc_hd__or4_1 +*I *20869:C I *D sky130_fd_sc_hd__or3_1 +*I *20763:A I *D sky130_fd_sc_hd__inv_2 +*I *24395:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20766:C 9.34923e-06 +2 *20869:C 0.000145467 +3 *20763:A 1.78213e-05 +4 *24395:Q 0.000404347 +5 *6023:21 0.000441398 +6 *6023:8 0.00070875 +7 *20770:A3 *20766:C 6.50727e-05 +8 *20770:C1 *20766:C 6.50727e-05 +9 *20868:C *6023:21 0.000207266 +10 *20868:D *20869:C 1.02986e-05 +11 *20868:D *6023:21 2.57465e-06 +12 *25159:A *6023:8 6.20492e-05 +13 *2059:8 *6023:21 7.50872e-05 +14 *2065:13 *6023:21 6.08467e-05 +15 *2066:33 *20763:A 5.41227e-05 +16 *2066:33 *6023:8 9.24241e-05 +17 *2068:13 *20869:C 0.000118166 +18 *2130:5 *20869:C 4.58003e-05 +19 *2882:8 *6023:8 0.00029614 +20 *3017:8 *6023:8 1.62054e-05 +21 *4912:23 *20869:C 0.00017576 +22 *4912:23 *6023:21 1.00004e-05 +23 *4912:29 *6023:21 0.000218512 +24 *5695:19 *20763:A 5.77352e-05 +25 *5695:19 *6023:8 0.000748434 +26 *5861:498 *6023:21 5.86258e-05 +27 *5898:16 *6023:8 0.000118166 +28 *6022:39 *20869:C 4.77106e-05 +*RES +1 *24395:Q *6023:8 29.732 +2 *6023:8 *20763:A 14.7506 +3 *6023:8 *6023:21 16.2653 +4 *6023:21 *20869:C 18.5201 +5 *6023:21 *20766:C 14.4725 +*END + +*D_NET *6024 0.00290297 +*CONN +*I *20757:A I *D sky130_fd_sc_hd__inv_2 +*I *20868:B I *D sky130_fd_sc_hd__or4_2 +*I *20869:B I *D sky130_fd_sc_hd__or3_1 +*I *24396:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20757:A 0.00025777 +2 *20868:B 0 +3 *20869:B 0.000271836 +4 *24396:Q 0.000303146 +5 *6024:19 0.00025777 +6 *6024:8 0.000574982 +7 *20757:A *20868:A 5.04829e-06 +8 *20869:B *20868:A 3.95141e-05 +9 *20869:B *6025:8 1.55462e-05 +10 *6024:8 *20868:A 3.49272e-05 +11 mgmt_gpio_out[1] *6024:8 3.46262e-05 +12 *20769:A1 *20869:B 7.65861e-05 +13 *20868:C *20757:A 6.02512e-05 +14 *20868:D *20869:B 1.27831e-06 +15 *25250:A *6024:8 0.000127164 +16 *74:12 *20869:B 3.03898e-05 +17 *74:12 *6024:8 0.000108393 +18 *2065:13 *20757:A 0 +19 *2068:13 *20869:B 0.000215829 +20 *2129:9 *6024:8 2.99929e-05 +21 *2446:53 *20869:B 6.50586e-05 +22 *2448:161 *6024:8 0.000325384 +23 *3212:73 *6024:8 1.03403e-05 +24 *5874:75 *6024:8 1.33885e-05 +25 *6022:39 *20869:B 4.37479e-05 +*RES +1 *24396:Q *6024:8 24.6096 +2 *6024:8 *20869:B 21.2876 +3 *6024:8 *6024:19 4.5 +4 *6024:19 *20868:B 9.24915 +5 *6024:19 *20757:A 14.8675 +*END + +*D_NET *6025 0.00244881 +*CONN +*I *20868:A I *D sky130_fd_sc_hd__or4_2 +*I *20765:A I *D sky130_fd_sc_hd__inv_2 +*I *20869:A I *D sky130_fd_sc_hd__or3_1 +*I *24397:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *20868:A 0.000238694 +2 *20765:A 0 +3 *20869:A 5.13641e-05 +4 *24397:Q 0.000368268 +5 *6025:10 5.13641e-05 +6 *6025:8 0.000606962 +7 mgmt_gpio_oeb[1] *20868:A 2.01653e-05 +8 mgmt_gpio_oeb[1] *6025:8 0.000341252 +9 *20757:A *20868:A 5.04829e-06 +10 *20869:B *20868:A 3.95141e-05 +11 *20869:B *6025:8 1.55462e-05 +12 *2067:8 *20868:A 0.000131423 +13 *2068:13 *20868:A 0.000186253 +14 *2068:13 *20869:A 0.000111708 +15 *2068:13 *6025:8 2.95757e-05 +16 *2130:5 *20869:A 4.31539e-05 +17 *2446:53 *20869:A 1.92172e-05 +18 *5874:75 *20868:A 8.68133e-05 +19 *5886:8 *20868:A 8.62262e-06 +20 *5886:8 *6025:8 5.89338e-05 +21 *6022:39 *6025:8 0 +22 *6024:8 *20868:A 3.49272e-05 +*RES +1 *24397:Q *6025:8 24.3337 +2 *6025:8 *6025:10 4.5 +3 *6025:10 *20869:A 11.0817 +4 *6025:10 *20765:A 9.24915 +5 *6025:8 *20868:A 21.8422 +*END + +*D_NET *6026 0.00553524 +*CONN +*I *22109:B1 I *D sky130_fd_sc_hd__a2bb2o_1 +*I *20807:A I *D sky130_fd_sc_hd__inv_2 +*I *20926:A1 I *D sky130_fd_sc_hd__a31o_1 +*I *20828:A I *D sky130_fd_sc_hd__or2_1 +*I *24170:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *22109:B1 0 +2 *20807:A 0 +3 *20926:A1 0.000183718 +4 *20828:A 0.000520363 +5 *24170:Q 0 +6 *6026:25 0.000498572 +7 *6026:22 0.00059984 +8 *6026:4 0.00080535 +9 *20808:A *6026:25 2.57986e-05 +10 *20926:A2 *20926:A1 0.000148002 +11 *20926:B1 *20926:A1 0.000126335 +12 *22109:A1_N *20926:A1 7.77309e-06 +13 *22109:A2_N *6026:25 0.000160617 +14 *531:51 *6026:22 0.000248525 +15 *1520:42 *20926:A1 1.32509e-05 +16 *1556:20 *20828:A 0.000280436 +17 *2062:8 *20926:A1 5.22654e-06 +18 *2062:15 *20926:A1 1.25565e-05 +19 *2084:16 *6026:25 5.39109e-05 +20 *2102:5 *20828:A 0.000111722 +21 *2103:45 *20828:A 0.000500106 +22 *2278:24 *20926:A1 0.00011076 +23 *2389:33 *20828:A 0.00033456 +24 *2389:33 *6026:22 2.33246e-05 +25 *2426:82 *20828:A 0.000116186 +26 *5855:341 *6026:22 0.000417006 +27 *5855:343 *6026:22 7.32607e-05 +28 *5992:18 *20926:A1 0.000158042 +*RES +1 *24170:Q *6026:4 9.24915 +2 *6026:4 *20828:A 32.8917 +3 *6026:4 *6026:22 19.9984 +4 *6026:22 *6026:25 6.84815 +5 *6026:25 *20926:A1 25.4794 +6 *6026:25 *20807:A 9.24915 +7 *6026:22 *22109:B1 9.24915 +*END + +*D_NET *6027 0.0500202 +*CONN +*I *20767:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *20762:B1 I *D sky130_fd_sc_hd__a32o_2 +*I *20774:A1 I *D sky130_fd_sc_hd__o311a_1 +*I *20759:A I *D sky130_fd_sc_hd__inv_2 +*I *23991:S I *D sky130_fd_sc_hd__mux2_1 +*I *24035:S I *D sky130_fd_sc_hd__mux2_8 +*I *22110:A1 I *D sky130_fd_sc_hd__a221o_1 +*I *22110:B1 I *D sky130_fd_sc_hd__a221o_1 +*I *23993:S I *D sky130_fd_sc_hd__mux2_1 +*I *23999:S I *D sky130_fd_sc_hd__mux2_1 +*I *24001:S I *D sky130_fd_sc_hd__mux2_1 +*I *23967:S I *D sky130_fd_sc_hd__mux2_1 +*I *23969:S I *D sky130_fd_sc_hd__mux2_1 +*I *23971:S I *D sky130_fd_sc_hd__mux2_1 +*I *23973:S I *D sky130_fd_sc_hd__mux2_1 +*I *23979:S I *D sky130_fd_sc_hd__mux2_1 +*I *23975:S I *D sky130_fd_sc_hd__mux2_1 +*I *23977:S I *D sky130_fd_sc_hd__mux2_1 +*I *24003:S I *D sky130_fd_sc_hd__mux2_1 +*I *23995:S I *D sky130_fd_sc_hd__mux2_1 +*I *23997:S I *D sky130_fd_sc_hd__mux2_1 +*I *24005:S I *D sky130_fd_sc_hd__mux2_1 +*I *23981:S I *D sky130_fd_sc_hd__mux2_1 +*I *23983:S I *D sky130_fd_sc_hd__mux2_1 +*I *23987:S I *D sky130_fd_sc_hd__mux2_1 +*I *24013:S I *D sky130_fd_sc_hd__mux2_1 +*I *24011:S I *D sky130_fd_sc_hd__mux2_1 +*I *23989:S I *D sky130_fd_sc_hd__mux2_1 +*I *23985:S I *D sky130_fd_sc_hd__mux2_1 +*I *24007:S I *D sky130_fd_sc_hd__mux2_1 +*I *24009:S I *D sky130_fd_sc_hd__mux2_1 +*I *24171:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20767:A1 0 +2 *20762:B1 0 +3 *20774:A1 0.000160826 +4 *20759:A 0 +5 *23991:S 0.000465619 +6 *24035:S 7.05993e-05 +7 *22110:A1 8.90362e-06 +8 *22110:B1 0 +9 *23993:S 0 +10 *23999:S 1.13185e-05 +11 *24001:S 0 +12 *23967:S 0.000344656 +13 *23969:S 0 +14 *23971:S 3.06776e-05 +15 *23973:S 8.33881e-05 +16 *23979:S 0.000325068 +17 *23975:S 0.000246026 +18 *23977:S 0.000115309 +19 *24003:S 1.26312e-05 +20 *23995:S 0.00033783 +21 *23997:S 0 +22 *24005:S 0.000396379 +23 *23981:S 1.16004e-05 +24 *23983:S 0.00020561 +25 *23987:S 0 +26 *24013:S 0.000429844 +27 *24011:S 0 +28 *23989:S 6.9773e-05 +29 *23985:S 5.62446e-05 +30 *24007:S 2.3451e-05 +31 *24009:S 0.000271001 +32 *24171:Q 0.000254792 +33 *6027:291 1.82529e-05 +34 *6027:256 0.000420563 +35 *6027:249 0.000846828 +36 *6027:234 0.00103461 +37 *6027:207 0.000842578 +38 *6027:204 0.00141775 +39 *6027:178 0.00110513 +40 *6027:129 0.000807927 +41 *6027:117 0.000659231 +42 *6027:113 0.000489823 +43 *6027:102 0.000964818 +44 *6027:99 0.000862134 +45 *6027:96 0.00113711 +46 *6027:72 0.000359635 +47 *6027:70 0.00137214 +48 *6027:68 0.00069951 +49 *6027:66 0.00116278 +50 *6027:64 0.000416822 +51 *6027:62 0.000790903 +52 *6027:61 0.000415327 +53 *6027:58 0.000499056 +54 *6027:47 0.000988082 +55 *6027:41 0.00073847 +56 *6027:36 0.000228049 +57 *6027:34 0.000340261 +58 *6027:31 0.000745247 +59 *6027:29 0.000221715 +60 *6027:21 0.000295849 +61 *6027:19 0.000427361 +62 *6027:8 0.000672062 +63 *20774:A1 *6029:20 0.000537163 +64 *24035:S *24035:A0 3.072e-06 +65 *19581:B *6027:70 0 +66 *20762:A1 *6027:19 2.99291e-05 +67 *20762:A1 *6027:21 1.33419e-05 +68 *20762:A1 *6027:29 3.48223e-05 +69 *20762:A1 *6027:31 2.4442e-05 +70 *20762:B2 *6027:29 0.000200794 +71 *20767:A2 *6027:8 0.000133598 +72 *20767:A2 *6027:19 1.25173e-05 +73 *20871:A1 *6027:19 4.35088e-05 +74 *20871:A1 *6027:21 1.09236e-05 +75 *20871:A1 *6027:29 0.000268978 +76 *20871:A2 *6027:19 4.97617e-05 +77 *20871:A3 *6027:19 0.000107496 +78 *20871:B1 *6027:19 0.000116986 +79 *20904:A *6027:8 4.40641e-05 +80 *20968:A2 *6027:113 9.03508e-06 +81 *20968:A2 *6027:117 6.27718e-05 +82 *20971:A1 *23981:S 6.08467e-05 +83 *20971:A1 *6027:99 0.000160617 +84 *20972:B2 *6027:96 0.000137389 +85 *20974:A1 *23977:S 7.24449e-05 +86 *20975:B1 *23977:S 0.000424446 +87 *20975:B2 *23975:S 0.000103757 +88 *20975:B2 *6027:207 3.20069e-06 +89 *20976:A1 *6027:249 7.13655e-06 +90 *20976:A2 *6027:249 0 +91 *20977:B1 *23975:S 0 +92 *20978:A2 *23967:S 6.08467e-05 +93 *21000:A2 *24013:S 0.00032688 +94 *21001:A2 *24013:S 0.000131924 +95 *21001:A2 *6027:129 0.000307806 +96 *21002:A1 *24013:S 0.000307799 +97 *21002:A1 *6027:129 1.3808e-05 +98 *21002:B2 *6027:129 0.000399362 +99 *21003:A2 *24007:S 6.08467e-05 +100 *21005:A1 *6027:66 2.04661e-05 +101 *21005:A2 *6027:66 3.6181e-05 +102 *21005:B1 *6027:66 7.50872e-05 +103 *21005:B1 *6027:178 9.19886e-06 +104 *21008:A2 *6027:178 0.000603957 +105 *21009:B2 *6027:178 0.000118166 +106 *23967:A0 *23967:S 0.000146404 +107 *23969:A1 *23967:S 0.000144173 +108 *23969:A1 *6027:256 6.50586e-05 +109 *23973:A0 *23977:S 0.000311249 +110 *23975:A1 *23975:S 2.47663e-05 +111 *23978:A1 *23979:S 0 +112 *23981:A0 *23983:S 6.25467e-05 +113 *23981:A0 *6027:96 0.000304983 +114 *23981:A0 *6027:102 2.82225e-05 +115 *23981:A1 *6027:96 0.000163982 +116 *23987:A0 *23985:S 1.50924e-05 +117 *23987:A0 *6027:113 0.000537734 +118 *23999:A1 *6027:58 0.000253916 +119 *24001:A0 *6027:61 0.000706091 +120 *24001:A1 *6027:61 6.92705e-05 +121 *24002:S *6027:204 0.000113968 +122 *24007:A0 *23983:S 4.33655e-05 +123 *24009:A0 *24009:S 0.000561235 +124 *24009:A0 *6027:68 0.000208961 +125 *24009:A0 *6027:70 0.00036583 +126 *24009:A0 *6027:72 0.000135905 +127 *24013:A0 *24013:S 7.78069e-05 +128 *24013:A1 *24013:S 6.64392e-05 +129 *24171:CLK *6027:41 0.000164843 +130 *24174:CLK *24013:S 9.54357e-06 +131 *24260:RESET_B *6027:58 2.29084e-05 +132 *24264:D *24009:S 0.00017419 +133 *24265:D *6027:129 6.27782e-05 +134 *24284:RESET_B *6027:234 6.39594e-05 +135 *24284:RESET_B *6027:249 0.000223233 +136 *24285:D *23975:S 0.000122083 +137 *24288:CLK *23983:S 3.86121e-05 +138 *324:11 *6027:34 0.000177568 +139 *324:11 *6027:36 6.25467e-05 +140 *324:11 *6027:41 7.34838e-05 +141 *439:108 *24013:S 0.000318328 +142 *439:112 *24013:S 4.9e-05 +143 *439:132 *6027:129 9.81288e-06 +144 *439:143 *6027:113 0.000122594 +145 *439:143 *6027:117 0.000114233 +146 *439:143 *6027:129 0.000102558 +147 *439:166 *6027:102 0 +148 *439:182 *6027:102 0 +149 *476:82 *6027:19 0 +150 *512:64 *23979:S 0.000126934 +151 *517:15 *23983:S 0.000164843 +152 *518:62 *6027:66 0.000107344 +153 *518:62 *6027:68 5.90632e-05 +154 *518:62 *6027:70 1.47102e-05 +155 *518:62 *6027:249 0.000327244 +156 *534:8 *23977:S 2.20702e-05 +157 *537:33 *22110:A1 4.88955e-05 +158 *537:33 *6027:291 6.50727e-05 +159 *539:55 *24003:S 2.65831e-05 +160 *548:21 *23985:S 4.9169e-05 +161 *550:29 *23989:S 0.000144435 +162 *1419:8 *23967:S 0.000133124 +163 *1428:93 *6027:249 0.000354488 +164 *1439:191 *24003:S 6.50727e-05 +165 *1439:194 *23983:S 1.03986e-05 +166 *1573:63 *23973:S 2.23259e-05 +167 *1573:71 *20774:A1 0.000154062 +168 *1695:12 *6027:70 0 +169 *2061:5 *6027:29 6.50586e-05 +170 *2061:5 *6027:31 1.70925e-05 +171 *2061:9 *23991:S 5.51483e-06 +172 *2061:9 *6027:29 0 +173 *2061:9 *6027:31 8.4404e-05 +174 *2061:13 *23991:S 0.00027131 +175 *2064:14 *6027:19 0 +176 *2068:17 *22110:A1 6.50586e-05 +177 *2068:17 *6027:8 0.00017419 +178 *2068:17 *6027:291 6.50727e-05 +179 *2132:12 *6027:19 6.08467e-05 +180 *2163:9 *23995:S 0.000190193 +181 *2163:9 *6027:178 1.15389e-05 +182 *2163:11 *6027:178 0.000236746 +183 *2163:15 *6027:178 5.8973e-05 +184 *2164:7 *6027:178 1.19721e-05 +185 *2164:25 *24007:S 1.03403e-05 +186 *2164:43 *6027:102 0 +187 *2267:21 *24009:S 4.82966e-05 +188 *2278:23 *24013:S 3.20069e-06 +189 *2278:24 *23995:S 0 +190 *2291:10 *23979:S 0 +191 *2291:10 *6027:207 1.79672e-05 +192 *2291:15 *6027:204 9.86451e-05 +193 *2333:18 *6027:102 0.00033355 +194 *2333:28 *6027:8 0.000128949 +195 *2333:37 *6027:19 0.000266422 +196 *2378:12 *6027:207 0 +197 *2398:71 *23991:S 0.000156075 +198 *2426:73 *6027:61 0.000805862 +199 *2439:21 *6027:58 5.28676e-05 +200 *2439:24 *23967:S 0.000136721 +201 *2446:53 *24035:S 0.000164843 +202 *2448:171 *20774:A1 0.000400335 +203 *2448:176 *23967:S 0.000154145 +204 *2448:176 *23971:S 0.000111708 +205 *2448:176 *6027:256 0.000313495 +206 *2559:8 *24013:S 0 +207 *2667:20 *6027:207 0 +208 *2667:30 *6027:58 0.000151546 +209 *2720:8 *24009:S 7.14049e-05 +210 *2720:8 *6027:70 1.09738e-05 +211 *2720:8 *6027:72 5.96936e-05 +212 *2720:11 *6027:96 0.00158313 +213 *2759:12 *6027:47 0.000357135 +214 *2831:29 *23981:S 4.88955e-05 +215 *2831:29 *6027:99 0.000164843 +216 *2859:8 *24009:S 0 +217 *2859:8 *6027:66 0 +218 *2859:14 *6027:249 0 +219 *2899:12 *23979:S 0.000265082 +220 *2899:12 *23989:S 3.7766e-05 +221 *2899:24 *23979:S 5.58307e-05 +222 *2899:24 *6027:207 0 +223 *2900:47 *23971:S 5.36254e-05 +224 *2900:47 *6027:256 4.56107e-05 +225 *2962:8 *23995:S 9.13916e-05 +226 *2962:27 *6027:66 3.28928e-05 +227 *3094:11 *6027:31 2.65831e-05 +228 *3177:55 *6027:58 5.63405e-05 +229 *4829:50 *23975:S 9.63599e-05 +230 *4829:50 *6027:207 4.98393e-05 +231 *4875:82 *6027:58 0.000104999 +232 *4875:140 *23967:S 1.41976e-05 +233 *4877:22 *6027:62 0.000131677 +234 *4877:22 *6027:64 0.00022888 +235 *4877:22 *6027:66 0.000194369 +236 *4877:22 *6027:234 0.00016434 +237 *5785:45 *23973:S 2.65831e-05 +238 *5785:45 *6027:234 7.69735e-05 +239 *5785:45 *6027:249 1.32509e-05 +240 *5785:52 *20774:A1 6.14756e-06 +241 *5794:35 *23995:S 0 +242 *5855:343 *6027:34 0.000412949 +243 *5855:343 *6027:36 0.000151758 +244 *5855:343 *6027:41 0.000187513 +245 *5855:343 *6027:47 0.000149389 +246 *5871:469 *23979:S 7.48633e-05 +247 *5871:481 *6027:62 5.2936e-05 +248 *5871:481 *6027:64 9.88843e-05 +249 *5871:481 *6027:66 6.74811e-05 +250 *5871:481 *6027:234 7.49767e-05 +251 *5871:560 *6027:47 0.000481241 +252 *5871:560 *6027:58 0.000412529 +253 *5871:580 *6027:41 6.23875e-05 +254 *5871:772 *6027:8 3.14978e-05 +255 *5871:799 *23991:S 0.000159658 +256 *5871:805 *23967:S 2.68102e-05 +257 *5960:19 *24005:S 3.75221e-05 +258 *5960:27 *24005:S 6.44764e-05 +259 *5969:5 *23967:S 6.08467e-05 +260 *5983:11 *6027:178 4.66492e-05 +261 *5985:10 *6027:58 0.000256037 +262 *5987:11 *6027:66 9.60366e-05 +*RES +1 *24171:Q *6027:8 22.9486 +2 *6027:8 *6027:19 16.0172 +3 *6027:19 *6027:21 0.446094 +4 *6027:21 *6027:29 5.37724 +5 *6027:29 *6027:31 2.94181 +6 *6027:31 *6027:34 12.1455 +7 *6027:34 *6027:36 3.07775 +8 *6027:36 *6027:41 9.82561 +9 *6027:41 *6027:47 26.6606 +10 *6027:47 *6027:58 23.5315 +11 *6027:58 *6027:61 13.5424 +12 *6027:61 *6027:62 2.6625 +13 *6027:62 *6027:64 4.32351 +14 *6027:64 *6027:66 10.9675 +15 *6027:66 *6027:68 3.90826 +16 *6027:68 *6027:70 6.81502 +17 *6027:70 *6027:72 2.6625 +18 *6027:72 *24009:S 24.0606 +19 *6027:72 *24007:S 14.4725 +20 *6027:70 *6027:96 36.4308 +21 *6027:96 *6027:99 6.3326 +22 *6027:99 *6027:102 20.8658 +23 *6027:102 *23985:S 10.9612 +24 *6027:102 *6027:113 8.36728 +25 *6027:113 *6027:117 5.73894 +26 *6027:117 *23989:S 20.9116 +27 *6027:117 *6027:129 11.8396 +28 *6027:129 *24011:S 9.24915 +29 *6027:129 *24013:S 34.2754 +30 *6027:113 *23987:S 9.24915 +31 *6027:99 *23983:S 19.7687 +32 *6027:96 *23981:S 9.97254 +33 *6027:68 *24005:S 20.3079 +34 *6027:66 *6027:178 25.2132 +35 *6027:178 *23997:S 9.24915 +36 *6027:178 *23995:S 27.4514 +37 *6027:64 *24003:S 14.4725 +38 *6027:62 *6027:204 18.0424 +39 *6027:204 *6027:207 10.1859 +40 *6027:207 *23977:S 20.5973 +41 *6027:207 *23975:S 20.9794 +42 *6027:204 *23979:S 23.6425 +43 *6027:61 *6027:234 6.81502 +44 *6027:234 *23973:S 15.7599 +45 *6027:234 *6027:249 25.3359 +46 *6027:249 *23971:S 10.5271 +47 *6027:249 *6027:256 3.49641 +48 *6027:256 *23969:S 9.24915 +49 *6027:256 *23967:S 29.0378 +50 *6027:58 *24001:S 9.24915 +51 *6027:47 *23999:S 9.82786 +52 *6027:41 *23993:S 9.24915 +53 *6027:36 *6027:291 5.2234 +54 *6027:291 *22110:B1 9.24915 +55 *6027:291 *22110:A1 9.97254 +56 *6027:34 *24035:S 6.82692 +57 *6027:31 *23991:S 30.3935 +58 *6027:29 *20759:A 9.24915 +59 *6027:21 *20774:A1 25.9325 +60 *6027:19 *20762:B1 9.24915 +61 *6027:8 *20767:A1 13.7491 +*END + +*D_NET *6028 0.0273915 +*CONN +*I *20831:A2 I *D sky130_fd_sc_hd__a22o_1 +*I *20856:A1 I *D sky130_fd_sc_hd__a32o_1 +*I *20852:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *20848:A1 I *D sky130_fd_sc_hd__a21oi_1 +*I *20823:A3 I *D sky130_fd_sc_hd__a31o_1 +*I *20828:B I *D sky130_fd_sc_hd__or2_1 +*I *20808:B I *D sky130_fd_sc_hd__or2_2 +*I *20761:A I *D sky130_fd_sc_hd__clkinv_4 +*I *24035:A0 I *D sky130_fd_sc_hd__mux2_8 +*I *22110:C1 I *D sky130_fd_sc_hd__a221o_1 +*I *24172:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20831:A2 0.000243205 +2 *20856:A1 0.000194766 +3 *20852:A3 0.000354069 +4 *20848:A1 7.98254e-05 +5 *20823:A3 0.000278627 +6 *20828:B 0 +7 *20808:B 0.000110093 +8 *20761:A 0 +9 *24035:A0 5.15743e-05 +10 *22110:C1 0.000399335 +11 *24172:Q 0.000195458 +12 *6028:102 0.00082339 +13 *6028:93 0.00165461 +14 *6028:78 0.00203206 +15 *6028:70 0.000907422 +16 *6028:60 0.00068508 +17 *6028:43 0.00132791 +18 *6028:10 0.000733114 +19 *6028:8 0.000361367 +20 *6028:7 0.00101837 +21 *6028:43 *6029:90 1.65872e-05 +22 *20762:B2 *6028:10 2.82537e-05 +23 *20808:A *20808:B 0.000270395 +24 *20823:A1 *20823:A3 0.000113562 +25 *20834:A *20852:A3 6.08467e-05 +26 *20834:A *20856:A1 0.000141915 +27 *20834:A *6028:102 2.69795e-05 +28 *20841:C *6028:93 3.63249e-05 +29 *20848:A2 *20848:A1 0.000107496 +30 *20850:C *20852:A3 0.00010724 +31 *20852:A1 *20852:A3 1.70805e-05 +32 *20852:A2 *20852:A3 6.1516e-05 +33 *20852:B1 *20852:A3 1.78868e-05 +34 *20853:C1 *20852:A3 2.71226e-06 +35 *22110:B2 *22110:C1 1.63995e-05 +36 *22114:C *22110:C1 8.03196e-05 +37 *24035:S *24035:A0 3.072e-06 +38 *24255:CLK *6028:7 8.85095e-05 +39 *24368:D *20831:A2 8.76281e-05 +40 *24369:SET_B *6028:93 0.000171156 +41 *324:11 *22110:C1 8.07643e-05 +42 *324:11 *6028:10 0.000145176 +43 *460:84 *20831:A2 0 +44 *504:16 *6028:78 0.000390188 +45 *510:59 *6028:8 8.07908e-05 +46 *510:59 *6028:10 3.96553e-05 +47 *510:59 *6028:43 0.000204802 +48 *510:71 *6028:10 1.36313e-05 +49 *518:58 *6028:93 0 +50 *527:71 *6028:43 5.56954e-05 +51 *537:33 *22110:C1 6.92705e-05 +52 *1418:311 *20856:A1 0.000278173 +53 *1418:311 *6028:93 0.000582077 +54 *1418:311 *6028:102 0.000487416 +55 *1520:42 *6028:43 0.000549448 +56 *1520:42 *6028:60 0.000846901 +57 *1709:78 *20852:A3 9.95542e-06 +58 *1709:78 *6028:102 3.5729e-05 +59 *1741:90 *20831:A2 0 +60 *2062:8 *6028:43 0.000996765 +61 *2068:17 *22110:C1 0.000102842 +62 *2085:11 *20808:B 1.98996e-05 +63 *2099:5 *20831:A2 6.08467e-05 +64 *2102:5 *6028:70 0.000154145 +65 *2102:19 *6028:70 0.000374711 +66 *2102:19 *6028:78 0.000496381 +67 *2112:22 *6028:93 9.35753e-06 +68 *2112:22 *6028:102 8.60797e-05 +69 *2113:21 *6028:93 8.50318e-05 +70 *2120:12 *20852:A3 4.72646e-05 +71 *2240:8 *6028:93 0.000533019 +72 *2384:103 *20823:A3 0.000619237 +73 *2392:12 *6028:60 9.96342e-05 +74 *2392:12 *6028:70 4.07907e-05 +75 *2439:31 *20823:A3 0.000769869 +76 *2439:31 *6028:78 0.000142194 +77 *2446:53 *24035:A0 2.18041e-06 +78 *2667:42 *20831:A2 0.000320961 +79 *2900:60 *6028:43 0.00055303 +80 *2900:60 *6028:60 0.000838275 +81 *3093:10 *20856:A1 7.12049e-06 +82 *3093:12 *6028:93 0.000366395 +83 *3094:11 *6028:8 0.00018688 +84 *3094:11 *6028:10 0.000115451 +85 *3094:11 *6028:43 0.000764385 +86 *3177:50 *6028:93 0.00025689 +87 *4833:54 *20823:A3 5.73392e-05 +88 *4833:54 *6028:78 0.000201198 +89 *4876:38 *20823:A3 0.000156614 +90 *5793:43 *22110:C1 6.31665e-05 +91 *5793:49 *22110:C1 2.00611e-05 +92 *5793:49 *6028:10 0.000100765 +93 *5794:37 *6028:60 9.60366e-05 +94 *5794:37 *6028:70 5.15155e-05 +95 *5859:288 *6028:78 0.000400272 +96 *5859:289 *6028:60 3.81675e-05 +97 *5864:171 *6028:78 0.000234442 +98 *5864:177 *6028:78 0.000166471 +99 *5898:19 *6028:43 0.000204945 +100 *5944:13 *20831:A2 6.08467e-05 +101 *5947:20 *20823:A3 0.000160196 +102 *5950:18 *20856:A1 0.000166196 +103 *5950:20 *20856:A1 3.63738e-05 +104 *5992:18 *20808:B 0.000351426 +*RES +1 *24172:Q *6028:7 18.3548 +2 *6028:7 *6028:8 3.493 +3 *6028:8 *6028:10 8.89128 +4 *6028:10 *22110:C1 23.4151 +5 *6028:10 *24035:A0 14.4725 +6 *6028:8 *20761:A 13.7491 +7 *6028:7 *6028:43 41.2289 +8 *6028:43 *20808:B 18.7888 +9 *6028:43 *6028:60 28.3393 +10 *6028:60 *20828:B 13.7491 +11 *6028:60 *6028:70 11.2163 +12 *6028:70 *6028:78 27.6599 +13 *6028:78 *20823:A3 32.1537 +14 *6028:78 *6028:93 42.4589 +15 *6028:93 *20848:A1 15.0271 +16 *6028:93 *6028:102 9.30653 +17 *6028:102 *20852:A3 23.1292 +18 *6028:102 *20856:A1 21.0283 +19 *6028:70 *20831:A2 25.3723 +*END + +*D_NET *6029 0.0134334 +*CONN +*I *20925:A1 I *D sky130_fd_sc_hd__o221a_1 +*I *22117:A1 I *D sky130_fd_sc_hd__a22o_1 +*I *20760:A I *D sky130_fd_sc_hd__inv_2 +*I *20774:A2 I *D sky130_fd_sc_hd__o311a_1 +*I *20867:A I *D sky130_fd_sc_hd__nor2_1 +*I *20905:A2 I *D sky130_fd_sc_hd__a32o_1 +*I *20767:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *20870:A I *D sky130_fd_sc_hd__and3_1 +*I *24173:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *20925:A1 0.000262657 +2 *22117:A1 6.12407e-05 +3 *20760:A 0 +4 *20774:A2 0 +5 *20867:A 0 +6 *20905:A2 0.000267269 +7 *20767:B1 0.000184363 +8 *20870:A 0 +9 *24173:Q 0.000220987 +10 *6029:90 0.000514269 +11 *6029:79 0.000278051 +12 *6029:76 0.000132111 +13 *6029:75 0.000230128 +14 *6029:44 0.000774731 +15 *6029:23 0.0002188 +16 *6029:20 0.000847006 +17 *6029:13 0.000604463 +18 *6029:8 0.000706039 +19 *20774:A1 *6029:20 0.000537163 +20 *20774:B1 *6029:20 1.47978e-05 +21 *20867:B *20905:A2 4.80635e-06 +22 *20867:B *6029:44 3.43311e-07 +23 *20870:C *20767:B1 4.65865e-05 +24 *20873:A2 *20905:A2 4.80635e-06 +25 *20873:A2 *6029:44 7.34948e-06 +26 *20873:B2 *20905:A2 2.07841e-05 +27 *20873:B2 *6029:44 0.000100926 +28 *20905:A1 *20905:A2 0.000122068 +29 *20905:A3 *20905:A2 0.000188011 +30 *22108:A *20925:A1 6.44432e-05 +31 *24395:D *6029:8 0.000110712 +32 *24395:D *6029:13 0.000521103 +33 *324:11 *20925:A1 0.000169684 +34 *324:11 *6029:90 0.000221276 +35 *476:81 *20767:B1 0.000166109 +36 *476:81 *6029:23 7.92757e-06 +37 *476:82 *6029:13 8.22534e-05 +38 *527:69 *6029:75 2.76938e-06 +39 *527:69 *6029:79 6.61002e-05 +40 *527:71 *6029:79 4.0752e-05 +41 *527:71 *6029:90 0.00040678 +42 *531:51 *20925:A1 0.000109427 +43 *1573:71 *6029:20 8.44663e-05 +44 *1573:71 *6029:44 0.000781537 +45 *2062:8 *6029:79 2.65831e-05 +46 *2062:8 *6029:90 4.34402e-05 +47 *2062:42 *6029:79 0.000115615 +48 *2069:5 *20767:B1 0.000137293 +49 *2103:46 *6029:75 9.60216e-05 +50 *2129:9 *6029:13 2.41483e-05 +51 *2129:9 *6029:20 0.00035144 +52 *2129:14 *6029:20 0.000154145 +53 *2129:14 *6029:90 0.000203207 +54 *2129:27 *20925:A1 2.03171e-05 +55 *2129:27 *22117:A1 6.08467e-05 +56 *2129:27 *6029:90 3.20264e-05 +57 *2132:24 *20905:A2 0.000164815 +58 *2333:28 *20905:A2 9.22013e-06 +59 *2333:37 *6029:8 5.87274e-05 +60 *2333:37 *6029:13 2.14894e-05 +61 *2398:74 *6029:8 0.000319683 +62 *2398:74 *6029:13 0.000270243 +63 *2448:171 *6029:13 6.50586e-05 +64 *2448:171 *6029:20 3.07726e-05 +65 *3090:7 *20925:A1 2.58757e-05 +66 *3094:11 *6029:90 0.000111708 +67 *4832:100 *6029:8 9.7457e-05 +68 *4832:104 *6029:75 0.000205006 +69 *4877:182 *6029:75 2.41274e-06 +70 *4939:10 *6029:75 9.24241e-05 +71 *5695:9 *6029:75 0.000200794 +72 *5785:52 *6029:20 0.00036208 +73 *5785:52 *6029:44 0.00014636 +74 *5793:34 *6029:44 0.000724026 +75 *5855:343 *20925:A1 5.84993e-05 +76 *5857:215 *20905:A2 0 +77 *5871:584 *6029:44 0 +78 *6028:43 *6029:90 1.65872e-05 +*RES +1 *24173:Q *6029:8 21.5663 +2 *6029:8 *6029:13 16.1909 +3 *6029:13 *6029:20 17.6086 +4 *6029:20 *6029:23 5.2234 +5 *6029:23 *20870:A 9.24915 +6 *6029:23 *20767:B1 14.7108 +7 *6029:20 *6029:44 25.8075 +8 *6029:44 *20905:A2 26.096 +9 *6029:44 *20867:A 9.24915 +10 *6029:13 *20774:A2 9.24915 +11 *6029:8 *6029:75 28.2463 +12 *6029:75 *6029:76 57.9449 +13 *6029:76 *6029:79 13.3002 +14 *6029:79 *20760:A 9.24915 +15 *6029:79 *6029:90 13.5926 +16 *6029:90 *22117:A1 15.0271 +17 *6029:90 *20925:A1 22.0112 +*END diff --git a/spef/mgmt_protect.sdc b/spef/mgmt_protect.sdc new file mode 100644 index 00000000..06854639 --- /dev/null +++ b/spef/mgmt_protect.sdc @@ -0,0 +1,2267 @@ +############################################################################### +# Created by write_sdc +# Fri Nov 19 01:23:06 2021 +############################################################################### +current_design mgmt_protect +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 8.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {caravel_clk}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {caravel_clk2}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {caravel_rstn}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[100]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[101]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[102]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[103]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[104]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[105]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[106]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[107]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[108]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[109]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[10]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[110]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[111]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[112]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[113]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[114]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[115]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[116]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[117]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[118]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[119]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[11]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[120]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[121]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[122]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[123]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[124]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[125]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[126]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[127]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[12]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[13]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[14]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[15]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[16]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[17]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[18]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[19]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[20]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[21]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[22]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[23]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[24]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[25]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[26]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[27]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[28]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[29]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[30]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[31]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[32]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[33]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[34]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[35]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[36]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[37]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[38]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[39]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[3]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[40]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[41]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[42]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[43]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[44]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[45]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[46]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[47]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[48]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[49]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[4]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[50]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[51]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[52]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[53]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[54]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[55]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[56]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[57]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[58]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[59]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[5]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[60]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[61]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[62]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[63]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[64]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[65]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[66]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[67]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[68]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[69]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[6]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[70]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[71]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[72]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[73]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[74]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[75]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[76]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[77]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[78]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[79]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[7]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[80]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[81]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[82]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[83]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[84]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[85]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[86]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[87]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[88]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[89]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[8]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[90]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[91]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[92]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[93]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[94]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[95]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[96]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[97]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[98]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[99]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_core[9]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[100]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[101]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[102]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[103]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[104]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[105]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[106]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[107]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[108]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[109]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[10]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[110]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[111]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[112]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[113]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[114]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[115]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[116]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[117]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[118]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[119]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[11]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[120]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[121]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[122]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[123]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[124]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[125]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[126]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[127]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[12]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[13]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[14]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[15]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[16]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[17]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[18]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[19]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[20]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[21]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[22]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[23]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[24]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[25]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[26]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[27]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[28]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[29]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[30]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[31]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[32]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[33]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[34]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[35]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[36]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[37]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[38]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[39]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[3]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[40]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[41]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[42]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[43]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[44]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[45]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[46]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[47]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[48]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[49]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[4]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[50]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[51]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[52]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[53]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[54]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[55]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[56]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[57]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[58]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[59]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[5]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[60]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[61]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[62]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[63]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[64]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[65]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[66]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[67]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[68]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[69]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[6]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[70]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[71]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[72]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[73]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[74]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[75]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[76]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[77]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[78]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[79]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[7]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[80]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[81]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[82]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[83]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[84]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[85]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[86]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[87]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[88]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[89]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[8]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[90]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[91]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[92]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[93]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[94]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[95]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[96]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[97]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[98]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[99]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_out_mprj[9]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[100]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[101]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[102]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[103]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[104]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[105]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[106]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[107]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[108]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[109]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[10]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[110]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[111]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[112]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[113]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[114]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[115]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[116]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[117]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[118]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[119]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[11]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[120]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[121]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[122]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[123]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[124]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[125]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[126]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[127]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[12]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[13]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[14]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[15]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[16]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[17]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[18]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[19]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[20]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[21]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[22]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[23]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[24]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[25]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[26]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[27]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[28]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[29]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[30]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[31]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[32]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[33]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[34]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[35]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[36]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[37]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[38]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[39]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[3]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[40]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[41]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[42]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[43]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[44]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[45]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[46]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[47]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[48]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[49]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[4]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[50]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[51]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[52]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[53]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[54]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[55]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[56]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[57]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[58]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[59]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[5]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[60]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[61]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[62]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[63]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[64]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[65]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[66]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[67]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[68]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[69]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[6]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[70]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[71]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[72]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[73]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[74]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[75]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[76]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[77]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[78]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[79]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[7]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[80]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[81]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[82]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[83]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[84]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[85]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[86]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[87]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[88]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[89]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[8]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[90]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[91]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[92]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[93]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[94]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[95]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[96]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[97]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[98]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[99]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_iena_mprj[9]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[100]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[101]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[102]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[103]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[104]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[105]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[106]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[107]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[108]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[109]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[10]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[110]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[111]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[112]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[113]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[114]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[115]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[116]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[117]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[118]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[119]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[11]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[120]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[121]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[122]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[123]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[124]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[125]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[126]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[127]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[12]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[13]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[14]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[15]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[16]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[17]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[18]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[19]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[20]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[21]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[22]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[23]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[24]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[25]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[26]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[27]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[28]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[29]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[30]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[31]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[32]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[33]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[34]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[35]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[36]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[37]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[38]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[39]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[3]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[40]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[41]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[42]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[43]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[44]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[45]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[46]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[47]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[48]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[49]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[4]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[50]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[51]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[52]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[53]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[54]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[55]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[56]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[57]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[58]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[59]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[5]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[60]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[61]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[62]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[63]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[64]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[65]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[66]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[67]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[68]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[69]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[6]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[70]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[71]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[72]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[73]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[74]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[75]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[76]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[77]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[78]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[79]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[7]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[80]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[81]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[82]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[83]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[84]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[85]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[86]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[87]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[88]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[89]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[8]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[90]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[91]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[92]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[93]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[94]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[95]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[96]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[97]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[98]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[99]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_mprj[9]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_ack_i_user}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[10]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[11]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[12]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[13]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[14]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[15]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[16]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[17]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[18]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[19]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[20]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[21]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[22]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[23]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[24]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[25]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[26]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[27]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[28]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[29]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[30]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[31]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[3]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[4]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[5]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[6]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[7]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[8]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_core[9]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_cyc_o_core}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[10]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[11]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[12]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[13]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[14]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[15]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[16]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[17]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[18]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[19]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[20]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[21]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[22]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[23]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[24]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[25]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[26]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[27]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[28]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[29]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[30]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[31]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[3]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[4]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[5]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[6]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[7]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[8]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_user[9]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[10]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[11]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[12]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[13]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[14]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[15]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[16]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[17]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[18]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[19]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[20]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[21]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[22]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[23]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[24]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[25]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[26]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[27]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[28]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[29]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[30]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[31]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[3]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[4]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[5]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[6]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[7]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[8]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_core[9]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_iena_wb}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_sel_o_core[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_sel_o_core[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_sel_o_core[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_sel_o_core[3]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_stb_o_core}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_we_o_core}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq_core[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq_core[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq_core[2]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq_ena[0]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq_ena[1]}] +set_input_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq_ena[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[100]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[101]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[102]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[103]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[104]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[105]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[106]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[107]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[108]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[109]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[10]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[110]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[111]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[112]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[113]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[114]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[115]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[116]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[117]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[118]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[119]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[11]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[120]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[121]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[122]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[123]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[124]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[125]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[126]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[127]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[12]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[13]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[14]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[15]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[16]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[17]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[18]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[19]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[20]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[21]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[22]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[23]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[24]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[25]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[26]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[27]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[28]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[29]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[30]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[31]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[32]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[33]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[34]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[35]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[36]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[37]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[38]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[39]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[3]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[40]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[41]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[42]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[43]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[44]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[45]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[46]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[47]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[48]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[49]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[4]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[50]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[51]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[52]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[53]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[54]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[55]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[56]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[57]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[58]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[59]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[5]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[60]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[61]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[62]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[63]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[64]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[65]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[66]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[67]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[68]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[69]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[6]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[70]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[71]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[72]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[73]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[74]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[75]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[76]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[77]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[78]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[79]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[7]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[80]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[81]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[82]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[83]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[84]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[85]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[86]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[87]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[88]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[89]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[8]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[90]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[91]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[92]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[93]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[94]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[95]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[96]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[97]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[98]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[99]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_core[9]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[100]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[101]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[102]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[103]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[104]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[105]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[106]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[107]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[108]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[109]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[10]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[110]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[111]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[112]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[113]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[114]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[115]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[116]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[117]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[118]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[119]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[11]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[120]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[121]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[122]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[123]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[124]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[125]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[126]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[127]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[12]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[13]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[14]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[15]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[16]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[17]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[18]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[19]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[20]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[21]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[22]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[23]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[24]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[25]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[26]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[27]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[28]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[29]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[30]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[31]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[32]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[33]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[34]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[35]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[36]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[37]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[38]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[39]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[3]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[40]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[41]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[42]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[43]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[44]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[45]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[46]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[47]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[48]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[49]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[4]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[50]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[51]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[52]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[53]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[54]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[55]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[56]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[57]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[58]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[59]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[5]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[60]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[61]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[62]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[63]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[64]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[65]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[66]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[67]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[68]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[69]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[6]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[70]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[71]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[72]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[73]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[74]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[75]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[76]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[77]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[78]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[79]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[7]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[80]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[81]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[82]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[83]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[84]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[85]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[86]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[87]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[88]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[89]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[8]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[90]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[91]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[92]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[93]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[94]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[95]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[96]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[97]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[98]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[99]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_data_in_mprj[9]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[100]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[101]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[102]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[103]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[104]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[105]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[106]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[107]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[108]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[109]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[10]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[110]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[111]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[112]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[113]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[114]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[115]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[116]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[117]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[118]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[119]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[11]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[120]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[121]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[122]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[123]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[124]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[125]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[126]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[127]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[12]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[13]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[14]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[15]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[16]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[17]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[18]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[19]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[20]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[21]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[22]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[23]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[24]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[25]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[26]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[27]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[28]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[29]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[30]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[31]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[32]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[33]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[34]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[35]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[36]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[37]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[38]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[39]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[3]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[40]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[41]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[42]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[43]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[44]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[45]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[46]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[47]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[48]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[49]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[4]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[50]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[51]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[52]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[53]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[54]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[55]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[56]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[57]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[58]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[59]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[5]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[60]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[61]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[62]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[63]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[64]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[65]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[66]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[67]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[68]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[69]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[6]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[70]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[71]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[72]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[73]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[74]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[75]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[76]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[77]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[78]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[79]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[7]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[80]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[81]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[82]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[83]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[84]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[85]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[86]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[87]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[88]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[89]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[8]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[90]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[91]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[92]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[93]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[94]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[95]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[96]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[97]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[98]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[99]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {la_oenb_core[9]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_ack_i_core}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[10]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[11]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[12]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[13]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[14]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[15]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[16]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[17]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[18]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[19]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[20]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[21]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[22]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[23]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[24]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[25]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[26]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[27]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[28]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[29]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[30]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[31]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[3]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[4]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[5]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[6]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[7]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[8]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_adr_o_user[9]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_cyc_o_user}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[10]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[11]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[12]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[13]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[14]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[15]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[16]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[17]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[18]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[19]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[20]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[21]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[22]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[23]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[24]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[25]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[26]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[27]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[28]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[29]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[30]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[31]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[3]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[4]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[5]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[6]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[7]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[8]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_i_core[9]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[10]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[11]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[12]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[13]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[14]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[15]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[16]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[17]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[18]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[19]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[20]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[21]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[22]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[23]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[24]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[25]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[26]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[27]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[28]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[29]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[30]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[31]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[3]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[4]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[5]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[6]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[7]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[8]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_dat_o_user[9]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_sel_o_user[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_sel_o_user[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_sel_o_user[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_sel_o_user[3]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_stb_o_user}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {mprj_we_o_user}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user1_vcc_powergood}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user1_vdd_powergood}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user2_vcc_powergood}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user2_vdd_powergood}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_clock}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_clock2}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq[0]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq[1]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_irq[2]}] +set_output_delay 1.6000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {user_reset}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {mprj_ack_i_core}] +set_load -pin_load 0.0334 [get_ports {mprj_cyc_o_user}] +set_load -pin_load 0.0334 [get_ports {mprj_stb_o_user}] +set_load -pin_load 0.0334 [get_ports {mprj_we_o_user}] +set_load -pin_load 0.0334 [get_ports {user1_vcc_powergood}] +set_load -pin_load 0.0334 [get_ports {user1_vdd_powergood}] +set_load -pin_load 0.0334 [get_ports {user2_vcc_powergood}] +set_load -pin_load 0.0334 [get_ports {user2_vdd_powergood}] +set_load -pin_load 0.0334 [get_ports {user_clock}] +set_load -pin_load 0.0334 [get_ports {user_clock2}] +set_load -pin_load 0.0334 [get_ports {user_reset}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[127]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[126]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[125]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[124]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[123]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[122]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[121]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[120]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[119]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[118]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[117]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[116]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[115]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[114]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[113]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[112]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[111]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[110]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[109]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[108]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[107]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[106]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[105]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[104]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[103]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[102]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[101]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[100]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[99]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[98]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[97]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[96]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[95]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[94]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[93]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[92]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[91]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[90]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[89]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[88]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[87]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[86]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[85]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[84]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[83]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[82]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[81]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[80]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[79]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[78]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[77]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[76]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[75]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[74]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[73]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[72]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[71]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[70]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[69]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[68]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[67]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[66]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[65]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[64]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[63]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[62]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[61]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[60]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[59]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[58]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[57]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[56]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[55]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[54]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[53]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[52]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[51]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[50]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[49]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[48]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[47]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[46]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[45]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[44]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[43]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[42]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[41]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[40]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[39]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[38]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[37]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[36]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[35]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[34]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[33]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[32]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[31]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[30]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[29]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[28]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[27]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[26]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[25]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[24]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[23]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[22]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[21]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[20]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[19]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[18]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[17]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[16]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[15]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[14]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[13]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[12]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[11]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[10]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[9]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[8]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[7]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[6]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[5]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[4]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[3]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[2]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[1]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_core[0]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[127]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[126]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[125]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[124]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[123]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[122]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[121]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[120]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[119]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[118]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[117]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[116]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[115]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[114]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[113]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[112]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[111]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[110]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[109]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[108]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[107]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[106]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[105]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[104]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[103]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[102]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[101]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[100]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[99]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[98]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[97]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[96]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[95]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[94]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[93]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[92]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[91]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[90]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[89]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[88]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[87]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[86]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[85]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[84]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[83]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[82]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[81]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[80]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[79]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[78]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[77]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[76]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[75]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[74]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[73]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[72]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[71]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[70]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[69]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[68]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[67]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[66]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[65]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[64]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[63]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[62]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[61]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[60]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[59]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[58]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[57]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[56]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[55]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[54]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[53]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[52]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[51]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[50]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[49]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[48]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[47]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[46]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[45]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[44]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[43]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[42]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[41]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[40]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[39]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[38]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[37]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[36]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[35]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[34]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[33]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[32]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[31]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[30]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[29]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[28]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[27]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[26]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[25]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[24]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[23]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[22]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[21]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[20]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[19]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[18]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[17]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[16]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[15]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[14]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[13]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[12]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[11]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[10]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[9]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[8]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[7]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[6]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[5]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[4]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[3]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[2]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[1]}] +set_load -pin_load 0.0334 [get_ports {la_data_in_mprj[0]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[127]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[126]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[125]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[124]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[123]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[122]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[121]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[120]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[119]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[118]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[117]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[116]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[115]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[114]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[113]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[112]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[111]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[110]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[109]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[108]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[107]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[106]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[105]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[104]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[103]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[102]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[101]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[100]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[99]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[98]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[97]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[96]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[95]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[94]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[93]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[92]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[91]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[90]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[89]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[88]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[87]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[86]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[85]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[84]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[83]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[82]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[81]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[80]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[79]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[78]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[77]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[76]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[75]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[74]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[73]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[72]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[71]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[70]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[69]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[68]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[67]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[66]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[65]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[64]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[63]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[62]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[61]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[60]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[59]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[58]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[57]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[56]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[55]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[54]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[53]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[52]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[51]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[50]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[49]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[48]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[47]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[46]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[45]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[44]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[43]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[42]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[41]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[40]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[39]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[38]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[37]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[36]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[35]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[34]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[33]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[32]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[31]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[30]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[29]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[28]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[27]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[26]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[25]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[24]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[23]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[22]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[21]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[20]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[19]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[18]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[17]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[16]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[15]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[14]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[13]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[12]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[11]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[10]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[9]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[8]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[7]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[6]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[5]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[4]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[3]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[2]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[1]}] +set_load -pin_load 0.0334 [get_ports {la_oenb_core[0]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[31]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[30]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[29]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[28]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[27]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[26]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[25]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[24]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[23]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[22]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[21]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[20]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[19]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[18]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[17]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[16]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[15]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[14]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[13]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[12]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[11]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[10]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[9]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[8]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[7]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[6]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[5]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[4]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[3]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[2]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[1]}] +set_load -pin_load 0.0334 [get_ports {mprj_adr_o_user[0]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[31]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[30]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[29]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[28]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[27]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[26]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[25]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[24]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[23]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[22]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[21]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[20]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[19]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[18]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[17]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[16]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[15]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[14]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[13]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[12]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[11]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[10]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[9]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[8]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[7]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[6]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[5]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[4]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[3]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[2]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[1]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_i_core[0]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[31]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[30]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[29]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[28]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[27]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[26]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[25]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[24]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[23]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[22]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[21]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[20]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[19]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[18]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[17]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[16]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[15]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[14]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[13]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[12]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[11]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[10]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[9]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[8]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[7]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[6]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[5]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[4]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[3]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[2]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[1]}] +set_load -pin_load 0.0334 [get_ports {mprj_dat_o_user[0]}] +set_load -pin_load 0.0334 [get_ports {mprj_sel_o_user[3]}] +set_load -pin_load 0.0334 [get_ports {mprj_sel_o_user[2]}] +set_load -pin_load 0.0334 [get_ports {mprj_sel_o_user[1]}] +set_load -pin_load 0.0334 [get_ports {mprj_sel_o_user[0]}] +set_load -pin_load 0.0334 [get_ports {user_irq[2]}] +set_load -pin_load 0.0334 [get_ports {user_irq[1]}] +set_load -pin_load 0.0334 [get_ports {user_irq[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {caravel_clk}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {caravel_clk2}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {caravel_rstn}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_ack_i_user}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_cyc_o_core}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_iena_wb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_stb_o_core}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_we_o_core}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[127]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[126]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[125]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[124]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[123]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[122]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[121]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[120]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[119]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[118]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[117]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[116]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[115]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[114]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[113]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[112]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[111]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[110]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[109]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[108]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[107]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[106]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[105]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[104]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[103]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[102]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[101]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[100]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[99]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[98]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[97]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[96]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[95]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[94]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[93]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[92]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[91]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[90]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[89]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[88]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[87]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[86]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[85]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[84]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[83]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[82]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[81]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[80]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[79]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[78]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[77]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[76]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[75]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[74]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[73]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[72]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[71]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[70]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[69]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[68]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[67]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[66]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[65]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[64]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[63]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[62]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[61]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[60]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[59]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[58]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[57]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[56]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[55]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[54]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[53]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[52]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[51]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[50]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[49]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[48]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[47]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[46]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[45]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[44]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[43]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[42]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[41]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[40]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[39]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[38]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[37]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[36]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[35]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[34]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[33]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[32]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_core[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[127]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[126]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[125]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[124]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[123]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[122]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[121]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[120]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[119]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[118]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[117]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[116]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[115]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[114]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[113]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[112]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[111]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[110]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[109]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[108]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[107]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[106]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[105]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[104]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[103]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[102]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[101]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[100]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[99]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[98]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[97]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[96]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[95]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[94]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[93]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[92]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[91]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[90]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[89]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[88]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[87]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[86]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[85]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[84]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[83]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[82]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[81]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[80]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[79]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[78]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[77]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[76]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[75]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[74]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[73]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[72]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[71]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[70]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[69]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[68]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[67]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[66]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[65]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[64]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[63]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[62]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[61]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[60]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[59]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[58]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[57]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[56]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[55]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[54]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[53]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[52]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[51]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[50]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[49]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[48]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[47]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[46]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[45]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[44]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[43]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[42]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[41]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[40]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[39]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[38]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[37]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[36]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[35]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[34]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[33]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[32]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_out_mprj[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[127]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[126]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[125]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[124]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[123]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[122]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[121]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[120]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[119]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[118]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[117]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[116]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[115]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[114]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[113]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[112]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[111]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[110]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[109]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[108]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[107]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[106]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[105]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[104]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[103]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[102]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[101]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[100]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[99]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[98]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[97]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[96]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[95]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[94]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[93]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[92]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[91]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[90]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[89]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[88]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[87]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[86]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[85]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[84]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[83]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[82]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[81]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[80]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[79]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[78]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[77]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[76]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[75]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[74]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[73]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[72]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[71]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[70]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[69]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[68]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[67]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[66]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[65]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[64]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[63]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[62]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[61]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[60]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[59]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[58]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[57]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[56]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[55]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[54]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[53]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[52]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[51]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[50]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[49]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[48]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[47]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[46]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[45]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[44]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[43]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[42]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[41]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[40]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[39]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[38]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[37]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[36]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[35]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[34]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[33]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[32]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_iena_mprj[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[127]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[126]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[125]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[124]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[123]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[122]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[121]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[120]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[119]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[118]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[117]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[116]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[115]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[114]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[113]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[112]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[111]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[110]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[109]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[108]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[107]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[106]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[105]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[104]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[103]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[102]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[101]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[100]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[99]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[98]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[97]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[96]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[95]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[94]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[93]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[92]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[91]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[90]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[89]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[88]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[87]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[86]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[85]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[84]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[83]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[82]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[81]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[80]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[79]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[78]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[77]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[76]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[75]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[74]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[73]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[72]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[71]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[70]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[69]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[68]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[67]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[66]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[65]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[64]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[63]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[62]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[61]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[60]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[59]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[58]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[57]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[56]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[55]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[54]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[53]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[52]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[51]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[50]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[49]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[48]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[47]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[46]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[45]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[44]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[43]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[42]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[41]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[40]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[39]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[38]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[37]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[36]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[35]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[34]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[33]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[32]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb_mprj[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_adr_o_core[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_i_user[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[31]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[30]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[29]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[28]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[27]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[26]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[25]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[24]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[23]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[22]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[21]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[20]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[19]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[18]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[17]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[16]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[15]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[14]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[13]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_dat_o_core[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_sel_o_core[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_sel_o_core[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_sel_o_core[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mprj_sel_o_core[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_irq_core[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_irq_core[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_irq_core[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_irq_ena[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_irq_ena[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_irq_ena[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/spef/mgmt_protect.spef b/spef/mgmt_protect.spef new file mode 100644 index 00000000..9c3377fe --- /dev/null +++ b/spef/mgmt_protect.spef @@ -0,0 +1,158363 @@ +*SPEF "ieee 1481-1999" +*DESIGN "mgmt_protect" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 caravel_clk +*2 caravel_clk2 +*3 caravel_rstn +*4 la_data_in_core[0] +*5 la_data_in_core[100] +*6 la_data_in_core[101] +*7 la_data_in_core[102] +*8 la_data_in_core[103] +*9 la_data_in_core[104] +*10 la_data_in_core[105] +*11 la_data_in_core[106] +*12 la_data_in_core[107] +*13 la_data_in_core[108] +*14 la_data_in_core[109] +*15 la_data_in_core[10] +*16 la_data_in_core[110] +*17 la_data_in_core[111] +*18 la_data_in_core[112] +*19 la_data_in_core[113] +*20 la_data_in_core[114] +*21 la_data_in_core[115] +*22 la_data_in_core[116] +*23 la_data_in_core[117] +*24 la_data_in_core[118] +*25 la_data_in_core[119] +*26 la_data_in_core[11] +*27 la_data_in_core[120] +*28 la_data_in_core[121] +*29 la_data_in_core[122] +*30 la_data_in_core[123] +*31 la_data_in_core[124] +*32 la_data_in_core[125] +*33 la_data_in_core[126] +*34 la_data_in_core[127] +*35 la_data_in_core[12] +*36 la_data_in_core[13] +*37 la_data_in_core[14] +*38 la_data_in_core[15] +*39 la_data_in_core[16] +*40 la_data_in_core[17] +*41 la_data_in_core[18] +*42 la_data_in_core[19] +*43 la_data_in_core[1] +*44 la_data_in_core[20] +*45 la_data_in_core[21] +*46 la_data_in_core[22] +*47 la_data_in_core[23] +*48 la_data_in_core[24] +*49 la_data_in_core[25] +*50 la_data_in_core[26] +*51 la_data_in_core[27] +*52 la_data_in_core[28] +*53 la_data_in_core[29] +*54 la_data_in_core[2] +*55 la_data_in_core[30] +*56 la_data_in_core[31] +*57 la_data_in_core[32] +*58 la_data_in_core[33] +*59 la_data_in_core[34] +*60 la_data_in_core[35] +*61 la_data_in_core[36] +*62 la_data_in_core[37] +*63 la_data_in_core[38] +*64 la_data_in_core[39] +*65 la_data_in_core[3] +*66 la_data_in_core[40] +*67 la_data_in_core[41] +*68 la_data_in_core[42] +*69 la_data_in_core[43] +*70 la_data_in_core[44] +*71 la_data_in_core[45] +*72 la_data_in_core[46] +*73 la_data_in_core[47] +*74 la_data_in_core[48] +*75 la_data_in_core[49] +*76 la_data_in_core[4] +*77 la_data_in_core[50] +*78 la_data_in_core[51] +*79 la_data_in_core[52] +*80 la_data_in_core[53] +*81 la_data_in_core[54] +*82 la_data_in_core[55] +*83 la_data_in_core[56] +*84 la_data_in_core[57] +*85 la_data_in_core[58] +*86 la_data_in_core[59] +*87 la_data_in_core[5] +*88 la_data_in_core[60] +*89 la_data_in_core[61] +*90 la_data_in_core[62] +*91 la_data_in_core[63] +*92 la_data_in_core[64] +*93 la_data_in_core[65] +*94 la_data_in_core[66] +*95 la_data_in_core[67] +*96 la_data_in_core[68] +*97 la_data_in_core[69] +*98 la_data_in_core[6] +*99 la_data_in_core[70] +*100 la_data_in_core[71] +*101 la_data_in_core[72] +*102 la_data_in_core[73] +*103 la_data_in_core[74] +*104 la_data_in_core[75] +*105 la_data_in_core[76] +*106 la_data_in_core[77] +*107 la_data_in_core[78] +*108 la_data_in_core[79] +*109 la_data_in_core[7] +*110 la_data_in_core[80] +*111 la_data_in_core[81] +*112 la_data_in_core[82] +*113 la_data_in_core[83] +*114 la_data_in_core[84] +*115 la_data_in_core[85] +*116 la_data_in_core[86] +*117 la_data_in_core[87] +*118 la_data_in_core[88] +*119 la_data_in_core[89] +*120 la_data_in_core[8] +*121 la_data_in_core[90] +*122 la_data_in_core[91] +*123 la_data_in_core[92] +*124 la_data_in_core[93] +*125 la_data_in_core[94] +*126 la_data_in_core[95] +*127 la_data_in_core[96] +*128 la_data_in_core[97] +*129 la_data_in_core[98] +*130 la_data_in_core[99] +*131 la_data_in_core[9] +*132 la_data_in_mprj[0] +*133 la_data_in_mprj[100] +*134 la_data_in_mprj[101] +*135 la_data_in_mprj[102] +*136 la_data_in_mprj[103] +*137 la_data_in_mprj[104] +*138 la_data_in_mprj[105] +*139 la_data_in_mprj[106] +*140 la_data_in_mprj[107] +*141 la_data_in_mprj[108] +*142 la_data_in_mprj[109] +*143 la_data_in_mprj[10] +*144 la_data_in_mprj[110] +*145 la_data_in_mprj[111] +*146 la_data_in_mprj[112] +*147 la_data_in_mprj[113] +*148 la_data_in_mprj[114] +*149 la_data_in_mprj[115] +*150 la_data_in_mprj[116] +*151 la_data_in_mprj[117] +*152 la_data_in_mprj[118] +*153 la_data_in_mprj[119] +*154 la_data_in_mprj[11] +*155 la_data_in_mprj[120] +*156 la_data_in_mprj[121] +*157 la_data_in_mprj[122] +*158 la_data_in_mprj[123] +*159 la_data_in_mprj[124] +*160 la_data_in_mprj[125] +*161 la_data_in_mprj[126] +*162 la_data_in_mprj[127] +*163 la_data_in_mprj[12] +*164 la_data_in_mprj[13] +*165 la_data_in_mprj[14] +*166 la_data_in_mprj[15] +*167 la_data_in_mprj[16] +*168 la_data_in_mprj[17] +*169 la_data_in_mprj[18] +*170 la_data_in_mprj[19] +*171 la_data_in_mprj[1] +*172 la_data_in_mprj[20] +*173 la_data_in_mprj[21] +*174 la_data_in_mprj[22] +*175 la_data_in_mprj[23] +*176 la_data_in_mprj[24] +*177 la_data_in_mprj[25] +*178 la_data_in_mprj[26] +*179 la_data_in_mprj[27] +*180 la_data_in_mprj[28] +*181 la_data_in_mprj[29] +*182 la_data_in_mprj[2] +*183 la_data_in_mprj[30] +*184 la_data_in_mprj[31] +*185 la_data_in_mprj[32] +*186 la_data_in_mprj[33] +*187 la_data_in_mprj[34] +*188 la_data_in_mprj[35] +*189 la_data_in_mprj[36] +*190 la_data_in_mprj[37] +*191 la_data_in_mprj[38] +*192 la_data_in_mprj[39] +*193 la_data_in_mprj[3] +*194 la_data_in_mprj[40] +*195 la_data_in_mprj[41] +*196 la_data_in_mprj[42] +*197 la_data_in_mprj[43] +*198 la_data_in_mprj[44] +*199 la_data_in_mprj[45] +*200 la_data_in_mprj[46] +*201 la_data_in_mprj[47] +*202 la_data_in_mprj[48] +*203 la_data_in_mprj[49] +*204 la_data_in_mprj[4] +*205 la_data_in_mprj[50] +*206 la_data_in_mprj[51] +*207 la_data_in_mprj[52] +*208 la_data_in_mprj[53] +*209 la_data_in_mprj[54] +*210 la_data_in_mprj[55] +*211 la_data_in_mprj[56] +*212 la_data_in_mprj[57] +*213 la_data_in_mprj[58] +*214 la_data_in_mprj[59] +*215 la_data_in_mprj[5] +*216 la_data_in_mprj[60] +*217 la_data_in_mprj[61] +*218 la_data_in_mprj[62] +*219 la_data_in_mprj[63] +*220 la_data_in_mprj[64] +*221 la_data_in_mprj[65] +*222 la_data_in_mprj[66] +*223 la_data_in_mprj[67] +*224 la_data_in_mprj[68] +*225 la_data_in_mprj[69] +*226 la_data_in_mprj[6] +*227 la_data_in_mprj[70] +*228 la_data_in_mprj[71] +*229 la_data_in_mprj[72] +*230 la_data_in_mprj[73] +*231 la_data_in_mprj[74] +*232 la_data_in_mprj[75] +*233 la_data_in_mprj[76] +*234 la_data_in_mprj[77] +*235 la_data_in_mprj[78] +*236 la_data_in_mprj[79] +*237 la_data_in_mprj[7] +*238 la_data_in_mprj[80] +*239 la_data_in_mprj[81] +*240 la_data_in_mprj[82] +*241 la_data_in_mprj[83] +*242 la_data_in_mprj[84] +*243 la_data_in_mprj[85] +*244 la_data_in_mprj[86] +*245 la_data_in_mprj[87] +*246 la_data_in_mprj[88] +*247 la_data_in_mprj[89] +*248 la_data_in_mprj[8] +*249 la_data_in_mprj[90] +*250 la_data_in_mprj[91] +*251 la_data_in_mprj[92] +*252 la_data_in_mprj[93] +*253 la_data_in_mprj[94] +*254 la_data_in_mprj[95] +*255 la_data_in_mprj[96] +*256 la_data_in_mprj[97] +*257 la_data_in_mprj[98] +*258 la_data_in_mprj[99] +*259 la_data_in_mprj[9] +*260 la_data_out_core[0] +*261 la_data_out_core[100] +*262 la_data_out_core[101] +*263 la_data_out_core[102] +*264 la_data_out_core[103] +*265 la_data_out_core[104] +*266 la_data_out_core[105] +*267 la_data_out_core[106] +*268 la_data_out_core[107] +*269 la_data_out_core[108] +*270 la_data_out_core[109] +*271 la_data_out_core[10] +*272 la_data_out_core[110] +*273 la_data_out_core[111] +*274 la_data_out_core[112] +*275 la_data_out_core[113] +*276 la_data_out_core[114] +*277 la_data_out_core[115] +*278 la_data_out_core[116] +*279 la_data_out_core[117] +*280 la_data_out_core[118] +*281 la_data_out_core[119] +*282 la_data_out_core[11] +*283 la_data_out_core[120] +*284 la_data_out_core[121] +*285 la_data_out_core[122] +*286 la_data_out_core[123] +*287 la_data_out_core[124] +*288 la_data_out_core[125] +*289 la_data_out_core[126] +*290 la_data_out_core[127] +*291 la_data_out_core[12] +*292 la_data_out_core[13] +*293 la_data_out_core[14] +*294 la_data_out_core[15] +*295 la_data_out_core[16] +*296 la_data_out_core[17] +*297 la_data_out_core[18] +*298 la_data_out_core[19] +*299 la_data_out_core[1] +*300 la_data_out_core[20] +*301 la_data_out_core[21] +*302 la_data_out_core[22] +*303 la_data_out_core[23] +*304 la_data_out_core[24] +*305 la_data_out_core[25] +*306 la_data_out_core[26] +*307 la_data_out_core[27] +*308 la_data_out_core[28] +*309 la_data_out_core[29] +*310 la_data_out_core[2] +*311 la_data_out_core[30] +*312 la_data_out_core[31] +*313 la_data_out_core[32] +*314 la_data_out_core[33] +*315 la_data_out_core[34] +*316 la_data_out_core[35] +*317 la_data_out_core[36] +*318 la_data_out_core[37] +*319 la_data_out_core[38] +*320 la_data_out_core[39] +*321 la_data_out_core[3] +*322 la_data_out_core[40] +*323 la_data_out_core[41] +*324 la_data_out_core[42] +*325 la_data_out_core[43] +*326 la_data_out_core[44] +*327 la_data_out_core[45] +*328 la_data_out_core[46] +*329 la_data_out_core[47] +*330 la_data_out_core[48] +*331 la_data_out_core[49] +*332 la_data_out_core[4] +*333 la_data_out_core[50] +*334 la_data_out_core[51] +*335 la_data_out_core[52] +*336 la_data_out_core[53] +*337 la_data_out_core[54] +*338 la_data_out_core[55] +*339 la_data_out_core[56] +*340 la_data_out_core[57] +*341 la_data_out_core[58] +*342 la_data_out_core[59] +*343 la_data_out_core[5] +*344 la_data_out_core[60] +*345 la_data_out_core[61] +*346 la_data_out_core[62] +*347 la_data_out_core[63] +*348 la_data_out_core[64] +*349 la_data_out_core[65] +*350 la_data_out_core[66] +*351 la_data_out_core[67] +*352 la_data_out_core[68] +*353 la_data_out_core[69] +*354 la_data_out_core[6] +*355 la_data_out_core[70] +*356 la_data_out_core[71] +*357 la_data_out_core[72] +*358 la_data_out_core[73] +*359 la_data_out_core[74] +*360 la_data_out_core[75] +*361 la_data_out_core[76] +*362 la_data_out_core[77] +*363 la_data_out_core[78] +*364 la_data_out_core[79] +*365 la_data_out_core[7] +*366 la_data_out_core[80] +*367 la_data_out_core[81] +*368 la_data_out_core[82] +*369 la_data_out_core[83] +*370 la_data_out_core[84] +*371 la_data_out_core[85] +*372 la_data_out_core[86] +*373 la_data_out_core[87] +*374 la_data_out_core[88] +*375 la_data_out_core[89] +*376 la_data_out_core[8] +*377 la_data_out_core[90] +*378 la_data_out_core[91] +*379 la_data_out_core[92] +*380 la_data_out_core[93] +*381 la_data_out_core[94] +*382 la_data_out_core[95] +*383 la_data_out_core[96] +*384 la_data_out_core[97] +*385 la_data_out_core[98] +*386 la_data_out_core[99] +*387 la_data_out_core[9] +*388 la_data_out_mprj[0] +*389 la_data_out_mprj[100] +*390 la_data_out_mprj[101] +*391 la_data_out_mprj[102] +*392 la_data_out_mprj[103] +*393 la_data_out_mprj[104] +*394 la_data_out_mprj[105] +*395 la_data_out_mprj[106] +*396 la_data_out_mprj[107] +*397 la_data_out_mprj[108] +*398 la_data_out_mprj[109] +*399 la_data_out_mprj[10] +*400 la_data_out_mprj[110] +*401 la_data_out_mprj[111] +*402 la_data_out_mprj[112] +*403 la_data_out_mprj[113] +*404 la_data_out_mprj[114] +*405 la_data_out_mprj[115] +*406 la_data_out_mprj[116] +*407 la_data_out_mprj[117] +*408 la_data_out_mprj[118] +*409 la_data_out_mprj[119] +*410 la_data_out_mprj[11] +*411 la_data_out_mprj[120] +*412 la_data_out_mprj[121] +*413 la_data_out_mprj[122] +*414 la_data_out_mprj[123] +*415 la_data_out_mprj[124] +*416 la_data_out_mprj[125] +*417 la_data_out_mprj[126] +*418 la_data_out_mprj[127] +*419 la_data_out_mprj[12] +*420 la_data_out_mprj[13] +*421 la_data_out_mprj[14] +*422 la_data_out_mprj[15] +*423 la_data_out_mprj[16] +*424 la_data_out_mprj[17] +*425 la_data_out_mprj[18] +*426 la_data_out_mprj[19] +*427 la_data_out_mprj[1] +*428 la_data_out_mprj[20] +*429 la_data_out_mprj[21] +*430 la_data_out_mprj[22] +*431 la_data_out_mprj[23] +*432 la_data_out_mprj[24] +*433 la_data_out_mprj[25] +*434 la_data_out_mprj[26] +*435 la_data_out_mprj[27] +*436 la_data_out_mprj[28] +*437 la_data_out_mprj[29] +*438 la_data_out_mprj[2] +*439 la_data_out_mprj[30] +*440 la_data_out_mprj[31] +*441 la_data_out_mprj[32] +*442 la_data_out_mprj[33] +*443 la_data_out_mprj[34] +*444 la_data_out_mprj[35] +*445 la_data_out_mprj[36] +*446 la_data_out_mprj[37] +*447 la_data_out_mprj[38] +*448 la_data_out_mprj[39] +*449 la_data_out_mprj[3] +*450 la_data_out_mprj[40] +*451 la_data_out_mprj[41] +*452 la_data_out_mprj[42] +*453 la_data_out_mprj[43] +*454 la_data_out_mprj[44] +*455 la_data_out_mprj[45] +*456 la_data_out_mprj[46] +*457 la_data_out_mprj[47] +*458 la_data_out_mprj[48] +*459 la_data_out_mprj[49] +*460 la_data_out_mprj[4] +*461 la_data_out_mprj[50] +*462 la_data_out_mprj[51] +*463 la_data_out_mprj[52] +*464 la_data_out_mprj[53] +*465 la_data_out_mprj[54] +*466 la_data_out_mprj[55] +*467 la_data_out_mprj[56] +*468 la_data_out_mprj[57] +*469 la_data_out_mprj[58] +*470 la_data_out_mprj[59] +*471 la_data_out_mprj[5] +*472 la_data_out_mprj[60] +*473 la_data_out_mprj[61] +*474 la_data_out_mprj[62] +*475 la_data_out_mprj[63] +*476 la_data_out_mprj[64] +*477 la_data_out_mprj[65] +*478 la_data_out_mprj[66] +*479 la_data_out_mprj[67] +*480 la_data_out_mprj[68] +*481 la_data_out_mprj[69] +*482 la_data_out_mprj[6] +*483 la_data_out_mprj[70] +*484 la_data_out_mprj[71] +*485 la_data_out_mprj[72] +*486 la_data_out_mprj[73] +*487 la_data_out_mprj[74] +*488 la_data_out_mprj[75] +*489 la_data_out_mprj[76] +*490 la_data_out_mprj[77] +*491 la_data_out_mprj[78] +*492 la_data_out_mprj[79] +*493 la_data_out_mprj[7] +*494 la_data_out_mprj[80] +*495 la_data_out_mprj[81] +*496 la_data_out_mprj[82] +*497 la_data_out_mprj[83] +*498 la_data_out_mprj[84] +*499 la_data_out_mprj[85] +*500 la_data_out_mprj[86] +*501 la_data_out_mprj[87] +*502 la_data_out_mprj[88] +*503 la_data_out_mprj[89] +*504 la_data_out_mprj[8] +*505 la_data_out_mprj[90] +*506 la_data_out_mprj[91] +*507 la_data_out_mprj[92] +*508 la_data_out_mprj[93] +*509 la_data_out_mprj[94] +*510 la_data_out_mprj[95] +*511 la_data_out_mprj[96] +*512 la_data_out_mprj[97] +*513 la_data_out_mprj[98] +*514 la_data_out_mprj[99] +*515 la_data_out_mprj[9] +*516 la_iena_mprj[0] +*517 la_iena_mprj[100] +*518 la_iena_mprj[101] +*519 la_iena_mprj[102] +*520 la_iena_mprj[103] +*521 la_iena_mprj[104] +*522 la_iena_mprj[105] +*523 la_iena_mprj[106] +*524 la_iena_mprj[107] +*525 la_iena_mprj[108] +*526 la_iena_mprj[109] +*527 la_iena_mprj[10] +*528 la_iena_mprj[110] +*529 la_iena_mprj[111] +*530 la_iena_mprj[112] +*531 la_iena_mprj[113] +*532 la_iena_mprj[114] +*533 la_iena_mprj[115] +*534 la_iena_mprj[116] +*535 la_iena_mprj[117] +*536 la_iena_mprj[118] +*537 la_iena_mprj[119] +*538 la_iena_mprj[11] +*539 la_iena_mprj[120] +*540 la_iena_mprj[121] +*541 la_iena_mprj[122] +*542 la_iena_mprj[123] +*543 la_iena_mprj[124] +*544 la_iena_mprj[125] +*545 la_iena_mprj[126] +*546 la_iena_mprj[127] +*547 la_iena_mprj[12] +*548 la_iena_mprj[13] +*549 la_iena_mprj[14] +*550 la_iena_mprj[15] +*551 la_iena_mprj[16] +*552 la_iena_mprj[17] +*553 la_iena_mprj[18] +*554 la_iena_mprj[19] +*555 la_iena_mprj[1] +*556 la_iena_mprj[20] +*557 la_iena_mprj[21] +*558 la_iena_mprj[22] +*559 la_iena_mprj[23] +*560 la_iena_mprj[24] +*561 la_iena_mprj[25] +*562 la_iena_mprj[26] +*563 la_iena_mprj[27] +*564 la_iena_mprj[28] +*565 la_iena_mprj[29] +*566 la_iena_mprj[2] +*567 la_iena_mprj[30] +*568 la_iena_mprj[31] +*569 la_iena_mprj[32] +*570 la_iena_mprj[33] +*571 la_iena_mprj[34] +*572 la_iena_mprj[35] +*573 la_iena_mprj[36] +*574 la_iena_mprj[37] +*575 la_iena_mprj[38] +*576 la_iena_mprj[39] +*577 la_iena_mprj[3] +*578 la_iena_mprj[40] +*579 la_iena_mprj[41] +*580 la_iena_mprj[42] +*581 la_iena_mprj[43] +*582 la_iena_mprj[44] +*583 la_iena_mprj[45] +*584 la_iena_mprj[46] +*585 la_iena_mprj[47] +*586 la_iena_mprj[48] +*587 la_iena_mprj[49] +*588 la_iena_mprj[4] +*589 la_iena_mprj[50] +*590 la_iena_mprj[51] +*591 la_iena_mprj[52] +*592 la_iena_mprj[53] +*593 la_iena_mprj[54] +*594 la_iena_mprj[55] +*595 la_iena_mprj[56] +*596 la_iena_mprj[57] +*597 la_iena_mprj[58] +*598 la_iena_mprj[59] +*599 la_iena_mprj[5] +*600 la_iena_mprj[60] +*601 la_iena_mprj[61] +*602 la_iena_mprj[62] +*603 la_iena_mprj[63] +*604 la_iena_mprj[64] +*605 la_iena_mprj[65] +*606 la_iena_mprj[66] +*607 la_iena_mprj[67] +*608 la_iena_mprj[68] +*609 la_iena_mprj[69] +*610 la_iena_mprj[6] +*611 la_iena_mprj[70] +*612 la_iena_mprj[71] +*613 la_iena_mprj[72] +*614 la_iena_mprj[73] +*615 la_iena_mprj[74] +*616 la_iena_mprj[75] +*617 la_iena_mprj[76] +*618 la_iena_mprj[77] +*619 la_iena_mprj[78] +*620 la_iena_mprj[79] +*621 la_iena_mprj[7] +*622 la_iena_mprj[80] +*623 la_iena_mprj[81] +*624 la_iena_mprj[82] +*625 la_iena_mprj[83] +*626 la_iena_mprj[84] +*627 la_iena_mprj[85] +*628 la_iena_mprj[86] +*629 la_iena_mprj[87] +*630 la_iena_mprj[88] +*631 la_iena_mprj[89] +*632 la_iena_mprj[8] +*633 la_iena_mprj[90] +*634 la_iena_mprj[91] +*635 la_iena_mprj[92] +*636 la_iena_mprj[93] +*637 la_iena_mprj[94] +*638 la_iena_mprj[95] +*639 la_iena_mprj[96] +*640 la_iena_mprj[97] +*641 la_iena_mprj[98] +*642 la_iena_mprj[99] +*643 la_iena_mprj[9] +*644 la_oenb_core[0] +*645 la_oenb_core[100] +*646 la_oenb_core[101] +*647 la_oenb_core[102] +*648 la_oenb_core[103] +*649 la_oenb_core[104] +*650 la_oenb_core[105] +*651 la_oenb_core[106] +*652 la_oenb_core[107] +*653 la_oenb_core[108] +*654 la_oenb_core[109] +*655 la_oenb_core[10] +*656 la_oenb_core[110] +*657 la_oenb_core[111] +*658 la_oenb_core[112] +*659 la_oenb_core[113] +*660 la_oenb_core[114] +*661 la_oenb_core[115] +*662 la_oenb_core[116] +*663 la_oenb_core[117] +*664 la_oenb_core[118] +*665 la_oenb_core[119] +*666 la_oenb_core[11] +*667 la_oenb_core[120] +*668 la_oenb_core[121] +*669 la_oenb_core[122] +*670 la_oenb_core[123] +*671 la_oenb_core[124] +*672 la_oenb_core[125] +*673 la_oenb_core[126] +*674 la_oenb_core[127] +*675 la_oenb_core[12] +*676 la_oenb_core[13] +*677 la_oenb_core[14] +*678 la_oenb_core[15] +*679 la_oenb_core[16] +*680 la_oenb_core[17] +*681 la_oenb_core[18] +*682 la_oenb_core[19] +*683 la_oenb_core[1] +*684 la_oenb_core[20] +*685 la_oenb_core[21] +*686 la_oenb_core[22] +*687 la_oenb_core[23] +*688 la_oenb_core[24] +*689 la_oenb_core[25] +*690 la_oenb_core[26] +*691 la_oenb_core[27] +*692 la_oenb_core[28] +*693 la_oenb_core[29] +*694 la_oenb_core[2] +*695 la_oenb_core[30] +*696 la_oenb_core[31] +*697 la_oenb_core[32] +*698 la_oenb_core[33] +*699 la_oenb_core[34] +*700 la_oenb_core[35] +*701 la_oenb_core[36] +*702 la_oenb_core[37] +*703 la_oenb_core[38] +*704 la_oenb_core[39] +*705 la_oenb_core[3] +*706 la_oenb_core[40] +*707 la_oenb_core[41] +*708 la_oenb_core[42] +*709 la_oenb_core[43] +*710 la_oenb_core[44] +*711 la_oenb_core[45] +*712 la_oenb_core[46] +*713 la_oenb_core[47] +*714 la_oenb_core[48] +*715 la_oenb_core[49] +*716 la_oenb_core[4] +*717 la_oenb_core[50] +*718 la_oenb_core[51] +*719 la_oenb_core[52] +*720 la_oenb_core[53] +*721 la_oenb_core[54] +*722 la_oenb_core[55] +*723 la_oenb_core[56] +*724 la_oenb_core[57] +*725 la_oenb_core[58] +*726 la_oenb_core[59] +*727 la_oenb_core[5] +*728 la_oenb_core[60] +*729 la_oenb_core[61] +*730 la_oenb_core[62] +*731 la_oenb_core[63] +*732 la_oenb_core[64] +*733 la_oenb_core[65] +*734 la_oenb_core[66] +*735 la_oenb_core[67] +*736 la_oenb_core[68] +*737 la_oenb_core[69] +*738 la_oenb_core[6] +*739 la_oenb_core[70] +*740 la_oenb_core[71] +*741 la_oenb_core[72] +*742 la_oenb_core[73] +*743 la_oenb_core[74] +*744 la_oenb_core[75] +*745 la_oenb_core[76] +*746 la_oenb_core[77] +*747 la_oenb_core[78] +*748 la_oenb_core[79] +*749 la_oenb_core[7] +*750 la_oenb_core[80] +*751 la_oenb_core[81] +*752 la_oenb_core[82] +*753 la_oenb_core[83] +*754 la_oenb_core[84] +*755 la_oenb_core[85] +*756 la_oenb_core[86] +*757 la_oenb_core[87] +*758 la_oenb_core[88] +*759 la_oenb_core[89] +*760 la_oenb_core[8] +*761 la_oenb_core[90] +*762 la_oenb_core[91] +*763 la_oenb_core[92] +*764 la_oenb_core[93] +*765 la_oenb_core[94] +*766 la_oenb_core[95] +*767 la_oenb_core[96] +*768 la_oenb_core[97] +*769 la_oenb_core[98] +*770 la_oenb_core[99] +*771 la_oenb_core[9] +*772 la_oenb_mprj[0] +*773 la_oenb_mprj[100] +*774 la_oenb_mprj[101] +*775 la_oenb_mprj[102] +*776 la_oenb_mprj[103] +*777 la_oenb_mprj[104] +*778 la_oenb_mprj[105] +*779 la_oenb_mprj[106] +*780 la_oenb_mprj[107] +*781 la_oenb_mprj[108] +*782 la_oenb_mprj[109] +*783 la_oenb_mprj[10] +*784 la_oenb_mprj[110] +*785 la_oenb_mprj[111] +*786 la_oenb_mprj[112] +*787 la_oenb_mprj[113] +*788 la_oenb_mprj[114] +*789 la_oenb_mprj[115] +*790 la_oenb_mprj[116] +*791 la_oenb_mprj[117] +*792 la_oenb_mprj[118] +*793 la_oenb_mprj[119] +*794 la_oenb_mprj[11] +*795 la_oenb_mprj[120] +*796 la_oenb_mprj[121] +*797 la_oenb_mprj[122] +*798 la_oenb_mprj[123] +*799 la_oenb_mprj[124] +*800 la_oenb_mprj[125] +*801 la_oenb_mprj[126] +*802 la_oenb_mprj[127] +*803 la_oenb_mprj[12] +*804 la_oenb_mprj[13] +*805 la_oenb_mprj[14] +*806 la_oenb_mprj[15] +*807 la_oenb_mprj[16] +*808 la_oenb_mprj[17] +*809 la_oenb_mprj[18] +*810 la_oenb_mprj[19] +*811 la_oenb_mprj[1] +*812 la_oenb_mprj[20] +*813 la_oenb_mprj[21] +*814 la_oenb_mprj[22] +*815 la_oenb_mprj[23] +*816 la_oenb_mprj[24] +*817 la_oenb_mprj[25] +*818 la_oenb_mprj[26] +*819 la_oenb_mprj[27] +*820 la_oenb_mprj[28] +*821 la_oenb_mprj[29] +*822 la_oenb_mprj[2] +*823 la_oenb_mprj[30] +*824 la_oenb_mprj[31] +*825 la_oenb_mprj[32] +*826 la_oenb_mprj[33] +*827 la_oenb_mprj[34] +*828 la_oenb_mprj[35] +*829 la_oenb_mprj[36] +*830 la_oenb_mprj[37] +*831 la_oenb_mprj[38] +*832 la_oenb_mprj[39] +*833 la_oenb_mprj[3] +*834 la_oenb_mprj[40] +*835 la_oenb_mprj[41] +*836 la_oenb_mprj[42] +*837 la_oenb_mprj[43] +*838 la_oenb_mprj[44] +*839 la_oenb_mprj[45] +*840 la_oenb_mprj[46] +*841 la_oenb_mprj[47] +*842 la_oenb_mprj[48] +*843 la_oenb_mprj[49] +*844 la_oenb_mprj[4] +*845 la_oenb_mprj[50] +*846 la_oenb_mprj[51] +*847 la_oenb_mprj[52] +*848 la_oenb_mprj[53] +*849 la_oenb_mprj[54] +*850 la_oenb_mprj[55] +*851 la_oenb_mprj[56] +*852 la_oenb_mprj[57] +*853 la_oenb_mprj[58] +*854 la_oenb_mprj[59] +*855 la_oenb_mprj[5] +*856 la_oenb_mprj[60] +*857 la_oenb_mprj[61] +*858 la_oenb_mprj[62] +*859 la_oenb_mprj[63] +*860 la_oenb_mprj[64] +*861 la_oenb_mprj[65] +*862 la_oenb_mprj[66] +*863 la_oenb_mprj[67] +*864 la_oenb_mprj[68] +*865 la_oenb_mprj[69] +*866 la_oenb_mprj[6] +*867 la_oenb_mprj[70] +*868 la_oenb_mprj[71] +*869 la_oenb_mprj[72] +*870 la_oenb_mprj[73] +*871 la_oenb_mprj[74] +*872 la_oenb_mprj[75] +*873 la_oenb_mprj[76] +*874 la_oenb_mprj[77] +*875 la_oenb_mprj[78] +*876 la_oenb_mprj[79] +*877 la_oenb_mprj[7] +*878 la_oenb_mprj[80] +*879 la_oenb_mprj[81] +*880 la_oenb_mprj[82] +*881 la_oenb_mprj[83] +*882 la_oenb_mprj[84] +*883 la_oenb_mprj[85] +*884 la_oenb_mprj[86] +*885 la_oenb_mprj[87] +*886 la_oenb_mprj[88] +*887 la_oenb_mprj[89] +*888 la_oenb_mprj[8] +*889 la_oenb_mprj[90] +*890 la_oenb_mprj[91] +*891 la_oenb_mprj[92] +*892 la_oenb_mprj[93] +*893 la_oenb_mprj[94] +*894 la_oenb_mprj[95] +*895 la_oenb_mprj[96] +*896 la_oenb_mprj[97] +*897 la_oenb_mprj[98] +*898 la_oenb_mprj[99] +*899 la_oenb_mprj[9] +*900 mprj_ack_i_core +*901 mprj_ack_i_user +*902 mprj_adr_o_core[0] +*903 mprj_adr_o_core[10] +*904 mprj_adr_o_core[11] +*905 mprj_adr_o_core[12] +*906 mprj_adr_o_core[13] +*907 mprj_adr_o_core[14] +*908 mprj_adr_o_core[15] +*909 mprj_adr_o_core[16] +*910 mprj_adr_o_core[17] +*911 mprj_adr_o_core[18] +*912 mprj_adr_o_core[19] +*913 mprj_adr_o_core[1] +*914 mprj_adr_o_core[20] +*915 mprj_adr_o_core[21] +*916 mprj_adr_o_core[22] +*917 mprj_adr_o_core[23] +*918 mprj_adr_o_core[24] +*919 mprj_adr_o_core[25] +*920 mprj_adr_o_core[26] +*921 mprj_adr_o_core[27] +*922 mprj_adr_o_core[28] +*923 mprj_adr_o_core[29] +*924 mprj_adr_o_core[2] +*925 mprj_adr_o_core[30] +*926 mprj_adr_o_core[31] +*927 mprj_adr_o_core[3] +*928 mprj_adr_o_core[4] +*929 mprj_adr_o_core[5] +*930 mprj_adr_o_core[6] +*931 mprj_adr_o_core[7] +*932 mprj_adr_o_core[8] +*933 mprj_adr_o_core[9] +*934 mprj_adr_o_user[0] +*935 mprj_adr_o_user[10] +*936 mprj_adr_o_user[11] +*937 mprj_adr_o_user[12] +*938 mprj_adr_o_user[13] +*939 mprj_adr_o_user[14] +*940 mprj_adr_o_user[15] +*941 mprj_adr_o_user[16] +*942 mprj_adr_o_user[17] +*943 mprj_adr_o_user[18] +*944 mprj_adr_o_user[19] +*945 mprj_adr_o_user[1] +*946 mprj_adr_o_user[20] +*947 mprj_adr_o_user[21] +*948 mprj_adr_o_user[22] +*949 mprj_adr_o_user[23] +*950 mprj_adr_o_user[24] +*951 mprj_adr_o_user[25] +*952 mprj_adr_o_user[26] +*953 mprj_adr_o_user[27] +*954 mprj_adr_o_user[28] +*955 mprj_adr_o_user[29] +*956 mprj_adr_o_user[2] +*957 mprj_adr_o_user[30] +*958 mprj_adr_o_user[31] +*959 mprj_adr_o_user[3] +*960 mprj_adr_o_user[4] +*961 mprj_adr_o_user[5] +*962 mprj_adr_o_user[6] +*963 mprj_adr_o_user[7] +*964 mprj_adr_o_user[8] +*965 mprj_adr_o_user[9] +*966 mprj_cyc_o_core +*967 mprj_cyc_o_user +*968 mprj_dat_i_core[0] +*969 mprj_dat_i_core[10] +*970 mprj_dat_i_core[11] +*971 mprj_dat_i_core[12] +*972 mprj_dat_i_core[13] +*973 mprj_dat_i_core[14] +*974 mprj_dat_i_core[15] +*975 mprj_dat_i_core[16] +*976 mprj_dat_i_core[17] +*977 mprj_dat_i_core[18] +*978 mprj_dat_i_core[19] +*979 mprj_dat_i_core[1] +*980 mprj_dat_i_core[20] +*981 mprj_dat_i_core[21] +*982 mprj_dat_i_core[22] +*983 mprj_dat_i_core[23] +*984 mprj_dat_i_core[24] +*985 mprj_dat_i_core[25] +*986 mprj_dat_i_core[26] +*987 mprj_dat_i_core[27] +*988 mprj_dat_i_core[28] +*989 mprj_dat_i_core[29] +*990 mprj_dat_i_core[2] +*991 mprj_dat_i_core[30] +*992 mprj_dat_i_core[31] +*993 mprj_dat_i_core[3] +*994 mprj_dat_i_core[4] +*995 mprj_dat_i_core[5] +*996 mprj_dat_i_core[6] +*997 mprj_dat_i_core[7] +*998 mprj_dat_i_core[8] +*999 mprj_dat_i_core[9] +*1000 mprj_dat_i_user[0] +*1001 mprj_dat_i_user[10] +*1002 mprj_dat_i_user[11] +*1003 mprj_dat_i_user[12] +*1004 mprj_dat_i_user[13] +*1005 mprj_dat_i_user[14] +*1006 mprj_dat_i_user[15] +*1007 mprj_dat_i_user[16] +*1008 mprj_dat_i_user[17] +*1009 mprj_dat_i_user[18] +*1010 mprj_dat_i_user[19] +*1011 mprj_dat_i_user[1] +*1012 mprj_dat_i_user[20] +*1013 mprj_dat_i_user[21] +*1014 mprj_dat_i_user[22] +*1015 mprj_dat_i_user[23] +*1016 mprj_dat_i_user[24] +*1017 mprj_dat_i_user[25] +*1018 mprj_dat_i_user[26] +*1019 mprj_dat_i_user[27] +*1020 mprj_dat_i_user[28] +*1021 mprj_dat_i_user[29] +*1022 mprj_dat_i_user[2] +*1023 mprj_dat_i_user[30] +*1024 mprj_dat_i_user[31] +*1025 mprj_dat_i_user[3] +*1026 mprj_dat_i_user[4] +*1027 mprj_dat_i_user[5] +*1028 mprj_dat_i_user[6] +*1029 mprj_dat_i_user[7] +*1030 mprj_dat_i_user[8] +*1031 mprj_dat_i_user[9] +*1032 mprj_dat_o_core[0] +*1033 mprj_dat_o_core[10] +*1034 mprj_dat_o_core[11] +*1035 mprj_dat_o_core[12] +*1036 mprj_dat_o_core[13] +*1037 mprj_dat_o_core[14] +*1038 mprj_dat_o_core[15] +*1039 mprj_dat_o_core[16] +*1040 mprj_dat_o_core[17] +*1041 mprj_dat_o_core[18] +*1042 mprj_dat_o_core[19] +*1043 mprj_dat_o_core[1] +*1044 mprj_dat_o_core[20] +*1045 mprj_dat_o_core[21] +*1046 mprj_dat_o_core[22] +*1047 mprj_dat_o_core[23] +*1048 mprj_dat_o_core[24] +*1049 mprj_dat_o_core[25] +*1050 mprj_dat_o_core[26] +*1051 mprj_dat_o_core[27] +*1052 mprj_dat_o_core[28] +*1053 mprj_dat_o_core[29] +*1054 mprj_dat_o_core[2] +*1055 mprj_dat_o_core[30] +*1056 mprj_dat_o_core[31] +*1057 mprj_dat_o_core[3] +*1058 mprj_dat_o_core[4] +*1059 mprj_dat_o_core[5] +*1060 mprj_dat_o_core[6] +*1061 mprj_dat_o_core[7] +*1062 mprj_dat_o_core[8] +*1063 mprj_dat_o_core[9] +*1064 mprj_dat_o_user[0] +*1065 mprj_dat_o_user[10] +*1066 mprj_dat_o_user[11] +*1067 mprj_dat_o_user[12] +*1068 mprj_dat_o_user[13] +*1069 mprj_dat_o_user[14] +*1070 mprj_dat_o_user[15] +*1071 mprj_dat_o_user[16] +*1072 mprj_dat_o_user[17] +*1073 mprj_dat_o_user[18] +*1074 mprj_dat_o_user[19] +*1075 mprj_dat_o_user[1] +*1076 mprj_dat_o_user[20] +*1077 mprj_dat_o_user[21] +*1078 mprj_dat_o_user[22] +*1079 mprj_dat_o_user[23] +*1080 mprj_dat_o_user[24] +*1081 mprj_dat_o_user[25] +*1082 mprj_dat_o_user[26] +*1083 mprj_dat_o_user[27] +*1084 mprj_dat_o_user[28] +*1085 mprj_dat_o_user[29] +*1086 mprj_dat_o_user[2] +*1087 mprj_dat_o_user[30] +*1088 mprj_dat_o_user[31] +*1089 mprj_dat_o_user[3] +*1090 mprj_dat_o_user[4] +*1091 mprj_dat_o_user[5] +*1092 mprj_dat_o_user[6] +*1093 mprj_dat_o_user[7] +*1094 mprj_dat_o_user[8] +*1095 mprj_dat_o_user[9] +*1096 mprj_iena_wb +*1097 mprj_sel_o_core[0] +*1098 mprj_sel_o_core[1] +*1099 mprj_sel_o_core[2] +*1100 mprj_sel_o_core[3] +*1101 mprj_sel_o_user[0] +*1102 mprj_sel_o_user[1] +*1103 mprj_sel_o_user[2] +*1104 mprj_sel_o_user[3] +*1105 mprj_stb_o_core +*1106 mprj_stb_o_user +*1107 mprj_we_o_core +*1108 mprj_we_o_user +*1109 user1_vcc_powergood +*1110 user1_vdd_powergood +*1111 user2_vcc_powergood +*1112 user2_vdd_powergood +*1113 user_clock +*1114 user_clock2 +*1115 user_irq[0] +*1116 user_irq[1] +*1117 user_irq[2] +*1118 user_irq_core[0] +*1119 user_irq_core[1] +*1120 user_irq_core[2] +*1121 user_irq_ena[0] +*1122 user_irq_ena[1] +*1123 user_irq_ena[2] +*1124 user_reset +*1135 _000_ +*1136 _001_ +*1137 _002_ +*1138 _003_ +*1139 _004_ +*1140 _005_ +*1141 _006_ +*1142 _007_ +*1143 _008_ +*1144 _009_ +*1145 _010_ +*1146 _011_ +*1147 _012_ +*1148 _013_ +*1149 _014_ +*1150 _015_ +*1151 _016_ +*1152 _017_ +*1153 _018_ +*1154 _019_ +*1155 _020_ +*1156 _021_ +*1157 _022_ +*1158 _023_ +*1159 _024_ +*1160 _025_ +*1161 _026_ +*1162 _027_ +*1163 _028_ +*1164 _029_ +*1165 _030_ +*1166 _031_ +*1167 _032_ +*1168 _033_ +*1169 _034_ +*1170 _035_ +*1171 _036_ +*1172 _037_ +*1173 _038_ +*1174 _039_ +*1175 _040_ +*1176 _041_ +*1177 _042_ +*1178 _043_ +*1179 _044_ +*1180 _045_ +*1181 _046_ +*1182 _047_ +*1183 _048_ +*1184 _049_ +*1185 _050_ +*1186 _051_ +*1187 _052_ +*1188 _053_ +*1189 _054_ +*1190 _055_ +*1191 _056_ +*1192 _057_ +*1193 _058_ +*1194 _059_ +*1195 _060_ +*1196 _061_ +*1197 _062_ +*1198 _063_ +*1199 _064_ +*1200 _065_ +*1201 _066_ +*1202 _067_ +*1203 _068_ +*1204 _069_ +*1205 _070_ +*1206 _071_ +*1207 _072_ +*1208 _073_ +*1209 _074_ +*1210 _075_ +*1211 _076_ +*1212 _077_ +*1213 _078_ +*1214 _079_ +*1215 _080_ +*1216 _081_ +*1217 _082_ +*1218 _083_ +*1219 _084_ +*1220 _085_ +*1221 _086_ +*1222 _087_ +*1223 _088_ +*1224 _089_ +*1225 _090_ +*1226 _091_ +*1227 _092_ +*1228 _093_ +*1229 _094_ +*1230 _095_ +*1231 _096_ +*1232 _097_ +*1233 _098_ +*1234 _099_ +*1235 _100_ +*1236 _101_ +*1237 _102_ +*1238 _103_ +*1239 _104_ +*1240 _105_ +*1241 _106_ +*1242 _107_ +*1243 _108_ +*1244 _109_ +*1245 _110_ +*1246 _111_ +*1247 _112_ +*1248 _113_ +*1249 _114_ +*1250 _115_ +*1251 _116_ +*1252 _117_ +*1253 _118_ +*1254 _119_ +*1255 _120_ +*1256 _121_ +*1257 _122_ +*1258 _123_ +*1259 _124_ +*1260 _125_ +*1261 _126_ +*1262 _127_ +*1263 _128_ +*1264 _129_ +*1265 _130_ +*1266 _131_ +*1267 _132_ +*1268 _133_ +*1269 _134_ +*1270 _135_ +*1271 _136_ +*1272 _137_ +*1273 _138_ +*1274 _139_ +*1275 _140_ +*1276 _141_ +*1277 _142_ +*1278 _143_ +*1279 _144_ +*1280 _145_ +*1281 _146_ +*1282 _147_ +*1283 _148_ +*1284 _149_ +*1285 _150_ +*1286 _151_ +*1287 _152_ +*1288 _153_ +*1289 _154_ +*1290 _155_ +*1291 _156_ +*1292 _157_ +*1293 _158_ +*1294 _159_ +*1295 _160_ +*1296 _161_ +*1297 _162_ +*1298 _163_ +*1299 _164_ +*1300 _165_ +*1301 _166_ +*1302 _167_ +*1303 _168_ +*1304 _169_ +*1305 _170_ +*1306 _171_ +*1307 _172_ +*1308 _173_ +*1309 _174_ +*1310 _175_ +*1311 _176_ +*1312 _177_ +*1313 _178_ +*1314 _179_ +*1315 _180_ +*1316 _181_ +*1317 _182_ +*1318 _183_ +*1319 _184_ +*1320 _185_ +*1321 _186_ +*1322 _187_ +*1323 _188_ +*1324 _189_ +*1325 _190_ +*1326 _191_ +*1327 _192_ +*1328 _193_ +*1329 _194_ +*1330 _195_ +*1331 _196_ +*1332 _197_ +*1333 _198_ +*1334 _199_ +*1335 _200_ +*1336 _201_ +*1337 _202_ +*1338 _203_ +*1339 _204_ +*1340 _205_ +*1341 _206_ +*1342 _207_ +*1343 _208_ +*1344 _209_ +*1345 _210_ +*1346 _211_ +*1347 _212_ +*1348 _213_ +*1349 _214_ +*1350 _215_ +*1351 _216_ +*1352 _217_ +*1353 _218_ +*1354 _219_ +*1355 _220_ +*1356 _221_ +*1357 _222_ +*1358 _223_ +*1359 _224_ +*1360 _225_ +*1361 _226_ +*1362 _227_ +*1363 _228_ +*1364 _229_ +*1365 _230_ +*1366 _231_ +*1367 _232_ +*1368 _233_ +*1369 _234_ +*1370 _235_ +*1371 _236_ +*1372 _237_ +*1373 _238_ +*1374 _239_ +*1375 _240_ +*1376 _241_ +*1377 _242_ +*1378 _243_ +*1379 _244_ +*1380 _245_ +*1381 _246_ +*1382 _247_ +*1383 _248_ +*1384 _249_ +*1385 _250_ +*1386 _251_ +*1387 _252_ +*1388 _253_ +*1389 _254_ +*1390 _255_ +*1391 _256_ +*1392 _257_ +*1393 _258_ +*1394 _259_ +*1395 _260_ +*1396 _261_ +*1397 _262_ +*1398 _263_ +*1399 _264_ +*1400 _265_ +*1401 _266_ +*1402 _267_ +*1403 _268_ +*1404 _269_ +*1405 _270_ +*1406 _271_ +*1407 _272_ +*1408 _273_ +*1409 _274_ +*1410 _275_ +*1411 _276_ +*1412 _277_ +*1413 _278_ +*1414 _279_ +*1415 _280_ +*1416 _281_ +*1417 _282_ +*1418 _283_ +*1419 _284_ +*1420 _285_ +*1421 _286_ +*1422 _287_ +*1423 _288_ +*1424 _289_ +*1425 _290_ +*1426 _291_ +*1427 _292_ +*1428 _293_ +*1429 _294_ +*1430 _295_ +*1431 _296_ +*1432 _297_ +*1433 _298_ +*1434 _299_ +*1435 _300_ +*1436 _301_ +*1437 _302_ +*1438 _303_ +*1439 _304_ +*1440 _305_ +*1441 _306_ +*1442 _307_ +*1443 _308_ +*1444 _309_ +*1445 _310_ +*1446 _311_ +*1447 _312_ +*1448 _313_ +*1449 _314_ +*1450 _315_ +*1451 _316_ +*1452 _317_ +*1453 _318_ +*1454 _319_ +*1455 _320_ +*1456 _321_ +*1457 _322_ +*1458 _323_ +*1459 _324_ +*1460 _325_ +*1461 _326_ +*1462 _327_ +*1463 _328_ +*1464 la_data_in_enable\[0\] +*1465 la_data_in_enable\[100\] +*1466 la_data_in_enable\[101\] +*1467 la_data_in_enable\[102\] +*1468 la_data_in_enable\[103\] +*1469 la_data_in_enable\[104\] +*1470 la_data_in_enable\[105\] +*1471 la_data_in_enable\[106\] +*1472 la_data_in_enable\[107\] +*1473 la_data_in_enable\[108\] +*1474 la_data_in_enable\[109\] +*1475 la_data_in_enable\[10\] +*1476 la_data_in_enable\[110\] +*1477 la_data_in_enable\[111\] +*1478 la_data_in_enable\[112\] +*1479 la_data_in_enable\[113\] +*1480 la_data_in_enable\[114\] +*1481 la_data_in_enable\[115\] +*1482 la_data_in_enable\[116\] +*1483 la_data_in_enable\[117\] +*1484 la_data_in_enable\[118\] +*1485 la_data_in_enable\[119\] +*1486 la_data_in_enable\[11\] +*1487 la_data_in_enable\[120\] +*1488 la_data_in_enable\[121\] +*1489 la_data_in_enable\[122\] +*1490 la_data_in_enable\[123\] +*1491 la_data_in_enable\[124\] +*1492 la_data_in_enable\[125\] +*1493 la_data_in_enable\[126\] +*1494 la_data_in_enable\[127\] +*1495 la_data_in_enable\[12\] +*1496 la_data_in_enable\[13\] +*1497 la_data_in_enable\[14\] +*1498 la_data_in_enable\[15\] +*1499 la_data_in_enable\[16\] +*1500 la_data_in_enable\[17\] +*1501 la_data_in_enable\[18\] +*1502 la_data_in_enable\[19\] +*1503 la_data_in_enable\[1\] +*1504 la_data_in_enable\[20\] +*1505 la_data_in_enable\[21\] +*1506 la_data_in_enable\[22\] +*1507 la_data_in_enable\[23\] +*1508 la_data_in_enable\[24\] +*1509 la_data_in_enable\[25\] +*1510 la_data_in_enable\[26\] +*1511 la_data_in_enable\[27\] +*1512 la_data_in_enable\[28\] +*1513 la_data_in_enable\[29\] +*1514 la_data_in_enable\[2\] +*1515 la_data_in_enable\[30\] +*1516 la_data_in_enable\[31\] +*1517 la_data_in_enable\[32\] +*1518 la_data_in_enable\[33\] +*1519 la_data_in_enable\[34\] +*1520 la_data_in_enable\[35\] +*1521 la_data_in_enable\[36\] +*1522 la_data_in_enable\[37\] +*1523 la_data_in_enable\[38\] +*1524 la_data_in_enable\[39\] +*1525 la_data_in_enable\[3\] +*1526 la_data_in_enable\[40\] +*1527 la_data_in_enable\[41\] +*1528 la_data_in_enable\[42\] +*1529 la_data_in_enable\[43\] +*1530 la_data_in_enable\[44\] +*1531 la_data_in_enable\[45\] +*1532 la_data_in_enable\[46\] +*1533 la_data_in_enable\[47\] +*1534 la_data_in_enable\[48\] +*1535 la_data_in_enable\[49\] +*1536 la_data_in_enable\[4\] +*1537 la_data_in_enable\[50\] +*1538 la_data_in_enable\[51\] +*1539 la_data_in_enable\[52\] +*1540 la_data_in_enable\[53\] +*1541 la_data_in_enable\[54\] +*1542 la_data_in_enable\[55\] +*1543 la_data_in_enable\[56\] +*1544 la_data_in_enable\[57\] +*1545 la_data_in_enable\[58\] +*1546 la_data_in_enable\[59\] +*1547 la_data_in_enable\[5\] +*1548 la_data_in_enable\[60\] +*1549 la_data_in_enable\[61\] +*1550 la_data_in_enable\[62\] +*1551 la_data_in_enable\[63\] +*1552 la_data_in_enable\[64\] +*1553 la_data_in_enable\[65\] +*1554 la_data_in_enable\[66\] +*1555 la_data_in_enable\[67\] +*1556 la_data_in_enable\[68\] +*1557 la_data_in_enable\[69\] +*1558 la_data_in_enable\[6\] +*1559 la_data_in_enable\[70\] +*1560 la_data_in_enable\[71\] +*1561 la_data_in_enable\[72\] +*1562 la_data_in_enable\[73\] +*1563 la_data_in_enable\[74\] +*1564 la_data_in_enable\[75\] +*1565 la_data_in_enable\[76\] +*1566 la_data_in_enable\[77\] +*1567 la_data_in_enable\[78\] +*1568 la_data_in_enable\[79\] +*1569 la_data_in_enable\[7\] +*1570 la_data_in_enable\[80\] +*1571 la_data_in_enable\[81\] +*1572 la_data_in_enable\[82\] +*1573 la_data_in_enable\[83\] +*1574 la_data_in_enable\[84\] +*1575 la_data_in_enable\[85\] +*1576 la_data_in_enable\[86\] +*1577 la_data_in_enable\[87\] +*1578 la_data_in_enable\[88\] +*1579 la_data_in_enable\[89\] +*1580 la_data_in_enable\[8\] +*1581 la_data_in_enable\[90\] +*1582 la_data_in_enable\[91\] +*1583 la_data_in_enable\[92\] +*1584 la_data_in_enable\[93\] +*1585 la_data_in_enable\[94\] +*1586 la_data_in_enable\[95\] +*1587 la_data_in_enable\[96\] +*1588 la_data_in_enable\[97\] +*1589 la_data_in_enable\[98\] +*1590 la_data_in_enable\[99\] +*1591 la_data_in_enable\[9\] +*1592 la_data_in_mprj_bar\[0\] +*1593 la_data_in_mprj_bar\[100\] +*1594 la_data_in_mprj_bar\[101\] +*1595 la_data_in_mprj_bar\[102\] +*1596 la_data_in_mprj_bar\[103\] +*1597 la_data_in_mprj_bar\[104\] +*1598 la_data_in_mprj_bar\[105\] +*1599 la_data_in_mprj_bar\[106\] +*1600 la_data_in_mprj_bar\[107\] +*1601 la_data_in_mprj_bar\[108\] +*1602 la_data_in_mprj_bar\[109\] +*1603 la_data_in_mprj_bar\[10\] +*1604 la_data_in_mprj_bar\[110\] +*1605 la_data_in_mprj_bar\[111\] +*1606 la_data_in_mprj_bar\[112\] +*1607 la_data_in_mprj_bar\[113\] +*1608 la_data_in_mprj_bar\[114\] +*1609 la_data_in_mprj_bar\[115\] +*1610 la_data_in_mprj_bar\[116\] +*1611 la_data_in_mprj_bar\[117\] +*1612 la_data_in_mprj_bar\[118\] +*1613 la_data_in_mprj_bar\[119\] +*1614 la_data_in_mprj_bar\[11\] +*1615 la_data_in_mprj_bar\[120\] +*1616 la_data_in_mprj_bar\[121\] +*1617 la_data_in_mprj_bar\[122\] +*1618 la_data_in_mprj_bar\[123\] +*1619 la_data_in_mprj_bar\[124\] +*1620 la_data_in_mprj_bar\[125\] +*1621 la_data_in_mprj_bar\[126\] +*1622 la_data_in_mprj_bar\[127\] +*1623 la_data_in_mprj_bar\[12\] +*1624 la_data_in_mprj_bar\[13\] +*1625 la_data_in_mprj_bar\[14\] +*1626 la_data_in_mprj_bar\[15\] +*1627 la_data_in_mprj_bar\[16\] +*1628 la_data_in_mprj_bar\[17\] +*1629 la_data_in_mprj_bar\[18\] +*1630 la_data_in_mprj_bar\[19\] +*1631 la_data_in_mprj_bar\[1\] +*1632 la_data_in_mprj_bar\[20\] +*1633 la_data_in_mprj_bar\[21\] +*1634 la_data_in_mprj_bar\[22\] +*1635 la_data_in_mprj_bar\[23\] +*1636 la_data_in_mprj_bar\[24\] +*1637 la_data_in_mprj_bar\[25\] +*1638 la_data_in_mprj_bar\[26\] +*1639 la_data_in_mprj_bar\[27\] +*1640 la_data_in_mprj_bar\[28\] +*1641 la_data_in_mprj_bar\[29\] +*1642 la_data_in_mprj_bar\[2\] +*1643 la_data_in_mprj_bar\[30\] +*1644 la_data_in_mprj_bar\[31\] +*1645 la_data_in_mprj_bar\[32\] +*1646 la_data_in_mprj_bar\[33\] +*1647 la_data_in_mprj_bar\[34\] +*1648 la_data_in_mprj_bar\[35\] +*1649 la_data_in_mprj_bar\[36\] +*1650 la_data_in_mprj_bar\[37\] +*1651 la_data_in_mprj_bar\[38\] +*1652 la_data_in_mprj_bar\[39\] +*1653 la_data_in_mprj_bar\[3\] +*1654 la_data_in_mprj_bar\[40\] +*1655 la_data_in_mprj_bar\[41\] +*1656 la_data_in_mprj_bar\[42\] +*1657 la_data_in_mprj_bar\[43\] +*1658 la_data_in_mprj_bar\[44\] +*1659 la_data_in_mprj_bar\[45\] +*1660 la_data_in_mprj_bar\[46\] +*1661 la_data_in_mprj_bar\[47\] +*1662 la_data_in_mprj_bar\[48\] +*1663 la_data_in_mprj_bar\[49\] +*1664 la_data_in_mprj_bar\[4\] +*1665 la_data_in_mprj_bar\[50\] +*1666 la_data_in_mprj_bar\[51\] +*1667 la_data_in_mprj_bar\[52\] +*1668 la_data_in_mprj_bar\[53\] +*1669 la_data_in_mprj_bar\[54\] +*1670 la_data_in_mprj_bar\[55\] +*1671 la_data_in_mprj_bar\[56\] +*1672 la_data_in_mprj_bar\[57\] +*1673 la_data_in_mprj_bar\[58\] +*1674 la_data_in_mprj_bar\[59\] +*1675 la_data_in_mprj_bar\[5\] +*1676 la_data_in_mprj_bar\[60\] +*1677 la_data_in_mprj_bar\[61\] +*1678 la_data_in_mprj_bar\[62\] +*1679 la_data_in_mprj_bar\[63\] +*1680 la_data_in_mprj_bar\[64\] +*1681 la_data_in_mprj_bar\[65\] +*1682 la_data_in_mprj_bar\[66\] +*1683 la_data_in_mprj_bar\[67\] +*1684 la_data_in_mprj_bar\[68\] +*1685 la_data_in_mprj_bar\[69\] +*1686 la_data_in_mprj_bar\[6\] +*1687 la_data_in_mprj_bar\[70\] +*1688 la_data_in_mprj_bar\[71\] +*1689 la_data_in_mprj_bar\[72\] +*1690 la_data_in_mprj_bar\[73\] +*1691 la_data_in_mprj_bar\[74\] +*1692 la_data_in_mprj_bar\[75\] +*1693 la_data_in_mprj_bar\[76\] +*1694 la_data_in_mprj_bar\[77\] +*1695 la_data_in_mprj_bar\[78\] +*1696 la_data_in_mprj_bar\[79\] +*1697 la_data_in_mprj_bar\[7\] +*1698 la_data_in_mprj_bar\[80\] +*1699 la_data_in_mprj_bar\[81\] +*1700 la_data_in_mprj_bar\[82\] +*1701 la_data_in_mprj_bar\[83\] +*1702 la_data_in_mprj_bar\[84\] +*1703 la_data_in_mprj_bar\[85\] +*1704 la_data_in_mprj_bar\[86\] +*1705 la_data_in_mprj_bar\[87\] +*1706 la_data_in_mprj_bar\[88\] +*1707 la_data_in_mprj_bar\[89\] +*1708 la_data_in_mprj_bar\[8\] +*1709 la_data_in_mprj_bar\[90\] +*1710 la_data_in_mprj_bar\[91\] +*1711 la_data_in_mprj_bar\[92\] +*1712 la_data_in_mprj_bar\[93\] +*1713 la_data_in_mprj_bar\[94\] +*1714 la_data_in_mprj_bar\[95\] +*1715 la_data_in_mprj_bar\[96\] +*1716 la_data_in_mprj_bar\[97\] +*1717 la_data_in_mprj_bar\[98\] +*1718 la_data_in_mprj_bar\[99\] +*1719 la_data_in_mprj_bar\[9\] +*1720 la_data_out_enable\[0\] +*1721 la_data_out_enable\[100\] +*1722 la_data_out_enable\[101\] +*1723 la_data_out_enable\[102\] +*1724 la_data_out_enable\[103\] +*1725 la_data_out_enable\[104\] +*1726 la_data_out_enable\[105\] +*1727 la_data_out_enable\[106\] +*1728 la_data_out_enable\[107\] +*1729 la_data_out_enable\[108\] +*1730 la_data_out_enable\[109\] +*1731 la_data_out_enable\[10\] +*1732 la_data_out_enable\[110\] +*1733 la_data_out_enable\[111\] +*1734 la_data_out_enable\[112\] +*1735 la_data_out_enable\[113\] +*1736 la_data_out_enable\[114\] +*1737 la_data_out_enable\[115\] +*1738 la_data_out_enable\[116\] +*1739 la_data_out_enable\[117\] +*1740 la_data_out_enable\[118\] +*1741 la_data_out_enable\[119\] +*1742 la_data_out_enable\[11\] +*1743 la_data_out_enable\[120\] +*1744 la_data_out_enable\[121\] +*1745 la_data_out_enable\[122\] +*1746 la_data_out_enable\[123\] +*1747 la_data_out_enable\[124\] +*1748 la_data_out_enable\[125\] +*1749 la_data_out_enable\[126\] +*1750 la_data_out_enable\[127\] +*1751 la_data_out_enable\[12\] +*1752 la_data_out_enable\[13\] +*1753 la_data_out_enable\[14\] +*1754 la_data_out_enable\[15\] +*1755 la_data_out_enable\[16\] +*1756 la_data_out_enable\[17\] +*1757 la_data_out_enable\[18\] +*1758 la_data_out_enable\[19\] +*1759 la_data_out_enable\[1\] +*1760 la_data_out_enable\[20\] +*1761 la_data_out_enable\[21\] +*1762 la_data_out_enable\[22\] +*1763 la_data_out_enable\[23\] +*1764 la_data_out_enable\[24\] +*1765 la_data_out_enable\[25\] +*1766 la_data_out_enable\[26\] +*1767 la_data_out_enable\[27\] +*1768 la_data_out_enable\[28\] +*1769 la_data_out_enable\[29\] +*1770 la_data_out_enable\[2\] +*1771 la_data_out_enable\[30\] +*1772 la_data_out_enable\[31\] +*1773 la_data_out_enable\[32\] +*1774 la_data_out_enable\[33\] +*1775 la_data_out_enable\[34\] +*1776 la_data_out_enable\[35\] +*1777 la_data_out_enable\[36\] +*1778 la_data_out_enable\[37\] +*1779 la_data_out_enable\[38\] +*1780 la_data_out_enable\[39\] +*1781 la_data_out_enable\[3\] +*1782 la_data_out_enable\[40\] +*1783 la_data_out_enable\[41\] +*1784 la_data_out_enable\[42\] +*1785 la_data_out_enable\[43\] +*1786 la_data_out_enable\[44\] +*1787 la_data_out_enable\[45\] +*1788 la_data_out_enable\[46\] +*1789 la_data_out_enable\[47\] +*1790 la_data_out_enable\[48\] +*1791 la_data_out_enable\[49\] +*1792 la_data_out_enable\[4\] +*1793 la_data_out_enable\[50\] +*1794 la_data_out_enable\[51\] +*1795 la_data_out_enable\[52\] +*1796 la_data_out_enable\[53\] +*1797 la_data_out_enable\[54\] +*1798 la_data_out_enable\[55\] +*1799 la_data_out_enable\[56\] +*1800 la_data_out_enable\[57\] +*1801 la_data_out_enable\[58\] +*1802 la_data_out_enable\[59\] +*1803 la_data_out_enable\[5\] +*1804 la_data_out_enable\[60\] +*1805 la_data_out_enable\[61\] +*1806 la_data_out_enable\[62\] +*1807 la_data_out_enable\[63\] +*1808 la_data_out_enable\[64\] +*1809 la_data_out_enable\[65\] +*1810 la_data_out_enable\[66\] +*1811 la_data_out_enable\[67\] +*1812 la_data_out_enable\[68\] +*1813 la_data_out_enable\[69\] +*1814 la_data_out_enable\[6\] +*1815 la_data_out_enable\[70\] +*1816 la_data_out_enable\[71\] +*1817 la_data_out_enable\[72\] +*1818 la_data_out_enable\[73\] +*1819 la_data_out_enable\[74\] +*1820 la_data_out_enable\[75\] +*1821 la_data_out_enable\[76\] +*1822 la_data_out_enable\[77\] +*1823 la_data_out_enable\[78\] +*1824 la_data_out_enable\[79\] +*1825 la_data_out_enable\[7\] +*1826 la_data_out_enable\[80\] +*1827 la_data_out_enable\[81\] +*1828 la_data_out_enable\[82\] +*1829 la_data_out_enable\[83\] +*1830 la_data_out_enable\[84\] +*1831 la_data_out_enable\[85\] +*1832 la_data_out_enable\[86\] +*1833 la_data_out_enable\[87\] +*1834 la_data_out_enable\[88\] +*1835 la_data_out_enable\[89\] +*1836 la_data_out_enable\[8\] +*1837 la_data_out_enable\[90\] +*1838 la_data_out_enable\[91\] +*1839 la_data_out_enable\[92\] +*1840 la_data_out_enable\[93\] +*1841 la_data_out_enable\[94\] +*1842 la_data_out_enable\[95\] +*1843 la_data_out_enable\[96\] +*1844 la_data_out_enable\[97\] +*1845 la_data_out_enable\[98\] +*1846 la_data_out_enable\[99\] +*1847 la_data_out_enable\[9\] +*1848 mprj2_logic1 +*1849 mprj2_vdd_logic1 +*1850 mprj_ack_i_core_bar +*1851 mprj_dat_i_core_bar\[0\] +*1852 mprj_dat_i_core_bar\[10\] +*1853 mprj_dat_i_core_bar\[11\] +*1854 mprj_dat_i_core_bar\[12\] +*1855 mprj_dat_i_core_bar\[13\] +*1856 mprj_dat_i_core_bar\[14\] +*1857 mprj_dat_i_core_bar\[15\] +*1858 mprj_dat_i_core_bar\[16\] +*1859 mprj_dat_i_core_bar\[17\] +*1860 mprj_dat_i_core_bar\[18\] +*1861 mprj_dat_i_core_bar\[19\] +*1862 mprj_dat_i_core_bar\[1\] +*1863 mprj_dat_i_core_bar\[20\] +*1864 mprj_dat_i_core_bar\[21\] +*1865 mprj_dat_i_core_bar\[22\] +*1866 mprj_dat_i_core_bar\[23\] +*1867 mprj_dat_i_core_bar\[24\] +*1868 mprj_dat_i_core_bar\[25\] +*1869 mprj_dat_i_core_bar\[26\] +*1870 mprj_dat_i_core_bar\[27\] +*1871 mprj_dat_i_core_bar\[28\] +*1872 mprj_dat_i_core_bar\[29\] +*1873 mprj_dat_i_core_bar\[2\] +*1874 mprj_dat_i_core_bar\[30\] +*1875 mprj_dat_i_core_bar\[31\] +*1876 mprj_dat_i_core_bar\[3\] +*1877 mprj_dat_i_core_bar\[4\] +*1878 mprj_dat_i_core_bar\[5\] +*1879 mprj_dat_i_core_bar\[6\] +*1880 mprj_dat_i_core_bar\[7\] +*1881 mprj_dat_i_core_bar\[8\] +*1882 mprj_dat_i_core_bar\[9\] +*1883 mprj_logic1\[0\] +*1884 mprj_logic1\[100\] +*1885 mprj_logic1\[101\] +*1886 mprj_logic1\[102\] +*1887 mprj_logic1\[103\] +*1888 mprj_logic1\[104\] +*1889 mprj_logic1\[105\] +*1890 mprj_logic1\[106\] +*1891 mprj_logic1\[107\] +*1892 mprj_logic1\[108\] +*1893 mprj_logic1\[109\] +*1894 mprj_logic1\[10\] +*1895 mprj_logic1\[110\] +*1896 mprj_logic1\[111\] +*1897 mprj_logic1\[112\] +*1898 mprj_logic1\[113\] +*1899 mprj_logic1\[114\] +*1900 mprj_logic1\[115\] +*1901 mprj_logic1\[116\] +*1902 mprj_logic1\[117\] +*1903 mprj_logic1\[118\] +*1904 mprj_logic1\[119\] +*1905 mprj_logic1\[11\] +*1906 mprj_logic1\[120\] +*1907 mprj_logic1\[121\] +*1908 mprj_logic1\[122\] +*1909 mprj_logic1\[123\] +*1910 mprj_logic1\[124\] +*1911 mprj_logic1\[125\] +*1912 mprj_logic1\[126\] +*1913 mprj_logic1\[127\] +*1914 mprj_logic1\[128\] +*1915 mprj_logic1\[129\] +*1916 mprj_logic1\[12\] +*1917 mprj_logic1\[130\] +*1918 mprj_logic1\[131\] +*1919 mprj_logic1\[132\] +*1920 mprj_logic1\[133\] +*1921 mprj_logic1\[134\] +*1922 mprj_logic1\[135\] +*1923 mprj_logic1\[136\] +*1924 mprj_logic1\[137\] +*1925 mprj_logic1\[138\] +*1926 mprj_logic1\[139\] +*1927 mprj_logic1\[13\] +*1928 mprj_logic1\[140\] +*1929 mprj_logic1\[141\] +*1930 mprj_logic1\[142\] +*1931 mprj_logic1\[143\] +*1932 mprj_logic1\[144\] +*1933 mprj_logic1\[145\] +*1934 mprj_logic1\[146\] +*1935 mprj_logic1\[147\] +*1936 mprj_logic1\[148\] +*1937 mprj_logic1\[149\] +*1938 mprj_logic1\[14\] +*1939 mprj_logic1\[150\] +*1940 mprj_logic1\[151\] +*1941 mprj_logic1\[152\] +*1942 mprj_logic1\[153\] +*1943 mprj_logic1\[154\] +*1944 mprj_logic1\[155\] +*1945 mprj_logic1\[156\] +*1946 mprj_logic1\[157\] +*1947 mprj_logic1\[158\] +*1948 mprj_logic1\[159\] +*1949 mprj_logic1\[15\] +*1950 mprj_logic1\[160\] +*1951 mprj_logic1\[161\] +*1952 mprj_logic1\[162\] +*1953 mprj_logic1\[163\] +*1954 mprj_logic1\[164\] +*1955 mprj_logic1\[165\] +*1956 mprj_logic1\[166\] +*1957 mprj_logic1\[167\] +*1958 mprj_logic1\[168\] +*1959 mprj_logic1\[169\] +*1960 mprj_logic1\[16\] +*1961 mprj_logic1\[170\] +*1962 mprj_logic1\[171\] +*1963 mprj_logic1\[172\] +*1964 mprj_logic1\[173\] +*1965 mprj_logic1\[174\] +*1966 mprj_logic1\[175\] +*1967 mprj_logic1\[176\] +*1968 mprj_logic1\[177\] +*1969 mprj_logic1\[178\] +*1970 mprj_logic1\[179\] +*1971 mprj_logic1\[17\] +*1972 mprj_logic1\[180\] +*1973 mprj_logic1\[181\] +*1974 mprj_logic1\[182\] +*1975 mprj_logic1\[183\] +*1976 mprj_logic1\[184\] +*1977 mprj_logic1\[185\] +*1978 mprj_logic1\[186\] +*1979 mprj_logic1\[187\] +*1980 mprj_logic1\[188\] +*1981 mprj_logic1\[189\] +*1982 mprj_logic1\[18\] +*1983 mprj_logic1\[190\] +*1984 mprj_logic1\[191\] +*1985 mprj_logic1\[192\] +*1986 mprj_logic1\[193\] +*1987 mprj_logic1\[194\] +*1988 mprj_logic1\[195\] +*1989 mprj_logic1\[196\] +*1990 mprj_logic1\[197\] +*1991 mprj_logic1\[198\] +*1992 mprj_logic1\[199\] +*1993 mprj_logic1\[19\] +*1994 mprj_logic1\[1\] +*1995 mprj_logic1\[200\] +*1996 mprj_logic1\[201\] +*1997 mprj_logic1\[202\] +*1998 mprj_logic1\[203\] +*1999 mprj_logic1\[204\] +*2000 mprj_logic1\[205\] +*2001 mprj_logic1\[206\] +*2002 mprj_logic1\[207\] +*2003 mprj_logic1\[208\] +*2004 mprj_logic1\[209\] +*2005 mprj_logic1\[20\] +*2006 mprj_logic1\[210\] +*2007 mprj_logic1\[211\] +*2008 mprj_logic1\[212\] +*2009 mprj_logic1\[213\] +*2010 mprj_logic1\[214\] +*2011 mprj_logic1\[215\] +*2012 mprj_logic1\[216\] +*2013 mprj_logic1\[217\] +*2014 mprj_logic1\[218\] +*2015 mprj_logic1\[219\] +*2016 mprj_logic1\[21\] +*2017 mprj_logic1\[220\] +*2018 mprj_logic1\[221\] +*2019 mprj_logic1\[222\] +*2020 mprj_logic1\[223\] +*2021 mprj_logic1\[224\] +*2022 mprj_logic1\[225\] +*2023 mprj_logic1\[226\] +*2024 mprj_logic1\[227\] +*2025 mprj_logic1\[228\] +*2026 mprj_logic1\[229\] +*2027 mprj_logic1\[22\] +*2028 mprj_logic1\[230\] +*2029 mprj_logic1\[231\] +*2030 mprj_logic1\[232\] +*2031 mprj_logic1\[233\] +*2032 mprj_logic1\[234\] +*2033 mprj_logic1\[235\] +*2034 mprj_logic1\[236\] +*2035 mprj_logic1\[237\] +*2036 mprj_logic1\[238\] +*2037 mprj_logic1\[239\] +*2038 mprj_logic1\[23\] +*2039 mprj_logic1\[240\] +*2040 mprj_logic1\[241\] +*2041 mprj_logic1\[242\] +*2042 mprj_logic1\[243\] +*2043 mprj_logic1\[244\] +*2044 mprj_logic1\[245\] +*2045 mprj_logic1\[246\] +*2046 mprj_logic1\[247\] +*2047 mprj_logic1\[248\] +*2048 mprj_logic1\[249\] +*2049 mprj_logic1\[24\] +*2050 mprj_logic1\[250\] +*2051 mprj_logic1\[251\] +*2052 mprj_logic1\[252\] +*2053 mprj_logic1\[253\] +*2054 mprj_logic1\[254\] +*2055 mprj_logic1\[255\] +*2056 mprj_logic1\[256\] +*2057 mprj_logic1\[257\] +*2058 mprj_logic1\[258\] +*2059 mprj_logic1\[259\] +*2060 mprj_logic1\[25\] +*2061 mprj_logic1\[260\] +*2062 mprj_logic1\[261\] +*2063 mprj_logic1\[262\] +*2064 mprj_logic1\[263\] +*2065 mprj_logic1\[264\] +*2066 mprj_logic1\[265\] +*2067 mprj_logic1\[266\] +*2068 mprj_logic1\[267\] +*2069 mprj_logic1\[268\] +*2070 mprj_logic1\[269\] +*2071 mprj_logic1\[26\] +*2072 mprj_logic1\[270\] +*2073 mprj_logic1\[271\] +*2074 mprj_logic1\[272\] +*2075 mprj_logic1\[273\] +*2076 mprj_logic1\[274\] +*2077 mprj_logic1\[275\] +*2078 mprj_logic1\[276\] +*2079 mprj_logic1\[277\] +*2080 mprj_logic1\[278\] +*2081 mprj_logic1\[279\] +*2082 mprj_logic1\[27\] +*2083 mprj_logic1\[280\] +*2084 mprj_logic1\[281\] +*2085 mprj_logic1\[282\] +*2086 mprj_logic1\[283\] +*2087 mprj_logic1\[284\] +*2088 mprj_logic1\[285\] +*2089 mprj_logic1\[286\] +*2090 mprj_logic1\[287\] +*2091 mprj_logic1\[288\] +*2092 mprj_logic1\[289\] +*2093 mprj_logic1\[28\] +*2094 mprj_logic1\[290\] +*2095 mprj_logic1\[291\] +*2096 mprj_logic1\[292\] +*2097 mprj_logic1\[293\] +*2098 mprj_logic1\[294\] +*2099 mprj_logic1\[295\] +*2100 mprj_logic1\[296\] +*2101 mprj_logic1\[297\] +*2102 mprj_logic1\[298\] +*2103 mprj_logic1\[299\] +*2104 mprj_logic1\[29\] +*2105 mprj_logic1\[2\] +*2106 mprj_logic1\[300\] +*2107 mprj_logic1\[301\] +*2108 mprj_logic1\[302\] +*2109 mprj_logic1\[303\] +*2110 mprj_logic1\[304\] +*2111 mprj_logic1\[305\] +*2112 mprj_logic1\[306\] +*2113 mprj_logic1\[307\] +*2114 mprj_logic1\[308\] +*2115 mprj_logic1\[309\] +*2116 mprj_logic1\[30\] +*2117 mprj_logic1\[310\] +*2118 mprj_logic1\[311\] +*2119 mprj_logic1\[312\] +*2120 mprj_logic1\[313\] +*2121 mprj_logic1\[314\] +*2122 mprj_logic1\[315\] +*2123 mprj_logic1\[316\] +*2124 mprj_logic1\[317\] +*2125 mprj_logic1\[318\] +*2126 mprj_logic1\[319\] +*2127 mprj_logic1\[31\] +*2128 mprj_logic1\[320\] +*2129 mprj_logic1\[321\] +*2130 mprj_logic1\[322\] +*2131 mprj_logic1\[323\] +*2132 mprj_logic1\[324\] +*2133 mprj_logic1\[325\] +*2134 mprj_logic1\[326\] +*2135 mprj_logic1\[327\] +*2136 mprj_logic1\[328\] +*2137 mprj_logic1\[329\] +*2138 mprj_logic1\[32\] +*2139 mprj_logic1\[330\] +*2140 mprj_logic1\[331\] +*2141 mprj_logic1\[332\] +*2142 mprj_logic1\[333\] +*2143 mprj_logic1\[334\] +*2144 mprj_logic1\[335\] +*2145 mprj_logic1\[336\] +*2146 mprj_logic1\[337\] +*2147 mprj_logic1\[338\] +*2148 mprj_logic1\[339\] +*2149 mprj_logic1\[33\] +*2150 mprj_logic1\[340\] +*2151 mprj_logic1\[341\] +*2152 mprj_logic1\[342\] +*2153 mprj_logic1\[343\] +*2154 mprj_logic1\[344\] +*2155 mprj_logic1\[345\] +*2156 mprj_logic1\[346\] +*2157 mprj_logic1\[347\] +*2158 mprj_logic1\[348\] +*2159 mprj_logic1\[349\] +*2160 mprj_logic1\[34\] +*2161 mprj_logic1\[350\] +*2162 mprj_logic1\[351\] +*2163 mprj_logic1\[352\] +*2164 mprj_logic1\[353\] +*2165 mprj_logic1\[354\] +*2166 mprj_logic1\[355\] +*2167 mprj_logic1\[356\] +*2168 mprj_logic1\[357\] +*2169 mprj_logic1\[358\] +*2170 mprj_logic1\[359\] +*2171 mprj_logic1\[35\] +*2172 mprj_logic1\[360\] +*2173 mprj_logic1\[361\] +*2174 mprj_logic1\[362\] +*2175 mprj_logic1\[363\] +*2176 mprj_logic1\[364\] +*2177 mprj_logic1\[365\] +*2178 mprj_logic1\[366\] +*2179 mprj_logic1\[367\] +*2180 mprj_logic1\[368\] +*2181 mprj_logic1\[369\] +*2182 mprj_logic1\[36\] +*2183 mprj_logic1\[370\] +*2184 mprj_logic1\[371\] +*2185 mprj_logic1\[372\] +*2186 mprj_logic1\[373\] +*2187 mprj_logic1\[374\] +*2188 mprj_logic1\[375\] +*2189 mprj_logic1\[376\] +*2190 mprj_logic1\[377\] +*2191 mprj_logic1\[378\] +*2192 mprj_logic1\[379\] +*2193 mprj_logic1\[37\] +*2194 mprj_logic1\[380\] +*2195 mprj_logic1\[381\] +*2196 mprj_logic1\[382\] +*2197 mprj_logic1\[383\] +*2198 mprj_logic1\[384\] +*2199 mprj_logic1\[385\] +*2200 mprj_logic1\[386\] +*2201 mprj_logic1\[387\] +*2202 mprj_logic1\[388\] +*2203 mprj_logic1\[389\] +*2204 mprj_logic1\[38\] +*2205 mprj_logic1\[390\] +*2206 mprj_logic1\[391\] +*2207 mprj_logic1\[392\] +*2208 mprj_logic1\[393\] +*2209 mprj_logic1\[394\] +*2210 mprj_logic1\[395\] +*2211 mprj_logic1\[396\] +*2212 mprj_logic1\[397\] +*2213 mprj_logic1\[398\] +*2214 mprj_logic1\[399\] +*2215 mprj_logic1\[39\] +*2216 mprj_logic1\[3\] +*2217 mprj_logic1\[400\] +*2218 mprj_logic1\[401\] +*2219 mprj_logic1\[402\] +*2220 mprj_logic1\[403\] +*2221 mprj_logic1\[404\] +*2222 mprj_logic1\[405\] +*2223 mprj_logic1\[406\] +*2224 mprj_logic1\[407\] +*2225 mprj_logic1\[408\] +*2226 mprj_logic1\[409\] +*2227 mprj_logic1\[40\] +*2228 mprj_logic1\[410\] +*2229 mprj_logic1\[411\] +*2230 mprj_logic1\[412\] +*2231 mprj_logic1\[413\] +*2232 mprj_logic1\[414\] +*2233 mprj_logic1\[415\] +*2234 mprj_logic1\[416\] +*2235 mprj_logic1\[417\] +*2236 mprj_logic1\[418\] +*2237 mprj_logic1\[419\] +*2238 mprj_logic1\[41\] +*2239 mprj_logic1\[420\] +*2240 mprj_logic1\[421\] +*2241 mprj_logic1\[422\] +*2242 mprj_logic1\[423\] +*2243 mprj_logic1\[424\] +*2244 mprj_logic1\[425\] +*2245 mprj_logic1\[426\] +*2246 mprj_logic1\[427\] +*2247 mprj_logic1\[428\] +*2248 mprj_logic1\[429\] +*2249 mprj_logic1\[42\] +*2250 mprj_logic1\[430\] +*2251 mprj_logic1\[431\] +*2252 mprj_logic1\[432\] +*2253 mprj_logic1\[433\] +*2254 mprj_logic1\[434\] +*2255 mprj_logic1\[435\] +*2256 mprj_logic1\[436\] +*2257 mprj_logic1\[437\] +*2258 mprj_logic1\[438\] +*2259 mprj_logic1\[439\] +*2260 mprj_logic1\[43\] +*2261 mprj_logic1\[440\] +*2262 mprj_logic1\[441\] +*2263 mprj_logic1\[442\] +*2264 mprj_logic1\[443\] +*2265 mprj_logic1\[444\] +*2266 mprj_logic1\[445\] +*2267 mprj_logic1\[446\] +*2268 mprj_logic1\[447\] +*2269 mprj_logic1\[448\] +*2270 mprj_logic1\[449\] +*2271 mprj_logic1\[44\] +*2272 mprj_logic1\[450\] +*2273 mprj_logic1\[451\] +*2274 mprj_logic1\[452\] +*2275 mprj_logic1\[453\] +*2276 mprj_logic1\[454\] +*2277 mprj_logic1\[455\] +*2278 mprj_logic1\[456\] +*2279 mprj_logic1\[457\] +*2280 mprj_logic1\[458\] +*2281 mprj_logic1\[459\] +*2282 mprj_logic1\[45\] +*2283 mprj_logic1\[460\] +*2284 mprj_logic1\[461\] +*2285 mprj_logic1\[462\] +*2286 mprj_logic1\[46\] +*2287 mprj_logic1\[47\] +*2288 mprj_logic1\[48\] +*2289 mprj_logic1\[49\] +*2290 mprj_logic1\[4\] +*2291 mprj_logic1\[50\] +*2292 mprj_logic1\[51\] +*2293 mprj_logic1\[52\] +*2294 mprj_logic1\[53\] +*2295 mprj_logic1\[54\] +*2296 mprj_logic1\[55\] +*2297 mprj_logic1\[56\] +*2298 mprj_logic1\[57\] +*2299 mprj_logic1\[58\] +*2300 mprj_logic1\[59\] +*2301 mprj_logic1\[5\] +*2302 mprj_logic1\[60\] +*2303 mprj_logic1\[61\] +*2304 mprj_logic1\[62\] +*2305 mprj_logic1\[63\] +*2306 mprj_logic1\[64\] +*2307 mprj_logic1\[65\] +*2308 mprj_logic1\[66\] +*2309 mprj_logic1\[67\] +*2310 mprj_logic1\[68\] +*2311 mprj_logic1\[69\] +*2312 mprj_logic1\[6\] +*2313 mprj_logic1\[70\] +*2314 mprj_logic1\[71\] +*2315 mprj_logic1\[72\] +*2316 mprj_logic1\[73\] +*2317 mprj_logic1\[74\] +*2318 mprj_logic1\[75\] +*2319 mprj_logic1\[76\] +*2320 mprj_logic1\[77\] +*2321 mprj_logic1\[78\] +*2322 mprj_logic1\[79\] +*2323 mprj_logic1\[7\] +*2324 mprj_logic1\[80\] +*2325 mprj_logic1\[81\] +*2326 mprj_logic1\[82\] +*2327 mprj_logic1\[83\] +*2328 mprj_logic1\[84\] +*2329 mprj_logic1\[85\] +*2330 mprj_logic1\[86\] +*2331 mprj_logic1\[87\] +*2332 mprj_logic1\[88\] +*2333 mprj_logic1\[89\] +*2334 mprj_logic1\[8\] +*2335 mprj_logic1\[90\] +*2336 mprj_logic1\[91\] +*2337 mprj_logic1\[92\] +*2338 mprj_logic1\[93\] +*2339 mprj_logic1\[94\] +*2340 mprj_logic1\[95\] +*2341 mprj_logic1\[96\] +*2342 mprj_logic1\[97\] +*2343 mprj_logic1\[98\] +*2344 mprj_logic1\[99\] +*2345 mprj_logic1\[9\] +*2346 mprj_vdd_logic1 +*2347 net1 +*2348 net10 +*2349 net100 +*2350 net1000 +*2351 net1001 +*2352 net1002 +*2353 net1003 +*2354 net1004 +*2355 net1005 +*2356 net1006 +*2357 net1007 +*2358 net1008 +*2359 net1009 +*2360 net101 +*2361 net1010 +*2362 net1011 +*2363 net1012 +*2364 net1013 +*2365 net1014 +*2366 net1015 +*2367 net1016 +*2368 net1017 +*2369 net1018 +*2370 net1019 +*2371 net102 +*2372 net1020 +*2373 net1021 +*2374 net1022 +*2375 net1023 +*2376 net1024 +*2377 net1025 +*2378 net1026 +*2379 net1027 +*2380 net1028 +*2381 net1029 +*2382 net103 +*2383 net1030 +*2384 net1031 +*2385 net1032 +*2386 net1033 +*2387 net1034 +*2388 net1035 +*2389 net1036 +*2390 net1037 +*2391 net1038 +*2392 net1039 +*2393 net104 +*2394 net1040 +*2395 net1041 +*2396 net1042 +*2397 net1043 +*2398 net1044 +*2399 net1045 +*2400 net1046 +*2401 net1047 +*2402 net1048 +*2403 net1049 +*2404 net105 +*2405 net1050 +*2406 net1051 +*2407 net1052 +*2408 net1053 +*2409 net1054 +*2410 net1055 +*2411 net1056 +*2412 net1057 +*2413 net1058 +*2414 net1059 +*2415 net106 +*2416 net1060 +*2417 net1061 +*2418 net1062 +*2419 net1063 +*2420 net1064 +*2421 net1065 +*2422 net1066 +*2423 net1067 +*2424 net1068 +*2425 net1069 +*2426 net107 +*2427 net1070 +*2428 net1071 +*2429 net1072 +*2430 net1073 +*2431 net1074 +*2432 net1075 +*2433 net1076 +*2434 net1077 +*2435 net1078 +*2436 net1079 +*2437 net108 +*2438 net1080 +*2439 net1081 +*2440 net1082 +*2441 net1083 +*2442 net1084 +*2443 net1085 +*2444 net1086 +*2445 net1087 +*2446 net1088 +*2447 net1089 +*2448 net109 +*2449 net1090 +*2450 net1091 +*2451 net1092 +*2452 net1093 +*2453 net1094 +*2454 net1095 +*2455 net1096 +*2456 net1097 +*2457 net1098 +*2458 net1099 +*2459 net11 +*2460 net110 +*2461 net1100 +*2462 net1101 +*2463 net1102 +*2464 net1103 +*2465 net1104 +*2466 net1105 +*2467 net1106 +*2468 net1107 +*2469 net1108 +*2470 net1109 +*2471 net111 +*2472 net1110 +*2473 net1111 +*2474 net1112 +*2475 net1113 +*2476 net1114 +*2477 net1115 +*2478 net1116 +*2479 net1117 +*2480 net1118 +*2481 net1119 +*2482 net112 +*2483 net1120 +*2484 net1121 +*2485 net1122 +*2486 net1123 +*2487 net1124 +*2488 net1125 +*2489 net113 +*2490 net114 +*2491 net115 +*2492 net116 +*2493 net117 +*2494 net118 +*2495 net119 +*2496 net12 +*2497 net120 +*2498 net121 +*2499 net122 +*2500 net123 +*2501 net124 +*2502 net125 +*2503 net126 +*2504 net127 +*2505 net128 +*2506 net129 +*2507 net13 +*2508 net130 +*2509 net131 +*2510 net132 +*2511 net133 +*2512 net134 +*2513 net135 +*2514 net136 +*2515 net137 +*2516 net138 +*2517 net139 +*2518 net14 +*2519 net140 +*2520 net141 +*2521 net142 +*2522 net143 +*2523 net144 +*2524 net145 +*2525 net146 +*2526 net147 +*2527 net148 +*2528 net149 +*2529 net15 +*2530 net150 +*2531 net151 +*2532 net152 +*2533 net153 +*2534 net154 +*2535 net155 +*2536 net156 +*2537 net157 +*2538 net158 +*2539 net159 +*2540 net16 +*2541 net160 +*2542 net161 +*2543 net162 +*2544 net163 +*2545 net164 +*2546 net165 +*2547 net166 +*2548 net167 +*2549 net168 +*2550 net169 +*2551 net17 +*2552 net170 +*2553 net171 +*2554 net172 +*2555 net173 +*2556 net174 +*2557 net175 +*2558 net176 +*2559 net177 +*2560 net178 +*2561 net179 +*2562 net18 +*2563 net180 +*2564 net181 +*2565 net182 +*2566 net183 +*2567 net184 +*2568 net185 +*2569 net186 +*2570 net187 +*2571 net188 +*2572 net189 +*2573 net19 +*2574 net190 +*2575 net191 +*2576 net192 +*2577 net193 +*2578 net194 +*2579 net195 +*2580 net196 +*2581 net197 +*2582 net198 +*2583 net199 +*2584 net2 +*2585 net20 +*2586 net200 +*2587 net201 +*2588 net202 +*2589 net203 +*2590 net204 +*2591 net205 +*2592 net206 +*2593 net207 +*2594 net208 +*2595 net209 +*2596 net21 +*2597 net210 +*2598 net211 +*2599 net212 +*2600 net213 +*2601 net214 +*2602 net215 +*2603 net216 +*2604 net217 +*2605 net218 +*2606 net219 +*2607 net22 +*2608 net220 +*2609 net221 +*2610 net222 +*2611 net223 +*2612 net224 +*2613 net225 +*2614 net226 +*2615 net227 +*2616 net228 +*2617 net229 +*2618 net23 +*2619 net230 +*2620 net231 +*2621 net232 +*2622 net233 +*2623 net234 +*2624 net235 +*2625 net236 +*2626 net237 +*2627 net238 +*2628 net239 +*2629 net24 +*2630 net240 +*2631 net241 +*2632 net242 +*2633 net243 +*2634 net244 +*2635 net245 +*2636 net246 +*2637 net247 +*2638 net248 +*2639 net249 +*2640 net25 +*2641 net250 +*2642 net251 +*2643 net252 +*2644 net253 +*2645 net254 +*2646 net255 +*2647 net256 +*2648 net257 +*2649 net258 +*2650 net259 +*2651 net26 +*2652 net260 +*2653 net261 +*2654 net262 +*2655 net263 +*2656 net264 +*2657 net265 +*2658 net266 +*2659 net267 +*2660 net268 +*2661 net269 +*2662 net27 +*2663 net270 +*2664 net271 +*2665 net272 +*2666 net273 +*2667 net274 +*2668 net275 +*2669 net276 +*2670 net277 +*2671 net278 +*2672 net279 +*2673 net28 +*2674 net280 +*2675 net281 +*2676 net282 +*2677 net283 +*2678 net284 +*2679 net285 +*2680 net286 +*2681 net287 +*2682 net288 +*2683 net289 +*2684 net29 +*2685 net290 +*2686 net291 +*2687 net292 +*2688 net293 +*2689 net294 +*2690 net295 +*2691 net296 +*2692 net297 +*2693 net298 +*2694 net299 +*2695 net3 +*2696 net30 +*2697 net300 +*2698 net301 +*2699 net302 +*2700 net303 +*2701 net304 +*2702 net305 +*2703 net306 +*2704 net307 +*2705 net308 +*2706 net309 +*2707 net31 +*2708 net310 +*2709 net311 +*2710 net312 +*2711 net313 +*2712 net314 +*2713 net315 +*2714 net316 +*2715 net317 +*2716 net318 +*2717 net319 +*2718 net32 +*2719 net320 +*2720 net321 +*2721 net322 +*2722 net323 +*2723 net324 +*2724 net325 +*2725 net326 +*2726 net327 +*2727 net328 +*2728 net329 +*2729 net33 +*2730 net330 +*2731 net331 +*2732 net332 +*2733 net333 +*2734 net334 +*2735 net335 +*2736 net336 +*2737 net337 +*2738 net338 +*2739 net339 +*2740 net34 +*2741 net340 +*2742 net341 +*2743 net342 +*2744 net343 +*2745 net344 +*2746 net345 +*2747 net346 +*2748 net347 +*2749 net348 +*2750 net349 +*2751 net35 +*2752 net350 +*2753 net351 +*2754 net352 +*2755 net353 +*2756 net354 +*2757 net355 +*2758 net356 +*2759 net357 +*2760 net358 +*2761 net359 +*2762 net36 +*2763 net360 +*2764 net361 +*2765 net362 +*2766 net363 +*2767 net364 +*2768 net365 +*2769 net366 +*2770 net367 +*2771 net368 +*2772 net369 +*2773 net37 +*2774 net370 +*2775 net371 +*2776 net372 +*2777 net373 +*2778 net374 +*2779 net375 +*2780 net376 +*2781 net377 +*2782 net378 +*2783 net379 +*2784 net38 +*2785 net380 +*2786 net381 +*2787 net382 +*2788 net383 +*2789 net384 +*2790 net385 +*2791 net386 +*2792 net387 +*2793 net388 +*2794 net389 +*2795 net39 +*2796 net390 +*2797 net391 +*2798 net392 +*2799 net393 +*2800 net394 +*2801 net395 +*2802 net396 +*2803 net397 +*2804 net398 +*2805 net399 +*2806 net4 +*2807 net40 +*2808 net400 +*2809 net401 +*2810 net402 +*2811 net403 +*2812 net404 +*2813 net405 +*2814 net406 +*2815 net407 +*2816 net408 +*2817 net409 +*2818 net41 +*2819 net410 +*2820 net411 +*2821 net412 +*2822 net413 +*2823 net414 +*2824 net415 +*2825 net416 +*2826 net417 +*2827 net418 +*2828 net419 +*2829 net42 +*2830 net420 +*2831 net421 +*2832 net422 +*2833 net423 +*2834 net424 +*2835 net425 +*2836 net426 +*2837 net427 +*2838 net428 +*2839 net429 +*2840 net43 +*2841 net430 +*2842 net431 +*2843 net432 +*2844 net433 +*2845 net434 +*2846 net435 +*2847 net436 +*2848 net437 +*2849 net438 +*2850 net439 +*2851 net44 +*2852 net440 +*2853 net441 +*2854 net442 +*2855 net443 +*2856 net444 +*2857 net445 +*2858 net446 +*2859 net447 +*2860 net448 +*2861 net449 +*2862 net45 +*2863 net450 +*2864 net451 +*2865 net452 +*2866 net453 +*2867 net454 +*2868 net455 +*2869 net456 +*2870 net457 +*2871 net458 +*2872 net459 +*2873 net46 +*2874 net460 +*2875 net461 +*2876 net462 +*2877 net463 +*2878 net464 +*2879 net465 +*2880 net466 +*2881 net467 +*2882 net468 +*2883 net469 +*2884 net47 +*2885 net470 +*2886 net471 +*2887 net472 +*2888 net473 +*2889 net474 +*2890 net475 +*2891 net476 +*2892 net477 +*2893 net478 +*2894 net479 +*2895 net48 +*2896 net480 +*2897 net481 +*2898 net482 +*2899 net483 +*2900 net484 +*2901 net485 +*2902 net486 +*2903 net487 +*2904 net488 +*2905 net489 +*2906 net49 +*2907 net490 +*2908 net491 +*2909 net492 +*2910 net493 +*2911 net494 +*2912 net495 +*2913 net496 +*2914 net497 +*2915 net498 +*2916 net499 +*2917 net5 +*2918 net50 +*2919 net500 +*2920 net501 +*2921 net502 +*2922 net503 +*2923 net504 +*2924 net505 +*2925 net506 +*2926 net507 +*2927 net508 +*2928 net509 +*2929 net51 +*2930 net510 +*2931 net511 +*2932 net512 +*2933 net513 +*2934 net514 +*2935 net515 +*2936 net516 +*2937 net517 +*2938 net518 +*2939 net519 +*2940 net52 +*2941 net520 +*2942 net521 +*2943 net522 +*2944 net523 +*2945 net524 +*2946 net525 +*2947 net526 +*2948 net527 +*2949 net528 +*2950 net529 +*2951 net53 +*2952 net530 +*2953 net531 +*2954 net532 +*2955 net533 +*2956 net534 +*2957 net535 +*2958 net536 +*2959 net537 +*2960 net538 +*2961 net539 +*2962 net54 +*2963 net540 +*2964 net541 +*2965 net542 +*2966 net543 +*2967 net544 +*2968 net545 +*2969 net546 +*2970 net547 +*2971 net548 +*2972 net549 +*2973 net55 +*2974 net550 +*2975 net551 +*2976 net552 +*2977 net553 +*2978 net554 +*2979 net555 +*2980 net556 +*2981 net557 +*2982 net558 +*2983 net559 +*2984 net56 +*2985 net560 +*2986 net561 +*2987 net562 +*2988 net563 +*2989 net564 +*2990 net565 +*2991 net566 +*2992 net567 +*2993 net568 +*2994 net569 +*2995 net57 +*2996 net570 +*2997 net571 +*2998 net572 +*2999 net573 +*3000 net574 +*3001 net575 +*3002 net576 +*3003 net577 +*3004 net578 +*3005 net579 +*3006 net58 +*3007 net580 +*3008 net581 +*3009 net582 +*3010 net583 +*3011 net584 +*3012 net585 +*3013 net586 +*3014 net587 +*3015 net588 +*3016 net589 +*3017 net59 +*3018 net590 +*3019 net591 +*3020 net592 +*3021 net593 +*3022 net594 +*3023 net595 +*3024 net596 +*3025 net597 +*3026 net598 +*3027 net599 +*3028 net6 +*3029 net60 +*3030 net600 +*3031 net601 +*3032 net602 +*3033 net603 +*3034 net604 +*3035 net605 +*3036 net606 +*3037 net607 +*3038 net608 +*3039 net609 +*3040 net61 +*3041 net610 +*3042 net611 +*3043 net612 +*3044 net613 +*3045 net614 +*3046 net615 +*3047 net616 +*3048 net617 +*3049 net618 +*3050 net619 +*3051 net62 +*3052 net620 +*3053 net621 +*3054 net622 +*3055 net623 +*3056 net624 +*3057 net625 +*3058 net626 +*3059 net627 +*3060 net628 +*3061 net629 +*3062 net63 +*3063 net630 +*3064 net631 +*3065 net632 +*3066 net633 +*3067 net634 +*3068 net635 +*3069 net636 +*3070 net637 +*3071 net638 +*3072 net639 +*3073 net64 +*3074 net640 +*3075 net641 +*3076 net642 +*3077 net643 +*3078 net644 +*3079 net645 +*3080 net646 +*3081 net647 +*3082 net648 +*3083 net649 +*3084 net65 +*3085 net650 +*3086 net651 +*3087 net652 +*3088 net653 +*3089 net654 +*3090 net655 +*3091 net656 +*3092 net657 +*3093 net658 +*3094 net659 +*3095 net66 +*3096 net660 +*3097 net661 +*3098 net662 +*3099 net663 +*3100 net664 +*3101 net665 +*3102 net666 +*3103 net667 +*3104 net668 +*3105 net669 +*3106 net67 +*3107 net670 +*3108 net671 +*3109 net672 +*3110 net673 +*3111 net674 +*3112 net675 +*3113 net676 +*3114 net677 +*3115 net678 +*3116 net679 +*3117 net68 +*3118 net680 +*3119 net681 +*3120 net682 +*3121 net683 +*3122 net684 +*3123 net685 +*3124 net686 +*3125 net687 +*3126 net688 +*3127 net689 +*3128 net69 +*3129 net690 +*3130 net691 +*3131 net692 +*3132 net693 +*3133 net694 +*3134 net695 +*3135 net696 +*3136 net697 +*3137 net698 +*3138 net699 +*3139 net7 +*3140 net70 +*3141 net700 +*3142 net701 +*3143 net702 +*3144 net703 +*3145 net704 +*3146 net705 +*3147 net706 +*3148 net707 +*3149 net708 +*3150 net709 +*3151 net71 +*3152 net710 +*3153 net711 +*3154 net712 +*3155 net713 +*3156 net714 +*3157 net715 +*3158 net716 +*3159 net717 +*3160 net718 +*3161 net719 +*3162 net72 +*3163 net720 +*3164 net721 +*3165 net722 +*3166 net723 +*3167 net724 +*3168 net725 +*3169 net726 +*3170 net727 +*3171 net728 +*3172 net729 +*3173 net73 +*3174 net730 +*3175 net731 +*3176 net732 +*3177 net733 +*3178 net734 +*3179 net735 +*3180 net736 +*3181 net737 +*3182 net738 +*3183 net739 +*3184 net74 +*3185 net740 +*3186 net741 +*3187 net742 +*3188 net743 +*3189 net744 +*3190 net745 +*3191 net746 +*3192 net747 +*3193 net748 +*3194 net749 +*3195 net75 +*3196 net750 +*3197 net751 +*3198 net752 +*3199 net753 +*3200 net754 +*3201 net755 +*3202 net756 +*3203 net757 +*3204 net758 +*3205 net759 +*3206 net76 +*3207 net760 +*3208 net761 +*3209 net762 +*3210 net763 +*3211 net764 +*3212 net765 +*3213 net766 +*3214 net767 +*3215 net768 +*3216 net769 +*3217 net77 +*3218 net770 +*3219 net771 +*3220 net772 +*3221 net773 +*3222 net774 +*3223 net775 +*3224 net776 +*3225 net777 +*3226 net778 +*3227 net779 +*3228 net78 +*3229 net780 +*3230 net781 +*3231 net782 +*3232 net783 +*3233 net784 +*3234 net785 +*3235 net786 +*3236 net787 +*3237 net788 +*3238 net789 +*3239 net79 +*3240 net790 +*3241 net791 +*3242 net792 +*3243 net793 +*3244 net794 +*3245 net795 +*3246 net796 +*3247 net797 +*3248 net798 +*3249 net799 +*3250 net8 +*3251 net80 +*3252 net800 +*3253 net801 +*3254 net802 +*3255 net803 +*3256 net804 +*3257 net805 +*3258 net806 +*3259 net807 +*3260 net808 +*3261 net809 +*3262 net81 +*3263 net810 +*3264 net811 +*3265 net812 +*3266 net813 +*3267 net814 +*3268 net815 +*3269 net816 +*3270 net817 +*3271 net818 +*3272 net819 +*3273 net82 +*3274 net820 +*3275 net821 +*3276 net822 +*3277 net823 +*3278 net824 +*3279 net825 +*3280 net826 +*3281 net827 +*3282 net828 +*3283 net829 +*3284 net83 +*3285 net830 +*3286 net831 +*3287 net832 +*3288 net833 +*3289 net834 +*3290 net835 +*3291 net836 +*3292 net837 +*3293 net838 +*3294 net839 +*3295 net84 +*3296 net840 +*3297 net841 +*3298 net842 +*3299 net843 +*3300 net844 +*3301 net845 +*3302 net846 +*3303 net847 +*3304 net848 +*3305 net849 +*3306 net85 +*3307 net850 +*3308 net851 +*3309 net852 +*3310 net853 +*3311 net854 +*3312 net855 +*3313 net856 +*3314 net857 +*3315 net858 +*3316 net859 +*3317 net86 +*3318 net860 +*3319 net861 +*3320 net862 +*3321 net863 +*3322 net864 +*3323 net865 +*3324 net866 +*3325 net867 +*3326 net868 +*3327 net869 +*3328 net87 +*3329 net870 +*3330 net871 +*3331 net872 +*3332 net873 +*3333 net874 +*3334 net875 +*3335 net876 +*3336 net877 +*3337 net878 +*3338 net879 +*3339 net88 +*3340 net880 +*3341 net881 +*3342 net882 +*3343 net883 +*3344 net884 +*3345 net885 +*3346 net886 +*3347 net887 +*3348 net888 +*3349 net889 +*3350 net89 +*3351 net890 +*3352 net891 +*3353 net892 +*3354 net893 +*3355 net894 +*3356 net895 +*3357 net896 +*3358 net897 +*3359 net898 +*3360 net899 +*3361 net9 +*3362 net90 +*3363 net900 +*3364 net901 +*3365 net902 +*3366 net903 +*3367 net904 +*3368 net905 +*3369 net906 +*3370 net907 +*3371 net908 +*3372 net909 +*3373 net91 +*3374 net910 +*3375 net911 +*3376 net912 +*3377 net913 +*3378 net914 +*3379 net915 +*3380 net916 +*3381 net917 +*3382 net918 +*3383 net919 +*3384 net92 +*3385 net920 +*3386 net921 +*3387 net922 +*3388 net923 +*3389 net924 +*3390 net925 +*3391 net926 +*3392 net927 +*3393 net928 +*3394 net929 +*3395 net93 +*3396 net930 +*3397 net931 +*3398 net932 +*3399 net933 +*3400 net934 +*3401 net935 +*3402 net936 +*3403 net937 +*3404 net938 +*3405 net939 +*3406 net94 +*3407 net940 +*3408 net941 +*3409 net942 +*3410 net943 +*3411 net944 +*3412 net945 +*3413 net946 +*3414 net947 +*3415 net948 +*3416 net949 +*3417 net95 +*3418 net950 +*3419 net951 +*3420 net952 +*3421 net953 +*3422 net954 +*3423 net955 +*3424 net956 +*3425 net957 +*3426 net958 +*3427 net959 +*3428 net96 +*3429 net960 +*3430 net961 +*3431 net962 +*3432 net963 +*3433 net964 +*3434 net965 +*3435 net966 +*3436 net967 +*3437 net968 +*3438 net969 +*3439 net97 +*3440 net970 +*3441 net971 +*3442 net972 +*3443 net973 +*3444 net974 +*3445 net975 +*3446 net976 +*3447 net977 +*3448 net978 +*3449 net979 +*3450 net98 +*3451 net980 +*3452 net981 +*3453 net982 +*3454 net983 +*3455 net984 +*3456 net985 +*3457 net986 +*3458 net987 +*3459 net988 +*3460 net989 +*3461 net99 +*3462 net990 +*3463 net991 +*3464 net992 +*3465 net993 +*3466 net994 +*3467 net995 +*3468 net996 +*3469 net997 +*3470 net998 +*3471 net999 +*3472 user_irq_bar\[0\] +*3473 user_irq_bar\[1\] +*3474 user_irq_bar\[2\] +*3475 user_irq_enable\[0\] +*3476 user_irq_enable\[1\] +*3477 user_irq_enable\[2\] +*3478 wb_in_enable +*3479 ANTENNA__329__A +*3480 ANTENNA__330__A +*3481 ANTENNA__331__A +*3482 ANTENNA__332__A +*3483 ANTENNA__333__A +*3484 ANTENNA__334__A +*3485 ANTENNA__335__A +*3486 ANTENNA__336__A +*3487 ANTENNA__337__A +*3488 ANTENNA__338__A +*3489 ANTENNA__339__A +*3490 ANTENNA__340__A +*3491 ANTENNA__341__A +*3492 ANTENNA__342__A +*3493 ANTENNA__343__A +*3494 ANTENNA__344__A +*3495 ANTENNA__345__A +*3496 ANTENNA__346__A +*3497 ANTENNA__347__A +*3498 ANTENNA__348__A +*3499 ANTENNA__349__A +*3500 ANTENNA__350__A +*3501 ANTENNA__351__A +*3502 ANTENNA__352__A +*3503 ANTENNA__353__A +*3504 ANTENNA__354__A +*3505 ANTENNA__355__A +*3506 ANTENNA__356__A +*3507 ANTENNA__357__A +*3508 ANTENNA__358__A +*3509 ANTENNA__359__A +*3510 ANTENNA__360__A +*3511 ANTENNA__361__A +*3512 ANTENNA__362__A +*3513 ANTENNA__363__A +*3514 ANTENNA__364__A +*3515 ANTENNA__365__A +*3516 ANTENNA__366__A +*3517 ANTENNA__367__A +*3518 ANTENNA__368__A +*3519 ANTENNA__369__A +*3520 ANTENNA__370__A +*3521 ANTENNA__371__A +*3522 ANTENNA__372__A +*3523 ANTENNA__373__A +*3524 ANTENNA__374__A +*3525 ANTENNA__375__A +*3526 ANTENNA__376__A +*3527 ANTENNA__377__A +*3528 ANTENNA__378__A +*3529 ANTENNA__379__A +*3530 ANTENNA__380__A +*3531 ANTENNA__381__A +*3532 ANTENNA__382__A +*3533 ANTENNA__383__A +*3534 ANTENNA__384__A +*3535 ANTENNA__385__A +*3536 ANTENNA__386__A +*3537 ANTENNA__387__A +*3538 ANTENNA__388__A +*3539 ANTENNA__389__A +*3540 ANTENNA__390__A +*3541 ANTENNA__391__A +*3542 ANTENNA__392__A +*3543 ANTENNA__393__A +*3544 ANTENNA__394__A +*3545 ANTENNA__395__A +*3546 ANTENNA__396__A +*3547 ANTENNA__397__A +*3548 ANTENNA__398__A +*3549 ANTENNA__399__A +*3550 ANTENNA__400__A +*3551 ANTENNA__401__A +*3552 ANTENNA__402__A +*3553 ANTENNA__403__A +*3554 ANTENNA__404__A +*3555 ANTENNA__405__A +*3556 ANTENNA__406__A +*3557 ANTENNA__407__A +*3558 ANTENNA__408__A +*3559 ANTENNA__409__A +*3560 ANTENNA__410__A +*3561 ANTENNA__411__A +*3562 ANTENNA__412__A +*3563 ANTENNA__413__A +*3564 ANTENNA__414__A +*3565 ANTENNA__415__A +*3566 ANTENNA__416__A +*3567 ANTENNA__417__A +*3568 ANTENNA__418__A +*3569 ANTENNA__419__A +*3570 ANTENNA__420__A +*3571 ANTENNA__421__A +*3572 ANTENNA__422__A +*3573 ANTENNA__423__A +*3574 ANTENNA__424__A +*3575 ANTENNA__425__A +*3576 ANTENNA__426__A +*3577 ANTENNA__427__A +*3578 ANTENNA__428__A +*3579 ANTENNA__429__A +*3580 ANTENNA__430__A +*3581 ANTENNA__431__A +*3582 ANTENNA__432__A +*3583 ANTENNA__433__A +*3584 ANTENNA__434__A +*3585 ANTENNA__435__A +*3586 ANTENNA__436__A +*3587 ANTENNA__437__A +*3588 ANTENNA__438__A +*3589 ANTENNA__439__A +*3590 ANTENNA__440__A +*3591 ANTENNA__441__A +*3592 ANTENNA__442__A +*3593 ANTENNA__443__A +*3594 ANTENNA__444__A +*3595 ANTENNA__445__A +*3596 ANTENNA__446__A +*3597 ANTENNA__447__A +*3598 ANTENNA__448__A +*3599 ANTENNA__449__A +*3600 ANTENNA__450__A +*3601 ANTENNA__451__A +*3602 ANTENNA__452__A +*3603 ANTENNA__453__A +*3604 ANTENNA__454__A +*3605 ANTENNA__455__A +*3606 ANTENNA__456__A +*3607 ANTENNA__457__A +*3608 ANTENNA__458__A +*3609 ANTENNA__459__A +*3610 ANTENNA__460__A +*3611 ANTENNA__461__A +*3612 ANTENNA__462__A +*3613 ANTENNA__463__A +*3614 ANTENNA__464__A +*3615 ANTENNA__465__A +*3616 ANTENNA__466__A +*3617 ANTENNA__467__A +*3618 ANTENNA__468__A +*3619 ANTENNA__469__A +*3620 ANTENNA__470__A +*3621 ANTENNA__471__A +*3622 ANTENNA__472__A +*3623 ANTENNA__473__A +*3624 ANTENNA__474__A +*3625 ANTENNA__475__A +*3626 ANTENNA__476__A +*3627 ANTENNA__477__A +*3628 ANTENNA__478__A +*3629 ANTENNA__479__A +*3630 ANTENNA__480__A +*3631 ANTENNA__481__A +*3632 ANTENNA__482__A +*3633 ANTENNA__483__A +*3634 ANTENNA__484__A +*3635 ANTENNA__485__A +*3636 ANTENNA__486__A +*3637 ANTENNA__487__A +*3638 ANTENNA__488__A +*3639 ANTENNA__489__A +*3640 ANTENNA__490__A +*3641 ANTENNA__491__A +*3642 ANTENNA__492__A +*3643 ANTENNA__493__A +*3644 ANTENNA__494__A +*3645 ANTENNA__495__A +*3646 ANTENNA__496__A +*3647 ANTENNA__497__A +*3648 ANTENNA__498__A +*3649 ANTENNA__499__A +*3650 ANTENNA__500__A +*3651 ANTENNA__501__A +*3652 ANTENNA__502__A +*3653 ANTENNA__503__A +*3654 ANTENNA__504__A +*3655 ANTENNA__505__A +*3656 ANTENNA__506__A +*3657 ANTENNA__507__A +*3658 ANTENNA__508__A +*3659 ANTENNA__509__A +*3660 ANTENNA__510__A +*3661 ANTENNA__511__A +*3662 ANTENNA__512__A +*3663 ANTENNA__513__A +*3664 ANTENNA__514__A +*3665 ANTENNA__515__A +*3666 ANTENNA__516__A +*3667 ANTENNA__517__A +*3668 ANTENNA__518__A +*3669 ANTENNA__519__A +*3670 ANTENNA__520__A +*3671 ANTENNA__521__A +*3672 ANTENNA__522__A +*3673 ANTENNA__523__A +*3674 ANTENNA__524__A +*3675 ANTENNA__525__A +*3676 ANTENNA__526__A +*3677 ANTENNA__527__A +*3678 ANTENNA__528__A +*3679 ANTENNA__529__A +*3680 ANTENNA__530__A +*3681 ANTENNA__531__A +*3682 ANTENNA__532__A +*3683 ANTENNA__533__A +*3684 ANTENNA__534__A +*3685 ANTENNA__535__A +*3686 ANTENNA__536__A +*3687 ANTENNA__537__A +*3688 ANTENNA__538__A +*3689 ANTENNA__539__A +*3690 ANTENNA__540__A +*3691 ANTENNA__541__A +*3692 ANTENNA__542__A +*3693 ANTENNA__543__A +*3694 ANTENNA__544__A +*3695 ANTENNA__545__A +*3696 ANTENNA__546__A +*3697 ANTENNA__547__A +*3698 ANTENNA__548__A +*3699 ANTENNA__549__A +*3700 ANTENNA__550__A +*3701 ANTENNA__551__A +*3702 ANTENNA__552__A +*3703 ANTENNA__553__A +*3704 ANTENNA__554__A +*3705 ANTENNA__555__A +*3706 ANTENNA__556__A +*3707 ANTENNA__557__A +*3708 ANTENNA__558__A +*3709 ANTENNA__559__A +*3710 ANTENNA__560__A +*3711 ANTENNA__561__A +*3712 ANTENNA__562__A +*3713 ANTENNA__563__A +*3714 ANTENNA__564__A +*3715 ANTENNA__565__A +*3716 ANTENNA__566__A +*3717 ANTENNA__567__A +*3718 ANTENNA__568__A +*3719 ANTENNA__569__A +*3720 ANTENNA__570__A +*3721 ANTENNA__571__A +*3722 ANTENNA__572__A +*3723 ANTENNA__573__A +*3724 ANTENNA__574__A +*3725 ANTENNA__575__A +*3726 ANTENNA__576__A +*3727 ANTENNA__577__A +*3728 ANTENNA__578__A +*3729 ANTENNA__579__A +*3730 ANTENNA__580__A +*3731 ANTENNA__581__A +*3732 ANTENNA__582__A +*3733 ANTENNA__583__A +*3734 ANTENNA__584__A +*3735 ANTENNA__585__A +*3736 ANTENNA__586__A +*3737 ANTENNA__587__A +*3738 ANTENNA__588__A +*3739 ANTENNA__589__A +*3740 ANTENNA__590__A +*3741 ANTENNA__591__A +*3742 ANTENNA__592__A +*3743 ANTENNA__593__A +*3744 ANTENNA__594__A +*3745 ANTENNA__595__A +*3746 ANTENNA__596__A +*3747 ANTENNA__597__A +*3748 ANTENNA__598__A +*3749 ANTENNA__599__A +*3750 ANTENNA__600__A +*3751 ANTENNA__601__A +*3752 ANTENNA__602__A +*3753 ANTENNA__603__A +*3754 ANTENNA__604__A +*3755 ANTENNA__605__A +*3756 ANTENNA__606__A +*3757 ANTENNA__607__A +*3758 ANTENNA__608__A +*3759 ANTENNA__609__A +*3760 ANTENNA__610__A +*3761 ANTENNA__611__A +*3762 ANTENNA__612__A +*3763 ANTENNA__613__A +*3764 ANTENNA__614__A +*3765 ANTENNA__615__A +*3766 ANTENNA__616__A +*3767 ANTENNA__617__A +*3768 ANTENNA__618__A +*3769 ANTENNA__619__A +*3770 ANTENNA__620__A +*3771 ANTENNA__621__A +*3772 ANTENNA__622__A +*3773 ANTENNA__623__A +*3774 ANTENNA__624__A +*3775 ANTENNA__625__A +*3776 ANTENNA__626__A +*3777 ANTENNA__627__A +*3778 ANTENNA__628__A +*3779 ANTENNA__629__A +*3780 ANTENNA__630__A +*3781 ANTENNA__631__A +*3782 ANTENNA__632__A +*3783 ANTENNA__633__A +*3784 ANTENNA__634__A +*3785 ANTENNA__635__A +*3786 ANTENNA__636__A +*3787 ANTENNA__637__A +*3788 ANTENNA__638__A +*3789 ANTENNA__639__A +*3790 ANTENNA__640__A +*3791 ANTENNA__641__A +*3792 ANTENNA__642__A +*3793 ANTENNA__643__A +*3794 ANTENNA__644__A +*3795 ANTENNA__645__A +*3796 ANTENNA__646__A +*3797 ANTENNA__647__A +*3798 ANTENNA__648__A +*3799 ANTENNA__649__A +*3800 ANTENNA__650__A +*3801 ANTENNA__651__A +*3802 ANTENNA__652__A +*3803 ANTENNA__653__A +*3804 ANTENNA__654__A +*3805 ANTENNA__655__A +*3806 ANTENNA__656__A +*3807 ANTENNA__657__A +*3808 ANTENNA_input100_A +*3809 ANTENNA_input101_A +*3810 ANTENNA_input102_A +*3811 ANTENNA_input103_A +*3812 ANTENNA_input104_A +*3813 ANTENNA_input105_A +*3814 ANTENNA_input106_A +*3815 ANTENNA_input107_A +*3816 ANTENNA_input108_A +*3817 ANTENNA_input109_A +*3818 ANTENNA_input10_A +*3819 ANTENNA_input110_A +*3820 ANTENNA_input111_A +*3821 ANTENNA_input112_A +*3822 ANTENNA_input113_A +*3823 ANTENNA_input114_A +*3824 ANTENNA_input115_A +*3825 ANTENNA_input116_A +*3826 ANTENNA_input117_A +*3827 ANTENNA_input118_A +*3828 ANTENNA_input119_A +*3829 ANTENNA_input11_A +*3830 ANTENNA_input120_A +*3831 ANTENNA_input121_A +*3832 ANTENNA_input122_A +*3833 ANTENNA_input123_A +*3834 ANTENNA_input124_A +*3835 ANTENNA_input125_A +*3836 ANTENNA_input126_A +*3837 ANTENNA_input127_A +*3838 ANTENNA_input128_A +*3839 ANTENNA_input129_A +*3840 ANTENNA_input12_A +*3841 ANTENNA_input130_A +*3842 ANTENNA_input131_A +*3843 ANTENNA_input132_A +*3844 ANTENNA_input133_A +*3845 ANTENNA_input134_A +*3846 ANTENNA_input135_A +*3847 ANTENNA_input136_A +*3848 ANTENNA_input137_A +*3849 ANTENNA_input138_A +*3850 ANTENNA_input139_A +*3851 ANTENNA_input13_A +*3852 ANTENNA_input140_A +*3853 ANTENNA_input141_A +*3854 ANTENNA_input142_A +*3855 ANTENNA_input143_A +*3856 ANTENNA_input144_A +*3857 ANTENNA_input145_A +*3858 ANTENNA_input146_A +*3859 ANTENNA_input147_A +*3860 ANTENNA_input148_A +*3861 ANTENNA_input149_A +*3862 ANTENNA_input14_A +*3863 ANTENNA_input150_A +*3864 ANTENNA_input151_A +*3865 ANTENNA_input152_A +*3866 ANTENNA_input153_A +*3867 ANTENNA_input154_A +*3868 ANTENNA_input155_A +*3869 ANTENNA_input156_A +*3870 ANTENNA_input157_A +*3871 ANTENNA_input158_A +*3872 ANTENNA_input159_A +*3873 ANTENNA_input15_A +*3874 ANTENNA_input160_A +*3875 ANTENNA_input161_A +*3876 ANTENNA_input162_A +*3877 ANTENNA_input163_A +*3878 ANTENNA_input164_A +*3879 ANTENNA_input165_A +*3880 ANTENNA_input166_A +*3881 ANTENNA_input167_A +*3882 ANTENNA_input168_A +*3883 ANTENNA_input169_A +*3884 ANTENNA_input16_A +*3885 ANTENNA_input170_A +*3886 ANTENNA_input171_A +*3887 ANTENNA_input172_A +*3888 ANTENNA_input173_A +*3889 ANTENNA_input174_A +*3890 ANTENNA_input175_A +*3891 ANTENNA_input176_A +*3892 ANTENNA_input177_A +*3893 ANTENNA_input178_A +*3894 ANTENNA_input179_A +*3895 ANTENNA_input17_A +*3896 ANTENNA_input180_A +*3897 ANTENNA_input181_A +*3898 ANTENNA_input182_A +*3899 ANTENNA_input183_A +*3900 ANTENNA_input184_A +*3901 ANTENNA_input185_A +*3902 ANTENNA_input186_A +*3903 ANTENNA_input187_A +*3904 ANTENNA_input188_A +*3905 ANTENNA_input189_A +*3906 ANTENNA_input18_A +*3907 ANTENNA_input190_A +*3908 ANTENNA_input191_A +*3909 ANTENNA_input192_A +*3910 ANTENNA_input193_A +*3911 ANTENNA_input194_A +*3912 ANTENNA_input195_A +*3913 ANTENNA_input196_A +*3914 ANTENNA_input197_A +*3915 ANTENNA_input198_A +*3916 ANTENNA_input199_A +*3917 ANTENNA_input19_A +*3918 ANTENNA_input1_A +*3919 ANTENNA_input200_A +*3920 ANTENNA_input201_A +*3921 ANTENNA_input202_A +*3922 ANTENNA_input203_A +*3923 ANTENNA_input204_A +*3924 ANTENNA_input205_A +*3925 ANTENNA_input206_A +*3926 ANTENNA_input207_A +*3927 ANTENNA_input208_A +*3928 ANTENNA_input209_A +*3929 ANTENNA_input20_A +*3930 ANTENNA_input210_A +*3931 ANTENNA_input211_A +*3932 ANTENNA_input212_A +*3933 ANTENNA_input213_A +*3934 ANTENNA_input214_A +*3935 ANTENNA_input215_A +*3936 ANTENNA_input216_A +*3937 ANTENNA_input217_A +*3938 ANTENNA_input218_A +*3939 ANTENNA_input219_A +*3940 ANTENNA_input21_A +*3941 ANTENNA_input220_A +*3942 ANTENNA_input221_A +*3943 ANTENNA_input222_A +*3944 ANTENNA_input223_A +*3945 ANTENNA_input224_A +*3946 ANTENNA_input225_A +*3947 ANTENNA_input226_A +*3948 ANTENNA_input227_A +*3949 ANTENNA_input228_A +*3950 ANTENNA_input229_A +*3951 ANTENNA_input22_A +*3952 ANTENNA_input230_A +*3953 ANTENNA_input231_A +*3954 ANTENNA_input232_A +*3955 ANTENNA_input233_A +*3956 ANTENNA_input234_A +*3957 ANTENNA_input235_A +*3958 ANTENNA_input236_A +*3959 ANTENNA_input237_A +*3960 ANTENNA_input238_A +*3961 ANTENNA_input239_A +*3962 ANTENNA_input23_A +*3963 ANTENNA_input240_A +*3964 ANTENNA_input241_A +*3965 ANTENNA_input242_A +*3966 ANTENNA_input243_A +*3967 ANTENNA_input244_A +*3968 ANTENNA_input245_A +*3969 ANTENNA_input246_A +*3970 ANTENNA_input247_A +*3971 ANTENNA_input248_A +*3972 ANTENNA_input249_A +*3973 ANTENNA_input24_A +*3974 ANTENNA_input250_A +*3975 ANTENNA_input251_A +*3976 ANTENNA_input252_A +*3977 ANTENNA_input253_A +*3978 ANTENNA_input254_A +*3979 ANTENNA_input255_A +*3980 ANTENNA_input256_A +*3981 ANTENNA_input257_A +*3982 ANTENNA_input258_A +*3983 ANTENNA_input259_A +*3984 ANTENNA_input25_A +*3985 ANTENNA_input260_A +*3986 ANTENNA_input261_A +*3987 ANTENNA_input262_A +*3988 ANTENNA_input263_A +*3989 ANTENNA_input264_A +*3990 ANTENNA_input265_A +*3991 ANTENNA_input266_A +*3992 ANTENNA_input267_A +*3993 ANTENNA_input268_A +*3994 ANTENNA_input269_A +*3995 ANTENNA_input26_A +*3996 ANTENNA_input270_A +*3997 ANTENNA_input271_A +*3998 ANTENNA_input272_A +*3999 ANTENNA_input273_A +*4000 ANTENNA_input274_A +*4001 ANTENNA_input275_A +*4002 ANTENNA_input276_A +*4003 ANTENNA_input277_A +*4004 ANTENNA_input278_A +*4005 ANTENNA_input279_A +*4006 ANTENNA_input27_A +*4007 ANTENNA_input280_A +*4008 ANTENNA_input281_A +*4009 ANTENNA_input282_A +*4010 ANTENNA_input283_A +*4011 ANTENNA_input284_A +*4012 ANTENNA_input285_A +*4013 ANTENNA_input286_A +*4014 ANTENNA_input287_A +*4015 ANTENNA_input288_A +*4016 ANTENNA_input289_A +*4017 ANTENNA_input28_A +*4018 ANTENNA_input290_A +*4019 ANTENNA_input291_A +*4020 ANTENNA_input292_A +*4021 ANTENNA_input293_A +*4022 ANTENNA_input294_A +*4023 ANTENNA_input295_A +*4024 ANTENNA_input296_A +*4025 ANTENNA_input297_A +*4026 ANTENNA_input298_A +*4027 ANTENNA_input299_A +*4028 ANTENNA_input29_A +*4029 ANTENNA_input2_A +*4030 ANTENNA_input300_A +*4031 ANTENNA_input301_A +*4032 ANTENNA_input302_A +*4033 ANTENNA_input303_A +*4034 ANTENNA_input304_A +*4035 ANTENNA_input305_A +*4036 ANTENNA_input306_A +*4037 ANTENNA_input307_A +*4038 ANTENNA_input308_A +*4039 ANTENNA_input309_A +*4040 ANTENNA_input30_A +*4041 ANTENNA_input310_A +*4042 ANTENNA_input311_A +*4043 ANTENNA_input312_A +*4044 ANTENNA_input313_A +*4045 ANTENNA_input314_A +*4046 ANTENNA_input315_A +*4047 ANTENNA_input316_A +*4048 ANTENNA_input317_A +*4049 ANTENNA_input318_A +*4050 ANTENNA_input319_A +*4051 ANTENNA_input31_A +*4052 ANTENNA_input320_A +*4053 ANTENNA_input321_A +*4054 ANTENNA_input322_A +*4055 ANTENNA_input323_A +*4056 ANTENNA_input324_A +*4057 ANTENNA_input325_A +*4058 ANTENNA_input326_A +*4059 ANTENNA_input327_A +*4060 ANTENNA_input328_A +*4061 ANTENNA_input329_A +*4062 ANTENNA_input32_A +*4063 ANTENNA_input330_A +*4064 ANTENNA_input331_A +*4065 ANTENNA_input332_A +*4066 ANTENNA_input333_A +*4067 ANTENNA_input334_A +*4068 ANTENNA_input335_A +*4069 ANTENNA_input336_A +*4070 ANTENNA_input337_A +*4071 ANTENNA_input338_A +*4072 ANTENNA_input339_A +*4073 ANTENNA_input33_A +*4074 ANTENNA_input340_A +*4075 ANTENNA_input341_A +*4076 ANTENNA_input342_A +*4077 ANTENNA_input343_A +*4078 ANTENNA_input344_A +*4079 ANTENNA_input345_A +*4080 ANTENNA_input346_A +*4081 ANTENNA_input347_A +*4082 ANTENNA_input348_A +*4083 ANTENNA_input349_A +*4084 ANTENNA_input34_A +*4085 ANTENNA_input350_A +*4086 ANTENNA_input351_A +*4087 ANTENNA_input352_A +*4088 ANTENNA_input353_A +*4089 ANTENNA_input354_A +*4090 ANTENNA_input355_A +*4091 ANTENNA_input356_A +*4092 ANTENNA_input357_A +*4093 ANTENNA_input358_A +*4094 ANTENNA_input359_A +*4095 ANTENNA_input35_A +*4096 ANTENNA_input360_A +*4097 ANTENNA_input361_A +*4098 ANTENNA_input362_A +*4099 ANTENNA_input363_A +*4100 ANTENNA_input364_A +*4101 ANTENNA_input365_A +*4102 ANTENNA_input366_A +*4103 ANTENNA_input367_A +*4104 ANTENNA_input368_A +*4105 ANTENNA_input369_A +*4106 ANTENNA_input36_A +*4107 ANTENNA_input370_A +*4108 ANTENNA_input371_A +*4109 ANTENNA_input372_A +*4110 ANTENNA_input373_A +*4111 ANTENNA_input374_A +*4112 ANTENNA_input375_A +*4113 ANTENNA_input376_A +*4114 ANTENNA_input377_A +*4115 ANTENNA_input378_A +*4116 ANTENNA_input379_A +*4117 ANTENNA_input37_A +*4118 ANTENNA_input380_A +*4119 ANTENNA_input381_A +*4120 ANTENNA_input382_A +*4121 ANTENNA_input383_A +*4122 ANTENNA_input384_A +*4123 ANTENNA_input385_A +*4124 ANTENNA_input386_A +*4125 ANTENNA_input387_A +*4126 ANTENNA_input388_A +*4127 ANTENNA_input389_A +*4128 ANTENNA_input38_A +*4129 ANTENNA_input390_A +*4130 ANTENNA_input391_A +*4131 ANTENNA_input392_A +*4132 ANTENNA_input393_A +*4133 ANTENNA_input394_A +*4134 ANTENNA_input395_A +*4135 ANTENNA_input396_A +*4136 ANTENNA_input397_A +*4137 ANTENNA_input398_A +*4138 ANTENNA_input399_A +*4139 ANTENNA_input39_A +*4140 ANTENNA_input3_A +*4141 ANTENNA_input400_A +*4142 ANTENNA_input401_A +*4143 ANTENNA_input402_A +*4144 ANTENNA_input403_A +*4145 ANTENNA_input404_A +*4146 ANTENNA_input405_A +*4147 ANTENNA_input406_A +*4148 ANTENNA_input407_A +*4149 ANTENNA_input408_A +*4150 ANTENNA_input409_A +*4151 ANTENNA_input40_A +*4152 ANTENNA_input410_A +*4153 ANTENNA_input411_A +*4154 ANTENNA_input412_A +*4155 ANTENNA_input413_A +*4156 ANTENNA_input414_A +*4157 ANTENNA_input415_A +*4158 ANTENNA_input416_A +*4159 ANTENNA_input417_A +*4160 ANTENNA_input418_A +*4161 ANTENNA_input419_A +*4162 ANTENNA_input41_A +*4163 ANTENNA_input420_A +*4164 ANTENNA_input421_A +*4165 ANTENNA_input422_A +*4166 ANTENNA_input423_A +*4167 ANTENNA_input424_A +*4168 ANTENNA_input425_A +*4169 ANTENNA_input426_A +*4170 ANTENNA_input427_A +*4171 ANTENNA_input428_A +*4172 ANTENNA_input429_A +*4173 ANTENNA_input42_A +*4174 ANTENNA_input430_A +*4175 ANTENNA_input431_A +*4176 ANTENNA_input432_A +*4177 ANTENNA_input433_A +*4178 ANTENNA_input434_A +*4179 ANTENNA_input435_A +*4180 ANTENNA_input436_A +*4181 ANTENNA_input437_A +*4182 ANTENNA_input438_A +*4183 ANTENNA_input439_A +*4184 ANTENNA_input43_A +*4185 ANTENNA_input440_A +*4186 ANTENNA_input441_A +*4187 ANTENNA_input442_A +*4188 ANTENNA_input443_A +*4189 ANTENNA_input444_A +*4190 ANTENNA_input445_A +*4191 ANTENNA_input446_A +*4192 ANTENNA_input447_A +*4193 ANTENNA_input448_A +*4194 ANTENNA_input449_A +*4195 ANTENNA_input44_A +*4196 ANTENNA_input450_A +*4197 ANTENNA_input451_A +*4198 ANTENNA_input452_A +*4199 ANTENNA_input453_A +*4200 ANTENNA_input454_A +*4201 ANTENNA_input455_A +*4202 ANTENNA_input456_A +*4203 ANTENNA_input457_A +*4204 ANTENNA_input458_A +*4205 ANTENNA_input459_A +*4206 ANTENNA_input45_A +*4207 ANTENNA_input460_A +*4208 ANTENNA_input461_A +*4209 ANTENNA_input462_A +*4210 ANTENNA_input463_A +*4211 ANTENNA_input464_A +*4212 ANTENNA_input465_A +*4213 ANTENNA_input466_A +*4214 ANTENNA_input467_A +*4215 ANTENNA_input468_A +*4216 ANTENNA_input469_A +*4217 ANTENNA_input46_A +*4218 ANTENNA_input470_A +*4219 ANTENNA_input471_A +*4220 ANTENNA_input472_A +*4221 ANTENNA_input473_A +*4222 ANTENNA_input474_A +*4223 ANTENNA_input475_A +*4224 ANTENNA_input476_A +*4225 ANTENNA_input477_A +*4226 ANTENNA_input478_A +*4227 ANTENNA_input479_A +*4228 ANTENNA_input47_A +*4229 ANTENNA_input480_A +*4230 ANTENNA_input481_A +*4231 ANTENNA_input482_A +*4232 ANTENNA_input483_A +*4233 ANTENNA_input484_A +*4234 ANTENNA_input485_A +*4235 ANTENNA_input486_A +*4236 ANTENNA_input487_A +*4237 ANTENNA_input488_A +*4238 ANTENNA_input489_A +*4239 ANTENNA_input48_A +*4240 ANTENNA_input490_A +*4241 ANTENNA_input491_A +*4242 ANTENNA_input492_A +*4243 ANTENNA_input493_A +*4244 ANTENNA_input494_A +*4245 ANTENNA_input495_A +*4246 ANTENNA_input496_A +*4247 ANTENNA_input497_A +*4248 ANTENNA_input498_A +*4249 ANTENNA_input499_A +*4250 ANTENNA_input49_A +*4251 ANTENNA_input4_A +*4252 ANTENNA_input500_A +*4253 ANTENNA_input501_A +*4254 ANTENNA_input502_A +*4255 ANTENNA_input503_A +*4256 ANTENNA_input504_A +*4257 ANTENNA_input505_A +*4258 ANTENNA_input506_A +*4259 ANTENNA_input507_A +*4260 ANTENNA_input508_A +*4261 ANTENNA_input509_A +*4262 ANTENNA_input50_A +*4263 ANTENNA_input510_A +*4264 ANTENNA_input511_A +*4265 ANTENNA_input512_A +*4266 ANTENNA_input513_A +*4267 ANTENNA_input514_A +*4268 ANTENNA_input515_A +*4269 ANTENNA_input516_A +*4270 ANTENNA_input517_A +*4271 ANTENNA_input518_A +*4272 ANTENNA_input519_A +*4273 ANTENNA_input51_A +*4274 ANTENNA_input520_A +*4275 ANTENNA_input521_A +*4276 ANTENNA_input522_A +*4277 ANTENNA_input523_A +*4278 ANTENNA_input524_A +*4279 ANTENNA_input525_A +*4280 ANTENNA_input526_A +*4281 ANTENNA_input527_A +*4282 ANTENNA_input528_A +*4283 ANTENNA_input529_A +*4284 ANTENNA_input52_A +*4285 ANTENNA_input530_A +*4286 ANTENNA_input531_A +*4287 ANTENNA_input532_A +*4288 ANTENNA_input533_A +*4289 ANTENNA_input534_A +*4290 ANTENNA_input535_A +*4291 ANTENNA_input536_A +*4292 ANTENNA_input537_A +*4293 ANTENNA_input538_A +*4294 ANTENNA_input539_A +*4295 ANTENNA_input53_A +*4296 ANTENNA_input540_A +*4297 ANTENNA_input541_A +*4298 ANTENNA_input542_A +*4299 ANTENNA_input543_A +*4300 ANTENNA_input544_A +*4301 ANTENNA_input545_A +*4302 ANTENNA_input546_A +*4303 ANTENNA_input547_A +*4304 ANTENNA_input548_A +*4305 ANTENNA_input549_A +*4306 ANTENNA_input54_A +*4307 ANTENNA_input550_A +*4308 ANTENNA_input551_A +*4309 ANTENNA_input552_A +*4310 ANTENNA_input553_A +*4311 ANTENNA_input554_A +*4312 ANTENNA_input555_A +*4313 ANTENNA_input556_A +*4314 ANTENNA_input557_A +*4315 ANTENNA_input558_A +*4316 ANTENNA_input559_A +*4317 ANTENNA_input55_A +*4318 ANTENNA_input560_A +*4319 ANTENNA_input561_A +*4320 ANTENNA_input562_A +*4321 ANTENNA_input563_A +*4322 ANTENNA_input564_A +*4323 ANTENNA_input565_A +*4324 ANTENNA_input566_A +*4325 ANTENNA_input567_A +*4326 ANTENNA_input568_A +*4327 ANTENNA_input569_A +*4328 ANTENNA_input56_A +*4329 ANTENNA_input570_A +*4330 ANTENNA_input571_A +*4331 ANTENNA_input572_A +*4332 ANTENNA_input573_A +*4333 ANTENNA_input574_A +*4334 ANTENNA_input575_A +*4335 ANTENNA_input576_A +*4336 ANTENNA_input577_A +*4337 ANTENNA_input578_A +*4338 ANTENNA_input579_A +*4339 ANTENNA_input57_A +*4340 ANTENNA_input580_A +*4341 ANTENNA_input581_A +*4342 ANTENNA_input582_A +*4343 ANTENNA_input583_A +*4344 ANTENNA_input584_A +*4345 ANTENNA_input585_A +*4346 ANTENNA_input586_A +*4347 ANTENNA_input587_A +*4348 ANTENNA_input588_A +*4349 ANTENNA_input589_A +*4350 ANTENNA_input58_A +*4351 ANTENNA_input590_A +*4352 ANTENNA_input591_A +*4353 ANTENNA_input592_A +*4354 ANTENNA_input593_A +*4355 ANTENNA_input594_A +*4356 ANTENNA_input595_A +*4357 ANTENNA_input596_A +*4358 ANTENNA_input597_A +*4359 ANTENNA_input598_A +*4360 ANTENNA_input599_A +*4361 ANTENNA_input59_A +*4362 ANTENNA_input5_A +*4363 ANTENNA_input600_A +*4364 ANTENNA_input601_A +*4365 ANTENNA_input602_A +*4366 ANTENNA_input603_A +*4367 ANTENNA_input604_A +*4368 ANTENNA_input605_A +*4369 ANTENNA_input606_A +*4370 ANTENNA_input607_A +*4371 ANTENNA_input608_A +*4372 ANTENNA_input609_A +*4373 ANTENNA_input60_A +*4374 ANTENNA_input610_A +*4375 ANTENNA_input611_A +*4376 ANTENNA_input612_A +*4377 ANTENNA_input613_A +*4378 ANTENNA_input614_A +*4379 ANTENNA_input615_A +*4380 ANTENNA_input616_A +*4381 ANTENNA_input617_A +*4382 ANTENNA_input618_A +*4383 ANTENNA_input619_A +*4384 ANTENNA_input61_A +*4385 ANTENNA_input620_A +*4386 ANTENNA_input621_A +*4387 ANTENNA_input622_A +*4388 ANTENNA_input623_A +*4389 ANTENNA_input624_A +*4390 ANTENNA_input625_A +*4391 ANTENNA_input626_A +*4392 ANTENNA_input62_A +*4393 ANTENNA_input63_A +*4394 ANTENNA_input64_A +*4395 ANTENNA_input65_A +*4396 ANTENNA_input66_A +*4397 ANTENNA_input67_A +*4398 ANTENNA_input68_A +*4399 ANTENNA_input69_A +*4400 ANTENNA_input6_A +*4401 ANTENNA_input70_A +*4402 ANTENNA_input71_A +*4403 ANTENNA_input72_A +*4404 ANTENNA_input73_A +*4405 ANTENNA_input74_A +*4406 ANTENNA_input75_A +*4407 ANTENNA_input76_A +*4408 ANTENNA_input77_A +*4409 ANTENNA_input78_A +*4410 ANTENNA_input79_A +*4411 ANTENNA_input7_A +*4412 ANTENNA_input80_A +*4413 ANTENNA_input81_A +*4414 ANTENNA_input82_A +*4415 ANTENNA_input83_A +*4416 ANTENNA_input84_A +*4417 ANTENNA_input85_A +*4418 ANTENNA_input86_A +*4419 ANTENNA_input87_A +*4420 ANTENNA_input88_A +*4421 ANTENNA_input89_A +*4422 ANTENNA_input8_A +*4423 ANTENNA_input90_A +*4424 ANTENNA_input91_A +*4425 ANTENNA_input92_A +*4426 ANTENNA_input93_A +*4427 ANTENNA_input94_A +*4428 ANTENNA_input95_A +*4429 ANTENNA_input96_A +*4430 ANTENNA_input97_A +*4431 ANTENNA_input98_A +*4432 ANTENNA_input99_A +*4433 ANTENNA_input9_A +*4434 ANTENNA_la_buf\[0\]_A +*4435 ANTENNA_la_buf\[0\]_TE +*4436 ANTENNA_la_buf\[100\]_A +*4437 ANTENNA_la_buf\[100\]_TE +*4438 ANTENNA_la_buf\[101\]_A +*4439 ANTENNA_la_buf\[101\]_TE +*4440 ANTENNA_la_buf\[102\]_A +*4441 ANTENNA_la_buf\[102\]_TE +*4442 ANTENNA_la_buf\[103\]_A +*4443 ANTENNA_la_buf\[103\]_TE +*4444 ANTENNA_la_buf\[104\]_A +*4445 ANTENNA_la_buf\[104\]_TE +*4446 ANTENNA_la_buf\[105\]_A +*4447 ANTENNA_la_buf\[105\]_TE +*4448 ANTENNA_la_buf\[106\]_A +*4449 ANTENNA_la_buf\[106\]_TE +*4450 ANTENNA_la_buf\[107\]_A +*4451 ANTENNA_la_buf\[107\]_TE +*4452 ANTENNA_la_buf\[108\]_A +*4453 ANTENNA_la_buf\[108\]_TE +*4454 ANTENNA_la_buf\[109\]_A +*4455 ANTENNA_la_buf\[109\]_TE +*4456 ANTENNA_la_buf\[10\]_A +*4457 ANTENNA_la_buf\[10\]_TE +*4458 ANTENNA_la_buf\[110\]_A +*4459 ANTENNA_la_buf\[110\]_TE +*4460 ANTENNA_la_buf\[111\]_A +*4461 ANTENNA_la_buf\[111\]_TE +*4462 ANTENNA_la_buf\[112\]_A +*4463 ANTENNA_la_buf\[112\]_TE +*4464 ANTENNA_la_buf\[113\]_A +*4465 ANTENNA_la_buf\[113\]_TE +*4466 ANTENNA_la_buf\[114\]_A +*4467 ANTENNA_la_buf\[114\]_TE +*4468 ANTENNA_la_buf\[115\]_A +*4469 ANTENNA_la_buf\[115\]_TE +*4470 ANTENNA_la_buf\[116\]_A +*4471 ANTENNA_la_buf\[116\]_TE +*4472 ANTENNA_la_buf\[117\]_A +*4473 ANTENNA_la_buf\[117\]_TE +*4474 ANTENNA_la_buf\[118\]_A +*4475 ANTENNA_la_buf\[118\]_TE +*4476 ANTENNA_la_buf\[119\]_A +*4477 ANTENNA_la_buf\[119\]_TE +*4478 ANTENNA_la_buf\[11\]_A +*4479 ANTENNA_la_buf\[11\]_TE +*4480 ANTENNA_la_buf\[120\]_A +*4481 ANTENNA_la_buf\[120\]_TE +*4482 ANTENNA_la_buf\[121\]_A +*4483 ANTENNA_la_buf\[121\]_TE +*4484 ANTENNA_la_buf\[122\]_A +*4485 ANTENNA_la_buf\[122\]_TE +*4486 ANTENNA_la_buf\[123\]_A +*4487 ANTENNA_la_buf\[123\]_TE +*4488 ANTENNA_la_buf\[124\]_A +*4489 ANTENNA_la_buf\[124\]_TE +*4490 ANTENNA_la_buf\[125\]_A +*4491 ANTENNA_la_buf\[125\]_TE +*4492 ANTENNA_la_buf\[126\]_A +*4493 ANTENNA_la_buf\[126\]_TE +*4494 ANTENNA_la_buf\[127\]_A +*4495 ANTENNA_la_buf\[127\]_TE +*4496 ANTENNA_la_buf\[12\]_A +*4497 ANTENNA_la_buf\[12\]_TE +*4498 ANTENNA_la_buf\[13\]_A +*4499 ANTENNA_la_buf\[13\]_TE +*4500 ANTENNA_la_buf\[14\]_A +*4501 ANTENNA_la_buf\[14\]_TE +*4502 ANTENNA_la_buf\[15\]_A +*4503 ANTENNA_la_buf\[15\]_TE +*4504 ANTENNA_la_buf\[16\]_A +*4505 ANTENNA_la_buf\[16\]_TE +*4506 ANTENNA_la_buf\[17\]_A +*4507 ANTENNA_la_buf\[17\]_TE +*4508 ANTENNA_la_buf\[18\]_A +*4509 ANTENNA_la_buf\[18\]_TE +*4510 ANTENNA_la_buf\[19\]_A +*4511 ANTENNA_la_buf\[19\]_TE +*4512 ANTENNA_la_buf\[1\]_A +*4513 ANTENNA_la_buf\[1\]_TE +*4514 ANTENNA_la_buf\[20\]_A +*4515 ANTENNA_la_buf\[20\]_TE +*4516 ANTENNA_la_buf\[21\]_A +*4517 ANTENNA_la_buf\[21\]_TE +*4518 ANTENNA_la_buf\[22\]_A +*4519 ANTENNA_la_buf\[22\]_TE +*4520 ANTENNA_la_buf\[23\]_A +*4521 ANTENNA_la_buf\[23\]_TE +*4522 ANTENNA_la_buf\[24\]_A +*4523 ANTENNA_la_buf\[24\]_TE +*4524 ANTENNA_la_buf\[25\]_A +*4525 ANTENNA_la_buf\[25\]_TE +*4526 ANTENNA_la_buf\[26\]_A +*4527 ANTENNA_la_buf\[26\]_TE +*4528 ANTENNA_la_buf\[27\]_A +*4529 ANTENNA_la_buf\[27\]_TE +*4530 ANTENNA_la_buf\[28\]_A +*4531 ANTENNA_la_buf\[28\]_TE +*4532 ANTENNA_la_buf\[29\]_A +*4533 ANTENNA_la_buf\[29\]_TE +*4534 ANTENNA_la_buf\[2\]_A +*4535 ANTENNA_la_buf\[2\]_TE +*4536 ANTENNA_la_buf\[30\]_A +*4537 ANTENNA_la_buf\[30\]_TE +*4538 ANTENNA_la_buf\[31\]_A +*4539 ANTENNA_la_buf\[31\]_TE +*4540 ANTENNA_la_buf\[32\]_A +*4541 ANTENNA_la_buf\[32\]_TE +*4542 ANTENNA_la_buf\[33\]_A +*4543 ANTENNA_la_buf\[33\]_TE +*4544 ANTENNA_la_buf\[34\]_A +*4545 ANTENNA_la_buf\[34\]_TE +*4546 ANTENNA_la_buf\[35\]_A +*4547 ANTENNA_la_buf\[35\]_TE +*4548 ANTENNA_la_buf\[36\]_A +*4549 ANTENNA_la_buf\[36\]_TE +*4550 ANTENNA_la_buf\[37\]_A +*4551 ANTENNA_la_buf\[37\]_TE +*4552 ANTENNA_la_buf\[38\]_A +*4553 ANTENNA_la_buf\[38\]_TE +*4554 ANTENNA_la_buf\[39\]_A +*4555 ANTENNA_la_buf\[39\]_TE +*4556 ANTENNA_la_buf\[3\]_A +*4557 ANTENNA_la_buf\[3\]_TE +*4558 ANTENNA_la_buf\[40\]_A +*4559 ANTENNA_la_buf\[40\]_TE +*4560 ANTENNA_la_buf\[41\]_A +*4561 ANTENNA_la_buf\[41\]_TE +*4562 ANTENNA_la_buf\[42\]_A +*4563 ANTENNA_la_buf\[42\]_TE +*4564 ANTENNA_la_buf\[43\]_A +*4565 ANTENNA_la_buf\[43\]_TE +*4566 ANTENNA_la_buf\[44\]_A +*4567 ANTENNA_la_buf\[44\]_TE +*4568 ANTENNA_la_buf\[45\]_A +*4569 ANTENNA_la_buf\[45\]_TE +*4570 ANTENNA_la_buf\[46\]_A +*4571 ANTENNA_la_buf\[46\]_TE +*4572 ANTENNA_la_buf\[47\]_A +*4573 ANTENNA_la_buf\[47\]_TE +*4574 ANTENNA_la_buf\[48\]_A +*4575 ANTENNA_la_buf\[48\]_TE +*4576 ANTENNA_la_buf\[49\]_A +*4577 ANTENNA_la_buf\[49\]_TE +*4578 ANTENNA_la_buf\[4\]_A +*4579 ANTENNA_la_buf\[4\]_TE +*4580 ANTENNA_la_buf\[50\]_A +*4581 ANTENNA_la_buf\[50\]_TE +*4582 ANTENNA_la_buf\[51\]_A +*4583 ANTENNA_la_buf\[51\]_TE +*4584 ANTENNA_la_buf\[52\]_A +*4585 ANTENNA_la_buf\[52\]_TE +*4586 ANTENNA_la_buf\[53\]_A +*4587 ANTENNA_la_buf\[53\]_TE +*4588 ANTENNA_la_buf\[54\]_A +*4589 ANTENNA_la_buf\[54\]_TE +*4590 ANTENNA_la_buf\[55\]_A +*4591 ANTENNA_la_buf\[55\]_TE +*4592 ANTENNA_la_buf\[56\]_A +*4593 ANTENNA_la_buf\[56\]_TE +*4594 ANTENNA_la_buf\[57\]_A +*4595 ANTENNA_la_buf\[57\]_TE +*4596 ANTENNA_la_buf\[58\]_A +*4597 ANTENNA_la_buf\[58\]_TE +*4598 ANTENNA_la_buf\[59\]_A +*4599 ANTENNA_la_buf\[59\]_TE +*4600 ANTENNA_la_buf\[5\]_A +*4601 ANTENNA_la_buf\[5\]_TE +*4602 ANTENNA_la_buf\[60\]_A +*4603 ANTENNA_la_buf\[60\]_TE +*4604 ANTENNA_la_buf\[61\]_A +*4605 ANTENNA_la_buf\[61\]_TE +*4606 ANTENNA_la_buf\[62\]_A +*4607 ANTENNA_la_buf\[62\]_TE +*4608 ANTENNA_la_buf\[63\]_A +*4609 ANTENNA_la_buf\[63\]_TE +*4610 ANTENNA_la_buf\[64\]_A +*4611 ANTENNA_la_buf\[64\]_TE +*4612 ANTENNA_la_buf\[65\]_A +*4613 ANTENNA_la_buf\[65\]_TE +*4614 ANTENNA_la_buf\[66\]_A +*4615 ANTENNA_la_buf\[66\]_TE +*4616 ANTENNA_la_buf\[67\]_A +*4617 ANTENNA_la_buf\[67\]_TE +*4618 ANTENNA_la_buf\[68\]_A +*4619 ANTENNA_la_buf\[68\]_TE +*4620 ANTENNA_la_buf\[69\]_A +*4621 ANTENNA_la_buf\[69\]_TE +*4622 ANTENNA_la_buf\[6\]_A +*4623 ANTENNA_la_buf\[6\]_TE +*4624 ANTENNA_la_buf\[70\]_A +*4625 ANTENNA_la_buf\[70\]_TE +*4626 ANTENNA_la_buf\[71\]_A +*4627 ANTENNA_la_buf\[71\]_TE +*4628 ANTENNA_la_buf\[72\]_A +*4629 ANTENNA_la_buf\[72\]_TE +*4630 ANTENNA_la_buf\[73\]_A +*4631 ANTENNA_la_buf\[73\]_TE +*4632 ANTENNA_la_buf\[74\]_A +*4633 ANTENNA_la_buf\[74\]_TE +*4634 ANTENNA_la_buf\[75\]_A +*4635 ANTENNA_la_buf\[75\]_TE +*4636 ANTENNA_la_buf\[76\]_A +*4637 ANTENNA_la_buf\[76\]_TE +*4638 ANTENNA_la_buf\[77\]_A +*4639 ANTENNA_la_buf\[77\]_TE +*4640 ANTENNA_la_buf\[78\]_A +*4641 ANTENNA_la_buf\[78\]_TE +*4642 ANTENNA_la_buf\[79\]_A +*4643 ANTENNA_la_buf\[79\]_TE +*4644 ANTENNA_la_buf\[7\]_A +*4645 ANTENNA_la_buf\[7\]_TE +*4646 ANTENNA_la_buf\[80\]_A +*4647 ANTENNA_la_buf\[80\]_TE +*4648 ANTENNA_la_buf\[81\]_A +*4649 ANTENNA_la_buf\[81\]_TE +*4650 ANTENNA_la_buf\[82\]_A +*4651 ANTENNA_la_buf\[82\]_TE +*4652 ANTENNA_la_buf\[83\]_A +*4653 ANTENNA_la_buf\[83\]_TE +*4654 ANTENNA_la_buf\[84\]_A +*4655 ANTENNA_la_buf\[84\]_TE +*4656 ANTENNA_la_buf\[85\]_A +*4657 ANTENNA_la_buf\[85\]_TE +*4658 ANTENNA_la_buf\[86\]_A +*4659 ANTENNA_la_buf\[86\]_TE +*4660 ANTENNA_la_buf\[87\]_A +*4661 ANTENNA_la_buf\[87\]_TE +*4662 ANTENNA_la_buf\[88\]_A +*4663 ANTENNA_la_buf\[88\]_TE +*4664 ANTENNA_la_buf\[89\]_A +*4665 ANTENNA_la_buf\[89\]_TE +*4666 ANTENNA_la_buf\[8\]_A +*4667 ANTENNA_la_buf\[8\]_TE +*4668 ANTENNA_la_buf\[90\]_A +*4669 ANTENNA_la_buf\[90\]_TE +*4670 ANTENNA_la_buf\[91\]_A +*4671 ANTENNA_la_buf\[91\]_TE +*4672 ANTENNA_la_buf\[92\]_A +*4673 ANTENNA_la_buf\[92\]_TE +*4674 ANTENNA_la_buf\[93\]_A +*4675 ANTENNA_la_buf\[93\]_TE +*4676 ANTENNA_la_buf\[94\]_A +*4677 ANTENNA_la_buf\[94\]_TE +*4678 ANTENNA_la_buf\[95\]_A +*4679 ANTENNA_la_buf\[95\]_TE +*4680 ANTENNA_la_buf\[96\]_A +*4681 ANTENNA_la_buf\[96\]_TE +*4682 ANTENNA_la_buf\[97\]_A +*4683 ANTENNA_la_buf\[97\]_TE +*4684 ANTENNA_la_buf\[98\]_A +*4685 ANTENNA_la_buf\[98\]_TE +*4686 ANTENNA_la_buf\[99\]_A +*4687 ANTENNA_la_buf\[99\]_TE +*4688 ANTENNA_la_buf\[9\]_A +*4689 ANTENNA_la_buf\[9\]_TE +*4690 ANTENNA_la_buf_enable\[0\]_A_N +*4691 ANTENNA_la_buf_enable\[0\]_B +*4692 ANTENNA_la_buf_enable\[100\]_A_N +*4693 ANTENNA_la_buf_enable\[100\]_B +*4694 ANTENNA_la_buf_enable\[101\]_A_N +*4695 ANTENNA_la_buf_enable\[101\]_B +*4696 ANTENNA_la_buf_enable\[102\]_A_N +*4697 ANTENNA_la_buf_enable\[102\]_B +*4698 ANTENNA_la_buf_enable\[103\]_A_N +*4699 ANTENNA_la_buf_enable\[103\]_B +*4700 ANTENNA_la_buf_enable\[104\]_A_N +*4701 ANTENNA_la_buf_enable\[104\]_B +*4702 ANTENNA_la_buf_enable\[105\]_A_N +*4703 ANTENNA_la_buf_enable\[105\]_B +*4704 ANTENNA_la_buf_enable\[106\]_A_N +*4705 ANTENNA_la_buf_enable\[106\]_B +*4706 ANTENNA_la_buf_enable\[107\]_A_N +*4707 ANTENNA_la_buf_enable\[107\]_B +*4708 ANTENNA_la_buf_enable\[108\]_A_N +*4709 ANTENNA_la_buf_enable\[108\]_B +*4710 ANTENNA_la_buf_enable\[109\]_A_N +*4711 ANTENNA_la_buf_enable\[109\]_B +*4712 ANTENNA_la_buf_enable\[10\]_A_N +*4713 ANTENNA_la_buf_enable\[10\]_B +*4714 ANTENNA_la_buf_enable\[110\]_A_N +*4715 ANTENNA_la_buf_enable\[110\]_B +*4716 ANTENNA_la_buf_enable\[111\]_A_N +*4717 ANTENNA_la_buf_enable\[111\]_B +*4718 ANTENNA_la_buf_enable\[112\]_A_N +*4719 ANTENNA_la_buf_enable\[112\]_B +*4720 ANTENNA_la_buf_enable\[113\]_A_N +*4721 ANTENNA_la_buf_enable\[113\]_B +*4722 ANTENNA_la_buf_enable\[114\]_A_N +*4723 ANTENNA_la_buf_enable\[114\]_B +*4724 ANTENNA_la_buf_enable\[115\]_A_N +*4725 ANTENNA_la_buf_enable\[115\]_B +*4726 ANTENNA_la_buf_enable\[116\]_A_N +*4727 ANTENNA_la_buf_enable\[116\]_B +*4728 ANTENNA_la_buf_enable\[117\]_A_N +*4729 ANTENNA_la_buf_enable\[117\]_B +*4730 ANTENNA_la_buf_enable\[118\]_A_N +*4731 ANTENNA_la_buf_enable\[118\]_B +*4732 ANTENNA_la_buf_enable\[119\]_A_N +*4733 ANTENNA_la_buf_enable\[119\]_B +*4734 ANTENNA_la_buf_enable\[11\]_A_N +*4735 ANTENNA_la_buf_enable\[11\]_B +*4736 ANTENNA_la_buf_enable\[120\]_A_N +*4737 ANTENNA_la_buf_enable\[120\]_B +*4738 ANTENNA_la_buf_enable\[121\]_A_N +*4739 ANTENNA_la_buf_enable\[121\]_B +*4740 ANTENNA_la_buf_enable\[122\]_A_N +*4741 ANTENNA_la_buf_enable\[122\]_B +*4742 ANTENNA_la_buf_enable\[123\]_A_N +*4743 ANTENNA_la_buf_enable\[123\]_B +*4744 ANTENNA_la_buf_enable\[124\]_A_N +*4745 ANTENNA_la_buf_enable\[124\]_B +*4746 ANTENNA_la_buf_enable\[125\]_A_N +*4747 ANTENNA_la_buf_enable\[125\]_B +*4748 ANTENNA_la_buf_enable\[126\]_A_N +*4749 ANTENNA_la_buf_enable\[126\]_B +*4750 ANTENNA_la_buf_enable\[127\]_A_N +*4751 ANTENNA_la_buf_enable\[127\]_B +*4752 ANTENNA_la_buf_enable\[12\]_A_N +*4753 ANTENNA_la_buf_enable\[12\]_B +*4754 ANTENNA_la_buf_enable\[13\]_A_N +*4755 ANTENNA_la_buf_enable\[13\]_B +*4756 ANTENNA_la_buf_enable\[14\]_A_N +*4757 ANTENNA_la_buf_enable\[14\]_B +*4758 ANTENNA_la_buf_enable\[15\]_A_N +*4759 ANTENNA_la_buf_enable\[15\]_B +*4760 ANTENNA_la_buf_enable\[16\]_A_N +*4761 ANTENNA_la_buf_enable\[16\]_B +*4762 ANTENNA_la_buf_enable\[17\]_A_N +*4763 ANTENNA_la_buf_enable\[17\]_B +*4764 ANTENNA_la_buf_enable\[18\]_A_N +*4765 ANTENNA_la_buf_enable\[18\]_B +*4766 ANTENNA_la_buf_enable\[19\]_A_N +*4767 ANTENNA_la_buf_enable\[19\]_B +*4768 ANTENNA_la_buf_enable\[1\]_A_N +*4769 ANTENNA_la_buf_enable\[1\]_B +*4770 ANTENNA_la_buf_enable\[20\]_A_N +*4771 ANTENNA_la_buf_enable\[20\]_B +*4772 ANTENNA_la_buf_enable\[21\]_A_N +*4773 ANTENNA_la_buf_enable\[21\]_B +*4774 ANTENNA_la_buf_enable\[22\]_A_N +*4775 ANTENNA_la_buf_enable\[22\]_B +*4776 ANTENNA_la_buf_enable\[23\]_A_N +*4777 ANTENNA_la_buf_enable\[23\]_B +*4778 ANTENNA_la_buf_enable\[24\]_A_N +*4779 ANTENNA_la_buf_enable\[24\]_B +*4780 ANTENNA_la_buf_enable\[25\]_A_N +*4781 ANTENNA_la_buf_enable\[25\]_B +*4782 ANTENNA_la_buf_enable\[26\]_A_N +*4783 ANTENNA_la_buf_enable\[26\]_B +*4784 ANTENNA_la_buf_enable\[27\]_A_N +*4785 ANTENNA_la_buf_enable\[27\]_B +*4786 ANTENNA_la_buf_enable\[28\]_A_N +*4787 ANTENNA_la_buf_enable\[28\]_B +*4788 ANTENNA_la_buf_enable\[29\]_A_N +*4789 ANTENNA_la_buf_enable\[29\]_B +*4790 ANTENNA_la_buf_enable\[2\]_A_N +*4791 ANTENNA_la_buf_enable\[2\]_B +*4792 ANTENNA_la_buf_enable\[30\]_A_N +*4793 ANTENNA_la_buf_enable\[30\]_B +*4794 ANTENNA_la_buf_enable\[31\]_A_N +*4795 ANTENNA_la_buf_enable\[31\]_B +*4796 ANTENNA_la_buf_enable\[32\]_A_N +*4797 ANTENNA_la_buf_enable\[32\]_B +*4798 ANTENNA_la_buf_enable\[33\]_A_N +*4799 ANTENNA_la_buf_enable\[33\]_B +*4800 ANTENNA_la_buf_enable\[34\]_A_N +*4801 ANTENNA_la_buf_enable\[34\]_B +*4802 ANTENNA_la_buf_enable\[35\]_A_N +*4803 ANTENNA_la_buf_enable\[35\]_B +*4804 ANTENNA_la_buf_enable\[36\]_A_N +*4805 ANTENNA_la_buf_enable\[36\]_B +*4806 ANTENNA_la_buf_enable\[37\]_A_N +*4807 ANTENNA_la_buf_enable\[37\]_B +*4808 ANTENNA_la_buf_enable\[38\]_A_N +*4809 ANTENNA_la_buf_enable\[38\]_B +*4810 ANTENNA_la_buf_enable\[39\]_A_N +*4811 ANTENNA_la_buf_enable\[39\]_B +*4812 ANTENNA_la_buf_enable\[3\]_A_N +*4813 ANTENNA_la_buf_enable\[3\]_B +*4814 ANTENNA_la_buf_enable\[40\]_A_N +*4815 ANTENNA_la_buf_enable\[40\]_B +*4816 ANTENNA_la_buf_enable\[41\]_A_N +*4817 ANTENNA_la_buf_enable\[41\]_B +*4818 ANTENNA_la_buf_enable\[42\]_A_N +*4819 ANTENNA_la_buf_enable\[42\]_B +*4820 ANTENNA_la_buf_enable\[43\]_A_N +*4821 ANTENNA_la_buf_enable\[43\]_B +*4822 ANTENNA_la_buf_enable\[44\]_A_N +*4823 ANTENNA_la_buf_enable\[44\]_B +*4824 ANTENNA_la_buf_enable\[45\]_A_N +*4825 ANTENNA_la_buf_enable\[45\]_B +*4826 ANTENNA_la_buf_enable\[46\]_A_N +*4827 ANTENNA_la_buf_enable\[46\]_B +*4828 ANTENNA_la_buf_enable\[47\]_A_N +*4829 ANTENNA_la_buf_enable\[47\]_B +*4830 ANTENNA_la_buf_enable\[48\]_A_N +*4831 ANTENNA_la_buf_enable\[48\]_B +*4832 ANTENNA_la_buf_enable\[49\]_A_N +*4833 ANTENNA_la_buf_enable\[49\]_B +*4834 ANTENNA_la_buf_enable\[4\]_A_N +*4835 ANTENNA_la_buf_enable\[4\]_B +*4836 ANTENNA_la_buf_enable\[50\]_A_N +*4837 ANTENNA_la_buf_enable\[50\]_B +*4838 ANTENNA_la_buf_enable\[51\]_A_N +*4839 ANTENNA_la_buf_enable\[51\]_B +*4840 ANTENNA_la_buf_enable\[52\]_A_N +*4841 ANTENNA_la_buf_enable\[52\]_B +*4842 ANTENNA_la_buf_enable\[53\]_A_N +*4843 ANTENNA_la_buf_enable\[53\]_B +*4844 ANTENNA_la_buf_enable\[54\]_A_N +*4845 ANTENNA_la_buf_enable\[54\]_B +*4846 ANTENNA_la_buf_enable\[55\]_A_N +*4847 ANTENNA_la_buf_enable\[55\]_B +*4848 ANTENNA_la_buf_enable\[56\]_A_N +*4849 ANTENNA_la_buf_enable\[56\]_B +*4850 ANTENNA_la_buf_enable\[57\]_A_N +*4851 ANTENNA_la_buf_enable\[57\]_B +*4852 ANTENNA_la_buf_enable\[58\]_A_N +*4853 ANTENNA_la_buf_enable\[58\]_B +*4854 ANTENNA_la_buf_enable\[59\]_A_N +*4855 ANTENNA_la_buf_enable\[59\]_B +*4856 ANTENNA_la_buf_enable\[5\]_A_N +*4857 ANTENNA_la_buf_enable\[5\]_B +*4858 ANTENNA_la_buf_enable\[60\]_A_N +*4859 ANTENNA_la_buf_enable\[60\]_B +*4860 ANTENNA_la_buf_enable\[61\]_A_N +*4861 ANTENNA_la_buf_enable\[61\]_B +*4862 ANTENNA_la_buf_enable\[62\]_A_N +*4863 ANTENNA_la_buf_enable\[62\]_B +*4864 ANTENNA_la_buf_enable\[63\]_A_N +*4865 ANTENNA_la_buf_enable\[63\]_B +*4866 ANTENNA_la_buf_enable\[64\]_A_N +*4867 ANTENNA_la_buf_enable\[64\]_B +*4868 ANTENNA_la_buf_enable\[65\]_A_N +*4869 ANTENNA_la_buf_enable\[65\]_B +*4870 ANTENNA_la_buf_enable\[66\]_A_N +*4871 ANTENNA_la_buf_enable\[66\]_B +*4872 ANTENNA_la_buf_enable\[67\]_A_N +*4873 ANTENNA_la_buf_enable\[67\]_B +*4874 ANTENNA_la_buf_enable\[68\]_A_N +*4875 ANTENNA_la_buf_enable\[68\]_B +*4876 ANTENNA_la_buf_enable\[69\]_A_N +*4877 ANTENNA_la_buf_enable\[69\]_B +*4878 ANTENNA_la_buf_enable\[6\]_A_N +*4879 ANTENNA_la_buf_enable\[6\]_B +*4880 ANTENNA_la_buf_enable\[70\]_A_N +*4881 ANTENNA_la_buf_enable\[70\]_B +*4882 ANTENNA_la_buf_enable\[71\]_A_N +*4883 ANTENNA_la_buf_enable\[71\]_B +*4884 ANTENNA_la_buf_enable\[72\]_A_N +*4885 ANTENNA_la_buf_enable\[72\]_B +*4886 ANTENNA_la_buf_enable\[73\]_A_N +*4887 ANTENNA_la_buf_enable\[73\]_B +*4888 ANTENNA_la_buf_enable\[74\]_A_N +*4889 ANTENNA_la_buf_enable\[74\]_B +*4890 ANTENNA_la_buf_enable\[75\]_A_N +*4891 ANTENNA_la_buf_enable\[75\]_B +*4892 ANTENNA_la_buf_enable\[76\]_A_N +*4893 ANTENNA_la_buf_enable\[76\]_B +*4894 ANTENNA_la_buf_enable\[77\]_A_N +*4895 ANTENNA_la_buf_enable\[77\]_B +*4896 ANTENNA_la_buf_enable\[78\]_A_N +*4897 ANTENNA_la_buf_enable\[78\]_B +*4898 ANTENNA_la_buf_enable\[79\]_A_N +*4899 ANTENNA_la_buf_enable\[79\]_B +*4900 ANTENNA_la_buf_enable\[7\]_A_N +*4901 ANTENNA_la_buf_enable\[7\]_B +*4902 ANTENNA_la_buf_enable\[80\]_A_N +*4903 ANTENNA_la_buf_enable\[80\]_B +*4904 ANTENNA_la_buf_enable\[81\]_A_N +*4905 ANTENNA_la_buf_enable\[81\]_B +*4906 ANTENNA_la_buf_enable\[82\]_A_N +*4907 ANTENNA_la_buf_enable\[82\]_B +*4908 ANTENNA_la_buf_enable\[83\]_A_N +*4909 ANTENNA_la_buf_enable\[83\]_B +*4910 ANTENNA_la_buf_enable\[84\]_A_N +*4911 ANTENNA_la_buf_enable\[84\]_B +*4912 ANTENNA_la_buf_enable\[85\]_A_N +*4913 ANTENNA_la_buf_enable\[85\]_B +*4914 ANTENNA_la_buf_enable\[86\]_A_N +*4915 ANTENNA_la_buf_enable\[86\]_B +*4916 ANTENNA_la_buf_enable\[87\]_A_N +*4917 ANTENNA_la_buf_enable\[87\]_B +*4918 ANTENNA_la_buf_enable\[88\]_A_N +*4919 ANTENNA_la_buf_enable\[88\]_B +*4920 ANTENNA_la_buf_enable\[89\]_A_N +*4921 ANTENNA_la_buf_enable\[89\]_B +*4922 ANTENNA_la_buf_enable\[8\]_A_N +*4923 ANTENNA_la_buf_enable\[8\]_B +*4924 ANTENNA_la_buf_enable\[90\]_A_N +*4925 ANTENNA_la_buf_enable\[90\]_B +*4926 ANTENNA_la_buf_enable\[91\]_A_N +*4927 ANTENNA_la_buf_enable\[91\]_B +*4928 ANTENNA_la_buf_enable\[92\]_A_N +*4929 ANTENNA_la_buf_enable\[92\]_B +*4930 ANTENNA_la_buf_enable\[93\]_A_N +*4931 ANTENNA_la_buf_enable\[93\]_B +*4932 ANTENNA_la_buf_enable\[94\]_A_N +*4933 ANTENNA_la_buf_enable\[94\]_B +*4934 ANTENNA_la_buf_enable\[95\]_A_N +*4935 ANTENNA_la_buf_enable\[95\]_B +*4936 ANTENNA_la_buf_enable\[96\]_A_N +*4937 ANTENNA_la_buf_enable\[96\]_B +*4938 ANTENNA_la_buf_enable\[97\]_A_N +*4939 ANTENNA_la_buf_enable\[97\]_B +*4940 ANTENNA_la_buf_enable\[98\]_A_N +*4941 ANTENNA_la_buf_enable\[98\]_B +*4942 ANTENNA_la_buf_enable\[99\]_A_N +*4943 ANTENNA_la_buf_enable\[99\]_B +*4944 ANTENNA_la_buf_enable\[9\]_A_N +*4945 ANTENNA_la_buf_enable\[9\]_B +*4946 ANTENNA_mprj2_pwrgood_A +*4947 ANTENNA_mprj2_vdd_pwrgood_A +*4948 ANTENNA_mprj_adr_buf\[0\]_A +*4949 ANTENNA_mprj_adr_buf\[0\]_TE +*4950 ANTENNA_mprj_adr_buf\[10\]_A +*4951 ANTENNA_mprj_adr_buf\[10\]_TE +*4952 ANTENNA_mprj_adr_buf\[11\]_A +*4953 ANTENNA_mprj_adr_buf\[11\]_TE +*4954 ANTENNA_mprj_adr_buf\[12\]_A +*4955 ANTENNA_mprj_adr_buf\[12\]_TE +*4956 ANTENNA_mprj_adr_buf\[13\]_A +*4957 ANTENNA_mprj_adr_buf\[13\]_TE +*4958 ANTENNA_mprj_adr_buf\[14\]_A +*4959 ANTENNA_mprj_adr_buf\[14\]_TE +*4960 ANTENNA_mprj_adr_buf\[15\]_A +*4961 ANTENNA_mprj_adr_buf\[15\]_TE +*4962 ANTENNA_mprj_adr_buf\[16\]_A +*4963 ANTENNA_mprj_adr_buf\[16\]_TE +*4964 ANTENNA_mprj_adr_buf\[17\]_A +*4965 ANTENNA_mprj_adr_buf\[17\]_TE +*4966 ANTENNA_mprj_adr_buf\[18\]_A +*4967 ANTENNA_mprj_adr_buf\[18\]_TE +*4968 ANTENNA_mprj_adr_buf\[19\]_A +*4969 ANTENNA_mprj_adr_buf\[19\]_TE +*4970 ANTENNA_mprj_adr_buf\[1\]_A +*4971 ANTENNA_mprj_adr_buf\[1\]_TE +*4972 ANTENNA_mprj_adr_buf\[20\]_A +*4973 ANTENNA_mprj_adr_buf\[20\]_TE +*4974 ANTENNA_mprj_adr_buf\[21\]_A +*4975 ANTENNA_mprj_adr_buf\[21\]_TE +*4976 ANTENNA_mprj_adr_buf\[22\]_A +*4977 ANTENNA_mprj_adr_buf\[22\]_TE +*4978 ANTENNA_mprj_adr_buf\[23\]_A +*4979 ANTENNA_mprj_adr_buf\[23\]_TE +*4980 ANTENNA_mprj_adr_buf\[24\]_A +*4981 ANTENNA_mprj_adr_buf\[24\]_TE +*4982 ANTENNA_mprj_adr_buf\[25\]_A +*4983 ANTENNA_mprj_adr_buf\[25\]_TE +*4984 ANTENNA_mprj_adr_buf\[26\]_A +*4985 ANTENNA_mprj_adr_buf\[26\]_TE +*4986 ANTENNA_mprj_adr_buf\[27\]_A +*4987 ANTENNA_mprj_adr_buf\[27\]_TE +*4988 ANTENNA_mprj_adr_buf\[28\]_A +*4989 ANTENNA_mprj_adr_buf\[28\]_TE +*4990 ANTENNA_mprj_adr_buf\[29\]_A +*4991 ANTENNA_mprj_adr_buf\[29\]_TE +*4992 ANTENNA_mprj_adr_buf\[2\]_A +*4993 ANTENNA_mprj_adr_buf\[2\]_TE +*4994 ANTENNA_mprj_adr_buf\[30\]_A +*4995 ANTENNA_mprj_adr_buf\[30\]_TE +*4996 ANTENNA_mprj_adr_buf\[31\]_A +*4997 ANTENNA_mprj_adr_buf\[31\]_TE +*4998 ANTENNA_mprj_adr_buf\[3\]_A +*4999 ANTENNA_mprj_adr_buf\[3\]_TE +*5000 ANTENNA_mprj_adr_buf\[4\]_A +*5001 ANTENNA_mprj_adr_buf\[4\]_TE +*5002 ANTENNA_mprj_adr_buf\[5\]_A +*5003 ANTENNA_mprj_adr_buf\[5\]_TE +*5004 ANTENNA_mprj_adr_buf\[6\]_A +*5005 ANTENNA_mprj_adr_buf\[6\]_TE +*5006 ANTENNA_mprj_adr_buf\[7\]_A +*5007 ANTENNA_mprj_adr_buf\[7\]_TE +*5008 ANTENNA_mprj_adr_buf\[8\]_A +*5009 ANTENNA_mprj_adr_buf\[8\]_TE +*5010 ANTENNA_mprj_adr_buf\[9\]_A +*5011 ANTENNA_mprj_adr_buf\[9\]_TE +*5012 ANTENNA_mprj_clk2_buf_A +*5013 ANTENNA_mprj_clk2_buf_TE +*5014 ANTENNA_mprj_clk_buf_A +*5015 ANTENNA_mprj_clk_buf_TE +*5016 ANTENNA_mprj_cyc_buf_A +*5017 ANTENNA_mprj_cyc_buf_TE +*5018 ANTENNA_mprj_dat_buf\[0\]_A +*5019 ANTENNA_mprj_dat_buf\[0\]_TE +*5020 ANTENNA_mprj_dat_buf\[10\]_A +*5021 ANTENNA_mprj_dat_buf\[10\]_TE +*5022 ANTENNA_mprj_dat_buf\[11\]_A +*5023 ANTENNA_mprj_dat_buf\[11\]_TE +*5024 ANTENNA_mprj_dat_buf\[12\]_A +*5025 ANTENNA_mprj_dat_buf\[12\]_TE +*5026 ANTENNA_mprj_dat_buf\[13\]_A +*5027 ANTENNA_mprj_dat_buf\[13\]_TE +*5028 ANTENNA_mprj_dat_buf\[14\]_A +*5029 ANTENNA_mprj_dat_buf\[14\]_TE +*5030 ANTENNA_mprj_dat_buf\[15\]_A +*5031 ANTENNA_mprj_dat_buf\[15\]_TE +*5032 ANTENNA_mprj_dat_buf\[16\]_A +*5033 ANTENNA_mprj_dat_buf\[16\]_TE +*5034 ANTENNA_mprj_dat_buf\[17\]_A +*5035 ANTENNA_mprj_dat_buf\[17\]_TE +*5036 ANTENNA_mprj_dat_buf\[18\]_A +*5037 ANTENNA_mprj_dat_buf\[18\]_TE +*5038 ANTENNA_mprj_dat_buf\[19\]_A +*5039 ANTENNA_mprj_dat_buf\[19\]_TE +*5040 ANTENNA_mprj_dat_buf\[1\]_A +*5041 ANTENNA_mprj_dat_buf\[1\]_TE +*5042 ANTENNA_mprj_dat_buf\[20\]_A +*5043 ANTENNA_mprj_dat_buf\[20\]_TE +*5044 ANTENNA_mprj_dat_buf\[21\]_A +*5045 ANTENNA_mprj_dat_buf\[21\]_TE +*5046 ANTENNA_mprj_dat_buf\[22\]_A +*5047 ANTENNA_mprj_dat_buf\[22\]_TE +*5048 ANTENNA_mprj_dat_buf\[23\]_A +*5049 ANTENNA_mprj_dat_buf\[23\]_TE +*5050 ANTENNA_mprj_dat_buf\[24\]_A +*5051 ANTENNA_mprj_dat_buf\[24\]_TE +*5052 ANTENNA_mprj_dat_buf\[25\]_A +*5053 ANTENNA_mprj_dat_buf\[25\]_TE +*5054 ANTENNA_mprj_dat_buf\[26\]_A +*5055 ANTENNA_mprj_dat_buf\[26\]_TE +*5056 ANTENNA_mprj_dat_buf\[27\]_A +*5057 ANTENNA_mprj_dat_buf\[27\]_TE +*5058 ANTENNA_mprj_dat_buf\[28\]_A +*5059 ANTENNA_mprj_dat_buf\[28\]_TE +*5060 ANTENNA_mprj_dat_buf\[29\]_A +*5061 ANTENNA_mprj_dat_buf\[29\]_TE +*5062 ANTENNA_mprj_dat_buf\[2\]_A +*5063 ANTENNA_mprj_dat_buf\[2\]_TE +*5064 ANTENNA_mprj_dat_buf\[30\]_A +*5065 ANTENNA_mprj_dat_buf\[30\]_TE +*5066 ANTENNA_mprj_dat_buf\[31\]_A +*5067 ANTENNA_mprj_dat_buf\[31\]_TE +*5068 ANTENNA_mprj_dat_buf\[3\]_A +*5069 ANTENNA_mprj_dat_buf\[3\]_TE +*5070 ANTENNA_mprj_dat_buf\[4\]_A +*5071 ANTENNA_mprj_dat_buf\[4\]_TE +*5072 ANTENNA_mprj_dat_buf\[5\]_A +*5073 ANTENNA_mprj_dat_buf\[5\]_TE +*5074 ANTENNA_mprj_dat_buf\[6\]_A +*5075 ANTENNA_mprj_dat_buf\[6\]_TE +*5076 ANTENNA_mprj_dat_buf\[7\]_A +*5077 ANTENNA_mprj_dat_buf\[7\]_TE +*5078 ANTENNA_mprj_dat_buf\[8\]_A +*5079 ANTENNA_mprj_dat_buf\[8\]_TE +*5080 ANTENNA_mprj_dat_buf\[9\]_A +*5081 ANTENNA_mprj_dat_buf\[9\]_TE +*5082 ANTENNA_mprj_pwrgood_A +*5083 ANTENNA_mprj_rstn_buf_A +*5084 ANTENNA_mprj_rstn_buf_TE +*5085 ANTENNA_mprj_sel_buf\[0\]_A +*5086 ANTENNA_mprj_sel_buf\[0\]_TE +*5087 ANTENNA_mprj_sel_buf\[1\]_A +*5088 ANTENNA_mprj_sel_buf\[1\]_TE +*5089 ANTENNA_mprj_sel_buf\[2\]_A +*5090 ANTENNA_mprj_sel_buf\[2\]_TE +*5091 ANTENNA_mprj_sel_buf\[3\]_A +*5092 ANTENNA_mprj_sel_buf\[3\]_TE +*5093 ANTENNA_mprj_stb_buf_A +*5094 ANTENNA_mprj_stb_buf_TE +*5095 ANTENNA_mprj_vdd_pwrgood_A +*5096 ANTENNA_mprj_we_buf_A +*5097 ANTENNA_mprj_we_buf_TE +*5098 ANTENNA_output1000_A +*5099 ANTENNA_output1001_A +*5100 ANTENNA_output1002_A +*5101 ANTENNA_output1003_A +*5102 ANTENNA_output1004_A +*5103 ANTENNA_output1005_A +*5104 ANTENNA_output1006_A +*5105 ANTENNA_output1007_A +*5106 ANTENNA_output1008_A +*5107 ANTENNA_output1009_A +*5108 ANTENNA_output1010_A +*5109 ANTENNA_output1011_A +*5110 ANTENNA_output1012_A +*5111 ANTENNA_output1013_A +*5112 ANTENNA_output1014_A +*5113 ANTENNA_output1015_A +*5114 ANTENNA_output1016_A +*5115 ANTENNA_output1017_A +*5116 ANTENNA_output1018_A +*5117 ANTENNA_output1019_A +*5118 ANTENNA_output1020_A +*5119 ANTENNA_output1021_A +*5120 ANTENNA_output1022_A +*5121 ANTENNA_output1023_A +*5122 ANTENNA_output1024_A +*5123 ANTENNA_output1025_A +*5124 ANTENNA_output1026_A +*5125 ANTENNA_output1027_A +*5126 ANTENNA_output1028_A +*5127 ANTENNA_output1029_A +*5128 ANTENNA_output1030_A +*5129 ANTENNA_output1031_A +*5130 ANTENNA_output1032_A +*5131 ANTENNA_output1033_A +*5132 ANTENNA_output1034_A +*5133 ANTENNA_output1035_A +*5134 ANTENNA_output1036_A +*5135 ANTENNA_output1037_A +*5136 ANTENNA_output1038_A +*5137 ANTENNA_output1039_A +*5138 ANTENNA_output1040_A +*5139 ANTENNA_output1041_A +*5140 ANTENNA_output1042_A +*5141 ANTENNA_output1043_A +*5142 ANTENNA_output1044_A +*5143 ANTENNA_output1045_A +*5144 ANTENNA_output1046_A +*5145 ANTENNA_output1047_A +*5146 ANTENNA_output1048_A +*5147 ANTENNA_output1049_A +*5148 ANTENNA_output1050_A +*5149 ANTENNA_output1051_A +*5150 ANTENNA_output1052_A +*5151 ANTENNA_output1053_A +*5152 ANTENNA_output1054_A +*5153 ANTENNA_output1055_A +*5154 ANTENNA_output1056_A +*5155 ANTENNA_output1057_A +*5156 ANTENNA_output1058_A +*5157 ANTENNA_output1059_A +*5158 ANTENNA_output1060_A +*5159 ANTENNA_output1061_A +*5160 ANTENNA_output1062_A +*5161 ANTENNA_output1063_A +*5162 ANTENNA_output1064_A +*5163 ANTENNA_output1065_A +*5164 ANTENNA_output1066_A +*5165 ANTENNA_output1067_A +*5166 ANTENNA_output1068_A +*5167 ANTENNA_output1069_A +*5168 ANTENNA_output1070_A +*5169 ANTENNA_output1071_A +*5170 ANTENNA_output1072_A +*5171 ANTENNA_output1073_A +*5172 ANTENNA_output1074_A +*5173 ANTENNA_output1075_A +*5174 ANTENNA_output1076_A +*5175 ANTENNA_output1077_A +*5176 ANTENNA_output1078_A +*5177 ANTENNA_output1079_A +*5178 ANTENNA_output1080_A +*5179 ANTENNA_output1081_A +*5180 ANTENNA_output1082_A +*5181 ANTENNA_output1083_A +*5182 ANTENNA_output1084_A +*5183 ANTENNA_output1085_A +*5184 ANTENNA_output1086_A +*5185 ANTENNA_output1087_A +*5186 ANTENNA_output1088_A +*5187 ANTENNA_output1089_A +*5188 ANTENNA_output1090_A +*5189 ANTENNA_output1091_A +*5190 ANTENNA_output1092_A +*5191 ANTENNA_output1093_A +*5192 ANTENNA_output1094_A +*5193 ANTENNA_output1095_A +*5194 ANTENNA_output1096_A +*5195 ANTENNA_output1097_A +*5196 ANTENNA_output1098_A +*5197 ANTENNA_output1099_A +*5198 ANTENNA_output1100_A +*5199 ANTENNA_output1101_A +*5200 ANTENNA_output1102_A +*5201 ANTENNA_output1103_A +*5202 ANTENNA_output1104_A +*5203 ANTENNA_output1105_A +*5204 ANTENNA_output1106_A +*5205 ANTENNA_output1107_A +*5206 ANTENNA_output1108_A +*5207 ANTENNA_output1109_A +*5208 ANTENNA_output1110_A +*5209 ANTENNA_output1111_A +*5210 ANTENNA_output1112_A +*5211 ANTENNA_output1113_A +*5212 ANTENNA_output1114_A +*5213 ANTENNA_output1115_A +*5214 ANTENNA_output1116_A +*5215 ANTENNA_output1117_A +*5216 ANTENNA_output1118_A +*5217 ANTENNA_output1119_A +*5218 ANTENNA_output1120_A +*5219 ANTENNA_output1121_A +*5220 ANTENNA_output1122_A +*5221 ANTENNA_output1123_A +*5222 ANTENNA_output1124_A +*5223 ANTENNA_output627_A +*5224 ANTENNA_output628_A +*5225 ANTENNA_output629_A +*5226 ANTENNA_output630_A +*5227 ANTENNA_output631_A +*5228 ANTENNA_output632_A +*5229 ANTENNA_output633_A +*5230 ANTENNA_output634_A +*5231 ANTENNA_output635_A +*5232 ANTENNA_output636_A +*5233 ANTENNA_output637_A +*5234 ANTENNA_output638_A +*5235 ANTENNA_output639_A +*5236 ANTENNA_output640_A +*5237 ANTENNA_output641_A +*5238 ANTENNA_output642_A +*5239 ANTENNA_output643_A +*5240 ANTENNA_output644_A +*5241 ANTENNA_output645_A +*5242 ANTENNA_output646_A +*5243 ANTENNA_output647_A +*5244 ANTENNA_output648_A +*5245 ANTENNA_output649_A +*5246 ANTENNA_output650_A +*5247 ANTENNA_output651_A +*5248 ANTENNA_output652_A +*5249 ANTENNA_output653_A +*5250 ANTENNA_output654_A +*5251 ANTENNA_output655_A +*5252 ANTENNA_output656_A +*5253 ANTENNA_output657_A +*5254 ANTENNA_output658_A +*5255 ANTENNA_output659_A +*5256 ANTENNA_output660_A +*5257 ANTENNA_output661_A +*5258 ANTENNA_output662_A +*5259 ANTENNA_output663_A +*5260 ANTENNA_output664_A +*5261 ANTENNA_output665_A +*5262 ANTENNA_output666_A +*5263 ANTENNA_output667_A +*5264 ANTENNA_output668_A +*5265 ANTENNA_output669_A +*5266 ANTENNA_output670_A +*5267 ANTENNA_output671_A +*5268 ANTENNA_output672_A +*5269 ANTENNA_output673_A +*5270 ANTENNA_output674_A +*5271 ANTENNA_output675_A +*5272 ANTENNA_output676_A +*5273 ANTENNA_output677_A +*5274 ANTENNA_output678_A +*5275 ANTENNA_output679_A +*5276 ANTENNA_output680_A +*5277 ANTENNA_output681_A +*5278 ANTENNA_output682_A +*5279 ANTENNA_output683_A +*5280 ANTENNA_output684_A +*5281 ANTENNA_output685_A +*5282 ANTENNA_output686_A +*5283 ANTENNA_output687_A +*5284 ANTENNA_output688_A +*5285 ANTENNA_output689_A +*5286 ANTENNA_output690_A +*5287 ANTENNA_output691_A +*5288 ANTENNA_output692_A +*5289 ANTENNA_output693_A +*5290 ANTENNA_output694_A +*5291 ANTENNA_output695_A +*5292 ANTENNA_output696_A +*5293 ANTENNA_output697_A +*5294 ANTENNA_output698_A +*5295 ANTENNA_output699_A +*5296 ANTENNA_output700_A +*5297 ANTENNA_output701_A +*5298 ANTENNA_output702_A +*5299 ANTENNA_output703_A +*5300 ANTENNA_output704_A +*5301 ANTENNA_output705_A +*5302 ANTENNA_output706_A +*5303 ANTENNA_output707_A +*5304 ANTENNA_output708_A +*5305 ANTENNA_output709_A +*5306 ANTENNA_output710_A +*5307 ANTENNA_output711_A +*5308 ANTENNA_output712_A +*5309 ANTENNA_output713_A +*5310 ANTENNA_output714_A +*5311 ANTENNA_output715_A +*5312 ANTENNA_output716_A +*5313 ANTENNA_output717_A +*5314 ANTENNA_output718_A +*5315 ANTENNA_output719_A +*5316 ANTENNA_output720_A +*5317 ANTENNA_output721_A +*5318 ANTENNA_output722_A +*5319 ANTENNA_output723_A +*5320 ANTENNA_output724_A +*5321 ANTENNA_output725_A +*5322 ANTENNA_output726_A +*5323 ANTENNA_output727_A +*5324 ANTENNA_output728_A +*5325 ANTENNA_output729_A +*5326 ANTENNA_output730_A +*5327 ANTENNA_output731_A +*5328 ANTENNA_output732_A +*5329 ANTENNA_output733_A +*5330 ANTENNA_output734_A +*5331 ANTENNA_output735_A +*5332 ANTENNA_output736_A +*5333 ANTENNA_output737_A +*5334 ANTENNA_output738_A +*5335 ANTENNA_output739_A +*5336 ANTENNA_output740_A +*5337 ANTENNA_output741_A +*5338 ANTENNA_output742_A +*5339 ANTENNA_output743_A +*5340 ANTENNA_output744_A +*5341 ANTENNA_output745_A +*5342 ANTENNA_output746_A +*5343 ANTENNA_output747_A +*5344 ANTENNA_output748_A +*5345 ANTENNA_output749_A +*5346 ANTENNA_output750_A +*5347 ANTENNA_output751_A +*5348 ANTENNA_output752_A +*5349 ANTENNA_output753_A +*5350 ANTENNA_output754_A +*5351 ANTENNA_output755_A +*5352 ANTENNA_output756_A +*5353 ANTENNA_output757_A +*5354 ANTENNA_output758_A +*5355 ANTENNA_output759_A +*5356 ANTENNA_output760_A +*5357 ANTENNA_output761_A +*5358 ANTENNA_output762_A +*5359 ANTENNA_output763_A +*5360 ANTENNA_output764_A +*5361 ANTENNA_output765_A +*5362 ANTENNA_output766_A +*5363 ANTENNA_output767_A +*5364 ANTENNA_output768_A +*5365 ANTENNA_output769_A +*5366 ANTENNA_output770_A +*5367 ANTENNA_output771_A +*5368 ANTENNA_output772_A +*5369 ANTENNA_output773_A +*5370 ANTENNA_output774_A +*5371 ANTENNA_output775_A +*5372 ANTENNA_output776_A +*5373 ANTENNA_output777_A +*5374 ANTENNA_output778_A +*5375 ANTENNA_output779_A +*5376 ANTENNA_output780_A +*5377 ANTENNA_output781_A +*5378 ANTENNA_output782_A +*5379 ANTENNA_output783_A +*5380 ANTENNA_output784_A +*5381 ANTENNA_output785_A +*5382 ANTENNA_output786_A +*5383 ANTENNA_output787_A +*5384 ANTENNA_output788_A +*5385 ANTENNA_output789_A +*5386 ANTENNA_output790_A +*5387 ANTENNA_output791_A +*5388 ANTENNA_output792_A +*5389 ANTENNA_output793_A +*5390 ANTENNA_output794_A +*5391 ANTENNA_output795_A +*5392 ANTENNA_output796_A +*5393 ANTENNA_output797_A +*5394 ANTENNA_output798_A +*5395 ANTENNA_output799_A +*5396 ANTENNA_output800_A +*5397 ANTENNA_output801_A +*5398 ANTENNA_output802_A +*5399 ANTENNA_output803_A +*5400 ANTENNA_output804_A +*5401 ANTENNA_output805_A +*5402 ANTENNA_output806_A +*5403 ANTENNA_output807_A +*5404 ANTENNA_output808_A +*5405 ANTENNA_output809_A +*5406 ANTENNA_output810_A +*5407 ANTENNA_output811_A +*5408 ANTENNA_output812_A +*5409 ANTENNA_output813_A +*5410 ANTENNA_output814_A +*5411 ANTENNA_output815_A +*5412 ANTENNA_output816_A +*5413 ANTENNA_output817_A +*5414 ANTENNA_output818_A +*5415 ANTENNA_output819_A +*5416 ANTENNA_output820_A +*5417 ANTENNA_output821_A +*5418 ANTENNA_output822_A +*5419 ANTENNA_output823_A +*5420 ANTENNA_output824_A +*5421 ANTENNA_output825_A +*5422 ANTENNA_output826_A +*5423 ANTENNA_output827_A +*5424 ANTENNA_output828_A +*5425 ANTENNA_output829_A +*5426 ANTENNA_output830_A +*5427 ANTENNA_output831_A +*5428 ANTENNA_output832_A +*5429 ANTENNA_output833_A +*5430 ANTENNA_output834_A +*5431 ANTENNA_output835_A +*5432 ANTENNA_output836_A +*5433 ANTENNA_output837_A +*5434 ANTENNA_output838_A +*5435 ANTENNA_output839_A +*5436 ANTENNA_output840_A +*5437 ANTENNA_output841_A +*5438 ANTENNA_output842_A +*5439 ANTENNA_output843_A +*5440 ANTENNA_output844_A +*5441 ANTENNA_output845_A +*5442 ANTENNA_output846_A +*5443 ANTENNA_output847_A +*5444 ANTENNA_output848_A +*5445 ANTENNA_output849_A +*5446 ANTENNA_output850_A +*5447 ANTENNA_output851_A +*5448 ANTENNA_output852_A +*5449 ANTENNA_output853_A +*5450 ANTENNA_output854_A +*5451 ANTENNA_output855_A +*5452 ANTENNA_output856_A +*5453 ANTENNA_output857_A +*5454 ANTENNA_output858_A +*5455 ANTENNA_output859_A +*5456 ANTENNA_output860_A +*5457 ANTENNA_output861_A +*5458 ANTENNA_output862_A +*5459 ANTENNA_output863_A +*5460 ANTENNA_output864_A +*5461 ANTENNA_output865_A +*5462 ANTENNA_output866_A +*5463 ANTENNA_output867_A +*5464 ANTENNA_output868_A +*5465 ANTENNA_output869_A +*5466 ANTENNA_output870_A +*5467 ANTENNA_output871_A +*5468 ANTENNA_output872_A +*5469 ANTENNA_output873_A +*5470 ANTENNA_output874_A +*5471 ANTENNA_output875_A +*5472 ANTENNA_output876_A +*5473 ANTENNA_output877_A +*5474 ANTENNA_output878_A +*5475 ANTENNA_output879_A +*5476 ANTENNA_output880_A +*5477 ANTENNA_output881_A +*5478 ANTENNA_output882_A +*5479 ANTENNA_output883_A +*5480 ANTENNA_output884_A +*5481 ANTENNA_output885_A +*5482 ANTENNA_output886_A +*5483 ANTENNA_output887_A +*5484 ANTENNA_output888_A +*5485 ANTENNA_output889_A +*5486 ANTENNA_output890_A +*5487 ANTENNA_output891_A +*5488 ANTENNA_output892_A +*5489 ANTENNA_output893_A +*5490 ANTENNA_output894_A +*5491 ANTENNA_output895_A +*5492 ANTENNA_output896_A +*5493 ANTENNA_output897_A +*5494 ANTENNA_output898_A +*5495 ANTENNA_output899_A +*5496 ANTENNA_output900_A +*5497 ANTENNA_output901_A +*5498 ANTENNA_output902_A +*5499 ANTENNA_output903_A +*5500 ANTENNA_output904_A +*5501 ANTENNA_output905_A +*5502 ANTENNA_output906_A +*5503 ANTENNA_output907_A +*5504 ANTENNA_output908_A +*5505 ANTENNA_output909_A +*5506 ANTENNA_output910_A +*5507 ANTENNA_output911_A +*5508 ANTENNA_output912_A +*5509 ANTENNA_output913_A +*5510 ANTENNA_output914_A +*5511 ANTENNA_output915_A +*5512 ANTENNA_output916_A +*5513 ANTENNA_output917_A +*5514 ANTENNA_output918_A +*5515 ANTENNA_output919_A +*5516 ANTENNA_output920_A +*5517 ANTENNA_output921_A +*5518 ANTENNA_output922_A +*5519 ANTENNA_output923_A +*5520 ANTENNA_output924_A +*5521 ANTENNA_output925_A +*5522 ANTENNA_output926_A +*5523 ANTENNA_output927_A +*5524 ANTENNA_output928_A +*5525 ANTENNA_output929_A +*5526 ANTENNA_output930_A +*5527 ANTENNA_output931_A +*5528 ANTENNA_output932_A +*5529 ANTENNA_output933_A +*5530 ANTENNA_output934_A +*5531 ANTENNA_output935_A +*5532 ANTENNA_output936_A +*5533 ANTENNA_output937_A +*5534 ANTENNA_output938_A +*5535 ANTENNA_output939_A +*5536 ANTENNA_output940_A +*5537 ANTENNA_output941_A +*5538 ANTENNA_output942_A +*5539 ANTENNA_output943_A +*5540 ANTENNA_output944_A +*5541 ANTENNA_output945_A +*5542 ANTENNA_output946_A +*5543 ANTENNA_output947_A +*5544 ANTENNA_output948_A +*5545 ANTENNA_output949_A +*5546 ANTENNA_output950_A +*5547 ANTENNA_output951_A +*5548 ANTENNA_output952_A +*5549 ANTENNA_output953_A +*5550 ANTENNA_output954_A +*5551 ANTENNA_output955_A +*5552 ANTENNA_output956_A +*5553 ANTENNA_output957_A +*5554 ANTENNA_output958_A +*5555 ANTENNA_output959_A +*5556 ANTENNA_output960_A +*5557 ANTENNA_output961_A +*5558 ANTENNA_output962_A +*5559 ANTENNA_output963_A +*5560 ANTENNA_output964_A +*5561 ANTENNA_output965_A +*5562 ANTENNA_output966_A +*5563 ANTENNA_output967_A +*5564 ANTENNA_output968_A +*5565 ANTENNA_output969_A +*5566 ANTENNA_output970_A +*5567 ANTENNA_output971_A +*5568 ANTENNA_output972_A +*5569 ANTENNA_output973_A +*5570 ANTENNA_output974_A +*5571 ANTENNA_output975_A +*5572 ANTENNA_output976_A +*5573 ANTENNA_output977_A +*5574 ANTENNA_output978_A +*5575 ANTENNA_output979_A +*5576 ANTENNA_output980_A +*5577 ANTENNA_output981_A +*5578 ANTENNA_output982_A +*5579 ANTENNA_output983_A +*5580 ANTENNA_output984_A +*5581 ANTENNA_output985_A +*5582 ANTENNA_output986_A +*5583 ANTENNA_output987_A +*5584 ANTENNA_output988_A +*5585 ANTENNA_output989_A +*5586 ANTENNA_output990_A +*5587 ANTENNA_output991_A +*5588 ANTENNA_output992_A +*5589 ANTENNA_output993_A +*5590 ANTENNA_output994_A +*5591 ANTENNA_output995_A +*5592 ANTENNA_output996_A +*5593 ANTENNA_output997_A +*5594 ANTENNA_output998_A +*5595 ANTENNA_output999_A +*5596 ANTENNA_repeater1125_A +*5597 ANTENNA_user_irq_buffers\[0\]_A +*5598 ANTENNA_user_irq_buffers\[1\]_A +*5599 ANTENNA_user_irq_buffers\[2\]_A +*5600 ANTENNA_user_irq_ena_buf\[0\]_A +*5601 ANTENNA_user_irq_ena_buf\[0\]_B +*5602 ANTENNA_user_irq_ena_buf\[1\]_A +*5603 ANTENNA_user_irq_ena_buf\[1\]_B +*5604 ANTENNA_user_irq_ena_buf\[2\]_A +*5605 ANTENNA_user_irq_ena_buf\[2\]_B +*5606 ANTENNA_user_irq_gates\[0\]_A +*5607 ANTENNA_user_irq_gates\[0\]_B +*5608 ANTENNA_user_irq_gates\[1\]_A +*5609 ANTENNA_user_irq_gates\[1\]_B +*5610 ANTENNA_user_irq_gates\[2\]_A +*5611 ANTENNA_user_irq_gates\[2\]_B +*5612 ANTENNA_user_to_mprj_in_buffers\[0\]_A +*5613 ANTENNA_user_to_mprj_in_buffers\[100\]_A +*5614 ANTENNA_user_to_mprj_in_buffers\[101\]_A +*5615 ANTENNA_user_to_mprj_in_buffers\[102\]_A +*5616 ANTENNA_user_to_mprj_in_buffers\[103\]_A +*5617 ANTENNA_user_to_mprj_in_buffers\[104\]_A +*5618 ANTENNA_user_to_mprj_in_buffers\[105\]_A +*5619 ANTENNA_user_to_mprj_in_buffers\[106\]_A +*5620 ANTENNA_user_to_mprj_in_buffers\[107\]_A +*5621 ANTENNA_user_to_mprj_in_buffers\[108\]_A +*5622 ANTENNA_user_to_mprj_in_buffers\[109\]_A +*5623 ANTENNA_user_to_mprj_in_buffers\[10\]_A +*5624 ANTENNA_user_to_mprj_in_buffers\[110\]_A +*5625 ANTENNA_user_to_mprj_in_buffers\[111\]_A +*5626 ANTENNA_user_to_mprj_in_buffers\[112\]_A +*5627 ANTENNA_user_to_mprj_in_buffers\[113\]_A +*5628 ANTENNA_user_to_mprj_in_buffers\[114\]_A +*5629 ANTENNA_user_to_mprj_in_buffers\[115\]_A +*5630 ANTENNA_user_to_mprj_in_buffers\[116\]_A +*5631 ANTENNA_user_to_mprj_in_buffers\[117\]_A +*5632 ANTENNA_user_to_mprj_in_buffers\[118\]_A +*5633 ANTENNA_user_to_mprj_in_buffers\[119\]_A +*5634 ANTENNA_user_to_mprj_in_buffers\[11\]_A +*5635 ANTENNA_user_to_mprj_in_buffers\[120\]_A +*5636 ANTENNA_user_to_mprj_in_buffers\[121\]_A +*5637 ANTENNA_user_to_mprj_in_buffers\[122\]_A +*5638 ANTENNA_user_to_mprj_in_buffers\[123\]_A +*5639 ANTENNA_user_to_mprj_in_buffers\[124\]_A +*5640 ANTENNA_user_to_mprj_in_buffers\[125\]_A +*5641 ANTENNA_user_to_mprj_in_buffers\[126\]_A +*5642 ANTENNA_user_to_mprj_in_buffers\[127\]_A +*5643 ANTENNA_user_to_mprj_in_buffers\[12\]_A +*5644 ANTENNA_user_to_mprj_in_buffers\[13\]_A +*5645 ANTENNA_user_to_mprj_in_buffers\[14\]_A +*5646 ANTENNA_user_to_mprj_in_buffers\[15\]_A +*5647 ANTENNA_user_to_mprj_in_buffers\[16\]_A +*5648 ANTENNA_user_to_mprj_in_buffers\[17\]_A +*5649 ANTENNA_user_to_mprj_in_buffers\[18\]_A +*5650 ANTENNA_user_to_mprj_in_buffers\[19\]_A +*5651 ANTENNA_user_to_mprj_in_buffers\[1\]_A +*5652 ANTENNA_user_to_mprj_in_buffers\[20\]_A +*5653 ANTENNA_user_to_mprj_in_buffers\[21\]_A +*5654 ANTENNA_user_to_mprj_in_buffers\[22\]_A +*5655 ANTENNA_user_to_mprj_in_buffers\[23\]_A +*5656 ANTENNA_user_to_mprj_in_buffers\[24\]_A +*5657 ANTENNA_user_to_mprj_in_buffers\[25\]_A +*5658 ANTENNA_user_to_mprj_in_buffers\[26\]_A +*5659 ANTENNA_user_to_mprj_in_buffers\[27\]_A +*5660 ANTENNA_user_to_mprj_in_buffers\[28\]_A +*5661 ANTENNA_user_to_mprj_in_buffers\[29\]_A +*5662 ANTENNA_user_to_mprj_in_buffers\[2\]_A +*5663 ANTENNA_user_to_mprj_in_buffers\[30\]_A +*5664 ANTENNA_user_to_mprj_in_buffers\[31\]_A +*5665 ANTENNA_user_to_mprj_in_buffers\[32\]_A +*5666 ANTENNA_user_to_mprj_in_buffers\[33\]_A +*5667 ANTENNA_user_to_mprj_in_buffers\[34\]_A +*5668 ANTENNA_user_to_mprj_in_buffers\[35\]_A +*5669 ANTENNA_user_to_mprj_in_buffers\[36\]_A +*5670 ANTENNA_user_to_mprj_in_buffers\[37\]_A +*5671 ANTENNA_user_to_mprj_in_buffers\[38\]_A +*5672 ANTENNA_user_to_mprj_in_buffers\[39\]_A +*5673 ANTENNA_user_to_mprj_in_buffers\[3\]_A +*5674 ANTENNA_user_to_mprj_in_buffers\[40\]_A +*5675 ANTENNA_user_to_mprj_in_buffers\[41\]_A +*5676 ANTENNA_user_to_mprj_in_buffers\[42\]_A +*5677 ANTENNA_user_to_mprj_in_buffers\[43\]_A +*5678 ANTENNA_user_to_mprj_in_buffers\[44\]_A +*5679 ANTENNA_user_to_mprj_in_buffers\[45\]_A +*5680 ANTENNA_user_to_mprj_in_buffers\[46\]_A +*5681 ANTENNA_user_to_mprj_in_buffers\[47\]_A +*5682 ANTENNA_user_to_mprj_in_buffers\[48\]_A +*5683 ANTENNA_user_to_mprj_in_buffers\[49\]_A +*5684 ANTENNA_user_to_mprj_in_buffers\[4\]_A +*5685 ANTENNA_user_to_mprj_in_buffers\[50\]_A +*5686 ANTENNA_user_to_mprj_in_buffers\[51\]_A +*5687 ANTENNA_user_to_mprj_in_buffers\[52\]_A +*5688 ANTENNA_user_to_mprj_in_buffers\[53\]_A +*5689 ANTENNA_user_to_mprj_in_buffers\[54\]_A +*5690 ANTENNA_user_to_mprj_in_buffers\[55\]_A +*5691 ANTENNA_user_to_mprj_in_buffers\[56\]_A +*5692 ANTENNA_user_to_mprj_in_buffers\[57\]_A +*5693 ANTENNA_user_to_mprj_in_buffers\[58\]_A +*5694 ANTENNA_user_to_mprj_in_buffers\[59\]_A +*5695 ANTENNA_user_to_mprj_in_buffers\[5\]_A +*5696 ANTENNA_user_to_mprj_in_buffers\[60\]_A +*5697 ANTENNA_user_to_mprj_in_buffers\[61\]_A +*5698 ANTENNA_user_to_mprj_in_buffers\[62\]_A +*5699 ANTENNA_user_to_mprj_in_buffers\[63\]_A +*5700 ANTENNA_user_to_mprj_in_buffers\[64\]_A +*5701 ANTENNA_user_to_mprj_in_buffers\[65\]_A +*5702 ANTENNA_user_to_mprj_in_buffers\[66\]_A +*5703 ANTENNA_user_to_mprj_in_buffers\[67\]_A +*5704 ANTENNA_user_to_mprj_in_buffers\[68\]_A +*5705 ANTENNA_user_to_mprj_in_buffers\[69\]_A +*5706 ANTENNA_user_to_mprj_in_buffers\[6\]_A +*5707 ANTENNA_user_to_mprj_in_buffers\[70\]_A +*5708 ANTENNA_user_to_mprj_in_buffers\[71\]_A +*5709 ANTENNA_user_to_mprj_in_buffers\[72\]_A +*5710 ANTENNA_user_to_mprj_in_buffers\[73\]_A +*5711 ANTENNA_user_to_mprj_in_buffers\[74\]_A +*5712 ANTENNA_user_to_mprj_in_buffers\[75\]_A +*5713 ANTENNA_user_to_mprj_in_buffers\[76\]_A +*5714 ANTENNA_user_to_mprj_in_buffers\[77\]_A +*5715 ANTENNA_user_to_mprj_in_buffers\[78\]_A +*5716 ANTENNA_user_to_mprj_in_buffers\[79\]_A +*5717 ANTENNA_user_to_mprj_in_buffers\[7\]_A +*5718 ANTENNA_user_to_mprj_in_buffers\[80\]_A +*5719 ANTENNA_user_to_mprj_in_buffers\[81\]_A +*5720 ANTENNA_user_to_mprj_in_buffers\[82\]_A +*5721 ANTENNA_user_to_mprj_in_buffers\[83\]_A +*5722 ANTENNA_user_to_mprj_in_buffers\[84\]_A +*5723 ANTENNA_user_to_mprj_in_buffers\[85\]_A +*5724 ANTENNA_user_to_mprj_in_buffers\[86\]_A +*5725 ANTENNA_user_to_mprj_in_buffers\[87\]_A +*5726 ANTENNA_user_to_mprj_in_buffers\[88\]_A +*5727 ANTENNA_user_to_mprj_in_buffers\[89\]_A +*5728 ANTENNA_user_to_mprj_in_buffers\[8\]_A +*5729 ANTENNA_user_to_mprj_in_buffers\[90\]_A +*5730 ANTENNA_user_to_mprj_in_buffers\[91\]_A +*5731 ANTENNA_user_to_mprj_in_buffers\[92\]_A +*5732 ANTENNA_user_to_mprj_in_buffers\[93\]_A +*5733 ANTENNA_user_to_mprj_in_buffers\[94\]_A +*5734 ANTENNA_user_to_mprj_in_buffers\[95\]_A +*5735 ANTENNA_user_to_mprj_in_buffers\[96\]_A +*5736 ANTENNA_user_to_mprj_in_buffers\[97\]_A +*5737 ANTENNA_user_to_mprj_in_buffers\[98\]_A +*5738 ANTENNA_user_to_mprj_in_buffers\[99\]_A +*5739 ANTENNA_user_to_mprj_in_buffers\[9\]_A +*5740 ANTENNA_user_to_mprj_in_ena_buf\[0\]_A +*5741 ANTENNA_user_to_mprj_in_ena_buf\[0\]_B +*5742 ANTENNA_user_to_mprj_in_ena_buf\[100\]_A +*5743 ANTENNA_user_to_mprj_in_ena_buf\[100\]_B +*5744 ANTENNA_user_to_mprj_in_ena_buf\[101\]_A +*5745 ANTENNA_user_to_mprj_in_ena_buf\[101\]_B +*5746 ANTENNA_user_to_mprj_in_ena_buf\[102\]_A +*5747 ANTENNA_user_to_mprj_in_ena_buf\[102\]_B +*5748 ANTENNA_user_to_mprj_in_ena_buf\[103\]_A +*5749 ANTENNA_user_to_mprj_in_ena_buf\[103\]_B +*5750 ANTENNA_user_to_mprj_in_ena_buf\[104\]_A +*5751 ANTENNA_user_to_mprj_in_ena_buf\[104\]_B +*5752 ANTENNA_user_to_mprj_in_ena_buf\[105\]_A +*5753 ANTENNA_user_to_mprj_in_ena_buf\[105\]_B +*5754 ANTENNA_user_to_mprj_in_ena_buf\[106\]_A +*5755 ANTENNA_user_to_mprj_in_ena_buf\[106\]_B +*5756 ANTENNA_user_to_mprj_in_ena_buf\[107\]_A +*5757 ANTENNA_user_to_mprj_in_ena_buf\[107\]_B +*5758 ANTENNA_user_to_mprj_in_ena_buf\[108\]_A +*5759 ANTENNA_user_to_mprj_in_ena_buf\[108\]_B +*5760 ANTENNA_user_to_mprj_in_ena_buf\[109\]_A +*5761 ANTENNA_user_to_mprj_in_ena_buf\[109\]_B +*5762 ANTENNA_user_to_mprj_in_ena_buf\[10\]_A +*5763 ANTENNA_user_to_mprj_in_ena_buf\[10\]_B +*5764 ANTENNA_user_to_mprj_in_ena_buf\[110\]_A +*5765 ANTENNA_user_to_mprj_in_ena_buf\[110\]_B +*5766 ANTENNA_user_to_mprj_in_ena_buf\[111\]_A +*5767 ANTENNA_user_to_mprj_in_ena_buf\[111\]_B +*5768 ANTENNA_user_to_mprj_in_ena_buf\[112\]_A +*5769 ANTENNA_user_to_mprj_in_ena_buf\[112\]_B +*5770 ANTENNA_user_to_mprj_in_ena_buf\[113\]_A +*5771 ANTENNA_user_to_mprj_in_ena_buf\[113\]_B +*5772 ANTENNA_user_to_mprj_in_ena_buf\[114\]_A +*5773 ANTENNA_user_to_mprj_in_ena_buf\[114\]_B +*5774 ANTENNA_user_to_mprj_in_ena_buf\[115\]_A +*5775 ANTENNA_user_to_mprj_in_ena_buf\[115\]_B +*5776 ANTENNA_user_to_mprj_in_ena_buf\[116\]_A +*5777 ANTENNA_user_to_mprj_in_ena_buf\[116\]_B +*5778 ANTENNA_user_to_mprj_in_ena_buf\[117\]_A +*5779 ANTENNA_user_to_mprj_in_ena_buf\[117\]_B +*5780 ANTENNA_user_to_mprj_in_ena_buf\[118\]_A +*5781 ANTENNA_user_to_mprj_in_ena_buf\[118\]_B +*5782 ANTENNA_user_to_mprj_in_ena_buf\[119\]_A +*5783 ANTENNA_user_to_mprj_in_ena_buf\[119\]_B +*5784 ANTENNA_user_to_mprj_in_ena_buf\[11\]_A +*5785 ANTENNA_user_to_mprj_in_ena_buf\[11\]_B +*5786 ANTENNA_user_to_mprj_in_ena_buf\[120\]_A +*5787 ANTENNA_user_to_mprj_in_ena_buf\[120\]_B +*5788 ANTENNA_user_to_mprj_in_ena_buf\[121\]_A +*5789 ANTENNA_user_to_mprj_in_ena_buf\[121\]_B +*5790 ANTENNA_user_to_mprj_in_ena_buf\[122\]_A +*5791 ANTENNA_user_to_mprj_in_ena_buf\[122\]_B +*5792 ANTENNA_user_to_mprj_in_ena_buf\[123\]_A +*5793 ANTENNA_user_to_mprj_in_ena_buf\[123\]_B +*5794 ANTENNA_user_to_mprj_in_ena_buf\[124\]_A +*5795 ANTENNA_user_to_mprj_in_ena_buf\[124\]_B +*5796 ANTENNA_user_to_mprj_in_ena_buf\[125\]_A +*5797 ANTENNA_user_to_mprj_in_ena_buf\[125\]_B +*5798 ANTENNA_user_to_mprj_in_ena_buf\[126\]_A +*5799 ANTENNA_user_to_mprj_in_ena_buf\[126\]_B +*5800 ANTENNA_user_to_mprj_in_ena_buf\[127\]_A +*5801 ANTENNA_user_to_mprj_in_ena_buf\[127\]_B +*5802 ANTENNA_user_to_mprj_in_ena_buf\[12\]_A +*5803 ANTENNA_user_to_mprj_in_ena_buf\[12\]_B +*5804 ANTENNA_user_to_mprj_in_ena_buf\[13\]_A +*5805 ANTENNA_user_to_mprj_in_ena_buf\[13\]_B +*5806 ANTENNA_user_to_mprj_in_ena_buf\[14\]_A +*5807 ANTENNA_user_to_mprj_in_ena_buf\[14\]_B +*5808 ANTENNA_user_to_mprj_in_ena_buf\[15\]_A +*5809 ANTENNA_user_to_mprj_in_ena_buf\[15\]_B +*5810 ANTENNA_user_to_mprj_in_ena_buf\[16\]_A +*5811 ANTENNA_user_to_mprj_in_ena_buf\[16\]_B +*5812 ANTENNA_user_to_mprj_in_ena_buf\[17\]_A +*5813 ANTENNA_user_to_mprj_in_ena_buf\[17\]_B +*5814 ANTENNA_user_to_mprj_in_ena_buf\[18\]_A +*5815 ANTENNA_user_to_mprj_in_ena_buf\[18\]_B +*5816 ANTENNA_user_to_mprj_in_ena_buf\[19\]_A +*5817 ANTENNA_user_to_mprj_in_ena_buf\[19\]_B +*5818 ANTENNA_user_to_mprj_in_ena_buf\[1\]_A +*5819 ANTENNA_user_to_mprj_in_ena_buf\[1\]_B +*5820 ANTENNA_user_to_mprj_in_ena_buf\[20\]_A +*5821 ANTENNA_user_to_mprj_in_ena_buf\[20\]_B +*5822 ANTENNA_user_to_mprj_in_ena_buf\[21\]_A +*5823 ANTENNA_user_to_mprj_in_ena_buf\[21\]_B +*5824 ANTENNA_user_to_mprj_in_ena_buf\[22\]_A +*5825 ANTENNA_user_to_mprj_in_ena_buf\[22\]_B +*5826 ANTENNA_user_to_mprj_in_ena_buf\[23\]_A +*5827 ANTENNA_user_to_mprj_in_ena_buf\[23\]_B +*5828 ANTENNA_user_to_mprj_in_ena_buf\[24\]_A +*5829 ANTENNA_user_to_mprj_in_ena_buf\[24\]_B +*5830 ANTENNA_user_to_mprj_in_ena_buf\[25\]_A +*5831 ANTENNA_user_to_mprj_in_ena_buf\[25\]_B +*5832 ANTENNA_user_to_mprj_in_ena_buf\[26\]_A +*5833 ANTENNA_user_to_mprj_in_ena_buf\[26\]_B +*5834 ANTENNA_user_to_mprj_in_ena_buf\[27\]_A +*5835 ANTENNA_user_to_mprj_in_ena_buf\[27\]_B +*5836 ANTENNA_user_to_mprj_in_ena_buf\[28\]_A +*5837 ANTENNA_user_to_mprj_in_ena_buf\[28\]_B +*5838 ANTENNA_user_to_mprj_in_ena_buf\[29\]_A +*5839 ANTENNA_user_to_mprj_in_ena_buf\[29\]_B +*5840 ANTENNA_user_to_mprj_in_ena_buf\[2\]_A +*5841 ANTENNA_user_to_mprj_in_ena_buf\[2\]_B +*5842 ANTENNA_user_to_mprj_in_ena_buf\[30\]_A +*5843 ANTENNA_user_to_mprj_in_ena_buf\[30\]_B +*5844 ANTENNA_user_to_mprj_in_ena_buf\[31\]_A +*5845 ANTENNA_user_to_mprj_in_ena_buf\[31\]_B +*5846 ANTENNA_user_to_mprj_in_ena_buf\[32\]_A +*5847 ANTENNA_user_to_mprj_in_ena_buf\[32\]_B +*5848 ANTENNA_user_to_mprj_in_ena_buf\[33\]_A +*5849 ANTENNA_user_to_mprj_in_ena_buf\[33\]_B +*5850 ANTENNA_user_to_mprj_in_ena_buf\[34\]_A +*5851 ANTENNA_user_to_mprj_in_ena_buf\[34\]_B +*5852 ANTENNA_user_to_mprj_in_ena_buf\[35\]_A +*5853 ANTENNA_user_to_mprj_in_ena_buf\[35\]_B +*5854 ANTENNA_user_to_mprj_in_ena_buf\[36\]_A +*5855 ANTENNA_user_to_mprj_in_ena_buf\[36\]_B +*5856 ANTENNA_user_to_mprj_in_ena_buf\[37\]_A +*5857 ANTENNA_user_to_mprj_in_ena_buf\[37\]_B +*5858 ANTENNA_user_to_mprj_in_ena_buf\[38\]_A +*5859 ANTENNA_user_to_mprj_in_ena_buf\[38\]_B +*5860 ANTENNA_user_to_mprj_in_ena_buf\[39\]_A +*5861 ANTENNA_user_to_mprj_in_ena_buf\[39\]_B +*5862 ANTENNA_user_to_mprj_in_ena_buf\[3\]_A +*5863 ANTENNA_user_to_mprj_in_ena_buf\[3\]_B +*5864 ANTENNA_user_to_mprj_in_ena_buf\[40\]_A +*5865 ANTENNA_user_to_mprj_in_ena_buf\[40\]_B +*5866 ANTENNA_user_to_mprj_in_ena_buf\[41\]_A +*5867 ANTENNA_user_to_mprj_in_ena_buf\[41\]_B +*5868 ANTENNA_user_to_mprj_in_ena_buf\[42\]_A +*5869 ANTENNA_user_to_mprj_in_ena_buf\[42\]_B +*5870 ANTENNA_user_to_mprj_in_ena_buf\[43\]_A +*5871 ANTENNA_user_to_mprj_in_ena_buf\[43\]_B +*5872 ANTENNA_user_to_mprj_in_ena_buf\[44\]_A +*5873 ANTENNA_user_to_mprj_in_ena_buf\[44\]_B +*5874 ANTENNA_user_to_mprj_in_ena_buf\[45\]_A +*5875 ANTENNA_user_to_mprj_in_ena_buf\[45\]_B +*5876 ANTENNA_user_to_mprj_in_ena_buf\[46\]_A +*5877 ANTENNA_user_to_mprj_in_ena_buf\[46\]_B +*5878 ANTENNA_user_to_mprj_in_ena_buf\[47\]_A +*5879 ANTENNA_user_to_mprj_in_ena_buf\[47\]_B +*5880 ANTENNA_user_to_mprj_in_ena_buf\[48\]_A +*5881 ANTENNA_user_to_mprj_in_ena_buf\[48\]_B +*5882 ANTENNA_user_to_mprj_in_ena_buf\[49\]_A +*5883 ANTENNA_user_to_mprj_in_ena_buf\[49\]_B +*5884 ANTENNA_user_to_mprj_in_ena_buf\[4\]_A +*5885 ANTENNA_user_to_mprj_in_ena_buf\[4\]_B +*5886 ANTENNA_user_to_mprj_in_ena_buf\[50\]_A +*5887 ANTENNA_user_to_mprj_in_ena_buf\[50\]_B +*5888 ANTENNA_user_to_mprj_in_ena_buf\[51\]_A +*5889 ANTENNA_user_to_mprj_in_ena_buf\[51\]_B +*5890 ANTENNA_user_to_mprj_in_ena_buf\[52\]_A +*5891 ANTENNA_user_to_mprj_in_ena_buf\[52\]_B +*5892 ANTENNA_user_to_mprj_in_ena_buf\[53\]_A +*5893 ANTENNA_user_to_mprj_in_ena_buf\[53\]_B +*5894 ANTENNA_user_to_mprj_in_ena_buf\[54\]_A +*5895 ANTENNA_user_to_mprj_in_ena_buf\[54\]_B +*5896 ANTENNA_user_to_mprj_in_ena_buf\[55\]_A +*5897 ANTENNA_user_to_mprj_in_ena_buf\[55\]_B +*5898 ANTENNA_user_to_mprj_in_ena_buf\[56\]_A +*5899 ANTENNA_user_to_mprj_in_ena_buf\[56\]_B +*5900 ANTENNA_user_to_mprj_in_ena_buf\[57\]_A +*5901 ANTENNA_user_to_mprj_in_ena_buf\[57\]_B +*5902 ANTENNA_user_to_mprj_in_ena_buf\[58\]_A +*5903 ANTENNA_user_to_mprj_in_ena_buf\[58\]_B +*5904 ANTENNA_user_to_mprj_in_ena_buf\[59\]_A +*5905 ANTENNA_user_to_mprj_in_ena_buf\[59\]_B +*5906 ANTENNA_user_to_mprj_in_ena_buf\[5\]_A +*5907 ANTENNA_user_to_mprj_in_ena_buf\[5\]_B +*5908 ANTENNA_user_to_mprj_in_ena_buf\[60\]_A +*5909 ANTENNA_user_to_mprj_in_ena_buf\[60\]_B +*5910 ANTENNA_user_to_mprj_in_ena_buf\[61\]_A +*5911 ANTENNA_user_to_mprj_in_ena_buf\[61\]_B +*5912 ANTENNA_user_to_mprj_in_ena_buf\[62\]_A +*5913 ANTENNA_user_to_mprj_in_ena_buf\[62\]_B +*5914 ANTENNA_user_to_mprj_in_ena_buf\[63\]_A +*5915 ANTENNA_user_to_mprj_in_ena_buf\[63\]_B +*5916 ANTENNA_user_to_mprj_in_ena_buf\[64\]_A +*5917 ANTENNA_user_to_mprj_in_ena_buf\[64\]_B +*5918 ANTENNA_user_to_mprj_in_ena_buf\[65\]_A +*5919 ANTENNA_user_to_mprj_in_ena_buf\[65\]_B +*5920 ANTENNA_user_to_mprj_in_ena_buf\[66\]_A +*5921 ANTENNA_user_to_mprj_in_ena_buf\[66\]_B +*5922 ANTENNA_user_to_mprj_in_ena_buf\[67\]_A +*5923 ANTENNA_user_to_mprj_in_ena_buf\[67\]_B +*5924 ANTENNA_user_to_mprj_in_ena_buf\[68\]_A +*5925 ANTENNA_user_to_mprj_in_ena_buf\[68\]_B +*5926 ANTENNA_user_to_mprj_in_ena_buf\[69\]_A +*5927 ANTENNA_user_to_mprj_in_ena_buf\[69\]_B +*5928 ANTENNA_user_to_mprj_in_ena_buf\[6\]_A +*5929 ANTENNA_user_to_mprj_in_ena_buf\[6\]_B +*5930 ANTENNA_user_to_mprj_in_ena_buf\[70\]_A +*5931 ANTENNA_user_to_mprj_in_ena_buf\[70\]_B +*5932 ANTENNA_user_to_mprj_in_ena_buf\[71\]_A +*5933 ANTENNA_user_to_mprj_in_ena_buf\[71\]_B +*5934 ANTENNA_user_to_mprj_in_ena_buf\[72\]_A +*5935 ANTENNA_user_to_mprj_in_ena_buf\[72\]_B +*5936 ANTENNA_user_to_mprj_in_ena_buf\[73\]_A +*5937 ANTENNA_user_to_mprj_in_ena_buf\[73\]_B +*5938 ANTENNA_user_to_mprj_in_ena_buf\[74\]_A +*5939 ANTENNA_user_to_mprj_in_ena_buf\[74\]_B +*5940 ANTENNA_user_to_mprj_in_ena_buf\[75\]_A +*5941 ANTENNA_user_to_mprj_in_ena_buf\[75\]_B +*5942 ANTENNA_user_to_mprj_in_ena_buf\[76\]_A +*5943 ANTENNA_user_to_mprj_in_ena_buf\[76\]_B +*5944 ANTENNA_user_to_mprj_in_ena_buf\[77\]_A +*5945 ANTENNA_user_to_mprj_in_ena_buf\[77\]_B +*5946 ANTENNA_user_to_mprj_in_ena_buf\[78\]_A +*5947 ANTENNA_user_to_mprj_in_ena_buf\[78\]_B +*5948 ANTENNA_user_to_mprj_in_ena_buf\[79\]_A +*5949 ANTENNA_user_to_mprj_in_ena_buf\[79\]_B +*5950 ANTENNA_user_to_mprj_in_ena_buf\[7\]_A +*5951 ANTENNA_user_to_mprj_in_ena_buf\[7\]_B +*5952 ANTENNA_user_to_mprj_in_ena_buf\[80\]_A +*5953 ANTENNA_user_to_mprj_in_ena_buf\[80\]_B +*5954 ANTENNA_user_to_mprj_in_ena_buf\[81\]_A +*5955 ANTENNA_user_to_mprj_in_ena_buf\[81\]_B +*5956 ANTENNA_user_to_mprj_in_ena_buf\[82\]_A +*5957 ANTENNA_user_to_mprj_in_ena_buf\[82\]_B +*5958 ANTENNA_user_to_mprj_in_ena_buf\[83\]_A +*5959 ANTENNA_user_to_mprj_in_ena_buf\[83\]_B +*5960 ANTENNA_user_to_mprj_in_ena_buf\[84\]_A +*5961 ANTENNA_user_to_mprj_in_ena_buf\[84\]_B +*5962 ANTENNA_user_to_mprj_in_ena_buf\[85\]_A +*5963 ANTENNA_user_to_mprj_in_ena_buf\[85\]_B +*5964 ANTENNA_user_to_mprj_in_ena_buf\[86\]_A +*5965 ANTENNA_user_to_mprj_in_ena_buf\[86\]_B +*5966 ANTENNA_user_to_mprj_in_ena_buf\[87\]_A +*5967 ANTENNA_user_to_mprj_in_ena_buf\[87\]_B +*5968 ANTENNA_user_to_mprj_in_ena_buf\[88\]_A +*5969 ANTENNA_user_to_mprj_in_ena_buf\[88\]_B +*5970 ANTENNA_user_to_mprj_in_ena_buf\[89\]_A +*5971 ANTENNA_user_to_mprj_in_ena_buf\[89\]_B +*5972 ANTENNA_user_to_mprj_in_ena_buf\[8\]_A +*5973 ANTENNA_user_to_mprj_in_ena_buf\[8\]_B +*5974 ANTENNA_user_to_mprj_in_ena_buf\[90\]_A +*5975 ANTENNA_user_to_mprj_in_ena_buf\[90\]_B +*5976 ANTENNA_user_to_mprj_in_ena_buf\[91\]_A +*5977 ANTENNA_user_to_mprj_in_ena_buf\[91\]_B +*5978 ANTENNA_user_to_mprj_in_ena_buf\[92\]_A +*5979 ANTENNA_user_to_mprj_in_ena_buf\[92\]_B +*5980 ANTENNA_user_to_mprj_in_ena_buf\[93\]_A +*5981 ANTENNA_user_to_mprj_in_ena_buf\[93\]_B +*5982 ANTENNA_user_to_mprj_in_ena_buf\[94\]_A +*5983 ANTENNA_user_to_mprj_in_ena_buf\[94\]_B +*5984 ANTENNA_user_to_mprj_in_ena_buf\[95\]_A +*5985 ANTENNA_user_to_mprj_in_ena_buf\[95\]_B +*5986 ANTENNA_user_to_mprj_in_ena_buf\[96\]_A +*5987 ANTENNA_user_to_mprj_in_ena_buf\[96\]_B +*5988 ANTENNA_user_to_mprj_in_ena_buf\[97\]_A +*5989 ANTENNA_user_to_mprj_in_ena_buf\[97\]_B +*5990 ANTENNA_user_to_mprj_in_ena_buf\[98\]_A +*5991 ANTENNA_user_to_mprj_in_ena_buf\[98\]_B +*5992 ANTENNA_user_to_mprj_in_ena_buf\[99\]_A +*5993 ANTENNA_user_to_mprj_in_ena_buf\[99\]_B +*5994 ANTENNA_user_to_mprj_in_ena_buf\[9\]_A +*5995 ANTENNA_user_to_mprj_in_ena_buf\[9\]_B +*5996 ANTENNA_user_to_mprj_in_gates\[0\]_A +*5997 ANTENNA_user_to_mprj_in_gates\[0\]_B +*5998 ANTENNA_user_to_mprj_in_gates\[100\]_A +*5999 ANTENNA_user_to_mprj_in_gates\[100\]_B +*6000 ANTENNA_user_to_mprj_in_gates\[101\]_A +*6001 ANTENNA_user_to_mprj_in_gates\[101\]_B +*6002 ANTENNA_user_to_mprj_in_gates\[102\]_A +*6003 ANTENNA_user_to_mprj_in_gates\[102\]_B +*6004 ANTENNA_user_to_mprj_in_gates\[103\]_A +*6005 ANTENNA_user_to_mprj_in_gates\[103\]_B +*6006 ANTENNA_user_to_mprj_in_gates\[104\]_A +*6007 ANTENNA_user_to_mprj_in_gates\[104\]_B +*6008 ANTENNA_user_to_mprj_in_gates\[105\]_A +*6009 ANTENNA_user_to_mprj_in_gates\[105\]_B +*6010 ANTENNA_user_to_mprj_in_gates\[106\]_A +*6011 ANTENNA_user_to_mprj_in_gates\[106\]_B +*6012 ANTENNA_user_to_mprj_in_gates\[107\]_A +*6013 ANTENNA_user_to_mprj_in_gates\[107\]_B +*6014 ANTENNA_user_to_mprj_in_gates\[108\]_A +*6015 ANTENNA_user_to_mprj_in_gates\[108\]_B +*6016 ANTENNA_user_to_mprj_in_gates\[109\]_A +*6017 ANTENNA_user_to_mprj_in_gates\[109\]_B +*6018 ANTENNA_user_to_mprj_in_gates\[10\]_A +*6019 ANTENNA_user_to_mprj_in_gates\[10\]_B +*6020 ANTENNA_user_to_mprj_in_gates\[110\]_A +*6021 ANTENNA_user_to_mprj_in_gates\[110\]_B +*6022 ANTENNA_user_to_mprj_in_gates\[111\]_A +*6023 ANTENNA_user_to_mprj_in_gates\[111\]_B +*6024 ANTENNA_user_to_mprj_in_gates\[112\]_A +*6025 ANTENNA_user_to_mprj_in_gates\[112\]_B +*6026 ANTENNA_user_to_mprj_in_gates\[113\]_A +*6027 ANTENNA_user_to_mprj_in_gates\[113\]_B +*6028 ANTENNA_user_to_mprj_in_gates\[114\]_A +*6029 ANTENNA_user_to_mprj_in_gates\[114\]_B +*6030 ANTENNA_user_to_mprj_in_gates\[115\]_A +*6031 ANTENNA_user_to_mprj_in_gates\[115\]_B +*6032 ANTENNA_user_to_mprj_in_gates\[116\]_A +*6033 ANTENNA_user_to_mprj_in_gates\[116\]_B +*6034 ANTENNA_user_to_mprj_in_gates\[117\]_A +*6035 ANTENNA_user_to_mprj_in_gates\[117\]_B +*6036 ANTENNA_user_to_mprj_in_gates\[118\]_A +*6037 ANTENNA_user_to_mprj_in_gates\[118\]_B +*6038 ANTENNA_user_to_mprj_in_gates\[119\]_A +*6039 ANTENNA_user_to_mprj_in_gates\[119\]_B +*6040 ANTENNA_user_to_mprj_in_gates\[11\]_A +*6041 ANTENNA_user_to_mprj_in_gates\[11\]_B +*6042 ANTENNA_user_to_mprj_in_gates\[120\]_A +*6043 ANTENNA_user_to_mprj_in_gates\[120\]_B +*6044 ANTENNA_user_to_mprj_in_gates\[121\]_A +*6045 ANTENNA_user_to_mprj_in_gates\[121\]_B +*6046 ANTENNA_user_to_mprj_in_gates\[122\]_A +*6047 ANTENNA_user_to_mprj_in_gates\[122\]_B +*6048 ANTENNA_user_to_mprj_in_gates\[123\]_A +*6049 ANTENNA_user_to_mprj_in_gates\[123\]_B +*6050 ANTENNA_user_to_mprj_in_gates\[124\]_A +*6051 ANTENNA_user_to_mprj_in_gates\[124\]_B +*6052 ANTENNA_user_to_mprj_in_gates\[125\]_A +*6053 ANTENNA_user_to_mprj_in_gates\[125\]_B +*6054 ANTENNA_user_to_mprj_in_gates\[126\]_A +*6055 ANTENNA_user_to_mprj_in_gates\[126\]_B +*6056 ANTENNA_user_to_mprj_in_gates\[127\]_A +*6057 ANTENNA_user_to_mprj_in_gates\[127\]_B +*6058 ANTENNA_user_to_mprj_in_gates\[12\]_A +*6059 ANTENNA_user_to_mprj_in_gates\[12\]_B +*6060 ANTENNA_user_to_mprj_in_gates\[13\]_A +*6061 ANTENNA_user_to_mprj_in_gates\[13\]_B +*6062 ANTENNA_user_to_mprj_in_gates\[14\]_A +*6063 ANTENNA_user_to_mprj_in_gates\[14\]_B +*6064 ANTENNA_user_to_mprj_in_gates\[15\]_A +*6065 ANTENNA_user_to_mprj_in_gates\[15\]_B +*6066 ANTENNA_user_to_mprj_in_gates\[16\]_A +*6067 ANTENNA_user_to_mprj_in_gates\[16\]_B +*6068 ANTENNA_user_to_mprj_in_gates\[17\]_A +*6069 ANTENNA_user_to_mprj_in_gates\[17\]_B +*6070 ANTENNA_user_to_mprj_in_gates\[18\]_A +*6071 ANTENNA_user_to_mprj_in_gates\[18\]_B +*6072 ANTENNA_user_to_mprj_in_gates\[19\]_A +*6073 ANTENNA_user_to_mprj_in_gates\[19\]_B +*6074 ANTENNA_user_to_mprj_in_gates\[1\]_A +*6075 ANTENNA_user_to_mprj_in_gates\[1\]_B +*6076 ANTENNA_user_to_mprj_in_gates\[20\]_A +*6077 ANTENNA_user_to_mprj_in_gates\[20\]_B +*6078 ANTENNA_user_to_mprj_in_gates\[21\]_A +*6079 ANTENNA_user_to_mprj_in_gates\[21\]_B +*6080 ANTENNA_user_to_mprj_in_gates\[22\]_A +*6081 ANTENNA_user_to_mprj_in_gates\[22\]_B +*6082 ANTENNA_user_to_mprj_in_gates\[23\]_A +*6083 ANTENNA_user_to_mprj_in_gates\[23\]_B +*6084 ANTENNA_user_to_mprj_in_gates\[24\]_A +*6085 ANTENNA_user_to_mprj_in_gates\[24\]_B +*6086 ANTENNA_user_to_mprj_in_gates\[25\]_A +*6087 ANTENNA_user_to_mprj_in_gates\[25\]_B +*6088 ANTENNA_user_to_mprj_in_gates\[26\]_A +*6089 ANTENNA_user_to_mprj_in_gates\[26\]_B +*6090 ANTENNA_user_to_mprj_in_gates\[27\]_A +*6091 ANTENNA_user_to_mprj_in_gates\[27\]_B +*6092 ANTENNA_user_to_mprj_in_gates\[28\]_A +*6093 ANTENNA_user_to_mprj_in_gates\[28\]_B +*6094 ANTENNA_user_to_mprj_in_gates\[29\]_A +*6095 ANTENNA_user_to_mprj_in_gates\[29\]_B +*6096 ANTENNA_user_to_mprj_in_gates\[2\]_A +*6097 ANTENNA_user_to_mprj_in_gates\[2\]_B +*6098 ANTENNA_user_to_mprj_in_gates\[30\]_A +*6099 ANTENNA_user_to_mprj_in_gates\[30\]_B +*6100 ANTENNA_user_to_mprj_in_gates\[31\]_A +*6101 ANTENNA_user_to_mprj_in_gates\[31\]_B +*6102 ANTENNA_user_to_mprj_in_gates\[32\]_A +*6103 ANTENNA_user_to_mprj_in_gates\[32\]_B +*6104 ANTENNA_user_to_mprj_in_gates\[33\]_A +*6105 ANTENNA_user_to_mprj_in_gates\[33\]_B +*6106 ANTENNA_user_to_mprj_in_gates\[34\]_A +*6107 ANTENNA_user_to_mprj_in_gates\[34\]_B +*6108 ANTENNA_user_to_mprj_in_gates\[35\]_A +*6109 ANTENNA_user_to_mprj_in_gates\[35\]_B +*6110 ANTENNA_user_to_mprj_in_gates\[36\]_A +*6111 ANTENNA_user_to_mprj_in_gates\[36\]_B +*6112 ANTENNA_user_to_mprj_in_gates\[37\]_A +*6113 ANTENNA_user_to_mprj_in_gates\[37\]_B +*6114 ANTENNA_user_to_mprj_in_gates\[38\]_A +*6115 ANTENNA_user_to_mprj_in_gates\[38\]_B +*6116 ANTENNA_user_to_mprj_in_gates\[39\]_A +*6117 ANTENNA_user_to_mprj_in_gates\[39\]_B +*6118 ANTENNA_user_to_mprj_in_gates\[3\]_A +*6119 ANTENNA_user_to_mprj_in_gates\[3\]_B +*6120 ANTENNA_user_to_mprj_in_gates\[40\]_A +*6121 ANTENNA_user_to_mprj_in_gates\[40\]_B +*6122 ANTENNA_user_to_mprj_in_gates\[41\]_A +*6123 ANTENNA_user_to_mprj_in_gates\[41\]_B +*6124 ANTENNA_user_to_mprj_in_gates\[42\]_A +*6125 ANTENNA_user_to_mprj_in_gates\[42\]_B +*6126 ANTENNA_user_to_mprj_in_gates\[43\]_A +*6127 ANTENNA_user_to_mprj_in_gates\[43\]_B +*6128 ANTENNA_user_to_mprj_in_gates\[44\]_A +*6129 ANTENNA_user_to_mprj_in_gates\[44\]_B +*6130 ANTENNA_user_to_mprj_in_gates\[45\]_A +*6131 ANTENNA_user_to_mprj_in_gates\[45\]_B +*6132 ANTENNA_user_to_mprj_in_gates\[46\]_A +*6133 ANTENNA_user_to_mprj_in_gates\[46\]_B +*6134 ANTENNA_user_to_mprj_in_gates\[47\]_A +*6135 ANTENNA_user_to_mprj_in_gates\[47\]_B +*6136 ANTENNA_user_to_mprj_in_gates\[48\]_A +*6137 ANTENNA_user_to_mprj_in_gates\[48\]_B +*6138 ANTENNA_user_to_mprj_in_gates\[49\]_A +*6139 ANTENNA_user_to_mprj_in_gates\[49\]_B +*6140 ANTENNA_user_to_mprj_in_gates\[4\]_A +*6141 ANTENNA_user_to_mprj_in_gates\[4\]_B +*6142 ANTENNA_user_to_mprj_in_gates\[50\]_A +*6143 ANTENNA_user_to_mprj_in_gates\[50\]_B +*6144 ANTENNA_user_to_mprj_in_gates\[51\]_A +*6145 ANTENNA_user_to_mprj_in_gates\[51\]_B +*6146 ANTENNA_user_to_mprj_in_gates\[52\]_A +*6147 ANTENNA_user_to_mprj_in_gates\[52\]_B +*6148 ANTENNA_user_to_mprj_in_gates\[53\]_A +*6149 ANTENNA_user_to_mprj_in_gates\[53\]_B +*6150 ANTENNA_user_to_mprj_in_gates\[54\]_A +*6151 ANTENNA_user_to_mprj_in_gates\[54\]_B +*6152 ANTENNA_user_to_mprj_in_gates\[55\]_A +*6153 ANTENNA_user_to_mprj_in_gates\[55\]_B +*6154 ANTENNA_user_to_mprj_in_gates\[56\]_A +*6155 ANTENNA_user_to_mprj_in_gates\[56\]_B +*6156 ANTENNA_user_to_mprj_in_gates\[57\]_A +*6157 ANTENNA_user_to_mprj_in_gates\[57\]_B +*6158 ANTENNA_user_to_mprj_in_gates\[58\]_A +*6159 ANTENNA_user_to_mprj_in_gates\[58\]_B +*6160 ANTENNA_user_to_mprj_in_gates\[59\]_A +*6161 ANTENNA_user_to_mprj_in_gates\[59\]_B +*6162 ANTENNA_user_to_mprj_in_gates\[5\]_A +*6163 ANTENNA_user_to_mprj_in_gates\[5\]_B +*6164 ANTENNA_user_to_mprj_in_gates\[60\]_A +*6165 ANTENNA_user_to_mprj_in_gates\[60\]_B +*6166 ANTENNA_user_to_mprj_in_gates\[61\]_A +*6167 ANTENNA_user_to_mprj_in_gates\[61\]_B +*6168 ANTENNA_user_to_mprj_in_gates\[62\]_A +*6169 ANTENNA_user_to_mprj_in_gates\[62\]_B +*6170 ANTENNA_user_to_mprj_in_gates\[63\]_A +*6171 ANTENNA_user_to_mprj_in_gates\[63\]_B +*6172 ANTENNA_user_to_mprj_in_gates\[64\]_A +*6173 ANTENNA_user_to_mprj_in_gates\[64\]_B +*6174 ANTENNA_user_to_mprj_in_gates\[65\]_A +*6175 ANTENNA_user_to_mprj_in_gates\[65\]_B +*6176 ANTENNA_user_to_mprj_in_gates\[66\]_A +*6177 ANTENNA_user_to_mprj_in_gates\[66\]_B +*6178 ANTENNA_user_to_mprj_in_gates\[67\]_A +*6179 ANTENNA_user_to_mprj_in_gates\[67\]_B +*6180 ANTENNA_user_to_mprj_in_gates\[68\]_A +*6181 ANTENNA_user_to_mprj_in_gates\[68\]_B +*6182 ANTENNA_user_to_mprj_in_gates\[69\]_A +*6183 ANTENNA_user_to_mprj_in_gates\[69\]_B +*6184 ANTENNA_user_to_mprj_in_gates\[6\]_A +*6185 ANTENNA_user_to_mprj_in_gates\[6\]_B +*6186 ANTENNA_user_to_mprj_in_gates\[70\]_A +*6187 ANTENNA_user_to_mprj_in_gates\[70\]_B +*6188 ANTENNA_user_to_mprj_in_gates\[71\]_A +*6189 ANTENNA_user_to_mprj_in_gates\[71\]_B +*6190 ANTENNA_user_to_mprj_in_gates\[72\]_A +*6191 ANTENNA_user_to_mprj_in_gates\[72\]_B +*6192 ANTENNA_user_to_mprj_in_gates\[73\]_A +*6193 ANTENNA_user_to_mprj_in_gates\[73\]_B +*6194 ANTENNA_user_to_mprj_in_gates\[74\]_A +*6195 ANTENNA_user_to_mprj_in_gates\[74\]_B +*6196 ANTENNA_user_to_mprj_in_gates\[75\]_A +*6197 ANTENNA_user_to_mprj_in_gates\[75\]_B +*6198 ANTENNA_user_to_mprj_in_gates\[76\]_A +*6199 ANTENNA_user_to_mprj_in_gates\[76\]_B +*6200 ANTENNA_user_to_mprj_in_gates\[77\]_A +*6201 ANTENNA_user_to_mprj_in_gates\[77\]_B +*6202 ANTENNA_user_to_mprj_in_gates\[78\]_A +*6203 ANTENNA_user_to_mprj_in_gates\[78\]_B +*6204 ANTENNA_user_to_mprj_in_gates\[79\]_A +*6205 ANTENNA_user_to_mprj_in_gates\[79\]_B +*6206 ANTENNA_user_to_mprj_in_gates\[7\]_A +*6207 ANTENNA_user_to_mprj_in_gates\[7\]_B +*6208 ANTENNA_user_to_mprj_in_gates\[80\]_A +*6209 ANTENNA_user_to_mprj_in_gates\[80\]_B +*6210 ANTENNA_user_to_mprj_in_gates\[81\]_A +*6211 ANTENNA_user_to_mprj_in_gates\[81\]_B +*6212 ANTENNA_user_to_mprj_in_gates\[82\]_A +*6213 ANTENNA_user_to_mprj_in_gates\[82\]_B +*6214 ANTENNA_user_to_mprj_in_gates\[83\]_A +*6215 ANTENNA_user_to_mprj_in_gates\[83\]_B +*6216 ANTENNA_user_to_mprj_in_gates\[84\]_A +*6217 ANTENNA_user_to_mprj_in_gates\[84\]_B +*6218 ANTENNA_user_to_mprj_in_gates\[85\]_A +*6219 ANTENNA_user_to_mprj_in_gates\[85\]_B +*6220 ANTENNA_user_to_mprj_in_gates\[86\]_A +*6221 ANTENNA_user_to_mprj_in_gates\[86\]_B +*6222 ANTENNA_user_to_mprj_in_gates\[87\]_A +*6223 ANTENNA_user_to_mprj_in_gates\[87\]_B +*6224 ANTENNA_user_to_mprj_in_gates\[88\]_A +*6225 ANTENNA_user_to_mprj_in_gates\[88\]_B +*6226 ANTENNA_user_to_mprj_in_gates\[89\]_A +*6227 ANTENNA_user_to_mprj_in_gates\[89\]_B +*6228 ANTENNA_user_to_mprj_in_gates\[8\]_A +*6229 ANTENNA_user_to_mprj_in_gates\[8\]_B +*6230 ANTENNA_user_to_mprj_in_gates\[90\]_A +*6231 ANTENNA_user_to_mprj_in_gates\[90\]_B +*6232 ANTENNA_user_to_mprj_in_gates\[91\]_A +*6233 ANTENNA_user_to_mprj_in_gates\[91\]_B +*6234 ANTENNA_user_to_mprj_in_gates\[92\]_A +*6235 ANTENNA_user_to_mprj_in_gates\[92\]_B +*6236 ANTENNA_user_to_mprj_in_gates\[93\]_A +*6237 ANTENNA_user_to_mprj_in_gates\[93\]_B +*6238 ANTENNA_user_to_mprj_in_gates\[94\]_A +*6239 ANTENNA_user_to_mprj_in_gates\[94\]_B +*6240 ANTENNA_user_to_mprj_in_gates\[95\]_A +*6241 ANTENNA_user_to_mprj_in_gates\[95\]_B +*6242 ANTENNA_user_to_mprj_in_gates\[96\]_A +*6243 ANTENNA_user_to_mprj_in_gates\[96\]_B +*6244 ANTENNA_user_to_mprj_in_gates\[97\]_A +*6245 ANTENNA_user_to_mprj_in_gates\[97\]_B +*6246 ANTENNA_user_to_mprj_in_gates\[98\]_A +*6247 ANTENNA_user_to_mprj_in_gates\[98\]_B +*6248 ANTENNA_user_to_mprj_in_gates\[99\]_A +*6249 ANTENNA_user_to_mprj_in_gates\[99\]_B +*6250 ANTENNA_user_to_mprj_in_gates\[9\]_A +*6251 ANTENNA_user_to_mprj_in_gates\[9\]_B +*6252 ANTENNA_user_to_mprj_oen_buffers\[0\]_A +*6253 ANTENNA_user_to_mprj_oen_buffers\[0\]_TE +*6254 ANTENNA_user_to_mprj_oen_buffers\[100\]_A +*6255 ANTENNA_user_to_mprj_oen_buffers\[100\]_TE +*6256 ANTENNA_user_to_mprj_oen_buffers\[101\]_A +*6257 ANTENNA_user_to_mprj_oen_buffers\[101\]_TE +*6258 ANTENNA_user_to_mprj_oen_buffers\[102\]_A +*6259 ANTENNA_user_to_mprj_oen_buffers\[102\]_TE +*6260 ANTENNA_user_to_mprj_oen_buffers\[103\]_A +*6261 ANTENNA_user_to_mprj_oen_buffers\[103\]_TE +*6262 ANTENNA_user_to_mprj_oen_buffers\[104\]_A +*6263 ANTENNA_user_to_mprj_oen_buffers\[104\]_TE +*6264 ANTENNA_user_to_mprj_oen_buffers\[105\]_A +*6265 ANTENNA_user_to_mprj_oen_buffers\[105\]_TE +*6266 ANTENNA_user_to_mprj_oen_buffers\[106\]_A +*6267 ANTENNA_user_to_mprj_oen_buffers\[106\]_TE +*6268 ANTENNA_user_to_mprj_oen_buffers\[107\]_A +*6269 ANTENNA_user_to_mprj_oen_buffers\[107\]_TE +*6270 ANTENNA_user_to_mprj_oen_buffers\[108\]_A +*6271 ANTENNA_user_to_mprj_oen_buffers\[108\]_TE +*6272 ANTENNA_user_to_mprj_oen_buffers\[109\]_A +*6273 ANTENNA_user_to_mprj_oen_buffers\[109\]_TE +*6274 ANTENNA_user_to_mprj_oen_buffers\[10\]_A +*6275 ANTENNA_user_to_mprj_oen_buffers\[10\]_TE +*6276 ANTENNA_user_to_mprj_oen_buffers\[110\]_A +*6277 ANTENNA_user_to_mprj_oen_buffers\[110\]_TE +*6278 ANTENNA_user_to_mprj_oen_buffers\[111\]_A +*6279 ANTENNA_user_to_mprj_oen_buffers\[111\]_TE +*6280 ANTENNA_user_to_mprj_oen_buffers\[112\]_A +*6281 ANTENNA_user_to_mprj_oen_buffers\[112\]_TE +*6282 ANTENNA_user_to_mprj_oen_buffers\[113\]_A +*6283 ANTENNA_user_to_mprj_oen_buffers\[113\]_TE +*6284 ANTENNA_user_to_mprj_oen_buffers\[114\]_A +*6285 ANTENNA_user_to_mprj_oen_buffers\[114\]_TE +*6286 ANTENNA_user_to_mprj_oen_buffers\[115\]_A +*6287 ANTENNA_user_to_mprj_oen_buffers\[115\]_TE +*6288 ANTENNA_user_to_mprj_oen_buffers\[116\]_A +*6289 ANTENNA_user_to_mprj_oen_buffers\[116\]_TE +*6290 ANTENNA_user_to_mprj_oen_buffers\[117\]_A +*6291 ANTENNA_user_to_mprj_oen_buffers\[117\]_TE +*6292 ANTENNA_user_to_mprj_oen_buffers\[118\]_A +*6293 ANTENNA_user_to_mprj_oen_buffers\[118\]_TE +*6294 ANTENNA_user_to_mprj_oen_buffers\[119\]_A +*6295 ANTENNA_user_to_mprj_oen_buffers\[119\]_TE +*6296 ANTENNA_user_to_mprj_oen_buffers\[11\]_A +*6297 ANTENNA_user_to_mprj_oen_buffers\[11\]_TE +*6298 ANTENNA_user_to_mprj_oen_buffers\[120\]_A +*6299 ANTENNA_user_to_mprj_oen_buffers\[120\]_TE +*6300 ANTENNA_user_to_mprj_oen_buffers\[121\]_A +*6301 ANTENNA_user_to_mprj_oen_buffers\[121\]_TE +*6302 ANTENNA_user_to_mprj_oen_buffers\[122\]_A +*6303 ANTENNA_user_to_mprj_oen_buffers\[122\]_TE +*6304 ANTENNA_user_to_mprj_oen_buffers\[123\]_A +*6305 ANTENNA_user_to_mprj_oen_buffers\[123\]_TE +*6306 ANTENNA_user_to_mprj_oen_buffers\[124\]_A +*6307 ANTENNA_user_to_mprj_oen_buffers\[124\]_TE +*6308 ANTENNA_user_to_mprj_oen_buffers\[125\]_A +*6309 ANTENNA_user_to_mprj_oen_buffers\[125\]_TE +*6310 ANTENNA_user_to_mprj_oen_buffers\[126\]_A +*6311 ANTENNA_user_to_mprj_oen_buffers\[126\]_TE +*6312 ANTENNA_user_to_mprj_oen_buffers\[127\]_A +*6313 ANTENNA_user_to_mprj_oen_buffers\[127\]_TE +*6314 ANTENNA_user_to_mprj_oen_buffers\[12\]_A +*6315 ANTENNA_user_to_mprj_oen_buffers\[12\]_TE +*6316 ANTENNA_user_to_mprj_oen_buffers\[13\]_A +*6317 ANTENNA_user_to_mprj_oen_buffers\[13\]_TE +*6318 ANTENNA_user_to_mprj_oen_buffers\[14\]_A +*6319 ANTENNA_user_to_mprj_oen_buffers\[14\]_TE +*6320 ANTENNA_user_to_mprj_oen_buffers\[15\]_A +*6321 ANTENNA_user_to_mprj_oen_buffers\[15\]_TE +*6322 ANTENNA_user_to_mprj_oen_buffers\[16\]_A +*6323 ANTENNA_user_to_mprj_oen_buffers\[16\]_TE +*6324 ANTENNA_user_to_mprj_oen_buffers\[17\]_A +*6325 ANTENNA_user_to_mprj_oen_buffers\[17\]_TE +*6326 ANTENNA_user_to_mprj_oen_buffers\[18\]_A +*6327 ANTENNA_user_to_mprj_oen_buffers\[18\]_TE +*6328 ANTENNA_user_to_mprj_oen_buffers\[19\]_A +*6329 ANTENNA_user_to_mprj_oen_buffers\[19\]_TE +*6330 ANTENNA_user_to_mprj_oen_buffers\[1\]_A +*6331 ANTENNA_user_to_mprj_oen_buffers\[1\]_TE +*6332 ANTENNA_user_to_mprj_oen_buffers\[20\]_A +*6333 ANTENNA_user_to_mprj_oen_buffers\[20\]_TE +*6334 ANTENNA_user_to_mprj_oen_buffers\[21\]_A +*6335 ANTENNA_user_to_mprj_oen_buffers\[21\]_TE +*6336 ANTENNA_user_to_mprj_oen_buffers\[22\]_A +*6337 ANTENNA_user_to_mprj_oen_buffers\[22\]_TE +*6338 ANTENNA_user_to_mprj_oen_buffers\[23\]_A +*6339 ANTENNA_user_to_mprj_oen_buffers\[23\]_TE +*6340 ANTENNA_user_to_mprj_oen_buffers\[24\]_A +*6341 ANTENNA_user_to_mprj_oen_buffers\[24\]_TE +*6342 ANTENNA_user_to_mprj_oen_buffers\[25\]_A +*6343 ANTENNA_user_to_mprj_oen_buffers\[25\]_TE +*6344 ANTENNA_user_to_mprj_oen_buffers\[26\]_A +*6345 ANTENNA_user_to_mprj_oen_buffers\[26\]_TE +*6346 ANTENNA_user_to_mprj_oen_buffers\[27\]_A +*6347 ANTENNA_user_to_mprj_oen_buffers\[27\]_TE +*6348 ANTENNA_user_to_mprj_oen_buffers\[28\]_A +*6349 ANTENNA_user_to_mprj_oen_buffers\[28\]_TE +*6350 ANTENNA_user_to_mprj_oen_buffers\[29\]_A +*6351 ANTENNA_user_to_mprj_oen_buffers\[29\]_TE +*6352 ANTENNA_user_to_mprj_oen_buffers\[2\]_A +*6353 ANTENNA_user_to_mprj_oen_buffers\[2\]_TE +*6354 ANTENNA_user_to_mprj_oen_buffers\[30\]_A +*6355 ANTENNA_user_to_mprj_oen_buffers\[30\]_TE +*6356 ANTENNA_user_to_mprj_oen_buffers\[31\]_A +*6357 ANTENNA_user_to_mprj_oen_buffers\[31\]_TE +*6358 ANTENNA_user_to_mprj_oen_buffers\[32\]_A +*6359 ANTENNA_user_to_mprj_oen_buffers\[32\]_TE +*6360 ANTENNA_user_to_mprj_oen_buffers\[33\]_A +*6361 ANTENNA_user_to_mprj_oen_buffers\[33\]_TE +*6362 ANTENNA_user_to_mprj_oen_buffers\[34\]_A +*6363 ANTENNA_user_to_mprj_oen_buffers\[34\]_TE +*6364 ANTENNA_user_to_mprj_oen_buffers\[35\]_A +*6365 ANTENNA_user_to_mprj_oen_buffers\[35\]_TE +*6366 ANTENNA_user_to_mprj_oen_buffers\[36\]_A +*6367 ANTENNA_user_to_mprj_oen_buffers\[36\]_TE +*6368 ANTENNA_user_to_mprj_oen_buffers\[37\]_A +*6369 ANTENNA_user_to_mprj_oen_buffers\[37\]_TE +*6370 ANTENNA_user_to_mprj_oen_buffers\[38\]_A +*6371 ANTENNA_user_to_mprj_oen_buffers\[38\]_TE +*6372 ANTENNA_user_to_mprj_oen_buffers\[39\]_A +*6373 ANTENNA_user_to_mprj_oen_buffers\[39\]_TE +*6374 ANTENNA_user_to_mprj_oen_buffers\[3\]_A +*6375 ANTENNA_user_to_mprj_oen_buffers\[3\]_TE +*6376 ANTENNA_user_to_mprj_oen_buffers\[40\]_A +*6377 ANTENNA_user_to_mprj_oen_buffers\[40\]_TE +*6378 ANTENNA_user_to_mprj_oen_buffers\[41\]_A +*6379 ANTENNA_user_to_mprj_oen_buffers\[41\]_TE +*6380 ANTENNA_user_to_mprj_oen_buffers\[42\]_A +*6381 ANTENNA_user_to_mprj_oen_buffers\[42\]_TE +*6382 ANTENNA_user_to_mprj_oen_buffers\[43\]_A +*6383 ANTENNA_user_to_mprj_oen_buffers\[43\]_TE +*6384 ANTENNA_user_to_mprj_oen_buffers\[44\]_A +*6385 ANTENNA_user_to_mprj_oen_buffers\[44\]_TE +*6386 ANTENNA_user_to_mprj_oen_buffers\[45\]_A +*6387 ANTENNA_user_to_mprj_oen_buffers\[45\]_TE +*6388 ANTENNA_user_to_mprj_oen_buffers\[46\]_A +*6389 ANTENNA_user_to_mprj_oen_buffers\[46\]_TE +*6390 ANTENNA_user_to_mprj_oen_buffers\[47\]_A +*6391 ANTENNA_user_to_mprj_oen_buffers\[47\]_TE +*6392 ANTENNA_user_to_mprj_oen_buffers\[48\]_A +*6393 ANTENNA_user_to_mprj_oen_buffers\[48\]_TE +*6394 ANTENNA_user_to_mprj_oen_buffers\[49\]_A +*6395 ANTENNA_user_to_mprj_oen_buffers\[49\]_TE +*6396 ANTENNA_user_to_mprj_oen_buffers\[4\]_A +*6397 ANTENNA_user_to_mprj_oen_buffers\[4\]_TE +*6398 ANTENNA_user_to_mprj_oen_buffers\[50\]_A +*6399 ANTENNA_user_to_mprj_oen_buffers\[50\]_TE +*6400 ANTENNA_user_to_mprj_oen_buffers\[51\]_A +*6401 ANTENNA_user_to_mprj_oen_buffers\[51\]_TE +*6402 ANTENNA_user_to_mprj_oen_buffers\[52\]_A +*6403 ANTENNA_user_to_mprj_oen_buffers\[52\]_TE +*6404 ANTENNA_user_to_mprj_oen_buffers\[53\]_A +*6405 ANTENNA_user_to_mprj_oen_buffers\[53\]_TE +*6406 ANTENNA_user_to_mprj_oen_buffers\[54\]_A +*6407 ANTENNA_user_to_mprj_oen_buffers\[54\]_TE +*6408 ANTENNA_user_to_mprj_oen_buffers\[55\]_A +*6409 ANTENNA_user_to_mprj_oen_buffers\[55\]_TE +*6410 ANTENNA_user_to_mprj_oen_buffers\[56\]_A +*6411 ANTENNA_user_to_mprj_oen_buffers\[56\]_TE +*6412 ANTENNA_user_to_mprj_oen_buffers\[57\]_A +*6413 ANTENNA_user_to_mprj_oen_buffers\[57\]_TE +*6414 ANTENNA_user_to_mprj_oen_buffers\[58\]_A +*6415 ANTENNA_user_to_mprj_oen_buffers\[58\]_TE +*6416 ANTENNA_user_to_mprj_oen_buffers\[59\]_A +*6417 ANTENNA_user_to_mprj_oen_buffers\[59\]_TE +*6418 ANTENNA_user_to_mprj_oen_buffers\[5\]_A +*6419 ANTENNA_user_to_mprj_oen_buffers\[5\]_TE +*6420 ANTENNA_user_to_mprj_oen_buffers\[60\]_A +*6421 ANTENNA_user_to_mprj_oen_buffers\[60\]_TE +*6422 ANTENNA_user_to_mprj_oen_buffers\[61\]_A +*6423 ANTENNA_user_to_mprj_oen_buffers\[61\]_TE +*6424 ANTENNA_user_to_mprj_oen_buffers\[62\]_A +*6425 ANTENNA_user_to_mprj_oen_buffers\[62\]_TE +*6426 ANTENNA_user_to_mprj_oen_buffers\[63\]_A +*6427 ANTENNA_user_to_mprj_oen_buffers\[63\]_TE +*6428 ANTENNA_user_to_mprj_oen_buffers\[64\]_A +*6429 ANTENNA_user_to_mprj_oen_buffers\[64\]_TE +*6430 ANTENNA_user_to_mprj_oen_buffers\[65\]_A +*6431 ANTENNA_user_to_mprj_oen_buffers\[65\]_TE +*6432 ANTENNA_user_to_mprj_oen_buffers\[66\]_A +*6433 ANTENNA_user_to_mprj_oen_buffers\[66\]_TE +*6434 ANTENNA_user_to_mprj_oen_buffers\[67\]_A +*6435 ANTENNA_user_to_mprj_oen_buffers\[67\]_TE +*6436 ANTENNA_user_to_mprj_oen_buffers\[68\]_A +*6437 ANTENNA_user_to_mprj_oen_buffers\[68\]_TE +*6438 ANTENNA_user_to_mprj_oen_buffers\[69\]_A +*6439 ANTENNA_user_to_mprj_oen_buffers\[69\]_TE +*6440 ANTENNA_user_to_mprj_oen_buffers\[6\]_A +*6441 ANTENNA_user_to_mprj_oen_buffers\[6\]_TE +*6442 ANTENNA_user_to_mprj_oen_buffers\[70\]_A +*6443 ANTENNA_user_to_mprj_oen_buffers\[70\]_TE +*6444 ANTENNA_user_to_mprj_oen_buffers\[71\]_A +*6445 ANTENNA_user_to_mprj_oen_buffers\[71\]_TE +*6446 ANTENNA_user_to_mprj_oen_buffers\[72\]_A +*6447 ANTENNA_user_to_mprj_oen_buffers\[72\]_TE +*6448 ANTENNA_user_to_mprj_oen_buffers\[73\]_A +*6449 ANTENNA_user_to_mprj_oen_buffers\[73\]_TE +*6450 ANTENNA_user_to_mprj_oen_buffers\[74\]_A +*6451 ANTENNA_user_to_mprj_oen_buffers\[74\]_TE +*6452 ANTENNA_user_to_mprj_oen_buffers\[75\]_A +*6453 ANTENNA_user_to_mprj_oen_buffers\[75\]_TE +*6454 ANTENNA_user_to_mprj_oen_buffers\[76\]_A +*6455 ANTENNA_user_to_mprj_oen_buffers\[76\]_TE +*6456 ANTENNA_user_to_mprj_oen_buffers\[77\]_A +*6457 ANTENNA_user_to_mprj_oen_buffers\[77\]_TE +*6458 ANTENNA_user_to_mprj_oen_buffers\[78\]_A +*6459 ANTENNA_user_to_mprj_oen_buffers\[78\]_TE +*6460 ANTENNA_user_to_mprj_oen_buffers\[79\]_A +*6461 ANTENNA_user_to_mprj_oen_buffers\[79\]_TE +*6462 ANTENNA_user_to_mprj_oen_buffers\[7\]_A +*6463 ANTENNA_user_to_mprj_oen_buffers\[7\]_TE +*6464 ANTENNA_user_to_mprj_oen_buffers\[80\]_A +*6465 ANTENNA_user_to_mprj_oen_buffers\[80\]_TE +*6466 ANTENNA_user_to_mprj_oen_buffers\[81\]_A +*6467 ANTENNA_user_to_mprj_oen_buffers\[81\]_TE +*6468 ANTENNA_user_to_mprj_oen_buffers\[82\]_A +*6469 ANTENNA_user_to_mprj_oen_buffers\[82\]_TE +*6470 ANTENNA_user_to_mprj_oen_buffers\[83\]_A +*6471 ANTENNA_user_to_mprj_oen_buffers\[83\]_TE +*6472 ANTENNA_user_to_mprj_oen_buffers\[84\]_A +*6473 ANTENNA_user_to_mprj_oen_buffers\[84\]_TE +*6474 ANTENNA_user_to_mprj_oen_buffers\[85\]_A +*6475 ANTENNA_user_to_mprj_oen_buffers\[85\]_TE +*6476 ANTENNA_user_to_mprj_oen_buffers\[86\]_A +*6477 ANTENNA_user_to_mprj_oen_buffers\[86\]_TE +*6478 ANTENNA_user_to_mprj_oen_buffers\[87\]_A +*6479 ANTENNA_user_to_mprj_oen_buffers\[87\]_TE +*6480 ANTENNA_user_to_mprj_oen_buffers\[88\]_A +*6481 ANTENNA_user_to_mprj_oen_buffers\[88\]_TE +*6482 ANTENNA_user_to_mprj_oen_buffers\[89\]_A +*6483 ANTENNA_user_to_mprj_oen_buffers\[89\]_TE +*6484 ANTENNA_user_to_mprj_oen_buffers\[8\]_A +*6485 ANTENNA_user_to_mprj_oen_buffers\[8\]_TE +*6486 ANTENNA_user_to_mprj_oen_buffers\[90\]_A +*6487 ANTENNA_user_to_mprj_oen_buffers\[90\]_TE +*6488 ANTENNA_user_to_mprj_oen_buffers\[91\]_A +*6489 ANTENNA_user_to_mprj_oen_buffers\[91\]_TE +*6490 ANTENNA_user_to_mprj_oen_buffers\[92\]_A +*6491 ANTENNA_user_to_mprj_oen_buffers\[92\]_TE +*6492 ANTENNA_user_to_mprj_oen_buffers\[93\]_A +*6493 ANTENNA_user_to_mprj_oen_buffers\[93\]_TE +*6494 ANTENNA_user_to_mprj_oen_buffers\[94\]_A +*6495 ANTENNA_user_to_mprj_oen_buffers\[94\]_TE +*6496 ANTENNA_user_to_mprj_oen_buffers\[95\]_A +*6497 ANTENNA_user_to_mprj_oen_buffers\[95\]_TE +*6498 ANTENNA_user_to_mprj_oen_buffers\[96\]_A +*6499 ANTENNA_user_to_mprj_oen_buffers\[96\]_TE +*6500 ANTENNA_user_to_mprj_oen_buffers\[97\]_A +*6501 ANTENNA_user_to_mprj_oen_buffers\[97\]_TE +*6502 ANTENNA_user_to_mprj_oen_buffers\[98\]_A +*6503 ANTENNA_user_to_mprj_oen_buffers\[98\]_TE +*6504 ANTENNA_user_to_mprj_oen_buffers\[99\]_A +*6505 ANTENNA_user_to_mprj_oen_buffers\[99\]_TE +*6506 ANTENNA_user_to_mprj_oen_buffers\[9\]_A +*6507 ANTENNA_user_to_mprj_oen_buffers\[9\]_TE +*6508 ANTENNA_user_to_mprj_wb_ena_buf_A +*6509 ANTENNA_user_to_mprj_wb_ena_buf_B +*6510 ANTENNA_user_wb_ack_buffer_A +*6511 ANTENNA_user_wb_ack_gate_A +*6512 ANTENNA_user_wb_ack_gate_B +*6513 ANTENNA_user_wb_dat_buffers\[0\]_A +*6514 ANTENNA_user_wb_dat_buffers\[10\]_A +*6515 ANTENNA_user_wb_dat_buffers\[11\]_A +*6516 ANTENNA_user_wb_dat_buffers\[12\]_A +*6517 ANTENNA_user_wb_dat_buffers\[13\]_A +*6518 ANTENNA_user_wb_dat_buffers\[14\]_A +*6519 ANTENNA_user_wb_dat_buffers\[15\]_A +*6520 ANTENNA_user_wb_dat_buffers\[16\]_A +*6521 ANTENNA_user_wb_dat_buffers\[17\]_A +*6522 ANTENNA_user_wb_dat_buffers\[18\]_A +*6523 ANTENNA_user_wb_dat_buffers\[19\]_A +*6524 ANTENNA_user_wb_dat_buffers\[1\]_A +*6525 ANTENNA_user_wb_dat_buffers\[20\]_A +*6526 ANTENNA_user_wb_dat_buffers\[21\]_A +*6527 ANTENNA_user_wb_dat_buffers\[22\]_A +*6528 ANTENNA_user_wb_dat_buffers\[23\]_A +*6529 ANTENNA_user_wb_dat_buffers\[24\]_A +*6530 ANTENNA_user_wb_dat_buffers\[25\]_A +*6531 ANTENNA_user_wb_dat_buffers\[26\]_A +*6532 ANTENNA_user_wb_dat_buffers\[27\]_A +*6533 ANTENNA_user_wb_dat_buffers\[28\]_A +*6534 ANTENNA_user_wb_dat_buffers\[29\]_A +*6535 ANTENNA_user_wb_dat_buffers\[2\]_A +*6536 ANTENNA_user_wb_dat_buffers\[30\]_A +*6537 ANTENNA_user_wb_dat_buffers\[31\]_A +*6538 ANTENNA_user_wb_dat_buffers\[3\]_A +*6539 ANTENNA_user_wb_dat_buffers\[4\]_A +*6540 ANTENNA_user_wb_dat_buffers\[5\]_A +*6541 ANTENNA_user_wb_dat_buffers\[6\]_A +*6542 ANTENNA_user_wb_dat_buffers\[7\]_A +*6543 ANTENNA_user_wb_dat_buffers\[8\]_A +*6544 ANTENNA_user_wb_dat_buffers\[9\]_A +*6545 ANTENNA_user_wb_dat_gates\[0\]_A +*6546 ANTENNA_user_wb_dat_gates\[0\]_B +*6547 ANTENNA_user_wb_dat_gates\[10\]_A +*6548 ANTENNA_user_wb_dat_gates\[10\]_B +*6549 ANTENNA_user_wb_dat_gates\[11\]_A +*6550 ANTENNA_user_wb_dat_gates\[11\]_B +*6551 ANTENNA_user_wb_dat_gates\[12\]_A +*6552 ANTENNA_user_wb_dat_gates\[12\]_B +*6553 ANTENNA_user_wb_dat_gates\[13\]_A +*6554 ANTENNA_user_wb_dat_gates\[13\]_B +*6555 ANTENNA_user_wb_dat_gates\[14\]_A +*6556 ANTENNA_user_wb_dat_gates\[14\]_B +*6557 ANTENNA_user_wb_dat_gates\[15\]_A +*6558 ANTENNA_user_wb_dat_gates\[15\]_B +*6559 ANTENNA_user_wb_dat_gates\[16\]_A +*6560 ANTENNA_user_wb_dat_gates\[16\]_B +*6561 ANTENNA_user_wb_dat_gates\[17\]_A +*6562 ANTENNA_user_wb_dat_gates\[17\]_B +*6563 ANTENNA_user_wb_dat_gates\[18\]_A +*6564 ANTENNA_user_wb_dat_gates\[18\]_B +*6565 ANTENNA_user_wb_dat_gates\[19\]_A +*6566 ANTENNA_user_wb_dat_gates\[19\]_B +*6567 ANTENNA_user_wb_dat_gates\[1\]_A +*6568 ANTENNA_user_wb_dat_gates\[1\]_B +*6569 ANTENNA_user_wb_dat_gates\[20\]_A +*6570 ANTENNA_user_wb_dat_gates\[20\]_B +*6571 ANTENNA_user_wb_dat_gates\[21\]_A +*6572 ANTENNA_user_wb_dat_gates\[21\]_B +*6573 ANTENNA_user_wb_dat_gates\[22\]_A +*6574 ANTENNA_user_wb_dat_gates\[22\]_B +*6575 ANTENNA_user_wb_dat_gates\[23\]_A +*6576 ANTENNA_user_wb_dat_gates\[23\]_B +*6577 ANTENNA_user_wb_dat_gates\[24\]_A +*6578 ANTENNA_user_wb_dat_gates\[24\]_B +*6579 ANTENNA_user_wb_dat_gates\[25\]_A +*6580 ANTENNA_user_wb_dat_gates\[25\]_B +*6581 ANTENNA_user_wb_dat_gates\[26\]_A +*6582 ANTENNA_user_wb_dat_gates\[26\]_B +*6583 ANTENNA_user_wb_dat_gates\[27\]_A +*6584 ANTENNA_user_wb_dat_gates\[27\]_B +*6585 ANTENNA_user_wb_dat_gates\[28\]_A +*6586 ANTENNA_user_wb_dat_gates\[28\]_B +*6587 ANTENNA_user_wb_dat_gates\[29\]_A +*6588 ANTENNA_user_wb_dat_gates\[29\]_B +*6589 ANTENNA_user_wb_dat_gates\[2\]_A +*6590 ANTENNA_user_wb_dat_gates\[2\]_B +*6591 ANTENNA_user_wb_dat_gates\[30\]_A +*6592 ANTENNA_user_wb_dat_gates\[30\]_B +*6593 ANTENNA_user_wb_dat_gates\[31\]_A +*6594 ANTENNA_user_wb_dat_gates\[31\]_B +*6595 ANTENNA_user_wb_dat_gates\[3\]_A +*6596 ANTENNA_user_wb_dat_gates\[3\]_B +*6597 ANTENNA_user_wb_dat_gates\[4\]_A +*6598 ANTENNA_user_wb_dat_gates\[4\]_B +*6599 ANTENNA_user_wb_dat_gates\[5\]_A +*6600 ANTENNA_user_wb_dat_gates\[5\]_B +*6601 ANTENNA_user_wb_dat_gates\[6\]_A +*6602 ANTENNA_user_wb_dat_gates\[6\]_B +*6603 ANTENNA_user_wb_dat_gates\[7\]_A +*6604 ANTENNA_user_wb_dat_gates\[7\]_B +*6605 ANTENNA_user_wb_dat_gates\[8\]_A +*6606 ANTENNA_user_wb_dat_gates\[8\]_B +*6607 ANTENNA_user_wb_dat_gates\[9\]_A +*6608 ANTENNA_user_wb_dat_gates\[9\]_B +*6609 FILLER_0_1030 +*6610 FILLER_0_1044 +*6611 FILLER_0_1063 +*6612 FILLER_0_1069 +*6613 FILLER_0_1090 +*6614 FILLER_0_1093 +*6615 FILLER_0_1172 +*6616 FILLER_0_1193 +*6617 FILLER_0_1203 +*6618 FILLER_0_1217 +*6619 FILLER_0_1224 +*6620 FILLER_0_1239 +*6621 FILLER_0_1247 +*6622 FILLER_0_1255 +*6623 FILLER_0_127 +*6624 FILLER_0_1270 +*6625 FILLER_0_1278 +*6626 FILLER_0_1286 +*6627 FILLER_0_1301 +*6628 FILLER_0_1313 +*6629 FILLER_0_1332 +*6630 FILLER_0_1349 +*6631 FILLER_0_1356 +*6632 FILLER_0_1363 +*6633 FILLER_0_1371 +*6634 FILLER_0_1387 +*6635 FILLER_0_1411 +*6636 FILLER_0_1418 +*6637 FILLER_0_1427 +*6638 FILLER_0_1433 +*6639 FILLER_0_145 +*6640 FILLER_0_1451 +*6641 FILLER_0_1495 +*6642 FILLER_0_1511 +*6643 FILLER_0_1534 +*6644 FILLER_0_1557 +*6645 FILLER_0_1569 +*6646 FILLER_0_1580 +*6647 FILLER_0_1588 +*6648 FILLER_0_1597 +*6649 FILLER_0_1604 +*6650 FILLER_0_162 +*6651 FILLER_0_1623 +*6652 FILLER_0_1650 +*6653 FILLER_0_1659 +*6654 FILLER_0_1666 +*6655 FILLER_0_1679 +*6656 FILLER_0_1681 +*6657 FILLER_0_1689 +*6658 FILLER_0_1697 +*6659 FILLER_0_1709 +*6660 FILLER_0_1720 +*6661 FILLER_0_1728 +*6662 FILLER_0_1735 +*6663 FILLER_0_1751 +*6664 FILLER_0_1763 +*6665 FILLER_0_177 +*6666 FILLER_0_1790 +*6667 FILLER_0_1797 +*6668 FILLER_0_1805 +*6669 FILLER_0_1836 +*6670 FILLER_0_1847 +*6671 FILLER_0_1859 +*6672 FILLER_0_1867 +*6673 FILLER_0_1881 +*6674 FILLER_0_1900 +*6675 FILLER_0_1921 +*6676 FILLER_0_1929 +*6677 FILLER_0_193 +*6678 FILLER_0_1937 +*6679 FILLER_0_1945 +*6680 FILLER_0_1952 +*6681 FILLER_0_1961 +*6682 FILLER_0_1976 +*6683 FILLER_0_1987 +*6684 FILLER_0_201 +*6685 FILLER_0_2015 +*6686 FILLER_0_2017 +*6687 FILLER_0_2042 +*6688 FILLER_0_2049 +*6689 FILLER_0_2071 +*6690 FILLER_0_2073 +*6691 FILLER_0_2098 +*6692 FILLER_0_2123 +*6693 FILLER_0_2142 +*6694 FILLER_0_2177 +*6695 FILLER_0_2183 +*6696 FILLER_0_2185 +*6697 FILLER_0_2195 +*6698 FILLER_0_2213 +*6699 FILLER_0_2222 +*6700 FILLER_0_2237 +*6701 FILLER_0_225 +*6702 FILLER_0_2253 +*6703 FILLER_0_2269 +*6704 FILLER_0_2290 +*6705 FILLER_0_2295 +*6706 FILLER_0_2301 +*6707 FILLER_0_2311 +*6708 FILLER_0_2322 +*6709 FILLER_0_2325 +*6710 FILLER_0_2346 +*6711 FILLER_0_2353 +*6712 FILLER_0_240 +*6713 FILLER_0_251 +*6714 FILLER_0_275 +*6715 FILLER_0_295 +*6716 FILLER_0_302 +*6717 FILLER_0_307 +*6718 FILLER_0_309 +*6719 FILLER_0_317 +*6720 FILLER_0_341 +*6721 FILLER_0_348 +*6722 FILLER_0_39 +*6723 FILLER_0_391 +*6724 FILLER_0_425 +*6725 FILLER_0_447 +*6726 FILLER_0_457 +*6727 FILLER_0_487 +*6728 FILLER_0_503 +*6729 FILLER_0_519 +*6730 FILLER_0_530 +*6731 FILLER_0_589 +*6732 FILLER_0_604 +*6733 FILLER_0_627 +*6734 FILLER_0_658 +*6735 FILLER_0_698 +*6736 FILLER_0_7 +*6737 FILLER_0_705 +*6738 FILLER_0_726 +*6739 FILLER_0_729 +*6740 FILLER_0_736 +*6741 FILLER_0_755 +*6742 FILLER_0_782 +*6743 FILLER_0_813 +*6744 FILLER_0_845 +*6745 FILLER_0_85 +*6746 FILLER_0_884 +*6747 FILLER_0_907 +*6748 FILLER_0_929 +*6749 FILLER_0_946 +*6750 FILLER_0_951 +*6751 FILLER_0_953 +*6752 FILLER_0_977 +*6753 FILLER_10_1001 +*6754 FILLER_10_1005 +*6755 FILLER_10_1007 +*6756 FILLER_10_1022 +*6757 FILLER_10_1043 +*6758 FILLER_10_1047 +*6759 FILLER_10_1059 +*6760 FILLER_10_1063 +*6761 FILLER_10_1075 +*6762 FILLER_10_1087 +*6763 FILLER_10_1099 +*6764 FILLER_10_1111 +*6765 FILLER_10_1115 +*6766 FILLER_10_1119 +*6767 FILLER_10_1139 +*6768 FILLER_10_1143 +*6769 FILLER_10_1155 +*6770 FILLER_10_1167 +*6771 FILLER_10_1173 +*6772 FILLER_10_1175 +*6773 FILLER_10_1190 +*6774 FILLER_10_1211 +*6775 FILLER_10_1215 +*6776 FILLER_10_1219 +*6777 FILLER_10_1223 +*6778 FILLER_10_1227 +*6779 FILLER_10_1231 +*6780 FILLER_10_1243 +*6781 FILLER_10_1255 +*6782 FILLER_10_1267 +*6783 FILLER_10_1279 +*6784 FILLER_10_1285 +*6785 FILLER_10_1287 +*6786 FILLER_10_1299 +*6787 FILLER_10_1311 +*6788 FILLER_10_1323 +*6789 FILLER_10_1335 +*6790 FILLER_10_1341 +*6791 FILLER_10_1343 +*6792 FILLER_10_1355 +*6793 FILLER_10_1361 +*6794 FILLER_10_1369 +*6795 FILLER_10_1373 +*6796 FILLER_10_1386 +*6797 FILLER_10_1390 +*6798 FILLER_10_1396 +*6799 FILLER_10_1399 +*6800 FILLER_10_1403 +*6801 FILLER_10_1415 +*6802 FILLER_10_1418 +*6803 FILLER_10_1422 +*6804 FILLER_10_1434 +*6805 FILLER_10_1446 +*6806 FILLER_10_1455 +*6807 FILLER_10_1467 +*6808 FILLER_10_1479 +*6809 FILLER_10_1491 +*6810 FILLER_10_1496 +*6811 FILLER_10_1508 +*6812 FILLER_10_1511 +*6813 FILLER_10_1523 +*6814 FILLER_10_1535 +*6815 FILLER_10_1547 +*6816 FILLER_10_1559 +*6817 FILLER_10_1565 +*6818 FILLER_10_1567 +*6819 FILLER_10_1579 +*6820 FILLER_10_1591 +*6821 FILLER_10_1606 +*6822 FILLER_10_1610 +*6823 FILLER_10_1623 +*6824 FILLER_10_1635 +*6825 FILLER_10_1647 +*6826 FILLER_10_1673 +*6827 FILLER_10_1677 +*6828 FILLER_10_1679 +*6829 FILLER_10_1683 +*6830 FILLER_10_1695 +*6831 FILLER_10_1707 +*6832 FILLER_10_1719 +*6833 FILLER_10_1731 +*6834 FILLER_10_1735 +*6835 FILLER_10_1747 +*6836 FILLER_10_1759 +*6837 FILLER_10_1771 +*6838 FILLER_10_1783 +*6839 FILLER_10_1789 +*6840 FILLER_10_1791 +*6841 FILLER_10_1803 +*6842 FILLER_10_1813 +*6843 FILLER_10_1817 +*6844 FILLER_10_1829 +*6845 FILLER_10_1835 +*6846 FILLER_10_1843 +*6847 FILLER_10_1847 +*6848 FILLER_10_1859 +*6849 FILLER_10_1871 +*6850 FILLER_10_1883 +*6851 FILLER_10_1889 +*6852 FILLER_10_1897 +*6853 FILLER_10_1901 +*6854 FILLER_10_1903 +*6855 FILLER_10_1915 +*6856 FILLER_10_1927 +*6857 FILLER_10_1939 +*6858 FILLER_10_1951 +*6859 FILLER_10_1957 +*6860 FILLER_10_1959 +*6861 FILLER_10_1971 +*6862 FILLER_10_1983 +*6863 FILLER_10_1995 +*6864 FILLER_10_2007 +*6865 FILLER_10_253 +*6866 FILLER_10_271 +*6867 FILLER_10_275 +*6868 FILLER_10_279 +*6869 FILLER_10_291 +*6870 FILLER_10_303 +*6871 FILLER_10_315 +*6872 FILLER_10_327 +*6873 FILLER_10_333 +*6874 FILLER_10_335 +*6875 FILLER_10_347 +*6876 FILLER_10_359 +*6877 FILLER_10_371 +*6878 FILLER_10_379 +*6879 FILLER_10_389 +*6880 FILLER_10_396 +*6881 FILLER_10_400 +*6882 FILLER_10_404 +*6883 FILLER_10_416 +*6884 FILLER_10_428 +*6885 FILLER_10_443 +*6886 FILLER_10_452 +*6887 FILLER_10_456 +*6888 FILLER_10_460 +*6889 FILLER_10_472 +*6890 FILLER_10_484 +*6891 FILLER_10_496 +*6892 FILLER_10_503 +*6893 FILLER_10_515 +*6894 FILLER_10_527 +*6895 FILLER_10_539 +*6896 FILLER_10_545 +*6897 FILLER_10_548 +*6898 FILLER_10_556 +*6899 FILLER_10_559 +*6900 FILLER_10_571 +*6901 FILLER_10_583 +*6902 FILLER_10_595 +*6903 FILLER_10_607 +*6904 FILLER_10_613 +*6905 FILLER_10_615 +*6906 FILLER_10_627 +*6907 FILLER_10_635 +*6908 FILLER_10_647 +*6909 FILLER_10_651 +*6910 FILLER_10_657 +*6911 FILLER_10_663 +*6912 FILLER_10_667 +*6913 FILLER_10_671 +*6914 FILLER_10_683 +*6915 FILLER_10_695 +*6916 FILLER_10_707 +*6917 FILLER_10_719 +*6918 FILLER_10_725 +*6919 FILLER_10_727 +*6920 FILLER_10_739 +*6921 FILLER_10_751 +*6922 FILLER_10_759 +*6923 FILLER_10_769 +*6924 FILLER_10_773 +*6925 FILLER_10_781 +*6926 FILLER_10_783 +*6927 FILLER_10_795 +*6928 FILLER_10_807 +*6929 FILLER_10_819 +*6930 FILLER_10_829 +*6931 FILLER_10_836 +*6932 FILLER_10_846 +*6933 FILLER_10_850 +*6934 FILLER_10_854 +*6935 FILLER_10_858 +*6936 FILLER_10_862 +*6937 FILLER_10_874 +*6938 FILLER_10_886 +*6939 FILLER_10_895 +*6940 FILLER_10_907 +*6941 FILLER_10_919 +*6942 FILLER_10_931 +*6943 FILLER_10_943 +*6944 FILLER_10_949 +*6945 FILLER_10_951 +*6946 FILLER_10_957 +*6947 FILLER_10_969 +*6948 FILLER_10_981 +*6949 FILLER_10_985 +*6950 FILLER_10_989 +*6951 FILLER_11_1003 +*6952 FILLER_11_1025 +*6953 FILLER_11_1029 +*6954 FILLER_11_1033 +*6955 FILLER_11_1046 +*6956 FILLER_11_1050 +*6957 FILLER_11_1054 +*6958 FILLER_11_1063 +*6959 FILLER_11_1075 +*6960 FILLER_11_1087 +*6961 FILLER_11_1091 +*6962 FILLER_11_1103 +*6963 FILLER_11_1115 +*6964 FILLER_11_1137 +*6965 FILLER_11_1141 +*6966 FILLER_11_1145 +*6967 FILLER_11_1147 +*6968 FILLER_11_1159 +*6969 FILLER_11_1171 +*6970 FILLER_11_1175 +*6971 FILLER_11_1183 +*6972 FILLER_11_1188 +*6973 FILLER_11_1198 +*6974 FILLER_11_1206 +*6975 FILLER_11_1210 +*6976 FILLER_11_1231 +*6977 FILLER_11_1235 +*6978 FILLER_11_1257 +*6979 FILLER_11_1259 +*6980 FILLER_11_1263 +*6981 FILLER_11_1275 +*6982 FILLER_11_1283 +*6983 FILLER_11_1287 +*6984 FILLER_11_1299 +*6985 FILLER_11_1311 +*6986 FILLER_11_1315 +*6987 FILLER_11_1327 +*6988 FILLER_11_1339 +*6989 FILLER_11_1343 +*6990 FILLER_11_1355 +*6991 FILLER_11_1367 +*6992 FILLER_11_1371 +*6993 FILLER_11_1379 +*6994 FILLER_11_1385 +*6995 FILLER_11_1393 +*6996 FILLER_11_1399 +*6997 FILLER_11_1424 +*6998 FILLER_11_1427 +*6999 FILLER_11_1431 +*7000 FILLER_11_1443 +*7001 FILLER_11_1451 +*7002 FILLER_11_1455 +*7003 FILLER_11_1467 +*7004 FILLER_11_1477 +*7005 FILLER_11_1481 +*7006 FILLER_11_1483 +*7007 FILLER_11_1489 +*7008 FILLER_11_1496 +*7009 FILLER_11_1500 +*7010 FILLER_11_1508 +*7011 FILLER_11_1511 +*7012 FILLER_11_1523 +*7013 FILLER_11_1536 +*7014 FILLER_11_1539 +*7015 FILLER_11_1551 +*7016 FILLER_11_1563 +*7017 FILLER_11_1567 +*7018 FILLER_11_1579 +*7019 FILLER_11_1591 +*7020 FILLER_11_1601 +*7021 FILLER_11_1605 +*7022 FILLER_11_1617 +*7023 FILLER_11_1621 +*7024 FILLER_11_1623 +*7025 FILLER_11_1635 +*7026 FILLER_11_1647 +*7027 FILLER_11_1651 +*7028 FILLER_11_1663 +*7029 FILLER_11_1675 +*7030 FILLER_11_1679 +*7031 FILLER_11_1691 +*7032 FILLER_11_1703 +*7033 FILLER_11_1707 +*7034 FILLER_11_1719 +*7035 FILLER_11_1731 +*7036 FILLER_11_1735 +*7037 FILLER_11_1747 +*7038 FILLER_11_1759 +*7039 FILLER_11_1763 +*7040 FILLER_11_1775 +*7041 FILLER_11_1779 +*7042 FILLER_11_1783 +*7043 FILLER_11_1787 +*7044 FILLER_11_1791 +*7045 FILLER_11_1803 +*7046 FILLER_11_1815 +*7047 FILLER_11_1819 +*7048 FILLER_11_1837 +*7049 FILLER_11_1841 +*7050 FILLER_11_1845 +*7051 FILLER_11_1850 +*7052 FILLER_11_1854 +*7053 FILLER_11_1858 +*7054 FILLER_11_1870 +*7055 FILLER_11_1875 +*7056 FILLER_11_1887 +*7057 FILLER_11_1899 +*7058 FILLER_11_1903 +*7059 FILLER_11_1915 +*7060 FILLER_11_1927 +*7061 FILLER_11_1931 +*7062 FILLER_11_1943 +*7063 FILLER_11_1955 +*7064 FILLER_11_1959 +*7065 FILLER_11_1971 +*7066 FILLER_11_1983 +*7067 FILLER_11_1987 +*7068 FILLER_11_1999 +*7069 FILLER_11_2007 +*7070 FILLER_11_253 +*7071 FILLER_11_265 +*7072 FILLER_11_277 +*7073 FILLER_11_279 +*7074 FILLER_11_291 +*7075 FILLER_11_303 +*7076 FILLER_11_307 +*7077 FILLER_11_319 +*7078 FILLER_11_331 +*7079 FILLER_11_335 +*7080 FILLER_11_347 +*7081 FILLER_11_359 +*7082 FILLER_11_363 +*7083 FILLER_11_375 +*7084 FILLER_11_387 +*7085 FILLER_11_391 +*7086 FILLER_11_403 +*7087 FILLER_11_415 +*7088 FILLER_11_419 +*7089 FILLER_11_431 +*7090 FILLER_11_443 +*7091 FILLER_11_447 +*7092 FILLER_11_459 +*7093 FILLER_11_471 +*7094 FILLER_11_475 +*7095 FILLER_11_487 +*7096 FILLER_11_499 +*7097 FILLER_11_510 +*7098 FILLER_11_514 +*7099 FILLER_11_526 +*7100 FILLER_11_531 +*7101 FILLER_11_543 +*7102 FILLER_11_550 +*7103 FILLER_11_554 +*7104 FILLER_11_559 +*7105 FILLER_11_571 +*7106 FILLER_11_583 +*7107 FILLER_11_587 +*7108 FILLER_11_597 +*7109 FILLER_11_609 +*7110 FILLER_11_613 +*7111 FILLER_11_615 +*7112 FILLER_11_627 +*7113 FILLER_11_633 +*7114 FILLER_11_643 +*7115 FILLER_11_651 +*7116 FILLER_11_655 +*7117 FILLER_11_667 +*7118 FILLER_11_671 +*7119 FILLER_11_690 +*7120 FILLER_11_694 +*7121 FILLER_11_699 +*7122 FILLER_11_711 +*7123 FILLER_11_723 +*7124 FILLER_11_727 +*7125 FILLER_11_739 +*7126 FILLER_11_751 +*7127 FILLER_11_755 +*7128 FILLER_11_767 +*7129 FILLER_11_779 +*7130 FILLER_11_783 +*7131 FILLER_11_795 +*7132 FILLER_11_807 +*7133 FILLER_11_811 +*7134 FILLER_11_817 +*7135 FILLER_11_844 +*7136 FILLER_11_848 +*7137 FILLER_11_854 +*7138 FILLER_11_859 +*7139 FILLER_11_863 +*7140 FILLER_11_867 +*7141 FILLER_11_874 +*7142 FILLER_11_878 +*7143 FILLER_11_882 +*7144 FILLER_11_895 +*7145 FILLER_11_907 +*7146 FILLER_11_919 +*7147 FILLER_11_923 +*7148 FILLER_11_935 +*7149 FILLER_11_947 +*7150 FILLER_11_951 +*7151 FILLER_11_972 +*7152 FILLER_11_976 +*7153 FILLER_11_979 +*7154 FILLER_11_991 +*7155 FILLER_12_1553 +*7156 FILLER_12_1565 +*7157 FILLER_12_1577 +*7158 FILLER_12_1579 +*7159 FILLER_12_1591 +*7160 FILLER_12_1597 +*7161 FILLER_12_1602 +*7162 FILLER_12_1606 +*7163 FILLER_12_1618 +*7164 FILLER_12_1630 +*7165 FILLER_12_1635 +*7166 FILLER_12_1647 +*7167 FILLER_12_1659 +*7168 FILLER_12_1671 +*7169 FILLER_12_1683 +*7170 FILLER_12_1689 +*7171 FILLER_12_1691 +*7172 FILLER_12_1702 +*7173 FILLER_12_1706 +*7174 FILLER_12_1710 +*7175 FILLER_12_1722 +*7176 FILLER_12_1734 +*7177 FILLER_12_1747 +*7178 FILLER_12_1759 +*7179 FILLER_12_1771 +*7180 FILLER_12_1783 +*7181 FILLER_12_1795 +*7182 FILLER_12_1801 +*7183 FILLER_12_1803 +*7184 FILLER_12_1815 +*7185 FILLER_12_1827 +*7186 FILLER_12_1839 +*7187 FILLER_12_1851 +*7188 FILLER_12_1857 +*7189 FILLER_12_1859 +*7190 FILLER_12_1871 +*7191 FILLER_12_1883 +*7192 FILLER_12_1895 +*7193 FILLER_12_1907 +*7194 FILLER_12_1913 +*7195 FILLER_12_1915 +*7196 FILLER_12_1927 +*7197 FILLER_12_1937 +*7198 FILLER_12_1941 +*7199 FILLER_12_1953 +*7200 FILLER_12_1965 +*7201 FILLER_12_1969 +*7202 FILLER_12_1971 +*7203 FILLER_12_1983 +*7204 FILLER_12_1995 +*7205 FILLER_12_2007 +*7206 FILLER_12_253 +*7207 FILLER_12_265 +*7208 FILLER_12_277 +*7209 FILLER_12_279 +*7210 FILLER_12_291 +*7211 FILLER_12_303 +*7212 FILLER_12_315 +*7213 FILLER_12_327 +*7214 FILLER_12_333 +*7215 FILLER_12_335 +*7216 FILLER_12_347 +*7217 FILLER_12_359 +*7218 FILLER_12_371 +*7219 FILLER_12_383 +*7220 FILLER_12_389 +*7221 FILLER_12_391 +*7222 FILLER_12_403 +*7223 FILLER_12_415 +*7224 FILLER_12_427 +*7225 FILLER_12_439 +*7226 FILLER_12_445 +*7227 FILLER_12_447 +*7228 FILLER_12_459 +*7229 FILLER_12_471 +*7230 FILLER_12_483 +*7231 FILLER_12_495 +*7232 FILLER_12_501 +*7233 FILLER_12_503 +*7234 FILLER_12_515 +*7235 FILLER_12_527 +*7236 FILLER_12_539 +*7237 FILLER_12_550 +*7238 FILLER_12_554 +*7239 FILLER_12_559 +*7240 FILLER_12_571 +*7241 FILLER_12_579 +*7242 FILLER_12_601 +*7243 FILLER_12_605 +*7244 FILLER_12_613 +*7245 FILLER_12_615 +*7246 FILLER_12_627 +*7247 FILLER_12_639 +*7248 FILLER_12_649 +*7249 FILLER_12_656 +*7250 FILLER_12_660 +*7251 FILLER_12_664 +*7252 FILLER_12_671 +*7253 FILLER_12_683 +*7254 FILLER_12_695 +*7255 FILLER_12_707 +*7256 FILLER_12_719 +*7257 FILLER_12_725 +*7258 FILLER_12_727 +*7259 FILLER_12_739 +*7260 FILLER_13_1553 +*7261 FILLER_13_1557 +*7262 FILLER_13_1569 +*7263 FILLER_13_1581 +*7264 FILLER_13_1593 +*7265 FILLER_13_1605 +*7266 FILLER_13_1607 +*7267 FILLER_13_1619 +*7268 FILLER_13_1631 +*7269 FILLER_13_1643 +*7270 FILLER_13_1655 +*7271 FILLER_13_1661 +*7272 FILLER_13_1663 +*7273 FILLER_13_1675 +*7274 FILLER_13_1683 +*7275 FILLER_13_1691 +*7276 FILLER_13_1695 +*7277 FILLER_13_1707 +*7278 FILLER_13_1715 +*7279 FILLER_13_1719 +*7280 FILLER_13_1723 +*7281 FILLER_13_1726 +*7282 FILLER_13_1745 +*7283 FILLER_13_1749 +*7284 FILLER_13_1765 +*7285 FILLER_13_1769 +*7286 FILLER_13_1773 +*7287 FILLER_13_1775 +*7288 FILLER_13_1779 +*7289 FILLER_13_1783 +*7290 FILLER_13_1795 +*7291 FILLER_13_1807 +*7292 FILLER_13_1819 +*7293 FILLER_13_1827 +*7294 FILLER_13_1831 +*7295 FILLER_13_1843 +*7296 FILLER_13_1855 +*7297 FILLER_13_1867 +*7298 FILLER_13_1879 +*7299 FILLER_13_1885 +*7300 FILLER_13_1887 +*7301 FILLER_13_1899 +*7302 FILLER_13_1911 +*7303 FILLER_13_1923 +*7304 FILLER_13_1935 +*7305 FILLER_13_1941 +*7306 FILLER_13_1943 +*7307 FILLER_13_1955 +*7308 FILLER_13_1965 +*7309 FILLER_13_1971 +*7310 FILLER_13_1975 +*7311 FILLER_13_1979 +*7312 FILLER_13_1983 +*7313 FILLER_13_1995 +*7314 FILLER_13_1999 +*7315 FILLER_13_2007 +*7316 FILLER_13_253 +*7317 FILLER_13_265 +*7318 FILLER_13_277 +*7319 FILLER_13_289 +*7320 FILLER_13_301 +*7321 FILLER_13_305 +*7322 FILLER_13_307 +*7323 FILLER_13_319 +*7324 FILLER_13_331 +*7325 FILLER_13_343 +*7326 FILLER_13_355 +*7327 FILLER_13_361 +*7328 FILLER_13_363 +*7329 FILLER_13_375 +*7330 FILLER_13_387 +*7331 FILLER_13_399 +*7332 FILLER_13_411 +*7333 FILLER_13_417 +*7334 FILLER_13_419 +*7335 FILLER_13_431 +*7336 FILLER_13_443 +*7337 FILLER_13_455 +*7338 FILLER_13_467 +*7339 FILLER_13_473 +*7340 FILLER_13_475 +*7341 FILLER_13_487 +*7342 FILLER_13_499 +*7343 FILLER_13_511 +*7344 FILLER_13_523 +*7345 FILLER_13_529 +*7346 FILLER_13_531 +*7347 FILLER_13_543 +*7348 FILLER_13_555 +*7349 FILLER_13_567 +*7350 FILLER_13_579 +*7351 FILLER_13_585 +*7352 FILLER_13_587 +*7353 FILLER_13_597 +*7354 FILLER_13_601 +*7355 FILLER_13_613 +*7356 FILLER_13_625 +*7357 FILLER_13_632 +*7358 FILLER_13_636 +*7359 FILLER_13_643 +*7360 FILLER_13_651 +*7361 FILLER_13_655 +*7362 FILLER_13_667 +*7363 FILLER_13_679 +*7364 FILLER_13_691 +*7365 FILLER_13_697 +*7366 FILLER_13_699 +*7367 FILLER_13_711 +*7368 FILLER_13_723 +*7369 FILLER_13_735 +*7370 FILLER_13_741 +*7371 FILLER_14_1571 +*7372 FILLER_14_1575 +*7373 FILLER_14_1579 +*7374 FILLER_14_1591 +*7375 FILLER_14_1603 +*7376 FILLER_14_1616 +*7377 FILLER_14_1620 +*7378 FILLER_14_1629 +*7379 FILLER_14_1633 +*7380 FILLER_14_1639 +*7381 FILLER_14_1643 +*7382 FILLER_14_1655 +*7383 FILLER_14_1667 +*7384 FILLER_14_1679 +*7385 FILLER_14_1687 +*7386 FILLER_14_1691 +*7387 FILLER_14_1703 +*7388 FILLER_14_1715 +*7389 FILLER_14_1732 +*7390 FILLER_14_1736 +*7391 FILLER_14_1744 +*7392 FILLER_14_1747 +*7393 FILLER_14_1759 +*7394 FILLER_14_1771 +*7395 FILLER_14_1783 +*7396 FILLER_14_1795 +*7397 FILLER_14_1801 +*7398 FILLER_14_1803 +*7399 FILLER_14_1815 +*7400 FILLER_14_1823 +*7401 FILLER_14_1829 +*7402 FILLER_14_1833 +*7403 FILLER_14_1837 +*7404 FILLER_14_1841 +*7405 FILLER_14_1853 +*7406 FILLER_14_1857 +*7407 FILLER_14_1859 +*7408 FILLER_14_1871 +*7409 FILLER_14_1883 +*7410 FILLER_14_1895 +*7411 FILLER_14_1907 +*7412 FILLER_14_1913 +*7413 FILLER_14_1915 +*7414 FILLER_14_1927 +*7415 FILLER_14_1939 +*7416 FILLER_14_1951 +*7417 FILLER_14_1967 +*7418 FILLER_14_1971 +*7419 FILLER_14_1975 +*7420 FILLER_14_1987 +*7421 FILLER_14_1999 +*7422 FILLER_14_2007 +*7423 FILLER_14_253 +*7424 FILLER_14_265 +*7425 FILLER_14_277 +*7426 FILLER_14_279 +*7427 FILLER_14_291 +*7428 FILLER_14_303 +*7429 FILLER_14_315 +*7430 FILLER_14_327 +*7431 FILLER_14_333 +*7432 FILLER_14_335 +*7433 FILLER_14_347 +*7434 FILLER_14_359 +*7435 FILLER_14_371 +*7436 FILLER_14_383 +*7437 FILLER_14_389 +*7438 FILLER_14_391 +*7439 FILLER_14_403 +*7440 FILLER_14_415 +*7441 FILLER_14_427 +*7442 FILLER_14_439 +*7443 FILLER_14_445 +*7444 FILLER_14_447 +*7445 FILLER_14_459 +*7446 FILLER_14_471 +*7447 FILLER_14_483 +*7448 FILLER_14_495 +*7449 FILLER_14_501 +*7450 FILLER_14_503 +*7451 FILLER_14_515 +*7452 FILLER_14_527 +*7453 FILLER_14_539 +*7454 FILLER_14_551 +*7455 FILLER_14_557 +*7456 FILLER_14_559 +*7457 FILLER_14_571 +*7458 FILLER_14_583 +*7459 FILLER_14_595 +*7460 FILLER_14_607 +*7461 FILLER_14_613 +*7462 FILLER_14_615 +*7463 FILLER_14_627 +*7464 FILLER_14_639 +*7465 FILLER_14_649 +*7466 FILLER_14_668 +*7467 FILLER_14_671 +*7468 FILLER_14_675 +*7469 FILLER_14_687 +*7470 FILLER_14_699 +*7471 FILLER_14_711 +*7472 FILLER_14_723 +*7473 FILLER_14_727 +*7474 FILLER_14_735 +*7475 FILLER_14_739 +*7476 FILLER_15_1556 +*7477 FILLER_15_1560 +*7478 FILLER_15_1564 +*7479 FILLER_15_1576 +*7480 FILLER_15_1588 +*7481 FILLER_15_1600 +*7482 FILLER_15_1625 +*7483 FILLER_15_1629 +*7484 FILLER_15_1641 +*7485 FILLER_15_1653 +*7486 FILLER_15_1659 +*7487 FILLER_15_1663 +*7488 FILLER_15_1667 +*7489 FILLER_15_1679 +*7490 FILLER_15_1691 +*7491 FILLER_15_1703 +*7492 FILLER_15_1710 +*7493 FILLER_15_1714 +*7494 FILLER_15_1725 +*7495 FILLER_15_1729 +*7496 FILLER_15_1741 +*7497 FILLER_15_1753 +*7498 FILLER_15_1765 +*7499 FILLER_15_1773 +*7500 FILLER_15_1775 +*7501 FILLER_15_1787 +*7502 FILLER_15_1799 +*7503 FILLER_15_1807 +*7504 FILLER_15_1811 +*7505 FILLER_15_1823 +*7506 FILLER_15_1829 +*7507 FILLER_15_1831 +*7508 FILLER_15_1843 +*7509 FILLER_15_1855 +*7510 FILLER_15_1869 +*7511 FILLER_15_1873 +*7512 FILLER_15_1879 +*7513 FILLER_15_1882 +*7514 FILLER_15_1887 +*7515 FILLER_15_1899 +*7516 FILLER_15_1911 +*7517 FILLER_15_1923 +*7518 FILLER_15_1935 +*7519 FILLER_15_1941 +*7520 FILLER_15_1943 +*7521 FILLER_15_1955 +*7522 FILLER_15_1967 +*7523 FILLER_15_1979 +*7524 FILLER_15_1991 +*7525 FILLER_15_1997 +*7526 FILLER_15_1999 +*7527 FILLER_15_2005 +*7528 FILLER_15_2008 +*7529 FILLER_15_253 +*7530 FILLER_15_265 +*7531 FILLER_15_277 +*7532 FILLER_15_289 +*7533 FILLER_15_301 +*7534 FILLER_15_305 +*7535 FILLER_15_307 +*7536 FILLER_15_319 +*7537 FILLER_15_331 +*7538 FILLER_15_343 +*7539 FILLER_15_355 +*7540 FILLER_15_361 +*7541 FILLER_15_363 +*7542 FILLER_15_375 +*7543 FILLER_15_387 +*7544 FILLER_15_399 +*7545 FILLER_15_411 +*7546 FILLER_15_417 +*7547 FILLER_15_419 +*7548 FILLER_15_431 +*7549 FILLER_15_443 +*7550 FILLER_15_455 +*7551 FILLER_15_467 +*7552 FILLER_15_473 +*7553 FILLER_15_475 +*7554 FILLER_15_487 +*7555 FILLER_15_499 +*7556 FILLER_15_511 +*7557 FILLER_15_519 +*7558 FILLER_15_526 +*7559 FILLER_15_531 +*7560 FILLER_15_539 +*7561 FILLER_15_561 +*7562 FILLER_15_565 +*7563 FILLER_15_577 +*7564 FILLER_15_585 +*7565 FILLER_15_587 +*7566 FILLER_15_599 +*7567 FILLER_15_605 +*7568 FILLER_15_609 +*7569 FILLER_15_621 +*7570 FILLER_15_627 +*7571 FILLER_15_631 +*7572 FILLER_15_639 +*7573 FILLER_15_643 +*7574 FILLER_15_649 +*7575 FILLER_15_652 +*7576 FILLER_15_656 +*7577 FILLER_15_668 +*7578 FILLER_15_680 +*7579 FILLER_15_692 +*7580 FILLER_15_699 +*7581 FILLER_15_711 +*7582 FILLER_15_723 +*7583 FILLER_15_727 +*7584 FILLER_15_730 +*7585 FILLER_16_1558 +*7586 FILLER_16_1562 +*7587 FILLER_16_1574 +*7588 FILLER_16_1579 +*7589 FILLER_16_1584 +*7590 FILLER_16_1588 +*7591 FILLER_16_1600 +*7592 FILLER_16_1603 +*7593 FILLER_16_1615 +*7594 FILLER_16_1627 +*7595 FILLER_16_1633 +*7596 FILLER_16_1635 +*7597 FILLER_16_1647 +*7598 FILLER_16_1659 +*7599 FILLER_16_1671 +*7600 FILLER_16_1683 +*7601 FILLER_16_1689 +*7602 FILLER_16_1691 +*7603 FILLER_16_1699 +*7604 FILLER_16_1707 +*7605 FILLER_16_1711 +*7606 FILLER_16_1723 +*7607 FILLER_16_1740 +*7608 FILLER_16_1744 +*7609 FILLER_16_1747 +*7610 FILLER_16_1759 +*7611 FILLER_16_1771 +*7612 FILLER_16_1783 +*7613 FILLER_16_1795 +*7614 FILLER_16_1801 +*7615 FILLER_16_1803 +*7616 FILLER_16_1815 +*7617 FILLER_16_1827 +*7618 FILLER_16_1831 +*7619 FILLER_16_1839 +*7620 FILLER_16_1843 +*7621 FILLER_16_1855 +*7622 FILLER_16_1859 +*7623 FILLER_16_1871 +*7624 FILLER_16_1875 +*7625 FILLER_16_1878 +*7626 FILLER_16_1884 +*7627 FILLER_16_1888 +*7628 FILLER_16_1900 +*7629 FILLER_16_1904 +*7630 FILLER_16_1908 +*7631 FILLER_16_1912 +*7632 FILLER_16_1915 +*7633 FILLER_16_1927 +*7634 FILLER_16_1940 +*7635 FILLER_16_1944 +*7636 FILLER_16_1956 +*7637 FILLER_16_1968 +*7638 FILLER_16_1971 +*7639 FILLER_16_1983 +*7640 FILLER_16_253 +*7641 FILLER_16_265 +*7642 FILLER_16_277 +*7643 FILLER_16_279 +*7644 FILLER_16_291 +*7645 FILLER_16_303 +*7646 FILLER_16_315 +*7647 FILLER_16_327 +*7648 FILLER_16_333 +*7649 FILLER_16_335 +*7650 FILLER_16_347 +*7651 FILLER_16_359 +*7652 FILLER_16_371 +*7653 FILLER_16_383 +*7654 FILLER_16_389 +*7655 FILLER_16_391 +*7656 FILLER_16_403 +*7657 FILLER_16_415 +*7658 FILLER_16_427 +*7659 FILLER_16_439 +*7660 FILLER_16_445 +*7661 FILLER_16_447 +*7662 FILLER_16_459 +*7663 FILLER_16_471 +*7664 FILLER_16_483 +*7665 FILLER_16_495 +*7666 FILLER_16_501 +*7667 FILLER_16_503 +*7668 FILLER_16_515 +*7669 FILLER_16_530 +*7670 FILLER_16_534 +*7671 FILLER_16_559 +*7672 FILLER_16_567 +*7673 FILLER_16_571 +*7674 FILLER_16_583 +*7675 FILLER_16_595 +*7676 FILLER_16_607 +*7677 FILLER_16_613 +*7678 FILLER_16_615 +*7679 FILLER_16_627 +*7680 FILLER_16_639 +*7681 FILLER_16_647 +*7682 FILLER_16_651 +*7683 FILLER_16_663 +*7684 FILLER_16_669 +*7685 FILLER_16_671 +*7686 FILLER_16_683 +*7687 FILLER_16_695 +*7688 FILLER_16_707 +*7689 FILLER_16_719 +*7690 FILLER_16_725 +*7691 FILLER_16_727 +*7692 FILLER_16_741 +*7693 FILLER_17_1553 +*7694 FILLER_17_1557 +*7695 FILLER_17_1569 +*7696 FILLER_17_1581 +*7697 FILLER_17_1593 +*7698 FILLER_17_1603 +*7699 FILLER_17_1607 +*7700 FILLER_17_1619 +*7701 FILLER_17_1631 +*7702 FILLER_17_1639 +*7703 FILLER_17_1660 +*7704 FILLER_17_1663 +*7705 FILLER_17_1667 +*7706 FILLER_17_1679 +*7707 FILLER_17_1691 +*7708 FILLER_17_1703 +*7709 FILLER_17_1707 +*7710 FILLER_17_1715 +*7711 FILLER_17_1719 +*7712 FILLER_17_1725 +*7713 FILLER_17_1750 +*7714 FILLER_17_1754 +*7715 FILLER_17_1766 +*7716 FILLER_17_1775 +*7717 FILLER_17_1787 +*7718 FILLER_17_1799 +*7719 FILLER_17_1811 +*7720 FILLER_17_1823 +*7721 FILLER_17_1829 +*7722 FILLER_17_1831 +*7723 FILLER_17_1843 +*7724 FILLER_17_1855 +*7725 FILLER_17_1867 +*7726 FILLER_17_1871 +*7727 FILLER_17_1878 +*7728 FILLER_17_1882 +*7729 FILLER_17_1887 +*7730 FILLER_17_1899 +*7731 FILLER_17_1911 +*7732 FILLER_17_1923 +*7733 FILLER_17_1935 +*7734 FILLER_17_1941 +*7735 FILLER_17_1943 +*7736 FILLER_17_1955 +*7737 FILLER_17_1967 +*7738 FILLER_17_1979 +*7739 FILLER_17_1991 +*7740 FILLER_17_1997 +*7741 FILLER_17_1999 +*7742 FILLER_17_2007 +*7743 FILLER_17_253 +*7744 FILLER_17_265 +*7745 FILLER_17_277 +*7746 FILLER_17_289 +*7747 FILLER_17_301 +*7748 FILLER_17_305 +*7749 FILLER_17_307 +*7750 FILLER_17_319 +*7751 FILLER_17_331 +*7752 FILLER_17_343 +*7753 FILLER_17_355 +*7754 FILLER_17_361 +*7755 FILLER_17_363 +*7756 FILLER_17_375 +*7757 FILLER_17_387 +*7758 FILLER_17_399 +*7759 FILLER_17_411 +*7760 FILLER_17_417 +*7761 FILLER_17_419 +*7762 FILLER_17_431 +*7763 FILLER_17_445 +*7764 FILLER_17_449 +*7765 FILLER_17_461 +*7766 FILLER_17_473 +*7767 FILLER_17_475 +*7768 FILLER_17_487 +*7769 FILLER_17_499 +*7770 FILLER_17_511 +*7771 FILLER_17_522 +*7772 FILLER_17_526 +*7773 FILLER_17_531 +*7774 FILLER_17_543 +*7775 FILLER_17_555 +*7776 FILLER_17_558 +*7777 FILLER_17_563 +*7778 FILLER_17_575 +*7779 FILLER_17_583 +*7780 FILLER_17_587 +*7781 FILLER_17_593 +*7782 FILLER_17_597 +*7783 FILLER_17_601 +*7784 FILLER_17_613 +*7785 FILLER_17_625 +*7786 FILLER_17_637 +*7787 FILLER_17_641 +*7788 FILLER_17_643 +*7789 FILLER_17_654 +*7790 FILLER_17_658 +*7791 FILLER_17_662 +*7792 FILLER_17_674 +*7793 FILLER_17_686 +*7794 FILLER_17_699 +*7795 FILLER_17_711 +*7796 FILLER_17_723 +*7797 FILLER_17_735 +*7798 FILLER_17_741 +*7799 FILLER_18_1553 +*7800 FILLER_18_1565 +*7801 FILLER_18_1573 +*7802 FILLER_18_1579 +*7803 FILLER_18_1598 +*7804 FILLER_18_1602 +*7805 FILLER_18_1614 +*7806 FILLER_18_1626 +*7807 FILLER_18_1635 +*7808 FILLER_18_1647 +*7809 FILLER_18_1659 +*7810 FILLER_18_1671 +*7811 FILLER_18_1683 +*7812 FILLER_18_1689 +*7813 FILLER_18_1691 +*7814 FILLER_18_1706 +*7815 FILLER_18_1710 +*7816 FILLER_18_1720 +*7817 FILLER_18_1724 +*7818 FILLER_18_1738 +*7819 FILLER_18_1742 +*7820 FILLER_18_1747 +*7821 FILLER_18_1759 +*7822 FILLER_18_1771 +*7823 FILLER_18_1783 +*7824 FILLER_18_1791 +*7825 FILLER_18_1795 +*7826 FILLER_18_1801 +*7827 FILLER_18_1803 +*7828 FILLER_18_1809 +*7829 FILLER_18_1815 +*7830 FILLER_18_1819 +*7831 FILLER_18_1824 +*7832 FILLER_18_1828 +*7833 FILLER_18_1840 +*7834 FILLER_18_1852 +*7835 FILLER_18_1859 +*7836 FILLER_18_1871 +*7837 FILLER_18_1896 +*7838 FILLER_18_1900 +*7839 FILLER_18_1912 +*7840 FILLER_18_1915 +*7841 FILLER_18_1927 +*7842 FILLER_18_1939 +*7843 FILLER_18_1951 +*7844 FILLER_18_1963 +*7845 FILLER_18_1969 +*7846 FILLER_18_1971 +*7847 FILLER_18_1983 +*7848 FILLER_18_1991 +*7849 FILLER_18_2003 +*7850 FILLER_18_253 +*7851 FILLER_18_265 +*7852 FILLER_18_277 +*7853 FILLER_18_279 +*7854 FILLER_18_291 +*7855 FILLER_18_303 +*7856 FILLER_18_315 +*7857 FILLER_18_327 +*7858 FILLER_18_333 +*7859 FILLER_18_335 +*7860 FILLER_18_347 +*7861 FILLER_18_355 +*7862 FILLER_18_367 +*7863 FILLER_18_379 +*7864 FILLER_18_387 +*7865 FILLER_18_391 +*7866 FILLER_18_403 +*7867 FILLER_18_416 +*7868 FILLER_18_420 +*7869 FILLER_18_441 +*7870 FILLER_18_445 +*7871 FILLER_18_447 +*7872 FILLER_18_459 +*7873 FILLER_18_471 +*7874 FILLER_18_483 +*7875 FILLER_18_495 +*7876 FILLER_18_501 +*7877 FILLER_18_503 +*7878 FILLER_18_515 +*7879 FILLER_18_527 +*7880 FILLER_18_539 +*7881 FILLER_18_551 +*7882 FILLER_18_557 +*7883 FILLER_18_559 +*7884 FILLER_18_567 +*7885 FILLER_18_570 +*7886 FILLER_18_582 +*7887 FILLER_18_594 +*7888 FILLER_18_606 +*7889 FILLER_18_633 +*7890 FILLER_18_637 +*7891 FILLER_18_645 +*7892 FILLER_18_649 +*7893 FILLER_18_661 +*7894 FILLER_18_667 +*7895 FILLER_18_671 +*7896 FILLER_18_683 +*7897 FILLER_18_687 +*7898 FILLER_18_690 +*7899 FILLER_18_697 +*7900 FILLER_18_705 +*7901 FILLER_18_709 +*7902 FILLER_18_713 +*7903 FILLER_18_725 +*7904 FILLER_18_727 +*7905 FILLER_18_739 +*7906 FILLER_19_109 +*7907 FILLER_19_113 +*7908 FILLER_19_137 +*7909 FILLER_19_141 +*7910 FILLER_19_145 +*7911 FILLER_19_15 +*7912 FILLER_19_1553 +*7913 FILLER_19_1565 +*7914 FILLER_19_157 +*7915 FILLER_19_1577 +*7916 FILLER_19_1579 +*7917 FILLER_19_1591 +*7918 FILLER_19_1603 +*7919 FILLER_19_1607 +*7920 FILLER_19_1619 +*7921 FILLER_19_1631 +*7922 FILLER_19_1635 +*7923 FILLER_19_1647 +*7924 FILLER_19_165 +*7925 FILLER_19_1659 +*7926 FILLER_19_1663 +*7927 FILLER_19_1675 +*7928 FILLER_19_1687 +*7929 FILLER_19_169 +*7930 FILLER_19_1691 +*7931 FILLER_19_1703 +*7932 FILLER_19_1715 +*7933 FILLER_19_1719 +*7934 FILLER_19_1723 +*7935 FILLER_19_1739 +*7936 FILLER_19_1743 +*7937 FILLER_19_1747 +*7938 FILLER_19_1759 +*7939 FILLER_19_1771 +*7940 FILLER_19_1775 +*7941 FILLER_19_1787 +*7942 FILLER_19_1799 +*7943 FILLER_19_1803 +*7944 FILLER_19_181 +*7945 FILLER_19_1815 +*7946 FILLER_19_1827 +*7947 FILLER_19_1831 +*7948 FILLER_19_1843 +*7949 FILLER_19_1855 +*7950 FILLER_19_1859 +*7951 FILLER_19_1871 +*7952 FILLER_19_1883 +*7953 FILLER_19_1887 +*7954 FILLER_19_1899 +*7955 FILLER_19_190 +*7956 FILLER_19_1911 +*7957 FILLER_19_1915 +*7958 FILLER_19_1923 +*7959 FILLER_19_1932 +*7960 FILLER_19_1936 +*7961 FILLER_19_194 +*7962 FILLER_19_1943 +*7963 FILLER_19_1948 +*7964 FILLER_19_1952 +*7965 FILLER_19_1956 +*7966 FILLER_19_1968 +*7967 FILLER_19_197 +*7968 FILLER_19_1971 +*7969 FILLER_19_1983 +*7970 FILLER_19_1991 +*7971 FILLER_19_1995 +*7972 FILLER_19_1999 +*7973 FILLER_19_2007 +*7974 FILLER_19_209 +*7975 FILLER_19_218 +*7976 FILLER_19_222 +*7977 FILLER_19_225 +*7978 FILLER_19_229 +*7979 FILLER_19_241 +*7980 FILLER_19_249 +*7981 FILLER_19_253 +*7982 FILLER_19_265 +*7983 FILLER_19_27 +*7984 FILLER_19_277 +*7985 FILLER_19_281 +*7986 FILLER_19_29 +*7987 FILLER_19_293 +*7988 FILLER_19_3 +*7989 FILLER_19_305 +*7990 FILLER_19_309 +*7991 FILLER_19_321 +*7992 FILLER_19_333 +*7993 FILLER_19_337 +*7994 FILLER_19_343 +*7995 FILLER_19_365 +*7996 FILLER_19_369 +*7997 FILLER_19_377 +*7998 FILLER_19_381 +*7999 FILLER_19_389 +*8000 FILLER_19_393 +*8001 FILLER_19_405 +*8002 FILLER_19_41 +*8003 FILLER_19_417 +*8004 FILLER_19_421 +*8005 FILLER_19_433 +*8006 FILLER_19_445 +*8007 FILLER_19_449 +*8008 FILLER_19_461 +*8009 FILLER_19_473 +*8010 FILLER_19_477 +*8011 FILLER_19_481 +*8012 FILLER_19_489 +*8013 FILLER_19_493 +*8014 FILLER_19_501 +*8015 FILLER_19_505 +*8016 FILLER_19_517 +*8017 FILLER_19_528 +*8018 FILLER_19_53 +*8019 FILLER_19_538 +*8020 FILLER_19_542 +*8021 FILLER_19_546 +*8022 FILLER_19_558 +*8023 FILLER_19_561 +*8024 FILLER_19_57 +*8025 FILLER_19_575 +*8026 FILLER_19_579 +*8027 FILLER_19_583 +*8028 FILLER_19_587 +*8029 FILLER_19_589 +*8030 FILLER_19_601 +*8031 FILLER_19_613 +*8032 FILLER_19_617 +*8033 FILLER_19_629 +*8034 FILLER_19_641 +*8035 FILLER_19_645 +*8036 FILLER_19_657 +*8037 FILLER_19_676 +*8038 FILLER_19_680 +*8039 FILLER_19_684 +*8040 FILLER_19_69 +*8041 FILLER_19_696 +*8042 FILLER_19_701 +*8043 FILLER_19_713 +*8044 FILLER_19_725 +*8045 FILLER_19_729 +*8046 FILLER_19_741 +*8047 FILLER_19_81 +*8048 FILLER_19_85 +*8049 FILLER_19_97 +*8050 FILLER_1_1004 +*8051 FILLER_1_1009 +*8052 FILLER_1_101 +*8053 FILLER_1_1014 +*8054 FILLER_1_1019 +*8055 FILLER_1_1024 +*8056 FILLER_1_1028 +*8057 FILLER_1_1043 +*8058 FILLER_1_1047 +*8059 FILLER_1_105 +*8060 FILLER_1_1050 +*8061 FILLER_1_1054 +*8062 FILLER_1_1058 +*8063 FILLER_1_1062 +*8064 FILLER_1_1065 +*8065 FILLER_1_1069 +*8066 FILLER_1_1073 +*8067 FILLER_1_1077 +*8068 FILLER_1_1081 +*8069 FILLER_1_1085 +*8070 FILLER_1_1089 +*8071 FILLER_1_1096 +*8072 FILLER_1_11 +*8073 FILLER_1_1100 +*8074 FILLER_1_1105 +*8075 FILLER_1_1110 +*8076 FILLER_1_1114 +*8077 FILLER_1_1118 +*8078 FILLER_1_1121 +*8079 FILLER_1_1125 +*8080 FILLER_1_1128 +*8081 FILLER_1_1132 +*8082 FILLER_1_1137 +*8083 FILLER_1_1143 +*8084 FILLER_1_1150 +*8085 FILLER_1_1156 +*8086 FILLER_1_1163 +*8087 FILLER_1_1167 +*8088 FILLER_1_1171 +*8089 FILLER_1_1177 +*8090 FILLER_1_1181 +*8091 FILLER_1_1187 +*8092 FILLER_1_1194 +*8093 FILLER_1_1198 +*8094 FILLER_1_1202 +*8095 FILLER_1_1209 +*8096 FILLER_1_1213 +*8097 FILLER_1_1218 +*8098 FILLER_1_1225 +*8099 FILLER_1_1229 +*8100 FILLER_1_1243 +*8101 FILLER_1_1252 +*8102 FILLER_1_1256 +*8103 FILLER_1_1263 +*8104 FILLER_1_1274 +*8105 FILLER_1_1280 +*8106 FILLER_1_1287 +*8107 FILLER_1_1289 +*8108 FILLER_1_1302 +*8109 FILLER_1_1306 +*8110 FILLER_1_1310 +*8111 FILLER_1_1329 +*8112 FILLER_1_1333 +*8113 FILLER_1_1337 +*8114 FILLER_1_1341 +*8115 FILLER_1_1345 +*8116 FILLER_1_1349 +*8117 FILLER_1_135 +*8118 FILLER_1_1371 +*8119 FILLER_1_1376 +*8120 FILLER_1_1380 +*8121 FILLER_1_1384 +*8122 FILLER_1_1388 +*8123 FILLER_1_139 +*8124 FILLER_1_1391 +*8125 FILLER_1_1395 +*8126 FILLER_1_1399 +*8127 FILLER_1_1401 +*8128 FILLER_1_1406 +*8129 FILLER_1_1410 +*8130 FILLER_1_1415 +*8131 FILLER_1_1419 +*8132 FILLER_1_1422 +*8133 FILLER_1_1426 +*8134 FILLER_1_1430 +*8135 FILLER_1_1434 +*8136 FILLER_1_1438 +*8137 FILLER_1_1442 +*8138 FILLER_1_1448 +*8139 FILLER_1_1452 +*8140 FILLER_1_1457 +*8141 FILLER_1_1469 +*8142 FILLER_1_1473 +*8143 FILLER_1_1477 +*8144 FILLER_1_1481 +*8145 FILLER_1_1488 +*8146 FILLER_1_149 +*8147 FILLER_1_1492 +*8148 FILLER_1_1496 +*8149 FILLER_1_1500 +*8150 FILLER_1_1504 +*8151 FILLER_1_1508 +*8152 FILLER_1_1520 +*8153 FILLER_1_1524 +*8154 FILLER_1_1528 +*8155 FILLER_1_153 +*8156 FILLER_1_1535 +*8157 FILLER_1_1539 +*8158 FILLER_1_1554 +*8159 FILLER_1_1559 +*8160 FILLER_1_1566 +*8161 FILLER_1_1569 +*8162 FILLER_1_1573 +*8163 FILLER_1_1577 +*8164 FILLER_1_1581 +*8165 FILLER_1_1585 +*8166 FILLER_1_1590 +*8167 FILLER_1_1597 +*8168 FILLER_1_16 +*8169 FILLER_1_1601 +*8170 FILLER_1_1606 +*8171 FILLER_1_1612 +*8172 FILLER_1_1616 +*8173 FILLER_1_1620 +*8174 FILLER_1_1625 +*8175 FILLER_1_1629 +*8176 FILLER_1_1635 +*8177 FILLER_1_1639 +*8178 FILLER_1_164 +*8179 FILLER_1_1643 +*8180 FILLER_1_1647 +*8181 FILLER_1_1652 +*8182 FILLER_1_1659 +*8183 FILLER_1_1663 +*8184 FILLER_1_1667 +*8185 FILLER_1_1670 +*8186 FILLER_1_1674 +*8187 FILLER_1_1678 +*8188 FILLER_1_1685 +*8189 FILLER_1_169 +*8190 FILLER_1_1690 +*8191 FILLER_1_1694 +*8192 FILLER_1_1698 +*8193 FILLER_1_1701 +*8194 FILLER_1_1705 +*8195 FILLER_1_1712 +*8196 FILLER_1_1717 +*8197 FILLER_1_1721 +*8198 FILLER_1_1725 +*8199 FILLER_1_173 +*8200 FILLER_1_1730 +*8201 FILLER_1_1737 +*8202 FILLER_1_1741 +*8203 FILLER_1_1745 +*8204 FILLER_1_1748 +*8205 FILLER_1_1752 +*8206 FILLER_1_1756 +*8207 FILLER_1_1760 +*8208 FILLER_1_1767 +*8209 FILLER_1_1771 +*8210 FILLER_1_1780 +*8211 FILLER_1_1784 +*8212 FILLER_1_1793 +*8213 FILLER_1_1798 +*8214 FILLER_1_180 +*8215 FILLER_1_1802 +*8216 FILLER_1_1807 +*8217 FILLER_1_1811 +*8218 FILLER_1_1817 +*8219 FILLER_1_1826 +*8220 FILLER_1_1830 +*8221 FILLER_1_1838 +*8222 FILLER_1_184 +*8223 FILLER_1_1842 +*8224 FILLER_1_1849 +*8225 FILLER_1_1854 +*8226 FILLER_1_1860 +*8227 FILLER_1_1864 +*8228 FILLER_1_1869 +*8229 FILLER_1_1873 +*8230 FILLER_1_1877 +*8231 FILLER_1_1881 +*8232 FILLER_1_1887 +*8233 FILLER_1_1891 +*8234 FILLER_1_1895 +*8235 FILLER_1_1900 +*8236 FILLER_1_1905 +*8237 FILLER_1_1914 +*8238 FILLER_1_1918 +*8239 FILLER_1_1922 +*8240 FILLER_1_1926 +*8241 FILLER_1_1931 +*8242 FILLER_1_1938 +*8243 FILLER_1_1942 +*8244 FILLER_1_1947 +*8245 FILLER_1_195 +*8246 FILLER_1_1953 +*8247 FILLER_1_1957 +*8248 FILLER_1_1965 +*8249 FILLER_1_1969 +*8250 FILLER_1_1973 +*8251 FILLER_1_1981 +*8252 FILLER_1_1989 +*8253 FILLER_1_20 +*8254 FILLER_1_200 +*8255 FILLER_1_2001 +*8256 FILLER_1_2011 +*8257 FILLER_1_2021 +*8258 FILLER_1_2036 +*8259 FILLER_1_204 +*8260 FILLER_1_2043 +*8261 FILLER_1_2050 +*8262 FILLER_1_2059 +*8263 FILLER_1_2069 +*8264 FILLER_1_2077 +*8265 FILLER_1_208 +*8266 FILLER_1_2082 +*8267 FILLER_1_2086 +*8268 FILLER_1_2090 +*8269 FILLER_1_2094 +*8270 FILLER_1_2098 +*8271 FILLER_1_2105 +*8272 FILLER_1_2109 +*8273 FILLER_1_2117 +*8274 FILLER_1_2121 +*8275 FILLER_1_2124 +*8276 FILLER_1_213 +*8277 FILLER_1_2133 +*8278 FILLER_1_2140 +*8279 FILLER_1_2144 +*8280 FILLER_1_2152 +*8281 FILLER_1_2156 +*8282 FILLER_1_2164 +*8283 FILLER_1_217 +*8284 FILLER_1_2170 +*8285 FILLER_1_2179 +*8286 FILLER_1_2183 +*8287 FILLER_1_2189 +*8288 FILLER_1_2198 +*8289 FILLER_1_2202 +*8290 FILLER_1_2205 +*8291 FILLER_1_221 +*8292 FILLER_1_2210 +*8293 FILLER_1_2214 +*8294 FILLER_1_2217 +*8295 FILLER_1_2222 +*8296 FILLER_1_2228 +*8297 FILLER_1_2233 +*8298 FILLER_1_2237 +*8299 FILLER_1_2245 +*8300 FILLER_1_2249 +*8301 FILLER_1_2257 +*8302 FILLER_1_2263 +*8303 FILLER_1_2267 +*8304 FILLER_1_2271 +*8305 FILLER_1_2275 +*8306 FILLER_1_2280 +*8307 FILLER_1_2284 +*8308 FILLER_1_229 +*8309 FILLER_1_2291 +*8310 FILLER_1_2295 +*8311 FILLER_1_2297 +*8312 FILLER_1_2303 +*8313 FILLER_1_2307 +*8314 FILLER_1_2315 +*8315 FILLER_1_2321 +*8316 FILLER_1_2326 +*8317 FILLER_1_233 +*8318 FILLER_1_2330 +*8319 FILLER_1_2333 +*8320 FILLER_1_2337 +*8321 FILLER_1_2341 +*8322 FILLER_1_2345 +*8323 FILLER_1_2350 +*8324 FILLER_1_2357 +*8325 FILLER_1_242 +*8326 FILLER_1_248 +*8327 FILLER_1_252 +*8328 FILLER_1_257 +*8329 FILLER_1_26 +*8330 FILLER_1_264 +*8331 FILLER_1_268 +*8332 FILLER_1_272 +*8333 FILLER_1_279 +*8334 FILLER_1_281 +*8335 FILLER_1_288 +*8336 FILLER_1_295 +*8337 FILLER_1_299 +*8338 FILLER_1_304 +*8339 FILLER_1_310 +*8340 FILLER_1_314 +*8341 FILLER_1_319 +*8342 FILLER_1_326 +*8343 FILLER_1_330 +*8344 FILLER_1_335 +*8345 FILLER_1_337 +*8346 FILLER_1_341 +*8347 FILLER_1_345 +*8348 FILLER_1_350 +*8349 FILLER_1_357 +*8350 FILLER_1_36 +*8351 FILLER_1_361 +*8352 FILLER_1_365 +*8353 FILLER_1_368 +*8354 FILLER_1_372 +*8355 FILLER_1_376 +*8356 FILLER_1_380 +*8357 FILLER_1_384 +*8358 FILLER_1_388 +*8359 FILLER_1_40 +*8360 FILLER_1_401 +*8361 FILLER_1_412 +*8362 FILLER_1_43 +*8363 FILLER_1_430 +*8364 FILLER_1_434 +*8365 FILLER_1_443 +*8366 FILLER_1_459 +*8367 FILLER_1_47 +*8368 FILLER_1_476 +*8369 FILLER_1_481 +*8370 FILLER_1_490 +*8371 FILLER_1_496 +*8372 FILLER_1_500 +*8373 FILLER_1_51 +*8374 FILLER_1_512 +*8375 FILLER_1_516 +*8376 FILLER_1_521 +*8377 FILLER_1_525 +*8378 FILLER_1_536 +*8379 FILLER_1_543 +*8380 FILLER_1_547 +*8381 FILLER_1_55 +*8382 FILLER_1_551 +*8383 FILLER_1_554 +*8384 FILLER_1_558 +*8385 FILLER_1_561 +*8386 FILLER_1_57 +*8387 FILLER_1_570 +*8388 FILLER_1_574 +*8389 FILLER_1_578 +*8390 FILLER_1_583 +*8391 FILLER_1_589 +*8392 FILLER_1_593 +*8393 FILLER_1_601 +*8394 FILLER_1_605 +*8395 FILLER_1_609 +*8396 FILLER_1_61 +*8397 FILLER_1_614 +*8398 FILLER_1_620 +*8399 FILLER_1_624 +*8400 FILLER_1_628 +*8401 FILLER_1_632 +*8402 FILLER_1_636 +*8403 FILLER_1_640 +*8404 FILLER_1_645 +*8405 FILLER_1_649 +*8406 FILLER_1_653 +*8407 FILLER_1_657 +*8408 FILLER_1_663 +*8409 FILLER_1_667 +*8410 FILLER_1_671 +*8411 FILLER_1_673 +*8412 FILLER_1_682 +*8413 FILLER_1_686 +*8414 FILLER_1_690 +*8415 FILLER_1_694 +*8416 FILLER_1_698 +*8417 FILLER_1_704 +*8418 FILLER_1_709 +*8419 FILLER_1_71 +*8420 FILLER_1_713 +*8421 FILLER_1_717 +*8422 FILLER_1_721 +*8423 FILLER_1_725 +*8424 FILLER_1_732 +*8425 FILLER_1_75 +*8426 FILLER_1_751 +*8427 FILLER_1_755 +*8428 FILLER_1_760 +*8429 FILLER_1_769 +*8430 FILLER_1_775 +*8431 FILLER_1_779 +*8432 FILLER_1_785 +*8433 FILLER_1_791 +*8434 FILLER_1_806 +*8435 FILLER_1_811 +*8436 FILLER_1_815 +*8437 FILLER_1_818 +*8438 FILLER_1_83 +*8439 FILLER_1_838 +*8440 FILLER_1_848 +*8441 FILLER_1_852 +*8442 FILLER_1_857 +*8443 FILLER_1_862 +*8444 FILLER_1_866 +*8445 FILLER_1_870 +*8446 FILLER_1_874 +*8447 FILLER_1_880 +*8448 FILLER_1_884 +*8449 FILLER_1_888 +*8450 FILLER_1_89 +*8451 FILLER_1_892 +*8452 FILLER_1_897 +*8453 FILLER_1_904 +*8454 FILLER_1_908 +*8455 FILLER_1_915 +*8456 FILLER_1_919 +*8457 FILLER_1_923 +*8458 FILLER_1_93 +*8459 FILLER_1_930 +*8460 FILLER_1_934 +*8461 FILLER_1_938 +*8462 FILLER_1_942 +*8463 FILLER_1_946 +*8464 FILLER_1_950 +*8465 FILLER_1_953 +*8466 FILLER_1_957 +*8467 FILLER_1_961 +*8468 FILLER_1_965 +*8469 FILLER_1_969 +*8470 FILLER_1_97 +*8471 FILLER_1_973 +*8472 FILLER_1_977 +*8473 FILLER_1_981 +*8474 FILLER_1_984 +*8475 FILLER_1_988 +*8476 FILLER_1_995 +*8477 FILLER_1_999 +*8478 FILLER_20_109 +*8479 FILLER_20_121 +*8480 FILLER_20_136 +*8481 FILLER_20_15 +*8482 FILLER_20_155 +*8483 FILLER_20_1553 +*8484 FILLER_20_1565 +*8485 FILLER_20_1577 +*8486 FILLER_20_1579 +*8487 FILLER_20_159 +*8488 FILLER_20_1591 +*8489 FILLER_20_1597 +*8490 FILLER_20_1604 +*8491 FILLER_20_1608 +*8492 FILLER_20_1613 +*8493 FILLER_20_1625 +*8494 FILLER_20_163 +*8495 FILLER_20_1631 +*8496 FILLER_20_1653 +*8497 FILLER_20_1657 +*8498 FILLER_20_1669 +*8499 FILLER_20_1681 +*8500 FILLER_20_1689 +*8501 FILLER_20_1691 +*8502 FILLER_20_1703 +*8503 FILLER_20_1715 +*8504 FILLER_20_1727 +*8505 FILLER_20_1739 +*8506 FILLER_20_1743 +*8507 FILLER_20_1747 +*8508 FILLER_20_175 +*8509 FILLER_20_1751 +*8510 FILLER_20_1763 +*8511 FILLER_20_1771 +*8512 FILLER_20_1775 +*8513 FILLER_20_1783 +*8514 FILLER_20_1786 +*8515 FILLER_20_1798 +*8516 FILLER_20_1809 +*8517 FILLER_20_1813 +*8518 FILLER_20_1821 +*8519 FILLER_20_1825 +*8520 FILLER_20_1829 +*8521 FILLER_20_1833 +*8522 FILLER_20_1838 +*8523 FILLER_20_1842 +*8524 FILLER_20_1854 +*8525 FILLER_20_1859 +*8526 FILLER_20_186 +*8527 FILLER_20_1871 +*8528 FILLER_20_1874 +*8529 FILLER_20_1886 +*8530 FILLER_20_1898 +*8531 FILLER_20_1910 +*8532 FILLER_20_1915 +*8533 FILLER_20_1924 +*8534 FILLER_20_1928 +*8535 FILLER_20_1936 +*8536 FILLER_20_194 +*8537 FILLER_20_1943 +*8538 FILLER_20_1947 +*8539 FILLER_20_1956 +*8540 FILLER_20_1960 +*8541 FILLER_20_197 +*8542 FILLER_20_1971 +*8543 FILLER_20_1977 +*8544 FILLER_20_1981 +*8545 FILLER_20_1985 +*8546 FILLER_20_1997 +*8547 FILLER_20_201 +*8548 FILLER_20_209 +*8549 FILLER_20_228 +*8550 FILLER_20_232 +*8551 FILLER_20_236 +*8552 FILLER_20_248 +*8553 FILLER_20_253 +*8554 FILLER_20_265 +*8555 FILLER_20_27 +*8556 FILLER_20_277 +*8557 FILLER_20_289 +*8558 FILLER_20_29 +*8559 FILLER_20_3 +*8560 FILLER_20_301 +*8561 FILLER_20_307 +*8562 FILLER_20_309 +*8563 FILLER_20_321 +*8564 FILLER_20_333 +*8565 FILLER_20_341 +*8566 FILLER_20_349 +*8567 FILLER_20_353 +*8568 FILLER_20_361 +*8569 FILLER_20_365 +*8570 FILLER_20_375 +*8571 FILLER_20_379 +*8572 FILLER_20_391 +*8573 FILLER_20_403 +*8574 FILLER_20_413 +*8575 FILLER_20_417 +*8576 FILLER_20_421 +*8577 FILLER_20_433 +*8578 FILLER_20_445 +*8579 FILLER_20_457 +*8580 FILLER_20_469 +*8581 FILLER_20_475 +*8582 FILLER_20_477 +*8583 FILLER_20_482 +*8584 FILLER_20_494 +*8585 FILLER_20_502 +*8586 FILLER_20_506 +*8587 FILLER_20_510 +*8588 FILLER_20_518 +*8589 FILLER_20_523 +*8590 FILLER_20_527 +*8591 FILLER_20_531 +*8592 FILLER_20_533 +*8593 FILLER_20_54 +*8594 FILLER_20_541 +*8595 FILLER_20_547 +*8596 FILLER_20_551 +*8597 FILLER_20_563 +*8598 FILLER_20_571 +*8599 FILLER_20_578 +*8600 FILLER_20_58 +*8601 FILLER_20_582 +*8602 FILLER_20_586 +*8603 FILLER_20_589 +*8604 FILLER_20_601 +*8605 FILLER_20_613 +*8606 FILLER_20_625 +*8607 FILLER_20_637 +*8608 FILLER_20_643 +*8609 FILLER_20_645 +*8610 FILLER_20_657 +*8611 FILLER_20_669 +*8612 FILLER_20_681 +*8613 FILLER_20_693 +*8614 FILLER_20_699 +*8615 FILLER_20_70 +*8616 FILLER_20_707 +*8617 FILLER_20_711 +*8618 FILLER_20_719 +*8619 FILLER_20_723 +*8620 FILLER_20_735 +*8621 FILLER_20_741 +*8622 FILLER_20_82 +*8623 FILLER_20_85 +*8624 FILLER_20_97 +*8625 FILLER_21_101 +*8626 FILLER_21_109 +*8627 FILLER_21_113 +*8628 FILLER_21_124 +*8629 FILLER_21_128 +*8630 FILLER_21_140 +*8631 FILLER_21_143 +*8632 FILLER_21_15 +*8633 FILLER_21_155 +*8634 FILLER_21_1553 +*8635 FILLER_21_1565 +*8636 FILLER_21_1577 +*8637 FILLER_21_1589 +*8638 FILLER_21_1601 +*8639 FILLER_21_1604 +*8640 FILLER_21_1613 +*8641 FILLER_21_1617 +*8642 FILLER_21_1629 +*8643 FILLER_21_1641 +*8644 FILLER_21_1656 +*8645 FILLER_21_1660 +*8646 FILLER_21_1663 +*8647 FILLER_21_167 +*8648 FILLER_21_1675 +*8649 FILLER_21_1687 +*8650 FILLER_21_169 +*8651 FILLER_21_1699 +*8652 FILLER_21_1711 +*8653 FILLER_21_1717 +*8654 FILLER_21_1719 +*8655 FILLER_21_1731 +*8656 FILLER_21_1742 +*8657 FILLER_21_1746 +*8658 FILLER_21_1758 +*8659 FILLER_21_176 +*8660 FILLER_21_1770 +*8661 FILLER_21_1775 +*8662 FILLER_21_1779 +*8663 FILLER_21_1786 +*8664 FILLER_21_1790 +*8665 FILLER_21_1798 +*8666 FILLER_21_180 +*8667 FILLER_21_1806 +*8668 FILLER_21_1809 +*8669 FILLER_21_1821 +*8670 FILLER_21_1824 +*8671 FILLER_21_1828 +*8672 FILLER_21_1831 +*8673 FILLER_21_1835 +*8674 FILLER_21_1840 +*8675 FILLER_21_1849 +*8676 FILLER_21_1853 +*8677 FILLER_21_1865 +*8678 FILLER_21_1874 +*8679 FILLER_21_1878 +*8680 FILLER_21_1887 +*8681 FILLER_21_189 +*8682 FILLER_21_1899 +*8683 FILLER_21_1911 +*8684 FILLER_21_1923 +*8685 FILLER_21_193 +*8686 FILLER_21_1935 +*8687 FILLER_21_1941 +*8688 FILLER_21_1943 +*8689 FILLER_21_1955 +*8690 FILLER_21_1963 +*8691 FILLER_21_197 +*8692 FILLER_21_1976 +*8693 FILLER_21_1980 +*8694 FILLER_21_1992 +*8695 FILLER_21_1999 +*8696 FILLER_21_2003 +*8697 FILLER_21_209 +*8698 FILLER_21_223 +*8699 FILLER_21_230 +*8700 FILLER_21_234 +*8701 FILLER_21_238 +*8702 FILLER_21_250 +*8703 FILLER_21_262 +*8704 FILLER_21_27 +*8705 FILLER_21_274 +*8706 FILLER_21_293 +*8707 FILLER_21_297 +*8708 FILLER_21_3 +*8709 FILLER_21_301 +*8710 FILLER_21_314 +*8711 FILLER_21_318 +*8712 FILLER_21_322 +*8713 FILLER_21_334 +*8714 FILLER_21_337 +*8715 FILLER_21_349 +*8716 FILLER_21_361 +*8717 FILLER_21_374 +*8718 FILLER_21_378 +*8719 FILLER_21_39 +*8720 FILLER_21_390 +*8721 FILLER_21_393 +*8722 FILLER_21_405 +*8723 FILLER_21_417 +*8724 FILLER_21_429 +*8725 FILLER_21_441 +*8726 FILLER_21_447 +*8727 FILLER_21_449 +*8728 FILLER_21_461 +*8729 FILLER_21_473 +*8730 FILLER_21_489 +*8731 FILLER_21_493 +*8732 FILLER_21_497 +*8733 FILLER_21_501 +*8734 FILLER_21_505 +*8735 FILLER_21_51 +*8736 FILLER_21_511 +*8737 FILLER_21_515 +*8738 FILLER_21_519 +*8739 FILLER_21_531 +*8740 FILLER_21_543 +*8741 FILLER_21_55 +*8742 FILLER_21_555 +*8743 FILLER_21_559 +*8744 FILLER_21_561 +*8745 FILLER_21_569 +*8746 FILLER_21_57 +*8747 FILLER_21_574 +*8748 FILLER_21_582 +*8749 FILLER_21_590 +*8750 FILLER_21_594 +*8751 FILLER_21_606 +*8752 FILLER_21_614 +*8753 FILLER_21_617 +*8754 FILLER_21_628 +*8755 FILLER_21_632 +*8756 FILLER_21_640 +*8757 FILLER_21_652 +*8758 FILLER_21_656 +*8759 FILLER_21_660 +*8760 FILLER_21_673 +*8761 FILLER_21_685 +*8762 FILLER_21_69 +*8763 FILLER_21_697 +*8764 FILLER_21_703 +*8765 FILLER_21_715 +*8766 FILLER_21_727 +*8767 FILLER_21_729 +*8768 FILLER_21_737 +*8769 FILLER_21_81 +*8770 FILLER_21_85 +*8771 FILLER_21_89 +*8772 FILLER_22_103 +*8773 FILLER_22_115 +*8774 FILLER_22_121 +*8775 FILLER_22_124 +*8776 FILLER_22_136 +*8777 FILLER_22_141 +*8778 FILLER_22_15 +*8779 FILLER_22_153 +*8780 FILLER_22_1553 +*8781 FILLER_22_1565 +*8782 FILLER_22_1577 +*8783 FILLER_22_1579 +*8784 FILLER_22_1591 +*8785 FILLER_22_1603 +*8786 FILLER_22_1615 +*8787 FILLER_22_1627 +*8788 FILLER_22_1633 +*8789 FILLER_22_1635 +*8790 FILLER_22_1647 +*8791 FILLER_22_165 +*8792 FILLER_22_1659 +*8793 FILLER_22_1665 +*8794 FILLER_22_1677 +*8795 FILLER_22_1689 +*8796 FILLER_22_1691 +*8797 FILLER_22_170 +*8798 FILLER_22_1703 +*8799 FILLER_22_1715 +*8800 FILLER_22_1727 +*8801 FILLER_22_1739 +*8802 FILLER_22_174 +*8803 FILLER_22_1743 +*8804 FILLER_22_1758 +*8805 FILLER_22_1762 +*8806 FILLER_22_1774 +*8807 FILLER_22_178 +*8808 FILLER_22_1786 +*8809 FILLER_22_1798 +*8810 FILLER_22_1803 +*8811 FILLER_22_1836 +*8812 FILLER_22_1849 +*8813 FILLER_22_1853 +*8814 FILLER_22_1857 +*8815 FILLER_22_1859 +*8816 FILLER_22_1864 +*8817 FILLER_22_1868 +*8818 FILLER_22_1874 +*8819 FILLER_22_1882 +*8820 FILLER_22_1886 +*8821 FILLER_22_1898 +*8822 FILLER_22_190 +*8823 FILLER_22_1902 +*8824 FILLER_22_1912 +*8825 FILLER_22_1915 +*8826 FILLER_22_1927 +*8827 FILLER_22_1939 +*8828 FILLER_22_1951 +*8829 FILLER_22_1963 +*8830 FILLER_22_1969 +*8831 FILLER_22_197 +*8832 FILLER_22_1971 +*8833 FILLER_22_1983 +*8834 FILLER_22_2008 +*8835 FILLER_22_206 +*8836 FILLER_22_210 +*8837 FILLER_22_214 +*8838 FILLER_22_226 +*8839 FILLER_22_238 +*8840 FILLER_22_250 +*8841 FILLER_22_260 +*8842 FILLER_22_264 +*8843 FILLER_22_27 +*8844 FILLER_22_272 +*8845 FILLER_22_275 +*8846 FILLER_22_279 +*8847 FILLER_22_282 +*8848 FILLER_22_29 +*8849 FILLER_22_294 +*8850 FILLER_22_3 +*8851 FILLER_22_302 +*8852 FILLER_22_306 +*8853 FILLER_22_309 +*8854 FILLER_22_321 +*8855 FILLER_22_331 +*8856 FILLER_22_335 +*8857 FILLER_22_347 +*8858 FILLER_22_359 +*8859 FILLER_22_370 +*8860 FILLER_22_374 +*8861 FILLER_22_378 +*8862 FILLER_22_390 +*8863 FILLER_22_402 +*8864 FILLER_22_41 +*8865 FILLER_22_414 +*8866 FILLER_22_421 +*8867 FILLER_22_433 +*8868 FILLER_22_445 +*8869 FILLER_22_456 +*8870 FILLER_22_460 +*8871 FILLER_22_472 +*8872 FILLER_22_477 +*8873 FILLER_22_489 +*8874 FILLER_22_501 +*8875 FILLER_22_505 +*8876 FILLER_22_517 +*8877 FILLER_22_521 +*8878 FILLER_22_525 +*8879 FILLER_22_53 +*8880 FILLER_22_531 +*8881 FILLER_22_533 +*8882 FILLER_22_545 +*8883 FILLER_22_557 +*8884 FILLER_22_565 +*8885 FILLER_22_589 +*8886 FILLER_22_593 +*8887 FILLER_22_605 +*8888 FILLER_22_617 +*8889 FILLER_22_629 +*8890 FILLER_22_641 +*8891 FILLER_22_645 +*8892 FILLER_22_65 +*8893 FILLER_22_657 +*8894 FILLER_22_669 +*8895 FILLER_22_681 +*8896 FILLER_22_685 +*8897 FILLER_22_697 +*8898 FILLER_22_701 +*8899 FILLER_22_713 +*8900 FILLER_22_721 +*8901 FILLER_22_77 +*8902 FILLER_22_83 +*8903 FILLER_22_85 +*8904 FILLER_22_97 +*8905 FILLER_23_113 +*8906 FILLER_23_117 +*8907 FILLER_23_131 +*8908 FILLER_23_135 +*8909 FILLER_23_147 +*8910 FILLER_23_15 +*8911 FILLER_23_1553 +*8912 FILLER_23_1558 +*8913 FILLER_23_1562 +*8914 FILLER_23_1574 +*8915 FILLER_23_1586 +*8916 FILLER_23_159 +*8917 FILLER_23_1598 +*8918 FILLER_23_1607 +*8919 FILLER_23_1619 +*8920 FILLER_23_1631 +*8921 FILLER_23_1643 +*8922 FILLER_23_1651 +*8923 FILLER_23_1659 +*8924 FILLER_23_167 +*8925 FILLER_23_1674 +*8926 FILLER_23_1678 +*8927 FILLER_23_169 +*8928 FILLER_23_1690 +*8929 FILLER_23_1702 +*8930 FILLER_23_1714 +*8931 FILLER_23_1719 +*8932 FILLER_23_1731 +*8933 FILLER_23_1743 +*8934 FILLER_23_1755 +*8935 FILLER_23_1767 +*8936 FILLER_23_1773 +*8937 FILLER_23_1775 +*8938 FILLER_23_1787 +*8939 FILLER_23_1799 +*8940 FILLER_23_181 +*8941 FILLER_23_1811 +*8942 FILLER_23_1823 +*8943 FILLER_23_1827 +*8944 FILLER_23_1831 +*8945 FILLER_23_1836 +*8946 FILLER_23_1840 +*8947 FILLER_23_1852 +*8948 FILLER_23_1874 +*8949 FILLER_23_1878 +*8950 FILLER_23_1882 +*8951 FILLER_23_1887 +*8952 FILLER_23_1899 +*8953 FILLER_23_1911 +*8954 FILLER_23_1923 +*8955 FILLER_23_193 +*8956 FILLER_23_1935 +*8957 FILLER_23_1941 +*8958 FILLER_23_1943 +*8959 FILLER_23_1955 +*8960 FILLER_23_1967 +*8961 FILLER_23_1979 +*8962 FILLER_23_1991 +*8963 FILLER_23_1997 +*8964 FILLER_23_1999 +*8965 FILLER_23_2006 +*8966 FILLER_23_205 +*8967 FILLER_23_217 +*8968 FILLER_23_223 +*8969 FILLER_23_225 +*8970 FILLER_23_237 +*8971 FILLER_23_249 +*8972 FILLER_23_261 +*8973 FILLER_23_269 +*8974 FILLER_23_27 +*8975 FILLER_23_277 +*8976 FILLER_23_284 +*8977 FILLER_23_288 +*8978 FILLER_23_298 +*8979 FILLER_23_3 +*8980 FILLER_23_302 +*8981 FILLER_23_308 +*8982 FILLER_23_312 +*8983 FILLER_23_324 +*8984 FILLER_23_329 +*8985 FILLER_23_335 +*8986 FILLER_23_337 +*8987 FILLER_23_349 +*8988 FILLER_23_358 +*8989 FILLER_23_362 +*8990 FILLER_23_380 +*8991 FILLER_23_384 +*8992 FILLER_23_388 +*8993 FILLER_23_39 +*8994 FILLER_23_393 +*8995 FILLER_23_402 +*8996 FILLER_23_406 +*8997 FILLER_23_418 +*8998 FILLER_23_430 +*8999 FILLER_23_442 +*9000 FILLER_23_449 +*9001 FILLER_23_462 +*9002 FILLER_23_466 +*9003 FILLER_23_470 +*9004 FILLER_23_482 +*9005 FILLER_23_494 +*9006 FILLER_23_502 +*9007 FILLER_23_505 +*9008 FILLER_23_515 +*9009 FILLER_23_519 +*9010 FILLER_23_526 +*9011 FILLER_23_530 +*9012 FILLER_23_542 +*9013 FILLER_23_55 +*9014 FILLER_23_554 +*9015 FILLER_23_561 +*9016 FILLER_23_57 +*9017 FILLER_23_573 +*9018 FILLER_23_585 +*9019 FILLER_23_597 +*9020 FILLER_23_609 +*9021 FILLER_23_61 +*9022 FILLER_23_615 +*9023 FILLER_23_617 +*9024 FILLER_23_629 +*9025 FILLER_23_637 +*9026 FILLER_23_645 +*9027 FILLER_23_649 +*9028 FILLER_23_661 +*9029 FILLER_23_669 +*9030 FILLER_23_673 +*9031 FILLER_23_677 +*9032 FILLER_23_689 +*9033 FILLER_23_701 +*9034 FILLER_23_713 +*9035 FILLER_23_725 +*9036 FILLER_23_729 +*9037 FILLER_23_73 +*9038 FILLER_23_741 +*9039 FILLER_23_85 +*9040 FILLER_23_93 +*9041 FILLER_24_104 +*9042 FILLER_24_108 +*9043 FILLER_24_119 +*9044 FILLER_24_123 +*9045 FILLER_24_127 +*9046 FILLER_24_135 +*9047 FILLER_24_141 +*9048 FILLER_24_15 +*9049 FILLER_24_153 +*9050 FILLER_24_1571 +*9051 FILLER_24_1575 +*9052 FILLER_24_1579 +*9053 FILLER_24_1591 +*9054 FILLER_24_1603 +*9055 FILLER_24_1607 +*9056 FILLER_24_1619 +*9057 FILLER_24_1631 +*9058 FILLER_24_1635 +*9059 FILLER_24_1647 +*9060 FILLER_24_165 +*9061 FILLER_24_1659 +*9062 FILLER_24_1663 +*9063 FILLER_24_1675 +*9064 FILLER_24_1687 +*9065 FILLER_24_169 +*9066 FILLER_24_1691 +*9067 FILLER_24_1703 +*9068 FILLER_24_1715 +*9069 FILLER_24_1719 +*9070 FILLER_24_1731 +*9071 FILLER_24_1743 +*9072 FILLER_24_1747 +*9073 FILLER_24_1759 +*9074 FILLER_24_1771 +*9075 FILLER_24_1775 +*9076 FILLER_24_1781 +*9077 FILLER_24_1803 +*9078 FILLER_24_1807 +*9079 FILLER_24_181 +*9080 FILLER_24_1819 +*9081 FILLER_24_1827 +*9082 FILLER_24_1831 +*9083 FILLER_24_1843 +*9084 FILLER_24_1855 +*9085 FILLER_24_1859 +*9086 FILLER_24_1863 +*9087 FILLER_24_1875 +*9088 FILLER_24_1883 +*9089 FILLER_24_1887 +*9090 FILLER_24_1899 +*9091 FILLER_24_1911 +*9092 FILLER_24_1915 +*9093 FILLER_24_1919 +*9094 FILLER_24_1925 +*9095 FILLER_24_1928 +*9096 FILLER_24_193 +*9097 FILLER_24_1933 +*9098 FILLER_24_1941 +*9099 FILLER_24_1943 +*9100 FILLER_24_1948 +*9101 FILLER_24_1952 +*9102 FILLER_24_1964 +*9103 FILLER_24_197 +*9104 FILLER_24_1971 +*9105 FILLER_24_1983 +*9106 FILLER_24_1995 +*9107 FILLER_24_1999 +*9108 FILLER_24_2005 +*9109 FILLER_24_2017 +*9110 FILLER_24_2023 +*9111 FILLER_24_2032 +*9112 FILLER_24_2037 +*9113 FILLER_24_2053 +*9114 FILLER_24_2055 +*9115 FILLER_24_2059 +*9116 FILLER_24_2063 +*9117 FILLER_24_2080 +*9118 FILLER_24_2083 +*9119 FILLER_24_209 +*9120 FILLER_24_2095 +*9121 FILLER_24_2107 +*9122 FILLER_24_2111 +*9123 FILLER_24_2119 +*9124 FILLER_24_2132 +*9125 FILLER_24_2136 +*9126 FILLER_24_2139 +*9127 FILLER_24_2151 +*9128 FILLER_24_2163 +*9129 FILLER_24_2167 +*9130 FILLER_24_2179 +*9131 FILLER_24_2191 +*9132 FILLER_24_2195 +*9133 FILLER_24_2207 +*9134 FILLER_24_221 +*9135 FILLER_24_2219 +*9136 FILLER_24_2223 +*9137 FILLER_24_2235 +*9138 FILLER_24_2239 +*9139 FILLER_24_2243 +*9140 FILLER_24_2247 +*9141 FILLER_24_225 +*9142 FILLER_24_2257 +*9143 FILLER_24_2261 +*9144 FILLER_24_2275 +*9145 FILLER_24_2279 +*9146 FILLER_24_2291 +*9147 FILLER_24_2299 +*9148 FILLER_24_2304 +*9149 FILLER_24_2307 +*9150 FILLER_24_2320 +*9151 FILLER_24_2324 +*9152 FILLER_24_2328 +*9153 FILLER_24_2335 +*9154 FILLER_24_2347 +*9155 FILLER_24_2351 +*9156 FILLER_24_2363 +*9157 FILLER_24_237 +*9158 FILLER_24_249 +*9159 FILLER_24_253 +*9160 FILLER_24_264 +*9161 FILLER_24_268 +*9162 FILLER_24_27 +*9163 FILLER_24_281 +*9164 FILLER_24_289 +*9165 FILLER_24_29 +*9166 FILLER_24_296 +*9167 FILLER_24_3 +*9168 FILLER_24_300 +*9169 FILLER_24_309 +*9170 FILLER_24_321 +*9171 FILLER_24_334 +*9172 FILLER_24_337 +*9173 FILLER_24_341 +*9174 FILLER_24_353 +*9175 FILLER_24_361 +*9176 FILLER_24_365 +*9177 FILLER_24_369 +*9178 FILLER_24_377 +*9179 FILLER_24_389 +*9180 FILLER_24_393 +*9181 FILLER_24_405 +*9182 FILLER_24_41 +*9183 FILLER_24_417 +*9184 FILLER_24_421 +*9185 FILLER_24_433 +*9186 FILLER_24_445 +*9187 FILLER_24_449 +*9188 FILLER_24_461 +*9189 FILLER_24_473 +*9190 FILLER_24_477 +*9191 FILLER_24_489 +*9192 FILLER_24_501 +*9193 FILLER_24_505 +*9194 FILLER_24_518 +*9195 FILLER_24_522 +*9196 FILLER_24_53 +*9197 FILLER_24_530 +*9198 FILLER_24_533 +*9199 FILLER_24_545 +*9200 FILLER_24_557 +*9201 FILLER_24_561 +*9202 FILLER_24_57 +*9203 FILLER_24_583 +*9204 FILLER_24_587 +*9205 FILLER_24_589 +*9206 FILLER_24_601 +*9207 FILLER_24_613 +*9208 FILLER_24_617 +*9209 FILLER_24_629 +*9210 FILLER_24_641 +*9211 FILLER_24_645 +*9212 FILLER_24_657 +*9213 FILLER_24_669 +*9214 FILLER_24_673 +*9215 FILLER_24_69 +*9216 FILLER_24_699 +*9217 FILLER_24_701 +*9218 FILLER_24_705 +*9219 FILLER_24_717 +*9220 FILLER_24_729 +*9221 FILLER_24_733 +*9222 FILLER_24_741 +*9223 FILLER_24_81 +*9224 FILLER_24_85 +*9225 FILLER_25_105 +*9226 FILLER_25_109 +*9227 FILLER_25_119 +*9228 FILLER_25_141 +*9229 FILLER_25_146 +*9230 FILLER_25_15 +*9231 FILLER_25_152 +*9232 FILLER_25_155 +*9233 FILLER_25_1553 +*9234 FILLER_25_1557 +*9235 FILLER_25_1561 +*9236 FILLER_25_1573 +*9237 FILLER_25_1585 +*9238 FILLER_25_1597 +*9239 FILLER_25_1605 +*9240 FILLER_25_1607 +*9241 FILLER_25_1619 +*9242 FILLER_25_1641 +*9243 FILLER_25_1645 +*9244 FILLER_25_1657 +*9245 FILLER_25_1661 +*9246 FILLER_25_1663 +*9247 FILLER_25_167 +*9248 FILLER_25_1688 +*9249 FILLER_25_169 +*9250 FILLER_25_1692 +*9251 FILLER_25_1704 +*9252 FILLER_25_1737 +*9253 FILLER_25_1741 +*9254 FILLER_25_1753 +*9255 FILLER_25_1765 +*9256 FILLER_25_1773 +*9257 FILLER_25_1775 +*9258 FILLER_25_1787 +*9259 FILLER_25_1799 +*9260 FILLER_25_181 +*9261 FILLER_25_1811 +*9262 FILLER_25_1823 +*9263 FILLER_25_1829 +*9264 FILLER_25_1834 +*9265 FILLER_25_1838 +*9266 FILLER_25_1846 +*9267 FILLER_25_1871 +*9268 FILLER_25_1875 +*9269 FILLER_25_1883 +*9270 FILLER_25_1887 +*9271 FILLER_25_1899 +*9272 FILLER_25_1907 +*9273 FILLER_25_1928 +*9274 FILLER_25_193 +*9275 FILLER_25_1946 +*9276 FILLER_25_1950 +*9277 FILLER_25_1954 +*9278 FILLER_25_1958 +*9279 FILLER_25_1965 +*9280 FILLER_25_1969 +*9281 FILLER_25_1981 +*9282 FILLER_25_1993 +*9283 FILLER_25_1997 +*9284 FILLER_25_1999 +*9285 FILLER_25_2011 +*9286 FILLER_25_2023 +*9287 FILLER_25_2037 +*9288 FILLER_25_205 +*9289 FILLER_25_2051 +*9290 FILLER_25_2066 +*9291 FILLER_25_2070 +*9292 FILLER_25_2076 +*9293 FILLER_25_2080 +*9294 FILLER_25_2084 +*9295 FILLER_25_2096 +*9296 FILLER_25_2108 +*9297 FILLER_25_2118 +*9298 FILLER_25_2122 +*9299 FILLER_25_2137 +*9300 FILLER_25_2141 +*9301 FILLER_25_2153 +*9302 FILLER_25_2165 +*9303 FILLER_25_2167 +*9304 FILLER_25_217 +*9305 FILLER_25_2179 +*9306 FILLER_25_2191 +*9307 FILLER_25_2200 +*9308 FILLER_25_2211 +*9309 FILLER_25_2215 +*9310 FILLER_25_2221 +*9311 FILLER_25_2226 +*9312 FILLER_25_223 +*9313 FILLER_25_2230 +*9314 FILLER_25_225 +*9315 FILLER_25_2251 +*9316 FILLER_25_2255 +*9317 FILLER_25_2263 +*9318 FILLER_25_2267 +*9319 FILLER_25_2270 +*9320 FILLER_25_2279 +*9321 FILLER_25_2291 +*9322 FILLER_25_2326 +*9323 FILLER_25_2335 +*9324 FILLER_25_2347 +*9325 FILLER_25_2361 +*9326 FILLER_25_237 +*9327 FILLER_25_252 +*9328 FILLER_25_256 +*9329 FILLER_25_268 +*9330 FILLER_25_27 +*9331 FILLER_25_281 +*9332 FILLER_25_285 +*9333 FILLER_25_297 +*9334 FILLER_25_3 +*9335 FILLER_25_309 +*9336 FILLER_25_320 +*9337 FILLER_25_324 +*9338 FILLER_25_337 +*9339 FILLER_25_349 +*9340 FILLER_25_361 +*9341 FILLER_25_376 +*9342 FILLER_25_380 +*9343 FILLER_25_39 +*9344 FILLER_25_393 +*9345 FILLER_25_408 +*9346 FILLER_25_412 +*9347 FILLER_25_416 +*9348 FILLER_25_428 +*9349 FILLER_25_432 +*9350 FILLER_25_439 +*9351 FILLER_25_449 +*9352 FILLER_25_453 +*9353 FILLER_25_465 +*9354 FILLER_25_477 +*9355 FILLER_25_489 +*9356 FILLER_25_501 +*9357 FILLER_25_51 +*9358 FILLER_25_516 +*9359 FILLER_25_520 +*9360 FILLER_25_532 +*9361 FILLER_25_544 +*9362 FILLER_25_55 +*9363 FILLER_25_556 +*9364 FILLER_25_561 +*9365 FILLER_25_57 +*9366 FILLER_25_573 +*9367 FILLER_25_585 +*9368 FILLER_25_597 +*9369 FILLER_25_609 +*9370 FILLER_25_615 +*9371 FILLER_25_617 +*9372 FILLER_25_629 +*9373 FILLER_25_637 +*9374 FILLER_25_643 +*9375 FILLER_25_647 +*9376 FILLER_25_651 +*9377 FILLER_25_673 +*9378 FILLER_25_677 +*9379 FILLER_25_689 +*9380 FILLER_25_69 +*9381 FILLER_25_701 +*9382 FILLER_25_713 +*9383 FILLER_25_725 +*9384 FILLER_25_729 +*9385 FILLER_25_741 +*9386 FILLER_25_81 +*9387 FILLER_25_93 +*9388 FILLER_26_109 +*9389 FILLER_26_115 +*9390 FILLER_26_121 +*9391 FILLER_26_125 +*9392 FILLER_26_137 +*9393 FILLER_26_141 +*9394 FILLER_26_1571 +*9395 FILLER_26_1575 +*9396 FILLER_26_1579 +*9397 FILLER_26_1591 +*9398 FILLER_26_1603 +*9399 FILLER_26_161 +*9400 FILLER_26_1615 +*9401 FILLER_26_1627 +*9402 FILLER_26_1633 +*9403 FILLER_26_1635 +*9404 FILLER_26_1647 +*9405 FILLER_26_165 +*9406 FILLER_26_1659 +*9407 FILLER_26_1667 +*9408 FILLER_26_1688 +*9409 FILLER_26_1691 +*9410 FILLER_26_1695 +*9411 FILLER_26_1707 +*9412 FILLER_26_1719 +*9413 FILLER_26_1731 +*9414 FILLER_26_1743 +*9415 FILLER_26_1747 +*9416 FILLER_26_1753 +*9417 FILLER_26_1756 +*9418 FILLER_26_1768 +*9419 FILLER_26_177 +*9420 FILLER_26_1780 +*9421 FILLER_26_1792 +*9422 FILLER_26_1800 +*9423 FILLER_26_1803 +*9424 FILLER_26_1815 +*9425 FILLER_26_1827 +*9426 FILLER_26_1833 +*9427 FILLER_26_1836 +*9428 FILLER_26_184 +*9429 FILLER_26_1848 +*9430 FILLER_26_1856 +*9431 FILLER_26_1859 +*9432 FILLER_26_1863 +*9433 FILLER_26_1875 +*9434 FILLER_26_188 +*9435 FILLER_26_1887 +*9436 FILLER_26_1899 +*9437 FILLER_26_19 +*9438 FILLER_26_1911 +*9439 FILLER_26_1915 +*9440 FILLER_26_1927 +*9441 FILLER_26_1939 +*9442 FILLER_26_1947 +*9443 FILLER_26_1962 +*9444 FILLER_26_1966 +*9445 FILLER_26_197 +*9446 FILLER_26_1971 +*9447 FILLER_26_1983 +*9448 FILLER_26_1995 +*9449 FILLER_26_2001 +*9450 FILLER_26_2007 +*9451 FILLER_26_2011 +*9452 FILLER_26_2023 +*9453 FILLER_26_2027 +*9454 FILLER_26_2039 +*9455 FILLER_26_2043 +*9456 FILLER_26_2046 +*9457 FILLER_26_2057 +*9458 FILLER_26_2061 +*9459 FILLER_26_2083 +*9460 FILLER_26_2087 +*9461 FILLER_26_209 +*9462 FILLER_26_2099 +*9463 FILLER_26_2111 +*9464 FILLER_26_2119 +*9465 FILLER_26_2125 +*9466 FILLER_26_2129 +*9467 FILLER_26_2133 +*9468 FILLER_26_2137 +*9469 FILLER_26_2139 +*9470 FILLER_26_2151 +*9471 FILLER_26_2163 +*9472 FILLER_26_2175 +*9473 FILLER_26_2187 +*9474 FILLER_26_2193 +*9475 FILLER_26_2195 +*9476 FILLER_26_2207 +*9477 FILLER_26_221 +*9478 FILLER_26_2219 +*9479 FILLER_26_2231 +*9480 FILLER_26_2239 +*9481 FILLER_26_2242 +*9482 FILLER_26_2251 +*9483 FILLER_26_2257 +*9484 FILLER_26_2261 +*9485 FILLER_26_2273 +*9486 FILLER_26_2285 +*9487 FILLER_26_2293 +*9488 FILLER_26_2307 +*9489 FILLER_26_2326 +*9490 FILLER_26_233 +*9491 FILLER_26_2330 +*9492 FILLER_26_2334 +*9493 FILLER_26_2346 +*9494 FILLER_26_2358 +*9495 FILLER_26_2363 +*9496 FILLER_26_245 +*9497 FILLER_26_249 +*9498 FILLER_26_265 +*9499 FILLER_26_269 +*9500 FILLER_26_27 +*9501 FILLER_26_273 +*9502 FILLER_26_277 +*9503 FILLER_26_284 +*9504 FILLER_26_288 +*9505 FILLER_26_29 +*9506 FILLER_26_292 +*9507 FILLER_26_298 +*9508 FILLER_26_3 +*9509 FILLER_26_301 +*9510 FILLER_26_307 +*9511 FILLER_26_309 +*9512 FILLER_26_317 +*9513 FILLER_26_320 +*9514 FILLER_26_334 +*9515 FILLER_26_346 +*9516 FILLER_26_358 +*9517 FILLER_26_365 +*9518 FILLER_26_371 +*9519 FILLER_26_374 +*9520 FILLER_26_378 +*9521 FILLER_26_385 +*9522 FILLER_26_389 +*9523 FILLER_26_403 +*9524 FILLER_26_407 +*9525 FILLER_26_412 +*9526 FILLER_26_416 +*9527 FILLER_26_421 +*9528 FILLER_26_429 +*9529 FILLER_26_452 +*9530 FILLER_26_456 +*9531 FILLER_26_468 +*9532 FILLER_26_477 +*9533 FILLER_26_485 +*9534 FILLER_26_492 +*9535 FILLER_26_496 +*9536 FILLER_26_500 +*9537 FILLER_26_512 +*9538 FILLER_26_524 +*9539 FILLER_26_533 +*9540 FILLER_26_54 +*9541 FILLER_26_547 +*9542 FILLER_26_555 +*9543 FILLER_26_564 +*9544 FILLER_26_568 +*9545 FILLER_26_58 +*9546 FILLER_26_580 +*9547 FILLER_26_589 +*9548 FILLER_26_601 +*9549 FILLER_26_613 +*9550 FILLER_26_618 +*9551 FILLER_26_623 +*9552 FILLER_26_627 +*9553 FILLER_26_639 +*9554 FILLER_26_663 +*9555 FILLER_26_667 +*9556 FILLER_26_679 +*9557 FILLER_26_691 +*9558 FILLER_26_699 +*9559 FILLER_26_7 +*9560 FILLER_26_70 +*9561 FILLER_26_701 +*9562 FILLER_26_713 +*9563 FILLER_26_719 +*9564 FILLER_26_726 +*9565 FILLER_26_730 +*9566 FILLER_26_82 +*9567 FILLER_26_85 +*9568 FILLER_26_97 +*9569 FILLER_27_13 +*9570 FILLER_27_131 +*9571 FILLER_27_135 +*9572 FILLER_27_139 +*9573 FILLER_27_151 +*9574 FILLER_27_1553 +*9575 FILLER_27_1565 +*9576 FILLER_27_157 +*9577 FILLER_27_1577 +*9578 FILLER_27_1589 +*9579 FILLER_27_1601 +*9580 FILLER_27_1605 +*9581 FILLER_27_1607 +*9582 FILLER_27_1619 +*9583 FILLER_27_1631 +*9584 FILLER_27_165 +*9585 FILLER_27_1659 +*9586 FILLER_27_1663 +*9587 FILLER_27_1667 +*9588 FILLER_27_1671 +*9589 FILLER_27_1674 +*9590 FILLER_27_1686 +*9591 FILLER_27_169 +*9592 FILLER_27_1698 +*9593 FILLER_27_1710 +*9594 FILLER_27_1719 +*9595 FILLER_27_1731 +*9596 FILLER_27_1738 +*9597 FILLER_27_1742 +*9598 FILLER_27_1756 +*9599 FILLER_27_1760 +*9600 FILLER_27_1772 +*9601 FILLER_27_1775 +*9602 FILLER_27_1787 +*9603 FILLER_27_1799 +*9604 FILLER_27_1807 +*9605 FILLER_27_181 +*9606 FILLER_27_1813 +*9607 FILLER_27_1817 +*9608 FILLER_27_1829 +*9609 FILLER_27_1831 +*9610 FILLER_27_1852 +*9611 FILLER_27_1856 +*9612 FILLER_27_1868 +*9613 FILLER_27_1880 +*9614 FILLER_27_1883 +*9615 FILLER_27_1887 +*9616 FILLER_27_1891 +*9617 FILLER_27_1905 +*9618 FILLER_27_1909 +*9619 FILLER_27_1921 +*9620 FILLER_27_193 +*9621 FILLER_27_1933 +*9622 FILLER_27_1941 +*9623 FILLER_27_1943 +*9624 FILLER_27_1955 +*9625 FILLER_27_1967 +*9626 FILLER_27_1981 +*9627 FILLER_27_1985 +*9628 FILLER_27_1999 +*9629 FILLER_27_2003 +*9630 FILLER_27_2015 +*9631 FILLER_27_2028 +*9632 FILLER_27_2032 +*9633 FILLER_27_2044 +*9634 FILLER_27_205 +*9635 FILLER_27_2052 +*9636 FILLER_27_2055 +*9637 FILLER_27_2067 +*9638 FILLER_27_2079 +*9639 FILLER_27_2088 +*9640 FILLER_27_2092 +*9641 FILLER_27_2104 +*9642 FILLER_27_2111 +*9643 FILLER_27_2123 +*9644 FILLER_27_2129 +*9645 FILLER_27_2133 +*9646 FILLER_27_2145 +*9647 FILLER_27_2157 +*9648 FILLER_27_2165 +*9649 FILLER_27_2167 +*9650 FILLER_27_217 +*9651 FILLER_27_2179 +*9652 FILLER_27_2191 +*9653 FILLER_27_2203 +*9654 FILLER_27_2215 +*9655 FILLER_27_2219 +*9656 FILLER_27_2223 +*9657 FILLER_27_2227 +*9658 FILLER_27_223 +*9659 FILLER_27_2239 +*9660 FILLER_27_225 +*9661 FILLER_27_2251 +*9662 FILLER_27_2263 +*9663 FILLER_27_2275 +*9664 FILLER_27_2299 +*9665 FILLER_27_2303 +*9666 FILLER_27_2307 +*9667 FILLER_27_2326 +*9668 FILLER_27_2335 +*9669 FILLER_27_2347 +*9670 FILLER_27_2359 +*9671 FILLER_27_2363 +*9672 FILLER_27_237 +*9673 FILLER_27_249 +*9674 FILLER_27_25 +*9675 FILLER_27_255 +*9676 FILLER_27_261 +*9677 FILLER_27_273 +*9678 FILLER_27_279 +*9679 FILLER_27_287 +*9680 FILLER_27_291 +*9681 FILLER_27_301 +*9682 FILLER_27_305 +*9683 FILLER_27_311 +*9684 FILLER_27_320 +*9685 FILLER_27_324 +*9686 FILLER_27_333 +*9687 FILLER_27_337 +*9688 FILLER_27_341 +*9689 FILLER_27_353 +*9690 FILLER_27_365 +*9691 FILLER_27_37 +*9692 FILLER_27_377 +*9693 FILLER_27_385 +*9694 FILLER_27_391 +*9695 FILLER_27_393 +*9696 FILLER_27_399 +*9697 FILLER_27_411 +*9698 FILLER_27_423 +*9699 FILLER_27_435 +*9700 FILLER_27_447 +*9701 FILLER_27_449 +*9702 FILLER_27_461 +*9703 FILLER_27_473 +*9704 FILLER_27_483 +*9705 FILLER_27_487 +*9706 FILLER_27_49 +*9707 FILLER_27_497 +*9708 FILLER_27_501 +*9709 FILLER_27_505 +*9710 FILLER_27_517 +*9711 FILLER_27_525 +*9712 FILLER_27_528 +*9713 FILLER_27_540 +*9714 FILLER_27_544 +*9715 FILLER_27_55 +*9716 FILLER_27_551 +*9717 FILLER_27_555 +*9718 FILLER_27_561 +*9719 FILLER_27_57 +*9720 FILLER_27_573 +*9721 FILLER_27_585 +*9722 FILLER_27_597 +*9723 FILLER_27_609 +*9724 FILLER_27_615 +*9725 FILLER_27_617 +*9726 FILLER_27_627 +*9727 FILLER_27_631 +*9728 FILLER_27_643 +*9729 FILLER_27_65 +*9730 FILLER_27_655 +*9731 FILLER_27_667 +*9732 FILLER_27_671 +*9733 FILLER_27_673 +*9734 FILLER_27_685 +*9735 FILLER_27_697 +*9736 FILLER_27_70 +*9737 FILLER_27_707 +*9738 FILLER_27_711 +*9739 FILLER_27_719 +*9740 FILLER_27_722 +*9741 FILLER_27_729 +*9742 FILLER_27_74 +*9743 FILLER_27_741 +*9744 FILLER_27_86 +*9745 FILLER_27_98 +*9746 FILLER_28_1005 +*9747 FILLER_28_1009 +*9748 FILLER_28_1021 +*9749 FILLER_28_1033 +*9750 FILLER_28_1048 +*9751 FILLER_28_1052 +*9752 FILLER_28_1065 +*9753 FILLER_28_1077 +*9754 FILLER_28_1085 +*9755 FILLER_28_109 +*9756 FILLER_28_1099 +*9757 FILLER_28_1103 +*9758 FILLER_28_1115 +*9759 FILLER_28_1119 +*9760 FILLER_28_1121 +*9761 FILLER_28_113 +*9762 FILLER_28_1133 +*9763 FILLER_28_1145 +*9764 FILLER_28_1149 +*9765 FILLER_28_1161 +*9766 FILLER_28_1173 +*9767 FILLER_28_1177 +*9768 FILLER_28_1189 +*9769 FILLER_28_1199 +*9770 FILLER_28_1203 +*9771 FILLER_28_1205 +*9772 FILLER_28_1217 +*9773 FILLER_28_1229 +*9774 FILLER_28_1233 +*9775 FILLER_28_1245 +*9776 FILLER_28_1257 +*9777 FILLER_28_1261 +*9778 FILLER_28_1273 +*9779 FILLER_28_1285 +*9780 FILLER_28_1289 +*9781 FILLER_28_1295 +*9782 FILLER_28_1317 +*9783 FILLER_28_1321 +*9784 FILLER_28_1333 +*9785 FILLER_28_1341 +*9786 FILLER_28_1345 +*9787 FILLER_28_1357 +*9788 FILLER_28_1369 +*9789 FILLER_28_139 +*9790 FILLER_28_1391 +*9791 FILLER_28_141 +*9792 FILLER_28_1417 +*9793 FILLER_28_1421 +*9794 FILLER_28_1427 +*9795 FILLER_28_1429 +*9796 FILLER_28_1441 +*9797 FILLER_28_1447 +*9798 FILLER_28_145 +*9799 FILLER_28_1475 +*9800 FILLER_28_1479 +*9801 FILLER_28_149 +*9802 FILLER_28_15 +*9803 FILLER_28_1503 +*9804 FILLER_28_1507 +*9805 FILLER_28_1511 +*9806 FILLER_28_1513 +*9807 FILLER_28_1525 +*9808 FILLER_28_1537 +*9809 FILLER_28_1541 +*9810 FILLER_28_1553 +*9811 FILLER_28_1558 +*9812 FILLER_28_1587 +*9813 FILLER_28_1591 +*9814 FILLER_28_1595 +*9815 FILLER_28_1597 +*9816 FILLER_28_1609 +*9817 FILLER_28_161 +*9818 FILLER_28_1621 +*9819 FILLER_28_1625 +*9820 FILLER_28_1637 +*9821 FILLER_28_1647 +*9822 FILLER_28_1651 +*9823 FILLER_28_1653 +*9824 FILLER_28_1659 +*9825 FILLER_28_1666 +*9826 FILLER_28_167 +*9827 FILLER_28_1670 +*9828 FILLER_28_1677 +*9829 FILLER_28_1681 +*9830 FILLER_28_169 +*9831 FILLER_28_1693 +*9832 FILLER_28_1705 +*9833 FILLER_28_1715 +*9834 FILLER_28_1719 +*9835 FILLER_28_1731 +*9836 FILLER_28_1735 +*9837 FILLER_28_1737 +*9838 FILLER_28_1749 +*9839 FILLER_28_1761 +*9840 FILLER_28_1765 +*9841 FILLER_28_1791 +*9842 FILLER_28_1793 +*9843 FILLER_28_1797 +*9844 FILLER_28_1809 +*9845 FILLER_28_181 +*9846 FILLER_28_1817 +*9847 FILLER_28_1821 +*9848 FILLER_28_1833 +*9849 FILLER_28_1845 +*9850 FILLER_28_1849 +*9851 FILLER_28_1867 +*9852 FILLER_28_1871 +*9853 FILLER_28_1875 +*9854 FILLER_28_1877 +*9855 FILLER_28_188 +*9856 FILLER_28_1883 +*9857 FILLER_28_1887 +*9858 FILLER_28_1897 +*9859 FILLER_28_1901 +*9860 FILLER_28_1905 +*9861 FILLER_28_1917 +*9862 FILLER_28_192 +*9863 FILLER_28_1929 +*9864 FILLER_28_1933 +*9865 FILLER_28_1945 +*9866 FILLER_28_1957 +*9867 FILLER_28_1961 +*9868 FILLER_28_197 +*9869 FILLER_28_1976 +*9870 FILLER_28_1980 +*9871 FILLER_28_1989 +*9872 FILLER_28_2001 +*9873 FILLER_28_2013 +*9874 FILLER_28_2017 +*9875 FILLER_28_2029 +*9876 FILLER_28_2041 +*9877 FILLER_28_2045 +*9878 FILLER_28_2057 +*9879 FILLER_28_2069 +*9880 FILLER_28_2073 +*9881 FILLER_28_2085 +*9882 FILLER_28_209 +*9883 FILLER_28_2091 +*9884 FILLER_28_2108 +*9885 FILLER_28_2115 +*9886 FILLER_28_2119 +*9887 FILLER_28_2127 +*9888 FILLER_28_2129 +*9889 FILLER_28_2144 +*9890 FILLER_28_2148 +*9891 FILLER_28_2157 +*9892 FILLER_28_2169 +*9893 FILLER_28_217 +*9894 FILLER_28_2181 +*9895 FILLER_28_2192 +*9896 FILLER_28_2196 +*9897 FILLER_28_220 +*9898 FILLER_28_2200 +*9899 FILLER_28_2209 +*9900 FILLER_28_2213 +*9901 FILLER_28_2223 +*9902 FILLER_28_2227 +*9903 FILLER_28_2239 +*9904 FILLER_28_2241 +*9905 FILLER_28_225 +*9906 FILLER_28_2253 +*9907 FILLER_28_2265 +*9908 FILLER_28_2269 +*9909 FILLER_28_2277 +*9910 FILLER_28_2293 +*9911 FILLER_28_2297 +*9912 FILLER_28_2301 +*9913 FILLER_28_2304 +*9914 FILLER_28_2322 +*9915 FILLER_28_2325 +*9916 FILLER_28_2329 +*9917 FILLER_28_2341 +*9918 FILLER_28_2349 +*9919 FILLER_28_2353 +*9920 FILLER_28_2361 +*9921 FILLER_28_237 +*9922 FILLER_28_249 +*9923 FILLER_28_257 +*9924 FILLER_28_261 +*9925 FILLER_28_27 +*9926 FILLER_28_275 +*9927 FILLER_28_279 +*9928 FILLER_28_281 +*9929 FILLER_28_29 +*9930 FILLER_28_293 +*9931 FILLER_28_3 +*9932 FILLER_28_300 +*9933 FILLER_28_304 +*9934 FILLER_28_309 +*9935 FILLER_28_315 +*9936 FILLER_28_337 +*9937 FILLER_28_341 +*9938 FILLER_28_353 +*9939 FILLER_28_361 +*9940 FILLER_28_365 +*9941 FILLER_28_377 +*9942 FILLER_28_389 +*9943 FILLER_28_41 +*9944 FILLER_28_411 +*9945 FILLER_28_415 +*9946 FILLER_28_419 +*9947 FILLER_28_421 +*9948 FILLER_28_433 +*9949 FILLER_28_445 +*9950 FILLER_28_449 +*9951 FILLER_28_461 +*9952 FILLER_28_473 +*9953 FILLER_28_477 +*9954 FILLER_28_486 +*9955 FILLER_28_490 +*9956 FILLER_28_502 +*9957 FILLER_28_505 +*9958 FILLER_28_517 +*9959 FILLER_28_53 +*9960 FILLER_28_531 +*9961 FILLER_28_533 +*9962 FILLER_28_537 +*9963 FILLER_28_541 +*9964 FILLER_28_553 +*9965 FILLER_28_559 +*9966 FILLER_28_561 +*9967 FILLER_28_57 +*9968 FILLER_28_573 +*9969 FILLER_28_585 +*9970 FILLER_28_589 +*9971 FILLER_28_601 +*9972 FILLER_28_61 +*9973 FILLER_28_617 +*9974 FILLER_28_621 +*9975 FILLER_28_625 +*9976 FILLER_28_637 +*9977 FILLER_28_643 +*9978 FILLER_28_645 +*9979 FILLER_28_657 +*9980 FILLER_28_66 +*9981 FILLER_28_669 +*9982 FILLER_28_673 +*9983 FILLER_28_685 +*9984 FILLER_28_697 +*9985 FILLER_28_70 +*9986 FILLER_28_723 +*9987 FILLER_28_727 +*9988 FILLER_28_729 +*9989 FILLER_28_741 +*9990 FILLER_28_753 +*9991 FILLER_28_757 +*9992 FILLER_28_761 +*9993 FILLER_28_767 +*9994 FILLER_28_776 +*9995 FILLER_28_780 +*9996 FILLER_28_785 +*9997 FILLER_28_797 +*9998 FILLER_28_809 +*9999 FILLER_28_813 +*10000 FILLER_28_82 +*10001 FILLER_28_834 +*10002 FILLER_28_838 +*10003 FILLER_28_847 +*10004 FILLER_28_85 +*10005 FILLER_28_851 +*10006 FILLER_28_855 +*10007 FILLER_28_867 +*10008 FILLER_28_869 +*10009 FILLER_28_877 +*10010 FILLER_28_882 +*10011 FILLER_28_897 +*10012 FILLER_28_901 +*10013 FILLER_28_905 +*10014 FILLER_28_917 +*10015 FILLER_28_923 +*10016 FILLER_28_925 +*10017 FILLER_28_93 +*10018 FILLER_28_937 +*10019 FILLER_28_949 +*10020 FILLER_28_964 +*10021 FILLER_28_968 +*10022 FILLER_28_97 +*10023 FILLER_28_981 +*10024 FILLER_28_993 +*10025 FILLER_29_1001 +*10026 FILLER_29_1007 +*10027 FILLER_29_1009 +*10028 FILLER_29_1021 +*10029 FILLER_29_1025 +*10030 FILLER_29_1065 +*10031 FILLER_29_1069 +*10032 FILLER_29_1081 +*10033 FILLER_29_1093 +*10034 FILLER_29_1098 +*10035 FILLER_29_111 +*10036 FILLER_29_1121 +*10037 FILLER_29_1125 +*10038 FILLER_29_113 +*10039 FILLER_29_1137 +*10040 FILLER_29_1149 +*10041 FILLER_29_1161 +*10042 FILLER_29_1173 +*10043 FILLER_29_1177 +*10044 FILLER_29_1189 +*10045 FILLER_29_1199 +*10046 FILLER_29_1203 +*10047 FILLER_29_1207 +*10048 FILLER_29_1228 +*10049 FILLER_29_1233 +*10050 FILLER_29_1245 +*10051 FILLER_29_125 +*10052 FILLER_29_1257 +*10053 FILLER_29_1269 +*10054 FILLER_29_1281 +*10055 FILLER_29_1287 +*10056 FILLER_29_1289 +*10057 FILLER_29_1301 +*10058 FILLER_29_1329 +*10059 FILLER_29_1333 +*10060 FILLER_29_1341 +*10061 FILLER_29_1363 +*10062 FILLER_29_1367 +*10063 FILLER_29_137 +*10064 FILLER_29_1379 +*10065 FILLER_29_1387 +*10066 FILLER_29_1390 +*10067 FILLER_29_1394 +*10068 FILLER_29_1397 +*10069 FILLER_29_1401 +*10070 FILLER_29_1405 +*10071 FILLER_29_1417 +*10072 FILLER_29_1429 +*10073 FILLER_29_1441 +*10074 FILLER_29_1453 +*10075 FILLER_29_1457 +*10076 FILLER_29_1461 +*10077 FILLER_29_1473 +*10078 FILLER_29_1485 +*10079 FILLER_29_149 +*10080 FILLER_29_15 +*10081 FILLER_29_1507 +*10082 FILLER_29_1511 +*10083 FILLER_29_1513 +*10084 FILLER_29_1525 +*10085 FILLER_29_1533 +*10086 FILLER_29_1538 +*10087 FILLER_29_1542 +*10088 FILLER_29_1550 +*10089 FILLER_29_1558 +*10090 FILLER_29_1562 +*10091 FILLER_29_1569 +*10092 FILLER_29_1581 +*10093 FILLER_29_1593 +*10094 FILLER_29_1605 +*10095 FILLER_29_161 +*10096 FILLER_29_1617 +*10097 FILLER_29_1623 +*10098 FILLER_29_1625 +*10099 FILLER_29_1637 +*10100 FILLER_29_1647 +*10101 FILLER_29_1651 +*10102 FILLER_29_1663 +*10103 FILLER_29_1666 +*10104 FILLER_29_167 +*10105 FILLER_29_1674 +*10106 FILLER_29_1677 +*10107 FILLER_29_1681 +*10108 FILLER_29_169 +*10109 FILLER_29_1693 +*10110 FILLER_29_1716 +*10111 FILLER_29_1720 +*10112 FILLER_29_1724 +*10113 FILLER_29_1737 +*10114 FILLER_29_1749 +*10115 FILLER_29_1755 +*10116 FILLER_29_1759 +*10117 FILLER_29_1763 +*10118 FILLER_29_1775 +*10119 FILLER_29_1787 +*10120 FILLER_29_1791 +*10121 FILLER_29_1793 +*10122 FILLER_29_1805 +*10123 FILLER_29_181 +*10124 FILLER_29_1817 +*10125 FILLER_29_1823 +*10126 FILLER_29_1826 +*10127 FILLER_29_1849 +*10128 FILLER_29_1853 +*10129 FILLER_29_1867 +*10130 FILLER_29_1879 +*10131 FILLER_29_1891 +*10132 FILLER_29_1903 +*10133 FILLER_29_1905 +*10134 FILLER_29_1917 +*10135 FILLER_29_1929 +*10136 FILLER_29_193 +*10137 FILLER_29_1941 +*10138 FILLER_29_1953 +*10139 FILLER_29_1959 +*10140 FILLER_29_1961 +*10141 FILLER_29_1969 +*10142 FILLER_29_1979 +*10143 FILLER_29_1983 +*10144 FILLER_29_199 +*10145 FILLER_29_1995 +*10146 FILLER_29_2007 +*10147 FILLER_29_2013 +*10148 FILLER_29_2017 +*10149 FILLER_29_2024 +*10150 FILLER_29_2028 +*10151 FILLER_29_2040 +*10152 FILLER_29_2052 +*10153 FILLER_29_2064 +*10154 FILLER_29_2073 +*10155 FILLER_29_2085 +*10156 FILLER_29_2097 +*10157 FILLER_29_2100 +*10158 FILLER_29_2104 +*10159 FILLER_29_2114 +*10160 FILLER_29_2121 +*10161 FILLER_29_2125 +*10162 FILLER_29_2129 +*10163 FILLER_29_2141 +*10164 FILLER_29_2153 +*10165 FILLER_29_2165 +*10166 FILLER_29_2177 +*10167 FILLER_29_2183 +*10168 FILLER_29_2185 +*10169 FILLER_29_2197 +*10170 FILLER_29_220 +*10171 FILLER_29_2205 +*10172 FILLER_29_2209 +*10173 FILLER_29_2215 +*10174 FILLER_29_2218 +*10175 FILLER_29_2222 +*10176 FILLER_29_2226 +*10177 FILLER_29_2232 +*10178 FILLER_29_2241 +*10179 FILLER_29_2249 +*10180 FILLER_29_2253 +*10181 FILLER_29_2265 +*10182 FILLER_29_2277 +*10183 FILLER_29_2287 +*10184 FILLER_29_2295 +*10185 FILLER_29_2297 +*10186 FILLER_29_2304 +*10187 FILLER_29_2308 +*10188 FILLER_29_2312 +*10189 FILLER_29_2318 +*10190 FILLER_29_2322 +*10191 FILLER_29_2334 +*10192 FILLER_29_2346 +*10193 FILLER_29_2353 +*10194 FILLER_29_2361 +*10195 FILLER_29_243 +*10196 FILLER_29_247 +*10197 FILLER_29_259 +*10198 FILLER_29_267 +*10199 FILLER_29_27 +*10200 FILLER_29_271 +*10201 FILLER_29_279 +*10202 FILLER_29_281 +*10203 FILLER_29_293 +*10204 FILLER_29_3 +*10205 FILLER_29_305 +*10206 FILLER_29_317 +*10207 FILLER_29_329 +*10208 FILLER_29_335 +*10209 FILLER_29_337 +*10210 FILLER_29_349 +*10211 FILLER_29_361 +*10212 FILLER_29_373 +*10213 FILLER_29_385 +*10214 FILLER_29_389 +*10215 FILLER_29_39 +*10216 FILLER_29_414 +*10217 FILLER_29_418 +*10218 FILLER_29_424 +*10219 FILLER_29_427 +*10220 FILLER_29_433 +*10221 FILLER_29_437 +*10222 FILLER_29_445 +*10223 FILLER_29_449 +*10224 FILLER_29_461 +*10225 FILLER_29_47 +*10226 FILLER_29_473 +*10227 FILLER_29_485 +*10228 FILLER_29_497 +*10229 FILLER_29_503 +*10230 FILLER_29_508 +*10231 FILLER_29_512 +*10232 FILLER_29_524 +*10233 FILLER_29_536 +*10234 FILLER_29_55 +*10235 FILLER_29_559 +*10236 FILLER_29_564 +*10237 FILLER_29_568 +*10238 FILLER_29_572 +*10239 FILLER_29_584 +*10240 FILLER_29_596 +*10241 FILLER_29_608 +*10242 FILLER_29_61 +*10243 FILLER_29_617 +*10244 FILLER_29_629 +*10245 FILLER_29_633 +*10246 FILLER_29_638 +*10247 FILLER_29_642 +*10248 FILLER_29_654 +*10249 FILLER_29_666 +*10250 FILLER_29_673 +*10251 FILLER_29_685 +*10252 FILLER_29_689 +*10253 FILLER_29_70 +*10254 FILLER_29_701 +*10255 FILLER_29_711 +*10256 FILLER_29_715 +*10257 FILLER_29_727 +*10258 FILLER_29_729 +*10259 FILLER_29_74 +*10260 FILLER_29_746 +*10261 FILLER_29_770 +*10262 FILLER_29_774 +*10263 FILLER_29_778 +*10264 FILLER_29_785 +*10265 FILLER_29_797 +*10266 FILLER_29_805 +*10267 FILLER_29_809 +*10268 FILLER_29_815 +*10269 FILLER_29_821 +*10270 FILLER_29_824 +*10271 FILLER_29_830 +*10272 FILLER_29_833 +*10273 FILLER_29_837 +*10274 FILLER_29_841 +*10275 FILLER_29_851 +*10276 FILLER_29_855 +*10277 FILLER_29_869 +*10278 FILLER_29_886 +*10279 FILLER_29_891 +*10280 FILLER_29_895 +*10281 FILLER_29_897 +*10282 FILLER_29_901 +*10283 FILLER_29_913 +*10284 FILLER_29_925 +*10285 FILLER_29_937 +*10286 FILLER_29_949 +*10287 FILLER_29_95 +*10288 FILLER_29_953 +*10289 FILLER_29_965 +*10290 FILLER_29_977 +*10291 FILLER_29_989 +*10292 FILLER_29_99 +*10293 FILLER_2_10 +*10294 FILLER_2_1000 +*10295 FILLER_2_1004 +*10296 FILLER_2_101 +*10297 FILLER_2_1012 +*10298 FILLER_2_1022 +*10299 FILLER_2_1026 +*10300 FILLER_2_1031 +*10301 FILLER_2_1035 +*10302 FILLER_2_1037 +*10303 FILLER_2_1041 +*10304 FILLER_2_1045 +*10305 FILLER_2_1049 +*10306 FILLER_2_105 +*10307 FILLER_2_1053 +*10308 FILLER_2_1057 +*10309 FILLER_2_1061 +*10310 FILLER_2_1065 +*10311 FILLER_2_1069 +*10312 FILLER_2_1074 +*10313 FILLER_2_1078 +*10314 FILLER_2_1082 +*10315 FILLER_2_1085 +*10316 FILLER_2_109 +*10317 FILLER_2_1091 +*10318 FILLER_2_1093 +*10319 FILLER_2_1097 +*10320 FILLER_2_1101 +*10321 FILLER_2_1109 +*10322 FILLER_2_1113 +*10323 FILLER_2_1116 +*10324 FILLER_2_1121 +*10325 FILLER_2_1125 +*10326 FILLER_2_1128 +*10327 FILLER_2_1132 +*10328 FILLER_2_1136 +*10329 FILLER_2_1141 +*10330 FILLER_2_1145 +*10331 FILLER_2_1149 +*10332 FILLER_2_1153 +*10333 FILLER_2_1157 +*10334 FILLER_2_1161 +*10335 FILLER_2_1165 +*10336 FILLER_2_1169 +*10337 FILLER_2_1173 +*10338 FILLER_2_1181 +*10339 FILLER_2_1186 +*10340 FILLER_2_1194 +*10341 FILLER_2_1198 +*10342 FILLER_2_120 +*10343 FILLER_2_1202 +*10344 FILLER_2_1205 +*10345 FILLER_2_1211 +*10346 FILLER_2_1214 +*10347 FILLER_2_1218 +*10348 FILLER_2_1226 +*10349 FILLER_2_1230 +*10350 FILLER_2_1235 +*10351 FILLER_2_1239 +*10352 FILLER_2_1244 +*10353 FILLER_2_1248 +*10354 FILLER_2_1252 +*10355 FILLER_2_1256 +*10356 FILLER_2_126 +*10357 FILLER_2_1261 +*10358 FILLER_2_1265 +*10359 FILLER_2_1271 +*10360 FILLER_2_1277 +*10361 FILLER_2_1281 +*10362 FILLER_2_1285 +*10363 FILLER_2_1288 +*10364 FILLER_2_1292 +*10365 FILLER_2_1296 +*10366 FILLER_2_130 +*10367 FILLER_2_1300 +*10368 FILLER_2_1306 +*10369 FILLER_2_1312 +*10370 FILLER_2_1317 +*10371 FILLER_2_1322 +*10372 FILLER_2_1327 +*10373 FILLER_2_1334 +*10374 FILLER_2_1338 +*10375 FILLER_2_134 +*10376 FILLER_2_1342 +*10377 FILLER_2_1347 +*10378 FILLER_2_1353 +*10379 FILLER_2_1357 +*10380 FILLER_2_1360 +*10381 FILLER_2_1364 +*10382 FILLER_2_1368 +*10383 FILLER_2_1373 +*10384 FILLER_2_1377 +*10385 FILLER_2_138 +*10386 FILLER_2_1381 +*10387 FILLER_2_1384 +*10388 FILLER_2_1388 +*10389 FILLER_2_1392 +*10390 FILLER_2_1395 +*10391 FILLER_2_1399 +*10392 FILLER_2_14 +*10393 FILLER_2_1404 +*10394 FILLER_2_141 +*10395 FILLER_2_1416 +*10396 FILLER_2_1426 +*10397 FILLER_2_1429 +*10398 FILLER_2_1437 +*10399 FILLER_2_1440 +*10400 FILLER_2_1447 +*10401 FILLER_2_145 +*10402 FILLER_2_1451 +*10403 FILLER_2_1457 +*10404 FILLER_2_1461 +*10405 FILLER_2_1465 +*10406 FILLER_2_1468 +*10407 FILLER_2_1472 +*10408 FILLER_2_1485 +*10409 FILLER_2_1489 +*10410 FILLER_2_149 +*10411 FILLER_2_1492 +*10412 FILLER_2_1500 +*10413 FILLER_2_1504 +*10414 FILLER_2_1512 +*10415 FILLER_2_1516 +*10416 FILLER_2_1526 +*10417 FILLER_2_153 +*10418 FILLER_2_1533 +*10419 FILLER_2_1537 +*10420 FILLER_2_1541 +*10421 FILLER_2_1545 +*10422 FILLER_2_1551 +*10423 FILLER_2_1555 +*10424 FILLER_2_1559 +*10425 FILLER_2_1569 +*10426 FILLER_2_1573 +*10427 FILLER_2_1577 +*10428 FILLER_2_1585 +*10429 FILLER_2_1589 +*10430 FILLER_2_1595 +*10431 FILLER_2_1597 +*10432 FILLER_2_1601 +*10433 FILLER_2_1605 +*10434 FILLER_2_161 +*10435 FILLER_2_1610 +*10436 FILLER_2_1618 +*10437 FILLER_2_1622 +*10438 FILLER_2_1628 +*10439 FILLER_2_1636 +*10440 FILLER_2_1640 +*10441 FILLER_2_1644 +*10442 FILLER_2_165 +*10443 FILLER_2_1651 +*10444 FILLER_2_1653 +*10445 FILLER_2_1657 +*10446 FILLER_2_1663 +*10447 FILLER_2_1667 +*10448 FILLER_2_1671 +*10449 FILLER_2_1674 +*10450 FILLER_2_1678 +*10451 FILLER_2_1684 +*10452 FILLER_2_1688 +*10453 FILLER_2_169 +*10454 FILLER_2_1691 +*10455 FILLER_2_1695 +*10456 FILLER_2_1701 +*10457 FILLER_2_1707 +*10458 FILLER_2_1709 +*10459 FILLER_2_1713 +*10460 FILLER_2_1717 +*10461 FILLER_2_1721 +*10462 FILLER_2_1725 +*10463 FILLER_2_1729 +*10464 FILLER_2_173 +*10465 FILLER_2_1734 +*10466 FILLER_2_1740 +*10467 FILLER_2_1744 +*10468 FILLER_2_1756 +*10469 FILLER_2_176 +*10470 FILLER_2_1762 +*10471 FILLER_2_1765 +*10472 FILLER_2_1773 +*10473 FILLER_2_1778 +*10474 FILLER_2_1782 +*10475 FILLER_2_1787 +*10476 FILLER_2_1791 +*10477 FILLER_2_1796 +*10478 FILLER_2_180 +*10479 FILLER_2_1802 +*10480 FILLER_2_1806 +*10481 FILLER_2_1810 +*10482 FILLER_2_1814 +*10483 FILLER_2_1819 +*10484 FILLER_2_1821 +*10485 FILLER_2_1829 +*10486 FILLER_2_1833 +*10487 FILLER_2_1837 +*10488 FILLER_2_1841 +*10489 FILLER_2_1845 +*10490 FILLER_2_1849 +*10491 FILLER_2_1852 +*10492 FILLER_2_1858 +*10493 FILLER_2_1864 +*10494 FILLER_2_1868 +*10495 FILLER_2_188 +*10496 FILLER_2_1880 +*10497 FILLER_2_1884 +*10498 FILLER_2_1888 +*10499 FILLER_2_1891 +*10500 FILLER_2_1895 +*10501 FILLER_2_1899 +*10502 FILLER_2_1907 +*10503 FILLER_2_1911 +*10504 FILLER_2_1916 +*10505 FILLER_2_192 +*10506 FILLER_2_1920 +*10507 FILLER_2_1933 +*10508 FILLER_2_1937 +*10509 FILLER_2_1942 +*10510 FILLER_2_1946 +*10511 FILLER_2_1951 +*10512 FILLER_2_1957 +*10513 FILLER_2_1961 +*10514 FILLER_2_1964 +*10515 FILLER_2_197 +*10516 FILLER_2_1970 +*10517 FILLER_2_1975 +*10518 FILLER_2_1979 +*10519 FILLER_2_1984 +*10520 FILLER_2_1989 +*10521 FILLER_2_1993 +*10522 FILLER_2_1996 +*10523 FILLER_2_20 +*10524 FILLER_2_2000 +*10525 FILLER_2_201 +*10526 FILLER_2_2012 +*10527 FILLER_2_2016 +*10528 FILLER_2_2020 +*10529 FILLER_2_2024 +*10530 FILLER_2_2028 +*10531 FILLER_2_2031 +*10532 FILLER_2_2035 +*10533 FILLER_2_2039 +*10534 FILLER_2_2042 +*10535 FILLER_2_2045 +*10536 FILLER_2_2049 +*10537 FILLER_2_2053 +*10538 FILLER_2_2058 +*10539 FILLER_2_2079 +*10540 FILLER_2_2083 +*10541 FILLER_2_2087 +*10542 FILLER_2_2093 +*10543 FILLER_2_2097 +*10544 FILLER_2_2101 +*10545 FILLER_2_2106 +*10546 FILLER_2_2110 +*10547 FILLER_2_2116 +*10548 FILLER_2_2122 +*10549 FILLER_2_213 +*10550 FILLER_2_2132 +*10551 FILLER_2_2136 +*10552 FILLER_2_2139 +*10553 FILLER_2_2147 +*10554 FILLER_2_2151 +*10555 FILLER_2_2155 +*10556 FILLER_2_2157 +*10557 FILLER_2_217 +*10558 FILLER_2_2175 +*10559 FILLER_2_2179 +*10560 FILLER_2_2182 +*10561 FILLER_2_2186 +*10562 FILLER_2_2190 +*10563 FILLER_2_2194 +*10564 FILLER_2_2198 +*10565 FILLER_2_2201 +*10566 FILLER_2_221 +*10567 FILLER_2_2213 +*10568 FILLER_2_2217 +*10569 FILLER_2_2221 +*10570 FILLER_2_2225 +*10571 FILLER_2_2229 +*10572 FILLER_2_2232 +*10573 FILLER_2_2236 +*10574 FILLER_2_2244 +*10575 FILLER_2_2248 +*10576 FILLER_2_225 +*10577 FILLER_2_2256 +*10578 FILLER_2_2269 +*10579 FILLER_2_2279 +*10580 FILLER_2_228 +*10581 FILLER_2_2283 +*10582 FILLER_2_2287 +*10583 FILLER_2_2291 +*10584 FILLER_2_2299 +*10585 FILLER_2_2302 +*10586 FILLER_2_2306 +*10587 FILLER_2_2314 +*10588 FILLER_2_2317 +*10589 FILLER_2_2321 +*10590 FILLER_2_2325 +*10591 FILLER_2_2329 +*10592 FILLER_2_233 +*10593 FILLER_2_2337 +*10594 FILLER_2_2343 +*10595 FILLER_2_2347 +*10596 FILLER_2_2351 +*10597 FILLER_2_237 +*10598 FILLER_2_24 +*10599 FILLER_2_241 +*10600 FILLER_2_249 +*10601 FILLER_2_253 +*10602 FILLER_2_257 +*10603 FILLER_2_261 +*10604 FILLER_2_269 +*10605 FILLER_2_274 +*10606 FILLER_2_279 +*10607 FILLER_2_287 +*10608 FILLER_2_29 +*10609 FILLER_2_293 +*10610 FILLER_2_299 +*10611 FILLER_2_303 +*10612 FILLER_2_309 +*10613 FILLER_2_314 +*10614 FILLER_2_318 +*10615 FILLER_2_330 +*10616 FILLER_2_334 +*10617 FILLER_2_338 +*10618 FILLER_2_342 +*10619 FILLER_2_346 +*10620 FILLER_2_349 +*10621 FILLER_2_35 +*10622 FILLER_2_363 +*10623 FILLER_2_365 +*10624 FILLER_2_369 +*10625 FILLER_2_372 +*10626 FILLER_2_380 +*10627 FILLER_2_392 +*10628 FILLER_2_396 +*10629 FILLER_2_399 +*10630 FILLER_2_403 +*10631 FILLER_2_409 +*10632 FILLER_2_413 +*10633 FILLER_2_419 +*10634 FILLER_2_421 +*10635 FILLER_2_425 +*10636 FILLER_2_429 +*10637 FILLER_2_43 +*10638 FILLER_2_437 +*10639 FILLER_2_440 +*10640 FILLER_2_444 +*10641 FILLER_2_448 +*10642 FILLER_2_452 +*10643 FILLER_2_456 +*10644 FILLER_2_461 +*10645 FILLER_2_465 +*10646 FILLER_2_47 +*10647 FILLER_2_471 +*10648 FILLER_2_475 +*10649 FILLER_2_477 +*10650 FILLER_2_481 +*10651 FILLER_2_485 +*10652 FILLER_2_489 +*10653 FILLER_2_494 +*10654 FILLER_2_498 +*10655 FILLER_2_506 +*10656 FILLER_2_510 +*10657 FILLER_2_514 +*10658 FILLER_2_518 +*10659 FILLER_2_522 +*10660 FILLER_2_528 +*10661 FILLER_2_531 +*10662 FILLER_2_533 +*10663 FILLER_2_537 +*10664 FILLER_2_541 +*10665 FILLER_2_549 +*10666 FILLER_2_55 +*10667 FILLER_2_553 +*10668 FILLER_2_561 +*10669 FILLER_2_564 +*10670 FILLER_2_574 +*10671 FILLER_2_578 +*10672 FILLER_2_582 +*10673 FILLER_2_587 +*10674 FILLER_2_589 +*10675 FILLER_2_59 +*10676 FILLER_2_593 +*10677 FILLER_2_6 +*10678 FILLER_2_603 +*10679 FILLER_2_609 +*10680 FILLER_2_613 +*10681 FILLER_2_618 +*10682 FILLER_2_626 +*10683 FILLER_2_63 +*10684 FILLER_2_633 +*10685 FILLER_2_637 +*10686 FILLER_2_641 +*10687 FILLER_2_645 +*10688 FILLER_2_655 +*10689 FILLER_2_663 +*10690 FILLER_2_667 +*10691 FILLER_2_671 +*10692 FILLER_2_676 +*10693 FILLER_2_68 +*10694 FILLER_2_690 +*10695 FILLER_2_698 +*10696 FILLER_2_701 +*10697 FILLER_2_709 +*10698 FILLER_2_713 +*10699 FILLER_2_72 +*10700 FILLER_2_721 +*10701 FILLER_2_727 +*10702 FILLER_2_730 +*10703 FILLER_2_734 +*10704 FILLER_2_740 +*10705 FILLER_2_744 +*10706 FILLER_2_748 +*10707 FILLER_2_752 +*10708 FILLER_2_757 +*10709 FILLER_2_76 +*10710 FILLER_2_761 +*10711 FILLER_2_764 +*10712 FILLER_2_768 +*10713 FILLER_2_776 +*10714 FILLER_2_781 +*10715 FILLER_2_785 +*10716 FILLER_2_789 +*10717 FILLER_2_795 +*10718 FILLER_2_798 +*10719 FILLER_2_802 +*10720 FILLER_2_806 +*10721 FILLER_2_810 +*10722 FILLER_2_813 +*10723 FILLER_2_817 +*10724 FILLER_2_82 +*10725 FILLER_2_822 +*10726 FILLER_2_826 +*10727 FILLER_2_830 +*10728 FILLER_2_833 +*10729 FILLER_2_837 +*10730 FILLER_2_841 +*10731 FILLER_2_844 +*10732 FILLER_2_848 +*10733 FILLER_2_85 +*10734 FILLER_2_852 +*10735 FILLER_2_856 +*10736 FILLER_2_861 +*10737 FILLER_2_867 +*10738 FILLER_2_869 +*10739 FILLER_2_873 +*10740 FILLER_2_877 +*10741 FILLER_2_885 +*10742 FILLER_2_897 +*10743 FILLER_2_903 +*10744 FILLER_2_909 +*10745 FILLER_2_913 +*10746 FILLER_2_917 +*10747 FILLER_2_921 +*10748 FILLER_2_925 +*10749 FILLER_2_929 +*10750 FILLER_2_93 +*10751 FILLER_2_933 +*10752 FILLER_2_941 +*10753 FILLER_2_945 +*10754 FILLER_2_957 +*10755 FILLER_2_961 +*10756 FILLER_2_969 +*10757 FILLER_2_977 +*10758 FILLER_2_981 +*10759 FILLER_2_989 +*10760 FILLER_2_992 +*10761 FILLER_2_996 +*10762 FILLER_30_1006 +*10763 FILLER_30_1018 +*10764 FILLER_30_1030 +*10765 FILLER_30_1037 +*10766 FILLER_30_1045 +*10767 FILLER_30_1049 +*10768 FILLER_30_105 +*10769 FILLER_30_1053 +*10770 FILLER_30_1065 +*10771 FILLER_30_1077 +*10772 FILLER_30_1089 +*10773 FILLER_30_1093 +*10774 FILLER_30_1105 +*10775 FILLER_30_1117 +*10776 FILLER_30_1129 +*10777 FILLER_30_1141 +*10778 FILLER_30_1147 +*10779 FILLER_30_1149 +*10780 FILLER_30_1155 +*10781 FILLER_30_1159 +*10782 FILLER_30_117 +*10783 FILLER_30_1182 +*10784 FILLER_30_1186 +*10785 FILLER_30_1198 +*10786 FILLER_30_1205 +*10787 FILLER_30_1209 +*10788 FILLER_30_1215 +*10789 FILLER_30_1218 +*10790 FILLER_30_1230 +*10791 FILLER_30_1242 +*10792 FILLER_30_1254 +*10793 FILLER_30_1279 +*10794 FILLER_30_1283 +*10795 FILLER_30_129 +*10796 FILLER_30_1295 +*10797 FILLER_30_1307 +*10798 FILLER_30_1315 +*10799 FILLER_30_1317 +*10800 FILLER_30_1329 +*10801 FILLER_30_1352 +*10802 FILLER_30_1356 +*10803 FILLER_30_1368 +*10804 FILLER_30_137 +*10805 FILLER_30_1373 +*10806 FILLER_30_1385 +*10807 FILLER_30_1397 +*10808 FILLER_30_1409 +*10809 FILLER_30_141 +*10810 FILLER_30_1421 +*10811 FILLER_30_1427 +*10812 FILLER_30_1429 +*10813 FILLER_30_1435 +*10814 FILLER_30_1447 +*10815 FILLER_30_1459 +*10816 FILLER_30_1471 +*10817 FILLER_30_1483 +*10818 FILLER_30_1485 +*10819 FILLER_30_149 +*10820 FILLER_30_15 +*10821 FILLER_30_1509 +*10822 FILLER_30_1513 +*10823 FILLER_30_1525 +*10824 FILLER_30_1537 +*10825 FILLER_30_1541 +*10826 FILLER_30_1553 +*10827 FILLER_30_156 +*10828 FILLER_30_1565 +*10829 FILLER_30_1577 +*10830 FILLER_30_1589 +*10831 FILLER_30_1595 +*10832 FILLER_30_1597 +*10833 FILLER_30_160 +*10834 FILLER_30_1609 +*10835 FILLER_30_1641 +*10836 FILLER_30_1645 +*10837 FILLER_30_1651 +*10838 FILLER_30_1653 +*10839 FILLER_30_1665 +*10840 FILLER_30_1677 +*10841 FILLER_30_168 +*10842 FILLER_30_1689 +*10843 FILLER_30_1697 +*10844 FILLER_30_1700 +*10845 FILLER_30_1709 +*10846 FILLER_30_171 +*10847 FILLER_30_1729 +*10848 FILLER_30_1733 +*10849 FILLER_30_1741 +*10850 FILLER_30_175 +*10851 FILLER_30_1757 +*10852 FILLER_30_1761 +*10853 FILLER_30_1765 +*10854 FILLER_30_1777 +*10855 FILLER_30_1789 +*10856 FILLER_30_180 +*10857 FILLER_30_1800 +*10858 FILLER_30_1804 +*10859 FILLER_30_1816 +*10860 FILLER_30_1821 +*10861 FILLER_30_1835 +*10862 FILLER_30_1839 +*10863 FILLER_30_184 +*10864 FILLER_30_1851 +*10865 FILLER_30_1863 +*10866 FILLER_30_1875 +*10867 FILLER_30_1877 +*10868 FILLER_30_1889 +*10869 FILLER_30_1897 +*10870 FILLER_30_1901 +*10871 FILLER_30_1913 +*10872 FILLER_30_1925 +*10873 FILLER_30_1930 +*10874 FILLER_30_1933 +*10875 FILLER_30_1937 +*10876 FILLER_30_1949 +*10877 FILLER_30_1961 +*10878 FILLER_30_1973 +*10879 FILLER_30_1985 +*10880 FILLER_30_1989 +*10881 FILLER_30_2001 +*10882 FILLER_30_2005 +*10883 FILLER_30_2022 +*10884 FILLER_30_2026 +*10885 FILLER_30_203 +*10886 FILLER_30_2038 +*10887 FILLER_30_2045 +*10888 FILLER_30_2053 +*10889 FILLER_30_2058 +*10890 FILLER_30_2062 +*10891 FILLER_30_207 +*10892 FILLER_30_2074 +*10893 FILLER_30_2086 +*10894 FILLER_30_2098 +*10895 FILLER_30_2101 +*10896 FILLER_30_2117 +*10897 FILLER_30_2121 +*10898 FILLER_30_2125 +*10899 FILLER_30_2137 +*10900 FILLER_30_2149 +*10901 FILLER_30_2155 +*10902 FILLER_30_2157 +*10903 FILLER_30_2169 +*10904 FILLER_30_2181 +*10905 FILLER_30_219 +*10906 FILLER_30_2193 +*10907 FILLER_30_2205 +*10908 FILLER_30_2209 +*10909 FILLER_30_2220 +*10910 FILLER_30_2243 +*10911 FILLER_30_2247 +*10912 FILLER_30_2259 +*10913 FILLER_30_2267 +*10914 FILLER_30_2269 +*10915 FILLER_30_2280 +*10916 FILLER_30_2284 +*10917 FILLER_30_2296 +*10918 FILLER_30_2308 +*10919 FILLER_30_231 +*10920 FILLER_30_2320 +*10921 FILLER_30_2325 +*10922 FILLER_30_2337 +*10923 FILLER_30_2349 +*10924 FILLER_30_2357 +*10925 FILLER_30_243 +*10926 FILLER_30_251 +*10927 FILLER_30_253 +*10928 FILLER_30_27 +*10929 FILLER_30_277 +*10930 FILLER_30_281 +*10931 FILLER_30_289 +*10932 FILLER_30_29 +*10933 FILLER_30_294 +*10934 FILLER_30_298 +*10935 FILLER_30_3 +*10936 FILLER_30_306 +*10937 FILLER_30_312 +*10938 FILLER_30_316 +*10939 FILLER_30_342 +*10940 FILLER_30_346 +*10941 FILLER_30_358 +*10942 FILLER_30_365 +*10943 FILLER_30_377 +*10944 FILLER_30_389 +*10945 FILLER_30_395 +*10946 FILLER_30_398 +*10947 FILLER_30_41 +*10948 FILLER_30_410 +*10949 FILLER_30_418 +*10950 FILLER_30_421 +*10951 FILLER_30_432 +*10952 FILLER_30_436 +*10953 FILLER_30_448 +*10954 FILLER_30_460 +*10955 FILLER_30_472 +*10956 FILLER_30_477 +*10957 FILLER_30_483 +*10958 FILLER_30_487 +*10959 FILLER_30_491 +*10960 FILLER_30_499 +*10961 FILLER_30_506 +*10962 FILLER_30_510 +*10963 FILLER_30_522 +*10964 FILLER_30_53 +*10965 FILLER_30_530 +*10966 FILLER_30_533 +*10967 FILLER_30_545 +*10968 FILLER_30_555 +*10969 FILLER_30_559 +*10970 FILLER_30_571 +*10971 FILLER_30_583 +*10972 FILLER_30_59 +*10973 FILLER_30_607 +*10974 FILLER_30_611 +*10975 FILLER_30_618 +*10976 FILLER_30_622 +*10977 FILLER_30_634 +*10978 FILLER_30_642 +*10979 FILLER_30_645 +*10980 FILLER_30_657 +*10981 FILLER_30_669 +*10982 FILLER_30_67 +*10983 FILLER_30_681 +*10984 FILLER_30_693 +*10985 FILLER_30_699 +*10986 FILLER_30_701 +*10987 FILLER_30_713 +*10988 FILLER_30_72 +*10989 FILLER_30_725 +*10990 FILLER_30_737 +*10991 FILLER_30_749 +*10992 FILLER_30_755 +*10993 FILLER_30_757 +*10994 FILLER_30_769 +*10995 FILLER_30_777 +*10996 FILLER_30_786 +*10997 FILLER_30_790 +*10998 FILLER_30_803 +*10999 FILLER_30_831 +*11000 FILLER_30_835 +*11001 FILLER_30_847 +*11002 FILLER_30_85 +*11003 FILLER_30_859 +*11004 FILLER_30_867 +*11005 FILLER_30_869 +*11006 FILLER_30_891 +*11007 FILLER_30_906 +*11008 FILLER_30_910 +*11009 FILLER_30_922 +*11010 FILLER_30_925 +*11011 FILLER_30_93 +*11012 FILLER_30_937 +*11013 FILLER_30_949 +*11014 FILLER_30_961 +*11015 FILLER_30_973 +*11016 FILLER_30_979 +*11017 FILLER_30_981 +*11018 FILLER_30_989 +*11019 FILLER_30_994 +*11020 FILLER_31_1001 +*11021 FILLER_31_1005 +*11022 FILLER_31_1009 +*11023 FILLER_31_1021 +*11024 FILLER_31_1033 +*11025 FILLER_31_104 +*11026 FILLER_31_1045 +*11027 FILLER_31_1057 +*11028 FILLER_31_1063 +*11029 FILLER_31_1065 +*11030 FILLER_31_107 +*11031 FILLER_31_1077 +*11032 FILLER_31_1089 +*11033 FILLER_31_1097 +*11034 FILLER_31_111 +*11035 FILLER_31_1121 +*11036 FILLER_31_1125 +*11037 FILLER_31_1137 +*11038 FILLER_31_1149 +*11039 FILLER_31_1161 +*11040 FILLER_31_117 +*11041 FILLER_31_1173 +*11042 FILLER_31_1177 +*11043 FILLER_31_1181 +*11044 FILLER_31_1206 +*11045 FILLER_31_121 +*11046 FILLER_31_1210 +*11047 FILLER_31_1218 +*11048 FILLER_31_1224 +*11049 FILLER_31_1228 +*11050 FILLER_31_1233 +*11051 FILLER_31_1245 +*11052 FILLER_31_1257 +*11053 FILLER_31_1269 +*11054 FILLER_31_1281 +*11055 FILLER_31_1287 +*11056 FILLER_31_1289 +*11057 FILLER_31_1301 +*11058 FILLER_31_1313 +*11059 FILLER_31_1325 +*11060 FILLER_31_133 +*11061 FILLER_31_1337 +*11062 FILLER_31_1343 +*11063 FILLER_31_1345 +*11064 FILLER_31_1368 +*11065 FILLER_31_1372 +*11066 FILLER_31_1384 +*11067 FILLER_31_1396 +*11068 FILLER_31_1401 +*11069 FILLER_31_1413 +*11070 FILLER_31_145 +*11071 FILLER_31_1454 +*11072 FILLER_31_1457 +*11073 FILLER_31_1461 +*11074 FILLER_31_1473 +*11075 FILLER_31_1485 +*11076 FILLER_31_149 +*11077 FILLER_31_1497 +*11078 FILLER_31_15 +*11079 FILLER_31_1509 +*11080 FILLER_31_1513 +*11081 FILLER_31_152 +*11082 FILLER_31_1525 +*11083 FILLER_31_1537 +*11084 FILLER_31_1549 +*11085 FILLER_31_1561 +*11086 FILLER_31_1565 +*11087 FILLER_31_1587 +*11088 FILLER_31_1591 +*11089 FILLER_31_1603 +*11090 FILLER_31_1615 +*11091 FILLER_31_1623 +*11092 FILLER_31_1625 +*11093 FILLER_31_1637 +*11094 FILLER_31_164 +*11095 FILLER_31_1649 +*11096 FILLER_31_1661 +*11097 FILLER_31_1673 +*11098 FILLER_31_1679 +*11099 FILLER_31_1681 +*11100 FILLER_31_1693 +*11101 FILLER_31_1700 +*11102 FILLER_31_1704 +*11103 FILLER_31_1716 +*11104 FILLER_31_1728 +*11105 FILLER_31_1740 +*11106 FILLER_31_1744 +*11107 FILLER_31_1748 +*11108 FILLER_31_175 +*11109 FILLER_31_1752 +*11110 FILLER_31_1757 +*11111 FILLER_31_1768 +*11112 FILLER_31_1772 +*11113 FILLER_31_1784 +*11114 FILLER_31_179 +*11115 FILLER_31_1793 +*11116 FILLER_31_1805 +*11117 FILLER_31_1811 +*11118 FILLER_31_1815 +*11119 FILLER_31_1819 +*11120 FILLER_31_1831 +*11121 FILLER_31_1843 +*11122 FILLER_31_1847 +*11123 FILLER_31_1849 +*11124 FILLER_31_1861 +*11125 FILLER_31_1873 +*11126 FILLER_31_1881 +*11127 FILLER_31_1885 +*11128 FILLER_31_1899 +*11129 FILLER_31_1903 +*11130 FILLER_31_1905 +*11131 FILLER_31_191 +*11132 FILLER_31_1917 +*11133 FILLER_31_1929 +*11134 FILLER_31_1941 +*11135 FILLER_31_195 +*11136 FILLER_31_1953 +*11137 FILLER_31_1959 +*11138 FILLER_31_1961 +*11139 FILLER_31_1965 +*11140 FILLER_31_1977 +*11141 FILLER_31_1989 +*11142 FILLER_31_200 +*11143 FILLER_31_2001 +*11144 FILLER_31_2013 +*11145 FILLER_31_2017 +*11146 FILLER_31_2021 +*11147 FILLER_31_2033 +*11148 FILLER_31_204 +*11149 FILLER_31_2045 +*11150 FILLER_31_2050 +*11151 FILLER_31_2062 +*11152 FILLER_31_2070 +*11153 FILLER_31_2073 +*11154 FILLER_31_208 +*11155 FILLER_31_2085 +*11156 FILLER_31_2097 +*11157 FILLER_31_2105 +*11158 FILLER_31_2110 +*11159 FILLER_31_2114 +*11160 FILLER_31_2123 +*11161 FILLER_31_2127 +*11162 FILLER_31_2129 +*11163 FILLER_31_2141 +*11164 FILLER_31_2153 +*11165 FILLER_31_2165 +*11166 FILLER_31_2173 +*11167 FILLER_31_2179 +*11168 FILLER_31_2183 +*11169 FILLER_31_2185 +*11170 FILLER_31_2197 +*11171 FILLER_31_220 +*11172 FILLER_31_2209 +*11173 FILLER_31_2221 +*11174 FILLER_31_2235 +*11175 FILLER_31_2239 +*11176 FILLER_31_2241 +*11177 FILLER_31_225 +*11178 FILLER_31_2253 +*11179 FILLER_31_2267 +*11180 FILLER_31_2271 +*11181 FILLER_31_2275 +*11182 FILLER_31_2279 +*11183 FILLER_31_2283 +*11184 FILLER_31_2295 +*11185 FILLER_31_2297 +*11186 FILLER_31_2309 +*11187 FILLER_31_2321 +*11188 FILLER_31_2333 +*11189 FILLER_31_2345 +*11190 FILLER_31_2351 +*11191 FILLER_31_2353 +*11192 FILLER_31_2361 +*11193 FILLER_31_237 +*11194 FILLER_31_249 +*11195 FILLER_31_261 +*11196 FILLER_31_27 +*11197 FILLER_31_271 +*11198 FILLER_31_279 +*11199 FILLER_31_281 +*11200 FILLER_31_285 +*11201 FILLER_31_3 +*11202 FILLER_31_306 +*11203 FILLER_31_310 +*11204 FILLER_31_322 +*11205 FILLER_31_334 +*11206 FILLER_31_337 +*11207 FILLER_31_349 +*11208 FILLER_31_361 +*11209 FILLER_31_373 +*11210 FILLER_31_385 +*11211 FILLER_31_39 +*11212 FILLER_31_393 +*11213 FILLER_31_397 +*11214 FILLER_31_409 +*11215 FILLER_31_421 +*11216 FILLER_31_424 +*11217 FILLER_31_432 +*11218 FILLER_31_449 +*11219 FILLER_31_453 +*11220 FILLER_31_465 +*11221 FILLER_31_469 +*11222 FILLER_31_47 +*11223 FILLER_31_493 +*11224 FILLER_31_497 +*11225 FILLER_31_501 +*11226 FILLER_31_505 +*11227 FILLER_31_509 +*11228 FILLER_31_521 +*11229 FILLER_31_533 +*11230 FILLER_31_545 +*11231 FILLER_31_555 +*11232 FILLER_31_559 +*11233 FILLER_31_561 +*11234 FILLER_31_573 +*11235 FILLER_31_585 +*11236 FILLER_31_597 +*11237 FILLER_31_612 +*11238 FILLER_31_617 +*11239 FILLER_31_629 +*11240 FILLER_31_63 +*11241 FILLER_31_641 +*11242 FILLER_31_647 +*11243 FILLER_31_651 +*11244 FILLER_31_663 +*11245 FILLER_31_67 +*11246 FILLER_31_671 +*11247 FILLER_31_673 +*11248 FILLER_31_685 +*11249 FILLER_31_697 +*11250 FILLER_31_709 +*11251 FILLER_31_721 +*11252 FILLER_31_727 +*11253 FILLER_31_729 +*11254 FILLER_31_741 +*11255 FILLER_31_753 +*11256 FILLER_31_76 +*11257 FILLER_31_765 +*11258 FILLER_31_777 +*11259 FILLER_31_782 +*11260 FILLER_31_788 +*11261 FILLER_31_792 +*11262 FILLER_31_80 +*11263 FILLER_31_804 +*11264 FILLER_31_812 +*11265 FILLER_31_824 +*11266 FILLER_31_836 +*11267 FILLER_31_841 +*11268 FILLER_31_867 +*11269 FILLER_31_871 +*11270 FILLER_31_88 +*11271 FILLER_31_883 +*11272 FILLER_31_893 +*11273 FILLER_31_897 +*11274 FILLER_31_901 +*11275 FILLER_31_913 +*11276 FILLER_31_92 +*11277 FILLER_31_925 +*11278 FILLER_31_937 +*11279 FILLER_31_949 +*11280 FILLER_31_953 +*11281 FILLER_31_965 +*11282 FILLER_31_977 +*11283 FILLER_32_1006 +*11284 FILLER_32_1018 +*11285 FILLER_32_1030 +*11286 FILLER_32_1037 +*11287 FILLER_32_1043 +*11288 FILLER_32_1050 +*11289 FILLER_32_1054 +*11290 FILLER_32_1066 +*11291 FILLER_32_1070 +*11292 FILLER_32_108 +*11293 FILLER_32_1082 +*11294 FILLER_32_1090 +*11295 FILLER_32_1093 +*11296 FILLER_32_1105 +*11297 FILLER_32_1129 +*11298 FILLER_32_1133 +*11299 FILLER_32_114 +*11300 FILLER_32_1145 +*11301 FILLER_32_1149 +*11302 FILLER_32_1161 +*11303 FILLER_32_1167 +*11304 FILLER_32_118 +*11305 FILLER_32_1195 +*11306 FILLER_32_1199 +*11307 FILLER_32_1203 +*11308 FILLER_32_1205 +*11309 FILLER_32_1215 +*11310 FILLER_32_1227 +*11311 FILLER_32_1239 +*11312 FILLER_32_1251 +*11313 FILLER_32_1259 +*11314 FILLER_32_1261 +*11315 FILLER_32_1273 +*11316 FILLER_32_1285 +*11317 FILLER_32_1297 +*11318 FILLER_32_130 +*11319 FILLER_32_1309 +*11320 FILLER_32_1315 +*11321 FILLER_32_1317 +*11322 FILLER_32_1329 +*11323 FILLER_32_1337 +*11324 FILLER_32_1341 +*11325 FILLER_32_1345 +*11326 FILLER_32_1357 +*11327 FILLER_32_1369 +*11328 FILLER_32_137 +*11329 FILLER_32_1379 +*11330 FILLER_32_1383 +*11331 FILLER_32_1395 +*11332 FILLER_32_1407 +*11333 FILLER_32_141 +*11334 FILLER_32_1419 +*11335 FILLER_32_1427 +*11336 FILLER_32_1429 +*11337 FILLER_32_1435 +*11338 FILLER_32_1438 +*11339 FILLER_32_145 +*11340 FILLER_32_1450 +*11341 FILLER_32_1462 +*11342 FILLER_32_1474 +*11343 FILLER_32_1482 +*11344 FILLER_32_1485 +*11345 FILLER_32_1489 +*11346 FILLER_32_15 +*11347 FILLER_32_1501 +*11348 FILLER_32_1513 +*11349 FILLER_32_1525 +*11350 FILLER_32_153 +*11351 FILLER_32_1537 +*11352 FILLER_32_1541 +*11353 FILLER_32_1553 +*11354 FILLER_32_1559 +*11355 FILLER_32_157 +*11356 FILLER_32_1587 +*11357 FILLER_32_1591 +*11358 FILLER_32_1595 +*11359 FILLER_32_1597 +*11360 FILLER_32_1609 +*11361 FILLER_32_161 +*11362 FILLER_32_1621 +*11363 FILLER_32_1633 +*11364 FILLER_32_1641 +*11365 FILLER_32_1646 +*11366 FILLER_32_1650 +*11367 FILLER_32_1653 +*11368 FILLER_32_1661 +*11369 FILLER_32_1666 +*11370 FILLER_32_1670 +*11371 FILLER_32_1675 +*11372 FILLER_32_1679 +*11373 FILLER_32_1691 +*11374 FILLER_32_1703 +*11375 FILLER_32_1707 +*11376 FILLER_32_1709 +*11377 FILLER_32_1717 +*11378 FILLER_32_1725 +*11379 FILLER_32_173 +*11380 FILLER_32_1756 +*11381 FILLER_32_1760 +*11382 FILLER_32_1765 +*11383 FILLER_32_1777 +*11384 FILLER_32_1785 +*11385 FILLER_32_180 +*11386 FILLER_32_1800 +*11387 FILLER_32_1804 +*11388 FILLER_32_1816 +*11389 FILLER_32_1821 +*11390 FILLER_32_1833 +*11391 FILLER_32_184 +*11392 FILLER_32_1845 +*11393 FILLER_32_1857 +*11394 FILLER_32_1869 +*11395 FILLER_32_1875 +*11396 FILLER_32_1877 +*11397 FILLER_32_1889 +*11398 FILLER_32_1897 +*11399 FILLER_32_1909 +*11400 FILLER_32_1921 +*11401 FILLER_32_1929 +*11402 FILLER_32_1933 +*11403 FILLER_32_1945 +*11404 FILLER_32_1949 +*11405 FILLER_32_1962 +*11406 FILLER_32_1966 +*11407 FILLER_32_197 +*11408 FILLER_32_1972 +*11409 FILLER_32_1976 +*11410 FILLER_32_1989 +*11411 FILLER_32_2001 +*11412 FILLER_32_2009 +*11413 FILLER_32_201 +*11414 FILLER_32_2013 +*11415 FILLER_32_2027 +*11416 FILLER_32_2031 +*11417 FILLER_32_2043 +*11418 FILLER_32_2045 +*11419 FILLER_32_205 +*11420 FILLER_32_2057 +*11421 FILLER_32_2061 +*11422 FILLER_32_2069 +*11423 FILLER_32_2073 +*11424 FILLER_32_2081 +*11425 FILLER_32_209 +*11426 FILLER_32_2090 +*11427 FILLER_32_2094 +*11428 FILLER_32_2112 +*11429 FILLER_32_2116 +*11430 FILLER_32_2128 +*11431 FILLER_32_2132 +*11432 FILLER_32_2144 +*11433 FILLER_32_2157 +*11434 FILLER_32_2161 +*11435 FILLER_32_2173 +*11436 FILLER_32_2185 +*11437 FILLER_32_2197 +*11438 FILLER_32_2209 +*11439 FILLER_32_2213 +*11440 FILLER_32_2225 +*11441 FILLER_32_2237 +*11442 FILLER_32_2249 +*11443 FILLER_32_2261 +*11444 FILLER_32_2267 +*11445 FILLER_32_2269 +*11446 FILLER_32_227 +*11447 FILLER_32_2280 +*11448 FILLER_32_2284 +*11449 FILLER_32_2296 +*11450 FILLER_32_2300 +*11451 FILLER_32_231 +*11452 FILLER_32_2310 +*11453 FILLER_32_2314 +*11454 FILLER_32_2322 +*11455 FILLER_32_2325 +*11456 FILLER_32_2337 +*11457 FILLER_32_2349 +*11458 FILLER_32_2361 +*11459 FILLER_32_243 +*11460 FILLER_32_250 +*11461 FILLER_32_253 +*11462 FILLER_32_257 +*11463 FILLER_32_27 +*11464 FILLER_32_287 +*11465 FILLER_32_29 +*11466 FILLER_32_291 +*11467 FILLER_32_3 +*11468 FILLER_32_303 +*11469 FILLER_32_307 +*11470 FILLER_32_309 +*11471 FILLER_32_321 +*11472 FILLER_32_333 +*11473 FILLER_32_345 +*11474 FILLER_32_357 +*11475 FILLER_32_363 +*11476 FILLER_32_365 +*11477 FILLER_32_377 +*11478 FILLER_32_389 +*11479 FILLER_32_401 +*11480 FILLER_32_407 +*11481 FILLER_32_41 +*11482 FILLER_32_414 +*11483 FILLER_32_418 +*11484 FILLER_32_421 +*11485 FILLER_32_433 +*11486 FILLER_32_445 +*11487 FILLER_32_457 +*11488 FILLER_32_469 +*11489 FILLER_32_475 +*11490 FILLER_32_477 +*11491 FILLER_32_489 +*11492 FILLER_32_49 +*11493 FILLER_32_510 +*11494 FILLER_32_533 +*11495 FILLER_32_537 +*11496 FILLER_32_54 +*11497 FILLER_32_549 +*11498 FILLER_32_561 +*11499 FILLER_32_573 +*11500 FILLER_32_58 +*11501 FILLER_32_585 +*11502 FILLER_32_589 +*11503 FILLER_32_601 +*11504 FILLER_32_613 +*11505 FILLER_32_625 +*11506 FILLER_32_637 +*11507 FILLER_32_643 +*11508 FILLER_32_645 +*11509 FILLER_32_657 +*11510 FILLER_32_660 +*11511 FILLER_32_672 +*11512 FILLER_32_684 +*11513 FILLER_32_696 +*11514 FILLER_32_701 +*11515 FILLER_32_713 +*11516 FILLER_32_725 +*11517 FILLER_32_737 +*11518 FILLER_32_747 +*11519 FILLER_32_751 +*11520 FILLER_32_755 +*11521 FILLER_32_757 +*11522 FILLER_32_769 +*11523 FILLER_32_781 +*11524 FILLER_32_793 +*11525 FILLER_32_805 +*11526 FILLER_32_811 +*11527 FILLER_32_813 +*11528 FILLER_32_82 +*11529 FILLER_32_825 +*11530 FILLER_32_837 +*11531 FILLER_32_849 +*11532 FILLER_32_85 +*11533 FILLER_32_861 +*11534 FILLER_32_867 +*11535 FILLER_32_869 +*11536 FILLER_32_873 +*11537 FILLER_32_89 +*11538 FILLER_32_899 +*11539 FILLER_32_903 +*11540 FILLER_32_915 +*11541 FILLER_32_923 +*11542 FILLER_32_925 +*11543 FILLER_32_937 +*11544 FILLER_32_949 +*11545 FILLER_32_961 +*11546 FILLER_32_973 +*11547 FILLER_32_978 +*11548 FILLER_32_981 +*11549 FILLER_32_989 +*11550 FILLER_32_994 +*11551 FILLER_33_1006 +*11552 FILLER_33_1009 +*11553 FILLER_33_1021 +*11554 FILLER_33_1033 +*11555 FILLER_33_1045 +*11556 FILLER_33_105 +*11557 FILLER_33_1050 +*11558 FILLER_33_1062 +*11559 FILLER_33_1065 +*11560 FILLER_33_1077 +*11561 FILLER_33_1089 +*11562 FILLER_33_1101 +*11563 FILLER_33_111 +*11564 FILLER_33_1113 +*11565 FILLER_33_1119 +*11566 FILLER_33_1121 +*11567 FILLER_33_113 +*11568 FILLER_33_1133 +*11569 FILLER_33_1145 +*11570 FILLER_33_1157 +*11571 FILLER_33_1163 +*11572 FILLER_33_1168 +*11573 FILLER_33_1172 +*11574 FILLER_33_1177 +*11575 FILLER_33_1187 +*11576 FILLER_33_1191 +*11577 FILLER_33_1203 +*11578 FILLER_33_1215 +*11579 FILLER_33_1223 +*11580 FILLER_33_1227 +*11581 FILLER_33_1231 +*11582 FILLER_33_1233 +*11583 FILLER_33_1245 +*11584 FILLER_33_125 +*11585 FILLER_33_1257 +*11586 FILLER_33_1269 +*11587 FILLER_33_1281 +*11588 FILLER_33_1287 +*11589 FILLER_33_1289 +*11590 FILLER_33_1301 +*11591 FILLER_33_1313 +*11592 FILLER_33_1325 +*11593 FILLER_33_1337 +*11594 FILLER_33_1343 +*11595 FILLER_33_1345 +*11596 FILLER_33_1357 +*11597 FILLER_33_1365 +*11598 FILLER_33_137 +*11599 FILLER_33_1370 +*11600 FILLER_33_1392 +*11601 FILLER_33_1396 +*11602 FILLER_33_1401 +*11603 FILLER_33_1429 +*11604 FILLER_33_1433 +*11605 FILLER_33_1445 +*11606 FILLER_33_145 +*11607 FILLER_33_1453 +*11608 FILLER_33_1457 +*11609 FILLER_33_1469 +*11610 FILLER_33_1481 +*11611 FILLER_33_149 +*11612 FILLER_33_15 +*11613 FILLER_33_1507 +*11614 FILLER_33_1511 +*11615 FILLER_33_1513 +*11616 FILLER_33_1525 +*11617 FILLER_33_153 +*11618 FILLER_33_1537 +*11619 FILLER_33_1549 +*11620 FILLER_33_1561 +*11621 FILLER_33_1565 +*11622 FILLER_33_1569 +*11623 FILLER_33_1585 +*11624 FILLER_33_1590 +*11625 FILLER_33_1594 +*11626 FILLER_33_1598 +*11627 FILLER_33_1610 +*11628 FILLER_33_1622 +*11629 FILLER_33_1625 +*11630 FILLER_33_1637 +*11631 FILLER_33_1649 +*11632 FILLER_33_165 +*11633 FILLER_33_1661 +*11634 FILLER_33_1673 +*11635 FILLER_33_1679 +*11636 FILLER_33_1681 +*11637 FILLER_33_1685 +*11638 FILLER_33_169 +*11639 FILLER_33_1697 +*11640 FILLER_33_1709 +*11641 FILLER_33_1721 +*11642 FILLER_33_1733 +*11643 FILLER_33_1737 +*11644 FILLER_33_1751 +*11645 FILLER_33_1763 +*11646 FILLER_33_1775 +*11647 FILLER_33_1787 +*11648 FILLER_33_1791 +*11649 FILLER_33_1793 +*11650 FILLER_33_1805 +*11651 FILLER_33_181 +*11652 FILLER_33_1817 +*11653 FILLER_33_1825 +*11654 FILLER_33_1849 +*11655 FILLER_33_1853 +*11656 FILLER_33_1865 +*11657 FILLER_33_1877 +*11658 FILLER_33_1889 +*11659 FILLER_33_1901 +*11660 FILLER_33_1905 +*11661 FILLER_33_1917 +*11662 FILLER_33_1929 +*11663 FILLER_33_193 +*11664 FILLER_33_1941 +*11665 FILLER_33_1953 +*11666 FILLER_33_1959 +*11667 FILLER_33_1961 +*11668 FILLER_33_1973 +*11669 FILLER_33_1985 +*11670 FILLER_33_1996 +*11671 FILLER_33_2000 +*11672 FILLER_33_2012 +*11673 FILLER_33_2017 +*11674 FILLER_33_2029 +*11675 FILLER_33_2033 +*11676 FILLER_33_2037 +*11677 FILLER_33_2049 +*11678 FILLER_33_2061 +*11679 FILLER_33_2069 +*11680 FILLER_33_2073 +*11681 FILLER_33_2077 +*11682 FILLER_33_2083 +*11683 FILLER_33_2087 +*11684 FILLER_33_2090 +*11685 FILLER_33_2102 +*11686 FILLER_33_2108 +*11687 FILLER_33_2114 +*11688 FILLER_33_2118 +*11689 FILLER_33_2121 +*11690 FILLER_33_2127 +*11691 FILLER_33_2129 +*11692 FILLER_33_2141 +*11693 FILLER_33_2159 +*11694 FILLER_33_216 +*11695 FILLER_33_2163 +*11696 FILLER_33_2175 +*11697 FILLER_33_2183 +*11698 FILLER_33_2185 +*11699 FILLER_33_2197 +*11700 FILLER_33_220 +*11701 FILLER_33_2209 +*11702 FILLER_33_2221 +*11703 FILLER_33_2233 +*11704 FILLER_33_2239 +*11705 FILLER_33_2241 +*11706 FILLER_33_225 +*11707 FILLER_33_2269 +*11708 FILLER_33_2273 +*11709 FILLER_33_2277 +*11710 FILLER_33_2291 +*11711 FILLER_33_2295 +*11712 FILLER_33_2297 +*11713 FILLER_33_2301 +*11714 FILLER_33_2313 +*11715 FILLER_33_2325 +*11716 FILLER_33_233 +*11717 FILLER_33_2337 +*11718 FILLER_33_2349 +*11719 FILLER_33_2353 +*11720 FILLER_33_2361 +*11721 FILLER_33_239 +*11722 FILLER_33_243 +*11723 FILLER_33_255 +*11724 FILLER_33_265 +*11725 FILLER_33_27 +*11726 FILLER_33_277 +*11727 FILLER_33_281 +*11728 FILLER_33_293 +*11729 FILLER_33_3 +*11730 FILLER_33_305 +*11731 FILLER_33_317 +*11732 FILLER_33_329 +*11733 FILLER_33_335 +*11734 FILLER_33_337 +*11735 FILLER_33_349 +*11736 FILLER_33_361 +*11737 FILLER_33_373 +*11738 FILLER_33_385 +*11739 FILLER_33_389 +*11740 FILLER_33_39 +*11741 FILLER_33_411 +*11742 FILLER_33_415 +*11743 FILLER_33_419 +*11744 FILLER_33_431 +*11745 FILLER_33_443 +*11746 FILLER_33_447 +*11747 FILLER_33_449 +*11748 FILLER_33_461 +*11749 FILLER_33_473 +*11750 FILLER_33_481 +*11751 FILLER_33_505 +*11752 FILLER_33_509 +*11753 FILLER_33_51 +*11754 FILLER_33_521 +*11755 FILLER_33_533 +*11756 FILLER_33_545 +*11757 FILLER_33_55 +*11758 FILLER_33_552 +*11759 FILLER_33_556 +*11760 FILLER_33_561 +*11761 FILLER_33_57 +*11762 FILLER_33_573 +*11763 FILLER_33_585 +*11764 FILLER_33_597 +*11765 FILLER_33_609 +*11766 FILLER_33_615 +*11767 FILLER_33_617 +*11768 FILLER_33_629 +*11769 FILLER_33_641 +*11770 FILLER_33_669 +*11771 FILLER_33_673 +*11772 FILLER_33_677 +*11773 FILLER_33_69 +*11774 FILLER_33_712 +*11775 FILLER_33_716 +*11776 FILLER_33_720 +*11777 FILLER_33_726 +*11778 FILLER_33_729 +*11779 FILLER_33_73 +*11780 FILLER_33_733 +*11781 FILLER_33_745 +*11782 FILLER_33_757 +*11783 FILLER_33_769 +*11784 FILLER_33_77 +*11785 FILLER_33_781 +*11786 FILLER_33_796 +*11787 FILLER_33_800 +*11788 FILLER_33_804 +*11789 FILLER_33_81 +*11790 FILLER_33_816 +*11791 FILLER_33_828 +*11792 FILLER_33_841 +*11793 FILLER_33_849 +*11794 FILLER_33_856 +*11795 FILLER_33_860 +*11796 FILLER_33_872 +*11797 FILLER_33_884 +*11798 FILLER_33_897 +*11799 FILLER_33_909 +*11800 FILLER_33_921 +*11801 FILLER_33_93 +*11802 FILLER_33_933 +*11803 FILLER_33_945 +*11804 FILLER_33_949 +*11805 FILLER_33_953 +*11806 FILLER_33_963 +*11807 FILLER_33_967 +*11808 FILLER_33_971 +*11809 FILLER_33_978 +*11810 FILLER_33_982 +*11811 FILLER_33_994 +*11812 FILLER_34_1003 +*11813 FILLER_34_1015 +*11814 FILLER_34_1027 +*11815 FILLER_34_1035 +*11816 FILLER_34_1037 +*11817 FILLER_34_1049 +*11818 FILLER_34_1061 +*11819 FILLER_34_1086 +*11820 FILLER_34_109 +*11821 FILLER_34_1090 +*11822 FILLER_34_1093 +*11823 FILLER_34_1105 +*11824 FILLER_34_1117 +*11825 FILLER_34_1129 +*11826 FILLER_34_1145 +*11827 FILLER_34_1149 +*11828 FILLER_34_1158 +*11829 FILLER_34_1162 +*11830 FILLER_34_1174 +*11831 FILLER_34_1180 +*11832 FILLER_34_1183 +*11833 FILLER_34_1195 +*11834 FILLER_34_1203 +*11835 FILLER_34_1205 +*11836 FILLER_34_121 +*11837 FILLER_34_1246 +*11838 FILLER_34_1250 +*11839 FILLER_34_1258 +*11840 FILLER_34_1261 +*11841 FILLER_34_1273 +*11842 FILLER_34_1277 +*11843 FILLER_34_1280 +*11844 FILLER_34_1286 +*11845 FILLER_34_1290 +*11846 FILLER_34_1294 +*11847 FILLER_34_1306 +*11848 FILLER_34_1314 +*11849 FILLER_34_1317 +*11850 FILLER_34_1329 +*11851 FILLER_34_133 +*11852 FILLER_34_1361 +*11853 FILLER_34_1365 +*11854 FILLER_34_1371 +*11855 FILLER_34_1373 +*11856 FILLER_34_1385 +*11857 FILLER_34_139 +*11858 FILLER_34_1397 +*11859 FILLER_34_1409 +*11860 FILLER_34_141 +*11861 FILLER_34_1421 +*11862 FILLER_34_1427 +*11863 FILLER_34_1429 +*11864 FILLER_34_1441 +*11865 FILLER_34_1453 +*11866 FILLER_34_1465 +*11867 FILLER_34_1477 +*11868 FILLER_34_1483 +*11869 FILLER_34_1485 +*11870 FILLER_34_1497 +*11871 FILLER_34_15 +*11872 FILLER_34_1509 +*11873 FILLER_34_1521 +*11874 FILLER_34_1533 +*11875 FILLER_34_1537 +*11876 FILLER_34_1559 +*11877 FILLER_34_1563 +*11878 FILLER_34_1572 +*11879 FILLER_34_1576 +*11880 FILLER_34_1588 +*11881 FILLER_34_1593 +*11882 FILLER_34_1597 +*11883 FILLER_34_1601 +*11884 FILLER_34_1613 +*11885 FILLER_34_1625 +*11886 FILLER_34_1633 +*11887 FILLER_34_1643 +*11888 FILLER_34_1647 +*11889 FILLER_34_1660 +*11890 FILLER_34_1664 +*11891 FILLER_34_167 +*11892 FILLER_34_1679 +*11893 FILLER_34_1683 +*11894 FILLER_34_1695 +*11895 FILLER_34_1707 +*11896 FILLER_34_1709 +*11897 FILLER_34_171 +*11898 FILLER_34_1721 +*11899 FILLER_34_1729 +*11900 FILLER_34_1735 +*11901 FILLER_34_1739 +*11902 FILLER_34_1751 +*11903 FILLER_34_1763 +*11904 FILLER_34_1765 +*11905 FILLER_34_1777 +*11906 FILLER_34_1789 +*11907 FILLER_34_1793 +*11908 FILLER_34_1807 +*11909 FILLER_34_1811 +*11910 FILLER_34_1817 +*11911 FILLER_34_183 +*11912 FILLER_34_1832 +*11913 FILLER_34_1836 +*11914 FILLER_34_1848 +*11915 FILLER_34_1860 +*11916 FILLER_34_1872 +*11917 FILLER_34_1877 +*11918 FILLER_34_1889 +*11919 FILLER_34_1893 +*11920 FILLER_34_1897 +*11921 FILLER_34_1912 +*11922 FILLER_34_1916 +*11923 FILLER_34_1928 +*11924 FILLER_34_1933 +*11925 FILLER_34_1945 +*11926 FILLER_34_195 +*11927 FILLER_34_1953 +*11928 FILLER_34_1956 +*11929 FILLER_34_1968 +*11930 FILLER_34_197 +*11931 FILLER_34_1974 +*11932 FILLER_34_1977 +*11933 FILLER_34_1985 +*11934 FILLER_34_1989 +*11935 FILLER_34_2001 +*11936 FILLER_34_2013 +*11937 FILLER_34_2025 +*11938 FILLER_34_2037 +*11939 FILLER_34_2043 +*11940 FILLER_34_2045 +*11941 FILLER_34_2062 +*11942 FILLER_34_2066 +*11943 FILLER_34_2078 +*11944 FILLER_34_209 +*11945 FILLER_34_2090 +*11946 FILLER_34_2096 +*11947 FILLER_34_2099 +*11948 FILLER_34_2101 +*11949 FILLER_34_2105 +*11950 FILLER_34_2127 +*11951 FILLER_34_2131 +*11952 FILLER_34_2143 +*11953 FILLER_34_2155 +*11954 FILLER_34_2157 +*11955 FILLER_34_2169 +*11956 FILLER_34_2181 +*11957 FILLER_34_2193 +*11958 FILLER_34_2205 +*11959 FILLER_34_221 +*11960 FILLER_34_2211 +*11961 FILLER_34_2213 +*11962 FILLER_34_2219 +*11963 FILLER_34_2222 +*11964 FILLER_34_2228 +*11965 FILLER_34_2231 +*11966 FILLER_34_2237 +*11967 FILLER_34_2251 +*11968 FILLER_34_2255 +*11969 FILLER_34_2267 +*11970 FILLER_34_2269 +*11971 FILLER_34_2281 +*11972 FILLER_34_2287 +*11973 FILLER_34_2303 +*11974 FILLER_34_2307 +*11975 FILLER_34_2319 +*11976 FILLER_34_2323 +*11977 FILLER_34_2325 +*11978 FILLER_34_233 +*11979 FILLER_34_2337 +*11980 FILLER_34_2349 +*11981 FILLER_34_2361 +*11982 FILLER_34_245 +*11983 FILLER_34_251 +*11984 FILLER_34_253 +*11985 FILLER_34_264 +*11986 FILLER_34_268 +*11987 FILLER_34_27 +*11988 FILLER_34_278 +*11989 FILLER_34_282 +*11990 FILLER_34_29 +*11991 FILLER_34_294 +*11992 FILLER_34_297 +*11993 FILLER_34_3 +*11994 FILLER_34_303 +*11995 FILLER_34_307 +*11996 FILLER_34_309 +*11997 FILLER_34_313 +*11998 FILLER_34_325 +*11999 FILLER_34_337 +*12000 FILLER_34_349 +*12001 FILLER_34_361 +*12002 FILLER_34_365 +*12003 FILLER_34_371 +*12004 FILLER_34_374 +*12005 FILLER_34_386 +*12006 FILLER_34_398 +*12007 FILLER_34_41 +*12008 FILLER_34_410 +*12009 FILLER_34_418 +*12010 FILLER_34_421 +*12011 FILLER_34_433 +*12012 FILLER_34_445 +*12013 FILLER_34_457 +*12014 FILLER_34_469 +*12015 FILLER_34_475 +*12016 FILLER_34_477 +*12017 FILLER_34_489 +*12018 FILLER_34_501 +*12019 FILLER_34_513 +*12020 FILLER_34_525 +*12021 FILLER_34_531 +*12022 FILLER_34_533 +*12023 FILLER_34_545 +*12024 FILLER_34_557 +*12025 FILLER_34_569 +*12026 FILLER_34_581 +*12027 FILLER_34_585 +*12028 FILLER_34_607 +*12029 FILLER_34_614 +*12030 FILLER_34_618 +*12031 FILLER_34_62 +*12032 FILLER_34_628 +*12033 FILLER_34_632 +*12034 FILLER_34_645 +*12035 FILLER_34_653 +*12036 FILLER_34_658 +*12037 FILLER_34_66 +*12038 FILLER_34_670 +*12039 FILLER_34_682 +*12040 FILLER_34_694 +*12041 FILLER_34_701 +*12042 FILLER_34_713 +*12043 FILLER_34_72 +*12044 FILLER_34_722 +*12045 FILLER_34_726 +*12046 FILLER_34_738 +*12047 FILLER_34_757 +*12048 FILLER_34_76 +*12049 FILLER_34_761 +*12050 FILLER_34_793 +*12051 FILLER_34_813 +*12052 FILLER_34_817 +*12053 FILLER_34_829 +*12054 FILLER_34_836 +*12055 FILLER_34_840 +*12056 FILLER_34_85 +*12057 FILLER_34_852 +*12058 FILLER_34_863 +*12059 FILLER_34_867 +*12060 FILLER_34_869 +*12061 FILLER_34_887 +*12062 FILLER_34_891 +*12063 FILLER_34_903 +*12064 FILLER_34_911 +*12065 FILLER_34_922 +*12066 FILLER_34_925 +*12067 FILLER_34_929 +*12068 FILLER_34_941 +*12069 FILLER_34_953 +*12070 FILLER_34_965 +*12071 FILLER_34_97 +*12072 FILLER_34_977 +*12073 FILLER_34_987 +*12074 FILLER_34_991 +*12075 FILLER_35_1004 +*12076 FILLER_35_1009 +*12077 FILLER_35_1013 +*12078 FILLER_35_1025 +*12079 FILLER_35_1037 +*12080 FILLER_35_1049 +*12081 FILLER_35_1061 +*12082 FILLER_35_1065 +*12083 FILLER_35_108 +*12084 FILLER_35_1091 +*12085 FILLER_35_1095 +*12086 FILLER_35_1107 +*12087 FILLER_35_1119 +*12088 FILLER_35_1121 +*12089 FILLER_35_113 +*12090 FILLER_35_1133 +*12091 FILLER_35_1141 +*12092 FILLER_35_1145 +*12093 FILLER_35_1157 +*12094 FILLER_35_1169 +*12095 FILLER_35_1175 +*12096 FILLER_35_1183 +*12097 FILLER_35_1187 +*12098 FILLER_35_1199 +*12099 FILLER_35_1211 +*12100 FILLER_35_1219 +*12101 FILLER_35_1222 +*12102 FILLER_35_1230 +*12103 FILLER_35_1233 +*12104 FILLER_35_1245 +*12105 FILLER_35_125 +*12106 FILLER_35_1257 +*12107 FILLER_35_1269 +*12108 FILLER_35_1273 +*12109 FILLER_35_1280 +*12110 FILLER_35_1284 +*12111 FILLER_35_1289 +*12112 FILLER_35_1301 +*12113 FILLER_35_1313 +*12114 FILLER_35_1325 +*12115 FILLER_35_1337 +*12116 FILLER_35_1343 +*12117 FILLER_35_1345 +*12118 FILLER_35_1353 +*12119 FILLER_35_1375 +*12120 FILLER_35_1379 +*12121 FILLER_35_1391 +*12122 FILLER_35_1399 +*12123 FILLER_35_1401 +*12124 FILLER_35_1413 +*12125 FILLER_35_1425 +*12126 FILLER_35_1437 +*12127 FILLER_35_1449 +*12128 FILLER_35_1455 +*12129 FILLER_35_1457 +*12130 FILLER_35_1469 +*12131 FILLER_35_147 +*12132 FILLER_35_1481 +*12133 FILLER_35_1493 +*12134 FILLER_35_15 +*12135 FILLER_35_1505 +*12136 FILLER_35_1511 +*12137 FILLER_35_1513 +*12138 FILLER_35_1525 +*12139 FILLER_35_1537 +*12140 FILLER_35_1549 +*12141 FILLER_35_1561 +*12142 FILLER_35_1567 +*12143 FILLER_35_1569 +*12144 FILLER_35_1581 +*12145 FILLER_35_1593 +*12146 FILLER_35_1605 +*12147 FILLER_35_1617 +*12148 FILLER_35_1623 +*12149 FILLER_35_1625 +*12150 FILLER_35_1637 +*12151 FILLER_35_1649 +*12152 FILLER_35_1661 +*12153 FILLER_35_1667 +*12154 FILLER_35_1671 +*12155 FILLER_35_1679 +*12156 FILLER_35_1681 +*12157 FILLER_35_169 +*12158 FILLER_35_1693 +*12159 FILLER_35_1705 +*12160 FILLER_35_1713 +*12161 FILLER_35_1729 +*12162 FILLER_35_173 +*12163 FILLER_35_1733 +*12164 FILLER_35_1737 +*12165 FILLER_35_1749 +*12166 FILLER_35_1761 +*12167 FILLER_35_1773 +*12168 FILLER_35_1785 +*12169 FILLER_35_1791 +*12170 FILLER_35_1793 +*12171 FILLER_35_1805 +*12172 FILLER_35_1817 +*12173 FILLER_35_1829 +*12174 FILLER_35_1841 +*12175 FILLER_35_1847 +*12176 FILLER_35_1849 +*12177 FILLER_35_185 +*12178 FILLER_35_1861 +*12179 FILLER_35_1873 +*12180 FILLER_35_1885 +*12181 FILLER_35_1897 +*12182 FILLER_35_1903 +*12183 FILLER_35_1905 +*12184 FILLER_35_1917 +*12185 FILLER_35_1929 +*12186 FILLER_35_1941 +*12187 FILLER_35_1949 +*12188 FILLER_35_1956 +*12189 FILLER_35_1961 +*12190 FILLER_35_1969 +*12191 FILLER_35_197 +*12192 FILLER_35_1977 +*12193 FILLER_35_1981 +*12194 FILLER_35_1993 +*12195 FILLER_35_2005 +*12196 FILLER_35_2013 +*12197 FILLER_35_2017 +*12198 FILLER_35_202 +*12199 FILLER_35_2032 +*12200 FILLER_35_2036 +*12201 FILLER_35_2048 +*12202 FILLER_35_2060 +*12203 FILLER_35_2073 +*12204 FILLER_35_2077 +*12205 FILLER_35_208 +*12206 FILLER_35_2089 +*12207 FILLER_35_2095 +*12208 FILLER_35_2112 +*12209 FILLER_35_2116 +*12210 FILLER_35_212 +*12211 FILLER_35_2124 +*12212 FILLER_35_2127 +*12213 FILLER_35_2129 +*12214 FILLER_35_2137 +*12215 FILLER_35_2141 +*12216 FILLER_35_2145 +*12217 FILLER_35_2157 +*12218 FILLER_35_2169 +*12219 FILLER_35_2181 +*12220 FILLER_35_2185 +*12221 FILLER_35_2197 +*12222 FILLER_35_2205 +*12223 FILLER_35_2209 +*12224 FILLER_35_2213 +*12225 FILLER_35_2229 +*12226 FILLER_35_2237 +*12227 FILLER_35_2241 +*12228 FILLER_35_225 +*12229 FILLER_35_2253 +*12230 FILLER_35_2265 +*12231 FILLER_35_2277 +*12232 FILLER_35_2289 +*12233 FILLER_35_2295 +*12234 FILLER_35_2297 +*12235 FILLER_35_2309 +*12236 FILLER_35_2321 +*12237 FILLER_35_2333 +*12238 FILLER_35_2345 +*12239 FILLER_35_2351 +*12240 FILLER_35_2353 +*12241 FILLER_35_2361 +*12242 FILLER_35_237 +*12243 FILLER_35_249 +*12244 FILLER_35_255 +*12245 FILLER_35_27 +*12246 FILLER_35_276 +*12247 FILLER_35_284 +*12248 FILLER_35_288 +*12249 FILLER_35_292 +*12250 FILLER_35_3 +*12251 FILLER_35_304 +*12252 FILLER_35_313 +*12253 FILLER_35_317 +*12254 FILLER_35_329 +*12255 FILLER_35_335 +*12256 FILLER_35_340 +*12257 FILLER_35_344 +*12258 FILLER_35_356 +*12259 FILLER_35_383 +*12260 FILLER_35_387 +*12261 FILLER_35_39 +*12262 FILLER_35_391 +*12263 FILLER_35_393 +*12264 FILLER_35_415 +*12265 FILLER_35_419 +*12266 FILLER_35_431 +*12267 FILLER_35_443 +*12268 FILLER_35_447 +*12269 FILLER_35_449 +*12270 FILLER_35_461 +*12271 FILLER_35_473 +*12272 FILLER_35_485 +*12273 FILLER_35_497 +*12274 FILLER_35_503 +*12275 FILLER_35_505 +*12276 FILLER_35_51 +*12277 FILLER_35_517 +*12278 FILLER_35_529 +*12279 FILLER_35_541 +*12280 FILLER_35_548 +*12281 FILLER_35_55 +*12282 FILLER_35_552 +*12283 FILLER_35_561 +*12284 FILLER_35_569 +*12285 FILLER_35_57 +*12286 FILLER_35_573 +*12287 FILLER_35_585 +*12288 FILLER_35_597 +*12289 FILLER_35_609 +*12290 FILLER_35_615 +*12291 FILLER_35_617 +*12292 FILLER_35_633 +*12293 FILLER_35_637 +*12294 FILLER_35_649 +*12295 FILLER_35_661 +*12296 FILLER_35_669 +*12297 FILLER_35_673 +*12298 FILLER_35_685 +*12299 FILLER_35_69 +*12300 FILLER_35_697 +*12301 FILLER_35_703 +*12302 FILLER_35_706 +*12303 FILLER_35_718 +*12304 FILLER_35_722 +*12305 FILLER_35_729 +*12306 FILLER_35_741 +*12307 FILLER_35_749 +*12308 FILLER_35_75 +*12309 FILLER_35_752 +*12310 FILLER_35_764 +*12311 FILLER_35_776 +*12312 FILLER_35_785 +*12313 FILLER_35_789 +*12314 FILLER_35_79 +*12315 FILLER_35_793 +*12316 FILLER_35_797 +*12317 FILLER_35_801 +*12318 FILLER_35_813 +*12319 FILLER_35_825 +*12320 FILLER_35_83 +*12321 FILLER_35_837 +*12322 FILLER_35_841 +*12323 FILLER_35_853 +*12324 FILLER_35_865 +*12325 FILLER_35_87 +*12326 FILLER_35_877 +*12327 FILLER_35_883 +*12328 FILLER_35_895 +*12329 FILLER_35_897 +*12330 FILLER_35_903 +*12331 FILLER_35_906 +*12332 FILLER_35_918 +*12333 FILLER_35_92 +*12334 FILLER_35_926 +*12335 FILLER_35_947 +*12336 FILLER_35_951 +*12337 FILLER_35_953 +*12338 FILLER_35_96 +*12339 FILLER_35_965 +*12340 FILLER_35_972 +*12341 FILLER_35_979 +*12342 FILLER_35_983 +*12343 FILLER_35_987 +*12344 FILLER_36_1007 +*12345 FILLER_36_1019 +*12346 FILLER_36_1031 +*12347 FILLER_36_1035 +*12348 FILLER_36_1037 +*12349 FILLER_36_1049 +*12350 FILLER_36_1061 +*12351 FILLER_36_1073 +*12352 FILLER_36_1085 +*12353 FILLER_36_109 +*12354 FILLER_36_1091 +*12355 FILLER_36_1093 +*12356 FILLER_36_1105 +*12357 FILLER_36_1117 +*12358 FILLER_36_1129 +*12359 FILLER_36_1141 +*12360 FILLER_36_1147 +*12361 FILLER_36_1149 +*12362 FILLER_36_1158 +*12363 FILLER_36_1162 +*12364 FILLER_36_1174 +*12365 FILLER_36_1186 +*12366 FILLER_36_1198 +*12367 FILLER_36_121 +*12368 FILLER_36_1234 +*12369 FILLER_36_1238 +*12370 FILLER_36_1244 +*12371 FILLER_36_1248 +*12372 FILLER_36_1252 +*12373 FILLER_36_1261 +*12374 FILLER_36_1265 +*12375 FILLER_36_1277 +*12376 FILLER_36_1281 +*12377 FILLER_36_1285 +*12378 FILLER_36_1289 +*12379 FILLER_36_1293 +*12380 FILLER_36_1305 +*12381 FILLER_36_1313 +*12382 FILLER_36_1317 +*12383 FILLER_36_1329 +*12384 FILLER_36_133 +*12385 FILLER_36_1341 +*12386 FILLER_36_1353 +*12387 FILLER_36_1365 +*12388 FILLER_36_1369 +*12389 FILLER_36_1373 +*12390 FILLER_36_1377 +*12391 FILLER_36_1389 +*12392 FILLER_36_139 +*12393 FILLER_36_1401 +*12394 FILLER_36_141 +*12395 FILLER_36_1413 +*12396 FILLER_36_1429 +*12397 FILLER_36_1433 +*12398 FILLER_36_1445 +*12399 FILLER_36_1457 +*12400 FILLER_36_1469 +*12401 FILLER_36_147 +*12402 FILLER_36_1475 +*12403 FILLER_36_1481 +*12404 FILLER_36_1496 +*12405 FILLER_36_15 +*12406 FILLER_36_1500 +*12407 FILLER_36_1512 +*12408 FILLER_36_1524 +*12409 FILLER_36_153 +*12410 FILLER_36_1536 +*12411 FILLER_36_1541 +*12412 FILLER_36_1553 +*12413 FILLER_36_1558 +*12414 FILLER_36_1562 +*12415 FILLER_36_1574 +*12416 FILLER_36_1586 +*12417 FILLER_36_1608 +*12418 FILLER_36_1612 +*12419 FILLER_36_1624 +*12420 FILLER_36_1636 +*12421 FILLER_36_1648 +*12422 FILLER_36_165 +*12423 FILLER_36_1653 +*12424 FILLER_36_1665 +*12425 FILLER_36_1672 +*12426 FILLER_36_1676 +*12427 FILLER_36_1688 +*12428 FILLER_36_1700 +*12429 FILLER_36_1709 +*12430 FILLER_36_1721 +*12431 FILLER_36_1733 +*12432 FILLER_36_1744 +*12433 FILLER_36_1748 +*12434 FILLER_36_1760 +*12435 FILLER_36_1765 +*12436 FILLER_36_177 +*12437 FILLER_36_1773 +*12438 FILLER_36_1777 +*12439 FILLER_36_1789 +*12440 FILLER_36_1801 +*12441 FILLER_36_1813 +*12442 FILLER_36_1819 +*12443 FILLER_36_1821 +*12444 FILLER_36_1833 +*12445 FILLER_36_1845 +*12446 FILLER_36_1857 +*12447 FILLER_36_1869 +*12448 FILLER_36_1875 +*12449 FILLER_36_1877 +*12450 FILLER_36_1889 +*12451 FILLER_36_189 +*12452 FILLER_36_1901 +*12453 FILLER_36_1913 +*12454 FILLER_36_1925 +*12455 FILLER_36_1931 +*12456 FILLER_36_1933 +*12457 FILLER_36_1948 +*12458 FILLER_36_195 +*12459 FILLER_36_1952 +*12460 FILLER_36_1956 +*12461 FILLER_36_1968 +*12462 FILLER_36_197 +*12463 FILLER_36_1976 +*12464 FILLER_36_1987 +*12465 FILLER_36_1992 +*12466 FILLER_36_1996 +*12467 FILLER_36_2000 +*12468 FILLER_36_2012 +*12469 FILLER_36_2024 +*12470 FILLER_36_2036 +*12471 FILLER_36_2045 +*12472 FILLER_36_2057 +*12473 FILLER_36_2069 +*12474 FILLER_36_2073 +*12475 FILLER_36_2077 +*12476 FILLER_36_2092 +*12477 FILLER_36_2096 +*12478 FILLER_36_2101 +*12479 FILLER_36_2105 +*12480 FILLER_36_2109 +*12481 FILLER_36_2121 +*12482 FILLER_36_2133 +*12483 FILLER_36_2145 +*12484 FILLER_36_2153 +*12485 FILLER_36_2157 +*12486 FILLER_36_2169 +*12487 FILLER_36_217 +*12488 FILLER_36_2184 +*12489 FILLER_36_2188 +*12490 FILLER_36_2200 +*12491 FILLER_36_221 +*12492 FILLER_36_2213 +*12493 FILLER_36_2225 +*12494 FILLER_36_2231 +*12495 FILLER_36_2234 +*12496 FILLER_36_2246 +*12497 FILLER_36_2258 +*12498 FILLER_36_2266 +*12499 FILLER_36_2269 +*12500 FILLER_36_2281 +*12501 FILLER_36_2291 +*12502 FILLER_36_2295 +*12503 FILLER_36_2307 +*12504 FILLER_36_2319 +*12505 FILLER_36_2323 +*12506 FILLER_36_2325 +*12507 FILLER_36_233 +*12508 FILLER_36_2337 +*12509 FILLER_36_2349 +*12510 FILLER_36_2357 +*12511 FILLER_36_245 +*12512 FILLER_36_251 +*12513 FILLER_36_253 +*12514 FILLER_36_265 +*12515 FILLER_36_27 +*12516 FILLER_36_277 +*12517 FILLER_36_289 +*12518 FILLER_36_29 +*12519 FILLER_36_3 +*12520 FILLER_36_301 +*12521 FILLER_36_307 +*12522 FILLER_36_309 +*12523 FILLER_36_321 +*12524 FILLER_36_333 +*12525 FILLER_36_345 +*12526 FILLER_36_353 +*12527 FILLER_36_358 +*12528 FILLER_36_362 +*12529 FILLER_36_365 +*12530 FILLER_36_369 +*12531 FILLER_36_372 +*12532 FILLER_36_384 +*12533 FILLER_36_396 +*12534 FILLER_36_408 +*12535 FILLER_36_41 +*12536 FILLER_36_421 +*12537 FILLER_36_429 +*12538 FILLER_36_435 +*12539 FILLER_36_439 +*12540 FILLER_36_451 +*12541 FILLER_36_463 +*12542 FILLER_36_475 +*12543 FILLER_36_477 +*12544 FILLER_36_489 +*12545 FILLER_36_501 +*12546 FILLER_36_518 +*12547 FILLER_36_522 +*12548 FILLER_36_528 +*12549 FILLER_36_53 +*12550 FILLER_36_533 +*12551 FILLER_36_545 +*12552 FILLER_36_557 +*12553 FILLER_36_569 +*12554 FILLER_36_581 +*12555 FILLER_36_585 +*12556 FILLER_36_59 +*12557 FILLER_36_600 +*12558 FILLER_36_604 +*12559 FILLER_36_616 +*12560 FILLER_36_624 +*12561 FILLER_36_629 +*12562 FILLER_36_633 +*12563 FILLER_36_641 +*12564 FILLER_36_649 +*12565 FILLER_36_653 +*12566 FILLER_36_665 +*12567 FILLER_36_677 +*12568 FILLER_36_689 +*12569 FILLER_36_697 +*12570 FILLER_36_701 +*12571 FILLER_36_721 +*12572 FILLER_36_725 +*12573 FILLER_36_749 +*12574 FILLER_36_753 +*12575 FILLER_36_757 +*12576 FILLER_36_765 +*12577 FILLER_36_771 +*12578 FILLER_36_775 +*12579 FILLER_36_787 +*12580 FILLER_36_799 +*12581 FILLER_36_80 +*12582 FILLER_36_811 +*12583 FILLER_36_813 +*12584 FILLER_36_825 +*12585 FILLER_36_835 +*12586 FILLER_36_839 +*12587 FILLER_36_85 +*12588 FILLER_36_851 +*12589 FILLER_36_863 +*12590 FILLER_36_867 +*12591 FILLER_36_869 +*12592 FILLER_36_881 +*12593 FILLER_36_893 +*12594 FILLER_36_897 +*12595 FILLER_36_913 +*12596 FILLER_36_917 +*12597 FILLER_36_923 +*12598 FILLER_36_925 +*12599 FILLER_36_937 +*12600 FILLER_36_961 +*12601 FILLER_36_965 +*12602 FILLER_36_97 +*12603 FILLER_36_977 +*12604 FILLER_36_981 +*12605 FILLER_36_985 +*12606 FILLER_36_991 +*12607 FILLER_36_995 +*12608 FILLER_37_1002 +*12609 FILLER_37_1006 +*12610 FILLER_37_1009 +*12611 FILLER_37_1021 +*12612 FILLER_37_1033 +*12613 FILLER_37_1039 +*12614 FILLER_37_105 +*12615 FILLER_37_1060 +*12616 FILLER_37_1065 +*12617 FILLER_37_1077 +*12618 FILLER_37_1089 +*12619 FILLER_37_1101 +*12620 FILLER_37_1107 +*12621 FILLER_37_111 +*12622 FILLER_37_1119 +*12623 FILLER_37_1121 +*12624 FILLER_37_113 +*12625 FILLER_37_1133 +*12626 FILLER_37_1145 +*12627 FILLER_37_1171 +*12628 FILLER_37_1175 +*12629 FILLER_37_1177 +*12630 FILLER_37_1189 +*12631 FILLER_37_1206 +*12632 FILLER_37_1210 +*12633 FILLER_37_1222 +*12634 FILLER_37_1225 +*12635 FILLER_37_1231 +*12636 FILLER_37_1233 +*12637 FILLER_37_1245 +*12638 FILLER_37_125 +*12639 FILLER_37_1253 +*12640 FILLER_37_1262 +*12641 FILLER_37_1266 +*12642 FILLER_37_1278 +*12643 FILLER_37_1289 +*12644 FILLER_37_1293 +*12645 FILLER_37_1305 +*12646 FILLER_37_1317 +*12647 FILLER_37_1329 +*12648 FILLER_37_1341 +*12649 FILLER_37_1345 +*12650 FILLER_37_1357 +*12651 FILLER_37_137 +*12652 FILLER_37_1390 +*12653 FILLER_37_1394 +*12654 FILLER_37_1401 +*12655 FILLER_37_1413 +*12656 FILLER_37_1425 +*12657 FILLER_37_1437 +*12658 FILLER_37_1449 +*12659 FILLER_37_1455 +*12660 FILLER_37_1457 +*12661 FILLER_37_1475 +*12662 FILLER_37_1479 +*12663 FILLER_37_149 +*12664 FILLER_37_1491 +*12665 FILLER_37_15 +*12666 FILLER_37_1503 +*12667 FILLER_37_1511 +*12668 FILLER_37_1513 +*12669 FILLER_37_1524 +*12670 FILLER_37_1528 +*12671 FILLER_37_1536 +*12672 FILLER_37_1558 +*12673 FILLER_37_1562 +*12674 FILLER_37_1580 +*12675 FILLER_37_1584 +*12676 FILLER_37_1596 +*12677 FILLER_37_1608 +*12678 FILLER_37_161 +*12679 FILLER_37_1620 +*12680 FILLER_37_1625 +*12681 FILLER_37_1637 +*12682 FILLER_37_1649 +*12683 FILLER_37_1661 +*12684 FILLER_37_1667 +*12685 FILLER_37_167 +*12686 FILLER_37_1677 +*12687 FILLER_37_1681 +*12688 FILLER_37_1685 +*12689 FILLER_37_169 +*12690 FILLER_37_1697 +*12691 FILLER_37_1712 +*12692 FILLER_37_1716 +*12693 FILLER_37_1728 +*12694 FILLER_37_1737 +*12695 FILLER_37_1749 +*12696 FILLER_37_1761 +*12697 FILLER_37_1773 +*12698 FILLER_37_1785 +*12699 FILLER_37_1791 +*12700 FILLER_37_1793 +*12701 FILLER_37_1805 +*12702 FILLER_37_181 +*12703 FILLER_37_1817 +*12704 FILLER_37_1829 +*12705 FILLER_37_1841 +*12706 FILLER_37_1847 +*12707 FILLER_37_1849 +*12708 FILLER_37_1861 +*12709 FILLER_37_1873 +*12710 FILLER_37_1885 +*12711 FILLER_37_1897 +*12712 FILLER_37_1903 +*12713 FILLER_37_1905 +*12714 FILLER_37_1917 +*12715 FILLER_37_1929 +*12716 FILLER_37_193 +*12717 FILLER_37_1941 +*12718 FILLER_37_1947 +*12719 FILLER_37_1954 +*12720 FILLER_37_1958 +*12721 FILLER_37_1961 +*12722 FILLER_37_1973 +*12723 FILLER_37_1985 +*12724 FILLER_37_1994 +*12725 FILLER_37_1998 +*12726 FILLER_37_2010 +*12727 FILLER_37_2017 +*12728 FILLER_37_2029 +*12729 FILLER_37_2041 +*12730 FILLER_37_205 +*12731 FILLER_37_2053 +*12732 FILLER_37_2065 +*12733 FILLER_37_2071 +*12734 FILLER_37_2073 +*12735 FILLER_37_2085 +*12736 FILLER_37_2097 +*12737 FILLER_37_2101 +*12738 FILLER_37_2105 +*12739 FILLER_37_2117 +*12740 FILLER_37_2125 +*12741 FILLER_37_2143 +*12742 FILLER_37_2147 +*12743 FILLER_37_2151 +*12744 FILLER_37_2163 +*12745 FILLER_37_217 +*12746 FILLER_37_2175 +*12747 FILLER_37_2183 +*12748 FILLER_37_2185 +*12749 FILLER_37_2197 +*12750 FILLER_37_2209 +*12751 FILLER_37_2221 +*12752 FILLER_37_223 +*12753 FILLER_37_2233 +*12754 FILLER_37_2236 +*12755 FILLER_37_2241 +*12756 FILLER_37_225 +*12757 FILLER_37_2253 +*12758 FILLER_37_2265 +*12759 FILLER_37_2277 +*12760 FILLER_37_2289 +*12761 FILLER_37_2293 +*12762 FILLER_37_2304 +*12763 FILLER_37_2308 +*12764 FILLER_37_2320 +*12765 FILLER_37_2332 +*12766 FILLER_37_2344 +*12767 FILLER_37_2353 +*12768 FILLER_37_2361 +*12769 FILLER_37_237 +*12770 FILLER_37_249 +*12771 FILLER_37_261 +*12772 FILLER_37_27 +*12773 FILLER_37_273 +*12774 FILLER_37_279 +*12775 FILLER_37_281 +*12776 FILLER_37_285 +*12777 FILLER_37_297 +*12778 FILLER_37_3 +*12779 FILLER_37_305 +*12780 FILLER_37_320 +*12781 FILLER_37_324 +*12782 FILLER_37_337 +*12783 FILLER_37_349 +*12784 FILLER_37_361 +*12785 FILLER_37_373 +*12786 FILLER_37_388 +*12787 FILLER_37_39 +*12788 FILLER_37_393 +*12789 FILLER_37_405 +*12790 FILLER_37_417 +*12791 FILLER_37_438 +*12792 FILLER_37_442 +*12793 FILLER_37_449 +*12794 FILLER_37_461 +*12795 FILLER_37_473 +*12796 FILLER_37_485 +*12797 FILLER_37_497 +*12798 FILLER_37_503 +*12799 FILLER_37_505 +*12800 FILLER_37_51 +*12801 FILLER_37_517 +*12802 FILLER_37_525 +*12803 FILLER_37_532 +*12804 FILLER_37_536 +*12805 FILLER_37_540 +*12806 FILLER_37_545 +*12807 FILLER_37_549 +*12808 FILLER_37_55 +*12809 FILLER_37_557 +*12810 FILLER_37_561 +*12811 FILLER_37_57 +*12812 FILLER_37_573 +*12813 FILLER_37_585 +*12814 FILLER_37_593 +*12815 FILLER_37_614 +*12816 FILLER_37_617 +*12817 FILLER_37_621 +*12818 FILLER_37_633 +*12819 FILLER_37_645 +*12820 FILLER_37_657 +*12821 FILLER_37_665 +*12822 FILLER_37_671 +*12823 FILLER_37_673 +*12824 FILLER_37_677 +*12825 FILLER_37_689 +*12826 FILLER_37_69 +*12827 FILLER_37_701 +*12828 FILLER_37_713 +*12829 FILLER_37_725 +*12830 FILLER_37_729 +*12831 FILLER_37_741 +*12832 FILLER_37_749 +*12833 FILLER_37_754 +*12834 FILLER_37_758 +*12835 FILLER_37_770 +*12836 FILLER_37_773 +*12837 FILLER_37_781 +*12838 FILLER_37_785 +*12839 FILLER_37_793 +*12840 FILLER_37_81 +*12841 FILLER_37_816 +*12842 FILLER_37_820 +*12843 FILLER_37_832 +*12844 FILLER_37_841 +*12845 FILLER_37_853 +*12846 FILLER_37_865 +*12847 FILLER_37_877 +*12848 FILLER_37_889 +*12849 FILLER_37_893 +*12850 FILLER_37_915 +*12851 FILLER_37_919 +*12852 FILLER_37_93 +*12853 FILLER_37_931 +*12854 FILLER_37_943 +*12855 FILLER_37_951 +*12856 FILLER_37_953 +*12857 FILLER_37_961 +*12858 FILLER_38_1010 +*12859 FILLER_38_1022 +*12860 FILLER_38_1034 +*12861 FILLER_38_1037 +*12862 FILLER_38_1049 +*12863 FILLER_38_1057 +*12864 FILLER_38_1065 +*12865 FILLER_38_1071 +*12866 FILLER_38_1083 +*12867 FILLER_38_109 +*12868 FILLER_38_1093 +*12869 FILLER_38_1097 +*12870 FILLER_38_1101 +*12871 FILLER_38_1110 +*12872 FILLER_38_1118 +*12873 FILLER_38_1122 +*12874 FILLER_38_1126 +*12875 FILLER_38_1138 +*12876 FILLER_38_1146 +*12877 FILLER_38_1149 +*12878 FILLER_38_1161 +*12879 FILLER_38_1173 +*12880 FILLER_38_1179 +*12881 FILLER_38_1184 +*12882 FILLER_38_1188 +*12883 FILLER_38_1200 +*12884 FILLER_38_1205 +*12885 FILLER_38_121 +*12886 FILLER_38_1217 +*12887 FILLER_38_1229 +*12888 FILLER_38_1241 +*12889 FILLER_38_1253 +*12890 FILLER_38_1259 +*12891 FILLER_38_1261 +*12892 FILLER_38_1273 +*12893 FILLER_38_1285 +*12894 FILLER_38_1297 +*12895 FILLER_38_1303 +*12896 FILLER_38_1307 +*12897 FILLER_38_1315 +*12898 FILLER_38_1317 +*12899 FILLER_38_1321 +*12900 FILLER_38_1325 +*12901 FILLER_38_1329 +*12902 FILLER_38_133 +*12903 FILLER_38_1341 +*12904 FILLER_38_1353 +*12905 FILLER_38_1365 +*12906 FILLER_38_1371 +*12907 FILLER_38_1373 +*12908 FILLER_38_1385 +*12909 FILLER_38_139 +*12910 FILLER_38_1397 +*12911 FILLER_38_141 +*12912 FILLER_38_1420 +*12913 FILLER_38_1424 +*12914 FILLER_38_1429 +*12915 FILLER_38_1441 +*12916 FILLER_38_1453 +*12917 FILLER_38_1465 +*12918 FILLER_38_1477 +*12919 FILLER_38_1483 +*12920 FILLER_38_1485 +*12921 FILLER_38_1497 +*12922 FILLER_38_15 +*12923 FILLER_38_1509 +*12924 FILLER_38_1521 +*12925 FILLER_38_153 +*12926 FILLER_38_1533 +*12927 FILLER_38_1539 +*12928 FILLER_38_1541 +*12929 FILLER_38_1553 +*12930 FILLER_38_1559 +*12931 FILLER_38_1571 +*12932 FILLER_38_1583 +*12933 FILLER_38_1595 +*12934 FILLER_38_1597 +*12935 FILLER_38_1609 +*12936 FILLER_38_1621 +*12937 FILLER_38_1633 +*12938 FILLER_38_1645 +*12939 FILLER_38_165 +*12940 FILLER_38_1651 +*12941 FILLER_38_1653 +*12942 FILLER_38_1665 +*12943 FILLER_38_1677 +*12944 FILLER_38_1689 +*12945 FILLER_38_1701 +*12946 FILLER_38_1705 +*12947 FILLER_38_1716 +*12948 FILLER_38_1720 +*12949 FILLER_38_1732 +*12950 FILLER_38_1744 +*12951 FILLER_38_1756 +*12952 FILLER_38_1765 +*12953 FILLER_38_177 +*12954 FILLER_38_1777 +*12955 FILLER_38_1789 +*12956 FILLER_38_1801 +*12957 FILLER_38_1813 +*12958 FILLER_38_1819 +*12959 FILLER_38_1821 +*12960 FILLER_38_1833 +*12961 FILLER_38_1845 +*12962 FILLER_38_1857 +*12963 FILLER_38_1865 +*12964 FILLER_38_1872 +*12965 FILLER_38_1877 +*12966 FILLER_38_1883 +*12967 FILLER_38_189 +*12968 FILLER_38_1893 +*12969 FILLER_38_1897 +*12970 FILLER_38_1909 +*12971 FILLER_38_1921 +*12972 FILLER_38_1930 +*12973 FILLER_38_1933 +*12974 FILLER_38_1937 +*12975 FILLER_38_1949 +*12976 FILLER_38_195 +*12977 FILLER_38_1961 +*12978 FILLER_38_197 +*12979 FILLER_38_1973 +*12980 FILLER_38_1985 +*12981 FILLER_38_1989 +*12982 FILLER_38_1997 +*12983 FILLER_38_2016 +*12984 FILLER_38_2020 +*12985 FILLER_38_2024 +*12986 FILLER_38_2036 +*12987 FILLER_38_2045 +*12988 FILLER_38_2057 +*12989 FILLER_38_2067 +*12990 FILLER_38_2071 +*12991 FILLER_38_2083 +*12992 FILLER_38_209 +*12993 FILLER_38_2101 +*12994 FILLER_38_2105 +*12995 FILLER_38_2115 +*12996 FILLER_38_2119 +*12997 FILLER_38_2131 +*12998 FILLER_38_2143 +*12999 FILLER_38_2155 +*13000 FILLER_38_2157 +*13001 FILLER_38_2169 +*13002 FILLER_38_2181 +*13003 FILLER_38_2193 +*13004 FILLER_38_2205 +*13005 FILLER_38_2209 +*13006 FILLER_38_221 +*13007 FILLER_38_2213 +*13008 FILLER_38_2217 +*13009 FILLER_38_2227 +*13010 FILLER_38_2231 +*13011 FILLER_38_2241 +*13012 FILLER_38_2245 +*13013 FILLER_38_2257 +*13014 FILLER_38_2265 +*13015 FILLER_38_2269 +*13016 FILLER_38_2281 +*13017 FILLER_38_2293 +*13018 FILLER_38_2305 +*13019 FILLER_38_2317 +*13020 FILLER_38_2323 +*13021 FILLER_38_2325 +*13022 FILLER_38_233 +*13023 FILLER_38_2337 +*13024 FILLER_38_2349 +*13025 FILLER_38_2361 +*13026 FILLER_38_245 +*13027 FILLER_38_251 +*13028 FILLER_38_253 +*13029 FILLER_38_261 +*13030 FILLER_38_27 +*13031 FILLER_38_29 +*13032 FILLER_38_3 +*13033 FILLER_38_301 +*13034 FILLER_38_305 +*13035 FILLER_38_309 +*13036 FILLER_38_321 +*13037 FILLER_38_333 +*13038 FILLER_38_345 +*13039 FILLER_38_357 +*13040 FILLER_38_363 +*13041 FILLER_38_365 +*13042 FILLER_38_373 +*13043 FILLER_38_387 +*13044 FILLER_38_391 +*13045 FILLER_38_403 +*13046 FILLER_38_41 +*13047 FILLER_38_415 +*13048 FILLER_38_419 +*13049 FILLER_38_421 +*13050 FILLER_38_433 +*13051 FILLER_38_445 +*13052 FILLER_38_457 +*13053 FILLER_38_469 +*13054 FILLER_38_475 +*13055 FILLER_38_477 +*13056 FILLER_38_489 +*13057 FILLER_38_501 +*13058 FILLER_38_513 +*13059 FILLER_38_53 +*13060 FILLER_38_533 +*13061 FILLER_38_537 +*13062 FILLER_38_549 +*13063 FILLER_38_561 +*13064 FILLER_38_573 +*13065 FILLER_38_585 +*13066 FILLER_38_589 +*13067 FILLER_38_601 +*13068 FILLER_38_613 +*13069 FILLER_38_621 +*13070 FILLER_38_625 +*13071 FILLER_38_637 +*13072 FILLER_38_643 +*13073 FILLER_38_645 +*13074 FILLER_38_65 +*13075 FILLER_38_657 +*13076 FILLER_38_663 +*13077 FILLER_38_667 +*13078 FILLER_38_671 +*13079 FILLER_38_680 +*13080 FILLER_38_687 +*13081 FILLER_38_691 +*13082 FILLER_38_697 +*13083 FILLER_38_708 +*13084 FILLER_38_712 +*13085 FILLER_38_724 +*13086 FILLER_38_736 +*13087 FILLER_38_748 +*13088 FILLER_38_757 +*13089 FILLER_38_761 +*13090 FILLER_38_77 +*13091 FILLER_38_788 +*13092 FILLER_38_792 +*13093 FILLER_38_804 +*13094 FILLER_38_813 +*13095 FILLER_38_825 +*13096 FILLER_38_83 +*13097 FILLER_38_837 +*13098 FILLER_38_849 +*13099 FILLER_38_85 +*13100 FILLER_38_861 +*13101 FILLER_38_867 +*13102 FILLER_38_869 +*13103 FILLER_38_881 +*13104 FILLER_38_889 +*13105 FILLER_38_893 +*13106 FILLER_38_901 +*13107 FILLER_38_906 +*13108 FILLER_38_918 +*13109 FILLER_38_925 +*13110 FILLER_38_937 +*13111 FILLER_38_949 +*13112 FILLER_38_961 +*13113 FILLER_38_97 +*13114 FILLER_38_973 +*13115 FILLER_38_979 +*13116 FILLER_38_981 +*13117 FILLER_38_986 +*13118 FILLER_38_998 +*13119 FILLER_39_1001 +*13120 FILLER_39_1005 +*13121 FILLER_39_1027 +*13122 FILLER_39_1031 +*13123 FILLER_39_1043 +*13124 FILLER_39_105 +*13125 FILLER_39_1051 +*13126 FILLER_39_1056 +*13127 FILLER_39_1060 +*13128 FILLER_39_1071 +*13129 FILLER_39_1075 +*13130 FILLER_39_1087 +*13131 FILLER_39_1096 +*13132 FILLER_39_1100 +*13133 FILLER_39_111 +*13134 FILLER_39_1110 +*13135 FILLER_39_1118 +*13136 FILLER_39_1121 +*13137 FILLER_39_1127 +*13138 FILLER_39_113 +*13139 FILLER_39_1137 +*13140 FILLER_39_1141 +*13141 FILLER_39_1153 +*13142 FILLER_39_1161 +*13143 FILLER_39_1172 +*13144 FILLER_39_1177 +*13145 FILLER_39_1189 +*13146 FILLER_39_1201 +*13147 FILLER_39_1213 +*13148 FILLER_39_1225 +*13149 FILLER_39_1231 +*13150 FILLER_39_1233 +*13151 FILLER_39_1245 +*13152 FILLER_39_125 +*13153 FILLER_39_1257 +*13154 FILLER_39_1269 +*13155 FILLER_39_1277 +*13156 FILLER_39_1284 +*13157 FILLER_39_1289 +*13158 FILLER_39_1313 +*13159 FILLER_39_1317 +*13160 FILLER_39_1329 +*13161 FILLER_39_1341 +*13162 FILLER_39_1345 +*13163 FILLER_39_1357 +*13164 FILLER_39_1369 +*13165 FILLER_39_137 +*13166 FILLER_39_1381 +*13167 FILLER_39_1393 +*13168 FILLER_39_1399 +*13169 FILLER_39_1401 +*13170 FILLER_39_1413 +*13171 FILLER_39_1425 +*13172 FILLER_39_1437 +*13173 FILLER_39_1449 +*13174 FILLER_39_1455 +*13175 FILLER_39_1457 +*13176 FILLER_39_1469 +*13177 FILLER_39_1481 +*13178 FILLER_39_149 +*13179 FILLER_39_1493 +*13180 FILLER_39_15 +*13181 FILLER_39_1505 +*13182 FILLER_39_1511 +*13183 FILLER_39_1513 +*13184 FILLER_39_1525 +*13185 FILLER_39_1537 +*13186 FILLER_39_1545 +*13187 FILLER_39_1566 +*13188 FILLER_39_1569 +*13189 FILLER_39_1573 +*13190 FILLER_39_1585 +*13191 FILLER_39_1597 +*13192 FILLER_39_1609 +*13193 FILLER_39_161 +*13194 FILLER_39_1621 +*13195 FILLER_39_1625 +*13196 FILLER_39_1637 +*13197 FILLER_39_1649 +*13198 FILLER_39_1657 +*13199 FILLER_39_1668 +*13200 FILLER_39_167 +*13201 FILLER_39_1672 +*13202 FILLER_39_1688 +*13203 FILLER_39_169 +*13204 FILLER_39_1692 +*13205 FILLER_39_1704 +*13206 FILLER_39_1716 +*13207 FILLER_39_1728 +*13208 FILLER_39_1737 +*13209 FILLER_39_1749 +*13210 FILLER_39_1761 +*13211 FILLER_39_1773 +*13212 FILLER_39_1785 +*13213 FILLER_39_1791 +*13214 FILLER_39_1793 +*13215 FILLER_39_1805 +*13216 FILLER_39_181 +*13217 FILLER_39_1817 +*13218 FILLER_39_1829 +*13219 FILLER_39_1841 +*13220 FILLER_39_1847 +*13221 FILLER_39_1849 +*13222 FILLER_39_1861 +*13223 FILLER_39_1869 +*13224 FILLER_39_1872 +*13225 FILLER_39_1880 +*13226 FILLER_39_1884 +*13227 FILLER_39_1888 +*13228 FILLER_39_1900 +*13229 FILLER_39_1905 +*13230 FILLER_39_1917 +*13231 FILLER_39_1929 +*13232 FILLER_39_193 +*13233 FILLER_39_1935 +*13234 FILLER_39_1939 +*13235 FILLER_39_1951 +*13236 FILLER_39_1959 +*13237 FILLER_39_1961 +*13238 FILLER_39_1973 +*13239 FILLER_39_1985 +*13240 FILLER_39_1997 +*13241 FILLER_39_2009 +*13242 FILLER_39_2015 +*13243 FILLER_39_2017 +*13244 FILLER_39_2029 +*13245 FILLER_39_2041 +*13246 FILLER_39_205 +*13247 FILLER_39_2053 +*13248 FILLER_39_2065 +*13249 FILLER_39_2071 +*13250 FILLER_39_2073 +*13251 FILLER_39_2085 +*13252 FILLER_39_2097 +*13253 FILLER_39_2100 +*13254 FILLER_39_2112 +*13255 FILLER_39_2124 +*13256 FILLER_39_2129 +*13257 FILLER_39_2141 +*13258 FILLER_39_2153 +*13259 FILLER_39_2165 +*13260 FILLER_39_217 +*13261 FILLER_39_2177 +*13262 FILLER_39_2183 +*13263 FILLER_39_2185 +*13264 FILLER_39_2197 +*13265 FILLER_39_2209 +*13266 FILLER_39_2221 +*13267 FILLER_39_223 +*13268 FILLER_39_2233 +*13269 FILLER_39_2239 +*13270 FILLER_39_2241 +*13271 FILLER_39_225 +*13272 FILLER_39_2253 +*13273 FILLER_39_2265 +*13274 FILLER_39_2277 +*13275 FILLER_39_2289 +*13276 FILLER_39_2295 +*13277 FILLER_39_2297 +*13278 FILLER_39_2309 +*13279 FILLER_39_2321 +*13280 FILLER_39_2333 +*13281 FILLER_39_2345 +*13282 FILLER_39_2351 +*13283 FILLER_39_2353 +*13284 FILLER_39_2361 +*13285 FILLER_39_237 +*13286 FILLER_39_249 +*13287 FILLER_39_261 +*13288 FILLER_39_27 +*13289 FILLER_39_273 +*13290 FILLER_39_279 +*13291 FILLER_39_281 +*13292 FILLER_39_285 +*13293 FILLER_39_297 +*13294 FILLER_39_3 +*13295 FILLER_39_309 +*13296 FILLER_39_321 +*13297 FILLER_39_333 +*13298 FILLER_39_337 +*13299 FILLER_39_349 +*13300 FILLER_39_361 +*13301 FILLER_39_373 +*13302 FILLER_39_385 +*13303 FILLER_39_39 +*13304 FILLER_39_391 +*13305 FILLER_39_393 +*13306 FILLER_39_405 +*13307 FILLER_39_417 +*13308 FILLER_39_429 +*13309 FILLER_39_441 +*13310 FILLER_39_447 +*13311 FILLER_39_449 +*13312 FILLER_39_461 +*13313 FILLER_39_473 +*13314 FILLER_39_485 +*13315 FILLER_39_497 +*13316 FILLER_39_501 +*13317 FILLER_39_51 +*13318 FILLER_39_523 +*13319 FILLER_39_527 +*13320 FILLER_39_539 +*13321 FILLER_39_55 +*13322 FILLER_39_551 +*13323 FILLER_39_559 +*13324 FILLER_39_561 +*13325 FILLER_39_57 +*13326 FILLER_39_573 +*13327 FILLER_39_585 +*13328 FILLER_39_597 +*13329 FILLER_39_609 +*13330 FILLER_39_615 +*13331 FILLER_39_617 +*13332 FILLER_39_634 +*13333 FILLER_39_638 +*13334 FILLER_39_650 +*13335 FILLER_39_662 +*13336 FILLER_39_670 +*13337 FILLER_39_673 +*13338 FILLER_39_677 +*13339 FILLER_39_683 +*13340 FILLER_39_69 +*13341 FILLER_39_695 +*13342 FILLER_39_707 +*13343 FILLER_39_719 +*13344 FILLER_39_727 +*13345 FILLER_39_729 +*13346 FILLER_39_741 +*13347 FILLER_39_753 +*13348 FILLER_39_765 +*13349 FILLER_39_770 +*13350 FILLER_39_782 +*13351 FILLER_39_785 +*13352 FILLER_39_797 +*13353 FILLER_39_809 +*13354 FILLER_39_81 +*13355 FILLER_39_821 +*13356 FILLER_39_833 +*13357 FILLER_39_837 +*13358 FILLER_39_841 +*13359 FILLER_39_849 +*13360 FILLER_39_853 +*13361 FILLER_39_865 +*13362 FILLER_39_877 +*13363 FILLER_39_893 +*13364 FILLER_39_897 +*13365 FILLER_39_912 +*13366 FILLER_39_916 +*13367 FILLER_39_928 +*13368 FILLER_39_93 +*13369 FILLER_39_940 +*13370 FILLER_39_953 +*13371 FILLER_39_965 +*13372 FILLER_39_977 +*13373 FILLER_39_989 +*13374 FILLER_3_1002 +*13375 FILLER_3_1006 +*13376 FILLER_3_1009 +*13377 FILLER_3_1021 +*13378 FILLER_3_1033 +*13379 FILLER_3_1039 +*13380 FILLER_3_1044 +*13381 FILLER_3_1048 +*13382 FILLER_3_105 +*13383 FILLER_3_1056 +*13384 FILLER_3_1060 +*13385 FILLER_3_1073 +*13386 FILLER_3_1077 +*13387 FILLER_3_1089 +*13388 FILLER_3_109 +*13389 FILLER_3_11 +*13390 FILLER_3_1101 +*13391 FILLER_3_1113 +*13392 FILLER_3_1119 +*13393 FILLER_3_1121 +*13394 FILLER_3_113 +*13395 FILLER_3_1133 +*13396 FILLER_3_1144 +*13397 FILLER_3_1148 +*13398 FILLER_3_1152 +*13399 FILLER_3_1156 +*13400 FILLER_3_1160 +*13401 FILLER_3_117 +*13402 FILLER_3_1172 +*13403 FILLER_3_1177 +*13404 FILLER_3_1189 +*13405 FILLER_3_1201 +*13406 FILLER_3_1213 +*13407 FILLER_3_1225 +*13408 FILLER_3_1231 +*13409 FILLER_3_1233 +*13410 FILLER_3_1245 +*13411 FILLER_3_125 +*13412 FILLER_3_1259 +*13413 FILLER_3_1267 +*13414 FILLER_3_1272 +*13415 FILLER_3_1277 +*13416 FILLER_3_1282 +*13417 FILLER_3_1286 +*13418 FILLER_3_1294 +*13419 FILLER_3_1298 +*13420 FILLER_3_1302 +*13421 FILLER_3_1306 +*13422 FILLER_3_1310 +*13423 FILLER_3_1314 +*13424 FILLER_3_1322 +*13425 FILLER_3_1328 +*13426 FILLER_3_133 +*13427 FILLER_3_1339 +*13428 FILLER_3_1343 +*13429 FILLER_3_1345 +*13430 FILLER_3_1349 +*13431 FILLER_3_1355 +*13432 FILLER_3_1359 +*13433 FILLER_3_1363 +*13434 FILLER_3_137 +*13435 FILLER_3_1370 +*13436 FILLER_3_1374 +*13437 FILLER_3_1378 +*13438 FILLER_3_1382 +*13439 FILLER_3_1394 +*13440 FILLER_3_1401 +*13441 FILLER_3_1413 +*13442 FILLER_3_1419 +*13443 FILLER_3_1423 +*13444 FILLER_3_1427 +*13445 FILLER_3_1439 +*13446 FILLER_3_1442 +*13447 FILLER_3_1451 +*13448 FILLER_3_1455 +*13449 FILLER_3_1457 +*13450 FILLER_3_1466 +*13451 FILLER_3_1470 +*13452 FILLER_3_1482 +*13453 FILLER_3_149 +*13454 FILLER_3_1494 +*13455 FILLER_3_15 +*13456 FILLER_3_1506 +*13457 FILLER_3_1513 +*13458 FILLER_3_1525 +*13459 FILLER_3_1530 +*13460 FILLER_3_1538 +*13461 FILLER_3_1541 +*13462 FILLER_3_1549 +*13463 FILLER_3_1554 +*13464 FILLER_3_1558 +*13465 FILLER_3_1569 +*13466 FILLER_3_1573 +*13467 FILLER_3_1585 +*13468 FILLER_3_1597 +*13469 FILLER_3_1609 +*13470 FILLER_3_1625 +*13471 FILLER_3_1629 +*13472 FILLER_3_164 +*13473 FILLER_3_1641 +*13474 FILLER_3_1645 +*13475 FILLER_3_1649 +*13476 FILLER_3_1653 +*13477 FILLER_3_1657 +*13478 FILLER_3_1669 +*13479 FILLER_3_1677 +*13480 FILLER_3_1681 +*13481 FILLER_3_169 +*13482 FILLER_3_1693 +*13483 FILLER_3_1707 +*13484 FILLER_3_1722 +*13485 FILLER_3_1726 +*13486 FILLER_3_1734 +*13487 FILLER_3_1737 +*13488 FILLER_3_1749 +*13489 FILLER_3_1761 +*13490 FILLER_3_1773 +*13491 FILLER_3_1785 +*13492 FILLER_3_1791 +*13493 FILLER_3_1793 +*13494 FILLER_3_1805 +*13495 FILLER_3_181 +*13496 FILLER_3_1817 +*13497 FILLER_3_1829 +*13498 FILLER_3_1841 +*13499 FILLER_3_1847 +*13500 FILLER_3_1849 +*13501 FILLER_3_1853 +*13502 FILLER_3_1865 +*13503 FILLER_3_1877 +*13504 FILLER_3_1889 +*13505 FILLER_3_1901 +*13506 FILLER_3_1905 +*13507 FILLER_3_1911 +*13508 FILLER_3_1914 +*13509 FILLER_3_1926 +*13510 FILLER_3_193 +*13511 FILLER_3_1930 +*13512 FILLER_3_1942 +*13513 FILLER_3_1954 +*13514 FILLER_3_1961 +*13515 FILLER_3_1973 +*13516 FILLER_3_1977 +*13517 FILLER_3_1980 +*13518 FILLER_3_1992 +*13519 FILLER_3_2004 +*13520 FILLER_3_2008 +*13521 FILLER_3_2011 +*13522 FILLER_3_2015 +*13523 FILLER_3_2017 +*13524 FILLER_3_2027 +*13525 FILLER_3_2039 +*13526 FILLER_3_205 +*13527 FILLER_3_2051 +*13528 FILLER_3_2059 +*13529 FILLER_3_2062 +*13530 FILLER_3_2070 +*13531 FILLER_3_2073 +*13532 FILLER_3_2077 +*13533 FILLER_3_2081 +*13534 FILLER_3_2098 +*13535 FILLER_3_2104 +*13536 FILLER_3_211 +*13537 FILLER_3_2110 +*13538 FILLER_3_2118 +*13539 FILLER_3_2122 +*13540 FILLER_3_2129 +*13541 FILLER_3_2141 +*13542 FILLER_3_2160 +*13543 FILLER_3_2170 +*13544 FILLER_3_2174 +*13545 FILLER_3_2182 +*13546 FILLER_3_2185 +*13547 FILLER_3_2193 +*13548 FILLER_3_2197 +*13549 FILLER_3_2205 +*13550 FILLER_3_221 +*13551 FILLER_3_2210 +*13552 FILLER_3_2218 +*13553 FILLER_3_2234 +*13554 FILLER_3_2241 +*13555 FILLER_3_225 +*13556 FILLER_3_2251 +*13557 FILLER_3_2263 +*13558 FILLER_3_2275 +*13559 FILLER_3_2287 +*13560 FILLER_3_2295 +*13561 FILLER_3_2297 +*13562 FILLER_3_2309 +*13563 FILLER_3_2321 +*13564 FILLER_3_2333 +*13565 FILLER_3_2345 +*13566 FILLER_3_2348 +*13567 FILLER_3_2353 +*13568 FILLER_3_237 +*13569 FILLER_3_249 +*13570 FILLER_3_261 +*13571 FILLER_3_27 +*13572 FILLER_3_273 +*13573 FILLER_3_279 +*13574 FILLER_3_281 +*13575 FILLER_3_293 +*13576 FILLER_3_3 +*13577 FILLER_3_305 +*13578 FILLER_3_317 +*13579 FILLER_3_329 +*13580 FILLER_3_335 +*13581 FILLER_3_337 +*13582 FILLER_3_349 +*13583 FILLER_3_361 +*13584 FILLER_3_374 +*13585 FILLER_3_378 +*13586 FILLER_3_39 +*13587 FILLER_3_390 +*13588 FILLER_3_393 +*13589 FILLER_3_405 +*13590 FILLER_3_411 +*13591 FILLER_3_423 +*13592 FILLER_3_435 +*13593 FILLER_3_447 +*13594 FILLER_3_449 +*13595 FILLER_3_45 +*13596 FILLER_3_461 +*13597 FILLER_3_475 +*13598 FILLER_3_487 +*13599 FILLER_3_499 +*13600 FILLER_3_503 +*13601 FILLER_3_505 +*13602 FILLER_3_517 +*13603 FILLER_3_529 +*13604 FILLER_3_541 +*13605 FILLER_3_55 +*13606 FILLER_3_553 +*13607 FILLER_3_559 +*13608 FILLER_3_561 +*13609 FILLER_3_573 +*13610 FILLER_3_585 +*13611 FILLER_3_597 +*13612 FILLER_3_609 +*13613 FILLER_3_615 +*13614 FILLER_3_617 +*13615 FILLER_3_629 +*13616 FILLER_3_64 +*13617 FILLER_3_641 +*13618 FILLER_3_653 +*13619 FILLER_3_665 +*13620 FILLER_3_671 +*13621 FILLER_3_673 +*13622 FILLER_3_68 +*13623 FILLER_3_685 +*13624 FILLER_3_697 +*13625 FILLER_3_7 +*13626 FILLER_3_705 +*13627 FILLER_3_722 +*13628 FILLER_3_726 +*13629 FILLER_3_729 +*13630 FILLER_3_743 +*13631 FILLER_3_747 +*13632 FILLER_3_751 +*13633 FILLER_3_763 +*13634 FILLER_3_776 +*13635 FILLER_3_780 +*13636 FILLER_3_785 +*13637 FILLER_3_797 +*13638 FILLER_3_80 +*13639 FILLER_3_805 +*13640 FILLER_3_815 +*13641 FILLER_3_819 +*13642 FILLER_3_836 +*13643 FILLER_3_841 +*13644 FILLER_3_845 +*13645 FILLER_3_857 +*13646 FILLER_3_860 +*13647 FILLER_3_866 +*13648 FILLER_3_878 +*13649 FILLER_3_890 +*13650 FILLER_3_897 +*13651 FILLER_3_909 +*13652 FILLER_3_915 +*13653 FILLER_3_92 +*13654 FILLER_3_923 +*13655 FILLER_3_931 +*13656 FILLER_3_935 +*13657 FILLER_3_939 +*13658 FILLER_3_951 +*13659 FILLER_3_953 +*13660 FILLER_3_968 +*13661 FILLER_3_972 +*13662 FILLER_3_984 +*13663 FILLER_3_996 +*13664 FILLER_40_1005 +*13665 FILLER_40_1017 +*13666 FILLER_40_1029 +*13667 FILLER_40_1035 +*13668 FILLER_40_1037 +*13669 FILLER_40_1049 +*13670 FILLER_40_1055 +*13671 FILLER_40_1062 +*13672 FILLER_40_1066 +*13673 FILLER_40_1078 +*13674 FILLER_40_109 +*13675 FILLER_40_1090 +*13676 FILLER_40_1093 +*13677 FILLER_40_1105 +*13678 FILLER_40_1119 +*13679 FILLER_40_1123 +*13680 FILLER_40_1135 +*13681 FILLER_40_1147 +*13682 FILLER_40_1149 +*13683 FILLER_40_1161 +*13684 FILLER_40_1173 +*13685 FILLER_40_1185 +*13686 FILLER_40_1197 +*13687 FILLER_40_1203 +*13688 FILLER_40_1205 +*13689 FILLER_40_121 +*13690 FILLER_40_1217 +*13691 FILLER_40_1229 +*13692 FILLER_40_1241 +*13693 FILLER_40_1253 +*13694 FILLER_40_1259 +*13695 FILLER_40_1261 +*13696 FILLER_40_1273 +*13697 FILLER_40_1285 +*13698 FILLER_40_1297 +*13699 FILLER_40_1302 +*13700 FILLER_40_1306 +*13701 FILLER_40_1328 +*13702 FILLER_40_133 +*13703 FILLER_40_1332 +*13704 FILLER_40_1344 +*13705 FILLER_40_1356 +*13706 FILLER_40_1368 +*13707 FILLER_40_1376 +*13708 FILLER_40_1380 +*13709 FILLER_40_139 +*13710 FILLER_40_1392 +*13711 FILLER_40_1404 +*13712 FILLER_40_141 +*13713 FILLER_40_1416 +*13714 FILLER_40_1429 +*13715 FILLER_40_1441 +*13716 FILLER_40_1453 +*13717 FILLER_40_1465 +*13718 FILLER_40_1477 +*13719 FILLER_40_1483 +*13720 FILLER_40_1485 +*13721 FILLER_40_1497 +*13722 FILLER_40_15 +*13723 FILLER_40_1509 +*13724 FILLER_40_1517 +*13725 FILLER_40_153 +*13726 FILLER_40_1533 +*13727 FILLER_40_1537 +*13728 FILLER_40_1541 +*13729 FILLER_40_1553 +*13730 FILLER_40_1561 +*13731 FILLER_40_1573 +*13732 FILLER_40_1585 +*13733 FILLER_40_1593 +*13734 FILLER_40_1597 +*13735 FILLER_40_1609 +*13736 FILLER_40_1621 +*13737 FILLER_40_1633 +*13738 FILLER_40_1645 +*13739 FILLER_40_165 +*13740 FILLER_40_1651 +*13741 FILLER_40_1653 +*13742 FILLER_40_1665 +*13743 FILLER_40_1677 +*13744 FILLER_40_1689 +*13745 FILLER_40_1701 +*13746 FILLER_40_1707 +*13747 FILLER_40_1709 +*13748 FILLER_40_1721 +*13749 FILLER_40_1733 +*13750 FILLER_40_1745 +*13751 FILLER_40_1757 +*13752 FILLER_40_1763 +*13753 FILLER_40_1765 +*13754 FILLER_40_177 +*13755 FILLER_40_1777 +*13756 FILLER_40_1789 +*13757 FILLER_40_1801 +*13758 FILLER_40_1813 +*13759 FILLER_40_1819 +*13760 FILLER_40_1821 +*13761 FILLER_40_1833 +*13762 FILLER_40_1845 +*13763 FILLER_40_1857 +*13764 FILLER_40_1869 +*13765 FILLER_40_1875 +*13766 FILLER_40_1877 +*13767 FILLER_40_1889 +*13768 FILLER_40_189 +*13769 FILLER_40_1901 +*13770 FILLER_40_1913 +*13771 FILLER_40_1925 +*13772 FILLER_40_1931 +*13773 FILLER_40_1933 +*13774 FILLER_40_1945 +*13775 FILLER_40_195 +*13776 FILLER_40_1957 +*13777 FILLER_40_1969 +*13778 FILLER_40_197 +*13779 FILLER_40_1981 +*13780 FILLER_40_1985 +*13781 FILLER_40_1989 +*13782 FILLER_40_1997 +*13783 FILLER_40_2001 +*13784 FILLER_40_2013 +*13785 FILLER_40_2025 +*13786 FILLER_40_2037 +*13787 FILLER_40_2043 +*13788 FILLER_40_2045 +*13789 FILLER_40_2057 +*13790 FILLER_40_2069 +*13791 FILLER_40_2081 +*13792 FILLER_40_2089 +*13793 FILLER_40_209 +*13794 FILLER_40_2101 +*13795 FILLER_40_2105 +*13796 FILLER_40_2117 +*13797 FILLER_40_2129 +*13798 FILLER_40_2150 +*13799 FILLER_40_2154 +*13800 FILLER_40_2157 +*13801 FILLER_40_2169 +*13802 FILLER_40_2181 +*13803 FILLER_40_2193 +*13804 FILLER_40_2205 +*13805 FILLER_40_221 +*13806 FILLER_40_2211 +*13807 FILLER_40_2213 +*13808 FILLER_40_2225 +*13809 FILLER_40_2237 +*13810 FILLER_40_2249 +*13811 FILLER_40_2261 +*13812 FILLER_40_2267 +*13813 FILLER_40_2269 +*13814 FILLER_40_2281 +*13815 FILLER_40_2293 +*13816 FILLER_40_2305 +*13817 FILLER_40_2317 +*13818 FILLER_40_2323 +*13819 FILLER_40_2325 +*13820 FILLER_40_233 +*13821 FILLER_40_2337 +*13822 FILLER_40_2349 +*13823 FILLER_40_2361 +*13824 FILLER_40_245 +*13825 FILLER_40_251 +*13826 FILLER_40_253 +*13827 FILLER_40_265 +*13828 FILLER_40_27 +*13829 FILLER_40_277 +*13830 FILLER_40_289 +*13831 FILLER_40_29 +*13832 FILLER_40_3 +*13833 FILLER_40_301 +*13834 FILLER_40_307 +*13835 FILLER_40_309 +*13836 FILLER_40_321 +*13837 FILLER_40_333 +*13838 FILLER_40_345 +*13839 FILLER_40_357 +*13840 FILLER_40_363 +*13841 FILLER_40_365 +*13842 FILLER_40_377 +*13843 FILLER_40_389 +*13844 FILLER_40_401 +*13845 FILLER_40_41 +*13846 FILLER_40_413 +*13847 FILLER_40_419 +*13848 FILLER_40_421 +*13849 FILLER_40_433 +*13850 FILLER_40_445 +*13851 FILLER_40_457 +*13852 FILLER_40_469 +*13853 FILLER_40_475 +*13854 FILLER_40_477 +*13855 FILLER_40_489 +*13856 FILLER_40_501 +*13857 FILLER_40_513 +*13858 FILLER_40_525 +*13859 FILLER_40_53 +*13860 FILLER_40_531 +*13861 FILLER_40_533 +*13862 FILLER_40_545 +*13863 FILLER_40_557 +*13864 FILLER_40_569 +*13865 FILLER_40_581 +*13866 FILLER_40_587 +*13867 FILLER_40_593 +*13868 FILLER_40_597 +*13869 FILLER_40_609 +*13870 FILLER_40_623 +*13871 FILLER_40_627 +*13872 FILLER_40_631 +*13873 FILLER_40_643 +*13874 FILLER_40_645 +*13875 FILLER_40_65 +*13876 FILLER_40_651 +*13877 FILLER_40_672 +*13878 FILLER_40_676 +*13879 FILLER_40_688 +*13880 FILLER_40_701 +*13881 FILLER_40_713 +*13882 FILLER_40_725 +*13883 FILLER_40_731 +*13884 FILLER_40_736 +*13885 FILLER_40_740 +*13886 FILLER_40_752 +*13887 FILLER_40_757 +*13888 FILLER_40_769 +*13889 FILLER_40_77 +*13890 FILLER_40_790 +*13891 FILLER_40_794 +*13892 FILLER_40_806 +*13893 FILLER_40_820 +*13894 FILLER_40_824 +*13895 FILLER_40_83 +*13896 FILLER_40_836 +*13897 FILLER_40_848 +*13898 FILLER_40_85 +*13899 FILLER_40_860 +*13900 FILLER_40_869 +*13901 FILLER_40_877 +*13902 FILLER_40_890 +*13903 FILLER_40_909 +*13904 FILLER_40_913 +*13905 FILLER_40_921 +*13906 FILLER_40_925 +*13907 FILLER_40_937 +*13908 FILLER_40_941 +*13909 FILLER_40_954 +*13910 FILLER_40_958 +*13911 FILLER_40_97 +*13912 FILLER_40_970 +*13913 FILLER_40_978 +*13914 FILLER_40_981 +*13915 FILLER_40_993 +*13916 FILLER_41_1006 +*13917 FILLER_41_1009 +*13918 FILLER_41_1021 +*13919 FILLER_41_1033 +*13920 FILLER_41_1037 +*13921 FILLER_41_1041 +*13922 FILLER_41_105 +*13923 FILLER_41_1053 +*13924 FILLER_41_1060 +*13925 FILLER_41_1065 +*13926 FILLER_41_1069 +*13927 FILLER_41_1090 +*13928 FILLER_41_1094 +*13929 FILLER_41_111 +*13930 FILLER_41_113 +*13931 FILLER_41_1139 +*13932 FILLER_41_1143 +*13933 FILLER_41_1155 +*13934 FILLER_41_1167 +*13935 FILLER_41_1175 +*13936 FILLER_41_1177 +*13937 FILLER_41_1189 +*13938 FILLER_41_1201 +*13939 FILLER_41_1213 +*13940 FILLER_41_1225 +*13941 FILLER_41_1231 +*13942 FILLER_41_1233 +*13943 FILLER_41_1239 +*13944 FILLER_41_1243 +*13945 FILLER_41_125 +*13946 FILLER_41_1255 +*13947 FILLER_41_1267 +*13948 FILLER_41_1279 +*13949 FILLER_41_1287 +*13950 FILLER_41_1289 +*13951 FILLER_41_1301 +*13952 FILLER_41_1313 +*13953 FILLER_41_1325 +*13954 FILLER_41_1337 +*13955 FILLER_41_1341 +*13956 FILLER_41_1356 +*13957 FILLER_41_1360 +*13958 FILLER_41_137 +*13959 FILLER_41_1372 +*13960 FILLER_41_1384 +*13961 FILLER_41_1396 +*13962 FILLER_41_1401 +*13963 FILLER_41_1413 +*13964 FILLER_41_1425 +*13965 FILLER_41_1437 +*13966 FILLER_41_1449 +*13967 FILLER_41_1455 +*13968 FILLER_41_1457 +*13969 FILLER_41_1461 +*13970 FILLER_41_1465 +*13971 FILLER_41_1469 +*13972 FILLER_41_1481 +*13973 FILLER_41_1489 +*13974 FILLER_41_149 +*13975 FILLER_41_1499 +*13976 FILLER_41_15 +*13977 FILLER_41_1503 +*13978 FILLER_41_1511 +*13979 FILLER_41_1513 +*13980 FILLER_41_1525 +*13981 FILLER_41_1537 +*13982 FILLER_41_1549 +*13983 FILLER_41_1561 +*13984 FILLER_41_1567 +*13985 FILLER_41_1569 +*13986 FILLER_41_1581 +*13987 FILLER_41_1593 +*13988 FILLER_41_1605 +*13989 FILLER_41_161 +*13990 FILLER_41_1617 +*13991 FILLER_41_1623 +*13992 FILLER_41_1625 +*13993 FILLER_41_1637 +*13994 FILLER_41_1649 +*13995 FILLER_41_1661 +*13996 FILLER_41_167 +*13997 FILLER_41_1673 +*13998 FILLER_41_1679 +*13999 FILLER_41_1681 +*14000 FILLER_41_169 +*14001 FILLER_41_1693 +*14002 FILLER_41_1705 +*14003 FILLER_41_1717 +*14004 FILLER_41_1729 +*14005 FILLER_41_1735 +*14006 FILLER_41_1737 +*14007 FILLER_41_1749 +*14008 FILLER_41_1761 +*14009 FILLER_41_1773 +*14010 FILLER_41_1785 +*14011 FILLER_41_1791 +*14012 FILLER_41_1793 +*14013 FILLER_41_1805 +*14014 FILLER_41_181 +*14015 FILLER_41_1817 +*14016 FILLER_41_1829 +*14017 FILLER_41_1841 +*14018 FILLER_41_1847 +*14019 FILLER_41_1849 +*14020 FILLER_41_1861 +*14021 FILLER_41_1873 +*14022 FILLER_41_1885 +*14023 FILLER_41_1897 +*14024 FILLER_41_1901 +*14025 FILLER_41_1916 +*14026 FILLER_41_1920 +*14027 FILLER_41_193 +*14028 FILLER_41_1933 +*14029 FILLER_41_1937 +*14030 FILLER_41_1949 +*14031 FILLER_41_1957 +*14032 FILLER_41_1961 +*14033 FILLER_41_1973 +*14034 FILLER_41_1985 +*14035 FILLER_41_1997 +*14036 FILLER_41_2009 +*14037 FILLER_41_2015 +*14038 FILLER_41_2017 +*14039 FILLER_41_2029 +*14040 FILLER_41_2041 +*14041 FILLER_41_205 +*14042 FILLER_41_2062 +*14043 FILLER_41_2066 +*14044 FILLER_41_2073 +*14045 FILLER_41_2085 +*14046 FILLER_41_2097 +*14047 FILLER_41_2109 +*14048 FILLER_41_2121 +*14049 FILLER_41_2127 +*14050 FILLER_41_2129 +*14051 FILLER_41_2141 +*14052 FILLER_41_2153 +*14053 FILLER_41_2165 +*14054 FILLER_41_217 +*14055 FILLER_41_2177 +*14056 FILLER_41_2183 +*14057 FILLER_41_2185 +*14058 FILLER_41_2197 +*14059 FILLER_41_2209 +*14060 FILLER_41_2221 +*14061 FILLER_41_223 +*14062 FILLER_41_2233 +*14063 FILLER_41_2239 +*14064 FILLER_41_2241 +*14065 FILLER_41_225 +*14066 FILLER_41_2253 +*14067 FILLER_41_2265 +*14068 FILLER_41_2277 +*14069 FILLER_41_2289 +*14070 FILLER_41_2295 +*14071 FILLER_41_2297 +*14072 FILLER_41_2316 +*14073 FILLER_41_2320 +*14074 FILLER_41_2332 +*14075 FILLER_41_2344 +*14076 FILLER_41_2353 +*14077 FILLER_41_2361 +*14078 FILLER_41_237 +*14079 FILLER_41_249 +*14080 FILLER_41_261 +*14081 FILLER_41_27 +*14082 FILLER_41_273 +*14083 FILLER_41_279 +*14084 FILLER_41_281 +*14085 FILLER_41_293 +*14086 FILLER_41_3 +*14087 FILLER_41_305 +*14088 FILLER_41_317 +*14089 FILLER_41_329 +*14090 FILLER_41_335 +*14091 FILLER_41_337 +*14092 FILLER_41_349 +*14093 FILLER_41_361 +*14094 FILLER_41_373 +*14095 FILLER_41_385 +*14096 FILLER_41_39 +*14097 FILLER_41_391 +*14098 FILLER_41_393 +*14099 FILLER_41_405 +*14100 FILLER_41_417 +*14101 FILLER_41_429 +*14102 FILLER_41_441 +*14103 FILLER_41_447 +*14104 FILLER_41_449 +*14105 FILLER_41_461 +*14106 FILLER_41_473 +*14107 FILLER_41_485 +*14108 FILLER_41_497 +*14109 FILLER_41_503 +*14110 FILLER_41_505 +*14111 FILLER_41_51 +*14112 FILLER_41_517 +*14113 FILLER_41_529 +*14114 FILLER_41_541 +*14115 FILLER_41_55 +*14116 FILLER_41_553 +*14117 FILLER_41_559 +*14118 FILLER_41_561 +*14119 FILLER_41_57 +*14120 FILLER_41_573 +*14121 FILLER_41_585 +*14122 FILLER_41_597 +*14123 FILLER_41_609 +*14124 FILLER_41_615 +*14125 FILLER_41_617 +*14126 FILLER_41_629 +*14127 FILLER_41_641 +*14128 FILLER_41_653 +*14129 FILLER_41_665 +*14130 FILLER_41_671 +*14131 FILLER_41_673 +*14132 FILLER_41_69 +*14133 FILLER_41_700 +*14134 FILLER_41_704 +*14135 FILLER_41_708 +*14136 FILLER_41_720 +*14137 FILLER_41_729 +*14138 FILLER_41_741 +*14139 FILLER_41_753 +*14140 FILLER_41_765 +*14141 FILLER_41_777 +*14142 FILLER_41_783 +*14143 FILLER_41_785 +*14144 FILLER_41_797 +*14145 FILLER_41_809 +*14146 FILLER_41_81 +*14147 FILLER_41_821 +*14148 FILLER_41_833 +*14149 FILLER_41_839 +*14150 FILLER_41_841 +*14151 FILLER_41_853 +*14152 FILLER_41_865 +*14153 FILLER_41_877 +*14154 FILLER_41_883 +*14155 FILLER_41_887 +*14156 FILLER_41_893 +*14157 FILLER_41_897 +*14158 FILLER_41_903 +*14159 FILLER_41_908 +*14160 FILLER_41_912 +*14161 FILLER_41_924 +*14162 FILLER_41_93 +*14163 FILLER_41_936 +*14164 FILLER_41_950 +*14165 FILLER_41_953 +*14166 FILLER_41_965 +*14167 FILLER_41_970 +*14168 FILLER_41_974 +*14169 FILLER_41_986 +*14170 FILLER_41_998 +*14171 FILLER_42_1009 +*14172 FILLER_42_1021 +*14173 FILLER_42_1033 +*14174 FILLER_42_1037 +*14175 FILLER_42_1049 +*14176 FILLER_42_1061 +*14177 FILLER_42_1073 +*14178 FILLER_42_1085 +*14179 FILLER_42_109 +*14180 FILLER_42_1091 +*14181 FILLER_42_1093 +*14182 FILLER_42_1105 +*14183 FILLER_42_1117 +*14184 FILLER_42_1129 +*14185 FILLER_42_1141 +*14186 FILLER_42_1147 +*14187 FILLER_42_1149 +*14188 FILLER_42_1162 +*14189 FILLER_42_1166 +*14190 FILLER_42_1178 +*14191 FILLER_42_1190 +*14192 FILLER_42_1202 +*14193 FILLER_42_1205 +*14194 FILLER_42_121 +*14195 FILLER_42_1217 +*14196 FILLER_42_1229 +*14197 FILLER_42_1241 +*14198 FILLER_42_1253 +*14199 FILLER_42_1259 +*14200 FILLER_42_1261 +*14201 FILLER_42_1273 +*14202 FILLER_42_1285 +*14203 FILLER_42_1300 +*14204 FILLER_42_1304 +*14205 FILLER_42_1317 +*14206 FILLER_42_1329 +*14207 FILLER_42_133 +*14208 FILLER_42_1341 +*14209 FILLER_42_1353 +*14210 FILLER_42_1365 +*14211 FILLER_42_1371 +*14212 FILLER_42_1373 +*14213 FILLER_42_1385 +*14214 FILLER_42_139 +*14215 FILLER_42_1397 +*14216 FILLER_42_1409 +*14217 FILLER_42_141 +*14218 FILLER_42_1421 +*14219 FILLER_42_1427 +*14220 FILLER_42_1429 +*14221 FILLER_42_1441 +*14222 FILLER_42_1447 +*14223 FILLER_42_1451 +*14224 FILLER_42_1455 +*14225 FILLER_42_1467 +*14226 FILLER_42_1479 +*14227 FILLER_42_1483 +*14228 FILLER_42_1485 +*14229 FILLER_42_1497 +*14230 FILLER_42_15 +*14231 FILLER_42_1509 +*14232 FILLER_42_1521 +*14233 FILLER_42_153 +*14234 FILLER_42_1533 +*14235 FILLER_42_1539 +*14236 FILLER_42_1541 +*14237 FILLER_42_1553 +*14238 FILLER_42_1565 +*14239 FILLER_42_1577 +*14240 FILLER_42_1589 +*14241 FILLER_42_1595 +*14242 FILLER_42_1597 +*14243 FILLER_42_1609 +*14244 FILLER_42_1621 +*14245 FILLER_42_1633 +*14246 FILLER_42_1645 +*14247 FILLER_42_165 +*14248 FILLER_42_1651 +*14249 FILLER_42_1653 +*14250 FILLER_42_1665 +*14251 FILLER_42_1677 +*14252 FILLER_42_1689 +*14253 FILLER_42_1701 +*14254 FILLER_42_1707 +*14255 FILLER_42_1709 +*14256 FILLER_42_1721 +*14257 FILLER_42_1733 +*14258 FILLER_42_1745 +*14259 FILLER_42_1757 +*14260 FILLER_42_1763 +*14261 FILLER_42_1765 +*14262 FILLER_42_177 +*14263 FILLER_42_1777 +*14264 FILLER_42_1789 +*14265 FILLER_42_1801 +*14266 FILLER_42_1813 +*14267 FILLER_42_1819 +*14268 FILLER_42_1821 +*14269 FILLER_42_1833 +*14270 FILLER_42_1845 +*14271 FILLER_42_1857 +*14272 FILLER_42_1869 +*14273 FILLER_42_1875 +*14274 FILLER_42_1877 +*14275 FILLER_42_1889 +*14276 FILLER_42_189 +*14277 FILLER_42_1903 +*14278 FILLER_42_1907 +*14279 FILLER_42_1919 +*14280 FILLER_42_1931 +*14281 FILLER_42_1933 +*14282 FILLER_42_1945 +*14283 FILLER_42_195 +*14284 FILLER_42_1957 +*14285 FILLER_42_1969 +*14286 FILLER_42_197 +*14287 FILLER_42_1981 +*14288 FILLER_42_1987 +*14289 FILLER_42_1989 +*14290 FILLER_42_2001 +*14291 FILLER_42_2013 +*14292 FILLER_42_2025 +*14293 FILLER_42_2037 +*14294 FILLER_42_2043 +*14295 FILLER_42_2045 +*14296 FILLER_42_2057 +*14297 FILLER_42_2069 +*14298 FILLER_42_2081 +*14299 FILLER_42_209 +*14300 FILLER_42_2093 +*14301 FILLER_42_2099 +*14302 FILLER_42_2101 +*14303 FILLER_42_2113 +*14304 FILLER_42_2125 +*14305 FILLER_42_2137 +*14306 FILLER_42_2149 +*14307 FILLER_42_2155 +*14308 FILLER_42_2157 +*14309 FILLER_42_2169 +*14310 FILLER_42_2181 +*14311 FILLER_42_2193 +*14312 FILLER_42_2205 +*14313 FILLER_42_221 +*14314 FILLER_42_2211 +*14315 FILLER_42_2213 +*14316 FILLER_42_2225 +*14317 FILLER_42_2237 +*14318 FILLER_42_2249 +*14319 FILLER_42_2261 +*14320 FILLER_42_2267 +*14321 FILLER_42_2269 +*14322 FILLER_42_2281 +*14323 FILLER_42_2293 +*14324 FILLER_42_2305 +*14325 FILLER_42_2317 +*14326 FILLER_42_2323 +*14327 FILLER_42_2325 +*14328 FILLER_42_233 +*14329 FILLER_42_2337 +*14330 FILLER_42_2349 +*14331 FILLER_42_2357 +*14332 FILLER_42_245 +*14333 FILLER_42_251 +*14334 FILLER_42_253 +*14335 FILLER_42_265 +*14336 FILLER_42_27 +*14337 FILLER_42_277 +*14338 FILLER_42_289 +*14339 FILLER_42_29 +*14340 FILLER_42_3 +*14341 FILLER_42_301 +*14342 FILLER_42_307 +*14343 FILLER_42_309 +*14344 FILLER_42_321 +*14345 FILLER_42_333 +*14346 FILLER_42_345 +*14347 FILLER_42_357 +*14348 FILLER_42_363 +*14349 FILLER_42_365 +*14350 FILLER_42_377 +*14351 FILLER_42_389 +*14352 FILLER_42_401 +*14353 FILLER_42_41 +*14354 FILLER_42_413 +*14355 FILLER_42_419 +*14356 FILLER_42_421 +*14357 FILLER_42_433 +*14358 FILLER_42_445 +*14359 FILLER_42_457 +*14360 FILLER_42_469 +*14361 FILLER_42_475 +*14362 FILLER_42_477 +*14363 FILLER_42_489 +*14364 FILLER_42_501 +*14365 FILLER_42_513 +*14366 FILLER_42_525 +*14367 FILLER_42_53 +*14368 FILLER_42_531 +*14369 FILLER_42_533 +*14370 FILLER_42_545 +*14371 FILLER_42_557 +*14372 FILLER_42_569 +*14373 FILLER_42_581 +*14374 FILLER_42_587 +*14375 FILLER_42_589 +*14376 FILLER_42_601 +*14377 FILLER_42_613 +*14378 FILLER_42_625 +*14379 FILLER_42_637 +*14380 FILLER_42_643 +*14381 FILLER_42_645 +*14382 FILLER_42_65 +*14383 FILLER_42_657 +*14384 FILLER_42_669 +*14385 FILLER_42_681 +*14386 FILLER_42_693 +*14387 FILLER_42_699 +*14388 FILLER_42_701 +*14389 FILLER_42_717 +*14390 FILLER_42_721 +*14391 FILLER_42_733 +*14392 FILLER_42_745 +*14393 FILLER_42_753 +*14394 FILLER_42_757 +*14395 FILLER_42_769 +*14396 FILLER_42_77 +*14397 FILLER_42_781 +*14398 FILLER_42_793 +*14399 FILLER_42_805 +*14400 FILLER_42_811 +*14401 FILLER_42_813 +*14402 FILLER_42_825 +*14403 FILLER_42_83 +*14404 FILLER_42_837 +*14405 FILLER_42_845 +*14406 FILLER_42_85 +*14407 FILLER_42_869 +*14408 FILLER_42_873 +*14409 FILLER_42_887 +*14410 FILLER_42_909 +*14411 FILLER_42_913 +*14412 FILLER_42_921 +*14413 FILLER_42_925 +*14414 FILLER_42_937 +*14415 FILLER_42_949 +*14416 FILLER_42_97 +*14417 FILLER_42_977 +*14418 FILLER_42_981 +*14419 FILLER_42_985 +*14420 FILLER_42_997 +*14421 FILLER_43_1001 +*14422 FILLER_43_1007 +*14423 FILLER_43_1009 +*14424 FILLER_43_1021 +*14425 FILLER_43_1033 +*14426 FILLER_43_1045 +*14427 FILLER_43_1049 +*14428 FILLER_43_105 +*14429 FILLER_43_1061 +*14430 FILLER_43_1065 +*14431 FILLER_43_1073 +*14432 FILLER_43_1076 +*14433 FILLER_43_1088 +*14434 FILLER_43_1093 +*14435 FILLER_43_1097 +*14436 FILLER_43_1109 +*14437 FILLER_43_111 +*14438 FILLER_43_1119 +*14439 FILLER_43_1121 +*14440 FILLER_43_113 +*14441 FILLER_43_1133 +*14442 FILLER_43_1145 +*14443 FILLER_43_1157 +*14444 FILLER_43_1169 +*14445 FILLER_43_1175 +*14446 FILLER_43_1177 +*14447 FILLER_43_1189 +*14448 FILLER_43_1201 +*14449 FILLER_43_1213 +*14450 FILLER_43_1225 +*14451 FILLER_43_1231 +*14452 FILLER_43_1233 +*14453 FILLER_43_1245 +*14454 FILLER_43_125 +*14455 FILLER_43_1257 +*14456 FILLER_43_1269 +*14457 FILLER_43_1273 +*14458 FILLER_43_1287 +*14459 FILLER_43_1289 +*14460 FILLER_43_1293 +*14461 FILLER_43_1305 +*14462 FILLER_43_1317 +*14463 FILLER_43_1329 +*14464 FILLER_43_1341 +*14465 FILLER_43_1345 +*14466 FILLER_43_1357 +*14467 FILLER_43_1369 +*14468 FILLER_43_137 +*14469 FILLER_43_1383 +*14470 FILLER_43_1387 +*14471 FILLER_43_1399 +*14472 FILLER_43_1401 +*14473 FILLER_43_1413 +*14474 FILLER_43_1425 +*14475 FILLER_43_1437 +*14476 FILLER_43_1449 +*14477 FILLER_43_1455 +*14478 FILLER_43_1457 +*14479 FILLER_43_1469 +*14480 FILLER_43_1481 +*14481 FILLER_43_149 +*14482 FILLER_43_1493 +*14483 FILLER_43_15 +*14484 FILLER_43_1505 +*14485 FILLER_43_1511 +*14486 FILLER_43_1513 +*14487 FILLER_43_1525 +*14488 FILLER_43_1537 +*14489 FILLER_43_1549 +*14490 FILLER_43_1561 +*14491 FILLER_43_1567 +*14492 FILLER_43_1569 +*14493 FILLER_43_1581 +*14494 FILLER_43_1593 +*14495 FILLER_43_1605 +*14496 FILLER_43_161 +*14497 FILLER_43_1617 +*14498 FILLER_43_1623 +*14499 FILLER_43_1625 +*14500 FILLER_43_1637 +*14501 FILLER_43_1649 +*14502 FILLER_43_1661 +*14503 FILLER_43_167 +*14504 FILLER_43_1673 +*14505 FILLER_43_1679 +*14506 FILLER_43_1681 +*14507 FILLER_43_169 +*14508 FILLER_43_1693 +*14509 FILLER_43_1705 +*14510 FILLER_43_1717 +*14511 FILLER_43_1729 +*14512 FILLER_43_1735 +*14513 FILLER_43_1737 +*14514 FILLER_43_1749 +*14515 FILLER_43_1761 +*14516 FILLER_43_1773 +*14517 FILLER_43_1785 +*14518 FILLER_43_1791 +*14519 FILLER_43_1793 +*14520 FILLER_43_1805 +*14521 FILLER_43_181 +*14522 FILLER_43_1817 +*14523 FILLER_43_1829 +*14524 FILLER_43_1841 +*14525 FILLER_43_1847 +*14526 FILLER_43_1849 +*14527 FILLER_43_1861 +*14528 FILLER_43_1873 +*14529 FILLER_43_1885 +*14530 FILLER_43_1897 +*14531 FILLER_43_1903 +*14532 FILLER_43_1905 +*14533 FILLER_43_1917 +*14534 FILLER_43_1929 +*14535 FILLER_43_193 +*14536 FILLER_43_1941 +*14537 FILLER_43_1953 +*14538 FILLER_43_1959 +*14539 FILLER_43_1961 +*14540 FILLER_43_1973 +*14541 FILLER_43_1985 +*14542 FILLER_43_1997 +*14543 FILLER_43_2009 +*14544 FILLER_43_2015 +*14545 FILLER_43_2017 +*14546 FILLER_43_2029 +*14547 FILLER_43_2041 +*14548 FILLER_43_205 +*14549 FILLER_43_2053 +*14550 FILLER_43_2059 +*14551 FILLER_43_2063 +*14552 FILLER_43_2067 +*14553 FILLER_43_2071 +*14554 FILLER_43_2073 +*14555 FILLER_43_2085 +*14556 FILLER_43_2097 +*14557 FILLER_43_2109 +*14558 FILLER_43_2121 +*14559 FILLER_43_2127 +*14560 FILLER_43_2129 +*14561 FILLER_43_2141 +*14562 FILLER_43_2153 +*14563 FILLER_43_2165 +*14564 FILLER_43_217 +*14565 FILLER_43_2177 +*14566 FILLER_43_2183 +*14567 FILLER_43_2185 +*14568 FILLER_43_2197 +*14569 FILLER_43_2209 +*14570 FILLER_43_2221 +*14571 FILLER_43_223 +*14572 FILLER_43_2233 +*14573 FILLER_43_2239 +*14574 FILLER_43_2241 +*14575 FILLER_43_225 +*14576 FILLER_43_2253 +*14577 FILLER_43_2265 +*14578 FILLER_43_2277 +*14579 FILLER_43_2289 +*14580 FILLER_43_2295 +*14581 FILLER_43_2297 +*14582 FILLER_43_2309 +*14583 FILLER_43_2321 +*14584 FILLER_43_2333 +*14585 FILLER_43_2345 +*14586 FILLER_43_2351 +*14587 FILLER_43_2353 +*14588 FILLER_43_2361 +*14589 FILLER_43_237 +*14590 FILLER_43_249 +*14591 FILLER_43_261 +*14592 FILLER_43_27 +*14593 FILLER_43_273 +*14594 FILLER_43_279 +*14595 FILLER_43_281 +*14596 FILLER_43_293 +*14597 FILLER_43_3 +*14598 FILLER_43_305 +*14599 FILLER_43_317 +*14600 FILLER_43_329 +*14601 FILLER_43_335 +*14602 FILLER_43_337 +*14603 FILLER_43_349 +*14604 FILLER_43_361 +*14605 FILLER_43_373 +*14606 FILLER_43_385 +*14607 FILLER_43_39 +*14608 FILLER_43_391 +*14609 FILLER_43_393 +*14610 FILLER_43_405 +*14611 FILLER_43_417 +*14612 FILLER_43_429 +*14613 FILLER_43_441 +*14614 FILLER_43_447 +*14615 FILLER_43_449 +*14616 FILLER_43_461 +*14617 FILLER_43_473 +*14618 FILLER_43_485 +*14619 FILLER_43_497 +*14620 FILLER_43_503 +*14621 FILLER_43_505 +*14622 FILLER_43_51 +*14623 FILLER_43_517 +*14624 FILLER_43_529 +*14625 FILLER_43_541 +*14626 FILLER_43_55 +*14627 FILLER_43_553 +*14628 FILLER_43_559 +*14629 FILLER_43_561 +*14630 FILLER_43_57 +*14631 FILLER_43_573 +*14632 FILLER_43_585 +*14633 FILLER_43_597 +*14634 FILLER_43_609 +*14635 FILLER_43_615 +*14636 FILLER_43_617 +*14637 FILLER_43_629 +*14638 FILLER_43_641 +*14639 FILLER_43_653 +*14640 FILLER_43_665 +*14641 FILLER_43_671 +*14642 FILLER_43_673 +*14643 FILLER_43_685 +*14644 FILLER_43_69 +*14645 FILLER_43_697 +*14646 FILLER_43_709 +*14647 FILLER_43_721 +*14648 FILLER_43_727 +*14649 FILLER_43_729 +*14650 FILLER_43_741 +*14651 FILLER_43_753 +*14652 FILLER_43_765 +*14653 FILLER_43_778 +*14654 FILLER_43_782 +*14655 FILLER_43_785 +*14656 FILLER_43_797 +*14657 FILLER_43_809 +*14658 FILLER_43_81 +*14659 FILLER_43_821 +*14660 FILLER_43_833 +*14661 FILLER_43_839 +*14662 FILLER_43_841 +*14663 FILLER_43_853 +*14664 FILLER_43_865 +*14665 FILLER_43_877 +*14666 FILLER_43_891 +*14667 FILLER_43_895 +*14668 FILLER_43_897 +*14669 FILLER_43_905 +*14670 FILLER_43_912 +*14671 FILLER_43_916 +*14672 FILLER_43_928 +*14673 FILLER_43_93 +*14674 FILLER_43_940 +*14675 FILLER_43_953 +*14676 FILLER_43_965 +*14677 FILLER_43_977 +*14678 FILLER_43_989 +*14679 FILLER_44_1005 +*14680 FILLER_44_1017 +*14681 FILLER_44_1029 +*14682 FILLER_44_1035 +*14683 FILLER_44_1037 +*14684 FILLER_44_1049 +*14685 FILLER_44_1061 +*14686 FILLER_44_1067 +*14687 FILLER_44_1073 +*14688 FILLER_44_109 +*14689 FILLER_44_1093 +*14690 FILLER_44_1097 +*14691 FILLER_44_1105 +*14692 FILLER_44_1114 +*14693 FILLER_44_1124 +*14694 FILLER_44_1128 +*14695 FILLER_44_1132 +*14696 FILLER_44_1144 +*14697 FILLER_44_1160 +*14698 FILLER_44_1164 +*14699 FILLER_44_1176 +*14700 FILLER_44_1188 +*14701 FILLER_44_1200 +*14702 FILLER_44_1205 +*14703 FILLER_44_121 +*14704 FILLER_44_1217 +*14705 FILLER_44_1229 +*14706 FILLER_44_1250 +*14707 FILLER_44_1254 +*14708 FILLER_44_1264 +*14709 FILLER_44_1268 +*14710 FILLER_44_1280 +*14711 FILLER_44_1284 +*14712 FILLER_44_1296 +*14713 FILLER_44_1308 +*14714 FILLER_44_1317 +*14715 FILLER_44_1329 +*14716 FILLER_44_133 +*14717 FILLER_44_1341 +*14718 FILLER_44_1353 +*14719 FILLER_44_1365 +*14720 FILLER_44_1371 +*14721 FILLER_44_1373 +*14722 FILLER_44_1385 +*14723 FILLER_44_139 +*14724 FILLER_44_1397 +*14725 FILLER_44_1409 +*14726 FILLER_44_141 +*14727 FILLER_44_1421 +*14728 FILLER_44_1427 +*14729 FILLER_44_1429 +*14730 FILLER_44_1450 +*14731 FILLER_44_1454 +*14732 FILLER_44_1466 +*14733 FILLER_44_1478 +*14734 FILLER_44_1485 +*14735 FILLER_44_1497 +*14736 FILLER_44_15 +*14737 FILLER_44_1509 +*14738 FILLER_44_1521 +*14739 FILLER_44_153 +*14740 FILLER_44_1533 +*14741 FILLER_44_1539 +*14742 FILLER_44_1541 +*14743 FILLER_44_1553 +*14744 FILLER_44_1565 +*14745 FILLER_44_1577 +*14746 FILLER_44_1589 +*14747 FILLER_44_1595 +*14748 FILLER_44_1597 +*14749 FILLER_44_1609 +*14750 FILLER_44_1621 +*14751 FILLER_44_1633 +*14752 FILLER_44_1645 +*14753 FILLER_44_165 +*14754 FILLER_44_1651 +*14755 FILLER_44_1653 +*14756 FILLER_44_1665 +*14757 FILLER_44_1677 +*14758 FILLER_44_1689 +*14759 FILLER_44_1701 +*14760 FILLER_44_1707 +*14761 FILLER_44_1709 +*14762 FILLER_44_1721 +*14763 FILLER_44_1733 +*14764 FILLER_44_1745 +*14765 FILLER_44_1757 +*14766 FILLER_44_1763 +*14767 FILLER_44_1765 +*14768 FILLER_44_177 +*14769 FILLER_44_1777 +*14770 FILLER_44_1789 +*14771 FILLER_44_1801 +*14772 FILLER_44_1813 +*14773 FILLER_44_1819 +*14774 FILLER_44_1821 +*14775 FILLER_44_1833 +*14776 FILLER_44_1845 +*14777 FILLER_44_1857 +*14778 FILLER_44_1869 +*14779 FILLER_44_1875 +*14780 FILLER_44_1877 +*14781 FILLER_44_1889 +*14782 FILLER_44_189 +*14783 FILLER_44_1901 +*14784 FILLER_44_1913 +*14785 FILLER_44_1925 +*14786 FILLER_44_1931 +*14787 FILLER_44_1933 +*14788 FILLER_44_1945 +*14789 FILLER_44_195 +*14790 FILLER_44_1957 +*14791 FILLER_44_1969 +*14792 FILLER_44_197 +*14793 FILLER_44_1981 +*14794 FILLER_44_1987 +*14795 FILLER_44_1989 +*14796 FILLER_44_2001 +*14797 FILLER_44_2013 +*14798 FILLER_44_2025 +*14799 FILLER_44_2037 +*14800 FILLER_44_2043 +*14801 FILLER_44_2045 +*14802 FILLER_44_2057 +*14803 FILLER_44_2069 +*14804 FILLER_44_2081 +*14805 FILLER_44_209 +*14806 FILLER_44_2093 +*14807 FILLER_44_2099 +*14808 FILLER_44_2101 +*14809 FILLER_44_2113 +*14810 FILLER_44_2125 +*14811 FILLER_44_2137 +*14812 FILLER_44_2149 +*14813 FILLER_44_2155 +*14814 FILLER_44_2157 +*14815 FILLER_44_2169 +*14816 FILLER_44_2181 +*14817 FILLER_44_2193 +*14818 FILLER_44_2205 +*14819 FILLER_44_221 +*14820 FILLER_44_2211 +*14821 FILLER_44_2213 +*14822 FILLER_44_2225 +*14823 FILLER_44_2237 +*14824 FILLER_44_2249 +*14825 FILLER_44_2261 +*14826 FILLER_44_2267 +*14827 FILLER_44_2269 +*14828 FILLER_44_2281 +*14829 FILLER_44_2293 +*14830 FILLER_44_2305 +*14831 FILLER_44_2309 +*14832 FILLER_44_2317 +*14833 FILLER_44_2321 +*14834 FILLER_44_2325 +*14835 FILLER_44_233 +*14836 FILLER_44_2337 +*14837 FILLER_44_2349 +*14838 FILLER_44_2361 +*14839 FILLER_44_245 +*14840 FILLER_44_251 +*14841 FILLER_44_253 +*14842 FILLER_44_265 +*14843 FILLER_44_27 +*14844 FILLER_44_277 +*14845 FILLER_44_289 +*14846 FILLER_44_29 +*14847 FILLER_44_3 +*14848 FILLER_44_301 +*14849 FILLER_44_307 +*14850 FILLER_44_309 +*14851 FILLER_44_321 +*14852 FILLER_44_333 +*14853 FILLER_44_345 +*14854 FILLER_44_357 +*14855 FILLER_44_363 +*14856 FILLER_44_365 +*14857 FILLER_44_377 +*14858 FILLER_44_389 +*14859 FILLER_44_401 +*14860 FILLER_44_41 +*14861 FILLER_44_413 +*14862 FILLER_44_419 +*14863 FILLER_44_421 +*14864 FILLER_44_433 +*14865 FILLER_44_445 +*14866 FILLER_44_457 +*14867 FILLER_44_469 +*14868 FILLER_44_475 +*14869 FILLER_44_477 +*14870 FILLER_44_489 +*14871 FILLER_44_501 +*14872 FILLER_44_513 +*14873 FILLER_44_525 +*14874 FILLER_44_53 +*14875 FILLER_44_531 +*14876 FILLER_44_533 +*14877 FILLER_44_545 +*14878 FILLER_44_557 +*14879 FILLER_44_569 +*14880 FILLER_44_581 +*14881 FILLER_44_587 +*14882 FILLER_44_589 +*14883 FILLER_44_601 +*14884 FILLER_44_613 +*14885 FILLER_44_625 +*14886 FILLER_44_637 +*14887 FILLER_44_643 +*14888 FILLER_44_645 +*14889 FILLER_44_65 +*14890 FILLER_44_657 +*14891 FILLER_44_669 +*14892 FILLER_44_681 +*14893 FILLER_44_693 +*14894 FILLER_44_699 +*14895 FILLER_44_701 +*14896 FILLER_44_713 +*14897 FILLER_44_725 +*14898 FILLER_44_737 +*14899 FILLER_44_749 +*14900 FILLER_44_755 +*14901 FILLER_44_757 +*14902 FILLER_44_769 +*14903 FILLER_44_77 +*14904 FILLER_44_781 +*14905 FILLER_44_793 +*14906 FILLER_44_805 +*14907 FILLER_44_811 +*14908 FILLER_44_813 +*14909 FILLER_44_826 +*14910 FILLER_44_83 +*14911 FILLER_44_830 +*14912 FILLER_44_842 +*14913 FILLER_44_85 +*14914 FILLER_44_854 +*14915 FILLER_44_866 +*14916 FILLER_44_869 +*14917 FILLER_44_881 +*14918 FILLER_44_893 +*14919 FILLER_44_905 +*14920 FILLER_44_917 +*14921 FILLER_44_921 +*14922 FILLER_44_936 +*14923 FILLER_44_940 +*14924 FILLER_44_952 +*14925 FILLER_44_964 +*14926 FILLER_44_97 +*14927 FILLER_44_976 +*14928 FILLER_44_981 +*14929 FILLER_44_993 +*14930 FILLER_45_1001 +*14931 FILLER_45_1007 +*14932 FILLER_45_1009 +*14933 FILLER_45_1021 +*14934 FILLER_45_1033 +*14935 FILLER_45_1045 +*14936 FILLER_45_105 +*14937 FILLER_45_1057 +*14938 FILLER_45_1063 +*14939 FILLER_45_1065 +*14940 FILLER_45_1077 +*14941 FILLER_45_1089 +*14942 FILLER_45_1101 +*14943 FILLER_45_111 +*14944 FILLER_45_1113 +*14945 FILLER_45_1119 +*14946 FILLER_45_1121 +*14947 FILLER_45_1125 +*14948 FILLER_45_113 +*14949 FILLER_45_1132 +*14950 FILLER_45_1136 +*14951 FILLER_45_1148 +*14952 FILLER_45_1160 +*14953 FILLER_45_1172 +*14954 FILLER_45_1177 +*14955 FILLER_45_1189 +*14956 FILLER_45_1201 +*14957 FILLER_45_1213 +*14958 FILLER_45_1225 +*14959 FILLER_45_1231 +*14960 FILLER_45_1233 +*14961 FILLER_45_1245 +*14962 FILLER_45_125 +*14963 FILLER_45_1257 +*14964 FILLER_45_1269 +*14965 FILLER_45_1281 +*14966 FILLER_45_1287 +*14967 FILLER_45_1289 +*14968 FILLER_45_1301 +*14969 FILLER_45_1313 +*14970 FILLER_45_1325 +*14971 FILLER_45_1337 +*14972 FILLER_45_1343 +*14973 FILLER_45_1345 +*14974 FILLER_45_1357 +*14975 FILLER_45_1369 +*14976 FILLER_45_137 +*14977 FILLER_45_1381 +*14978 FILLER_45_1393 +*14979 FILLER_45_1399 +*14980 FILLER_45_1401 +*14981 FILLER_45_1413 +*14982 FILLER_45_1425 +*14983 FILLER_45_1437 +*14984 FILLER_45_1449 +*14985 FILLER_45_1455 +*14986 FILLER_45_1457 +*14987 FILLER_45_1469 +*14988 FILLER_45_1481 +*14989 FILLER_45_149 +*14990 FILLER_45_1493 +*14991 FILLER_45_15 +*14992 FILLER_45_1505 +*14993 FILLER_45_1511 +*14994 FILLER_45_1513 +*14995 FILLER_45_1525 +*14996 FILLER_45_1537 +*14997 FILLER_45_1549 +*14998 FILLER_45_1561 +*14999 FILLER_45_1567 +*15000 FILLER_45_1569 +*15001 FILLER_45_1581 +*15002 FILLER_45_1593 +*15003 FILLER_45_1605 +*15004 FILLER_45_161 +*15005 FILLER_45_1617 +*15006 FILLER_45_1623 +*15007 FILLER_45_1625 +*15008 FILLER_45_1637 +*15009 FILLER_45_1649 +*15010 FILLER_45_1661 +*15011 FILLER_45_167 +*15012 FILLER_45_1673 +*15013 FILLER_45_1679 +*15014 FILLER_45_1681 +*15015 FILLER_45_169 +*15016 FILLER_45_1693 +*15017 FILLER_45_1705 +*15018 FILLER_45_1717 +*15019 FILLER_45_1729 +*15020 FILLER_45_1735 +*15021 FILLER_45_1737 +*15022 FILLER_45_1749 +*15023 FILLER_45_1761 +*15024 FILLER_45_1773 +*15025 FILLER_45_1785 +*15026 FILLER_45_1791 +*15027 FILLER_45_1793 +*15028 FILLER_45_1805 +*15029 FILLER_45_181 +*15030 FILLER_45_1817 +*15031 FILLER_45_1829 +*15032 FILLER_45_1841 +*15033 FILLER_45_1847 +*15034 FILLER_45_1849 +*15035 FILLER_45_1861 +*15036 FILLER_45_1873 +*15037 FILLER_45_1885 +*15038 FILLER_45_1897 +*15039 FILLER_45_1903 +*15040 FILLER_45_1905 +*15041 FILLER_45_1917 +*15042 FILLER_45_1929 +*15043 FILLER_45_193 +*15044 FILLER_45_1941 +*15045 FILLER_45_1953 +*15046 FILLER_45_1959 +*15047 FILLER_45_1961 +*15048 FILLER_45_1973 +*15049 FILLER_45_1985 +*15050 FILLER_45_1997 +*15051 FILLER_45_2009 +*15052 FILLER_45_2015 +*15053 FILLER_45_2017 +*15054 FILLER_45_2029 +*15055 FILLER_45_2041 +*15056 FILLER_45_205 +*15057 FILLER_45_2062 +*15058 FILLER_45_2066 +*15059 FILLER_45_2073 +*15060 FILLER_45_2085 +*15061 FILLER_45_2097 +*15062 FILLER_45_2109 +*15063 FILLER_45_2121 +*15064 FILLER_45_2127 +*15065 FILLER_45_2129 +*15066 FILLER_45_2141 +*15067 FILLER_45_2153 +*15068 FILLER_45_2165 +*15069 FILLER_45_217 +*15070 FILLER_45_2177 +*15071 FILLER_45_2183 +*15072 FILLER_45_2185 +*15073 FILLER_45_2197 +*15074 FILLER_45_2209 +*15075 FILLER_45_2221 +*15076 FILLER_45_223 +*15077 FILLER_45_2233 +*15078 FILLER_45_2239 +*15079 FILLER_45_2241 +*15080 FILLER_45_225 +*15081 FILLER_45_2253 +*15082 FILLER_45_2265 +*15083 FILLER_45_2277 +*15084 FILLER_45_2289 +*15085 FILLER_45_2295 +*15086 FILLER_45_2297 +*15087 FILLER_45_2305 +*15088 FILLER_45_2320 +*15089 FILLER_45_2324 +*15090 FILLER_45_2328 +*15091 FILLER_45_2340 +*15092 FILLER_45_2353 +*15093 FILLER_45_2361 +*15094 FILLER_45_237 +*15095 FILLER_45_249 +*15096 FILLER_45_261 +*15097 FILLER_45_27 +*15098 FILLER_45_273 +*15099 FILLER_45_279 +*15100 FILLER_45_281 +*15101 FILLER_45_293 +*15102 FILLER_45_3 +*15103 FILLER_45_305 +*15104 FILLER_45_317 +*15105 FILLER_45_329 +*15106 FILLER_45_335 +*15107 FILLER_45_337 +*15108 FILLER_45_349 +*15109 FILLER_45_361 +*15110 FILLER_45_373 +*15111 FILLER_45_385 +*15112 FILLER_45_39 +*15113 FILLER_45_391 +*15114 FILLER_45_393 +*15115 FILLER_45_405 +*15116 FILLER_45_417 +*15117 FILLER_45_429 +*15118 FILLER_45_441 +*15119 FILLER_45_447 +*15120 FILLER_45_449 +*15121 FILLER_45_461 +*15122 FILLER_45_473 +*15123 FILLER_45_485 +*15124 FILLER_45_497 +*15125 FILLER_45_503 +*15126 FILLER_45_505 +*15127 FILLER_45_51 +*15128 FILLER_45_517 +*15129 FILLER_45_529 +*15130 FILLER_45_541 +*15131 FILLER_45_55 +*15132 FILLER_45_553 +*15133 FILLER_45_559 +*15134 FILLER_45_561 +*15135 FILLER_45_57 +*15136 FILLER_45_573 +*15137 FILLER_45_585 +*15138 FILLER_45_597 +*15139 FILLER_45_609 +*15140 FILLER_45_615 +*15141 FILLER_45_617 +*15142 FILLER_45_629 +*15143 FILLER_45_641 +*15144 FILLER_45_653 +*15145 FILLER_45_665 +*15146 FILLER_45_671 +*15147 FILLER_45_673 +*15148 FILLER_45_685 +*15149 FILLER_45_69 +*15150 FILLER_45_697 +*15151 FILLER_45_709 +*15152 FILLER_45_721 +*15153 FILLER_45_727 +*15154 FILLER_45_729 +*15155 FILLER_45_741 +*15156 FILLER_45_753 +*15157 FILLER_45_765 +*15158 FILLER_45_777 +*15159 FILLER_45_783 +*15160 FILLER_45_785 +*15161 FILLER_45_797 +*15162 FILLER_45_809 +*15163 FILLER_45_81 +*15164 FILLER_45_821 +*15165 FILLER_45_833 +*15166 FILLER_45_839 +*15167 FILLER_45_841 +*15168 FILLER_45_853 +*15169 FILLER_45_865 +*15170 FILLER_45_877 +*15171 FILLER_45_889 +*15172 FILLER_45_895 +*15173 FILLER_45_900 +*15174 FILLER_45_904 +*15175 FILLER_45_916 +*15176 FILLER_45_93 +*15177 FILLER_45_937 +*15178 FILLER_45_943 +*15179 FILLER_45_947 +*15180 FILLER_45_951 +*15181 FILLER_45_953 +*15182 FILLER_45_965 +*15183 FILLER_45_977 +*15184 FILLER_45_989 +*15185 FILLER_46_1005 +*15186 FILLER_46_1017 +*15187 FILLER_46_1029 +*15188 FILLER_46_1035 +*15189 FILLER_46_1037 +*15190 FILLER_46_1049 +*15191 FILLER_46_1061 +*15192 FILLER_46_1073 +*15193 FILLER_46_1085 +*15194 FILLER_46_1089 +*15195 FILLER_46_109 +*15196 FILLER_46_1104 +*15197 FILLER_46_1108 +*15198 FILLER_46_1120 +*15199 FILLER_46_1127 +*15200 FILLER_46_1131 +*15201 FILLER_46_1143 +*15202 FILLER_46_1147 +*15203 FILLER_46_1149 +*15204 FILLER_46_1161 +*15205 FILLER_46_1173 +*15206 FILLER_46_1185 +*15207 FILLER_46_1197 +*15208 FILLER_46_1203 +*15209 FILLER_46_1205 +*15210 FILLER_46_121 +*15211 FILLER_46_1217 +*15212 FILLER_46_1229 +*15213 FILLER_46_1241 +*15214 FILLER_46_1253 +*15215 FILLER_46_1257 +*15216 FILLER_46_1272 +*15217 FILLER_46_1276 +*15218 FILLER_46_1288 +*15219 FILLER_46_1300 +*15220 FILLER_46_1312 +*15221 FILLER_46_1317 +*15222 FILLER_46_1329 +*15223 FILLER_46_133 +*15224 FILLER_46_1341 +*15225 FILLER_46_1353 +*15226 FILLER_46_1365 +*15227 FILLER_46_1371 +*15228 FILLER_46_1373 +*15229 FILLER_46_1385 +*15230 FILLER_46_139 +*15231 FILLER_46_1397 +*15232 FILLER_46_1409 +*15233 FILLER_46_141 +*15234 FILLER_46_1421 +*15235 FILLER_46_1425 +*15236 FILLER_46_1440 +*15237 FILLER_46_1444 +*15238 FILLER_46_1456 +*15239 FILLER_46_1468 +*15240 FILLER_46_1480 +*15241 FILLER_46_1485 +*15242 FILLER_46_1497 +*15243 FILLER_46_15 +*15244 FILLER_46_1509 +*15245 FILLER_46_1521 +*15246 FILLER_46_153 +*15247 FILLER_46_1533 +*15248 FILLER_46_1539 +*15249 FILLER_46_1541 +*15250 FILLER_46_1553 +*15251 FILLER_46_1565 +*15252 FILLER_46_1577 +*15253 FILLER_46_1589 +*15254 FILLER_46_1595 +*15255 FILLER_46_1597 +*15256 FILLER_46_1609 +*15257 FILLER_46_1621 +*15258 FILLER_46_1633 +*15259 FILLER_46_1645 +*15260 FILLER_46_165 +*15261 FILLER_46_1651 +*15262 FILLER_46_1653 +*15263 FILLER_46_1665 +*15264 FILLER_46_1677 +*15265 FILLER_46_1689 +*15266 FILLER_46_1701 +*15267 FILLER_46_1707 +*15268 FILLER_46_1709 +*15269 FILLER_46_1721 +*15270 FILLER_46_1733 +*15271 FILLER_46_1745 +*15272 FILLER_46_1757 +*15273 FILLER_46_1763 +*15274 FILLER_46_1765 +*15275 FILLER_46_177 +*15276 FILLER_46_1777 +*15277 FILLER_46_1789 +*15278 FILLER_46_1801 +*15279 FILLER_46_1813 +*15280 FILLER_46_1819 +*15281 FILLER_46_1821 +*15282 FILLER_46_1833 +*15283 FILLER_46_1845 +*15284 FILLER_46_1857 +*15285 FILLER_46_1869 +*15286 FILLER_46_1875 +*15287 FILLER_46_1877 +*15288 FILLER_46_1889 +*15289 FILLER_46_189 +*15290 FILLER_46_1901 +*15291 FILLER_46_1913 +*15292 FILLER_46_1925 +*15293 FILLER_46_1931 +*15294 FILLER_46_1933 +*15295 FILLER_46_1945 +*15296 FILLER_46_195 +*15297 FILLER_46_1957 +*15298 FILLER_46_1969 +*15299 FILLER_46_197 +*15300 FILLER_46_1981 +*15301 FILLER_46_1987 +*15302 FILLER_46_1989 +*15303 FILLER_46_2001 +*15304 FILLER_46_2013 +*15305 FILLER_46_2025 +*15306 FILLER_46_2037 +*15307 FILLER_46_2043 +*15308 FILLER_46_2045 +*15309 FILLER_46_2057 +*15310 FILLER_46_2069 +*15311 FILLER_46_209 +*15312 FILLER_46_2094 +*15313 FILLER_46_2098 +*15314 FILLER_46_2101 +*15315 FILLER_46_2113 +*15316 FILLER_46_2125 +*15317 FILLER_46_2137 +*15318 FILLER_46_2149 +*15319 FILLER_46_2155 +*15320 FILLER_46_2157 +*15321 FILLER_46_2169 +*15322 FILLER_46_2181 +*15323 FILLER_46_2193 +*15324 FILLER_46_2205 +*15325 FILLER_46_221 +*15326 FILLER_46_2211 +*15327 FILLER_46_2213 +*15328 FILLER_46_2225 +*15329 FILLER_46_2237 +*15330 FILLER_46_2249 +*15331 FILLER_46_2261 +*15332 FILLER_46_2267 +*15333 FILLER_46_2269 +*15334 FILLER_46_2281 +*15335 FILLER_46_2285 +*15336 FILLER_46_2293 +*15337 FILLER_46_2297 +*15338 FILLER_46_2305 +*15339 FILLER_46_2325 +*15340 FILLER_46_2329 +*15341 FILLER_46_233 +*15342 FILLER_46_2341 +*15343 FILLER_46_2353 +*15344 FILLER_46_2361 +*15345 FILLER_46_245 +*15346 FILLER_46_251 +*15347 FILLER_46_253 +*15348 FILLER_46_265 +*15349 FILLER_46_27 +*15350 FILLER_46_277 +*15351 FILLER_46_289 +*15352 FILLER_46_29 +*15353 FILLER_46_3 +*15354 FILLER_46_301 +*15355 FILLER_46_307 +*15356 FILLER_46_309 +*15357 FILLER_46_321 +*15358 FILLER_46_333 +*15359 FILLER_46_345 +*15360 FILLER_46_357 +*15361 FILLER_46_363 +*15362 FILLER_46_365 +*15363 FILLER_46_377 +*15364 FILLER_46_389 +*15365 FILLER_46_401 +*15366 FILLER_46_41 +*15367 FILLER_46_413 +*15368 FILLER_46_419 +*15369 FILLER_46_421 +*15370 FILLER_46_433 +*15371 FILLER_46_445 +*15372 FILLER_46_457 +*15373 FILLER_46_469 +*15374 FILLER_46_475 +*15375 FILLER_46_477 +*15376 FILLER_46_489 +*15377 FILLER_46_501 +*15378 FILLER_46_513 +*15379 FILLER_46_525 +*15380 FILLER_46_53 +*15381 FILLER_46_531 +*15382 FILLER_46_533 +*15383 FILLER_46_545 +*15384 FILLER_46_557 +*15385 FILLER_46_569 +*15386 FILLER_46_581 +*15387 FILLER_46_587 +*15388 FILLER_46_589 +*15389 FILLER_46_601 +*15390 FILLER_46_613 +*15391 FILLER_46_625 +*15392 FILLER_46_637 +*15393 FILLER_46_643 +*15394 FILLER_46_645 +*15395 FILLER_46_65 +*15396 FILLER_46_657 +*15397 FILLER_46_669 +*15398 FILLER_46_681 +*15399 FILLER_46_693 +*15400 FILLER_46_699 +*15401 FILLER_46_701 +*15402 FILLER_46_713 +*15403 FILLER_46_725 +*15404 FILLER_46_737 +*15405 FILLER_46_749 +*15406 FILLER_46_755 +*15407 FILLER_46_757 +*15408 FILLER_46_769 +*15409 FILLER_46_77 +*15410 FILLER_46_781 +*15411 FILLER_46_793 +*15412 FILLER_46_805 +*15413 FILLER_46_811 +*15414 FILLER_46_813 +*15415 FILLER_46_825 +*15416 FILLER_46_83 +*15417 FILLER_46_837 +*15418 FILLER_46_849 +*15419 FILLER_46_85 +*15420 FILLER_46_861 +*15421 FILLER_46_867 +*15422 FILLER_46_869 +*15423 FILLER_46_883 +*15424 FILLER_46_887 +*15425 FILLER_46_895 +*15426 FILLER_46_899 +*15427 FILLER_46_911 +*15428 FILLER_46_923 +*15429 FILLER_46_925 +*15430 FILLER_46_933 +*15431 FILLER_46_937 +*15432 FILLER_46_949 +*15433 FILLER_46_961 +*15434 FILLER_46_97 +*15435 FILLER_46_973 +*15436 FILLER_46_979 +*15437 FILLER_46_981 +*15438 FILLER_46_993 +*15439 FILLER_47_10 +*15440 FILLER_47_1001 +*15441 FILLER_47_1007 +*15442 FILLER_47_1009 +*15443 FILLER_47_1021 +*15444 FILLER_47_1033 +*15445 FILLER_47_1045 +*15446 FILLER_47_105 +*15447 FILLER_47_1057 +*15448 FILLER_47_1061 +*15449 FILLER_47_1065 +*15450 FILLER_47_1084 +*15451 FILLER_47_1088 +*15452 FILLER_47_1100 +*15453 FILLER_47_111 +*15454 FILLER_47_1112 +*15455 FILLER_47_113 +*15456 FILLER_47_1139 +*15457 FILLER_47_1143 +*15458 FILLER_47_1150 +*15459 FILLER_47_1154 +*15460 FILLER_47_1166 +*15461 FILLER_47_1174 +*15462 FILLER_47_1177 +*15463 FILLER_47_1189 +*15464 FILLER_47_1201 +*15465 FILLER_47_1213 +*15466 FILLER_47_1225 +*15467 FILLER_47_1231 +*15468 FILLER_47_1233 +*15469 FILLER_47_1245 +*15470 FILLER_47_125 +*15471 FILLER_47_1257 +*15472 FILLER_47_1269 +*15473 FILLER_47_1281 +*15474 FILLER_47_1287 +*15475 FILLER_47_1289 +*15476 FILLER_47_1297 +*15477 FILLER_47_1308 +*15478 FILLER_47_1312 +*15479 FILLER_47_1324 +*15480 FILLER_47_1336 +*15481 FILLER_47_1345 +*15482 FILLER_47_1357 +*15483 FILLER_47_1369 +*15484 FILLER_47_137 +*15485 FILLER_47_1381 +*15486 FILLER_47_1393 +*15487 FILLER_47_1399 +*15488 FILLER_47_1401 +*15489 FILLER_47_1413 +*15490 FILLER_47_1425 +*15491 FILLER_47_1437 +*15492 FILLER_47_1449 +*15493 FILLER_47_1455 +*15494 FILLER_47_1457 +*15495 FILLER_47_1469 +*15496 FILLER_47_1481 +*15497 FILLER_47_149 +*15498 FILLER_47_1493 +*15499 FILLER_47_1505 +*15500 FILLER_47_1511 +*15501 FILLER_47_1513 +*15502 FILLER_47_1525 +*15503 FILLER_47_1537 +*15504 FILLER_47_1549 +*15505 FILLER_47_1561 +*15506 FILLER_47_1567 +*15507 FILLER_47_1569 +*15508 FILLER_47_1581 +*15509 FILLER_47_1593 +*15510 FILLER_47_1605 +*15511 FILLER_47_161 +*15512 FILLER_47_1617 +*15513 FILLER_47_1623 +*15514 FILLER_47_1625 +*15515 FILLER_47_1637 +*15516 FILLER_47_1649 +*15517 FILLER_47_1661 +*15518 FILLER_47_167 +*15519 FILLER_47_1673 +*15520 FILLER_47_1679 +*15521 FILLER_47_1681 +*15522 FILLER_47_169 +*15523 FILLER_47_1693 +*15524 FILLER_47_1705 +*15525 FILLER_47_1713 +*15526 FILLER_47_1717 +*15527 FILLER_47_1729 +*15528 FILLER_47_1735 +*15529 FILLER_47_1737 +*15530 FILLER_47_1749 +*15531 FILLER_47_1761 +*15532 FILLER_47_1773 +*15533 FILLER_47_1785 +*15534 FILLER_47_1791 +*15535 FILLER_47_1793 +*15536 FILLER_47_1805 +*15537 FILLER_47_181 +*15538 FILLER_47_1817 +*15539 FILLER_47_1829 +*15540 FILLER_47_1841 +*15541 FILLER_47_1847 +*15542 FILLER_47_1849 +*15543 FILLER_47_1861 +*15544 FILLER_47_1873 +*15545 FILLER_47_1885 +*15546 FILLER_47_1897 +*15547 FILLER_47_1903 +*15548 FILLER_47_1905 +*15549 FILLER_47_1917 +*15550 FILLER_47_1929 +*15551 FILLER_47_193 +*15552 FILLER_47_1941 +*15553 FILLER_47_1953 +*15554 FILLER_47_1959 +*15555 FILLER_47_1961 +*15556 FILLER_47_1973 +*15557 FILLER_47_1985 +*15558 FILLER_47_1997 +*15559 FILLER_47_2009 +*15560 FILLER_47_2015 +*15561 FILLER_47_2017 +*15562 FILLER_47_2029 +*15563 FILLER_47_2044 +*15564 FILLER_47_2048 +*15565 FILLER_47_205 +*15566 FILLER_47_2060 +*15567 FILLER_47_2073 +*15568 FILLER_47_2085 +*15569 FILLER_47_2097 +*15570 FILLER_47_2109 +*15571 FILLER_47_2121 +*15572 FILLER_47_2127 +*15573 FILLER_47_2129 +*15574 FILLER_47_2141 +*15575 FILLER_47_2153 +*15576 FILLER_47_2165 +*15577 FILLER_47_217 +*15578 FILLER_47_2177 +*15579 FILLER_47_2183 +*15580 FILLER_47_2185 +*15581 FILLER_47_2197 +*15582 FILLER_47_22 +*15583 FILLER_47_2209 +*15584 FILLER_47_2222 +*15585 FILLER_47_2226 +*15586 FILLER_47_223 +*15587 FILLER_47_2238 +*15588 FILLER_47_2241 +*15589 FILLER_47_225 +*15590 FILLER_47_2253 +*15591 FILLER_47_2265 +*15592 FILLER_47_2277 +*15593 FILLER_47_2289 +*15594 FILLER_47_2295 +*15595 FILLER_47_2297 +*15596 FILLER_47_2305 +*15597 FILLER_47_2313 +*15598 FILLER_47_2317 +*15599 FILLER_47_2321 +*15600 FILLER_47_2333 +*15601 FILLER_47_2345 +*15602 FILLER_47_2351 +*15603 FILLER_47_2353 +*15604 FILLER_47_2361 +*15605 FILLER_47_237 +*15606 FILLER_47_249 +*15607 FILLER_47_261 +*15608 FILLER_47_273 +*15609 FILLER_47_279 +*15610 FILLER_47_281 +*15611 FILLER_47_293 +*15612 FILLER_47_305 +*15613 FILLER_47_317 +*15614 FILLER_47_329 +*15615 FILLER_47_335 +*15616 FILLER_47_337 +*15617 FILLER_47_34 +*15618 FILLER_47_349 +*15619 FILLER_47_361 +*15620 FILLER_47_373 +*15621 FILLER_47_385 +*15622 FILLER_47_391 +*15623 FILLER_47_393 +*15624 FILLER_47_405 +*15625 FILLER_47_417 +*15626 FILLER_47_429 +*15627 FILLER_47_441 +*15628 FILLER_47_447 +*15629 FILLER_47_449 +*15630 FILLER_47_46 +*15631 FILLER_47_461 +*15632 FILLER_47_473 +*15633 FILLER_47_485 +*15634 FILLER_47_497 +*15635 FILLER_47_503 +*15636 FILLER_47_505 +*15637 FILLER_47_517 +*15638 FILLER_47_529 +*15639 FILLER_47_54 +*15640 FILLER_47_541 +*15641 FILLER_47_553 +*15642 FILLER_47_559 +*15643 FILLER_47_561 +*15644 FILLER_47_57 +*15645 FILLER_47_573 +*15646 FILLER_47_585 +*15647 FILLER_47_597 +*15648 FILLER_47_6 +*15649 FILLER_47_609 +*15650 FILLER_47_615 +*15651 FILLER_47_617 +*15652 FILLER_47_629 +*15653 FILLER_47_641 +*15654 FILLER_47_653 +*15655 FILLER_47_665 +*15656 FILLER_47_671 +*15657 FILLER_47_673 +*15658 FILLER_47_685 +*15659 FILLER_47_69 +*15660 FILLER_47_697 +*15661 FILLER_47_709 +*15662 FILLER_47_721 +*15663 FILLER_47_727 +*15664 FILLER_47_729 +*15665 FILLER_47_741 +*15666 FILLER_47_753 +*15667 FILLER_47_765 +*15668 FILLER_47_780 +*15669 FILLER_47_785 +*15670 FILLER_47_797 +*15671 FILLER_47_809 +*15672 FILLER_47_81 +*15673 FILLER_47_821 +*15674 FILLER_47_833 +*15675 FILLER_47_839 +*15676 FILLER_47_841 +*15677 FILLER_47_853 +*15678 FILLER_47_865 +*15679 FILLER_47_877 +*15680 FILLER_47_883 +*15681 FILLER_47_915 +*15682 FILLER_47_919 +*15683 FILLER_47_93 +*15684 FILLER_47_931 +*15685 FILLER_47_943 +*15686 FILLER_47_951 +*15687 FILLER_47_953 +*15688 FILLER_47_965 +*15689 FILLER_47_977 +*15690 FILLER_47_989 +*15691 FILLER_48_1005 +*15692 FILLER_48_1017 +*15693 FILLER_48_1029 +*15694 FILLER_48_1035 +*15695 FILLER_48_1037 +*15696 FILLER_48_1049 +*15697 FILLER_48_1061 +*15698 FILLER_48_1073 +*15699 FILLER_48_1085 +*15700 FILLER_48_109 +*15701 FILLER_48_1091 +*15702 FILLER_48_1093 +*15703 FILLER_48_1105 +*15704 FILLER_48_1137 +*15705 FILLER_48_1141 +*15706 FILLER_48_1147 +*15707 FILLER_48_1149 +*15708 FILLER_48_1161 +*15709 FILLER_48_1173 +*15710 FILLER_48_1185 +*15711 FILLER_48_1197 +*15712 FILLER_48_1203 +*15713 FILLER_48_1205 +*15714 FILLER_48_121 +*15715 FILLER_48_1217 +*15716 FILLER_48_1229 +*15717 FILLER_48_1241 +*15718 FILLER_48_1253 +*15719 FILLER_48_1259 +*15720 FILLER_48_1261 +*15721 FILLER_48_1273 +*15722 FILLER_48_1285 +*15723 FILLER_48_1297 +*15724 FILLER_48_1309 +*15725 FILLER_48_1315 +*15726 FILLER_48_1317 +*15727 FILLER_48_1329 +*15728 FILLER_48_133 +*15729 FILLER_48_1341 +*15730 FILLER_48_1353 +*15731 FILLER_48_1365 +*15732 FILLER_48_1371 +*15733 FILLER_48_1373 +*15734 FILLER_48_1385 +*15735 FILLER_48_139 +*15736 FILLER_48_1397 +*15737 FILLER_48_1409 +*15738 FILLER_48_141 +*15739 FILLER_48_1421 +*15740 FILLER_48_1427 +*15741 FILLER_48_1429 +*15742 FILLER_48_1441 +*15743 FILLER_48_1453 +*15744 FILLER_48_1465 +*15745 FILLER_48_1477 +*15746 FILLER_48_1483 +*15747 FILLER_48_1485 +*15748 FILLER_48_1497 +*15749 FILLER_48_15 +*15750 FILLER_48_1509 +*15751 FILLER_48_1521 +*15752 FILLER_48_153 +*15753 FILLER_48_1533 +*15754 FILLER_48_1539 +*15755 FILLER_48_1541 +*15756 FILLER_48_1553 +*15757 FILLER_48_1565 +*15758 FILLER_48_1577 +*15759 FILLER_48_1589 +*15760 FILLER_48_1595 +*15761 FILLER_48_1597 +*15762 FILLER_48_1609 +*15763 FILLER_48_1621 +*15764 FILLER_48_1633 +*15765 FILLER_48_1645 +*15766 FILLER_48_165 +*15767 FILLER_48_1651 +*15768 FILLER_48_1666 +*15769 FILLER_48_1670 +*15770 FILLER_48_1682 +*15771 FILLER_48_1694 +*15772 FILLER_48_1706 +*15773 FILLER_48_1722 +*15774 FILLER_48_1737 +*15775 FILLER_48_1741 +*15776 FILLER_48_1753 +*15777 FILLER_48_1761 +*15778 FILLER_48_177 +*15779 FILLER_48_1778 +*15780 FILLER_48_1782 +*15781 FILLER_48_1794 +*15782 FILLER_48_1806 +*15783 FILLER_48_1818 +*15784 FILLER_48_1821 +*15785 FILLER_48_1833 +*15786 FILLER_48_1845 +*15787 FILLER_48_1857 +*15788 FILLER_48_1869 +*15789 FILLER_48_1875 +*15790 FILLER_48_1877 +*15791 FILLER_48_1889 +*15792 FILLER_48_189 +*15793 FILLER_48_1895 +*15794 FILLER_48_1909 +*15795 FILLER_48_1913 +*15796 FILLER_48_1925 +*15797 FILLER_48_1931 +*15798 FILLER_48_1933 +*15799 FILLER_48_1945 +*15800 FILLER_48_195 +*15801 FILLER_48_1964 +*15802 FILLER_48_1968 +*15803 FILLER_48_197 +*15804 FILLER_48_1980 +*15805 FILLER_48_1989 +*15806 FILLER_48_2001 +*15807 FILLER_48_2013 +*15808 FILLER_48_2025 +*15809 FILLER_48_2037 +*15810 FILLER_48_2043 +*15811 FILLER_48_2045 +*15812 FILLER_48_2057 +*15813 FILLER_48_2061 +*15814 FILLER_48_2064 +*15815 FILLER_48_2076 +*15816 FILLER_48_2088 +*15817 FILLER_48_209 +*15818 FILLER_48_2114 +*15819 FILLER_48_2118 +*15820 FILLER_48_2130 +*15821 FILLER_48_2145 +*15822 FILLER_48_2149 +*15823 FILLER_48_2155 +*15824 FILLER_48_2157 +*15825 FILLER_48_2169 +*15826 FILLER_48_2181 +*15827 FILLER_48_2193 +*15828 FILLER_48_2205 +*15829 FILLER_48_221 +*15830 FILLER_48_2211 +*15831 FILLER_48_2226 +*15832 FILLER_48_2230 +*15833 FILLER_48_2242 +*15834 FILLER_48_2254 +*15835 FILLER_48_2266 +*15836 FILLER_48_2269 +*15837 FILLER_48_2281 +*15838 FILLER_48_2293 +*15839 FILLER_48_2305 +*15840 FILLER_48_2313 +*15841 FILLER_48_2317 +*15842 FILLER_48_2323 +*15843 FILLER_48_2325 +*15844 FILLER_48_233 +*15845 FILLER_48_2337 +*15846 FILLER_48_2349 +*15847 FILLER_48_2357 +*15848 FILLER_48_245 +*15849 FILLER_48_251 +*15850 FILLER_48_253 +*15851 FILLER_48_265 +*15852 FILLER_48_27 +*15853 FILLER_48_277 +*15854 FILLER_48_289 +*15855 FILLER_48_29 +*15856 FILLER_48_3 +*15857 FILLER_48_301 +*15858 FILLER_48_307 +*15859 FILLER_48_309 +*15860 FILLER_48_321 +*15861 FILLER_48_333 +*15862 FILLER_48_345 +*15863 FILLER_48_357 +*15864 FILLER_48_363 +*15865 FILLER_48_365 +*15866 FILLER_48_377 +*15867 FILLER_48_389 +*15868 FILLER_48_401 +*15869 FILLER_48_413 +*15870 FILLER_48_419 +*15871 FILLER_48_421 +*15872 FILLER_48_433 +*15873 FILLER_48_445 +*15874 FILLER_48_457 +*15875 FILLER_48_469 +*15876 FILLER_48_475 +*15877 FILLER_48_477 +*15878 FILLER_48_50 +*15879 FILLER_48_507 +*15880 FILLER_48_511 +*15881 FILLER_48_523 +*15882 FILLER_48_531 +*15883 FILLER_48_533 +*15884 FILLER_48_54 +*15885 FILLER_48_545 +*15886 FILLER_48_557 +*15887 FILLER_48_569 +*15888 FILLER_48_581 +*15889 FILLER_48_587 +*15890 FILLER_48_589 +*15891 FILLER_48_601 +*15892 FILLER_48_613 +*15893 FILLER_48_625 +*15894 FILLER_48_637 +*15895 FILLER_48_643 +*15896 FILLER_48_645 +*15897 FILLER_48_657 +*15898 FILLER_48_66 +*15899 FILLER_48_669 +*15900 FILLER_48_681 +*15901 FILLER_48_693 +*15902 FILLER_48_699 +*15903 FILLER_48_701 +*15904 FILLER_48_713 +*15905 FILLER_48_725 +*15906 FILLER_48_737 +*15907 FILLER_48_749 +*15908 FILLER_48_755 +*15909 FILLER_48_757 +*15910 FILLER_48_769 +*15911 FILLER_48_78 +*15912 FILLER_48_781 +*15913 FILLER_48_793 +*15914 FILLER_48_805 +*15915 FILLER_48_811 +*15916 FILLER_48_813 +*15917 FILLER_48_825 +*15918 FILLER_48_837 +*15919 FILLER_48_849 +*15920 FILLER_48_85 +*15921 FILLER_48_861 +*15922 FILLER_48_867 +*15923 FILLER_48_869 +*15924 FILLER_48_881 +*15925 FILLER_48_893 +*15926 FILLER_48_905 +*15927 FILLER_48_917 +*15928 FILLER_48_923 +*15929 FILLER_48_925 +*15930 FILLER_48_937 +*15931 FILLER_48_949 +*15932 FILLER_48_961 +*15933 FILLER_48_97 +*15934 FILLER_48_973 +*15935 FILLER_48_979 +*15936 FILLER_48_981 +*15937 FILLER_48_993 +*15938 FILLER_49_1001 +*15939 FILLER_49_1007 +*15940 FILLER_49_1009 +*15941 FILLER_49_1021 +*15942 FILLER_49_1033 +*15943 FILLER_49_1045 +*15944 FILLER_49_105 +*15945 FILLER_49_1057 +*15946 FILLER_49_1063 +*15947 FILLER_49_1065 +*15948 FILLER_49_1077 +*15949 FILLER_49_1094 +*15950 FILLER_49_1098 +*15951 FILLER_49_111 +*15952 FILLER_49_1110 +*15953 FILLER_49_1118 +*15954 FILLER_49_1121 +*15955 FILLER_49_113 +*15956 FILLER_49_1133 +*15957 FILLER_49_1145 +*15958 FILLER_49_1157 +*15959 FILLER_49_1177 +*15960 FILLER_49_1181 +*15961 FILLER_49_1193 +*15962 FILLER_49_1205 +*15963 FILLER_49_1217 +*15964 FILLER_49_1229 +*15965 FILLER_49_1233 +*15966 FILLER_49_1245 +*15967 FILLER_49_125 +*15968 FILLER_49_1257 +*15969 FILLER_49_1269 +*15970 FILLER_49_1281 +*15971 FILLER_49_1287 +*15972 FILLER_49_1289 +*15973 FILLER_49_1301 +*15974 FILLER_49_1313 +*15975 FILLER_49_1325 +*15976 FILLER_49_1337 +*15977 FILLER_49_1343 +*15978 FILLER_49_1345 +*15979 FILLER_49_1357 +*15980 FILLER_49_1369 +*15981 FILLER_49_137 +*15982 FILLER_49_1381 +*15983 FILLER_49_1393 +*15984 FILLER_49_1399 +*15985 FILLER_49_1401 +*15986 FILLER_49_1413 +*15987 FILLER_49_1425 +*15988 FILLER_49_1437 +*15989 FILLER_49_1449 +*15990 FILLER_49_1455 +*15991 FILLER_49_1457 +*15992 FILLER_49_1469 +*15993 FILLER_49_1481 +*15994 FILLER_49_149 +*15995 FILLER_49_1493 +*15996 FILLER_49_15 +*15997 FILLER_49_1505 +*15998 FILLER_49_1511 +*15999 FILLER_49_1513 +*16000 FILLER_49_1538 +*16001 FILLER_49_1542 +*16002 FILLER_49_1554 +*16003 FILLER_49_1566 +*16004 FILLER_49_1569 +*16005 FILLER_49_1581 +*16006 FILLER_49_1593 +*16007 FILLER_49_1605 +*16008 FILLER_49_161 +*16009 FILLER_49_1617 +*16010 FILLER_49_1623 +*16011 FILLER_49_1625 +*16012 FILLER_49_1637 +*16013 FILLER_49_1649 +*16014 FILLER_49_1661 +*16015 FILLER_49_167 +*16016 FILLER_49_1673 +*16017 FILLER_49_1679 +*16018 FILLER_49_1681 +*16019 FILLER_49_169 +*16020 FILLER_49_1693 +*16021 FILLER_49_1699 +*16022 FILLER_49_1713 +*16023 FILLER_49_1717 +*16024 FILLER_49_1734 +*16025 FILLER_49_1737 +*16026 FILLER_49_1749 +*16027 FILLER_49_1761 +*16028 FILLER_49_1769 +*16029 FILLER_49_1787 +*16030 FILLER_49_1791 +*16031 FILLER_49_1793 +*16032 FILLER_49_1805 +*16033 FILLER_49_181 +*16034 FILLER_49_1817 +*16035 FILLER_49_1829 +*16036 FILLER_49_1841 +*16037 FILLER_49_1847 +*16038 FILLER_49_1849 +*16039 FILLER_49_1861 +*16040 FILLER_49_1886 +*16041 FILLER_49_1890 +*16042 FILLER_49_1902 +*16043 FILLER_49_1905 +*16044 FILLER_49_1921 +*16045 FILLER_49_1925 +*16046 FILLER_49_193 +*16047 FILLER_49_1937 +*16048 FILLER_49_1949 +*16049 FILLER_49_1957 +*16050 FILLER_49_1961 +*16051 FILLER_49_1973 +*16052 FILLER_49_1985 +*16053 FILLER_49_1997 +*16054 FILLER_49_2009 +*16055 FILLER_49_2015 +*16056 FILLER_49_2030 +*16057 FILLER_49_2034 +*16058 FILLER_49_2038 +*16059 FILLER_49_205 +*16060 FILLER_49_2050 +*16061 FILLER_49_2069 +*16062 FILLER_49_2073 +*16063 FILLER_49_2089 +*16064 FILLER_49_2093 +*16065 FILLER_49_2105 +*16066 FILLER_49_2117 +*16067 FILLER_49_2125 +*16068 FILLER_49_2129 +*16069 FILLER_49_2141 +*16070 FILLER_49_2153 +*16071 FILLER_49_2165 +*16072 FILLER_49_217 +*16073 FILLER_49_2177 +*16074 FILLER_49_2183 +*16075 FILLER_49_2185 +*16076 FILLER_49_2197 +*16077 FILLER_49_2209 +*16078 FILLER_49_2221 +*16079 FILLER_49_223 +*16080 FILLER_49_2233 +*16081 FILLER_49_2239 +*16082 FILLER_49_2241 +*16083 FILLER_49_225 +*16084 FILLER_49_2253 +*16085 FILLER_49_2265 +*16086 FILLER_49_2277 +*16087 FILLER_49_2289 +*16088 FILLER_49_2295 +*16089 FILLER_49_2297 +*16090 FILLER_49_2302 +*16091 FILLER_49_2323 +*16092 FILLER_49_2327 +*16093 FILLER_49_2339 +*16094 FILLER_49_2351 +*16095 FILLER_49_2353 +*16096 FILLER_49_2361 +*16097 FILLER_49_237 +*16098 FILLER_49_249 +*16099 FILLER_49_261 +*16100 FILLER_49_27 +*16101 FILLER_49_273 +*16102 FILLER_49_279 +*16103 FILLER_49_281 +*16104 FILLER_49_293 +*16105 FILLER_49_3 +*16106 FILLER_49_305 +*16107 FILLER_49_317 +*16108 FILLER_49_329 +*16109 FILLER_49_335 +*16110 FILLER_49_337 +*16111 FILLER_49_349 +*16112 FILLER_49_361 +*16113 FILLER_49_373 +*16114 FILLER_49_385 +*16115 FILLER_49_39 +*16116 FILLER_49_391 +*16117 FILLER_49_393 +*16118 FILLER_49_405 +*16119 FILLER_49_417 +*16120 FILLER_49_429 +*16121 FILLER_49_441 +*16122 FILLER_49_447 +*16123 FILLER_49_449 +*16124 FILLER_49_461 +*16125 FILLER_49_491 +*16126 FILLER_49_495 +*16127 FILLER_49_503 +*16128 FILLER_49_505 +*16129 FILLER_49_51 +*16130 FILLER_49_513 +*16131 FILLER_49_517 +*16132 FILLER_49_521 +*16133 FILLER_49_533 +*16134 FILLER_49_536 +*16135 FILLER_49_548 +*16136 FILLER_49_55 +*16137 FILLER_49_561 +*16138 FILLER_49_57 +*16139 FILLER_49_573 +*16140 FILLER_49_585 +*16141 FILLER_49_597 +*16142 FILLER_49_609 +*16143 FILLER_49_615 +*16144 FILLER_49_617 +*16145 FILLER_49_629 +*16146 FILLER_49_641 +*16147 FILLER_49_653 +*16148 FILLER_49_665 +*16149 FILLER_49_671 +*16150 FILLER_49_673 +*16151 FILLER_49_685 +*16152 FILLER_49_69 +*16153 FILLER_49_697 +*16154 FILLER_49_709 +*16155 FILLER_49_721 +*16156 FILLER_49_727 +*16157 FILLER_49_729 +*16158 FILLER_49_741 +*16159 FILLER_49_753 +*16160 FILLER_49_765 +*16161 FILLER_49_777 +*16162 FILLER_49_783 +*16163 FILLER_49_785 +*16164 FILLER_49_797 +*16165 FILLER_49_809 +*16166 FILLER_49_81 +*16167 FILLER_49_821 +*16168 FILLER_49_833 +*16169 FILLER_49_839 +*16170 FILLER_49_841 +*16171 FILLER_49_853 +*16172 FILLER_49_865 +*16173 FILLER_49_877 +*16174 FILLER_49_897 +*16175 FILLER_49_901 +*16176 FILLER_49_913 +*16177 FILLER_49_925 +*16178 FILLER_49_93 +*16179 FILLER_49_937 +*16180 FILLER_49_949 +*16181 FILLER_49_953 +*16182 FILLER_49_965 +*16183 FILLER_49_977 +*16184 FILLER_49_989 +*16185 FILLER_4_1003 +*16186 FILLER_4_101 +*16187 FILLER_4_1015 +*16188 FILLER_4_1027 +*16189 FILLER_4_1035 +*16190 FILLER_4_1037 +*16191 FILLER_4_1042 +*16192 FILLER_4_1047 +*16193 FILLER_4_1055 +*16194 FILLER_4_1067 +*16195 FILLER_4_1079 +*16196 FILLER_4_1091 +*16197 FILLER_4_1093 +*16198 FILLER_4_1103 +*16199 FILLER_4_1110 +*16200 FILLER_4_1117 +*16201 FILLER_4_1121 +*16202 FILLER_4_1125 +*16203 FILLER_4_113 +*16204 FILLER_4_1137 +*16205 FILLER_4_1145 +*16206 FILLER_4_1149 +*16207 FILLER_4_1156 +*16208 FILLER_4_1163 +*16209 FILLER_4_1167 +*16210 FILLER_4_1171 +*16211 FILLER_4_1183 +*16212 FILLER_4_1195 +*16213 FILLER_4_1203 +*16214 FILLER_4_1205 +*16215 FILLER_4_1217 +*16216 FILLER_4_1229 +*16217 FILLER_4_1241 +*16218 FILLER_4_1244 +*16219 FILLER_4_125 +*16220 FILLER_4_1250 +*16221 FILLER_4_1256 +*16222 FILLER_4_1261 +*16223 FILLER_4_1275 +*16224 FILLER_4_1279 +*16225 FILLER_4_1283 +*16226 FILLER_4_1289 +*16227 FILLER_4_1292 +*16228 FILLER_4_1304 +*16229 FILLER_4_1308 +*16230 FILLER_4_1327 +*16231 FILLER_4_1331 +*16232 FILLER_4_1335 +*16233 FILLER_4_1343 +*16234 FILLER_4_1349 +*16235 FILLER_4_1353 +*16236 FILLER_4_1357 +*16237 FILLER_4_1369 +*16238 FILLER_4_137 +*16239 FILLER_4_1373 +*16240 FILLER_4_1385 +*16241 FILLER_4_1397 +*16242 FILLER_4_1409 +*16243 FILLER_4_141 +*16244 FILLER_4_1421 +*16245 FILLER_4_1427 +*16246 FILLER_4_1429 +*16247 FILLER_4_1441 +*16248 FILLER_4_1447 +*16249 FILLER_4_1450 +*16250 FILLER_4_1462 +*16251 FILLER_4_1471 +*16252 FILLER_4_1475 +*16253 FILLER_4_1483 +*16254 FILLER_4_1485 +*16255 FILLER_4_1497 +*16256 FILLER_4_15 +*16257 FILLER_4_1509 +*16258 FILLER_4_1521 +*16259 FILLER_4_153 +*16260 FILLER_4_1535 +*16261 FILLER_4_1545 +*16262 FILLER_4_1549 +*16263 FILLER_4_1553 +*16264 FILLER_4_1565 +*16265 FILLER_4_1577 +*16266 FILLER_4_1589 +*16267 FILLER_4_1595 +*16268 FILLER_4_1604 +*16269 FILLER_4_1608 +*16270 FILLER_4_1620 +*16271 FILLER_4_1632 +*16272 FILLER_4_1640 +*16273 FILLER_4_1643 +*16274 FILLER_4_165 +*16275 FILLER_4_1651 +*16276 FILLER_4_1653 +*16277 FILLER_4_1657 +*16278 FILLER_4_1661 +*16279 FILLER_4_1665 +*16280 FILLER_4_1677 +*16281 FILLER_4_1688 +*16282 FILLER_4_1692 +*16283 FILLER_4_1704 +*16284 FILLER_4_1709 +*16285 FILLER_4_1716 +*16286 FILLER_4_1720 +*16287 FILLER_4_1732 +*16288 FILLER_4_1744 +*16289 FILLER_4_1756 +*16290 FILLER_4_1765 +*16291 FILLER_4_177 +*16292 FILLER_4_1777 +*16293 FILLER_4_1789 +*16294 FILLER_4_1801 +*16295 FILLER_4_1807 +*16296 FILLER_4_1811 +*16297 FILLER_4_1815 +*16298 FILLER_4_1819 +*16299 FILLER_4_1821 +*16300 FILLER_4_1833 +*16301 FILLER_4_1845 +*16302 FILLER_4_1857 +*16303 FILLER_4_1867 +*16304 FILLER_4_187 +*16305 FILLER_4_1871 +*16306 FILLER_4_1875 +*16307 FILLER_4_1877 +*16308 FILLER_4_1885 +*16309 FILLER_4_1889 +*16310 FILLER_4_1901 +*16311 FILLER_4_1913 +*16312 FILLER_4_1925 +*16313 FILLER_4_1931 +*16314 FILLER_4_1933 +*16315 FILLER_4_1945 +*16316 FILLER_4_195 +*16317 FILLER_4_1957 +*16318 FILLER_4_1969 +*16319 FILLER_4_197 +*16320 FILLER_4_1981 +*16321 FILLER_4_1987 +*16322 FILLER_4_1989 +*16323 FILLER_4_2001 +*16324 FILLER_4_2013 +*16325 FILLER_4_2025 +*16326 FILLER_4_2037 +*16327 FILLER_4_2043 +*16328 FILLER_4_2045 +*16329 FILLER_4_2053 +*16330 FILLER_4_2068 +*16331 FILLER_4_2080 +*16332 FILLER_4_209 +*16333 FILLER_4_2092 +*16334 FILLER_4_2101 +*16335 FILLER_4_2113 +*16336 FILLER_4_2125 +*16337 FILLER_4_2144 +*16338 FILLER_4_2148 +*16339 FILLER_4_2154 +*16340 FILLER_4_2157 +*16341 FILLER_4_2169 +*16342 FILLER_4_2181 +*16343 FILLER_4_2185 +*16344 FILLER_4_2193 +*16345 FILLER_4_2197 +*16346 FILLER_4_2209 +*16347 FILLER_4_221 +*16348 FILLER_4_2213 +*16349 FILLER_4_2225 +*16350 FILLER_4_2228 +*16351 FILLER_4_2240 +*16352 FILLER_4_2257 +*16353 FILLER_4_2265 +*16354 FILLER_4_2269 +*16355 FILLER_4_2281 +*16356 FILLER_4_2293 +*16357 FILLER_4_2305 +*16358 FILLER_4_2317 +*16359 FILLER_4_2323 +*16360 FILLER_4_2325 +*16361 FILLER_4_233 +*16362 FILLER_4_2337 +*16363 FILLER_4_2349 +*16364 FILLER_4_2355 +*16365 FILLER_4_2359 +*16366 FILLER_4_2363 +*16367 FILLER_4_245 +*16368 FILLER_4_251 +*16369 FILLER_4_253 +*16370 FILLER_4_265 +*16371 FILLER_4_27 +*16372 FILLER_4_277 +*16373 FILLER_4_289 +*16374 FILLER_4_29 +*16375 FILLER_4_3 +*16376 FILLER_4_301 +*16377 FILLER_4_307 +*16378 FILLER_4_309 +*16379 FILLER_4_319 +*16380 FILLER_4_323 +*16381 FILLER_4_335 +*16382 FILLER_4_347 +*16383 FILLER_4_359 +*16384 FILLER_4_363 +*16385 FILLER_4_365 +*16386 FILLER_4_377 +*16387 FILLER_4_389 +*16388 FILLER_4_401 +*16389 FILLER_4_407 +*16390 FILLER_4_41 +*16391 FILLER_4_415 +*16392 FILLER_4_419 +*16393 FILLER_4_421 +*16394 FILLER_4_433 +*16395 FILLER_4_445 +*16396 FILLER_4_457 +*16397 FILLER_4_469 +*16398 FILLER_4_475 +*16399 FILLER_4_477 +*16400 FILLER_4_489 +*16401 FILLER_4_501 +*16402 FILLER_4_513 +*16403 FILLER_4_525 +*16404 FILLER_4_531 +*16405 FILLER_4_546 +*16406 FILLER_4_550 +*16407 FILLER_4_56 +*16408 FILLER_4_562 +*16409 FILLER_4_574 +*16410 FILLER_4_586 +*16411 FILLER_4_593 +*16412 FILLER_4_597 +*16413 FILLER_4_60 +*16414 FILLER_4_609 +*16415 FILLER_4_621 +*16416 FILLER_4_633 +*16417 FILLER_4_641 +*16418 FILLER_4_645 +*16419 FILLER_4_654 +*16420 FILLER_4_658 +*16421 FILLER_4_670 +*16422 FILLER_4_682 +*16423 FILLER_4_694 +*16424 FILLER_4_701 +*16425 FILLER_4_713 +*16426 FILLER_4_72 +*16427 FILLER_4_725 +*16428 FILLER_4_733 +*16429 FILLER_4_738 +*16430 FILLER_4_742 +*16431 FILLER_4_75 +*16432 FILLER_4_750 +*16433 FILLER_4_754 +*16434 FILLER_4_757 +*16435 FILLER_4_769 +*16436 FILLER_4_775 +*16437 FILLER_4_778 +*16438 FILLER_4_790 +*16439 FILLER_4_802 +*16440 FILLER_4_810 +*16441 FILLER_4_813 +*16442 FILLER_4_819 +*16443 FILLER_4_825 +*16444 FILLER_4_829 +*16445 FILLER_4_83 +*16446 FILLER_4_833 +*16447 FILLER_4_837 +*16448 FILLER_4_849 +*16449 FILLER_4_85 +*16450 FILLER_4_865 +*16451 FILLER_4_869 +*16452 FILLER_4_873 +*16453 FILLER_4_885 +*16454 FILLER_4_89 +*16455 FILLER_4_897 +*16456 FILLER_4_909 +*16457 FILLER_4_921 +*16458 FILLER_4_925 +*16459 FILLER_4_937 +*16460 FILLER_4_949 +*16461 FILLER_4_961 +*16462 FILLER_4_973 +*16463 FILLER_4_979 +*16464 FILLER_4_981 +*16465 FILLER_4_993 +*16466 FILLER_50_1005 +*16467 FILLER_50_1017 +*16468 FILLER_50_1029 +*16469 FILLER_50_1035 +*16470 FILLER_50_1037 +*16471 FILLER_50_1049 +*16472 FILLER_50_1061 +*16473 FILLER_50_1073 +*16474 FILLER_50_1085 +*16475 FILLER_50_109 +*16476 FILLER_50_1091 +*16477 FILLER_50_1093 +*16478 FILLER_50_11 +*16479 FILLER_50_1105 +*16480 FILLER_50_1117 +*16481 FILLER_50_1129 +*16482 FILLER_50_1141 +*16483 FILLER_50_1147 +*16484 FILLER_50_1149 +*16485 FILLER_50_1161 +*16486 FILLER_50_1173 +*16487 FILLER_50_1185 +*16488 FILLER_50_1197 +*16489 FILLER_50_1203 +*16490 FILLER_50_1205 +*16491 FILLER_50_121 +*16492 FILLER_50_1217 +*16493 FILLER_50_1229 +*16494 FILLER_50_1241 +*16495 FILLER_50_1253 +*16496 FILLER_50_1259 +*16497 FILLER_50_1261 +*16498 FILLER_50_1273 +*16499 FILLER_50_1285 +*16500 FILLER_50_1297 +*16501 FILLER_50_1309 +*16502 FILLER_50_1315 +*16503 FILLER_50_1317 +*16504 FILLER_50_1329 +*16505 FILLER_50_133 +*16506 FILLER_50_1341 +*16507 FILLER_50_1353 +*16508 FILLER_50_1365 +*16509 FILLER_50_1371 +*16510 FILLER_50_1373 +*16511 FILLER_50_1385 +*16512 FILLER_50_139 +*16513 FILLER_50_1397 +*16514 FILLER_50_1409 +*16515 FILLER_50_141 +*16516 FILLER_50_1421 +*16517 FILLER_50_1427 +*16518 FILLER_50_1429 +*16519 FILLER_50_1441 +*16520 FILLER_50_1453 +*16521 FILLER_50_1465 +*16522 FILLER_50_1477 +*16523 FILLER_50_1483 +*16524 FILLER_50_1485 +*16525 FILLER_50_1497 +*16526 FILLER_50_1509 +*16527 FILLER_50_1517 +*16528 FILLER_50_1521 +*16529 FILLER_50_1526 +*16530 FILLER_50_153 +*16531 FILLER_50_1538 +*16532 FILLER_50_1541 +*16533 FILLER_50_1553 +*16534 FILLER_50_1565 +*16535 FILLER_50_1577 +*16536 FILLER_50_1589 +*16537 FILLER_50_1595 +*16538 FILLER_50_1597 +*16539 FILLER_50_1609 +*16540 FILLER_50_1621 +*16541 FILLER_50_1633 +*16542 FILLER_50_1645 +*16543 FILLER_50_165 +*16544 FILLER_50_1651 +*16545 FILLER_50_1653 +*16546 FILLER_50_1665 +*16547 FILLER_50_1673 +*16548 FILLER_50_1678 +*16549 FILLER_50_1693 +*16550 FILLER_50_1697 +*16551 FILLER_50_1705 +*16552 FILLER_50_1709 +*16553 FILLER_50_1721 +*16554 FILLER_50_1729 +*16555 FILLER_50_1741 +*16556 FILLER_50_1753 +*16557 FILLER_50_1761 +*16558 FILLER_50_1765 +*16559 FILLER_50_177 +*16560 FILLER_50_1770 +*16561 FILLER_50_1774 +*16562 FILLER_50_1779 +*16563 FILLER_50_1784 +*16564 FILLER_50_1788 +*16565 FILLER_50_1793 +*16566 FILLER_50_1798 +*16567 FILLER_50_1810 +*16568 FILLER_50_1818 +*16569 FILLER_50_182 +*16570 FILLER_50_1821 +*16571 FILLER_50_1833 +*16572 FILLER_50_1845 +*16573 FILLER_50_1853 +*16574 FILLER_50_1869 +*16575 FILLER_50_1873 +*16576 FILLER_50_1877 +*16577 FILLER_50_1889 +*16578 FILLER_50_1901 +*16579 FILLER_50_1913 +*16580 FILLER_50_1925 +*16581 FILLER_50_1931 +*16582 FILLER_50_1933 +*16583 FILLER_50_194 +*16584 FILLER_50_1945 +*16585 FILLER_50_1957 +*16586 FILLER_50_1969 +*16587 FILLER_50_197 +*16588 FILLER_50_1981 +*16589 FILLER_50_1987 +*16590 FILLER_50_1989 +*16591 FILLER_50_2001 +*16592 FILLER_50_2013 +*16593 FILLER_50_2016 +*16594 FILLER_50_2020 +*16595 FILLER_50_2037 +*16596 FILLER_50_2041 +*16597 FILLER_50_2045 +*16598 FILLER_50_2049 +*16599 FILLER_50_2055 +*16600 FILLER_50_2063 +*16601 FILLER_50_2067 +*16602 FILLER_50_2071 +*16603 FILLER_50_2075 +*16604 FILLER_50_2081 +*16605 FILLER_50_209 +*16606 FILLER_50_2095 +*16607 FILLER_50_2099 +*16608 FILLER_50_2101 +*16609 FILLER_50_2113 +*16610 FILLER_50_2125 +*16611 FILLER_50_2142 +*16612 FILLER_50_2146 +*16613 FILLER_50_2154 +*16614 FILLER_50_2157 +*16615 FILLER_50_2161 +*16616 FILLER_50_2175 +*16617 FILLER_50_2179 +*16618 FILLER_50_2191 +*16619 FILLER_50_2203 +*16620 FILLER_50_221 +*16621 FILLER_50_2211 +*16622 FILLER_50_2213 +*16623 FILLER_50_2225 +*16624 FILLER_50_2237 +*16625 FILLER_50_2249 +*16626 FILLER_50_2261 +*16627 FILLER_50_2267 +*16628 FILLER_50_2269 +*16629 FILLER_50_2281 +*16630 FILLER_50_2293 +*16631 FILLER_50_23 +*16632 FILLER_50_2305 +*16633 FILLER_50_2310 +*16634 FILLER_50_2319 +*16635 FILLER_50_2323 +*16636 FILLER_50_2325 +*16637 FILLER_50_2329 +*16638 FILLER_50_233 +*16639 FILLER_50_2341 +*16640 FILLER_50_2353 +*16641 FILLER_50_2357 +*16642 FILLER_50_2360 +*16643 FILLER_50_245 +*16644 FILLER_50_251 +*16645 FILLER_50_253 +*16646 FILLER_50_265 +*16647 FILLER_50_27 +*16648 FILLER_50_277 +*16649 FILLER_50_281 +*16650 FILLER_50_284 +*16651 FILLER_50_29 +*16652 FILLER_50_296 +*16653 FILLER_50_3 +*16654 FILLER_50_309 +*16655 FILLER_50_313 +*16656 FILLER_50_325 +*16657 FILLER_50_337 +*16658 FILLER_50_342 +*16659 FILLER_50_354 +*16660 FILLER_50_357 +*16661 FILLER_50_363 +*16662 FILLER_50_365 +*16663 FILLER_50_377 +*16664 FILLER_50_389 +*16665 FILLER_50_401 +*16666 FILLER_50_41 +*16667 FILLER_50_413 +*16668 FILLER_50_419 +*16669 FILLER_50_421 +*16670 FILLER_50_433 +*16671 FILLER_50_445 +*16672 FILLER_50_457 +*16673 FILLER_50_469 +*16674 FILLER_50_475 +*16675 FILLER_50_477 +*16676 FILLER_50_481 +*16677 FILLER_50_484 +*16678 FILLER_50_488 +*16679 FILLER_50_492 +*16680 FILLER_50_497 +*16681 FILLER_50_501 +*16682 FILLER_50_505 +*16683 FILLER_50_509 +*16684 FILLER_50_514 +*16685 FILLER_50_518 +*16686 FILLER_50_522 +*16687 FILLER_50_528 +*16688 FILLER_50_53 +*16689 FILLER_50_533 +*16690 FILLER_50_538 +*16691 FILLER_50_542 +*16692 FILLER_50_546 +*16693 FILLER_50_550 +*16694 FILLER_50_562 +*16695 FILLER_50_574 +*16696 FILLER_50_586 +*16697 FILLER_50_589 +*16698 FILLER_50_601 +*16699 FILLER_50_613 +*16700 FILLER_50_625 +*16701 FILLER_50_637 +*16702 FILLER_50_643 +*16703 FILLER_50_645 +*16704 FILLER_50_65 +*16705 FILLER_50_657 +*16706 FILLER_50_669 +*16707 FILLER_50_681 +*16708 FILLER_50_693 +*16709 FILLER_50_699 +*16710 FILLER_50_7 +*16711 FILLER_50_701 +*16712 FILLER_50_713 +*16713 FILLER_50_725 +*16714 FILLER_50_737 +*16715 FILLER_50_749 +*16716 FILLER_50_755 +*16717 FILLER_50_757 +*16718 FILLER_50_769 +*16719 FILLER_50_77 +*16720 FILLER_50_781 +*16721 FILLER_50_793 +*16722 FILLER_50_805 +*16723 FILLER_50_811 +*16724 FILLER_50_813 +*16725 FILLER_50_825 +*16726 FILLER_50_83 +*16727 FILLER_50_837 +*16728 FILLER_50_849 +*16729 FILLER_50_85 +*16730 FILLER_50_861 +*16731 FILLER_50_867 +*16732 FILLER_50_869 +*16733 FILLER_50_881 +*16734 FILLER_50_893 +*16735 FILLER_50_905 +*16736 FILLER_50_917 +*16737 FILLER_50_923 +*16738 FILLER_50_925 +*16739 FILLER_50_937 +*16740 FILLER_50_949 +*16741 FILLER_50_961 +*16742 FILLER_50_97 +*16743 FILLER_50_973 +*16744 FILLER_50_979 +*16745 FILLER_50_981 +*16746 FILLER_50_993 +*16747 FILLER_51_100 +*16748 FILLER_51_1007 +*16749 FILLER_51_1009 +*16750 FILLER_51_1013 +*16751 FILLER_51_1025 +*16752 FILLER_51_1037 +*16753 FILLER_51_104 +*16754 FILLER_51_1040 +*16755 FILLER_51_1044 +*16756 FILLER_51_1056 +*16757 FILLER_51_1065 +*16758 FILLER_51_1069 +*16759 FILLER_51_107 +*16760 FILLER_51_1081 +*16761 FILLER_51_1093 +*16762 FILLER_51_1097 +*16763 FILLER_51_11 +*16764 FILLER_51_1102 +*16765 FILLER_51_111 +*16766 FILLER_51_1114 +*16767 FILLER_51_1121 +*16768 FILLER_51_1126 +*16769 FILLER_51_113 +*16770 FILLER_51_1131 +*16771 FILLER_51_1141 +*16772 FILLER_51_1155 +*16773 FILLER_51_1160 +*16774 FILLER_51_117 +*16775 FILLER_51_1170 +*16776 FILLER_51_1177 +*16777 FILLER_51_1181 +*16778 FILLER_51_1184 +*16779 FILLER_51_1196 +*16780 FILLER_51_1199 +*16781 FILLER_51_120 +*16782 FILLER_51_1211 +*16783 FILLER_51_1223 +*16784 FILLER_51_1228 +*16785 FILLER_51_1233 +*16786 FILLER_51_1245 +*16787 FILLER_51_1259 +*16788 FILLER_51_1263 +*16789 FILLER_51_1275 +*16790 FILLER_51_1283 +*16791 FILLER_51_1287 +*16792 FILLER_51_1289 +*16793 FILLER_51_1293 +*16794 FILLER_51_1298 +*16795 FILLER_51_1302 +*16796 FILLER_51_1306 +*16797 FILLER_51_1310 +*16798 FILLER_51_1314 +*16799 FILLER_51_1318 +*16800 FILLER_51_132 +*16801 FILLER_51_1326 +*16802 FILLER_51_1329 +*16803 FILLER_51_1341 +*16804 FILLER_51_1345 +*16805 FILLER_51_1353 +*16806 FILLER_51_1358 +*16807 FILLER_51_136 +*16808 FILLER_51_1370 +*16809 FILLER_51_1378 +*16810 FILLER_51_1384 +*16811 FILLER_51_1387 +*16812 FILLER_51_139 +*16813 FILLER_51_1399 +*16814 FILLER_51_1401 +*16815 FILLER_51_1405 +*16816 FILLER_51_1417 +*16817 FILLER_51_1429 +*16818 FILLER_51_143 +*16819 FILLER_51_1432 +*16820 FILLER_51_1436 +*16821 FILLER_51_1448 +*16822 FILLER_51_1457 +*16823 FILLER_51_1461 +*16824 FILLER_51_1473 +*16825 FILLER_51_1485 +*16826 FILLER_51_1489 +*16827 FILLER_51_149 +*16828 FILLER_51_1494 +*16829 FILLER_51_15 +*16830 FILLER_51_1504 +*16831 FILLER_51_1508 +*16832 FILLER_51_1513 +*16833 FILLER_51_1517 +*16834 FILLER_51_1523 +*16835 FILLER_51_1528 +*16836 FILLER_51_1532 +*16837 FILLER_51_1536 +*16838 FILLER_51_1544 +*16839 FILLER_51_1547 +*16840 FILLER_51_1559 +*16841 FILLER_51_1563 +*16842 FILLER_51_1567 +*16843 FILLER_51_1569 +*16844 FILLER_51_1573 +*16845 FILLER_51_1576 +*16846 FILLER_51_1588 +*16847 FILLER_51_1591 +*16848 FILLER_51_1603 +*16849 FILLER_51_161 +*16850 FILLER_51_1611 +*16851 FILLER_51_1615 +*16852 FILLER_51_1619 +*16853 FILLER_51_1623 +*16854 FILLER_51_1625 +*16855 FILLER_51_1629 +*16856 FILLER_51_1635 +*16857 FILLER_51_164 +*16858 FILLER_51_1640 +*16859 FILLER_51_1644 +*16860 FILLER_51_1648 +*16861 FILLER_51_1651 +*16862 FILLER_51_1655 +*16863 FILLER_51_1667 +*16864 FILLER_51_1672 +*16865 FILLER_51_1676 +*16866 FILLER_51_1681 +*16867 FILLER_51_1685 +*16868 FILLER_51_169 +*16869 FILLER_51_1691 +*16870 FILLER_51_1695 +*16871 FILLER_51_1699 +*16872 FILLER_51_1703 +*16873 FILLER_51_1707 +*16874 FILLER_51_1721 +*16875 FILLER_51_173 +*16876 FILLER_51_1733 +*16877 FILLER_51_1737 +*16878 FILLER_51_1745 +*16879 FILLER_51_1750 +*16880 FILLER_51_1760 +*16881 FILLER_51_1764 +*16882 FILLER_51_177 +*16883 FILLER_51_1770 +*16884 FILLER_51_1775 +*16885 FILLER_51_1780 +*16886 FILLER_51_1784 +*16887 FILLER_51_1789 +*16888 FILLER_51_1793 +*16889 FILLER_51_1797 +*16890 FILLER_51_1801 +*16891 FILLER_51_1805 +*16892 FILLER_51_1809 +*16893 FILLER_51_1813 +*16894 FILLER_51_1817 +*16895 FILLER_51_1825 +*16896 FILLER_51_1828 +*16897 FILLER_51_183 +*16898 FILLER_51_1840 +*16899 FILLER_51_1849 +*16900 FILLER_51_1853 +*16901 FILLER_51_1858 +*16902 FILLER_51_1874 +*16903 FILLER_51_1878 +*16904 FILLER_51_188 +*16905 FILLER_51_1882 +*16906 FILLER_51_1886 +*16907 FILLER_51_1890 +*16908 FILLER_51_19 +*16909 FILLER_51_1900 +*16910 FILLER_51_1905 +*16911 FILLER_51_1911 +*16912 FILLER_51_1915 +*16913 FILLER_51_1919 +*16914 FILLER_51_192 +*16915 FILLER_51_1925 +*16916 FILLER_51_1930 +*16917 FILLER_51_1938 +*16918 FILLER_51_1942 +*16919 FILLER_51_1946 +*16920 FILLER_51_1954 +*16921 FILLER_51_1959 +*16922 FILLER_51_1961 +*16923 FILLER_51_1965 +*16924 FILLER_51_1968 +*16925 FILLER_51_198 +*16926 FILLER_51_1980 +*16927 FILLER_51_1983 +*16928 FILLER_51_1991 +*16929 FILLER_51_1994 +*16930 FILLER_51_1998 +*16931 FILLER_51_2002 +*16932 FILLER_51_2008 +*16933 FILLER_51_2011 +*16934 FILLER_51_2015 +*16935 FILLER_51_2017 +*16936 FILLER_51_202 +*16937 FILLER_51_2021 +*16938 FILLER_51_2026 +*16939 FILLER_51_2030 +*16940 FILLER_51_2036 +*16941 FILLER_51_2040 +*16942 FILLER_51_2044 +*16943 FILLER_51_2050 +*16944 FILLER_51_2054 +*16945 FILLER_51_2060 +*16946 FILLER_51_2065 +*16947 FILLER_51_2069 +*16948 FILLER_51_207 +*16949 FILLER_51_2073 +*16950 FILLER_51_2077 +*16951 FILLER_51_2081 +*16952 FILLER_51_2085 +*16953 FILLER_51_2089 +*16954 FILLER_51_2099 +*16955 FILLER_51_211 +*16956 FILLER_51_2113 +*16957 FILLER_51_2125 +*16958 FILLER_51_2129 +*16959 FILLER_51_2137 +*16960 FILLER_51_2142 +*16961 FILLER_51_2154 +*16962 FILLER_51_2162 +*16963 FILLER_51_217 +*16964 FILLER_51_2172 +*16965 FILLER_51_2185 +*16966 FILLER_51_2189 +*16967 FILLER_51_220 +*16968 FILLER_51_2201 +*16969 FILLER_51_2213 +*16970 FILLER_51_2216 +*16971 FILLER_51_2220 +*16972 FILLER_51_2225 +*16973 FILLER_51_2230 +*16974 FILLER_51_2238 +*16975 FILLER_51_2241 +*16976 FILLER_51_225 +*16977 FILLER_51_2253 +*16978 FILLER_51_2265 +*16979 FILLER_51_2277 +*16980 FILLER_51_2289 +*16981 FILLER_51_229 +*16982 FILLER_51_2295 +*16983 FILLER_51_2297 +*16984 FILLER_51_23 +*16985 FILLER_51_2309 +*16986 FILLER_51_2314 +*16987 FILLER_51_2318 +*16988 FILLER_51_2322 +*16989 FILLER_51_2328 +*16990 FILLER_51_233 +*16991 FILLER_51_2331 +*16992 FILLER_51_2336 +*16993 FILLER_51_2344 +*16994 FILLER_51_2348 +*16995 FILLER_51_2353 +*16996 FILLER_51_237 +*16997 FILLER_51_245 +*16998 FILLER_51_249 +*16999 FILLER_51_253 +*17000 FILLER_51_257 +*17001 FILLER_51_261 +*17002 FILLER_51_266 +*17003 FILLER_51_27 +*17004 FILLER_51_274 +*17005 FILLER_51_278 +*17006 FILLER_51_281 +*17007 FILLER_51_285 +*17008 FILLER_51_289 +*17009 FILLER_51_295 +*17010 FILLER_51_303 +*17011 FILLER_51_307 +*17012 FILLER_51_313 +*17013 FILLER_51_318 +*17014 FILLER_51_324 +*17015 FILLER_51_328 +*17016 FILLER_51_332 +*17017 FILLER_51_337 +*17018 FILLER_51_341 +*17019 FILLER_51_347 +*17020 FILLER_51_353 +*17021 FILLER_51_358 +*17022 FILLER_51_362 +*17023 FILLER_51_366 +*17024 FILLER_51_369 +*17025 FILLER_51_37 +*17026 FILLER_51_373 +*17027 FILLER_51_376 +*17028 FILLER_51_380 +*17029 FILLER_51_386 +*17030 FILLER_51_393 +*17031 FILLER_51_405 +*17032 FILLER_51_417 +*17033 FILLER_51_429 +*17034 FILLER_51_441 +*17035 FILLER_51_447 +*17036 FILLER_51_449 +*17037 FILLER_51_45 +*17038 FILLER_51_455 +*17039 FILLER_51_458 +*17040 FILLER_51_470 +*17041 FILLER_51_478 +*17042 FILLER_51_48 +*17043 FILLER_51_482 +*17044 FILLER_51_486 +*17045 FILLER_51_503 +*17046 FILLER_51_505 +*17047 FILLER_51_52 +*17048 FILLER_51_542 +*17049 FILLER_51_546 +*17050 FILLER_51_550 +*17051 FILLER_51_555 +*17052 FILLER_51_559 +*17053 FILLER_51_561 +*17054 FILLER_51_565 +*17055 FILLER_51_575 +*17056 FILLER_51_587 +*17057 FILLER_51_591 +*17058 FILLER_51_594 +*17059 FILLER_51_600 +*17060 FILLER_51_603 +*17061 FILLER_51_61 +*17062 FILLER_51_615 +*17063 FILLER_51_617 +*17064 FILLER_51_621 +*17065 FILLER_51_629 +*17066 FILLER_51_632 +*17067 FILLER_51_644 +*17068 FILLER_51_65 +*17069 FILLER_51_656 +*17070 FILLER_51_668 +*17071 FILLER_51_673 +*17072 FILLER_51_685 +*17073 FILLER_51_697 +*17074 FILLER_51_709 +*17075 FILLER_51_71 +*17076 FILLER_51_721 +*17077 FILLER_51_727 +*17078 FILLER_51_729 +*17079 FILLER_51_734 +*17080 FILLER_51_739 +*17081 FILLER_51_749 +*17082 FILLER_51_76 +*17083 FILLER_51_763 +*17084 FILLER_51_768 +*17085 FILLER_51_778 +*17086 FILLER_51_785 +*17087 FILLER_51_789 +*17088 FILLER_51_792 +*17089 FILLER_51_804 +*17090 FILLER_51_807 +*17091 FILLER_51_81 +*17092 FILLER_51_819 +*17093 FILLER_51_831 +*17094 FILLER_51_836 +*17095 FILLER_51_841 +*17096 FILLER_51_853 +*17097 FILLER_51_867 +*17098 FILLER_51_87 +*17099 FILLER_51_871 +*17100 FILLER_51_883 +*17101 FILLER_51_891 +*17102 FILLER_51_894 +*17103 FILLER_51_897 +*17104 FILLER_51_90 +*17105 FILLER_51_909 +*17106 FILLER_51_923 +*17107 FILLER_51_935 +*17108 FILLER_51_938 +*17109 FILLER_51_95 +*17110 FILLER_51_953 +*17111 FILLER_51_961 +*17112 FILLER_51_966 +*17113 FILLER_51_978 +*17114 FILLER_51_986 +*17115 FILLER_51_992 +*17116 FILLER_51_995 +*17117 FILLER_52_1000 +*17118 FILLER_52_1005 +*17119 FILLER_52_1011 +*17120 FILLER_52_1015 +*17121 FILLER_52_1020 +*17122 FILLER_52_1026 +*17123 FILLER_52_1029 +*17124 FILLER_52_1033 +*17125 FILLER_52_1045 +*17126 FILLER_52_1049 +*17127 FILLER_52_1053 +*17128 FILLER_52_1058 +*17129 FILLER_52_1062 +*17130 FILLER_52_1069 +*17131 FILLER_52_1073 +*17132 FILLER_52_1078 +*17133 FILLER_52_1082 +*17134 FILLER_52_1087 +*17135 FILLER_52_1091 +*17136 FILLER_52_1093 +*17137 FILLER_52_1098 +*17138 FILLER_52_1102 +*17139 FILLER_52_1107 +*17140 FILLER_52_1113 +*17141 FILLER_52_1117 +*17142 FILLER_52_1121 +*17143 FILLER_52_1127 +*17144 FILLER_52_1131 +*17145 FILLER_52_1136 +*17146 FILLER_52_1142 +*17147 FILLER_52_1146 +*17148 FILLER_52_1149 +*17149 FILLER_52_1156 +*17150 FILLER_52_1160 +*17151 FILLER_52_1165 +*17152 FILLER_52_1171 +*17153 FILLER_52_1175 +*17154 FILLER_52_1180 +*17155 FILLER_52_1185 +*17156 FILLER_52_1189 +*17157 FILLER_52_1194 +*17158 FILLER_52_1200 +*17159 FILLER_52_1205 +*17160 FILLER_52_1209 +*17161 FILLER_52_1214 +*17162 FILLER_52_1218 +*17163 FILLER_52_1223 +*17164 FILLER_52_1229 +*17165 FILLER_52_1233 +*17166 FILLER_52_1237 +*17167 FILLER_52_1243 +*17168 FILLER_52_1247 +*17169 FILLER_52_1252 +*17170 FILLER_52_1258 +*17171 FILLER_52_126 +*17172 FILLER_52_1261 +*17173 FILLER_52_1266 +*17174 FILLER_52_1272 +*17175 FILLER_52_1276 +*17176 FILLER_52_1281 +*17177 FILLER_52_1287 +*17178 FILLER_52_1291 +*17179 FILLER_52_130 +*17180 FILLER_52_1310 +*17181 FILLER_52_1317 +*17182 FILLER_52_1321 +*17183 FILLER_52_1325 +*17184 FILLER_52_1330 +*17185 FILLER_52_1334 +*17186 FILLER_52_1339 +*17187 FILLER_52_1345 +*17188 FILLER_52_1349 +*17189 FILLER_52_135 +*17190 FILLER_52_1354 +*17191 FILLER_52_1359 +*17192 FILLER_52_1363 +*17193 FILLER_52_1368 +*17194 FILLER_52_1377 +*17195 FILLER_52_1383 +*17196 FILLER_52_1388 +*17197 FILLER_52_1392 +*17198 FILLER_52_1397 +*17199 FILLER_52_14 +*17200 FILLER_52_1403 +*17201 FILLER_52_1407 +*17202 FILLER_52_141 +*17203 FILLER_52_1412 +*17204 FILLER_52_1418 +*17205 FILLER_52_1421 +*17206 FILLER_52_1425 +*17207 FILLER_52_1437 +*17208 FILLER_52_1441 +*17209 FILLER_52_1445 +*17210 FILLER_52_1450 +*17211 FILLER_52_1454 +*17212 FILLER_52_1461 +*17213 FILLER_52_1465 +*17214 FILLER_52_1470 +*17215 FILLER_52_1474 +*17216 FILLER_52_1479 +*17217 FILLER_52_1483 +*17218 FILLER_52_1485 +*17219 FILLER_52_1490 +*17220 FILLER_52_1494 +*17221 FILLER_52_1499 +*17222 FILLER_52_1505 +*17223 FILLER_52_1527 +*17224 FILLER_52_1534 +*17225 FILLER_52_1538 +*17226 FILLER_52_1541 +*17227 FILLER_52_1548 +*17228 FILLER_52_1552 +*17229 FILLER_52_1557 +*17230 FILLER_52_1561 +*17231 FILLER_52_1567 +*17232 FILLER_52_157 +*17233 FILLER_52_1572 +*17234 FILLER_52_1577 +*17235 FILLER_52_1581 +*17236 FILLER_52_1586 +*17237 FILLER_52_1592 +*17238 FILLER_52_1597 +*17239 FILLER_52_1601 +*17240 FILLER_52_1605 +*17241 FILLER_52_1609 +*17242 FILLER_52_161 +*17243 FILLER_52_1626 +*17244 FILLER_52_1630 +*17245 FILLER_52_1635 +*17246 FILLER_52_1644 +*17247 FILLER_52_1650 +*17248 FILLER_52_1653 +*17249 FILLER_52_1658 +*17250 FILLER_52_1662 +*17251 FILLER_52_1666 +*17252 FILLER_52_1680 +*17253 FILLER_52_1684 +*17254 FILLER_52_1688 +*17255 FILLER_52_169 +*17256 FILLER_52_1699 +*17257 FILLER_52_1703 +*17258 FILLER_52_1709 +*17259 FILLER_52_1713 +*17260 FILLER_52_1717 +*17261 FILLER_52_1722 +*17262 FILLER_52_1726 +*17263 FILLER_52_1731 +*17264 FILLER_52_1737 +*17265 FILLER_52_1741 +*17266 FILLER_52_1746 +*17267 FILLER_52_1751 +*17268 FILLER_52_1755 +*17269 FILLER_52_1774 +*17270 FILLER_52_1782 +*17271 FILLER_52_1799 +*17272 FILLER_52_1806 +*17273 FILLER_52_1810 +*17274 FILLER_52_1814 +*17275 FILLER_52_1829 +*17276 FILLER_52_1833 +*17277 FILLER_52_1837 +*17278 FILLER_52_1842 +*17279 FILLER_52_1846 +*17280 FILLER_52_1853 +*17281 FILLER_52_1862 +*17282 FILLER_52_1866 +*17283 FILLER_52_1870 +*17284 FILLER_52_1875 +*17285 FILLER_52_1877 +*17286 FILLER_52_1882 +*17287 FILLER_52_1886 +*17288 FILLER_52_1891 +*17289 FILLER_52_1897 +*17290 FILLER_52_19 +*17291 FILLER_52_1901 +*17292 FILLER_52_1905 +*17293 FILLER_52_1908 +*17294 FILLER_52_1918 +*17295 FILLER_52_1926 +*17296 FILLER_52_1930 +*17297 FILLER_52_1933 +*17298 FILLER_52_194 +*17299 FILLER_52_1946 +*17300 FILLER_52_1950 +*17301 FILLER_52_1954 +*17302 FILLER_52_1958 +*17303 FILLER_52_1964 +*17304 FILLER_52_1969 +*17305 FILLER_52_197 +*17306 FILLER_52_1973 +*17307 FILLER_52_1978 +*17308 FILLER_52_1984 +*17309 FILLER_52_1989 +*17310 FILLER_52_1998 +*17311 FILLER_52_2002 +*17312 FILLER_52_2007 +*17313 FILLER_52_2013 +*17314 FILLER_52_2021 +*17315 FILLER_52_2035 +*17316 FILLER_52_2042 +*17317 FILLER_52_2050 +*17318 FILLER_52_2056 +*17319 FILLER_52_2060 +*17320 FILLER_52_2076 +*17321 FILLER_52_2080 +*17322 FILLER_52_2085 +*17323 FILLER_52_2089 +*17324 FILLER_52_2094 +*17325 FILLER_52_2101 +*17326 FILLER_52_2105 +*17327 FILLER_52_2109 +*17328 FILLER_52_2114 +*17329 FILLER_52_2118 +*17330 FILLER_52_2123 +*17331 FILLER_52_2129 +*17332 FILLER_52_2133 +*17333 FILLER_52_2138 +*17334 FILLER_52_2143 +*17335 FILLER_52_2147 +*17336 FILLER_52_2152 +*17337 FILLER_52_2161 +*17338 FILLER_52_2167 +*17339 FILLER_52_2173 +*17340 FILLER_52_2177 +*17341 FILLER_52_2181 +*17342 FILLER_52_2187 +*17343 FILLER_52_219 +*17344 FILLER_52_2191 +*17345 FILLER_52_2196 +*17346 FILLER_52_2202 +*17347 FILLER_52_2205 +*17348 FILLER_52_2209 +*17349 FILLER_52_2221 +*17350 FILLER_52_2225 +*17351 FILLER_52_2231 +*17352 FILLER_52_2235 +*17353 FILLER_52_2239 +*17354 FILLER_52_2244 +*17355 FILLER_52_2249 +*17356 FILLER_52_2254 +*17357 FILLER_52_2259 +*17358 FILLER_52_2263 +*17359 FILLER_52_2269 +*17360 FILLER_52_227 +*17361 FILLER_52_2274 +*17362 FILLER_52_2278 +*17363 FILLER_52_2283 +*17364 FILLER_52_2289 +*17365 FILLER_52_2293 +*17366 FILLER_52_23 +*17367 FILLER_52_2303 +*17368 FILLER_52_2307 +*17369 FILLER_52_2312 +*17370 FILLER_52_2316 +*17371 FILLER_52_232 +*17372 FILLER_52_2322 +*17373 FILLER_52_2325 +*17374 FILLER_52_2332 +*17375 FILLER_52_2336 +*17376 FILLER_52_2341 +*17377 FILLER_52_2346 +*17378 FILLER_52_2350 +*17379 FILLER_52_249 +*17380 FILLER_52_261 +*17381 FILLER_52_27 +*17382 FILLER_52_278 +*17383 FILLER_52_285 +*17384 FILLER_52_29 +*17385 FILLER_52_290 +*17386 FILLER_52_3 +*17387 FILLER_52_307 +*17388 FILLER_52_309 +*17389 FILLER_52_314 +*17390 FILLER_52_319 +*17391 FILLER_52_33 +*17392 FILLER_52_336 +*17393 FILLER_52_343 +*17394 FILLER_52_348 +*17395 FILLER_52_361 +*17396 FILLER_52_365 +*17397 FILLER_52_372 +*17398 FILLER_52_377 +*17399 FILLER_52_38 +*17400 FILLER_52_382 +*17401 FILLER_52_387 +*17402 FILLER_52_391 +*17403 FILLER_52_396 +*17404 FILLER_52_400 +*17405 FILLER_52_405 +*17406 FILLER_52_410 +*17407 FILLER_52_415 +*17408 FILLER_52_419 +*17409 FILLER_52_421 +*17410 FILLER_52_425 +*17411 FILLER_52_429 +*17412 FILLER_52_434 +*17413 FILLER_52_439 +*17414 FILLER_52_444 +*17415 FILLER_52_450 +*17416 FILLER_52_453 +*17417 FILLER_52_459 +*17418 FILLER_52_463 +*17419 FILLER_52_468 +*17420 FILLER_52_472 +*17421 FILLER_52_477 +*17422 FILLER_52_482 +*17423 FILLER_52_488 +*17424 FILLER_52_511 +*17425 FILLER_52_515 +*17426 FILLER_52_537 +*17427 FILLER_52_541 +*17428 FILLER_52_562 +*17429 FILLER_52_566 +*17430 FILLER_52_569 +*17431 FILLER_52_573 +*17432 FILLER_52_579 +*17433 FILLER_52_584 +*17434 FILLER_52_593 +*17435 FILLER_52_599 +*17436 FILLER_52_604 +*17437 FILLER_52_608 +*17438 FILLER_52_613 +*17439 FILLER_52_619 +*17440 FILLER_52_623 +*17441 FILLER_52_628 +*17442 FILLER_52_633 +*17443 FILLER_52_637 +*17444 FILLER_52_64 +*17445 FILLER_52_642 +*17446 FILLER_52_645 +*17447 FILLER_52_649 +*17448 FILLER_52_653 +*17449 FILLER_52_657 +*17450 FILLER_52_661 +*17451 FILLER_52_666 +*17452 FILLER_52_671 +*17453 FILLER_52_676 +*17454 FILLER_52_682 +*17455 FILLER_52_686 +*17456 FILLER_52_690 +*17457 FILLER_52_695 +*17458 FILLER_52_701 +*17459 FILLER_52_706 +*17460 FILLER_52_710 +*17461 FILLER_52_715 +*17462 FILLER_52_72 +*17463 FILLER_52_721 +*17464 FILLER_52_725 +*17465 FILLER_52_729 +*17466 FILLER_52_735 +*17467 FILLER_52_739 +*17468 FILLER_52_744 +*17469 FILLER_52_750 +*17470 FILLER_52_754 +*17471 FILLER_52_757 +*17472 FILLER_52_764 +*17473 FILLER_52_768 +*17474 FILLER_52_77 +*17475 FILLER_52_773 +*17476 FILLER_52_779 +*17477 FILLER_52_783 +*17478 FILLER_52_788 +*17479 FILLER_52_793 +*17480 FILLER_52_797 +*17481 FILLER_52_802 +*17482 FILLER_52_808 +*17483 FILLER_52_81 +*17484 FILLER_52_813 +*17485 FILLER_52_817 +*17486 FILLER_52_822 +*17487 FILLER_52_826 +*17488 FILLER_52_831 +*17489 FILLER_52_837 +*17490 FILLER_52_841 +*17491 FILLER_52_845 +*17492 FILLER_52_85 +*17493 FILLER_52_851 +*17494 FILLER_52_855 +*17495 FILLER_52_860 +*17496 FILLER_52_866 +*17497 FILLER_52_869 +*17498 FILLER_52_874 +*17499 FILLER_52_880 +*17500 FILLER_52_884 +*17501 FILLER_52_889 +*17502 FILLER_52_895 +*17503 FILLER_52_899 +*17504 FILLER_52_9 +*17505 FILLER_52_903 +*17506 FILLER_52_909 +*17507 FILLER_52_91 +*17508 FILLER_52_913 +*17509 FILLER_52_918 +*17510 FILLER_52_925 +*17511 FILLER_52_929 +*17512 FILLER_52_932 +*17513 FILLER_52_936 +*17514 FILLER_52_942 +*17515 FILLER_52_947 +*17516 FILLER_52_953 +*17517 FILLER_52_957 +*17518 FILLER_52_96 +*17519 FILLER_52_962 +*17520 FILLER_52_967 +*17521 FILLER_52_971 +*17522 FILLER_52_976 +*17523 FILLER_52_985 +*17524 FILLER_52_991 +*17525 FILLER_52_996 +*17526 FILLER_53_1001 +*17527 FILLER_53_1009 +*17528 FILLER_53_101 +*17529 FILLER_53_1016 +*17530 FILLER_53_1023 +*17531 FILLER_53_1034 +*17532 FILLER_53_1043 +*17533 FILLER_53_1052 +*17534 FILLER_53_1063 +*17535 FILLER_53_1081 +*17536 FILLER_53_1103 +*17537 FILLER_53_1110 +*17538 FILLER_53_1117 +*17539 FILLER_53_1127 +*17540 FILLER_53_113 +*17541 FILLER_53_1132 +*17542 FILLER_53_1139 +*17543 FILLER_53_1146 +*17544 FILLER_53_1155 +*17545 FILLER_53_1161 +*17546 FILLER_53_1168 +*17547 FILLER_53_1175 +*17548 FILLER_53_1183 +*17549 FILLER_53_1190 +*17550 FILLER_53_1197 +*17551 FILLER_53_1219 +*17552 FILLER_53_1226 +*17553 FILLER_53_1233 +*17554 FILLER_53_1248 +*17555 FILLER_53_1255 +*17556 FILLER_53_1261 +*17557 FILLER_53_1277 +*17558 FILLER_53_1289 +*17559 FILLER_53_1306 +*17560 FILLER_53_1313 +*17561 FILLER_53_1321 +*17562 FILLER_53_1328 +*17563 FILLER_53_1335 +*17564 FILLER_53_1342 +*17565 FILLER_53_1345 +*17566 FILLER_53_1350 +*17567 FILLER_53_1357 +*17568 FILLER_53_1364 +*17569 FILLER_53_1371 +*17570 FILLER_53_1373 +*17571 FILLER_53_1379 +*17572 FILLER_53_1386 +*17573 FILLER_53_1393 +*17574 FILLER_53_1401 +*17575 FILLER_53_1408 +*17576 FILLER_53_1415 +*17577 FILLER_53_1426 +*17578 FILLER_53_1435 +*17579 FILLER_53_1444 +*17580 FILLER_53_1455 +*17581 FILLER_53_1473 +*17582 FILLER_53_1495 +*17583 FILLER_53_1502 +*17584 FILLER_53_1509 +*17585 FILLER_53_1519 +*17586 FILLER_53_1524 +*17587 FILLER_53_1531 +*17588 FILLER_53_1538 +*17589 FILLER_53_1547 +*17590 FILLER_53_1553 +*17591 FILLER_53_1575 +*17592 FILLER_53_1582 +*17593 FILLER_53_1589 +*17594 FILLER_53_1611 +*17595 FILLER_53_1618 +*17596 FILLER_53_1625 +*17597 FILLER_53_1640 +*17598 FILLER_53_1647 +*17599 FILLER_53_165 +*17600 FILLER_53_1653 +*17601 FILLER_53_1669 +*17602 FILLER_53_1691 +*17603 FILLER_53_1698 +*17604 FILLER_53_1705 +*17605 FILLER_53_1713 +*17606 FILLER_53_1720 +*17607 FILLER_53_1727 +*17608 FILLER_53_1734 +*17609 FILLER_53_1737 +*17610 FILLER_53_1742 +*17611 FILLER_53_1749 +*17612 FILLER_53_1756 +*17613 FILLER_53_1763 +*17614 FILLER_53_1765 +*17615 FILLER_53_1771 +*17616 FILLER_53_1778 +*17617 FILLER_53_1785 +*17618 FILLER_53_1793 +*17619 FILLER_53_1800 +*17620 FILLER_53_1807 +*17621 FILLER_53_1818 +*17622 FILLER_53_1827 +*17623 FILLER_53_1836 +*17624 FILLER_53_1847 +*17625 FILLER_53_1865 +*17626 FILLER_53_1887 +*17627 FILLER_53_1894 +*17628 FILLER_53_19 +*17629 FILLER_53_1901 +*17630 FILLER_53_1911 +*17631 FILLER_53_1916 +*17632 FILLER_53_193 +*17633 FILLER_53_1931 +*17634 FILLER_53_1939 +*17635 FILLER_53_1945 +*17636 FILLER_53_1967 +*17637 FILLER_53_1974 +*17638 FILLER_53_1981 +*17639 FILLER_53_2003 +*17640 FILLER_53_2010 +*17641 FILLER_53_2017 +*17642 FILLER_53_2032 +*17643 FILLER_53_2039 +*17644 FILLER_53_2045 +*17645 FILLER_53_2054 +*17646 FILLER_53_2061 +*17647 FILLER_53_2083 +*17648 FILLER_53_2090 +*17649 FILLER_53_2097 +*17650 FILLER_53_2105 +*17651 FILLER_53_2112 +*17652 FILLER_53_2119 +*17653 FILLER_53_2126 +*17654 FILLER_53_2129 +*17655 FILLER_53_2134 +*17656 FILLER_53_2141 +*17657 FILLER_53_2148 +*17658 FILLER_53_2155 +*17659 FILLER_53_2157 +*17660 FILLER_53_2163 +*17661 FILLER_53_2170 +*17662 FILLER_53_2177 +*17663 FILLER_53_2185 +*17664 FILLER_53_2192 +*17665 FILLER_53_2199 +*17666 FILLER_53_221 +*17667 FILLER_53_2210 +*17668 FILLER_53_2219 +*17669 FILLER_53_2228 +*17670 FILLER_53_2235 +*17671 FILLER_53_2245 +*17672 FILLER_53_2250 +*17673 FILLER_53_2255 +*17674 FILLER_53_2264 +*17675 FILLER_53_2279 +*17676 FILLER_53_2294 +*17677 FILLER_53_2303 +*17678 FILLER_53_2308 +*17679 FILLER_53_2323 +*17680 FILLER_53_2331 +*17681 FILLER_53_2337 +*17682 FILLER_53_2363 +*17683 FILLER_53_241 +*17684 FILLER_53_250 +*17685 FILLER_53_269 +*17686 FILLER_53_279 +*17687 FILLER_53_297 +*17688 FILLER_53_333 +*17689 FILLER_53_357 +*17690 FILLER_53_362 +*17691 FILLER_53_377 +*17692 FILLER_53_391 +*17693 FILLER_53_401 +*17694 FILLER_53_406 +*17695 FILLER_53_411 +*17696 FILLER_53_425 +*17697 FILLER_53_430 +*17698 FILLER_53_435 +*17699 FILLER_53_440 +*17700 FILLER_53_445 +*17701 FILLER_53_449 +*17702 FILLER_53_458 +*17703 FILLER_53_464 +*17704 FILLER_53_469 +*17705 FILLER_53_474 +*17706 FILLER_53_477 +*17707 FILLER_53_487 +*17708 FILLER_53_493 +*17709 FILLER_53_498 +*17710 FILLER_53_505 +*17711 FILLER_53_522 +*17712 FILLER_53_529 +*17713 FILLER_53_53 +*17714 FILLER_53_551 +*17715 FILLER_53_558 +*17716 FILLER_53_561 +*17717 FILLER_53_57 +*17718 FILLER_53_580 +*17719 FILLER_53_587 +*17720 FILLER_53_589 +*17721 FILLER_53_595 +*17722 FILLER_53_602 +*17723 FILLER_53_609 +*17724 FILLER_53_617 +*17725 FILLER_53_62 +*17726 FILLER_53_624 +*17727 FILLER_53_631 +*17728 FILLER_53_638 +*17729 FILLER_53_643 +*17730 FILLER_53_653 +*17731 FILLER_53_662 +*17732 FILLER_53_667 +*17733 FILLER_53_691 +*17734 FILLER_53_696 +*17735 FILLER_53_711 +*17736 FILLER_53_718 +*17737 FILLER_53_725 +*17738 FILLER_53_735 +*17739 FILLER_53_740 +*17740 FILLER_53_747 +*17741 FILLER_53_75 +*17742 FILLER_53_754 +*17743 FILLER_53_763 +*17744 FILLER_53_769 +*17745 FILLER_53_776 +*17746 FILLER_53_783 +*17747 FILLER_53_791 +*17748 FILLER_53_798 +*17749 FILLER_53_805 +*17750 FILLER_53_82 +*17751 FILLER_53_827 +*17752 FILLER_53_834 +*17753 FILLER_53_841 +*17754 FILLER_53_856 +*17755 FILLER_53_863 +*17756 FILLER_53_869 +*17757 FILLER_53_885 +*17758 FILLER_53_897 +*17759 FILLER_53_914 +*17760 FILLER_53_921 +*17761 FILLER_53_943 +*17762 FILLER_53_950 +*17763 FILLER_53_953 +*17764 FILLER_53_958 +*17765 FILLER_53_965 +*17766 FILLER_53_972 +*17767 FILLER_53_979 +*17768 FILLER_53_981 +*17769 FILLER_53_987 +*17770 FILLER_53_994 +*17771 FILLER_5_1005 +*17772 FILLER_5_1009 +*17773 FILLER_5_1017 +*17774 FILLER_5_1021 +*17775 FILLER_5_1025 +*17776 FILLER_5_1037 +*17777 FILLER_5_1049 +*17778 FILLER_5_106 +*17779 FILLER_5_1061 +*17780 FILLER_5_1065 +*17781 FILLER_5_1077 +*17782 FILLER_5_1081 +*17783 FILLER_5_1087 +*17784 FILLER_5_1091 +*17785 FILLER_5_1100 +*17786 FILLER_5_1104 +*17787 FILLER_5_1108 +*17788 FILLER_5_1121 +*17789 FILLER_5_1125 +*17790 FILLER_5_113 +*17791 FILLER_5_1137 +*17792 FILLER_5_1149 +*17793 FILLER_5_1154 +*17794 FILLER_5_1160 +*17795 FILLER_5_1172 +*17796 FILLER_5_1177 +*17797 FILLER_5_1192 +*17798 FILLER_5_1196 +*17799 FILLER_5_1208 +*17800 FILLER_5_1220 +*17801 FILLER_5_1233 +*17802 FILLER_5_1246 +*17803 FILLER_5_125 +*17804 FILLER_5_1250 +*17805 FILLER_5_1256 +*17806 FILLER_5_1262 +*17807 FILLER_5_1266 +*17808 FILLER_5_1270 +*17809 FILLER_5_1282 +*17810 FILLER_5_1289 +*17811 FILLER_5_1301 +*17812 FILLER_5_1313 +*17813 FILLER_5_1325 +*17814 FILLER_5_1337 +*17815 FILLER_5_1343 +*17816 FILLER_5_1345 +*17817 FILLER_5_1354 +*17818 FILLER_5_1361 +*17819 FILLER_5_1365 +*17820 FILLER_5_1369 +*17821 FILLER_5_137 +*17822 FILLER_5_1381 +*17823 FILLER_5_1393 +*17824 FILLER_5_1399 +*17825 FILLER_5_1401 +*17826 FILLER_5_1413 +*17827 FILLER_5_1425 +*17828 FILLER_5_1437 +*17829 FILLER_5_1449 +*17830 FILLER_5_1455 +*17831 FILLER_5_1457 +*17832 FILLER_5_1465 +*17833 FILLER_5_1474 +*17834 FILLER_5_1478 +*17835 FILLER_5_1482 +*17836 FILLER_5_1486 +*17837 FILLER_5_149 +*17838 FILLER_5_1490 +*17839 FILLER_5_15 +*17840 FILLER_5_1502 +*17841 FILLER_5_1510 +*17842 FILLER_5_1513 +*17843 FILLER_5_1521 +*17844 FILLER_5_1540 +*17845 FILLER_5_1555 +*17846 FILLER_5_1559 +*17847 FILLER_5_1563 +*17848 FILLER_5_1566 +*17849 FILLER_5_1569 +*17850 FILLER_5_1581 +*17851 FILLER_5_1593 +*17852 FILLER_5_1605 +*17853 FILLER_5_161 +*17854 FILLER_5_1617 +*17855 FILLER_5_1623 +*17856 FILLER_5_1625 +*17857 FILLER_5_1637 +*17858 FILLER_5_1649 +*17859 FILLER_5_1661 +*17860 FILLER_5_167 +*17861 FILLER_5_1673 +*17862 FILLER_5_1679 +*17863 FILLER_5_1681 +*17864 FILLER_5_169 +*17865 FILLER_5_1693 +*17866 FILLER_5_1701 +*17867 FILLER_5_1713 +*17868 FILLER_5_1725 +*17869 FILLER_5_1733 +*17870 FILLER_5_1737 +*17871 FILLER_5_1749 +*17872 FILLER_5_1761 +*17873 FILLER_5_1773 +*17874 FILLER_5_1785 +*17875 FILLER_5_1791 +*17876 FILLER_5_1793 +*17877 FILLER_5_1799 +*17878 FILLER_5_1807 +*17879 FILLER_5_181 +*17880 FILLER_5_1811 +*17881 FILLER_5_1823 +*17882 FILLER_5_1831 +*17883 FILLER_5_1841 +*17884 FILLER_5_1845 +*17885 FILLER_5_1849 +*17886 FILLER_5_1861 +*17887 FILLER_5_1873 +*17888 FILLER_5_1879 +*17889 FILLER_5_1882 +*17890 FILLER_5_189 +*17891 FILLER_5_1894 +*17892 FILLER_5_1902 +*17893 FILLER_5_1905 +*17894 FILLER_5_1917 +*17895 FILLER_5_1929 +*17896 FILLER_5_1941 +*17897 FILLER_5_195 +*17898 FILLER_5_1953 +*17899 FILLER_5_1959 +*17900 FILLER_5_1961 +*17901 FILLER_5_1973 +*17902 FILLER_5_1985 +*17903 FILLER_5_199 +*17904 FILLER_5_1997 +*17905 FILLER_5_2009 +*17906 FILLER_5_2015 +*17907 FILLER_5_2017 +*17908 FILLER_5_2029 +*17909 FILLER_5_203 +*17910 FILLER_5_2041 +*17911 FILLER_5_2053 +*17912 FILLER_5_2065 +*17913 FILLER_5_2071 +*17914 FILLER_5_2073 +*17915 FILLER_5_2085 +*17916 FILLER_5_2097 +*17917 FILLER_5_2109 +*17918 FILLER_5_2121 +*17919 FILLER_5_2127 +*17920 FILLER_5_2129 +*17921 FILLER_5_2141 +*17922 FILLER_5_215 +*17923 FILLER_5_2153 +*17924 FILLER_5_2165 +*17925 FILLER_5_2177 +*17926 FILLER_5_2183 +*17927 FILLER_5_2185 +*17928 FILLER_5_2197 +*17929 FILLER_5_2209 +*17930 FILLER_5_2221 +*17931 FILLER_5_223 +*17932 FILLER_5_2233 +*17933 FILLER_5_2239 +*17934 FILLER_5_2241 +*17935 FILLER_5_2249 +*17936 FILLER_5_225 +*17937 FILLER_5_2260 +*17938 FILLER_5_2264 +*17939 FILLER_5_2276 +*17940 FILLER_5_2288 +*17941 FILLER_5_2297 +*17942 FILLER_5_2309 +*17943 FILLER_5_2321 +*17944 FILLER_5_2333 +*17945 FILLER_5_2345 +*17946 FILLER_5_2351 +*17947 FILLER_5_2353 +*17948 FILLER_5_2359 +*17949 FILLER_5_2363 +*17950 FILLER_5_237 +*17951 FILLER_5_249 +*17952 FILLER_5_261 +*17953 FILLER_5_27 +*17954 FILLER_5_273 +*17955 FILLER_5_279 +*17956 FILLER_5_281 +*17957 FILLER_5_293 +*17958 FILLER_5_3 +*17959 FILLER_5_305 +*17960 FILLER_5_317 +*17961 FILLER_5_329 +*17962 FILLER_5_335 +*17963 FILLER_5_337 +*17964 FILLER_5_349 +*17965 FILLER_5_361 +*17966 FILLER_5_373 +*17967 FILLER_5_381 +*17968 FILLER_5_384 +*17969 FILLER_5_39 +*17970 FILLER_5_393 +*17971 FILLER_5_405 +*17972 FILLER_5_417 +*17973 FILLER_5_429 +*17974 FILLER_5_441 +*17975 FILLER_5_447 +*17976 FILLER_5_449 +*17977 FILLER_5_461 +*17978 FILLER_5_473 +*17979 FILLER_5_485 +*17980 FILLER_5_497 +*17981 FILLER_5_503 +*17982 FILLER_5_505 +*17983 FILLER_5_51 +*17984 FILLER_5_517 +*17985 FILLER_5_529 +*17986 FILLER_5_541 +*17987 FILLER_5_545 +*17988 FILLER_5_55 +*17989 FILLER_5_553 +*17990 FILLER_5_557 +*17991 FILLER_5_561 +*17992 FILLER_5_57 +*17993 FILLER_5_573 +*17994 FILLER_5_585 +*17995 FILLER_5_597 +*17996 FILLER_5_609 +*17997 FILLER_5_615 +*17998 FILLER_5_617 +*17999 FILLER_5_629 +*18000 FILLER_5_641 +*18001 FILLER_5_653 +*18002 FILLER_5_665 +*18003 FILLER_5_671 +*18004 FILLER_5_673 +*18005 FILLER_5_685 +*18006 FILLER_5_69 +*18007 FILLER_5_697 +*18008 FILLER_5_709 +*18009 FILLER_5_721 +*18010 FILLER_5_727 +*18011 FILLER_5_729 +*18012 FILLER_5_741 +*18013 FILLER_5_754 +*18014 FILLER_5_758 +*18015 FILLER_5_762 +*18016 FILLER_5_77 +*18017 FILLER_5_770 +*18018 FILLER_5_783 +*18019 FILLER_5_785 +*18020 FILLER_5_789 +*18021 FILLER_5_793 +*18022 FILLER_5_805 +*18023 FILLER_5_81 +*18024 FILLER_5_817 +*18025 FILLER_5_829 +*18026 FILLER_5_837 +*18027 FILLER_5_841 +*18028 FILLER_5_85 +*18029 FILLER_5_853 +*18030 FILLER_5_865 +*18031 FILLER_5_877 +*18032 FILLER_5_885 +*18033 FILLER_5_889 +*18034 FILLER_5_895 +*18035 FILLER_5_897 +*18036 FILLER_5_90 +*18037 FILLER_5_909 +*18038 FILLER_5_915 +*18039 FILLER_5_927 +*18040 FILLER_5_939 +*18041 FILLER_5_94 +*18042 FILLER_5_951 +*18043 FILLER_5_953 +*18044 FILLER_5_965 +*18045 FILLER_5_977 +*18046 FILLER_5_985 +*18047 FILLER_5_994 +*18048 FILLER_5_998 +*18049 FILLER_6_1000 +*18050 FILLER_6_101 +*18051 FILLER_6_1012 +*18052 FILLER_6_1024 +*18053 FILLER_6_1037 +*18054 FILLER_6_1048 +*18055 FILLER_6_1057 +*18056 FILLER_6_1061 +*18057 FILLER_6_1065 +*18058 FILLER_6_1077 +*18059 FILLER_6_1089 +*18060 FILLER_6_1093 +*18061 FILLER_6_1105 +*18062 FILLER_6_1117 +*18063 FILLER_6_1129 +*18064 FILLER_6_113 +*18065 FILLER_6_1133 +*18066 FILLER_6_1137 +*18067 FILLER_6_1145 +*18068 FILLER_6_1149 +*18069 FILLER_6_1165 +*18070 FILLER_6_1169 +*18071 FILLER_6_1173 +*18072 FILLER_6_1185 +*18073 FILLER_6_1197 +*18074 FILLER_6_1203 +*18075 FILLER_6_1205 +*18076 FILLER_6_1217 +*18077 FILLER_6_1229 +*18078 FILLER_6_1241 +*18079 FILLER_6_125 +*18080 FILLER_6_1251 +*18081 FILLER_6_1255 +*18082 FILLER_6_1259 +*18083 FILLER_6_1261 +*18084 FILLER_6_1273 +*18085 FILLER_6_1285 +*18086 FILLER_6_1297 +*18087 FILLER_6_1309 +*18088 FILLER_6_1315 +*18089 FILLER_6_1317 +*18090 FILLER_6_1327 +*18091 FILLER_6_1331 +*18092 FILLER_6_1340 +*18093 FILLER_6_1344 +*18094 FILLER_6_1348 +*18095 FILLER_6_1352 +*18096 FILLER_6_1355 +*18097 FILLER_6_1367 +*18098 FILLER_6_137 +*18099 FILLER_6_1371 +*18100 FILLER_6_1373 +*18101 FILLER_6_1385 +*18102 FILLER_6_1397 +*18103 FILLER_6_1409 +*18104 FILLER_6_141 +*18105 FILLER_6_1421 +*18106 FILLER_6_1427 +*18107 FILLER_6_1429 +*18108 FILLER_6_1437 +*18109 FILLER_6_1446 +*18110 FILLER_6_1453 +*18111 FILLER_6_1457 +*18112 FILLER_6_1461 +*18113 FILLER_6_1473 +*18114 FILLER_6_1481 +*18115 FILLER_6_1485 +*18116 FILLER_6_1497 +*18117 FILLER_6_15 +*18118 FILLER_6_1509 +*18119 FILLER_6_1521 +*18120 FILLER_6_153 +*18121 FILLER_6_1533 +*18122 FILLER_6_1537 +*18123 FILLER_6_1541 +*18124 FILLER_6_1545 +*18125 FILLER_6_1548 +*18126 FILLER_6_1561 +*18127 FILLER_6_1568 +*18128 FILLER_6_1572 +*18129 FILLER_6_1584 +*18130 FILLER_6_1597 +*18131 FILLER_6_1608 +*18132 FILLER_6_1612 +*18133 FILLER_6_1616 +*18134 FILLER_6_1622 +*18135 FILLER_6_1626 +*18136 FILLER_6_1630 +*18137 FILLER_6_1642 +*18138 FILLER_6_165 +*18139 FILLER_6_1650 +*18140 FILLER_6_1653 +*18141 FILLER_6_1665 +*18142 FILLER_6_1677 +*18143 FILLER_6_1689 +*18144 FILLER_6_1695 +*18145 FILLER_6_1703 +*18146 FILLER_6_1709 +*18147 FILLER_6_1713 +*18148 FILLER_6_1717 +*18149 FILLER_6_1729 +*18150 FILLER_6_1741 +*18151 FILLER_6_1753 +*18152 FILLER_6_1761 +*18153 FILLER_6_1765 +*18154 FILLER_6_177 +*18155 FILLER_6_1777 +*18156 FILLER_6_1789 +*18157 FILLER_6_1801 +*18158 FILLER_6_1809 +*18159 FILLER_6_1815 +*18160 FILLER_6_1819 +*18161 FILLER_6_1821 +*18162 FILLER_6_1825 +*18163 FILLER_6_183 +*18164 FILLER_6_1837 +*18165 FILLER_6_1849 +*18166 FILLER_6_1861 +*18167 FILLER_6_187 +*18168 FILLER_6_1873 +*18169 FILLER_6_1885 +*18170 FILLER_6_1889 +*18171 FILLER_6_1893 +*18172 FILLER_6_190 +*18173 FILLER_6_1905 +*18174 FILLER_6_1917 +*18175 FILLER_6_1929 +*18176 FILLER_6_1933 +*18177 FILLER_6_1945 +*18178 FILLER_6_1957 +*18179 FILLER_6_1965 +*18180 FILLER_6_197 +*18181 FILLER_6_1975 +*18182 FILLER_6_1979 +*18183 FILLER_6_1987 +*18184 FILLER_6_1989 +*18185 FILLER_6_2001 +*18186 FILLER_6_2013 +*18187 FILLER_6_202 +*18188 FILLER_6_2025 +*18189 FILLER_6_2037 +*18190 FILLER_6_2043 +*18191 FILLER_6_2045 +*18192 FILLER_6_2057 +*18193 FILLER_6_206 +*18194 FILLER_6_2069 +*18195 FILLER_6_2081 +*18196 FILLER_6_2093 +*18197 FILLER_6_2099 +*18198 FILLER_6_2101 +*18199 FILLER_6_2113 +*18200 FILLER_6_2125 +*18201 FILLER_6_2136 +*18202 FILLER_6_2140 +*18203 FILLER_6_2152 +*18204 FILLER_6_2157 +*18205 FILLER_6_2169 +*18206 FILLER_6_2175 +*18207 FILLER_6_2178 +*18208 FILLER_6_218 +*18209 FILLER_6_2190 +*18210 FILLER_6_2202 +*18211 FILLER_6_2210 +*18212 FILLER_6_2213 +*18213 FILLER_6_2225 +*18214 FILLER_6_2235 +*18215 FILLER_6_2247 +*18216 FILLER_6_2259 +*18217 FILLER_6_2267 +*18218 FILLER_6_2269 +*18219 FILLER_6_2281 +*18220 FILLER_6_2293 +*18221 FILLER_6_230 +*18222 FILLER_6_2305 +*18223 FILLER_6_2317 +*18224 FILLER_6_2323 +*18225 FILLER_6_2325 +*18226 FILLER_6_2337 +*18227 FILLER_6_2349 +*18228 FILLER_6_2359 +*18229 FILLER_6_2363 +*18230 FILLER_6_242 +*18231 FILLER_6_250 +*18232 FILLER_6_253 +*18233 FILLER_6_265 +*18234 FILLER_6_27 +*18235 FILLER_6_277 +*18236 FILLER_6_289 +*18237 FILLER_6_29 +*18238 FILLER_6_3 +*18239 FILLER_6_301 +*18240 FILLER_6_307 +*18241 FILLER_6_309 +*18242 FILLER_6_321 +*18243 FILLER_6_333 +*18244 FILLER_6_345 +*18245 FILLER_6_357 +*18246 FILLER_6_363 +*18247 FILLER_6_365 +*18248 FILLER_6_377 +*18249 FILLER_6_389 +*18250 FILLER_6_393 +*18251 FILLER_6_397 +*18252 FILLER_6_409 +*18253 FILLER_6_41 +*18254 FILLER_6_417 +*18255 FILLER_6_421 +*18256 FILLER_6_433 +*18257 FILLER_6_445 +*18258 FILLER_6_457 +*18259 FILLER_6_469 +*18260 FILLER_6_475 +*18261 FILLER_6_477 +*18262 FILLER_6_489 +*18263 FILLER_6_501 +*18264 FILLER_6_513 +*18265 FILLER_6_525 +*18266 FILLER_6_53 +*18267 FILLER_6_531 +*18268 FILLER_6_533 +*18269 FILLER_6_545 +*18270 FILLER_6_557 +*18271 FILLER_6_569 +*18272 FILLER_6_581 +*18273 FILLER_6_587 +*18274 FILLER_6_589 +*18275 FILLER_6_601 +*18276 FILLER_6_613 +*18277 FILLER_6_625 +*18278 FILLER_6_637 +*18279 FILLER_6_640 +*18280 FILLER_6_645 +*18281 FILLER_6_65 +*18282 FILLER_6_653 +*18283 FILLER_6_657 +*18284 FILLER_6_669 +*18285 FILLER_6_681 +*18286 FILLER_6_69 +*18287 FILLER_6_693 +*18288 FILLER_6_699 +*18289 FILLER_6_701 +*18290 FILLER_6_713 +*18291 FILLER_6_725 +*18292 FILLER_6_737 +*18293 FILLER_6_745 +*18294 FILLER_6_749 +*18295 FILLER_6_755 +*18296 FILLER_6_757 +*18297 FILLER_6_769 +*18298 FILLER_6_781 +*18299 FILLER_6_793 +*18300 FILLER_6_805 +*18301 FILLER_6_811 +*18302 FILLER_6_813 +*18303 FILLER_6_825 +*18304 FILLER_6_837 +*18305 FILLER_6_849 +*18306 FILLER_6_861 +*18307 FILLER_6_867 +*18308 FILLER_6_869 +*18309 FILLER_6_877 +*18310 FILLER_6_881 +*18311 FILLER_6_896 +*18312 FILLER_6_900 +*18313 FILLER_6_904 +*18314 FILLER_6_920 +*18315 FILLER_6_925 +*18316 FILLER_6_929 +*18317 FILLER_6_93 +*18318 FILLER_6_941 +*18319 FILLER_6_953 +*18320 FILLER_6_956 +*18321 FILLER_6_960 +*18322 FILLER_6_97 +*18323 FILLER_6_972 +*18324 FILLER_6_981 +*18325 FILLER_6_985 +*18326 FILLER_6_988 +*18327 FILLER_7_10 +*18328 FILLER_7_100 +*18329 FILLER_7_1007 +*18330 FILLER_7_1009 +*18331 FILLER_7_1021 +*18332 FILLER_7_1033 +*18333 FILLER_7_1037 +*18334 FILLER_7_1049 +*18335 FILLER_7_1061 +*18336 FILLER_7_1065 +*18337 FILLER_7_1077 +*18338 FILLER_7_1088 +*18339 FILLER_7_1098 +*18340 FILLER_7_1102 +*18341 FILLER_7_1106 +*18342 FILLER_7_1118 +*18343 FILLER_7_1121 +*18344 FILLER_7_113 +*18345 FILLER_7_1133 +*18346 FILLER_7_1145 +*18347 FILLER_7_1149 +*18348 FILLER_7_1161 +*18349 FILLER_7_1173 +*18350 FILLER_7_1177 +*18351 FILLER_7_1189 +*18352 FILLER_7_1193 +*18353 FILLER_7_1203 +*18354 FILLER_7_1205 +*18355 FILLER_7_1213 +*18356 FILLER_7_1217 +*18357 FILLER_7_1223 +*18358 FILLER_7_1231 +*18359 FILLER_7_1233 +*18360 FILLER_7_1237 +*18361 FILLER_7_1249 +*18362 FILLER_7_125 +*18363 FILLER_7_1257 +*18364 FILLER_7_1261 +*18365 FILLER_7_1273 +*18366 FILLER_7_1285 +*18367 FILLER_7_1294 +*18368 FILLER_7_1298 +*18369 FILLER_7_1304 +*18370 FILLER_7_1310 +*18371 FILLER_7_1314 +*18372 FILLER_7_1317 +*18373 FILLER_7_1329 +*18374 FILLER_7_1341 +*18375 FILLER_7_1357 +*18376 FILLER_7_1369 +*18377 FILLER_7_137 +*18378 FILLER_7_1373 +*18379 FILLER_7_1385 +*18380 FILLER_7_1397 +*18381 FILLER_7_1401 +*18382 FILLER_7_141 +*18383 FILLER_7_1413 +*18384 FILLER_7_1422 +*18385 FILLER_7_1426 +*18386 FILLER_7_1429 +*18387 FILLER_7_1442 +*18388 FILLER_7_1446 +*18389 FILLER_7_1454 +*18390 FILLER_7_1457 +*18391 FILLER_7_1461 +*18392 FILLER_7_1473 +*18393 FILLER_7_1481 +*18394 FILLER_7_1485 +*18395 FILLER_7_1497 +*18396 FILLER_7_1509 +*18397 FILLER_7_1513 +*18398 FILLER_7_1525 +*18399 FILLER_7_153 +*18400 FILLER_7_1537 +*18401 FILLER_7_1541 +*18402 FILLER_7_1553 +*18403 FILLER_7_1559 +*18404 FILLER_7_1562 +*18405 FILLER_7_1569 +*18406 FILLER_7_1577 +*18407 FILLER_7_1582 +*18408 FILLER_7_1586 +*18409 FILLER_7_1590 +*18410 FILLER_7_1597 +*18411 FILLER_7_1609 +*18412 FILLER_7_1618 +*18413 FILLER_7_1623 +*18414 FILLER_7_1625 +*18415 FILLER_7_1629 +*18416 FILLER_7_1633 +*18417 FILLER_7_1645 +*18418 FILLER_7_165 +*18419 FILLER_7_1651 +*18420 FILLER_7_1653 +*18421 FILLER_7_1665 +*18422 FILLER_7_1671 +*18423 FILLER_7_1674 +*18424 FILLER_7_1688 +*18425 FILLER_7_169 +*18426 FILLER_7_1692 +*18427 FILLER_7_1704 +*18428 FILLER_7_1709 +*18429 FILLER_7_1721 +*18430 FILLER_7_1733 +*18431 FILLER_7_1737 +*18432 FILLER_7_1749 +*18433 FILLER_7_1761 +*18434 FILLER_7_1765 +*18435 FILLER_7_177 +*18436 FILLER_7_1777 +*18437 FILLER_7_1789 +*18438 FILLER_7_1793 +*18439 FILLER_7_1805 +*18440 FILLER_7_1817 +*18441 FILLER_7_1821 +*18442 FILLER_7_1833 +*18443 FILLER_7_1845 +*18444 FILLER_7_1849 +*18445 FILLER_7_1861 +*18446 FILLER_7_1873 +*18447 FILLER_7_1877 +*18448 FILLER_7_1889 +*18449 FILLER_7_190 +*18450 FILLER_7_1901 +*18451 FILLER_7_1905 +*18452 FILLER_7_1917 +*18453 FILLER_7_1929 +*18454 FILLER_7_1933 +*18455 FILLER_7_194 +*18456 FILLER_7_1945 +*18457 FILLER_7_1957 +*18458 FILLER_7_1961 +*18459 FILLER_7_1973 +*18460 FILLER_7_1985 +*18461 FILLER_7_1989 +*18462 FILLER_7_2001 +*18463 FILLER_7_2013 +*18464 FILLER_7_2017 +*18465 FILLER_7_2029 +*18466 FILLER_7_2041 +*18467 FILLER_7_2045 +*18468 FILLER_7_2057 +*18469 FILLER_7_2061 +*18470 FILLER_7_2069 +*18471 FILLER_7_207 +*18472 FILLER_7_2086 +*18473 FILLER_7_2090 +*18474 FILLER_7_2098 +*18475 FILLER_7_2101 +*18476 FILLER_7_211 +*18477 FILLER_7_2113 +*18478 FILLER_7_2125 +*18479 FILLER_7_2129 +*18480 FILLER_7_2141 +*18481 FILLER_7_215 +*18482 FILLER_7_2153 +*18483 FILLER_7_2157 +*18484 FILLER_7_2169 +*18485 FILLER_7_2183 +*18486 FILLER_7_2185 +*18487 FILLER_7_2193 +*18488 FILLER_7_22 +*18489 FILLER_7_2208 +*18490 FILLER_7_2213 +*18491 FILLER_7_2225 +*18492 FILLER_7_223 +*18493 FILLER_7_2241 +*18494 FILLER_7_225 +*18495 FILLER_7_2253 +*18496 FILLER_7_2265 +*18497 FILLER_7_2269 +*18498 FILLER_7_2281 +*18499 FILLER_7_2293 +*18500 FILLER_7_2297 +*18501 FILLER_7_2309 +*18502 FILLER_7_2321 +*18503 FILLER_7_2325 +*18504 FILLER_7_2337 +*18505 FILLER_7_2349 +*18506 FILLER_7_2353 +*18507 FILLER_7_237 +*18508 FILLER_7_249 +*18509 FILLER_7_253 +*18510 FILLER_7_265 +*18511 FILLER_7_277 +*18512 FILLER_7_281 +*18513 FILLER_7_29 +*18514 FILLER_7_293 +*18515 FILLER_7_305 +*18516 FILLER_7_309 +*18517 FILLER_7_321 +*18518 FILLER_7_333 +*18519 FILLER_7_337 +*18520 FILLER_7_349 +*18521 FILLER_7_361 +*18522 FILLER_7_365 +*18523 FILLER_7_377 +*18524 FILLER_7_389 +*18525 FILLER_7_393 +*18526 FILLER_7_405 +*18527 FILLER_7_41 +*18528 FILLER_7_417 +*18529 FILLER_7_421 +*18530 FILLER_7_433 +*18531 FILLER_7_445 +*18532 FILLER_7_449 +*18533 FILLER_7_461 +*18534 FILLER_7_473 +*18535 FILLER_7_477 +*18536 FILLER_7_489 +*18537 FILLER_7_49 +*18538 FILLER_7_501 +*18539 FILLER_7_505 +*18540 FILLER_7_517 +*18541 FILLER_7_529 +*18542 FILLER_7_533 +*18543 FILLER_7_54 +*18544 FILLER_7_545 +*18545 FILLER_7_557 +*18546 FILLER_7_561 +*18547 FILLER_7_57 +*18548 FILLER_7_573 +*18549 FILLER_7_585 +*18550 FILLER_7_589 +*18551 FILLER_7_6 +*18552 FILLER_7_601 +*18553 FILLER_7_61 +*18554 FILLER_7_613 +*18555 FILLER_7_617 +*18556 FILLER_7_629 +*18557 FILLER_7_642 +*18558 FILLER_7_645 +*18559 FILLER_7_649 +*18560 FILLER_7_670 +*18561 FILLER_7_673 +*18562 FILLER_7_685 +*18563 FILLER_7_69 +*18564 FILLER_7_697 +*18565 FILLER_7_701 +*18566 FILLER_7_713 +*18567 FILLER_7_725 +*18568 FILLER_7_729 +*18569 FILLER_7_73 +*18570 FILLER_7_741 +*18571 FILLER_7_753 +*18572 FILLER_7_757 +*18573 FILLER_7_772 +*18574 FILLER_7_776 +*18575 FILLER_7_785 +*18576 FILLER_7_797 +*18577 FILLER_7_809 +*18578 FILLER_7_813 +*18579 FILLER_7_82 +*18580 FILLER_7_825 +*18581 FILLER_7_837 +*18582 FILLER_7_841 +*18583 FILLER_7_853 +*18584 FILLER_7_865 +*18585 FILLER_7_869 +*18586 FILLER_7_88 +*18587 FILLER_7_881 +*18588 FILLER_7_893 +*18589 FILLER_7_897 +*18590 FILLER_7_909 +*18591 FILLER_7_92 +*18592 FILLER_7_921 +*18593 FILLER_7_925 +*18594 FILLER_7_937 +*18595 FILLER_7_949 +*18596 FILLER_7_953 +*18597 FILLER_7_96 +*18598 FILLER_7_970 +*18599 FILLER_7_974 +*18600 FILLER_7_981 +*18601 FILLER_7_991 +*18602 FILLER_7_995 +*18603 FILLER_7_999 +*18604 FILLER_8_1015 +*18605 FILLER_8_1019 +*18606 FILLER_8_1023 +*18607 FILLER_8_1029 +*18608 FILLER_8_1041 +*18609 FILLER_8_1053 +*18610 FILLER_8_1061 +*18611 FILLER_8_1063 +*18612 FILLER_8_1075 +*18613 FILLER_8_1087 +*18614 FILLER_8_1099 +*18615 FILLER_8_1111 +*18616 FILLER_8_1117 +*18617 FILLER_8_1119 +*18618 FILLER_8_1131 +*18619 FILLER_8_1143 +*18620 FILLER_8_1155 +*18621 FILLER_8_1167 +*18622 FILLER_8_1173 +*18623 FILLER_8_1175 +*18624 FILLER_8_1187 +*18625 FILLER_8_1199 +*18626 FILLER_8_1205 +*18627 FILLER_8_1208 +*18628 FILLER_8_1220 +*18629 FILLER_8_1225 +*18630 FILLER_8_1229 +*18631 FILLER_8_1231 +*18632 FILLER_8_1243 +*18633 FILLER_8_1255 +*18634 FILLER_8_1267 +*18635 FILLER_8_1279 +*18636 FILLER_8_1285 +*18637 FILLER_8_1287 +*18638 FILLER_8_1299 +*18639 FILLER_8_1311 +*18640 FILLER_8_1323 +*18641 FILLER_8_1335 +*18642 FILLER_8_1341 +*18643 FILLER_8_1343 +*18644 FILLER_8_1355 +*18645 FILLER_8_1367 +*18646 FILLER_8_1379 +*18647 FILLER_8_1391 +*18648 FILLER_8_1397 +*18649 FILLER_8_1399 +*18650 FILLER_8_1411 +*18651 FILLER_8_1419 +*18652 FILLER_8_1430 +*18653 FILLER_8_1434 +*18654 FILLER_8_1439 +*18655 FILLER_8_1443 +*18656 FILLER_8_1447 +*18657 FILLER_8_1453 +*18658 FILLER_8_1455 +*18659 FILLER_8_1467 +*18660 FILLER_8_1479 +*18661 FILLER_8_1491 +*18662 FILLER_8_1503 +*18663 FILLER_8_1509 +*18664 FILLER_8_1511 +*18665 FILLER_8_1517 +*18666 FILLER_8_1525 +*18667 FILLER_8_1528 +*18668 FILLER_8_1534 +*18669 FILLER_8_1537 +*18670 FILLER_8_1549 +*18671 FILLER_8_1561 +*18672 FILLER_8_1565 +*18673 FILLER_8_1567 +*18674 FILLER_8_1579 +*18675 FILLER_8_1591 +*18676 FILLER_8_1603 +*18677 FILLER_8_1615 +*18678 FILLER_8_1621 +*18679 FILLER_8_1623 +*18680 FILLER_8_1635 +*18681 FILLER_8_1647 +*18682 FILLER_8_1659 +*18683 FILLER_8_1667 +*18684 FILLER_8_1676 +*18685 FILLER_8_1679 +*18686 FILLER_8_1685 +*18687 FILLER_8_1689 +*18688 FILLER_8_1693 +*18689 FILLER_8_1705 +*18690 FILLER_8_1717 +*18691 FILLER_8_1729 +*18692 FILLER_8_1733 +*18693 FILLER_8_1735 +*18694 FILLER_8_1747 +*18695 FILLER_8_1755 +*18696 FILLER_8_1763 +*18697 FILLER_8_1767 +*18698 FILLER_8_1779 +*18699 FILLER_8_1787 +*18700 FILLER_8_1791 +*18701 FILLER_8_1803 +*18702 FILLER_8_1815 +*18703 FILLER_8_1827 +*18704 FILLER_8_1839 +*18705 FILLER_8_1845 +*18706 FILLER_8_1847 +*18707 FILLER_8_1859 +*18708 FILLER_8_1871 +*18709 FILLER_8_1883 +*18710 FILLER_8_1895 +*18711 FILLER_8_1901 +*18712 FILLER_8_1903 +*18713 FILLER_8_1915 +*18714 FILLER_8_1927 +*18715 FILLER_8_1939 +*18716 FILLER_8_1951 +*18717 FILLER_8_1957 +*18718 FILLER_8_1959 +*18719 FILLER_8_1971 +*18720 FILLER_8_1983 +*18721 FILLER_8_1995 +*18722 FILLER_8_2007 +*18723 FILLER_8_253 +*18724 FILLER_8_265 +*18725 FILLER_8_277 +*18726 FILLER_8_279 +*18727 FILLER_8_291 +*18728 FILLER_8_303 +*18729 FILLER_8_315 +*18730 FILLER_8_327 +*18731 FILLER_8_333 +*18732 FILLER_8_335 +*18733 FILLER_8_347 +*18734 FILLER_8_359 +*18735 FILLER_8_371 +*18736 FILLER_8_383 +*18737 FILLER_8_389 +*18738 FILLER_8_391 +*18739 FILLER_8_403 +*18740 FILLER_8_415 +*18741 FILLER_8_427 +*18742 FILLER_8_439 +*18743 FILLER_8_445 +*18744 FILLER_8_447 +*18745 FILLER_8_459 +*18746 FILLER_8_471 +*18747 FILLER_8_484 +*18748 FILLER_8_488 +*18749 FILLER_8_500 +*18750 FILLER_8_503 +*18751 FILLER_8_515 +*18752 FILLER_8_527 +*18753 FILLER_8_541 +*18754 FILLER_8_545 +*18755 FILLER_8_549 +*18756 FILLER_8_557 +*18757 FILLER_8_559 +*18758 FILLER_8_571 +*18759 FILLER_8_583 +*18760 FILLER_8_595 +*18761 FILLER_8_607 +*18762 FILLER_8_613 +*18763 FILLER_8_615 +*18764 FILLER_8_627 +*18765 FILLER_8_631 +*18766 FILLER_8_655 +*18767 FILLER_8_659 +*18768 FILLER_8_663 +*18769 FILLER_8_669 +*18770 FILLER_8_671 +*18771 FILLER_8_683 +*18772 FILLER_8_695 +*18773 FILLER_8_707 +*18774 FILLER_8_719 +*18775 FILLER_8_725 +*18776 FILLER_8_727 +*18777 FILLER_8_739 +*18778 FILLER_8_751 +*18779 FILLER_8_763 +*18780 FILLER_8_774 +*18781 FILLER_8_778 +*18782 FILLER_8_783 +*18783 FILLER_8_795 +*18784 FILLER_8_807 +*18785 FILLER_8_816 +*18786 FILLER_8_820 +*18787 FILLER_8_832 +*18788 FILLER_8_839 +*18789 FILLER_8_851 +*18790 FILLER_8_863 +*18791 FILLER_8_875 +*18792 FILLER_8_887 +*18793 FILLER_8_893 +*18794 FILLER_8_895 +*18795 FILLER_8_907 +*18796 FILLER_8_919 +*18797 FILLER_8_931 +*18798 FILLER_8_943 +*18799 FILLER_8_948 +*18800 FILLER_8_951 +*18801 FILLER_8_959 +*18802 FILLER_8_962 +*18803 FILLER_8_974 +*18804 FILLER_8_982 +*18805 FILLER_8_986 +*18806 FILLER_8_998 +*18807 FILLER_9_1003 +*18808 FILLER_9_1009 +*18809 FILLER_9_1012 +*18810 FILLER_9_1022 +*18811 FILLER_9_1035 +*18812 FILLER_9_1039 +*18813 FILLER_9_1043 +*18814 FILLER_9_1055 +*18815 FILLER_9_1067 +*18816 FILLER_9_1079 +*18817 FILLER_9_1087 +*18818 FILLER_9_1091 +*18819 FILLER_9_1103 +*18820 FILLER_9_1115 +*18821 FILLER_9_1123 +*18822 FILLER_9_1144 +*18823 FILLER_9_1147 +*18824 FILLER_9_1151 +*18825 FILLER_9_1163 +*18826 FILLER_9_1175 +*18827 FILLER_9_1183 +*18828 FILLER_9_1187 +*18829 FILLER_9_1190 +*18830 FILLER_9_1195 +*18831 FILLER_9_1199 +*18832 FILLER_9_1211 +*18833 FILLER_9_1215 +*18834 FILLER_9_1219 +*18835 FILLER_9_1227 +*18836 FILLER_9_1231 +*18837 FILLER_9_1238 +*18838 FILLER_9_1242 +*18839 FILLER_9_1246 +*18840 FILLER_9_1259 +*18841 FILLER_9_1271 +*18842 FILLER_9_1283 +*18843 FILLER_9_1295 +*18844 FILLER_9_1307 +*18845 FILLER_9_1313 +*18846 FILLER_9_1315 +*18847 FILLER_9_1327 +*18848 FILLER_9_1339 +*18849 FILLER_9_1351 +*18850 FILLER_9_1363 +*18851 FILLER_9_1369 +*18852 FILLER_9_1378 +*18853 FILLER_9_1382 +*18854 FILLER_9_1394 +*18855 FILLER_9_1406 +*18856 FILLER_9_1418 +*18857 FILLER_9_1422 +*18858 FILLER_9_1425 +*18859 FILLER_9_1427 +*18860 FILLER_9_1431 +*18861 FILLER_9_1435 +*18862 FILLER_9_1443 +*18863 FILLER_9_1447 +*18864 FILLER_9_1456 +*18865 FILLER_9_1460 +*18866 FILLER_9_1464 +*18867 FILLER_9_1476 +*18868 FILLER_9_1483 +*18869 FILLER_9_1495 +*18870 FILLER_9_1499 +*18871 FILLER_9_1507 +*18872 FILLER_9_1511 +*18873 FILLER_9_1516 +*18874 FILLER_9_1520 +*18875 FILLER_9_1530 +*18876 FILLER_9_1536 +*18877 FILLER_9_1539 +*18878 FILLER_9_1543 +*18879 FILLER_9_1555 +*18880 FILLER_9_1567 +*18881 FILLER_9_1579 +*18882 FILLER_9_1591 +*18883 FILLER_9_1595 +*18884 FILLER_9_1607 +*18885 FILLER_9_1619 +*18886 FILLER_9_1631 +*18887 FILLER_9_1643 +*18888 FILLER_9_1649 +*18889 FILLER_9_1651 +*18890 FILLER_9_1660 +*18891 FILLER_9_1664 +*18892 FILLER_9_1670 +*18893 FILLER_9_1673 +*18894 FILLER_9_1685 +*18895 FILLER_9_1697 +*18896 FILLER_9_1705 +*18897 FILLER_9_1707 +*18898 FILLER_9_1719 +*18899 FILLER_9_1731 +*18900 FILLER_9_1743 +*18901 FILLER_9_1755 +*18902 FILLER_9_1761 +*18903 FILLER_9_1763 +*18904 FILLER_9_1775 +*18905 FILLER_9_1787 +*18906 FILLER_9_1799 +*18907 FILLER_9_1808 +*18908 FILLER_9_1812 +*18909 FILLER_9_1819 +*18910 FILLER_9_1831 +*18911 FILLER_9_1843 +*18912 FILLER_9_1855 +*18913 FILLER_9_1867 +*18914 FILLER_9_1873 +*18915 FILLER_9_1875 +*18916 FILLER_9_1887 +*18917 FILLER_9_1899 +*18918 FILLER_9_1908 +*18919 FILLER_9_1912 +*18920 FILLER_9_1924 +*18921 FILLER_9_1934 +*18922 FILLER_9_1938 +*18923 FILLER_9_1942 +*18924 FILLER_9_1954 +*18925 FILLER_9_1966 +*18926 FILLER_9_1978 +*18927 FILLER_9_1987 +*18928 FILLER_9_1999 +*18929 FILLER_9_2007 +*18930 FILLER_9_253 +*18931 FILLER_9_261 +*18932 FILLER_9_264 +*18933 FILLER_9_268 +*18934 FILLER_9_271 +*18935 FILLER_9_283 +*18936 FILLER_9_295 +*18937 FILLER_9_303 +*18938 FILLER_9_307 +*18939 FILLER_9_319 +*18940 FILLER_9_331 +*18941 FILLER_9_343 +*18942 FILLER_9_355 +*18943 FILLER_9_361 +*18944 FILLER_9_363 +*18945 FILLER_9_375 +*18946 FILLER_9_383 +*18947 FILLER_9_387 +*18948 FILLER_9_399 +*18949 FILLER_9_411 +*18950 FILLER_9_417 +*18951 FILLER_9_419 +*18952 FILLER_9_431 +*18953 FILLER_9_441 +*18954 FILLER_9_453 +*18955 FILLER_9_465 +*18956 FILLER_9_473 +*18957 FILLER_9_475 +*18958 FILLER_9_484 +*18959 FILLER_9_488 +*18960 FILLER_9_500 +*18961 FILLER_9_512 +*18962 FILLER_9_524 +*18963 FILLER_9_531 +*18964 FILLER_9_535 +*18965 FILLER_9_550 +*18966 FILLER_9_556 +*18967 FILLER_9_560 +*18968 FILLER_9_564 +*18969 FILLER_9_576 +*18970 FILLER_9_584 +*18971 FILLER_9_587 +*18972 FILLER_9_599 +*18973 FILLER_9_611 +*18974 FILLER_9_623 +*18975 FILLER_9_635 +*18976 FILLER_9_638 +*18977 FILLER_9_643 +*18978 FILLER_9_663 +*18979 FILLER_9_667 +*18980 FILLER_9_679 +*18981 FILLER_9_691 +*18982 FILLER_9_697 +*18983 FILLER_9_699 +*18984 FILLER_9_711 +*18985 FILLER_9_723 +*18986 FILLER_9_735 +*18987 FILLER_9_747 +*18988 FILLER_9_753 +*18989 FILLER_9_755 +*18990 FILLER_9_767 +*18991 FILLER_9_779 +*18992 FILLER_9_791 +*18993 FILLER_9_803 +*18994 FILLER_9_809 +*18995 FILLER_9_811 +*18996 FILLER_9_819 +*18997 FILLER_9_828 +*18998 FILLER_9_832 +*18999 FILLER_9_838 +*19000 FILLER_9_843 +*19001 FILLER_9_847 +*19002 FILLER_9_851 +*19003 FILLER_9_855 +*19004 FILLER_9_863 +*19005 FILLER_9_874 +*19006 FILLER_9_878 +*19007 FILLER_9_890 +*19008 FILLER_9_902 +*19009 FILLER_9_914 +*19010 FILLER_9_923 +*19011 FILLER_9_935 +*19012 FILLER_9_953 +*19013 FILLER_9_957 +*19014 FILLER_9_961 +*19015 FILLER_9_973 +*19016 FILLER_9_976 +*19017 FILLER_9_979 +*19018 FILLER_9_991 +*19019 PHY_0 +*19020 PHY_1 +*19021 PHY_10 +*19022 PHY_100 +*19023 PHY_101 +*19024 PHY_102 +*19025 PHY_103 +*19026 PHY_104 +*19027 PHY_105 +*19028 PHY_106 +*19029 PHY_107 +*19030 PHY_108 +*19031 PHY_109 +*19032 PHY_11 +*19033 PHY_110 +*19034 PHY_111 +*19035 PHY_112 +*19036 PHY_113 +*19037 PHY_114 +*19038 PHY_115 +*19039 PHY_116 +*19040 PHY_117 +*19041 PHY_118 +*19042 PHY_119 +*19043 PHY_12 +*19044 PHY_120 +*19045 PHY_121 +*19046 PHY_122 +*19047 PHY_123 +*19048 PHY_124 +*19049 PHY_125 +*19050 PHY_126 +*19051 PHY_127 +*19052 PHY_128 +*19053 PHY_129 +*19054 PHY_13 +*19055 PHY_130 +*19056 PHY_131 +*19057 PHY_132 +*19058 PHY_133 +*19059 PHY_134 +*19060 PHY_135 +*19061 PHY_136 +*19062 PHY_137 +*19063 PHY_138 +*19064 PHY_139 +*19065 PHY_14 +*19066 PHY_15 +*19067 PHY_16 +*19068 PHY_17 +*19069 PHY_18 +*19070 PHY_19 +*19071 PHY_2 +*19072 PHY_20 +*19073 PHY_21 +*19074 PHY_22 +*19075 PHY_23 +*19076 PHY_24 +*19077 PHY_25 +*19078 PHY_26 +*19079 PHY_27 +*19080 PHY_28 +*19081 PHY_29 +*19082 PHY_3 +*19083 PHY_30 +*19084 PHY_31 +*19085 PHY_32 +*19086 PHY_33 +*19087 PHY_34 +*19088 PHY_35 +*19089 PHY_36 +*19090 PHY_37 +*19091 PHY_38 +*19092 PHY_39 +*19093 PHY_4 +*19094 PHY_40 +*19095 PHY_41 +*19096 PHY_42 +*19097 PHY_43 +*19098 PHY_44 +*19099 PHY_45 +*19100 PHY_46 +*19101 PHY_47 +*19102 PHY_48 +*19103 PHY_49 +*19104 PHY_5 +*19105 PHY_50 +*19106 PHY_51 +*19107 PHY_52 +*19108 PHY_53 +*19109 PHY_54 +*19110 PHY_55 +*19111 PHY_56 +*19112 PHY_57 +*19113 PHY_58 +*19114 PHY_59 +*19115 PHY_6 +*19116 PHY_60 +*19117 PHY_61 +*19118 PHY_62 +*19119 PHY_63 +*19120 PHY_64 +*19121 PHY_65 +*19122 PHY_66 +*19123 PHY_67 +*19124 PHY_68 +*19125 PHY_69 +*19126 PHY_7 +*19127 PHY_70 +*19128 PHY_71 +*19129 PHY_72 +*19130 PHY_73 +*19131 PHY_74 +*19132 PHY_75 +*19133 PHY_76 +*19134 PHY_77 +*19135 PHY_78 +*19136 PHY_79 +*19137 PHY_8 +*19138 PHY_80 +*19139 PHY_81 +*19140 PHY_82 +*19141 PHY_83 +*19142 PHY_84 +*19143 PHY_85 +*19144 PHY_86 +*19145 PHY_87 +*19146 PHY_88 +*19147 PHY_89 +*19148 PHY_9 +*19149 PHY_90 +*19150 PHY_91 +*19151 PHY_92 +*19152 PHY_93 +*19153 PHY_94 +*19154 PHY_95 +*19155 PHY_96 +*19156 PHY_97 +*19157 PHY_98 +*19158 PHY_99 +*19159 TAP_1000 +*19160 TAP_1001 +*19161 TAP_1002 +*19162 TAP_1003 +*19163 TAP_1004 +*19164 TAP_1005 +*19165 TAP_1006 +*19166 TAP_1007 +*19167 TAP_1008 +*19168 TAP_1009 +*19169 TAP_1010 +*19170 TAP_1011 +*19171 TAP_1012 +*19172 TAP_1013 +*19173 TAP_1014 +*19174 TAP_1015 +*19175 TAP_1016 +*19176 TAP_1017 +*19177 TAP_1018 +*19178 TAP_1019 +*19179 TAP_1020 +*19180 TAP_1021 +*19181 TAP_1022 +*19182 TAP_1023 +*19183 TAP_1024 +*19184 TAP_1025 +*19185 TAP_1026 +*19186 TAP_1027 +*19187 TAP_1028 +*19188 TAP_1029 +*19189 TAP_1030 +*19190 TAP_1031 +*19191 TAP_1032 +*19192 TAP_1033 +*19193 TAP_1034 +*19194 TAP_1035 +*19195 TAP_1036 +*19196 TAP_1037 +*19197 TAP_1038 +*19198 TAP_1039 +*19199 TAP_1040 +*19200 TAP_1041 +*19201 TAP_1042 +*19202 TAP_1043 +*19203 TAP_1044 +*19204 TAP_1045 +*19205 TAP_1046 +*19206 TAP_1047 +*19207 TAP_1048 +*19208 TAP_1049 +*19209 TAP_1050 +*19210 TAP_1051 +*19211 TAP_1052 +*19212 TAP_1053 +*19213 TAP_1054 +*19214 TAP_1055 +*19215 TAP_1056 +*19216 TAP_1057 +*19217 TAP_1058 +*19218 TAP_1059 +*19219 TAP_1060 +*19220 TAP_1061 +*19221 TAP_1062 +*19222 TAP_1063 +*19223 TAP_1064 +*19224 TAP_1065 +*19225 TAP_1066 +*19226 TAP_1067 +*19227 TAP_1068 +*19228 TAP_1069 +*19229 TAP_1070 +*19230 TAP_1071 +*19231 TAP_1072 +*19232 TAP_1073 +*19233 TAP_1074 +*19234 TAP_1075 +*19235 TAP_1076 +*19236 TAP_1077 +*19237 TAP_1078 +*19238 TAP_1079 +*19239 TAP_1080 +*19240 TAP_1081 +*19241 TAP_1082 +*19242 TAP_1083 +*19243 TAP_1084 +*19244 TAP_1085 +*19245 TAP_1086 +*19246 TAP_1087 +*19247 TAP_1088 +*19248 TAP_1089 +*19249 TAP_1090 +*19250 TAP_1091 +*19251 TAP_1092 +*19252 TAP_1093 +*19253 TAP_1094 +*19254 TAP_1095 +*19255 TAP_1096 +*19256 TAP_1097 +*19257 TAP_1098 +*19258 TAP_1099 +*19259 TAP_1100 +*19260 TAP_1101 +*19261 TAP_1102 +*19262 TAP_1103 +*19263 TAP_1104 +*19264 TAP_1105 +*19265 TAP_1106 +*19266 TAP_1107 +*19267 TAP_1108 +*19268 TAP_1109 +*19269 TAP_1110 +*19270 TAP_1111 +*19271 TAP_1112 +*19272 TAP_1113 +*19273 TAP_1114 +*19274 TAP_1115 +*19275 TAP_1116 +*19276 TAP_1117 +*19277 TAP_1118 +*19278 TAP_1119 +*19279 TAP_1120 +*19280 TAP_1121 +*19281 TAP_1122 +*19282 TAP_1123 +*19283 TAP_1124 +*19284 TAP_1125 +*19285 TAP_1126 +*19286 TAP_1127 +*19287 TAP_1128 +*19288 TAP_1129 +*19289 TAP_1130 +*19290 TAP_1131 +*19291 TAP_1132 +*19292 TAP_1133 +*19293 TAP_1134 +*19294 TAP_1135 +*19295 TAP_1136 +*19296 TAP_1137 +*19297 TAP_1138 +*19298 TAP_1139 +*19299 TAP_1140 +*19300 TAP_1141 +*19301 TAP_1142 +*19302 TAP_1143 +*19303 TAP_1144 +*19304 TAP_1145 +*19305 TAP_1146 +*19306 TAP_1147 +*19307 TAP_1148 +*19308 TAP_1149 +*19309 TAP_1150 +*19310 TAP_1151 +*19311 TAP_1152 +*19312 TAP_1153 +*19313 TAP_1154 +*19314 TAP_1155 +*19315 TAP_1156 +*19316 TAP_1157 +*19317 TAP_1158 +*19318 TAP_1159 +*19319 TAP_1160 +*19320 TAP_1161 +*19321 TAP_1162 +*19322 TAP_1163 +*19323 TAP_1164 +*19324 TAP_1165 +*19325 TAP_1166 +*19326 TAP_1167 +*19327 TAP_1168 +*19328 TAP_1169 +*19329 TAP_1170 +*19330 TAP_1171 +*19331 TAP_1172 +*19332 TAP_1173 +*19333 TAP_1174 +*19334 TAP_1175 +*19335 TAP_1176 +*19336 TAP_1177 +*19337 TAP_1178 +*19338 TAP_1179 +*19339 TAP_1180 +*19340 TAP_1181 +*19341 TAP_1182 +*19342 TAP_1183 +*19343 TAP_1184 +*19344 TAP_1185 +*19345 TAP_1186 +*19346 TAP_1187 +*19347 TAP_1188 +*19348 TAP_1189 +*19349 TAP_1190 +*19350 TAP_1191 +*19351 TAP_1192 +*19352 TAP_1193 +*19353 TAP_1194 +*19354 TAP_1195 +*19355 TAP_1196 +*19356 TAP_1197 +*19357 TAP_1198 +*19358 TAP_1199 +*19359 TAP_1200 +*19360 TAP_1201 +*19361 TAP_1202 +*19362 TAP_1203 +*19363 TAP_1204 +*19364 TAP_1205 +*19365 TAP_1206 +*19366 TAP_1207 +*19367 TAP_1208 +*19368 TAP_1209 +*19369 TAP_1210 +*19370 TAP_1211 +*19371 TAP_1212 +*19372 TAP_1213 +*19373 TAP_1214 +*19374 TAP_1215 +*19375 TAP_1216 +*19376 TAP_1217 +*19377 TAP_1218 +*19378 TAP_1219 +*19379 TAP_1220 +*19380 TAP_1221 +*19381 TAP_1222 +*19382 TAP_1223 +*19383 TAP_1224 +*19384 TAP_1225 +*19385 TAP_1226 +*19386 TAP_1227 +*19387 TAP_1228 +*19388 TAP_1229 +*19389 TAP_1230 +*19390 TAP_1231 +*19391 TAP_1232 +*19392 TAP_1233 +*19393 TAP_1234 +*19394 TAP_1235 +*19395 TAP_1236 +*19396 TAP_1237 +*19397 TAP_1238 +*19398 TAP_1239 +*19399 TAP_1240 +*19400 TAP_1241 +*19401 TAP_1242 +*19402 TAP_1243 +*19403 TAP_1244 +*19404 TAP_1245 +*19405 TAP_1246 +*19406 TAP_1247 +*19407 TAP_1248 +*19408 TAP_1249 +*19409 TAP_1250 +*19410 TAP_1251 +*19411 TAP_1252 +*19412 TAP_1253 +*19413 TAP_1254 +*19414 TAP_1255 +*19415 TAP_1256 +*19416 TAP_1257 +*19417 TAP_1258 +*19418 TAP_1259 +*19419 TAP_1260 +*19420 TAP_1261 +*19421 TAP_1262 +*19422 TAP_1263 +*19423 TAP_1264 +*19424 TAP_1265 +*19425 TAP_1266 +*19426 TAP_1267 +*19427 TAP_1268 +*19428 TAP_1269 +*19429 TAP_1270 +*19430 TAP_1271 +*19431 TAP_1272 +*19432 TAP_1273 +*19433 TAP_1274 +*19434 TAP_1275 +*19435 TAP_1276 +*19436 TAP_1277 +*19437 TAP_1278 +*19438 TAP_1279 +*19439 TAP_1280 +*19440 TAP_1281 +*19441 TAP_1282 +*19442 TAP_1283 +*19443 TAP_1284 +*19444 TAP_1285 +*19445 TAP_1286 +*19446 TAP_1287 +*19447 TAP_1288 +*19448 TAP_1289 +*19449 TAP_1290 +*19450 TAP_1291 +*19451 TAP_1292 +*19452 TAP_1293 +*19453 TAP_1294 +*19454 TAP_1295 +*19455 TAP_1296 +*19456 TAP_1297 +*19457 TAP_1298 +*19458 TAP_1299 +*19459 TAP_1300 +*19460 TAP_1301 +*19461 TAP_1302 +*19462 TAP_1303 +*19463 TAP_1304 +*19464 TAP_1305 +*19465 TAP_1306 +*19466 TAP_1307 +*19467 TAP_1308 +*19468 TAP_1309 +*19469 TAP_1310 +*19470 TAP_1311 +*19471 TAP_1312 +*19472 TAP_1313 +*19473 TAP_1314 +*19474 TAP_1315 +*19475 TAP_1316 +*19476 TAP_1317 +*19477 TAP_1318 +*19478 TAP_1319 +*19479 TAP_1320 +*19480 TAP_1321 +*19481 TAP_1322 +*19482 TAP_1323 +*19483 TAP_1324 +*19484 TAP_1325 +*19485 TAP_1326 +*19486 TAP_1327 +*19487 TAP_1328 +*19488 TAP_1329 +*19489 TAP_1330 +*19490 TAP_1331 +*19491 TAP_1332 +*19492 TAP_1333 +*19493 TAP_1334 +*19494 TAP_1335 +*19495 TAP_1336 +*19496 TAP_1337 +*19497 TAP_1338 +*19498 TAP_1339 +*19499 TAP_1340 +*19500 TAP_1341 +*19501 TAP_1342 +*19502 TAP_1343 +*19503 TAP_1344 +*19504 TAP_1345 +*19505 TAP_1346 +*19506 TAP_1347 +*19507 TAP_1348 +*19508 TAP_1349 +*19509 TAP_1350 +*19510 TAP_1351 +*19511 TAP_1352 +*19512 TAP_1353 +*19513 TAP_1354 +*19514 TAP_1355 +*19515 TAP_1356 +*19516 TAP_1357 +*19517 TAP_1358 +*19518 TAP_1359 +*19519 TAP_1360 +*19520 TAP_1361 +*19521 TAP_1362 +*19522 TAP_1363 +*19523 TAP_1364 +*19524 TAP_1365 +*19525 TAP_1366 +*19526 TAP_1367 +*19527 TAP_1368 +*19528 TAP_1369 +*19529 TAP_1370 +*19530 TAP_1371 +*19531 TAP_1372 +*19532 TAP_1373 +*19533 TAP_1374 +*19534 TAP_1375 +*19535 TAP_1376 +*19536 TAP_1377 +*19537 TAP_1378 +*19538 TAP_1379 +*19539 TAP_1380 +*19540 TAP_1381 +*19541 TAP_1382 +*19542 TAP_1383 +*19543 TAP_1384 +*19544 TAP_1385 +*19545 TAP_1386 +*19546 TAP_1387 +*19547 TAP_1388 +*19548 TAP_1389 +*19549 TAP_1390 +*19550 TAP_1391 +*19551 TAP_1392 +*19552 TAP_1393 +*19553 TAP_1394 +*19554 TAP_1395 +*19555 TAP_1396 +*19556 TAP_1397 +*19557 TAP_1398 +*19558 TAP_1399 +*19559 TAP_140 +*19560 TAP_1400 +*19561 TAP_1401 +*19562 TAP_1402 +*19563 TAP_1403 +*19564 TAP_1404 +*19565 TAP_1405 +*19566 TAP_1406 +*19567 TAP_1407 +*19568 TAP_1408 +*19569 TAP_1409 +*19570 TAP_141 +*19571 TAP_1410 +*19572 TAP_1411 +*19573 TAP_1412 +*19574 TAP_1413 +*19575 TAP_1414 +*19576 TAP_1415 +*19577 TAP_1416 +*19578 TAP_1417 +*19579 TAP_1418 +*19580 TAP_1419 +*19581 TAP_142 +*19582 TAP_1420 +*19583 TAP_1421 +*19584 TAP_1422 +*19585 TAP_1423 +*19586 TAP_1424 +*19587 TAP_1425 +*19588 TAP_1426 +*19589 TAP_1427 +*19590 TAP_1428 +*19591 TAP_1429 +*19592 TAP_143 +*19593 TAP_1430 +*19594 TAP_1431 +*19595 TAP_1432 +*19596 TAP_1433 +*19597 TAP_1434 +*19598 TAP_1435 +*19599 TAP_1436 +*19600 TAP_1437 +*19601 TAP_1438 +*19602 TAP_1439 +*19603 TAP_144 +*19604 TAP_1440 +*19605 TAP_1441 +*19606 TAP_1442 +*19607 TAP_1443 +*19608 TAP_1444 +*19609 TAP_1445 +*19610 TAP_1446 +*19611 TAP_1447 +*19612 TAP_1448 +*19613 TAP_1449 +*19614 TAP_145 +*19615 TAP_1450 +*19616 TAP_1451 +*19617 TAP_1452 +*19618 TAP_1453 +*19619 TAP_1454 +*19620 TAP_1455 +*19621 TAP_1456 +*19622 TAP_1457 +*19623 TAP_1458 +*19624 TAP_1459 +*19625 TAP_146 +*19626 TAP_1460 +*19627 TAP_1461 +*19628 TAP_1462 +*19629 TAP_1463 +*19630 TAP_1464 +*19631 TAP_1465 +*19632 TAP_1466 +*19633 TAP_1467 +*19634 TAP_1468 +*19635 TAP_1469 +*19636 TAP_147 +*19637 TAP_1470 +*19638 TAP_1471 +*19639 TAP_1472 +*19640 TAP_1473 +*19641 TAP_1474 +*19642 TAP_1475 +*19643 TAP_1476 +*19644 TAP_1477 +*19645 TAP_1478 +*19646 TAP_1479 +*19647 TAP_148 +*19648 TAP_1480 +*19649 TAP_1481 +*19650 TAP_1482 +*19651 TAP_1483 +*19652 TAP_1484 +*19653 TAP_1485 +*19654 TAP_1486 +*19655 TAP_1487 +*19656 TAP_1488 +*19657 TAP_1489 +*19658 TAP_149 +*19659 TAP_1490 +*19660 TAP_1491 +*19661 TAP_1492 +*19662 TAP_1493 +*19663 TAP_1494 +*19664 TAP_1495 +*19665 TAP_1496 +*19666 TAP_1497 +*19667 TAP_1498 +*19668 TAP_1499 +*19669 TAP_150 +*19670 TAP_1500 +*19671 TAP_1501 +*19672 TAP_1502 +*19673 TAP_1503 +*19674 TAP_1504 +*19675 TAP_1505 +*19676 TAP_1506 +*19677 TAP_1507 +*19678 TAP_1508 +*19679 TAP_1509 +*19680 TAP_151 +*19681 TAP_1510 +*19682 TAP_1511 +*19683 TAP_1512 +*19684 TAP_1513 +*19685 TAP_1514 +*19686 TAP_1515 +*19687 TAP_1516 +*19688 TAP_1517 +*19689 TAP_1518 +*19690 TAP_1519 +*19691 TAP_152 +*19692 TAP_1520 +*19693 TAP_1521 +*19694 TAP_1522 +*19695 TAP_1523 +*19696 TAP_1524 +*19697 TAP_1525 +*19698 TAP_1526 +*19699 TAP_1527 +*19700 TAP_1528 +*19701 TAP_1529 +*19702 TAP_153 +*19703 TAP_1530 +*19704 TAP_1531 +*19705 TAP_1532 +*19706 TAP_1533 +*19707 TAP_1534 +*19708 TAP_1535 +*19709 TAP_1536 +*19710 TAP_1537 +*19711 TAP_1538 +*19712 TAP_1539 +*19713 TAP_154 +*19714 TAP_1540 +*19715 TAP_1541 +*19716 TAP_1542 +*19717 TAP_1543 +*19718 TAP_1544 +*19719 TAP_1545 +*19720 TAP_1546 +*19721 TAP_1547 +*19722 TAP_1548 +*19723 TAP_1549 +*19724 TAP_155 +*19725 TAP_1550 +*19726 TAP_1551 +*19727 TAP_1552 +*19728 TAP_1553 +*19729 TAP_1554 +*19730 TAP_1555 +*19731 TAP_1556 +*19732 TAP_1557 +*19733 TAP_1558 +*19734 TAP_1559 +*19735 TAP_156 +*19736 TAP_1560 +*19737 TAP_1561 +*19738 TAP_1562 +*19739 TAP_1563 +*19740 TAP_1564 +*19741 TAP_1565 +*19742 TAP_1566 +*19743 TAP_1567 +*19744 TAP_1568 +*19745 TAP_1569 +*19746 TAP_157 +*19747 TAP_1570 +*19748 TAP_1571 +*19749 TAP_1572 +*19750 TAP_1573 +*19751 TAP_1574 +*19752 TAP_1575 +*19753 TAP_1576 +*19754 TAP_1577 +*19755 TAP_1578 +*19756 TAP_1579 +*19757 TAP_158 +*19758 TAP_1580 +*19759 TAP_1581 +*19760 TAP_1582 +*19761 TAP_1583 +*19762 TAP_1584 +*19763 TAP_1585 +*19764 TAP_1586 +*19765 TAP_1587 +*19766 TAP_1588 +*19767 TAP_1589 +*19768 TAP_159 +*19769 TAP_1590 +*19770 TAP_1591 +*19771 TAP_1592 +*19772 TAP_1593 +*19773 TAP_1594 +*19774 TAP_1595 +*19775 TAP_1596 +*19776 TAP_1597 +*19777 TAP_1598 +*19778 TAP_1599 +*19779 TAP_160 +*19780 TAP_1600 +*19781 TAP_1601 +*19782 TAP_1602 +*19783 TAP_1603 +*19784 TAP_1604 +*19785 TAP_1605 +*19786 TAP_1606 +*19787 TAP_1607 +*19788 TAP_1608 +*19789 TAP_1609 +*19790 TAP_161 +*19791 TAP_1610 +*19792 TAP_1611 +*19793 TAP_1612 +*19794 TAP_1613 +*19795 TAP_1614 +*19796 TAP_1615 +*19797 TAP_1616 +*19798 TAP_1617 +*19799 TAP_1618 +*19800 TAP_1619 +*19801 TAP_162 +*19802 TAP_1620 +*19803 TAP_1621 +*19804 TAP_1622 +*19805 TAP_1623 +*19806 TAP_1624 +*19807 TAP_1625 +*19808 TAP_1626 +*19809 TAP_1627 +*19810 TAP_1628 +*19811 TAP_1629 +*19812 TAP_163 +*19813 TAP_1630 +*19814 TAP_1631 +*19815 TAP_1632 +*19816 TAP_1633 +*19817 TAP_1634 +*19818 TAP_1635 +*19819 TAP_1636 +*19820 TAP_1637 +*19821 TAP_1638 +*19822 TAP_1639 +*19823 TAP_164 +*19824 TAP_1640 +*19825 TAP_1641 +*19826 TAP_1642 +*19827 TAP_1643 +*19828 TAP_1644 +*19829 TAP_1645 +*19830 TAP_1646 +*19831 TAP_1647 +*19832 TAP_1648 +*19833 TAP_1649 +*19834 TAP_165 +*19835 TAP_1650 +*19836 TAP_1651 +*19837 TAP_1652 +*19838 TAP_1653 +*19839 TAP_1654 +*19840 TAP_1655 +*19841 TAP_1656 +*19842 TAP_1657 +*19843 TAP_1658 +*19844 TAP_1659 +*19845 TAP_166 +*19846 TAP_1660 +*19847 TAP_1661 +*19848 TAP_1662 +*19849 TAP_1663 +*19850 TAP_1664 +*19851 TAP_1665 +*19852 TAP_1666 +*19853 TAP_1667 +*19854 TAP_1668 +*19855 TAP_1669 +*19856 TAP_167 +*19857 TAP_1670 +*19858 TAP_1671 +*19859 TAP_1672 +*19860 TAP_1673 +*19861 TAP_1674 +*19862 TAP_1675 +*19863 TAP_1676 +*19864 TAP_1677 +*19865 TAP_1678 +*19866 TAP_1679 +*19867 TAP_168 +*19868 TAP_1680 +*19869 TAP_1681 +*19870 TAP_1682 +*19871 TAP_1683 +*19872 TAP_1684 +*19873 TAP_1685 +*19874 TAP_1686 +*19875 TAP_1687 +*19876 TAP_1688 +*19877 TAP_1689 +*19878 TAP_169 +*19879 TAP_1690 +*19880 TAP_1691 +*19881 TAP_1692 +*19882 TAP_1693 +*19883 TAP_1694 +*19884 TAP_1695 +*19885 TAP_1696 +*19886 TAP_1697 +*19887 TAP_1698 +*19888 TAP_1699 +*19889 TAP_170 +*19890 TAP_1700 +*19891 TAP_1701 +*19892 TAP_1702 +*19893 TAP_1703 +*19894 TAP_1704 +*19895 TAP_1705 +*19896 TAP_1706 +*19897 TAP_1707 +*19898 TAP_1708 +*19899 TAP_1709 +*19900 TAP_171 +*19901 TAP_1710 +*19902 TAP_1711 +*19903 TAP_1712 +*19904 TAP_1713 +*19905 TAP_1714 +*19906 TAP_1715 +*19907 TAP_1716 +*19908 TAP_1717 +*19909 TAP_1718 +*19910 TAP_1719 +*19911 TAP_172 +*19912 TAP_1720 +*19913 TAP_1721 +*19914 TAP_1722 +*19915 TAP_1723 +*19916 TAP_1724 +*19917 TAP_1725 +*19918 TAP_1726 +*19919 TAP_1727 +*19920 TAP_1728 +*19921 TAP_1729 +*19922 TAP_173 +*19923 TAP_1730 +*19924 TAP_1731 +*19925 TAP_1732 +*19926 TAP_1733 +*19927 TAP_1734 +*19928 TAP_1735 +*19929 TAP_1736 +*19930 TAP_1737 +*19931 TAP_1738 +*19932 TAP_1739 +*19933 TAP_174 +*19934 TAP_1740 +*19935 TAP_1741 +*19936 TAP_1742 +*19937 TAP_1743 +*19938 TAP_1744 +*19939 TAP_1745 +*19940 TAP_1746 +*19941 TAP_1747 +*19942 TAP_1748 +*19943 TAP_1749 +*19944 TAP_175 +*19945 TAP_1750 +*19946 TAP_1751 +*19947 TAP_1752 +*19948 TAP_1753 +*19949 TAP_1754 +*19950 TAP_1755 +*19951 TAP_1756 +*19952 TAP_1757 +*19953 TAP_1758 +*19954 TAP_1759 +*19955 TAP_176 +*19956 TAP_1760 +*19957 TAP_1761 +*19958 TAP_1762 +*19959 TAP_1763 +*19960 TAP_1764 +*19961 TAP_1765 +*19962 TAP_1766 +*19963 TAP_1767 +*19964 TAP_1768 +*19965 TAP_1769 +*19966 TAP_177 +*19967 TAP_1770 +*19968 TAP_1771 +*19969 TAP_1772 +*19970 TAP_1773 +*19971 TAP_1774 +*19972 TAP_1775 +*19973 TAP_1776 +*19974 TAP_1777 +*19975 TAP_1778 +*19976 TAP_1779 +*19977 TAP_178 +*19978 TAP_1780 +*19979 TAP_1781 +*19980 TAP_1782 +*19981 TAP_1783 +*19982 TAP_1784 +*19983 TAP_1785 +*19984 TAP_1786 +*19985 TAP_1787 +*19986 TAP_1788 +*19987 TAP_1789 +*19988 TAP_179 +*19989 TAP_1790 +*19990 TAP_1791 +*19991 TAP_1792 +*19992 TAP_1793 +*19993 TAP_1794 +*19994 TAP_1795 +*19995 TAP_1796 +*19996 TAP_1797 +*19997 TAP_1798 +*19998 TAP_1799 +*19999 TAP_180 +*20000 TAP_1800 +*20001 TAP_1801 +*20002 TAP_1802 +*20003 TAP_1803 +*20004 TAP_1804 +*20005 TAP_1805 +*20006 TAP_1806 +*20007 TAP_1807 +*20008 TAP_1808 +*20009 TAP_1809 +*20010 TAP_181 +*20011 TAP_1810 +*20012 TAP_1811 +*20013 TAP_1812 +*20014 TAP_1813 +*20015 TAP_1814 +*20016 TAP_1815 +*20017 TAP_1816 +*20018 TAP_1817 +*20019 TAP_1818 +*20020 TAP_1819 +*20021 TAP_182 +*20022 TAP_1820 +*20023 TAP_1821 +*20024 TAP_1822 +*20025 TAP_1823 +*20026 TAP_1824 +*20027 TAP_1825 +*20028 TAP_1826 +*20029 TAP_1827 +*20030 TAP_1828 +*20031 TAP_1829 +*20032 TAP_183 +*20033 TAP_1830 +*20034 TAP_1831 +*20035 TAP_1832 +*20036 TAP_1833 +*20037 TAP_1834 +*20038 TAP_1835 +*20039 TAP_1836 +*20040 TAP_1837 +*20041 TAP_1838 +*20042 TAP_1839 +*20043 TAP_184 +*20044 TAP_1840 +*20045 TAP_1841 +*20046 TAP_1842 +*20047 TAP_1843 +*20048 TAP_1844 +*20049 TAP_1845 +*20050 TAP_1846 +*20051 TAP_1847 +*20052 TAP_1848 +*20053 TAP_1849 +*20054 TAP_185 +*20055 TAP_1850 +*20056 TAP_1851 +*20057 TAP_1852 +*20058 TAP_1853 +*20059 TAP_1854 +*20060 TAP_1855 +*20061 TAP_1856 +*20062 TAP_1857 +*20063 TAP_1858 +*20064 TAP_1859 +*20065 TAP_186 +*20066 TAP_1860 +*20067 TAP_1861 +*20068 TAP_1862 +*20069 TAP_1863 +*20070 TAP_1864 +*20071 TAP_1865 +*20072 TAP_1866 +*20073 TAP_1867 +*20074 TAP_1868 +*20075 TAP_1869 +*20076 TAP_187 +*20077 TAP_1870 +*20078 TAP_1871 +*20079 TAP_1872 +*20080 TAP_1873 +*20081 TAP_1874 +*20082 TAP_1875 +*20083 TAP_1876 +*20084 TAP_1877 +*20085 TAP_1878 +*20086 TAP_1879 +*20087 TAP_188 +*20088 TAP_1880 +*20089 TAP_1881 +*20090 TAP_1882 +*20091 TAP_1883 +*20092 TAP_1884 +*20093 TAP_1885 +*20094 TAP_1886 +*20095 TAP_1887 +*20096 TAP_1888 +*20097 TAP_1889 +*20098 TAP_189 +*20099 TAP_1890 +*20100 TAP_1891 +*20101 TAP_1892 +*20102 TAP_1893 +*20103 TAP_1894 +*20104 TAP_1895 +*20105 TAP_1896 +*20106 TAP_1897 +*20107 TAP_1898 +*20108 TAP_1899 +*20109 TAP_190 +*20110 TAP_1900 +*20111 TAP_1901 +*20112 TAP_1902 +*20113 TAP_1903 +*20114 TAP_1904 +*20115 TAP_1905 +*20116 TAP_1906 +*20117 TAP_1907 +*20118 TAP_1908 +*20119 TAP_1909 +*20120 TAP_191 +*20121 TAP_1910 +*20122 TAP_1911 +*20123 TAP_1912 +*20124 TAP_1913 +*20125 TAP_1914 +*20126 TAP_1915 +*20127 TAP_1916 +*20128 TAP_1917 +*20129 TAP_1918 +*20130 TAP_1919 +*20131 TAP_192 +*20132 TAP_1920 +*20133 TAP_1921 +*20134 TAP_1922 +*20135 TAP_1923 +*20136 TAP_1924 +*20137 TAP_1925 +*20138 TAP_1926 +*20139 TAP_1927 +*20140 TAP_1928 +*20141 TAP_1929 +*20142 TAP_193 +*20143 TAP_1930 +*20144 TAP_1931 +*20145 TAP_1932 +*20146 TAP_1933 +*20147 TAP_1934 +*20148 TAP_1935 +*20149 TAP_1936 +*20150 TAP_1937 +*20151 TAP_1938 +*20152 TAP_1939 +*20153 TAP_194 +*20154 TAP_1940 +*20155 TAP_1941 +*20156 TAP_1942 +*20157 TAP_1943 +*20158 TAP_1944 +*20159 TAP_1945 +*20160 TAP_1946 +*20161 TAP_1947 +*20162 TAP_1948 +*20163 TAP_1949 +*20164 TAP_195 +*20165 TAP_1950 +*20166 TAP_1951 +*20167 TAP_1952 +*20168 TAP_1953 +*20169 TAP_1954 +*20170 TAP_1955 +*20171 TAP_1956 +*20172 TAP_1957 +*20173 TAP_1958 +*20174 TAP_1959 +*20175 TAP_196 +*20176 TAP_1960 +*20177 TAP_1961 +*20178 TAP_1962 +*20179 TAP_1963 +*20180 TAP_1964 +*20181 TAP_1965 +*20182 TAP_1966 +*20183 TAP_1967 +*20184 TAP_1968 +*20185 TAP_1969 +*20186 TAP_197 +*20187 TAP_1970 +*20188 TAP_1971 +*20189 TAP_1972 +*20190 TAP_1973 +*20191 TAP_1974 +*20192 TAP_1975 +*20193 TAP_1976 +*20194 TAP_1977 +*20195 TAP_1978 +*20196 TAP_1979 +*20197 TAP_198 +*20198 TAP_1980 +*20199 TAP_1981 +*20200 TAP_1982 +*20201 TAP_1983 +*20202 TAP_1984 +*20203 TAP_1985 +*20204 TAP_1986 +*20205 TAP_1987 +*20206 TAP_1988 +*20207 TAP_1989 +*20208 TAP_199 +*20209 TAP_1990 +*20210 TAP_1991 +*20211 TAP_1992 +*20212 TAP_1993 +*20213 TAP_1994 +*20214 TAP_1995 +*20215 TAP_1996 +*20216 TAP_1997 +*20217 TAP_1998 +*20218 TAP_1999 +*20219 TAP_200 +*20220 TAP_2000 +*20221 TAP_2001 +*20222 TAP_2002 +*20223 TAP_2003 +*20224 TAP_2004 +*20225 TAP_2005 +*20226 TAP_2006 +*20227 TAP_2007 +*20228 TAP_2008 +*20229 TAP_2009 +*20230 TAP_201 +*20231 TAP_2010 +*20232 TAP_2011 +*20233 TAP_2012 +*20234 TAP_2013 +*20235 TAP_2014 +*20236 TAP_2015 +*20237 TAP_2016 +*20238 TAP_2017 +*20239 TAP_2018 +*20240 TAP_2019 +*20241 TAP_202 +*20242 TAP_2020 +*20243 TAP_2021 +*20244 TAP_2022 +*20245 TAP_2023 +*20246 TAP_2024 +*20247 TAP_2025 +*20248 TAP_2026 +*20249 TAP_2027 +*20250 TAP_2028 +*20251 TAP_2029 +*20252 TAP_203 +*20253 TAP_2030 +*20254 TAP_2031 +*20255 TAP_2032 +*20256 TAP_2033 +*20257 TAP_2034 +*20258 TAP_2035 +*20259 TAP_2036 +*20260 TAP_2037 +*20261 TAP_2038 +*20262 TAP_2039 +*20263 TAP_204 +*20264 TAP_2040 +*20265 TAP_2041 +*20266 TAP_2042 +*20267 TAP_2043 +*20268 TAP_2044 +*20269 TAP_2045 +*20270 TAP_2046 +*20271 TAP_2047 +*20272 TAP_2048 +*20273 TAP_2049 +*20274 TAP_205 +*20275 TAP_2050 +*20276 TAP_2051 +*20277 TAP_2052 +*20278 TAP_2053 +*20279 TAP_2054 +*20280 TAP_2055 +*20281 TAP_2056 +*20282 TAP_2057 +*20283 TAP_2058 +*20284 TAP_2059 +*20285 TAP_206 +*20286 TAP_2060 +*20287 TAP_2061 +*20288 TAP_2062 +*20289 TAP_2063 +*20290 TAP_2064 +*20291 TAP_2065 +*20292 TAP_2066 +*20293 TAP_2067 +*20294 TAP_2068 +*20295 TAP_2069 +*20296 TAP_207 +*20297 TAP_2070 +*20298 TAP_2071 +*20299 TAP_2072 +*20300 TAP_2073 +*20301 TAP_2074 +*20302 TAP_2075 +*20303 TAP_2076 +*20304 TAP_2077 +*20305 TAP_2078 +*20306 TAP_2079 +*20307 TAP_208 +*20308 TAP_2080 +*20309 TAP_2081 +*20310 TAP_2082 +*20311 TAP_2083 +*20312 TAP_2084 +*20313 TAP_2085 +*20314 TAP_2086 +*20315 TAP_2087 +*20316 TAP_2088 +*20317 TAP_2089 +*20318 TAP_209 +*20319 TAP_2090 +*20320 TAP_2091 +*20321 TAP_2092 +*20322 TAP_2093 +*20323 TAP_2094 +*20324 TAP_2095 +*20325 TAP_2096 +*20326 TAP_2097 +*20327 TAP_2098 +*20328 TAP_2099 +*20329 TAP_210 +*20330 TAP_2100 +*20331 TAP_2101 +*20332 TAP_2102 +*20333 TAP_2103 +*20334 TAP_2104 +*20335 TAP_2105 +*20336 TAP_2106 +*20337 TAP_2107 +*20338 TAP_2108 +*20339 TAP_2109 +*20340 TAP_211 +*20341 TAP_2110 +*20342 TAP_2111 +*20343 TAP_2112 +*20344 TAP_2113 +*20345 TAP_2114 +*20346 TAP_2115 +*20347 TAP_2116 +*20348 TAP_2117 +*20349 TAP_2118 +*20350 TAP_2119 +*20351 TAP_212 +*20352 TAP_2120 +*20353 TAP_2121 +*20354 TAP_2122 +*20355 TAP_2123 +*20356 TAP_2124 +*20357 TAP_2125 +*20358 TAP_2126 +*20359 TAP_2127 +*20360 TAP_2128 +*20361 TAP_2129 +*20362 TAP_213 +*20363 TAP_2130 +*20364 TAP_2131 +*20365 TAP_2132 +*20366 TAP_2133 +*20367 TAP_2134 +*20368 TAP_2135 +*20369 TAP_2136 +*20370 TAP_2137 +*20371 TAP_2138 +*20372 TAP_2139 +*20373 TAP_214 +*20374 TAP_2140 +*20375 TAP_2141 +*20376 TAP_2142 +*20377 TAP_2143 +*20378 TAP_2144 +*20379 TAP_2145 +*20380 TAP_2146 +*20381 TAP_2147 +*20382 TAP_2148 +*20383 TAP_2149 +*20384 TAP_215 +*20385 TAP_2150 +*20386 TAP_2151 +*20387 TAP_2152 +*20388 TAP_2153 +*20389 TAP_2154 +*20390 TAP_2155 +*20391 TAP_2156 +*20392 TAP_2157 +*20393 TAP_2158 +*20394 TAP_2159 +*20395 TAP_216 +*20396 TAP_2160 +*20397 TAP_2161 +*20398 TAP_2162 +*20399 TAP_2163 +*20400 TAP_2164 +*20401 TAP_2165 +*20402 TAP_2166 +*20403 TAP_2167 +*20404 TAP_2168 +*20405 TAP_2169 +*20406 TAP_217 +*20407 TAP_2170 +*20408 TAP_2171 +*20409 TAP_2172 +*20410 TAP_2173 +*20411 TAP_2174 +*20412 TAP_2175 +*20413 TAP_2176 +*20414 TAP_2177 +*20415 TAP_2178 +*20416 TAP_2179 +*20417 TAP_218 +*20418 TAP_2180 +*20419 TAP_2181 +*20420 TAP_2182 +*20421 TAP_2183 +*20422 TAP_2184 +*20423 TAP_2185 +*20424 TAP_2186 +*20425 TAP_2187 +*20426 TAP_2188 +*20427 TAP_2189 +*20428 TAP_219 +*20429 TAP_2190 +*20430 TAP_2191 +*20431 TAP_2192 +*20432 TAP_2193 +*20433 TAP_2194 +*20434 TAP_2195 +*20435 TAP_2196 +*20436 TAP_2197 +*20437 TAP_2198 +*20438 TAP_2199 +*20439 TAP_220 +*20440 TAP_2200 +*20441 TAP_2201 +*20442 TAP_2202 +*20443 TAP_2203 +*20444 TAP_2204 +*20445 TAP_2205 +*20446 TAP_2206 +*20447 TAP_2207 +*20448 TAP_2208 +*20449 TAP_2209 +*20450 TAP_221 +*20451 TAP_2210 +*20452 TAP_2211 +*20453 TAP_2212 +*20454 TAP_2213 +*20455 TAP_2214 +*20456 TAP_2215 +*20457 TAP_2216 +*20458 TAP_2217 +*20459 TAP_2218 +*20460 TAP_2219 +*20461 TAP_222 +*20462 TAP_2220 +*20463 TAP_2221 +*20464 TAP_2222 +*20465 TAP_2223 +*20466 TAP_2224 +*20467 TAP_2225 +*20468 TAP_2226 +*20469 TAP_2227 +*20470 TAP_2228 +*20471 TAP_2229 +*20472 TAP_223 +*20473 TAP_2230 +*20474 TAP_2231 +*20475 TAP_2232 +*20476 TAP_2233 +*20477 TAP_2234 +*20478 TAP_2235 +*20479 TAP_2236 +*20480 TAP_2237 +*20481 TAP_2238 +*20482 TAP_2239 +*20483 TAP_224 +*20484 TAP_2240 +*20485 TAP_2241 +*20486 TAP_2242 +*20487 TAP_2243 +*20488 TAP_2244 +*20489 TAP_2245 +*20490 TAP_2246 +*20491 TAP_2247 +*20492 TAP_2248 +*20493 TAP_2249 +*20494 TAP_225 +*20495 TAP_2250 +*20496 TAP_2251 +*20497 TAP_2252 +*20498 TAP_2253 +*20499 TAP_2254 +*20500 TAP_2255 +*20501 TAP_2256 +*20502 TAP_2257 +*20503 TAP_2258 +*20504 TAP_2259 +*20505 TAP_226 +*20506 TAP_2260 +*20507 TAP_2261 +*20508 TAP_2262 +*20509 TAP_2263 +*20510 TAP_2264 +*20511 TAP_2265 +*20512 TAP_2266 +*20513 TAP_2267 +*20514 TAP_2268 +*20515 TAP_2269 +*20516 TAP_227 +*20517 TAP_228 +*20518 TAP_229 +*20519 TAP_230 +*20520 TAP_231 +*20521 TAP_232 +*20522 TAP_233 +*20523 TAP_234 +*20524 TAP_235 +*20525 TAP_236 +*20526 TAP_237 +*20527 TAP_238 +*20528 TAP_239 +*20529 TAP_240 +*20530 TAP_241 +*20531 TAP_242 +*20532 TAP_243 +*20533 TAP_244 +*20534 TAP_245 +*20535 TAP_246 +*20536 TAP_247 +*20537 TAP_248 +*20538 TAP_249 +*20539 TAP_250 +*20540 TAP_251 +*20541 TAP_252 +*20542 TAP_253 +*20543 TAP_254 +*20544 TAP_255 +*20545 TAP_256 +*20546 TAP_257 +*20547 TAP_258 +*20548 TAP_259 +*20549 TAP_260 +*20550 TAP_261 +*20551 TAP_262 +*20552 TAP_263 +*20553 TAP_264 +*20554 TAP_265 +*20555 TAP_266 +*20556 TAP_267 +*20557 TAP_268 +*20558 TAP_269 +*20559 TAP_270 +*20560 TAP_271 +*20561 TAP_272 +*20562 TAP_273 +*20563 TAP_274 +*20564 TAP_275 +*20565 TAP_276 +*20566 TAP_277 +*20567 TAP_278 +*20568 TAP_279 +*20569 TAP_280 +*20570 TAP_281 +*20571 TAP_282 +*20572 TAP_283 +*20573 TAP_284 +*20574 TAP_285 +*20575 TAP_286 +*20576 TAP_287 +*20577 TAP_288 +*20578 TAP_289 +*20579 TAP_290 +*20580 TAP_291 +*20581 TAP_292 +*20582 TAP_293 +*20583 TAP_294 +*20584 TAP_295 +*20585 TAP_296 +*20586 TAP_297 +*20587 TAP_298 +*20588 TAP_299 +*20589 TAP_300 +*20590 TAP_301 +*20591 TAP_302 +*20592 TAP_303 +*20593 TAP_304 +*20594 TAP_305 +*20595 TAP_306 +*20596 TAP_307 +*20597 TAP_308 +*20598 TAP_309 +*20599 TAP_310 +*20600 TAP_311 +*20601 TAP_312 +*20602 TAP_313 +*20603 TAP_314 +*20604 TAP_315 +*20605 TAP_316 +*20606 TAP_317 +*20607 TAP_318 +*20608 TAP_319 +*20609 TAP_320 +*20610 TAP_321 +*20611 TAP_322 +*20612 TAP_323 +*20613 TAP_324 +*20614 TAP_325 +*20615 TAP_326 +*20616 TAP_327 +*20617 TAP_328 +*20618 TAP_329 +*20619 TAP_330 +*20620 TAP_331 +*20621 TAP_332 +*20622 TAP_333 +*20623 TAP_334 +*20624 TAP_335 +*20625 TAP_336 +*20626 TAP_337 +*20627 TAP_338 +*20628 TAP_339 +*20629 TAP_340 +*20630 TAP_341 +*20631 TAP_342 +*20632 TAP_343 +*20633 TAP_344 +*20634 TAP_345 +*20635 TAP_346 +*20636 TAP_347 +*20637 TAP_348 +*20638 TAP_349 +*20639 TAP_350 +*20640 TAP_351 +*20641 TAP_352 +*20642 TAP_353 +*20643 TAP_354 +*20644 TAP_355 +*20645 TAP_356 +*20646 TAP_357 +*20647 TAP_358 +*20648 TAP_359 +*20649 TAP_360 +*20650 TAP_361 +*20651 TAP_362 +*20652 TAP_363 +*20653 TAP_364 +*20654 TAP_365 +*20655 TAP_366 +*20656 TAP_367 +*20657 TAP_368 +*20658 TAP_369 +*20659 TAP_370 +*20660 TAP_371 +*20661 TAP_372 +*20662 TAP_373 +*20663 TAP_374 +*20664 TAP_375 +*20665 TAP_376 +*20666 TAP_377 +*20667 TAP_378 +*20668 TAP_379 +*20669 TAP_380 +*20670 TAP_381 +*20671 TAP_382 +*20672 TAP_383 +*20673 TAP_384 +*20674 TAP_385 +*20675 TAP_386 +*20676 TAP_387 +*20677 TAP_388 +*20678 TAP_389 +*20679 TAP_390 +*20680 TAP_391 +*20681 TAP_392 +*20682 TAP_393 +*20683 TAP_394 +*20684 TAP_395 +*20685 TAP_396 +*20686 TAP_397 +*20687 TAP_398 +*20688 TAP_399 +*20689 TAP_400 +*20690 TAP_401 +*20691 TAP_402 +*20692 TAP_403 +*20693 TAP_404 +*20694 TAP_405 +*20695 TAP_406 +*20696 TAP_407 +*20697 TAP_408 +*20698 TAP_409 +*20699 TAP_410 +*20700 TAP_411 +*20701 TAP_412 +*20702 TAP_413 +*20703 TAP_414 +*20704 TAP_415 +*20705 TAP_416 +*20706 TAP_417 +*20707 TAP_418 +*20708 TAP_419 +*20709 TAP_420 +*20710 TAP_421 +*20711 TAP_422 +*20712 TAP_423 +*20713 TAP_424 +*20714 TAP_425 +*20715 TAP_426 +*20716 TAP_427 +*20717 TAP_428 +*20718 TAP_429 +*20719 TAP_430 +*20720 TAP_431 +*20721 TAP_432 +*20722 TAP_433 +*20723 TAP_434 +*20724 TAP_435 +*20725 TAP_436 +*20726 TAP_437 +*20727 TAP_438 +*20728 TAP_439 +*20729 TAP_440 +*20730 TAP_441 +*20731 TAP_442 +*20732 TAP_443 +*20733 TAP_444 +*20734 TAP_445 +*20735 TAP_446 +*20736 TAP_447 +*20737 TAP_448 +*20738 TAP_449 +*20739 TAP_450 +*20740 TAP_451 +*20741 TAP_452 +*20742 TAP_453 +*20743 TAP_454 +*20744 TAP_455 +*20745 TAP_456 +*20746 TAP_457 +*20747 TAP_458 +*20748 TAP_459 +*20749 TAP_460 +*20750 TAP_461 +*20751 TAP_462 +*20752 TAP_463 +*20753 TAP_464 +*20754 TAP_465 +*20755 TAP_466 +*20756 TAP_467 +*20757 TAP_468 +*20758 TAP_469 +*20759 TAP_470 +*20760 TAP_471 +*20761 TAP_472 +*20762 TAP_473 +*20763 TAP_474 +*20764 TAP_475 +*20765 TAP_476 +*20766 TAP_477 +*20767 TAP_478 +*20768 TAP_479 +*20769 TAP_480 +*20770 TAP_481 +*20771 TAP_482 +*20772 TAP_483 +*20773 TAP_484 +*20774 TAP_485 +*20775 TAP_486 +*20776 TAP_487 +*20777 TAP_488 +*20778 TAP_489 +*20779 TAP_490 +*20780 TAP_491 +*20781 TAP_492 +*20782 TAP_493 +*20783 TAP_494 +*20784 TAP_495 +*20785 TAP_496 +*20786 TAP_497 +*20787 TAP_498 +*20788 TAP_499 +*20789 TAP_500 +*20790 TAP_501 +*20791 TAP_502 +*20792 TAP_503 +*20793 TAP_504 +*20794 TAP_505 +*20795 TAP_506 +*20796 TAP_507 +*20797 TAP_508 +*20798 TAP_509 +*20799 TAP_510 +*20800 TAP_511 +*20801 TAP_512 +*20802 TAP_513 +*20803 TAP_514 +*20804 TAP_515 +*20805 TAP_516 +*20806 TAP_517 +*20807 TAP_518 +*20808 TAP_519 +*20809 TAP_520 +*20810 TAP_521 +*20811 TAP_522 +*20812 TAP_523 +*20813 TAP_524 +*20814 TAP_525 +*20815 TAP_526 +*20816 TAP_527 +*20817 TAP_528 +*20818 TAP_529 +*20819 TAP_530 +*20820 TAP_531 +*20821 TAP_532 +*20822 TAP_533 +*20823 TAP_534 +*20824 TAP_535 +*20825 TAP_536 +*20826 TAP_537 +*20827 TAP_538 +*20828 TAP_539 +*20829 TAP_540 +*20830 TAP_541 +*20831 TAP_542 +*20832 TAP_543 +*20833 TAP_544 +*20834 TAP_545 +*20835 TAP_546 +*20836 TAP_547 +*20837 TAP_548 +*20838 TAP_549 +*20839 TAP_550 +*20840 TAP_551 +*20841 TAP_552 +*20842 TAP_553 +*20843 TAP_554 +*20844 TAP_555 +*20845 TAP_556 +*20846 TAP_557 +*20847 TAP_558 +*20848 TAP_559 +*20849 TAP_560 +*20850 TAP_561 +*20851 TAP_562 +*20852 TAP_563 +*20853 TAP_564 +*20854 TAP_565 +*20855 TAP_566 +*20856 TAP_567 +*20857 TAP_568 +*20858 TAP_569 +*20859 TAP_570 +*20860 TAP_571 +*20861 TAP_572 +*20862 TAP_573 +*20863 TAP_574 +*20864 TAP_575 +*20865 TAP_576 +*20866 TAP_577 +*20867 TAP_578 +*20868 TAP_579 +*20869 TAP_580 +*20870 TAP_581 +*20871 TAP_582 +*20872 TAP_583 +*20873 TAP_584 +*20874 TAP_585 +*20875 TAP_586 +*20876 TAP_587 +*20877 TAP_588 +*20878 TAP_589 +*20879 TAP_590 +*20880 TAP_591 +*20881 TAP_592 +*20882 TAP_593 +*20883 TAP_594 +*20884 TAP_595 +*20885 TAP_596 +*20886 TAP_597 +*20887 TAP_598 +*20888 TAP_599 +*20889 TAP_600 +*20890 TAP_601 +*20891 TAP_602 +*20892 TAP_603 +*20893 TAP_604 +*20894 TAP_605 +*20895 TAP_606 +*20896 TAP_607 +*20897 TAP_608 +*20898 TAP_609 +*20899 TAP_610 +*20900 TAP_611 +*20901 TAP_612 +*20902 TAP_613 +*20903 TAP_614 +*20904 TAP_615 +*20905 TAP_616 +*20906 TAP_617 +*20907 TAP_618 +*20908 TAP_619 +*20909 TAP_620 +*20910 TAP_621 +*20911 TAP_622 +*20912 TAP_623 +*20913 TAP_624 +*20914 TAP_625 +*20915 TAP_626 +*20916 TAP_627 +*20917 TAP_628 +*20918 TAP_629 +*20919 TAP_630 +*20920 TAP_631 +*20921 TAP_632 +*20922 TAP_633 +*20923 TAP_634 +*20924 TAP_635 +*20925 TAP_636 +*20926 TAP_637 +*20927 TAP_638 +*20928 TAP_639 +*20929 TAP_640 +*20930 TAP_641 +*20931 TAP_642 +*20932 TAP_643 +*20933 TAP_644 +*20934 TAP_645 +*20935 TAP_646 +*20936 TAP_647 +*20937 TAP_648 +*20938 TAP_649 +*20939 TAP_650 +*20940 TAP_651 +*20941 TAP_652 +*20942 TAP_653 +*20943 TAP_654 +*20944 TAP_655 +*20945 TAP_656 +*20946 TAP_657 +*20947 TAP_658 +*20948 TAP_659 +*20949 TAP_660 +*20950 TAP_661 +*20951 TAP_662 +*20952 TAP_663 +*20953 TAP_664 +*20954 TAP_665 +*20955 TAP_666 +*20956 TAP_667 +*20957 TAP_668 +*20958 TAP_669 +*20959 TAP_670 +*20960 TAP_671 +*20961 TAP_672 +*20962 TAP_673 +*20963 TAP_674 +*20964 TAP_675 +*20965 TAP_676 +*20966 TAP_677 +*20967 TAP_678 +*20968 TAP_679 +*20969 TAP_680 +*20970 TAP_681 +*20971 TAP_682 +*20972 TAP_683 +*20973 TAP_684 +*20974 TAP_685 +*20975 TAP_686 +*20976 TAP_687 +*20977 TAP_688 +*20978 TAP_689 +*20979 TAP_690 +*20980 TAP_691 +*20981 TAP_692 +*20982 TAP_693 +*20983 TAP_694 +*20984 TAP_695 +*20985 TAP_696 +*20986 TAP_697 +*20987 TAP_698 +*20988 TAP_699 +*20989 TAP_700 +*20990 TAP_701 +*20991 TAP_702 +*20992 TAP_703 +*20993 TAP_704 +*20994 TAP_705 +*20995 TAP_706 +*20996 TAP_707 +*20997 TAP_708 +*20998 TAP_709 +*20999 TAP_710 +*21000 TAP_711 +*21001 TAP_712 +*21002 TAP_713 +*21003 TAP_714 +*21004 TAP_715 +*21005 TAP_716 +*21006 TAP_717 +*21007 TAP_718 +*21008 TAP_719 +*21009 TAP_720 +*21010 TAP_721 +*21011 TAP_722 +*21012 TAP_723 +*21013 TAP_724 +*21014 TAP_725 +*21015 TAP_726 +*21016 TAP_727 +*21017 TAP_728 +*21018 TAP_729 +*21019 TAP_730 +*21020 TAP_731 +*21021 TAP_732 +*21022 TAP_733 +*21023 TAP_734 +*21024 TAP_735 +*21025 TAP_736 +*21026 TAP_737 +*21027 TAP_738 +*21028 TAP_739 +*21029 TAP_740 +*21030 TAP_741 +*21031 TAP_742 +*21032 TAP_743 +*21033 TAP_744 +*21034 TAP_745 +*21035 TAP_746 +*21036 TAP_747 +*21037 TAP_748 +*21038 TAP_749 +*21039 TAP_750 +*21040 TAP_751 +*21041 TAP_752 +*21042 TAP_753 +*21043 TAP_754 +*21044 TAP_755 +*21045 TAP_756 +*21046 TAP_757 +*21047 TAP_758 +*21048 TAP_759 +*21049 TAP_760 +*21050 TAP_761 +*21051 TAP_762 +*21052 TAP_763 +*21053 TAP_764 +*21054 TAP_765 +*21055 TAP_766 +*21056 TAP_767 +*21057 TAP_768 +*21058 TAP_769 +*21059 TAP_770 +*21060 TAP_771 +*21061 TAP_772 +*21062 TAP_773 +*21063 TAP_774 +*21064 TAP_775 +*21065 TAP_776 +*21066 TAP_777 +*21067 TAP_778 +*21068 TAP_779 +*21069 TAP_780 +*21070 TAP_781 +*21071 TAP_782 +*21072 TAP_783 +*21073 TAP_784 +*21074 TAP_785 +*21075 TAP_786 +*21076 TAP_787 +*21077 TAP_788 +*21078 TAP_789 +*21079 TAP_790 +*21080 TAP_791 +*21081 TAP_792 +*21082 TAP_793 +*21083 TAP_794 +*21084 TAP_795 +*21085 TAP_796 +*21086 TAP_797 +*21087 TAP_798 +*21088 TAP_799 +*21089 TAP_800 +*21090 TAP_801 +*21091 TAP_802 +*21092 TAP_803 +*21093 TAP_804 +*21094 TAP_805 +*21095 TAP_806 +*21096 TAP_807 +*21097 TAP_808 +*21098 TAP_809 +*21099 TAP_810 +*21100 TAP_811 +*21101 TAP_812 +*21102 TAP_813 +*21103 TAP_814 +*21104 TAP_815 +*21105 TAP_816 +*21106 TAP_817 +*21107 TAP_818 +*21108 TAP_819 +*21109 TAP_820 +*21110 TAP_821 +*21111 TAP_822 +*21112 TAP_823 +*21113 TAP_824 +*21114 TAP_825 +*21115 TAP_826 +*21116 TAP_827 +*21117 TAP_828 +*21118 TAP_829 +*21119 TAP_830 +*21120 TAP_831 +*21121 TAP_832 +*21122 TAP_833 +*21123 TAP_834 +*21124 TAP_835 +*21125 TAP_836 +*21126 TAP_837 +*21127 TAP_838 +*21128 TAP_839 +*21129 TAP_840 +*21130 TAP_841 +*21131 TAP_842 +*21132 TAP_843 +*21133 TAP_844 +*21134 TAP_845 +*21135 TAP_846 +*21136 TAP_847 +*21137 TAP_848 +*21138 TAP_849 +*21139 TAP_850 +*21140 TAP_851 +*21141 TAP_852 +*21142 TAP_853 +*21143 TAP_854 +*21144 TAP_855 +*21145 TAP_856 +*21146 TAP_857 +*21147 TAP_858 +*21148 TAP_859 +*21149 TAP_860 +*21150 TAP_861 +*21151 TAP_862 +*21152 TAP_863 +*21153 TAP_864 +*21154 TAP_865 +*21155 TAP_866 +*21156 TAP_867 +*21157 TAP_868 +*21158 TAP_869 +*21159 TAP_870 +*21160 TAP_871 +*21161 TAP_872 +*21162 TAP_873 +*21163 TAP_874 +*21164 TAP_875 +*21165 TAP_876 +*21166 TAP_877 +*21167 TAP_878 +*21168 TAP_879 +*21169 TAP_880 +*21170 TAP_881 +*21171 TAP_882 +*21172 TAP_883 +*21173 TAP_884 +*21174 TAP_885 +*21175 TAP_886 +*21176 TAP_887 +*21177 TAP_888 +*21178 TAP_889 +*21179 TAP_890 +*21180 TAP_891 +*21181 TAP_892 +*21182 TAP_893 +*21183 TAP_894 +*21184 TAP_895 +*21185 TAP_896 +*21186 TAP_897 +*21187 TAP_898 +*21188 TAP_899 +*21189 TAP_900 +*21190 TAP_901 +*21191 TAP_902 +*21192 TAP_903 +*21193 TAP_904 +*21194 TAP_905 +*21195 TAP_906 +*21196 TAP_907 +*21197 TAP_908 +*21198 TAP_909 +*21199 TAP_910 +*21200 TAP_911 +*21201 TAP_912 +*21202 TAP_913 +*21203 TAP_914 +*21204 TAP_915 +*21205 TAP_916 +*21206 TAP_917 +*21207 TAP_918 +*21208 TAP_919 +*21209 TAP_920 +*21210 TAP_921 +*21211 TAP_922 +*21212 TAP_923 +*21213 TAP_924 +*21214 TAP_925 +*21215 TAP_926 +*21216 TAP_927 +*21217 TAP_928 +*21218 TAP_929 +*21219 TAP_930 +*21220 TAP_931 +*21221 TAP_932 +*21222 TAP_933 +*21223 TAP_934 +*21224 TAP_935 +*21225 TAP_936 +*21226 TAP_937 +*21227 TAP_938 +*21228 TAP_939 +*21229 TAP_940 +*21230 TAP_941 +*21231 TAP_942 +*21232 TAP_943 +*21233 TAP_944 +*21234 TAP_945 +*21235 TAP_946 +*21236 TAP_947 +*21237 TAP_948 +*21238 TAP_949 +*21239 TAP_950 +*21240 TAP_951 +*21241 TAP_952 +*21242 TAP_953 +*21243 TAP_954 +*21244 TAP_955 +*21245 TAP_956 +*21246 TAP_957 +*21247 TAP_958 +*21248 TAP_959 +*21249 TAP_960 +*21250 TAP_961 +*21251 TAP_962 +*21252 TAP_963 +*21253 TAP_964 +*21254 TAP_965 +*21255 TAP_966 +*21256 TAP_967 +*21257 TAP_968 +*21258 TAP_969 +*21259 TAP_970 +*21260 TAP_971 +*21261 TAP_972 +*21262 TAP_973 +*21263 TAP_974 +*21264 TAP_975 +*21265 TAP_976 +*21266 TAP_977 +*21267 TAP_978 +*21268 TAP_979 +*21269 TAP_980 +*21270 TAP_981 +*21271 TAP_982 +*21272 TAP_983 +*21273 TAP_984 +*21274 TAP_985 +*21275 TAP_986 +*21276 TAP_987 +*21277 TAP_988 +*21278 TAP_989 +*21279 TAP_990 +*21280 TAP_991 +*21281 TAP_992 +*21282 TAP_993 +*21283 TAP_994 +*21284 TAP_995 +*21285 TAP_996 +*21286 TAP_997 +*21287 TAP_998 +*21288 TAP_999 +*21289 _329_ +*21290 _330_ +*21291 _331_ +*21292 _332_ +*21293 _333_ +*21294 _334_ +*21295 _335_ +*21296 _336_ +*21297 _337_ +*21298 _338_ +*21299 _339_ +*21300 _340_ +*21301 _341_ +*21302 _342_ +*21303 _343_ +*21304 _344_ +*21305 _345_ +*21306 _346_ +*21307 _347_ +*21308 _348_ +*21309 _349_ +*21310 _350_ +*21311 _351_ +*21312 _352_ +*21313 _353_ +*21314 _354_ +*21315 _355_ +*21316 _356_ +*21317 _357_ +*21318 _358_ +*21319 _359_ +*21320 _360_ +*21321 _361_ +*21322 _362_ +*21323 _363_ +*21324 _364_ +*21325 _365_ +*21326 _366_ +*21327 _367_ +*21328 _368_ +*21329 _369_ +*21330 _370_ +*21331 _371_ +*21332 _372_ +*21333 _373_ +*21334 _374_ +*21335 _375_ +*21336 _376_ +*21337 _377_ +*21338 _378_ +*21339 _379_ +*21340 _380_ +*21341 _381_ +*21342 _382_ +*21343 _383_ +*21344 _384_ +*21345 _385_ +*21346 _386_ +*21347 _387_ +*21348 _388_ +*21349 _389_ +*21350 _390_ +*21351 _391_ +*21352 _392_ +*21353 _393_ +*21354 _394_ +*21355 _395_ +*21356 _396_ +*21357 _397_ +*21358 _398_ +*21359 _399_ +*21360 _400_ +*21361 _401_ +*21362 _402_ +*21363 _403_ +*21364 _404_ +*21365 _405_ +*21366 _406_ +*21367 _407_ +*21368 _408_ +*21369 _409_ +*21370 _410_ +*21371 _411_ +*21372 _412_ +*21373 _413_ +*21374 _414_ +*21375 _415_ +*21376 _416_ +*21377 _417_ +*21378 _418_ +*21379 _419_ +*21380 _420_ +*21381 _421_ +*21382 _422_ +*21383 _423_ +*21384 _424_ +*21385 _425_ +*21386 _426_ +*21387 _427_ +*21388 _428_ +*21389 _429_ +*21390 _430_ +*21391 _431_ +*21392 _432_ +*21393 _433_ +*21394 _434_ +*21395 _435_ +*21396 _436_ +*21397 _437_ +*21398 _438_ +*21399 _439_ +*21400 _440_ +*21401 _441_ +*21402 _442_ +*21403 _443_ +*21404 _444_ +*21405 _445_ +*21406 _446_ +*21407 _447_ +*21408 _448_ +*21409 _449_ +*21410 _450_ +*21411 _451_ +*21412 _452_ +*21413 _453_ +*21414 _454_ +*21415 _455_ +*21416 _456_ +*21417 _457_ +*21418 _458_ +*21419 _459_ +*21420 _460_ +*21421 _461_ +*21422 _462_ +*21423 _463_ +*21424 _464_ +*21425 _465_ +*21426 _466_ +*21427 _467_ +*21428 _468_ +*21429 _469_ +*21430 _470_ +*21431 _471_ +*21432 _472_ +*21433 _473_ +*21434 _474_ +*21435 _475_ +*21436 _476_ +*21437 _477_ +*21438 _478_ +*21439 _479_ +*21440 _480_ +*21441 _481_ +*21442 _482_ +*21443 _483_ +*21444 _484_ +*21445 _485_ +*21446 _486_ +*21447 _487_ +*21448 _488_ +*21449 _489_ +*21450 _490_ +*21451 _491_ +*21452 _492_ +*21453 _493_ +*21454 _494_ +*21455 _495_ +*21456 _496_ +*21457 _497_ +*21458 _498_ +*21459 _499_ +*21460 _500_ +*21461 _501_ +*21462 _502_ +*21463 _503_ +*21464 _504_ +*21465 _505_ +*21466 _506_ +*21467 _507_ +*21468 _508_ +*21469 _509_ +*21470 _510_ +*21471 _511_ +*21472 _512_ +*21473 _513_ +*21474 _514_ +*21475 _515_ +*21476 _516_ +*21477 _517_ +*21478 _518_ +*21479 _519_ +*21480 _520_ +*21481 _521_ +*21482 _522_ +*21483 _523_ +*21484 _524_ +*21485 _525_ +*21486 _526_ +*21487 _527_ +*21488 _528_ +*21489 _529_ +*21490 _530_ +*21491 _531_ +*21492 _532_ +*21493 _533_ +*21494 _534_ +*21495 _535_ +*21496 _536_ +*21497 _537_ +*21498 _538_ +*21499 _539_ +*21500 _540_ +*21501 _541_ +*21502 _542_ +*21503 _543_ +*21504 _544_ +*21505 _545_ +*21506 _546_ +*21507 _547_ +*21508 _548_ +*21509 _549_ +*21510 _550_ +*21511 _551_ +*21512 _552_ +*21513 _553_ +*21514 _554_ +*21515 _555_ +*21516 _556_ +*21517 _557_ +*21518 _558_ +*21519 _559_ +*21520 _560_ +*21521 _561_ +*21522 _562_ +*21523 _563_ +*21524 _564_ +*21525 _565_ +*21526 _566_ +*21527 _567_ +*21528 _568_ +*21529 _569_ +*21530 _570_ +*21531 _571_ +*21532 _572_ +*21533 _573_ +*21534 _574_ +*21535 _575_ +*21536 _576_ +*21537 _577_ +*21538 _578_ +*21539 _579_ +*21540 _580_ +*21541 _581_ +*21542 _582_ +*21543 _583_ +*21544 _584_ +*21545 _585_ +*21546 _586_ +*21547 _587_ +*21548 _588_ +*21549 _589_ +*21550 _590_ +*21551 _591_ +*21552 _592_ +*21553 _593_ +*21554 _594_ +*21555 _595_ +*21556 _596_ +*21557 _597_ +*21558 _598_ +*21559 _599_ +*21560 _600_ +*21561 _601_ +*21562 _602_ +*21563 _603_ +*21564 _604_ +*21565 _605_ +*21566 _606_ +*21567 _607_ +*21568 _608_ +*21569 _609_ +*21570 _610_ +*21571 _611_ +*21572 _612_ +*21573 _613_ +*21574 _614_ +*21575 _615_ +*21576 _616_ +*21577 _617_ +*21578 _618_ +*21579 _619_ +*21580 _620_ +*21581 _621_ +*21582 _622_ +*21583 _623_ +*21584 _624_ +*21585 _625_ +*21586 _626_ +*21587 _627_ +*21588 _628_ +*21589 _629_ +*21590 _630_ +*21591 _631_ +*21592 _632_ +*21593 _633_ +*21594 _634_ +*21595 _635_ +*21596 _636_ +*21597 _637_ +*21598 _638_ +*21599 _639_ +*21600 _640_ +*21601 _641_ +*21602 _642_ +*21603 _643_ +*21604 _644_ +*21605 _645_ +*21606 _646_ +*21607 _647_ +*21608 _648_ +*21609 _649_ +*21610 _650_ +*21611 _651_ +*21612 _652_ +*21613 _653_ +*21614 _654_ +*21615 _655_ +*21616 _656_ +*21617 _657_ +*21618 input1 +*21619 input10 +*21620 input100 +*21621 input101 +*21622 input102 +*21623 input103 +*21624 input104 +*21625 input105 +*21626 input106 +*21627 input107 +*21628 input108 +*21629 input109 +*21630 input11 +*21631 input110 +*21632 input111 +*21633 input112 +*21634 input113 +*21635 input114 +*21636 input115 +*21637 input116 +*21638 input117 +*21639 input118 +*21640 input119 +*21641 input12 +*21642 input120 +*21643 input121 +*21644 input122 +*21645 input123 +*21646 input124 +*21647 input125 +*21648 input126 +*21649 input127 +*21650 input128 +*21651 input129 +*21652 input13 +*21653 input130 +*21654 input131 +*21655 input132 +*21656 input133 +*21657 input134 +*21658 input135 +*21659 input136 +*21660 input137 +*21661 input138 +*21662 input139 +*21663 input14 +*21664 input140 +*21665 input141 +*21666 input142 +*21667 input143 +*21668 input144 +*21669 input145 +*21670 input146 +*21671 input147 +*21672 input148 +*21673 input149 +*21674 input15 +*21675 input150 +*21676 input151 +*21677 input152 +*21678 input153 +*21679 input154 +*21680 input155 +*21681 input156 +*21682 input157 +*21683 input158 +*21684 input159 +*21685 input16 +*21686 input160 +*21687 input161 +*21688 input162 +*21689 input163 +*21690 input164 +*21691 input165 +*21692 input166 +*21693 input167 +*21694 input168 +*21695 input169 +*21696 input17 +*21697 input170 +*21698 input171 +*21699 input172 +*21700 input173 +*21701 input174 +*21702 input175 +*21703 input176 +*21704 input177 +*21705 input178 +*21706 input179 +*21707 input18 +*21708 input180 +*21709 input181 +*21710 input182 +*21711 input183 +*21712 input184 +*21713 input185 +*21714 input186 +*21715 input187 +*21716 input188 +*21717 input189 +*21718 input19 +*21719 input190 +*21720 input191 +*21721 input192 +*21722 input193 +*21723 input194 +*21724 input195 +*21725 input196 +*21726 input197 +*21727 input198 +*21728 input199 +*21729 input2 +*21730 input20 +*21731 input200 +*21732 input201 +*21733 input202 +*21734 input203 +*21735 input204 +*21736 input205 +*21737 input206 +*21738 input207 +*21739 input208 +*21740 input209 +*21741 input21 +*21742 input210 +*21743 input211 +*21744 input212 +*21745 input213 +*21746 input214 +*21747 input215 +*21748 input216 +*21749 input217 +*21750 input218 +*21751 input219 +*21752 input22 +*21753 input220 +*21754 input221 +*21755 input222 +*21756 input223 +*21757 input224 +*21758 input225 +*21759 input226 +*21760 input227 +*21761 input228 +*21762 input229 +*21763 input23 +*21764 input230 +*21765 input231 +*21766 input232 +*21767 input233 +*21768 input234 +*21769 input235 +*21770 input236 +*21771 input237 +*21772 input238 +*21773 input239 +*21774 input24 +*21775 input240 +*21776 input241 +*21777 input242 +*21778 input243 +*21779 input244 +*21780 input245 +*21781 input246 +*21782 input247 +*21783 input248 +*21784 input249 +*21785 input25 +*21786 input250 +*21787 input251 +*21788 input252 +*21789 input253 +*21790 input254 +*21791 input255 +*21792 input256 +*21793 input257 +*21794 input258 +*21795 input259 +*21796 input26 +*21797 input260 +*21798 input261 +*21799 input262 +*21800 input263 +*21801 input264 +*21802 input265 +*21803 input266 +*21804 input267 +*21805 input268 +*21806 input269 +*21807 input27 +*21808 input270 +*21809 input271 +*21810 input272 +*21811 input273 +*21812 input274 +*21813 input275 +*21814 input276 +*21815 input277 +*21816 input278 +*21817 input279 +*21818 input28 +*21819 input280 +*21820 input281 +*21821 input282 +*21822 input283 +*21823 input284 +*21824 input285 +*21825 input286 +*21826 input287 +*21827 input288 +*21828 input289 +*21829 input29 +*21830 input290 +*21831 input291 +*21832 input292 +*21833 input293 +*21834 input294 +*21835 input295 +*21836 input296 +*21837 input297 +*21838 input298 +*21839 input299 +*21840 input3 +*21841 input30 +*21842 input300 +*21843 input301 +*21844 input302 +*21845 input303 +*21846 input304 +*21847 input305 +*21848 input306 +*21849 input307 +*21850 input308 +*21851 input309 +*21852 input31 +*21853 input310 +*21854 input311 +*21855 input312 +*21856 input313 +*21857 input314 +*21858 input315 +*21859 input316 +*21860 input317 +*21861 input318 +*21862 input319 +*21863 input32 +*21864 input320 +*21865 input321 +*21866 input322 +*21867 input323 +*21868 input324 +*21869 input325 +*21870 input326 +*21871 input327 +*21872 input328 +*21873 input329 +*21874 input33 +*21875 input330 +*21876 input331 +*21877 input332 +*21878 input333 +*21879 input334 +*21880 input335 +*21881 input336 +*21882 input337 +*21883 input338 +*21884 input339 +*21885 input34 +*21886 input340 +*21887 input341 +*21888 input342 +*21889 input343 +*21890 input344 +*21891 input345 +*21892 input346 +*21893 input347 +*21894 input348 +*21895 input349 +*21896 input35 +*21897 input350 +*21898 input351 +*21899 input352 +*21900 input353 +*21901 input354 +*21902 input355 +*21903 input356 +*21904 input357 +*21905 input358 +*21906 input359 +*21907 input36 +*21908 input360 +*21909 input361 +*21910 input362 +*21911 input363 +*21912 input364 +*21913 input365 +*21914 input366 +*21915 input367 +*21916 input368 +*21917 input369 +*21918 input37 +*21919 input370 +*21920 input371 +*21921 input372 +*21922 input373 +*21923 input374 +*21924 input375 +*21925 input376 +*21926 input377 +*21927 input378 +*21928 input379 +*21929 input38 +*21930 input380 +*21931 input381 +*21932 input382 +*21933 input383 +*21934 input384 +*21935 input385 +*21936 input386 +*21937 input387 +*21938 input388 +*21939 input389 +*21940 input39 +*21941 input390 +*21942 input391 +*21943 input392 +*21944 input393 +*21945 input394 +*21946 input395 +*21947 input396 +*21948 input397 +*21949 input398 +*21950 input399 +*21951 input4 +*21952 input40 +*21953 input400 +*21954 input401 +*21955 input402 +*21956 input403 +*21957 input404 +*21958 input405 +*21959 input406 +*21960 input407 +*21961 input408 +*21962 input409 +*21963 input41 +*21964 input410 +*21965 input411 +*21966 input412 +*21967 input413 +*21968 input414 +*21969 input415 +*21970 input416 +*21971 input417 +*21972 input418 +*21973 input419 +*21974 input42 +*21975 input420 +*21976 input421 +*21977 input422 +*21978 input423 +*21979 input424 +*21980 input425 +*21981 input426 +*21982 input427 +*21983 input428 +*21984 input429 +*21985 input43 +*21986 input430 +*21987 input431 +*21988 input432 +*21989 input433 +*21990 input434 +*21991 input435 +*21992 input436 +*21993 input437 +*21994 input438 +*21995 input439 +*21996 input44 +*21997 input440 +*21998 input441 +*21999 input442 +*22000 input443 +*22001 input444 +*22002 input445 +*22003 input446 +*22004 input447 +*22005 input448 +*22006 input449 +*22007 input45 +*22008 input450 +*22009 input451 +*22010 input452 +*22011 input453 +*22012 input454 +*22013 input455 +*22014 input456 +*22015 input457 +*22016 input458 +*22017 input459 +*22018 input46 +*22019 input460 +*22020 input461 +*22021 input462 +*22022 input463 +*22023 input464 +*22024 input465 +*22025 input466 +*22026 input467 +*22027 input468 +*22028 input469 +*22029 input47 +*22030 input470 +*22031 input471 +*22032 input472 +*22033 input473 +*22034 input474 +*22035 input475 +*22036 input476 +*22037 input477 +*22038 input478 +*22039 input479 +*22040 input48 +*22041 input480 +*22042 input481 +*22043 input482 +*22044 input483 +*22045 input484 +*22046 input485 +*22047 input486 +*22048 input487 +*22049 input488 +*22050 input489 +*22051 input49 +*22052 input490 +*22053 input491 +*22054 input492 +*22055 input493 +*22056 input494 +*22057 input495 +*22058 input496 +*22059 input497 +*22060 input498 +*22061 input499 +*22062 input5 +*22063 input50 +*22064 input500 +*22065 input501 +*22066 input502 +*22067 input503 +*22068 input504 +*22069 input505 +*22070 input506 +*22071 input507 +*22072 input508 +*22073 input509 +*22074 input51 +*22075 input510 +*22076 input511 +*22077 input512 +*22078 input513 +*22079 input514 +*22080 input515 +*22081 input516 +*22082 input517 +*22083 input518 +*22084 input519 +*22085 input52 +*22086 input520 +*22087 input521 +*22088 input522 +*22089 input523 +*22090 input524 +*22091 input525 +*22092 input526 +*22093 input527 +*22094 input528 +*22095 input529 +*22096 input53 +*22097 input530 +*22098 input531 +*22099 input532 +*22100 input533 +*22101 input534 +*22102 input535 +*22103 input536 +*22104 input537 +*22105 input538 +*22106 input539 +*22107 input54 +*22108 input540 +*22109 input541 +*22110 input542 +*22111 input543 +*22112 input544 +*22113 input545 +*22114 input546 +*22115 input547 +*22116 input548 +*22117 input549 +*22118 input55 +*22119 input550 +*22120 input551 +*22121 input552 +*22122 input553 +*22123 input554 +*22124 input555 +*22125 input556 +*22126 input557 +*22127 input558 +*22128 input559 +*22129 input56 +*22130 input560 +*22131 input561 +*22132 input562 +*22133 input563 +*22134 input564 +*22135 input565 +*22136 input566 +*22137 input567 +*22138 input568 +*22139 input569 +*22140 input57 +*22141 input570 +*22142 input571 +*22143 input572 +*22144 input573 +*22145 input574 +*22146 input575 +*22147 input576 +*22148 input577 +*22149 input578 +*22150 input579 +*22151 input58 +*22152 input580 +*22153 input581 +*22154 input582 +*22155 input583 +*22156 input584 +*22157 input585 +*22158 input586 +*22159 input587 +*22160 input588 +*22161 input589 +*22162 input59 +*22163 input590 +*22164 input591 +*22165 input592 +*22166 input593 +*22167 input594 +*22168 input595 +*22169 input596 +*22170 input597 +*22171 input598 +*22172 input599 +*22173 input6 +*22174 input60 +*22175 input600 +*22176 input601 +*22177 input602 +*22178 input603 +*22179 input604 +*22180 input605 +*22181 input606 +*22182 input607 +*22183 input608 +*22184 input609 +*22185 input61 +*22186 input610 +*22187 input611 +*22188 input612 +*22189 input613 +*22190 input614 +*22191 input615 +*22192 input616 +*22193 input617 +*22194 input618 +*22195 input619 +*22196 input62 +*22197 input620 +*22198 input621 +*22199 input622 +*22200 input623 +*22201 input624 +*22202 input625 +*22203 input626 +*22204 input63 +*22205 input64 +*22206 input65 +*22207 input66 +*22208 input67 +*22209 input68 +*22210 input69 +*22211 input7 +*22212 input70 +*22213 input71 +*22214 input72 +*22215 input73 +*22216 input74 +*22217 input75 +*22218 input76 +*22219 input77 +*22220 input78 +*22221 input79 +*22222 input8 +*22223 input80 +*22224 input81 +*22225 input82 +*22226 input83 +*22227 input84 +*22228 input85 +*22229 input86 +*22230 input87 +*22231 input88 +*22232 input89 +*22233 input9 +*22234 input90 +*22235 input91 +*22236 input92 +*22237 input93 +*22238 input94 +*22239 input95 +*22240 input96 +*22241 input97 +*22242 input98 +*22243 input99 +*22244 la_buf\[0\] +*22245 la_buf\[100\] +*22246 la_buf\[101\] +*22247 la_buf\[102\] +*22248 la_buf\[103\] +*22249 la_buf\[104\] +*22250 la_buf\[105\] +*22251 la_buf\[106\] +*22252 la_buf\[107\] +*22253 la_buf\[108\] +*22254 la_buf\[109\] +*22255 la_buf\[10\] +*22256 la_buf\[110\] +*22257 la_buf\[111\] +*22258 la_buf\[112\] +*22259 la_buf\[113\] +*22260 la_buf\[114\] +*22261 la_buf\[115\] +*22262 la_buf\[116\] +*22263 la_buf\[117\] +*22264 la_buf\[118\] +*22265 la_buf\[119\] +*22266 la_buf\[11\] +*22267 la_buf\[120\] +*22268 la_buf\[121\] +*22269 la_buf\[122\] +*22270 la_buf\[123\] +*22271 la_buf\[124\] +*22272 la_buf\[125\] +*22273 la_buf\[126\] +*22274 la_buf\[127\] +*22275 la_buf\[12\] +*22276 la_buf\[13\] +*22277 la_buf\[14\] +*22278 la_buf\[15\] +*22279 la_buf\[16\] +*22280 la_buf\[17\] +*22281 la_buf\[18\] +*22282 la_buf\[19\] +*22283 la_buf\[1\] +*22284 la_buf\[20\] +*22285 la_buf\[21\] +*22286 la_buf\[22\] +*22287 la_buf\[23\] +*22288 la_buf\[24\] +*22289 la_buf\[25\] +*22290 la_buf\[26\] +*22291 la_buf\[27\] +*22292 la_buf\[28\] +*22293 la_buf\[29\] +*22294 la_buf\[2\] +*22295 la_buf\[30\] +*22296 la_buf\[31\] +*22297 la_buf\[32\] +*22298 la_buf\[33\] +*22299 la_buf\[34\] +*22300 la_buf\[35\] +*22301 la_buf\[36\] +*22302 la_buf\[37\] +*22303 la_buf\[38\] +*22304 la_buf\[39\] +*22305 la_buf\[3\] +*22306 la_buf\[40\] +*22307 la_buf\[41\] +*22308 la_buf\[42\] +*22309 la_buf\[43\] +*22310 la_buf\[44\] +*22311 la_buf\[45\] +*22312 la_buf\[46\] +*22313 la_buf\[47\] +*22314 la_buf\[48\] +*22315 la_buf\[49\] +*22316 la_buf\[4\] +*22317 la_buf\[50\] +*22318 la_buf\[51\] +*22319 la_buf\[52\] +*22320 la_buf\[53\] +*22321 la_buf\[54\] +*22322 la_buf\[55\] +*22323 la_buf\[56\] +*22324 la_buf\[57\] +*22325 la_buf\[58\] +*22326 la_buf\[59\] +*22327 la_buf\[5\] +*22328 la_buf\[60\] +*22329 la_buf\[61\] +*22330 la_buf\[62\] +*22331 la_buf\[63\] +*22332 la_buf\[64\] +*22333 la_buf\[65\] +*22334 la_buf\[66\] +*22335 la_buf\[67\] +*22336 la_buf\[68\] +*22337 la_buf\[69\] +*22338 la_buf\[6\] +*22339 la_buf\[70\] +*22340 la_buf\[71\] +*22341 la_buf\[72\] +*22342 la_buf\[73\] +*22343 la_buf\[74\] +*22344 la_buf\[75\] +*22345 la_buf\[76\] +*22346 la_buf\[77\] +*22347 la_buf\[78\] +*22348 la_buf\[79\] +*22349 la_buf\[7\] +*22350 la_buf\[80\] +*22351 la_buf\[81\] +*22352 la_buf\[82\] +*22353 la_buf\[83\] +*22354 la_buf\[84\] +*22355 la_buf\[85\] +*22356 la_buf\[86\] +*22357 la_buf\[87\] +*22358 la_buf\[88\] +*22359 la_buf\[89\] +*22360 la_buf\[8\] +*22361 la_buf\[90\] +*22362 la_buf\[91\] +*22363 la_buf\[92\] +*22364 la_buf\[93\] +*22365 la_buf\[94\] +*22366 la_buf\[95\] +*22367 la_buf\[96\] +*22368 la_buf\[97\] +*22369 la_buf\[98\] +*22370 la_buf\[99\] +*22371 la_buf\[9\] +*22372 la_buf_enable\[0\] +*22373 la_buf_enable\[100\] +*22374 la_buf_enable\[101\] +*22375 la_buf_enable\[102\] +*22376 la_buf_enable\[103\] +*22377 la_buf_enable\[104\] +*22378 la_buf_enable\[105\] +*22379 la_buf_enable\[106\] +*22380 la_buf_enable\[107\] +*22381 la_buf_enable\[108\] +*22382 la_buf_enable\[109\] +*22383 la_buf_enable\[10\] +*22384 la_buf_enable\[110\] +*22385 la_buf_enable\[111\] +*22386 la_buf_enable\[112\] +*22387 la_buf_enable\[113\] +*22388 la_buf_enable\[114\] +*22389 la_buf_enable\[115\] +*22390 la_buf_enable\[116\] +*22391 la_buf_enable\[117\] +*22392 la_buf_enable\[118\] +*22393 la_buf_enable\[119\] +*22394 la_buf_enable\[11\] +*22395 la_buf_enable\[120\] +*22396 la_buf_enable\[121\] +*22397 la_buf_enable\[122\] +*22398 la_buf_enable\[123\] +*22399 la_buf_enable\[124\] +*22400 la_buf_enable\[125\] +*22401 la_buf_enable\[126\] +*22402 la_buf_enable\[127\] +*22403 la_buf_enable\[12\] +*22404 la_buf_enable\[13\] +*22405 la_buf_enable\[14\] +*22406 la_buf_enable\[15\] +*22407 la_buf_enable\[16\] +*22408 la_buf_enable\[17\] +*22409 la_buf_enable\[18\] +*22410 la_buf_enable\[19\] +*22411 la_buf_enable\[1\] +*22412 la_buf_enable\[20\] +*22413 la_buf_enable\[21\] +*22414 la_buf_enable\[22\] +*22415 la_buf_enable\[23\] +*22416 la_buf_enable\[24\] +*22417 la_buf_enable\[25\] +*22418 la_buf_enable\[26\] +*22419 la_buf_enable\[27\] +*22420 la_buf_enable\[28\] +*22421 la_buf_enable\[29\] +*22422 la_buf_enable\[2\] +*22423 la_buf_enable\[30\] +*22424 la_buf_enable\[31\] +*22425 la_buf_enable\[32\] +*22426 la_buf_enable\[33\] +*22427 la_buf_enable\[34\] +*22428 la_buf_enable\[35\] +*22429 la_buf_enable\[36\] +*22430 la_buf_enable\[37\] +*22431 la_buf_enable\[38\] +*22432 la_buf_enable\[39\] +*22433 la_buf_enable\[3\] +*22434 la_buf_enable\[40\] +*22435 la_buf_enable\[41\] +*22436 la_buf_enable\[42\] +*22437 la_buf_enable\[43\] +*22438 la_buf_enable\[44\] +*22439 la_buf_enable\[45\] +*22440 la_buf_enable\[46\] +*22441 la_buf_enable\[47\] +*22442 la_buf_enable\[48\] +*22443 la_buf_enable\[49\] +*22444 la_buf_enable\[4\] +*22445 la_buf_enable\[50\] +*22446 la_buf_enable\[51\] +*22447 la_buf_enable\[52\] +*22448 la_buf_enable\[53\] +*22449 la_buf_enable\[54\] +*22450 la_buf_enable\[55\] +*22451 la_buf_enable\[56\] +*22452 la_buf_enable\[57\] +*22453 la_buf_enable\[58\] +*22454 la_buf_enable\[59\] +*22455 la_buf_enable\[5\] +*22456 la_buf_enable\[60\] +*22457 la_buf_enable\[61\] +*22458 la_buf_enable\[62\] +*22459 la_buf_enable\[63\] +*22460 la_buf_enable\[64\] +*22461 la_buf_enable\[65\] +*22462 la_buf_enable\[66\] +*22463 la_buf_enable\[67\] +*22464 la_buf_enable\[68\] +*22465 la_buf_enable\[69\] +*22466 la_buf_enable\[6\] +*22467 la_buf_enable\[70\] +*22468 la_buf_enable\[71\] +*22469 la_buf_enable\[72\] +*22470 la_buf_enable\[73\] +*22471 la_buf_enable\[74\] +*22472 la_buf_enable\[75\] +*22473 la_buf_enable\[76\] +*22474 la_buf_enable\[77\] +*22475 la_buf_enable\[78\] +*22476 la_buf_enable\[79\] +*22477 la_buf_enable\[7\] +*22478 la_buf_enable\[80\] +*22479 la_buf_enable\[81\] +*22480 la_buf_enable\[82\] +*22481 la_buf_enable\[83\] +*22482 la_buf_enable\[84\] +*22483 la_buf_enable\[85\] +*22484 la_buf_enable\[86\] +*22485 la_buf_enable\[87\] +*22486 la_buf_enable\[88\] +*22487 la_buf_enable\[89\] +*22488 la_buf_enable\[8\] +*22489 la_buf_enable\[90\] +*22490 la_buf_enable\[91\] +*22491 la_buf_enable\[92\] +*22492 la_buf_enable\[93\] +*22493 la_buf_enable\[94\] +*22494 la_buf_enable\[95\] +*22495 la_buf_enable\[96\] +*22496 la_buf_enable\[97\] +*22497 la_buf_enable\[98\] +*22498 la_buf_enable\[99\] +*22499 la_buf_enable\[9\] +*22500 mprj2_logic_high_inst +*22501 mprj2_pwrgood +*22502 mprj2_vdd_pwrgood +*22503 mprj_adr_buf\[0\] +*22504 mprj_adr_buf\[10\] +*22505 mprj_adr_buf\[11\] +*22506 mprj_adr_buf\[12\] +*22507 mprj_adr_buf\[13\] +*22508 mprj_adr_buf\[14\] +*22509 mprj_adr_buf\[15\] +*22510 mprj_adr_buf\[16\] +*22511 mprj_adr_buf\[17\] +*22512 mprj_adr_buf\[18\] +*22513 mprj_adr_buf\[19\] +*22514 mprj_adr_buf\[1\] +*22515 mprj_adr_buf\[20\] +*22516 mprj_adr_buf\[21\] +*22517 mprj_adr_buf\[22\] +*22518 mprj_adr_buf\[23\] +*22519 mprj_adr_buf\[24\] +*22520 mprj_adr_buf\[25\] +*22521 mprj_adr_buf\[26\] +*22522 mprj_adr_buf\[27\] +*22523 mprj_adr_buf\[28\] +*22524 mprj_adr_buf\[29\] +*22525 mprj_adr_buf\[2\] +*22526 mprj_adr_buf\[30\] +*22527 mprj_adr_buf\[31\] +*22528 mprj_adr_buf\[3\] +*22529 mprj_adr_buf\[4\] +*22530 mprj_adr_buf\[5\] +*22531 mprj_adr_buf\[6\] +*22532 mprj_adr_buf\[7\] +*22533 mprj_adr_buf\[8\] +*22534 mprj_adr_buf\[9\] +*22535 mprj_clk2_buf +*22536 mprj_clk_buf +*22537 mprj_cyc_buf +*22538 mprj_dat_buf\[0\] +*22539 mprj_dat_buf\[10\] +*22540 mprj_dat_buf\[11\] +*22541 mprj_dat_buf\[12\] +*22542 mprj_dat_buf\[13\] +*22543 mprj_dat_buf\[14\] +*22544 mprj_dat_buf\[15\] +*22545 mprj_dat_buf\[16\] +*22546 mprj_dat_buf\[17\] +*22547 mprj_dat_buf\[18\] +*22548 mprj_dat_buf\[19\] +*22549 mprj_dat_buf\[1\] +*22550 mprj_dat_buf\[20\] +*22551 mprj_dat_buf\[21\] +*22552 mprj_dat_buf\[22\] +*22553 mprj_dat_buf\[23\] +*22554 mprj_dat_buf\[24\] +*22555 mprj_dat_buf\[25\] +*22556 mprj_dat_buf\[26\] +*22557 mprj_dat_buf\[27\] +*22558 mprj_dat_buf\[28\] +*22559 mprj_dat_buf\[29\] +*22560 mprj_dat_buf\[2\] +*22561 mprj_dat_buf\[30\] +*22562 mprj_dat_buf\[31\] +*22563 mprj_dat_buf\[3\] +*22564 mprj_dat_buf\[4\] +*22565 mprj_dat_buf\[5\] +*22566 mprj_dat_buf\[6\] +*22567 mprj_dat_buf\[7\] +*22568 mprj_dat_buf\[8\] +*22569 mprj_dat_buf\[9\] +*22570 mprj_logic_high_inst +*22571 mprj_pwrgood +*22572 mprj_rstn_buf +*22573 mprj_sel_buf\[0\] +*22574 mprj_sel_buf\[1\] +*22575 mprj_sel_buf\[2\] +*22576 mprj_sel_buf\[3\] +*22577 mprj_stb_buf +*22578 mprj_vdd_pwrgood +*22579 mprj_we_buf +*22580 output1000 +*22581 output1001 +*22582 output1002 +*22583 output1003 +*22584 output1004 +*22585 output1005 +*22586 output1006 +*22587 output1007 +*22588 output1008 +*22589 output1009 +*22590 output1010 +*22591 output1011 +*22592 output1012 +*22593 output1013 +*22594 output1014 +*22595 output1015 +*22596 output1016 +*22597 output1017 +*22598 output1018 +*22599 output1019 +*22600 output1020 +*22601 output1021 +*22602 output1022 +*22603 output1023 +*22604 output1024 +*22605 output1025 +*22606 output1026 +*22607 output1027 +*22608 output1028 +*22609 output1029 +*22610 output1030 +*22611 output1031 +*22612 output1032 +*22613 output1033 +*22614 output1034 +*22615 output1035 +*22616 output1036 +*22617 output1037 +*22618 output1038 +*22619 output1039 +*22620 output1040 +*22621 output1041 +*22622 output1042 +*22623 output1043 +*22624 output1044 +*22625 output1045 +*22626 output1046 +*22627 output1047 +*22628 output1048 +*22629 output1049 +*22630 output1050 +*22631 output1051 +*22632 output1052 +*22633 output1053 +*22634 output1054 +*22635 output1055 +*22636 output1056 +*22637 output1057 +*22638 output1058 +*22639 output1059 +*22640 output1060 +*22641 output1061 +*22642 output1062 +*22643 output1063 +*22644 output1064 +*22645 output1065 +*22646 output1066 +*22647 output1067 +*22648 output1068 +*22649 output1069 +*22650 output1070 +*22651 output1071 +*22652 output1072 +*22653 output1073 +*22654 output1074 +*22655 output1075 +*22656 output1076 +*22657 output1077 +*22658 output1078 +*22659 output1079 +*22660 output1080 +*22661 output1081 +*22662 output1082 +*22663 output1083 +*22664 output1084 +*22665 output1085 +*22666 output1086 +*22667 output1087 +*22668 output1088 +*22669 output1089 +*22670 output1090 +*22671 output1091 +*22672 output1092 +*22673 output1093 +*22674 output1094 +*22675 output1095 +*22676 output1096 +*22677 output1097 +*22678 output1098 +*22679 output1099 +*22680 output1100 +*22681 output1101 +*22682 output1102 +*22683 output1103 +*22684 output1104 +*22685 output1105 +*22686 output1106 +*22687 output1107 +*22688 output1108 +*22689 output1109 +*22690 output1110 +*22691 output1111 +*22692 output1112 +*22693 output1113 +*22694 output1114 +*22695 output1115 +*22696 output1116 +*22697 output1117 +*22698 output1118 +*22699 output1119 +*22700 output1120 +*22701 output1121 +*22702 output1122 +*22703 output1123 +*22704 output1124 +*22705 output627 +*22706 output628 +*22707 output629 +*22708 output630 +*22709 output631 +*22710 output632 +*22711 output633 +*22712 output634 +*22713 output635 +*22714 output636 +*22715 output637 +*22716 output638 +*22717 output639 +*22718 output640 +*22719 output641 +*22720 output642 +*22721 output643 +*22722 output644 +*22723 output645 +*22724 output646 +*22725 output647 +*22726 output648 +*22727 output649 +*22728 output650 +*22729 output651 +*22730 output652 +*22731 output653 +*22732 output654 +*22733 output655 +*22734 output656 +*22735 output657 +*22736 output658 +*22737 output659 +*22738 output660 +*22739 output661 +*22740 output662 +*22741 output663 +*22742 output664 +*22743 output665 +*22744 output666 +*22745 output667 +*22746 output668 +*22747 output669 +*22748 output670 +*22749 output671 +*22750 output672 +*22751 output673 +*22752 output674 +*22753 output675 +*22754 output676 +*22755 output677 +*22756 output678 +*22757 output679 +*22758 output680 +*22759 output681 +*22760 output682 +*22761 output683 +*22762 output684 +*22763 output685 +*22764 output686 +*22765 output687 +*22766 output688 +*22767 output689 +*22768 output690 +*22769 output691 +*22770 output692 +*22771 output693 +*22772 output694 +*22773 output695 +*22774 output696 +*22775 output697 +*22776 output698 +*22777 output699 +*22778 output700 +*22779 output701 +*22780 output702 +*22781 output703 +*22782 output704 +*22783 output705 +*22784 output706 +*22785 output707 +*22786 output708 +*22787 output709 +*22788 output710 +*22789 output711 +*22790 output712 +*22791 output713 +*22792 output714 +*22793 output715 +*22794 output716 +*22795 output717 +*22796 output718 +*22797 output719 +*22798 output720 +*22799 output721 +*22800 output722 +*22801 output723 +*22802 output724 +*22803 output725 +*22804 output726 +*22805 output727 +*22806 output728 +*22807 output729 +*22808 output730 +*22809 output731 +*22810 output732 +*22811 output733 +*22812 output734 +*22813 output735 +*22814 output736 +*22815 output737 +*22816 output738 +*22817 output739 +*22818 output740 +*22819 output741 +*22820 output742 +*22821 output743 +*22822 output744 +*22823 output745 +*22824 output746 +*22825 output747 +*22826 output748 +*22827 output749 +*22828 output750 +*22829 output751 +*22830 output752 +*22831 output753 +*22832 output754 +*22833 output755 +*22834 output756 +*22835 output757 +*22836 output758 +*22837 output759 +*22838 output760 +*22839 output761 +*22840 output762 +*22841 output763 +*22842 output764 +*22843 output765 +*22844 output766 +*22845 output767 +*22846 output768 +*22847 output769 +*22848 output770 +*22849 output771 +*22850 output772 +*22851 output773 +*22852 output774 +*22853 output775 +*22854 output776 +*22855 output777 +*22856 output778 +*22857 output779 +*22858 output780 +*22859 output781 +*22860 output782 +*22861 output783 +*22862 output784 +*22863 output785 +*22864 output786 +*22865 output787 +*22866 output788 +*22867 output789 +*22868 output790 +*22869 output791 +*22870 output792 +*22871 output793 +*22872 output794 +*22873 output795 +*22874 output796 +*22875 output797 +*22876 output798 +*22877 output799 +*22878 output800 +*22879 output801 +*22880 output802 +*22881 output803 +*22882 output804 +*22883 output805 +*22884 output806 +*22885 output807 +*22886 output808 +*22887 output809 +*22888 output810 +*22889 output811 +*22890 output812 +*22891 output813 +*22892 output814 +*22893 output815 +*22894 output816 +*22895 output817 +*22896 output818 +*22897 output819 +*22898 output820 +*22899 output821 +*22900 output822 +*22901 output823 +*22902 output824 +*22903 output825 +*22904 output826 +*22905 output827 +*22906 output828 +*22907 output829 +*22908 output830 +*22909 output831 +*22910 output832 +*22911 output833 +*22912 output834 +*22913 output835 +*22914 output836 +*22915 output837 +*22916 output838 +*22917 output839 +*22918 output840 +*22919 output841 +*22920 output842 +*22921 output843 +*22922 output844 +*22923 output845 +*22924 output846 +*22925 output847 +*22926 output848 +*22927 output849 +*22928 output850 +*22929 output851 +*22930 output852 +*22931 output853 +*22932 output854 +*22933 output855 +*22934 output856 +*22935 output857 +*22936 output858 +*22937 output859 +*22938 output860 +*22939 output861 +*22940 output862 +*22941 output863 +*22942 output864 +*22943 output865 +*22944 output866 +*22945 output867 +*22946 output868 +*22947 output869 +*22948 output870 +*22949 output871 +*22950 output872 +*22951 output873 +*22952 output874 +*22953 output875 +*22954 output876 +*22955 output877 +*22956 output878 +*22957 output879 +*22958 output880 +*22959 output881 +*22960 output882 +*22961 output883 +*22962 output884 +*22963 output885 +*22964 output886 +*22965 output887 +*22966 output888 +*22967 output889 +*22968 output890 +*22969 output891 +*22970 output892 +*22971 output893 +*22972 output894 +*22973 output895 +*22974 output896 +*22975 output897 +*22976 output898 +*22977 output899 +*22978 output900 +*22979 output901 +*22980 output902 +*22981 output903 +*22982 output904 +*22983 output905 +*22984 output906 +*22985 output907 +*22986 output908 +*22987 output909 +*22988 output910 +*22989 output911 +*22990 output912 +*22991 output913 +*22992 output914 +*22993 output915 +*22994 output916 +*22995 output917 +*22996 output918 +*22997 output919 +*22998 output920 +*22999 output921 +*23000 output922 +*23001 output923 +*23002 output924 +*23003 output925 +*23004 output926 +*23005 output927 +*23006 output928 +*23007 output929 +*23008 output930 +*23009 output931 +*23010 output932 +*23011 output933 +*23012 output934 +*23013 output935 +*23014 output936 +*23015 output937 +*23016 output938 +*23017 output939 +*23018 output940 +*23019 output941 +*23020 output942 +*23021 output943 +*23022 output944 +*23023 output945 +*23024 output946 +*23025 output947 +*23026 output948 +*23027 output949 +*23028 output950 +*23029 output951 +*23030 output952 +*23031 output953 +*23032 output954 +*23033 output955 +*23034 output956 +*23035 output957 +*23036 output958 +*23037 output959 +*23038 output960 +*23039 output961 +*23040 output962 +*23041 output963 +*23042 output964 +*23043 output965 +*23044 output966 +*23045 output967 +*23046 output968 +*23047 output969 +*23048 output970 +*23049 output971 +*23050 output972 +*23051 output973 +*23052 output974 +*23053 output975 +*23054 output976 +*23055 output977 +*23056 output978 +*23057 output979 +*23058 output980 +*23059 output981 +*23060 output982 +*23061 output983 +*23062 output984 +*23063 output985 +*23064 output986 +*23065 output987 +*23066 output988 +*23067 output989 +*23068 output990 +*23069 output991 +*23070 output992 +*23071 output993 +*23072 output994 +*23073 output995 +*23074 output996 +*23075 output997 +*23076 output998 +*23077 output999 +*23078 powergood_check +*23079 repeater1125 +*23080 user_irq_buffers\[0\] +*23081 user_irq_buffers\[1\] +*23082 user_irq_buffers\[2\] +*23083 user_irq_ena_buf\[0\] +*23084 user_irq_ena_buf\[1\] +*23085 user_irq_ena_buf\[2\] +*23086 user_irq_gates\[0\] +*23087 user_irq_gates\[1\] +*23088 user_irq_gates\[2\] +*23089 user_to_mprj_in_buffers\[0\] +*23090 user_to_mprj_in_buffers\[100\] +*23091 user_to_mprj_in_buffers\[101\] +*23092 user_to_mprj_in_buffers\[102\] +*23093 user_to_mprj_in_buffers\[103\] +*23094 user_to_mprj_in_buffers\[104\] +*23095 user_to_mprj_in_buffers\[105\] +*23096 user_to_mprj_in_buffers\[106\] +*23097 user_to_mprj_in_buffers\[107\] +*23098 user_to_mprj_in_buffers\[108\] +*23099 user_to_mprj_in_buffers\[109\] +*23100 user_to_mprj_in_buffers\[10\] +*23101 user_to_mprj_in_buffers\[110\] +*23102 user_to_mprj_in_buffers\[111\] +*23103 user_to_mprj_in_buffers\[112\] +*23104 user_to_mprj_in_buffers\[113\] +*23105 user_to_mprj_in_buffers\[114\] +*23106 user_to_mprj_in_buffers\[115\] +*23107 user_to_mprj_in_buffers\[116\] +*23108 user_to_mprj_in_buffers\[117\] +*23109 user_to_mprj_in_buffers\[118\] +*23110 user_to_mprj_in_buffers\[119\] +*23111 user_to_mprj_in_buffers\[11\] +*23112 user_to_mprj_in_buffers\[120\] +*23113 user_to_mprj_in_buffers\[121\] +*23114 user_to_mprj_in_buffers\[122\] +*23115 user_to_mprj_in_buffers\[123\] +*23116 user_to_mprj_in_buffers\[124\] +*23117 user_to_mprj_in_buffers\[125\] +*23118 user_to_mprj_in_buffers\[126\] +*23119 user_to_mprj_in_buffers\[127\] +*23120 user_to_mprj_in_buffers\[12\] +*23121 user_to_mprj_in_buffers\[13\] +*23122 user_to_mprj_in_buffers\[14\] +*23123 user_to_mprj_in_buffers\[15\] +*23124 user_to_mprj_in_buffers\[16\] +*23125 user_to_mprj_in_buffers\[17\] +*23126 user_to_mprj_in_buffers\[18\] +*23127 user_to_mprj_in_buffers\[19\] +*23128 user_to_mprj_in_buffers\[1\] +*23129 user_to_mprj_in_buffers\[20\] +*23130 user_to_mprj_in_buffers\[21\] +*23131 user_to_mprj_in_buffers\[22\] +*23132 user_to_mprj_in_buffers\[23\] +*23133 user_to_mprj_in_buffers\[24\] +*23134 user_to_mprj_in_buffers\[25\] +*23135 user_to_mprj_in_buffers\[26\] +*23136 user_to_mprj_in_buffers\[27\] +*23137 user_to_mprj_in_buffers\[28\] +*23138 user_to_mprj_in_buffers\[29\] +*23139 user_to_mprj_in_buffers\[2\] +*23140 user_to_mprj_in_buffers\[30\] +*23141 user_to_mprj_in_buffers\[31\] +*23142 user_to_mprj_in_buffers\[32\] +*23143 user_to_mprj_in_buffers\[33\] +*23144 user_to_mprj_in_buffers\[34\] +*23145 user_to_mprj_in_buffers\[35\] +*23146 user_to_mprj_in_buffers\[36\] +*23147 user_to_mprj_in_buffers\[37\] +*23148 user_to_mprj_in_buffers\[38\] +*23149 user_to_mprj_in_buffers\[39\] +*23150 user_to_mprj_in_buffers\[3\] +*23151 user_to_mprj_in_buffers\[40\] +*23152 user_to_mprj_in_buffers\[41\] +*23153 user_to_mprj_in_buffers\[42\] +*23154 user_to_mprj_in_buffers\[43\] +*23155 user_to_mprj_in_buffers\[44\] +*23156 user_to_mprj_in_buffers\[45\] +*23157 user_to_mprj_in_buffers\[46\] +*23158 user_to_mprj_in_buffers\[47\] +*23159 user_to_mprj_in_buffers\[48\] +*23160 user_to_mprj_in_buffers\[49\] +*23161 user_to_mprj_in_buffers\[4\] +*23162 user_to_mprj_in_buffers\[50\] +*23163 user_to_mprj_in_buffers\[51\] +*23164 user_to_mprj_in_buffers\[52\] +*23165 user_to_mprj_in_buffers\[53\] +*23166 user_to_mprj_in_buffers\[54\] +*23167 user_to_mprj_in_buffers\[55\] +*23168 user_to_mprj_in_buffers\[56\] +*23169 user_to_mprj_in_buffers\[57\] +*23170 user_to_mprj_in_buffers\[58\] +*23171 user_to_mprj_in_buffers\[59\] +*23172 user_to_mprj_in_buffers\[5\] +*23173 user_to_mprj_in_buffers\[60\] +*23174 user_to_mprj_in_buffers\[61\] +*23175 user_to_mprj_in_buffers\[62\] +*23176 user_to_mprj_in_buffers\[63\] +*23177 user_to_mprj_in_buffers\[64\] +*23178 user_to_mprj_in_buffers\[65\] +*23179 user_to_mprj_in_buffers\[66\] +*23180 user_to_mprj_in_buffers\[67\] +*23181 user_to_mprj_in_buffers\[68\] +*23182 user_to_mprj_in_buffers\[69\] +*23183 user_to_mprj_in_buffers\[6\] +*23184 user_to_mprj_in_buffers\[70\] +*23185 user_to_mprj_in_buffers\[71\] +*23186 user_to_mprj_in_buffers\[72\] +*23187 user_to_mprj_in_buffers\[73\] +*23188 user_to_mprj_in_buffers\[74\] +*23189 user_to_mprj_in_buffers\[75\] +*23190 user_to_mprj_in_buffers\[76\] +*23191 user_to_mprj_in_buffers\[77\] +*23192 user_to_mprj_in_buffers\[78\] +*23193 user_to_mprj_in_buffers\[79\] +*23194 user_to_mprj_in_buffers\[7\] +*23195 user_to_mprj_in_buffers\[80\] +*23196 user_to_mprj_in_buffers\[81\] +*23197 user_to_mprj_in_buffers\[82\] +*23198 user_to_mprj_in_buffers\[83\] +*23199 user_to_mprj_in_buffers\[84\] +*23200 user_to_mprj_in_buffers\[85\] +*23201 user_to_mprj_in_buffers\[86\] +*23202 user_to_mprj_in_buffers\[87\] +*23203 user_to_mprj_in_buffers\[88\] +*23204 user_to_mprj_in_buffers\[89\] +*23205 user_to_mprj_in_buffers\[8\] +*23206 user_to_mprj_in_buffers\[90\] +*23207 user_to_mprj_in_buffers\[91\] +*23208 user_to_mprj_in_buffers\[92\] +*23209 user_to_mprj_in_buffers\[93\] +*23210 user_to_mprj_in_buffers\[94\] +*23211 user_to_mprj_in_buffers\[95\] +*23212 user_to_mprj_in_buffers\[96\] +*23213 user_to_mprj_in_buffers\[97\] +*23214 user_to_mprj_in_buffers\[98\] +*23215 user_to_mprj_in_buffers\[99\] +*23216 user_to_mprj_in_buffers\[9\] +*23217 user_to_mprj_in_ena_buf\[0\] +*23218 user_to_mprj_in_ena_buf\[100\] +*23219 user_to_mprj_in_ena_buf\[101\] +*23220 user_to_mprj_in_ena_buf\[102\] +*23221 user_to_mprj_in_ena_buf\[103\] +*23222 user_to_mprj_in_ena_buf\[104\] +*23223 user_to_mprj_in_ena_buf\[105\] +*23224 user_to_mprj_in_ena_buf\[106\] +*23225 user_to_mprj_in_ena_buf\[107\] +*23226 user_to_mprj_in_ena_buf\[108\] +*23227 user_to_mprj_in_ena_buf\[109\] +*23228 user_to_mprj_in_ena_buf\[10\] +*23229 user_to_mprj_in_ena_buf\[110\] +*23230 user_to_mprj_in_ena_buf\[111\] +*23231 user_to_mprj_in_ena_buf\[112\] +*23232 user_to_mprj_in_ena_buf\[113\] +*23233 user_to_mprj_in_ena_buf\[114\] +*23234 user_to_mprj_in_ena_buf\[115\] +*23235 user_to_mprj_in_ena_buf\[116\] +*23236 user_to_mprj_in_ena_buf\[117\] +*23237 user_to_mprj_in_ena_buf\[118\] +*23238 user_to_mprj_in_ena_buf\[119\] +*23239 user_to_mprj_in_ena_buf\[11\] +*23240 user_to_mprj_in_ena_buf\[120\] +*23241 user_to_mprj_in_ena_buf\[121\] +*23242 user_to_mprj_in_ena_buf\[122\] +*23243 user_to_mprj_in_ena_buf\[123\] +*23244 user_to_mprj_in_ena_buf\[124\] +*23245 user_to_mprj_in_ena_buf\[125\] +*23246 user_to_mprj_in_ena_buf\[126\] +*23247 user_to_mprj_in_ena_buf\[127\] +*23248 user_to_mprj_in_ena_buf\[12\] +*23249 user_to_mprj_in_ena_buf\[13\] +*23250 user_to_mprj_in_ena_buf\[14\] +*23251 user_to_mprj_in_ena_buf\[15\] +*23252 user_to_mprj_in_ena_buf\[16\] +*23253 user_to_mprj_in_ena_buf\[17\] +*23254 user_to_mprj_in_ena_buf\[18\] +*23255 user_to_mprj_in_ena_buf\[19\] +*23256 user_to_mprj_in_ena_buf\[1\] +*23257 user_to_mprj_in_ena_buf\[20\] +*23258 user_to_mprj_in_ena_buf\[21\] +*23259 user_to_mprj_in_ena_buf\[22\] +*23260 user_to_mprj_in_ena_buf\[23\] +*23261 user_to_mprj_in_ena_buf\[24\] +*23262 user_to_mprj_in_ena_buf\[25\] +*23263 user_to_mprj_in_ena_buf\[26\] +*23264 user_to_mprj_in_ena_buf\[27\] +*23265 user_to_mprj_in_ena_buf\[28\] +*23266 user_to_mprj_in_ena_buf\[29\] +*23267 user_to_mprj_in_ena_buf\[2\] +*23268 user_to_mprj_in_ena_buf\[30\] +*23269 user_to_mprj_in_ena_buf\[31\] +*23270 user_to_mprj_in_ena_buf\[32\] +*23271 user_to_mprj_in_ena_buf\[33\] +*23272 user_to_mprj_in_ena_buf\[34\] +*23273 user_to_mprj_in_ena_buf\[35\] +*23274 user_to_mprj_in_ena_buf\[36\] +*23275 user_to_mprj_in_ena_buf\[37\] +*23276 user_to_mprj_in_ena_buf\[38\] +*23277 user_to_mprj_in_ena_buf\[39\] +*23278 user_to_mprj_in_ena_buf\[3\] +*23279 user_to_mprj_in_ena_buf\[40\] +*23280 user_to_mprj_in_ena_buf\[41\] +*23281 user_to_mprj_in_ena_buf\[42\] +*23282 user_to_mprj_in_ena_buf\[43\] +*23283 user_to_mprj_in_ena_buf\[44\] +*23284 user_to_mprj_in_ena_buf\[45\] +*23285 user_to_mprj_in_ena_buf\[46\] +*23286 user_to_mprj_in_ena_buf\[47\] +*23287 user_to_mprj_in_ena_buf\[48\] +*23288 user_to_mprj_in_ena_buf\[49\] +*23289 user_to_mprj_in_ena_buf\[4\] +*23290 user_to_mprj_in_ena_buf\[50\] +*23291 user_to_mprj_in_ena_buf\[51\] +*23292 user_to_mprj_in_ena_buf\[52\] +*23293 user_to_mprj_in_ena_buf\[53\] +*23294 user_to_mprj_in_ena_buf\[54\] +*23295 user_to_mprj_in_ena_buf\[55\] +*23296 user_to_mprj_in_ena_buf\[56\] +*23297 user_to_mprj_in_ena_buf\[57\] +*23298 user_to_mprj_in_ena_buf\[58\] +*23299 user_to_mprj_in_ena_buf\[59\] +*23300 user_to_mprj_in_ena_buf\[5\] +*23301 user_to_mprj_in_ena_buf\[60\] +*23302 user_to_mprj_in_ena_buf\[61\] +*23303 user_to_mprj_in_ena_buf\[62\] +*23304 user_to_mprj_in_ena_buf\[63\] +*23305 user_to_mprj_in_ena_buf\[64\] +*23306 user_to_mprj_in_ena_buf\[65\] +*23307 user_to_mprj_in_ena_buf\[66\] +*23308 user_to_mprj_in_ena_buf\[67\] +*23309 user_to_mprj_in_ena_buf\[68\] +*23310 user_to_mprj_in_ena_buf\[69\] +*23311 user_to_mprj_in_ena_buf\[6\] +*23312 user_to_mprj_in_ena_buf\[70\] +*23313 user_to_mprj_in_ena_buf\[71\] +*23314 user_to_mprj_in_ena_buf\[72\] +*23315 user_to_mprj_in_ena_buf\[73\] +*23316 user_to_mprj_in_ena_buf\[74\] +*23317 user_to_mprj_in_ena_buf\[75\] +*23318 user_to_mprj_in_ena_buf\[76\] +*23319 user_to_mprj_in_ena_buf\[77\] +*23320 user_to_mprj_in_ena_buf\[78\] +*23321 user_to_mprj_in_ena_buf\[79\] +*23322 user_to_mprj_in_ena_buf\[7\] +*23323 user_to_mprj_in_ena_buf\[80\] +*23324 user_to_mprj_in_ena_buf\[81\] +*23325 user_to_mprj_in_ena_buf\[82\] +*23326 user_to_mprj_in_ena_buf\[83\] +*23327 user_to_mprj_in_ena_buf\[84\] +*23328 user_to_mprj_in_ena_buf\[85\] +*23329 user_to_mprj_in_ena_buf\[86\] +*23330 user_to_mprj_in_ena_buf\[87\] +*23331 user_to_mprj_in_ena_buf\[88\] +*23332 user_to_mprj_in_ena_buf\[89\] +*23333 user_to_mprj_in_ena_buf\[8\] +*23334 user_to_mprj_in_ena_buf\[90\] +*23335 user_to_mprj_in_ena_buf\[91\] +*23336 user_to_mprj_in_ena_buf\[92\] +*23337 user_to_mprj_in_ena_buf\[93\] +*23338 user_to_mprj_in_ena_buf\[94\] +*23339 user_to_mprj_in_ena_buf\[95\] +*23340 user_to_mprj_in_ena_buf\[96\] +*23341 user_to_mprj_in_ena_buf\[97\] +*23342 user_to_mprj_in_ena_buf\[98\] +*23343 user_to_mprj_in_ena_buf\[99\] +*23344 user_to_mprj_in_ena_buf\[9\] +*23345 user_to_mprj_in_gates\[0\] +*23346 user_to_mprj_in_gates\[100\] +*23347 user_to_mprj_in_gates\[101\] +*23348 user_to_mprj_in_gates\[102\] +*23349 user_to_mprj_in_gates\[103\] +*23350 user_to_mprj_in_gates\[104\] +*23351 user_to_mprj_in_gates\[105\] +*23352 user_to_mprj_in_gates\[106\] +*23353 user_to_mprj_in_gates\[107\] +*23354 user_to_mprj_in_gates\[108\] +*23355 user_to_mprj_in_gates\[109\] +*23356 user_to_mprj_in_gates\[10\] +*23357 user_to_mprj_in_gates\[110\] +*23358 user_to_mprj_in_gates\[111\] +*23359 user_to_mprj_in_gates\[112\] +*23360 user_to_mprj_in_gates\[113\] +*23361 user_to_mprj_in_gates\[114\] +*23362 user_to_mprj_in_gates\[115\] +*23363 user_to_mprj_in_gates\[116\] +*23364 user_to_mprj_in_gates\[117\] +*23365 user_to_mprj_in_gates\[118\] +*23366 user_to_mprj_in_gates\[119\] +*23367 user_to_mprj_in_gates\[11\] +*23368 user_to_mprj_in_gates\[120\] +*23369 user_to_mprj_in_gates\[121\] +*23370 user_to_mprj_in_gates\[122\] +*23371 user_to_mprj_in_gates\[123\] +*23372 user_to_mprj_in_gates\[124\] +*23373 user_to_mprj_in_gates\[125\] +*23374 user_to_mprj_in_gates\[126\] +*23375 user_to_mprj_in_gates\[127\] +*23376 user_to_mprj_in_gates\[12\] +*23377 user_to_mprj_in_gates\[13\] +*23378 user_to_mprj_in_gates\[14\] +*23379 user_to_mprj_in_gates\[15\] +*23380 user_to_mprj_in_gates\[16\] +*23381 user_to_mprj_in_gates\[17\] +*23382 user_to_mprj_in_gates\[18\] +*23383 user_to_mprj_in_gates\[19\] +*23384 user_to_mprj_in_gates\[1\] +*23385 user_to_mprj_in_gates\[20\] +*23386 user_to_mprj_in_gates\[21\] +*23387 user_to_mprj_in_gates\[22\] +*23388 user_to_mprj_in_gates\[23\] +*23389 user_to_mprj_in_gates\[24\] +*23390 user_to_mprj_in_gates\[25\] +*23391 user_to_mprj_in_gates\[26\] +*23392 user_to_mprj_in_gates\[27\] +*23393 user_to_mprj_in_gates\[28\] +*23394 user_to_mprj_in_gates\[29\] +*23395 user_to_mprj_in_gates\[2\] +*23396 user_to_mprj_in_gates\[30\] +*23397 user_to_mprj_in_gates\[31\] +*23398 user_to_mprj_in_gates\[32\] +*23399 user_to_mprj_in_gates\[33\] +*23400 user_to_mprj_in_gates\[34\] +*23401 user_to_mprj_in_gates\[35\] +*23402 user_to_mprj_in_gates\[36\] +*23403 user_to_mprj_in_gates\[37\] +*23404 user_to_mprj_in_gates\[38\] +*23405 user_to_mprj_in_gates\[39\] +*23406 user_to_mprj_in_gates\[3\] +*23407 user_to_mprj_in_gates\[40\] +*23408 user_to_mprj_in_gates\[41\] +*23409 user_to_mprj_in_gates\[42\] +*23410 user_to_mprj_in_gates\[43\] +*23411 user_to_mprj_in_gates\[44\] +*23412 user_to_mprj_in_gates\[45\] +*23413 user_to_mprj_in_gates\[46\] +*23414 user_to_mprj_in_gates\[47\] +*23415 user_to_mprj_in_gates\[48\] +*23416 user_to_mprj_in_gates\[49\] +*23417 user_to_mprj_in_gates\[4\] +*23418 user_to_mprj_in_gates\[50\] +*23419 user_to_mprj_in_gates\[51\] +*23420 user_to_mprj_in_gates\[52\] +*23421 user_to_mprj_in_gates\[53\] +*23422 user_to_mprj_in_gates\[54\] +*23423 user_to_mprj_in_gates\[55\] +*23424 user_to_mprj_in_gates\[56\] +*23425 user_to_mprj_in_gates\[57\] +*23426 user_to_mprj_in_gates\[58\] +*23427 user_to_mprj_in_gates\[59\] +*23428 user_to_mprj_in_gates\[5\] +*23429 user_to_mprj_in_gates\[60\] +*23430 user_to_mprj_in_gates\[61\] +*23431 user_to_mprj_in_gates\[62\] +*23432 user_to_mprj_in_gates\[63\] +*23433 user_to_mprj_in_gates\[64\] +*23434 user_to_mprj_in_gates\[65\] +*23435 user_to_mprj_in_gates\[66\] +*23436 user_to_mprj_in_gates\[67\] +*23437 user_to_mprj_in_gates\[68\] +*23438 user_to_mprj_in_gates\[69\] +*23439 user_to_mprj_in_gates\[6\] +*23440 user_to_mprj_in_gates\[70\] +*23441 user_to_mprj_in_gates\[71\] +*23442 user_to_mprj_in_gates\[72\] +*23443 user_to_mprj_in_gates\[73\] +*23444 user_to_mprj_in_gates\[74\] +*23445 user_to_mprj_in_gates\[75\] +*23446 user_to_mprj_in_gates\[76\] +*23447 user_to_mprj_in_gates\[77\] +*23448 user_to_mprj_in_gates\[78\] +*23449 user_to_mprj_in_gates\[79\] +*23450 user_to_mprj_in_gates\[7\] +*23451 user_to_mprj_in_gates\[80\] +*23452 user_to_mprj_in_gates\[81\] +*23453 user_to_mprj_in_gates\[82\] +*23454 user_to_mprj_in_gates\[83\] +*23455 user_to_mprj_in_gates\[84\] +*23456 user_to_mprj_in_gates\[85\] +*23457 user_to_mprj_in_gates\[86\] +*23458 user_to_mprj_in_gates\[87\] +*23459 user_to_mprj_in_gates\[88\] +*23460 user_to_mprj_in_gates\[89\] +*23461 user_to_mprj_in_gates\[8\] +*23462 user_to_mprj_in_gates\[90\] +*23463 user_to_mprj_in_gates\[91\] +*23464 user_to_mprj_in_gates\[92\] +*23465 user_to_mprj_in_gates\[93\] +*23466 user_to_mprj_in_gates\[94\] +*23467 user_to_mprj_in_gates\[95\] +*23468 user_to_mprj_in_gates\[96\] +*23469 user_to_mprj_in_gates\[97\] +*23470 user_to_mprj_in_gates\[98\] +*23471 user_to_mprj_in_gates\[99\] +*23472 user_to_mprj_in_gates\[9\] +*23473 user_to_mprj_oen_buffers\[0\] +*23474 user_to_mprj_oen_buffers\[100\] +*23475 user_to_mprj_oen_buffers\[101\] +*23476 user_to_mprj_oen_buffers\[102\] +*23477 user_to_mprj_oen_buffers\[103\] +*23478 user_to_mprj_oen_buffers\[104\] +*23479 user_to_mprj_oen_buffers\[105\] +*23480 user_to_mprj_oen_buffers\[106\] +*23481 user_to_mprj_oen_buffers\[107\] +*23482 user_to_mprj_oen_buffers\[108\] +*23483 user_to_mprj_oen_buffers\[109\] +*23484 user_to_mprj_oen_buffers\[10\] +*23485 user_to_mprj_oen_buffers\[110\] +*23486 user_to_mprj_oen_buffers\[111\] +*23487 user_to_mprj_oen_buffers\[112\] +*23488 user_to_mprj_oen_buffers\[113\] +*23489 user_to_mprj_oen_buffers\[114\] +*23490 user_to_mprj_oen_buffers\[115\] +*23491 user_to_mprj_oen_buffers\[116\] +*23492 user_to_mprj_oen_buffers\[117\] +*23493 user_to_mprj_oen_buffers\[118\] +*23494 user_to_mprj_oen_buffers\[119\] +*23495 user_to_mprj_oen_buffers\[11\] +*23496 user_to_mprj_oen_buffers\[120\] +*23497 user_to_mprj_oen_buffers\[121\] +*23498 user_to_mprj_oen_buffers\[122\] +*23499 user_to_mprj_oen_buffers\[123\] +*23500 user_to_mprj_oen_buffers\[124\] +*23501 user_to_mprj_oen_buffers\[125\] +*23502 user_to_mprj_oen_buffers\[126\] +*23503 user_to_mprj_oen_buffers\[127\] +*23504 user_to_mprj_oen_buffers\[12\] +*23505 user_to_mprj_oen_buffers\[13\] +*23506 user_to_mprj_oen_buffers\[14\] +*23507 user_to_mprj_oen_buffers\[15\] +*23508 user_to_mprj_oen_buffers\[16\] +*23509 user_to_mprj_oen_buffers\[17\] +*23510 user_to_mprj_oen_buffers\[18\] +*23511 user_to_mprj_oen_buffers\[19\] +*23512 user_to_mprj_oen_buffers\[1\] +*23513 user_to_mprj_oen_buffers\[20\] +*23514 user_to_mprj_oen_buffers\[21\] +*23515 user_to_mprj_oen_buffers\[22\] +*23516 user_to_mprj_oen_buffers\[23\] +*23517 user_to_mprj_oen_buffers\[24\] +*23518 user_to_mprj_oen_buffers\[25\] +*23519 user_to_mprj_oen_buffers\[26\] +*23520 user_to_mprj_oen_buffers\[27\] +*23521 user_to_mprj_oen_buffers\[28\] +*23522 user_to_mprj_oen_buffers\[29\] +*23523 user_to_mprj_oen_buffers\[2\] +*23524 user_to_mprj_oen_buffers\[30\] +*23525 user_to_mprj_oen_buffers\[31\] +*23526 user_to_mprj_oen_buffers\[32\] +*23527 user_to_mprj_oen_buffers\[33\] +*23528 user_to_mprj_oen_buffers\[34\] +*23529 user_to_mprj_oen_buffers\[35\] +*23530 user_to_mprj_oen_buffers\[36\] +*23531 user_to_mprj_oen_buffers\[37\] +*23532 user_to_mprj_oen_buffers\[38\] +*23533 user_to_mprj_oen_buffers\[39\] +*23534 user_to_mprj_oen_buffers\[3\] +*23535 user_to_mprj_oen_buffers\[40\] +*23536 user_to_mprj_oen_buffers\[41\] +*23537 user_to_mprj_oen_buffers\[42\] +*23538 user_to_mprj_oen_buffers\[43\] +*23539 user_to_mprj_oen_buffers\[44\] +*23540 user_to_mprj_oen_buffers\[45\] +*23541 user_to_mprj_oen_buffers\[46\] +*23542 user_to_mprj_oen_buffers\[47\] +*23543 user_to_mprj_oen_buffers\[48\] +*23544 user_to_mprj_oen_buffers\[49\] +*23545 user_to_mprj_oen_buffers\[4\] +*23546 user_to_mprj_oen_buffers\[50\] +*23547 user_to_mprj_oen_buffers\[51\] +*23548 user_to_mprj_oen_buffers\[52\] +*23549 user_to_mprj_oen_buffers\[53\] +*23550 user_to_mprj_oen_buffers\[54\] +*23551 user_to_mprj_oen_buffers\[55\] +*23552 user_to_mprj_oen_buffers\[56\] +*23553 user_to_mprj_oen_buffers\[57\] +*23554 user_to_mprj_oen_buffers\[58\] +*23555 user_to_mprj_oen_buffers\[59\] +*23556 user_to_mprj_oen_buffers\[5\] +*23557 user_to_mprj_oen_buffers\[60\] +*23558 user_to_mprj_oen_buffers\[61\] +*23559 user_to_mprj_oen_buffers\[62\] +*23560 user_to_mprj_oen_buffers\[63\] +*23561 user_to_mprj_oen_buffers\[64\] +*23562 user_to_mprj_oen_buffers\[65\] +*23563 user_to_mprj_oen_buffers\[66\] +*23564 user_to_mprj_oen_buffers\[67\] +*23565 user_to_mprj_oen_buffers\[68\] +*23566 user_to_mprj_oen_buffers\[69\] +*23567 user_to_mprj_oen_buffers\[6\] +*23568 user_to_mprj_oen_buffers\[70\] +*23569 user_to_mprj_oen_buffers\[71\] +*23570 user_to_mprj_oen_buffers\[72\] +*23571 user_to_mprj_oen_buffers\[73\] +*23572 user_to_mprj_oen_buffers\[74\] +*23573 user_to_mprj_oen_buffers\[75\] +*23574 user_to_mprj_oen_buffers\[76\] +*23575 user_to_mprj_oen_buffers\[77\] +*23576 user_to_mprj_oen_buffers\[78\] +*23577 user_to_mprj_oen_buffers\[79\] +*23578 user_to_mprj_oen_buffers\[7\] +*23579 user_to_mprj_oen_buffers\[80\] +*23580 user_to_mprj_oen_buffers\[81\] +*23581 user_to_mprj_oen_buffers\[82\] +*23582 user_to_mprj_oen_buffers\[83\] +*23583 user_to_mprj_oen_buffers\[84\] +*23584 user_to_mprj_oen_buffers\[85\] +*23585 user_to_mprj_oen_buffers\[86\] +*23586 user_to_mprj_oen_buffers\[87\] +*23587 user_to_mprj_oen_buffers\[88\] +*23588 user_to_mprj_oen_buffers\[89\] +*23589 user_to_mprj_oen_buffers\[8\] +*23590 user_to_mprj_oen_buffers\[90\] +*23591 user_to_mprj_oen_buffers\[91\] +*23592 user_to_mprj_oen_buffers\[92\] +*23593 user_to_mprj_oen_buffers\[93\] +*23594 user_to_mprj_oen_buffers\[94\] +*23595 user_to_mprj_oen_buffers\[95\] +*23596 user_to_mprj_oen_buffers\[96\] +*23597 user_to_mprj_oen_buffers\[97\] +*23598 user_to_mprj_oen_buffers\[98\] +*23599 user_to_mprj_oen_buffers\[99\] +*23600 user_to_mprj_oen_buffers\[9\] +*23601 user_to_mprj_wb_ena_buf +*23602 user_wb_ack_buffer +*23603 user_wb_ack_gate +*23604 user_wb_dat_buffers\[0\] +*23605 user_wb_dat_buffers\[10\] +*23606 user_wb_dat_buffers\[11\] +*23607 user_wb_dat_buffers\[12\] +*23608 user_wb_dat_buffers\[13\] +*23609 user_wb_dat_buffers\[14\] +*23610 user_wb_dat_buffers\[15\] +*23611 user_wb_dat_buffers\[16\] +*23612 user_wb_dat_buffers\[17\] +*23613 user_wb_dat_buffers\[18\] +*23614 user_wb_dat_buffers\[19\] +*23615 user_wb_dat_buffers\[1\] +*23616 user_wb_dat_buffers\[20\] +*23617 user_wb_dat_buffers\[21\] +*23618 user_wb_dat_buffers\[22\] +*23619 user_wb_dat_buffers\[23\] +*23620 user_wb_dat_buffers\[24\] +*23621 user_wb_dat_buffers\[25\] +*23622 user_wb_dat_buffers\[26\] +*23623 user_wb_dat_buffers\[27\] +*23624 user_wb_dat_buffers\[28\] +*23625 user_wb_dat_buffers\[29\] +*23626 user_wb_dat_buffers\[2\] +*23627 user_wb_dat_buffers\[30\] +*23628 user_wb_dat_buffers\[31\] +*23629 user_wb_dat_buffers\[3\] +*23630 user_wb_dat_buffers\[4\] +*23631 user_wb_dat_buffers\[5\] +*23632 user_wb_dat_buffers\[6\] +*23633 user_wb_dat_buffers\[7\] +*23634 user_wb_dat_buffers\[8\] +*23635 user_wb_dat_buffers\[9\] +*23636 user_wb_dat_gates\[0\] +*23637 user_wb_dat_gates\[10\] +*23638 user_wb_dat_gates\[11\] +*23639 user_wb_dat_gates\[12\] +*23640 user_wb_dat_gates\[13\] +*23641 user_wb_dat_gates\[14\] +*23642 user_wb_dat_gates\[15\] +*23643 user_wb_dat_gates\[16\] +*23644 user_wb_dat_gates\[17\] +*23645 user_wb_dat_gates\[18\] +*23646 user_wb_dat_gates\[19\] +*23647 user_wb_dat_gates\[1\] +*23648 user_wb_dat_gates\[20\] +*23649 user_wb_dat_gates\[21\] +*23650 user_wb_dat_gates\[22\] +*23651 user_wb_dat_gates\[23\] +*23652 user_wb_dat_gates\[24\] +*23653 user_wb_dat_gates\[25\] +*23654 user_wb_dat_gates\[26\] +*23655 user_wb_dat_gates\[27\] +*23656 user_wb_dat_gates\[28\] +*23657 user_wb_dat_gates\[29\] +*23658 user_wb_dat_gates\[2\] +*23659 user_wb_dat_gates\[30\] +*23660 user_wb_dat_gates\[31\] +*23661 user_wb_dat_gates\[3\] +*23662 user_wb_dat_gates\[4\] +*23663 user_wb_dat_gates\[5\] +*23664 user_wb_dat_gates\[6\] +*23665 user_wb_dat_gates\[7\] +*23666 user_wb_dat_gates\[8\] +*23667 user_wb_dat_gates\[9\] + +*PORTS +caravel_clk I +caravel_clk2 I +caravel_rstn I +la_data_in_core[0] O +la_data_in_core[100] O +la_data_in_core[101] O +la_data_in_core[102] O +la_data_in_core[103] O +la_data_in_core[104] O +la_data_in_core[105] O +la_data_in_core[106] O +la_data_in_core[107] O +la_data_in_core[108] O +la_data_in_core[109] O +la_data_in_core[10] O +la_data_in_core[110] O +la_data_in_core[111] O +la_data_in_core[112] O +la_data_in_core[113] O +la_data_in_core[114] O +la_data_in_core[115] O +la_data_in_core[116] O +la_data_in_core[117] O +la_data_in_core[118] O +la_data_in_core[119] O +la_data_in_core[11] O +la_data_in_core[120] O +la_data_in_core[121] O +la_data_in_core[122] O +la_data_in_core[123] O +la_data_in_core[124] O +la_data_in_core[125] O +la_data_in_core[126] O +la_data_in_core[127] O +la_data_in_core[12] O +la_data_in_core[13] O +la_data_in_core[14] O +la_data_in_core[15] O +la_data_in_core[16] O +la_data_in_core[17] O +la_data_in_core[18] O +la_data_in_core[19] O +la_data_in_core[1] O +la_data_in_core[20] O +la_data_in_core[21] O +la_data_in_core[22] O +la_data_in_core[23] O +la_data_in_core[24] O +la_data_in_core[25] O +la_data_in_core[26] O +la_data_in_core[27] O +la_data_in_core[28] O +la_data_in_core[29] O +la_data_in_core[2] O +la_data_in_core[30] O +la_data_in_core[31] O +la_data_in_core[32] O +la_data_in_core[33] O +la_data_in_core[34] O +la_data_in_core[35] O +la_data_in_core[36] O +la_data_in_core[37] O +la_data_in_core[38] O +la_data_in_core[39] O +la_data_in_core[3] O +la_data_in_core[40] O +la_data_in_core[41] O +la_data_in_core[42] O +la_data_in_core[43] O +la_data_in_core[44] O +la_data_in_core[45] O +la_data_in_core[46] O +la_data_in_core[47] O +la_data_in_core[48] O +la_data_in_core[49] O +la_data_in_core[4] O +la_data_in_core[50] O +la_data_in_core[51] O +la_data_in_core[52] O +la_data_in_core[53] O +la_data_in_core[54] O +la_data_in_core[55] O +la_data_in_core[56] O +la_data_in_core[57] O +la_data_in_core[58] O +la_data_in_core[59] O +la_data_in_core[5] O +la_data_in_core[60] O +la_data_in_core[61] O +la_data_in_core[62] O +la_data_in_core[63] O +la_data_in_core[64] O +la_data_in_core[65] O +la_data_in_core[66] O +la_data_in_core[67] O +la_data_in_core[68] O +la_data_in_core[69] O +la_data_in_core[6] O +la_data_in_core[70] O +la_data_in_core[71] O +la_data_in_core[72] O +la_data_in_core[73] O +la_data_in_core[74] O +la_data_in_core[75] O +la_data_in_core[76] O +la_data_in_core[77] O +la_data_in_core[78] O +la_data_in_core[79] O +la_data_in_core[7] O +la_data_in_core[80] O +la_data_in_core[81] O +la_data_in_core[82] O +la_data_in_core[83] O +la_data_in_core[84] O +la_data_in_core[85] O +la_data_in_core[86] O +la_data_in_core[87] O +la_data_in_core[88] O +la_data_in_core[89] O +la_data_in_core[8] O +la_data_in_core[90] O +la_data_in_core[91] O +la_data_in_core[92] O +la_data_in_core[93] O +la_data_in_core[94] O +la_data_in_core[95] O +la_data_in_core[96] O +la_data_in_core[97] O +la_data_in_core[98] O +la_data_in_core[99] O +la_data_in_core[9] O +la_data_in_mprj[0] O +la_data_in_mprj[100] O +la_data_in_mprj[101] O +la_data_in_mprj[102] O +la_data_in_mprj[103] O +la_data_in_mprj[104] O +la_data_in_mprj[105] O +la_data_in_mprj[106] O +la_data_in_mprj[107] O +la_data_in_mprj[108] O +la_data_in_mprj[109] O +la_data_in_mprj[10] O +la_data_in_mprj[110] O +la_data_in_mprj[111] O +la_data_in_mprj[112] O +la_data_in_mprj[113] O +la_data_in_mprj[114] O +la_data_in_mprj[115] O +la_data_in_mprj[116] O +la_data_in_mprj[117] O +la_data_in_mprj[118] O +la_data_in_mprj[119] O +la_data_in_mprj[11] O +la_data_in_mprj[120] O +la_data_in_mprj[121] O +la_data_in_mprj[122] O +la_data_in_mprj[123] O +la_data_in_mprj[124] O +la_data_in_mprj[125] O +la_data_in_mprj[126] O +la_data_in_mprj[127] O +la_data_in_mprj[12] O +la_data_in_mprj[13] O +la_data_in_mprj[14] O +la_data_in_mprj[15] O +la_data_in_mprj[16] O +la_data_in_mprj[17] O +la_data_in_mprj[18] O +la_data_in_mprj[19] O +la_data_in_mprj[1] O +la_data_in_mprj[20] O +la_data_in_mprj[21] O +la_data_in_mprj[22] O +la_data_in_mprj[23] O +la_data_in_mprj[24] O +la_data_in_mprj[25] O +la_data_in_mprj[26] O +la_data_in_mprj[27] O +la_data_in_mprj[28] O +la_data_in_mprj[29] O +la_data_in_mprj[2] O +la_data_in_mprj[30] O +la_data_in_mprj[31] O +la_data_in_mprj[32] O +la_data_in_mprj[33] O +la_data_in_mprj[34] O +la_data_in_mprj[35] O +la_data_in_mprj[36] O +la_data_in_mprj[37] O +la_data_in_mprj[38] O +la_data_in_mprj[39] O +la_data_in_mprj[3] O +la_data_in_mprj[40] O +la_data_in_mprj[41] O +la_data_in_mprj[42] O +la_data_in_mprj[43] O +la_data_in_mprj[44] O +la_data_in_mprj[45] O +la_data_in_mprj[46] O +la_data_in_mprj[47] O +la_data_in_mprj[48] O +la_data_in_mprj[49] O +la_data_in_mprj[4] O +la_data_in_mprj[50] O +la_data_in_mprj[51] O +la_data_in_mprj[52] O +la_data_in_mprj[53] O +la_data_in_mprj[54] O +la_data_in_mprj[55] O +la_data_in_mprj[56] O +la_data_in_mprj[57] O +la_data_in_mprj[58] O +la_data_in_mprj[59] O +la_data_in_mprj[5] O +la_data_in_mprj[60] O +la_data_in_mprj[61] O +la_data_in_mprj[62] O +la_data_in_mprj[63] O +la_data_in_mprj[64] O +la_data_in_mprj[65] O +la_data_in_mprj[66] O +la_data_in_mprj[67] O +la_data_in_mprj[68] O +la_data_in_mprj[69] O +la_data_in_mprj[6] O +la_data_in_mprj[70] O +la_data_in_mprj[71] O +la_data_in_mprj[72] O +la_data_in_mprj[73] O +la_data_in_mprj[74] O +la_data_in_mprj[75] O +la_data_in_mprj[76] O +la_data_in_mprj[77] O +la_data_in_mprj[78] O +la_data_in_mprj[79] O +la_data_in_mprj[7] O +la_data_in_mprj[80] O +la_data_in_mprj[81] O +la_data_in_mprj[82] O +la_data_in_mprj[83] O +la_data_in_mprj[84] O +la_data_in_mprj[85] O +la_data_in_mprj[86] O +la_data_in_mprj[87] O +la_data_in_mprj[88] O +la_data_in_mprj[89] O +la_data_in_mprj[8] O +la_data_in_mprj[90] O +la_data_in_mprj[91] O +la_data_in_mprj[92] O +la_data_in_mprj[93] O +la_data_in_mprj[94] O +la_data_in_mprj[95] O +la_data_in_mprj[96] O +la_data_in_mprj[97] O +la_data_in_mprj[98] O +la_data_in_mprj[99] O +la_data_in_mprj[9] O +la_data_out_core[0] I +la_data_out_core[100] I +la_data_out_core[101] I +la_data_out_core[102] I +la_data_out_core[103] I +la_data_out_core[104] I +la_data_out_core[105] I +la_data_out_core[106] I +la_data_out_core[107] I +la_data_out_core[108] I +la_data_out_core[109] I +la_data_out_core[10] I +la_data_out_core[110] I +la_data_out_core[111] I +la_data_out_core[112] I +la_data_out_core[113] I +la_data_out_core[114] I +la_data_out_core[115] I +la_data_out_core[116] I +la_data_out_core[117] I +la_data_out_core[118] I +la_data_out_core[119] I +la_data_out_core[11] I +la_data_out_core[120] I +la_data_out_core[121] I +la_data_out_core[122] I +la_data_out_core[123] I +la_data_out_core[124] I +la_data_out_core[125] I +la_data_out_core[126] I +la_data_out_core[127] I +la_data_out_core[12] I +la_data_out_core[13] I +la_data_out_core[14] I +la_data_out_core[15] I +la_data_out_core[16] I +la_data_out_core[17] I +la_data_out_core[18] I +la_data_out_core[19] I +la_data_out_core[1] I +la_data_out_core[20] I +la_data_out_core[21] I +la_data_out_core[22] I +la_data_out_core[23] I +la_data_out_core[24] I +la_data_out_core[25] I +la_data_out_core[26] I +la_data_out_core[27] I +la_data_out_core[28] I +la_data_out_core[29] I +la_data_out_core[2] I +la_data_out_core[30] I +la_data_out_core[31] I +la_data_out_core[32] I +la_data_out_core[33] I +la_data_out_core[34] I +la_data_out_core[35] I +la_data_out_core[36] I +la_data_out_core[37] I +la_data_out_core[38] I +la_data_out_core[39] I +la_data_out_core[3] I +la_data_out_core[40] I +la_data_out_core[41] I +la_data_out_core[42] I +la_data_out_core[43] I +la_data_out_core[44] I +la_data_out_core[45] I +la_data_out_core[46] I +la_data_out_core[47] I +la_data_out_core[48] I +la_data_out_core[49] I +la_data_out_core[4] I +la_data_out_core[50] I +la_data_out_core[51] I +la_data_out_core[52] I +la_data_out_core[53] I +la_data_out_core[54] I +la_data_out_core[55] I +la_data_out_core[56] I +la_data_out_core[57] I +la_data_out_core[58] I +la_data_out_core[59] I +la_data_out_core[5] I +la_data_out_core[60] I +la_data_out_core[61] I +la_data_out_core[62] I +la_data_out_core[63] I +la_data_out_core[64] I +la_data_out_core[65] I +la_data_out_core[66] I +la_data_out_core[67] I +la_data_out_core[68] I +la_data_out_core[69] I +la_data_out_core[6] I +la_data_out_core[70] I +la_data_out_core[71] I +la_data_out_core[72] I +la_data_out_core[73] I +la_data_out_core[74] I +la_data_out_core[75] I +la_data_out_core[76] I +la_data_out_core[77] I +la_data_out_core[78] I +la_data_out_core[79] I +la_data_out_core[7] I +la_data_out_core[80] I +la_data_out_core[81] I +la_data_out_core[82] I +la_data_out_core[83] I +la_data_out_core[84] I +la_data_out_core[85] I +la_data_out_core[86] I +la_data_out_core[87] I +la_data_out_core[88] I +la_data_out_core[89] I +la_data_out_core[8] I +la_data_out_core[90] I +la_data_out_core[91] I +la_data_out_core[92] I +la_data_out_core[93] I +la_data_out_core[94] I +la_data_out_core[95] I +la_data_out_core[96] I +la_data_out_core[97] I +la_data_out_core[98] I +la_data_out_core[99] I +la_data_out_core[9] I +la_data_out_mprj[0] I +la_data_out_mprj[100] I +la_data_out_mprj[101] I +la_data_out_mprj[102] I +la_data_out_mprj[103] I +la_data_out_mprj[104] I +la_data_out_mprj[105] I +la_data_out_mprj[106] I +la_data_out_mprj[107] I +la_data_out_mprj[108] I +la_data_out_mprj[109] I +la_data_out_mprj[10] I +la_data_out_mprj[110] I +la_data_out_mprj[111] I +la_data_out_mprj[112] I +la_data_out_mprj[113] I +la_data_out_mprj[114] I +la_data_out_mprj[115] I +la_data_out_mprj[116] I +la_data_out_mprj[117] I +la_data_out_mprj[118] I +la_data_out_mprj[119] I +la_data_out_mprj[11] I +la_data_out_mprj[120] I +la_data_out_mprj[121] I +la_data_out_mprj[122] I +la_data_out_mprj[123] I +la_data_out_mprj[124] I +la_data_out_mprj[125] I +la_data_out_mprj[126] I +la_data_out_mprj[127] I +la_data_out_mprj[12] I +la_data_out_mprj[13] I +la_data_out_mprj[14] I +la_data_out_mprj[15] I +la_data_out_mprj[16] I +la_data_out_mprj[17] I +la_data_out_mprj[18] I +la_data_out_mprj[19] I +la_data_out_mprj[1] I +la_data_out_mprj[20] I +la_data_out_mprj[21] I +la_data_out_mprj[22] I +la_data_out_mprj[23] I +la_data_out_mprj[24] I +la_data_out_mprj[25] I +la_data_out_mprj[26] I +la_data_out_mprj[27] I +la_data_out_mprj[28] I +la_data_out_mprj[29] I +la_data_out_mprj[2] I +la_data_out_mprj[30] I +la_data_out_mprj[31] I +la_data_out_mprj[32] I +la_data_out_mprj[33] I +la_data_out_mprj[34] I +la_data_out_mprj[35] I +la_data_out_mprj[36] I +la_data_out_mprj[37] I +la_data_out_mprj[38] I +la_data_out_mprj[39] I +la_data_out_mprj[3] I +la_data_out_mprj[40] I +la_data_out_mprj[41] I +la_data_out_mprj[42] I +la_data_out_mprj[43] I +la_data_out_mprj[44] I +la_data_out_mprj[45] I +la_data_out_mprj[46] I +la_data_out_mprj[47] I +la_data_out_mprj[48] I +la_data_out_mprj[49] I +la_data_out_mprj[4] I +la_data_out_mprj[50] I +la_data_out_mprj[51] I +la_data_out_mprj[52] I +la_data_out_mprj[53] I +la_data_out_mprj[54] I +la_data_out_mprj[55] I +la_data_out_mprj[56] I +la_data_out_mprj[57] I +la_data_out_mprj[58] I +la_data_out_mprj[59] I +la_data_out_mprj[5] I +la_data_out_mprj[60] I +la_data_out_mprj[61] I +la_data_out_mprj[62] I +la_data_out_mprj[63] I +la_data_out_mprj[64] I +la_data_out_mprj[65] I +la_data_out_mprj[66] I +la_data_out_mprj[67] I +la_data_out_mprj[68] I +la_data_out_mprj[69] I +la_data_out_mprj[6] I +la_data_out_mprj[70] I +la_data_out_mprj[71] I +la_data_out_mprj[72] I +la_data_out_mprj[73] I +la_data_out_mprj[74] I +la_data_out_mprj[75] I +la_data_out_mprj[76] I +la_data_out_mprj[77] I +la_data_out_mprj[78] I +la_data_out_mprj[79] I +la_data_out_mprj[7] I +la_data_out_mprj[80] I +la_data_out_mprj[81] I +la_data_out_mprj[82] I +la_data_out_mprj[83] I +la_data_out_mprj[84] I +la_data_out_mprj[85] I +la_data_out_mprj[86] I +la_data_out_mprj[87] I +la_data_out_mprj[88] I +la_data_out_mprj[89] I +la_data_out_mprj[8] I +la_data_out_mprj[90] I +la_data_out_mprj[91] I +la_data_out_mprj[92] I +la_data_out_mprj[93] I +la_data_out_mprj[94] I +la_data_out_mprj[95] I +la_data_out_mprj[96] I +la_data_out_mprj[97] I +la_data_out_mprj[98] I +la_data_out_mprj[99] I +la_data_out_mprj[9] I +la_iena_mprj[0] I +la_iena_mprj[100] I +la_iena_mprj[101] I +la_iena_mprj[102] I +la_iena_mprj[103] I +la_iena_mprj[104] I +la_iena_mprj[105] I +la_iena_mprj[106] I +la_iena_mprj[107] I +la_iena_mprj[108] I +la_iena_mprj[109] I +la_iena_mprj[10] I +la_iena_mprj[110] I +la_iena_mprj[111] I +la_iena_mprj[112] I +la_iena_mprj[113] I +la_iena_mprj[114] I +la_iena_mprj[115] I +la_iena_mprj[116] I +la_iena_mprj[117] I +la_iena_mprj[118] I +la_iena_mprj[119] I +la_iena_mprj[11] I +la_iena_mprj[120] I +la_iena_mprj[121] I +la_iena_mprj[122] I +la_iena_mprj[123] I +la_iena_mprj[124] I +la_iena_mprj[125] I +la_iena_mprj[126] I +la_iena_mprj[127] I +la_iena_mprj[12] I +la_iena_mprj[13] I +la_iena_mprj[14] I +la_iena_mprj[15] I +la_iena_mprj[16] I +la_iena_mprj[17] I +la_iena_mprj[18] I +la_iena_mprj[19] I +la_iena_mprj[1] I +la_iena_mprj[20] I +la_iena_mprj[21] I +la_iena_mprj[22] I +la_iena_mprj[23] I +la_iena_mprj[24] I +la_iena_mprj[25] I +la_iena_mprj[26] I +la_iena_mprj[27] I +la_iena_mprj[28] I +la_iena_mprj[29] I +la_iena_mprj[2] I +la_iena_mprj[30] I +la_iena_mprj[31] I +la_iena_mprj[32] I +la_iena_mprj[33] I +la_iena_mprj[34] I +la_iena_mprj[35] I +la_iena_mprj[36] I +la_iena_mprj[37] I +la_iena_mprj[38] I +la_iena_mprj[39] I +la_iena_mprj[3] I +la_iena_mprj[40] I +la_iena_mprj[41] I +la_iena_mprj[42] I +la_iena_mprj[43] I +la_iena_mprj[44] I +la_iena_mprj[45] I +la_iena_mprj[46] I +la_iena_mprj[47] I +la_iena_mprj[48] I +la_iena_mprj[49] I +la_iena_mprj[4] I +la_iena_mprj[50] I +la_iena_mprj[51] I +la_iena_mprj[52] I +la_iena_mprj[53] I +la_iena_mprj[54] I +la_iena_mprj[55] I +la_iena_mprj[56] I +la_iena_mprj[57] I +la_iena_mprj[58] I +la_iena_mprj[59] I +la_iena_mprj[5] I +la_iena_mprj[60] I +la_iena_mprj[61] I +la_iena_mprj[62] I +la_iena_mprj[63] I +la_iena_mprj[64] I +la_iena_mprj[65] I +la_iena_mprj[66] I +la_iena_mprj[67] I +la_iena_mprj[68] I +la_iena_mprj[69] I +la_iena_mprj[6] I +la_iena_mprj[70] I +la_iena_mprj[71] I +la_iena_mprj[72] I +la_iena_mprj[73] I +la_iena_mprj[74] I +la_iena_mprj[75] I +la_iena_mprj[76] I +la_iena_mprj[77] I +la_iena_mprj[78] I +la_iena_mprj[79] I +la_iena_mprj[7] I +la_iena_mprj[80] I +la_iena_mprj[81] I +la_iena_mprj[82] I +la_iena_mprj[83] I +la_iena_mprj[84] I +la_iena_mprj[85] I +la_iena_mprj[86] I +la_iena_mprj[87] I +la_iena_mprj[88] I +la_iena_mprj[89] I +la_iena_mprj[8] I +la_iena_mprj[90] I +la_iena_mprj[91] I +la_iena_mprj[92] I +la_iena_mprj[93] I +la_iena_mprj[94] I +la_iena_mprj[95] I +la_iena_mprj[96] I +la_iena_mprj[97] I +la_iena_mprj[98] I +la_iena_mprj[99] I +la_iena_mprj[9] I +la_oenb_core[0] O +la_oenb_core[100] O +la_oenb_core[101] O +la_oenb_core[102] O +la_oenb_core[103] O +la_oenb_core[104] O +la_oenb_core[105] O +la_oenb_core[106] O +la_oenb_core[107] O +la_oenb_core[108] O +la_oenb_core[109] O +la_oenb_core[10] O +la_oenb_core[110] O +la_oenb_core[111] O +la_oenb_core[112] O +la_oenb_core[113] O +la_oenb_core[114] O +la_oenb_core[115] O +la_oenb_core[116] O +la_oenb_core[117] O +la_oenb_core[118] O +la_oenb_core[119] O +la_oenb_core[11] O +la_oenb_core[120] O +la_oenb_core[121] O +la_oenb_core[122] O +la_oenb_core[123] O +la_oenb_core[124] O +la_oenb_core[125] O +la_oenb_core[126] O +la_oenb_core[127] O +la_oenb_core[12] O +la_oenb_core[13] O +la_oenb_core[14] O +la_oenb_core[15] O +la_oenb_core[16] O +la_oenb_core[17] O +la_oenb_core[18] O +la_oenb_core[19] O +la_oenb_core[1] O +la_oenb_core[20] O +la_oenb_core[21] O +la_oenb_core[22] O +la_oenb_core[23] O +la_oenb_core[24] O +la_oenb_core[25] O +la_oenb_core[26] O +la_oenb_core[27] O +la_oenb_core[28] O +la_oenb_core[29] O +la_oenb_core[2] O +la_oenb_core[30] O +la_oenb_core[31] O +la_oenb_core[32] O +la_oenb_core[33] O +la_oenb_core[34] O +la_oenb_core[35] O +la_oenb_core[36] O +la_oenb_core[37] O +la_oenb_core[38] O +la_oenb_core[39] O +la_oenb_core[3] O +la_oenb_core[40] O +la_oenb_core[41] O +la_oenb_core[42] O +la_oenb_core[43] O +la_oenb_core[44] O +la_oenb_core[45] O +la_oenb_core[46] O +la_oenb_core[47] O +la_oenb_core[48] O +la_oenb_core[49] O +la_oenb_core[4] O +la_oenb_core[50] O +la_oenb_core[51] O +la_oenb_core[52] O +la_oenb_core[53] O +la_oenb_core[54] O +la_oenb_core[55] O +la_oenb_core[56] O +la_oenb_core[57] O +la_oenb_core[58] O +la_oenb_core[59] O +la_oenb_core[5] O +la_oenb_core[60] O +la_oenb_core[61] O +la_oenb_core[62] O +la_oenb_core[63] O +la_oenb_core[64] O +la_oenb_core[65] O +la_oenb_core[66] O +la_oenb_core[67] O +la_oenb_core[68] O +la_oenb_core[69] O +la_oenb_core[6] O +la_oenb_core[70] O +la_oenb_core[71] O +la_oenb_core[72] O +la_oenb_core[73] O +la_oenb_core[74] O +la_oenb_core[75] O +la_oenb_core[76] O +la_oenb_core[77] O +la_oenb_core[78] O +la_oenb_core[79] O +la_oenb_core[7] O +la_oenb_core[80] O +la_oenb_core[81] O +la_oenb_core[82] O +la_oenb_core[83] O +la_oenb_core[84] O +la_oenb_core[85] O +la_oenb_core[86] O +la_oenb_core[87] O +la_oenb_core[88] O +la_oenb_core[89] O +la_oenb_core[8] O +la_oenb_core[90] O +la_oenb_core[91] O +la_oenb_core[92] O +la_oenb_core[93] O +la_oenb_core[94] O +la_oenb_core[95] O +la_oenb_core[96] O +la_oenb_core[97] O +la_oenb_core[98] O +la_oenb_core[99] O +la_oenb_core[9] O +la_oenb_mprj[0] I +la_oenb_mprj[100] I +la_oenb_mprj[101] I +la_oenb_mprj[102] I +la_oenb_mprj[103] I +la_oenb_mprj[104] I +la_oenb_mprj[105] I +la_oenb_mprj[106] I +la_oenb_mprj[107] I +la_oenb_mprj[108] I +la_oenb_mprj[109] I +la_oenb_mprj[10] I +la_oenb_mprj[110] I +la_oenb_mprj[111] I +la_oenb_mprj[112] I +la_oenb_mprj[113] I +la_oenb_mprj[114] I +la_oenb_mprj[115] I +la_oenb_mprj[116] I +la_oenb_mprj[117] I +la_oenb_mprj[118] I +la_oenb_mprj[119] I +la_oenb_mprj[11] I +la_oenb_mprj[120] I +la_oenb_mprj[121] I +la_oenb_mprj[122] I +la_oenb_mprj[123] I +la_oenb_mprj[124] I +la_oenb_mprj[125] I +la_oenb_mprj[126] I +la_oenb_mprj[127] I +la_oenb_mprj[12] I +la_oenb_mprj[13] I +la_oenb_mprj[14] I +la_oenb_mprj[15] I +la_oenb_mprj[16] I +la_oenb_mprj[17] I +la_oenb_mprj[18] I +la_oenb_mprj[19] I +la_oenb_mprj[1] I +la_oenb_mprj[20] I +la_oenb_mprj[21] I +la_oenb_mprj[22] I +la_oenb_mprj[23] I +la_oenb_mprj[24] I +la_oenb_mprj[25] I +la_oenb_mprj[26] I +la_oenb_mprj[27] I +la_oenb_mprj[28] I +la_oenb_mprj[29] I +la_oenb_mprj[2] I +la_oenb_mprj[30] I +la_oenb_mprj[31] I +la_oenb_mprj[32] I +la_oenb_mprj[33] I +la_oenb_mprj[34] I +la_oenb_mprj[35] I +la_oenb_mprj[36] I +la_oenb_mprj[37] I +la_oenb_mprj[38] I +la_oenb_mprj[39] I +la_oenb_mprj[3] I +la_oenb_mprj[40] I +la_oenb_mprj[41] I +la_oenb_mprj[42] I +la_oenb_mprj[43] I +la_oenb_mprj[44] I +la_oenb_mprj[45] I +la_oenb_mprj[46] I +la_oenb_mprj[47] I +la_oenb_mprj[48] I +la_oenb_mprj[49] I +la_oenb_mprj[4] I +la_oenb_mprj[50] I +la_oenb_mprj[51] I +la_oenb_mprj[52] I +la_oenb_mprj[53] I +la_oenb_mprj[54] I +la_oenb_mprj[55] I +la_oenb_mprj[56] I +la_oenb_mprj[57] I +la_oenb_mprj[58] I +la_oenb_mprj[59] I +la_oenb_mprj[5] I +la_oenb_mprj[60] I +la_oenb_mprj[61] I +la_oenb_mprj[62] I +la_oenb_mprj[63] I +la_oenb_mprj[64] I +la_oenb_mprj[65] I +la_oenb_mprj[66] I +la_oenb_mprj[67] I +la_oenb_mprj[68] I +la_oenb_mprj[69] I +la_oenb_mprj[6] I +la_oenb_mprj[70] I +la_oenb_mprj[71] I +la_oenb_mprj[72] I +la_oenb_mprj[73] I +la_oenb_mprj[74] I +la_oenb_mprj[75] I +la_oenb_mprj[76] I +la_oenb_mprj[77] I +la_oenb_mprj[78] I +la_oenb_mprj[79] I +la_oenb_mprj[7] I +la_oenb_mprj[80] I +la_oenb_mprj[81] I +la_oenb_mprj[82] I +la_oenb_mprj[83] I +la_oenb_mprj[84] I +la_oenb_mprj[85] I +la_oenb_mprj[86] I +la_oenb_mprj[87] I +la_oenb_mprj[88] I +la_oenb_mprj[89] I +la_oenb_mprj[8] I +la_oenb_mprj[90] I +la_oenb_mprj[91] I +la_oenb_mprj[92] I +la_oenb_mprj[93] I +la_oenb_mprj[94] I +la_oenb_mprj[95] I +la_oenb_mprj[96] I +la_oenb_mprj[97] I +la_oenb_mprj[98] I +la_oenb_mprj[99] I +la_oenb_mprj[9] I +mprj_ack_i_core O +mprj_ack_i_user I +mprj_adr_o_core[0] I +mprj_adr_o_core[10] I +mprj_adr_o_core[11] I +mprj_adr_o_core[12] I +mprj_adr_o_core[13] I +mprj_adr_o_core[14] I +mprj_adr_o_core[15] I +mprj_adr_o_core[16] I +mprj_adr_o_core[17] I +mprj_adr_o_core[18] I +mprj_adr_o_core[19] I +mprj_adr_o_core[1] I +mprj_adr_o_core[20] I +mprj_adr_o_core[21] I +mprj_adr_o_core[22] I +mprj_adr_o_core[23] I +mprj_adr_o_core[24] I +mprj_adr_o_core[25] I +mprj_adr_o_core[26] I +mprj_adr_o_core[27] I +mprj_adr_o_core[28] I +mprj_adr_o_core[29] I +mprj_adr_o_core[2] I +mprj_adr_o_core[30] I +mprj_adr_o_core[31] I +mprj_adr_o_core[3] I +mprj_adr_o_core[4] I +mprj_adr_o_core[5] I +mprj_adr_o_core[6] I +mprj_adr_o_core[7] I +mprj_adr_o_core[8] I +mprj_adr_o_core[9] I +mprj_adr_o_user[0] O +mprj_adr_o_user[10] O +mprj_adr_o_user[11] O +mprj_adr_o_user[12] O +mprj_adr_o_user[13] O +mprj_adr_o_user[14] O +mprj_adr_o_user[15] O +mprj_adr_o_user[16] O +mprj_adr_o_user[17] O +mprj_adr_o_user[18] O +mprj_adr_o_user[19] O +mprj_adr_o_user[1] O +mprj_adr_o_user[20] O +mprj_adr_o_user[21] O +mprj_adr_o_user[22] O +mprj_adr_o_user[23] O +mprj_adr_o_user[24] O +mprj_adr_o_user[25] O +mprj_adr_o_user[26] O +mprj_adr_o_user[27] O +mprj_adr_o_user[28] O +mprj_adr_o_user[29] O +mprj_adr_o_user[2] O +mprj_adr_o_user[30] O +mprj_adr_o_user[31] O +mprj_adr_o_user[3] O +mprj_adr_o_user[4] O +mprj_adr_o_user[5] O +mprj_adr_o_user[6] O +mprj_adr_o_user[7] O +mprj_adr_o_user[8] O +mprj_adr_o_user[9] O +mprj_cyc_o_core I +mprj_cyc_o_user O +mprj_dat_i_core[0] O +mprj_dat_i_core[10] O +mprj_dat_i_core[11] O +mprj_dat_i_core[12] O +mprj_dat_i_core[13] O +mprj_dat_i_core[14] O +mprj_dat_i_core[15] O +mprj_dat_i_core[16] O +mprj_dat_i_core[17] O +mprj_dat_i_core[18] O +mprj_dat_i_core[19] O +mprj_dat_i_core[1] O +mprj_dat_i_core[20] O +mprj_dat_i_core[21] O +mprj_dat_i_core[22] O +mprj_dat_i_core[23] O +mprj_dat_i_core[24] O +mprj_dat_i_core[25] O +mprj_dat_i_core[26] O +mprj_dat_i_core[27] O +mprj_dat_i_core[28] O +mprj_dat_i_core[29] O +mprj_dat_i_core[2] O +mprj_dat_i_core[30] O +mprj_dat_i_core[31] O +mprj_dat_i_core[3] O +mprj_dat_i_core[4] O +mprj_dat_i_core[5] O +mprj_dat_i_core[6] O +mprj_dat_i_core[7] O +mprj_dat_i_core[8] O +mprj_dat_i_core[9] O +mprj_dat_i_user[0] I +mprj_dat_i_user[10] I +mprj_dat_i_user[11] I +mprj_dat_i_user[12] I +mprj_dat_i_user[13] I +mprj_dat_i_user[14] I +mprj_dat_i_user[15] I +mprj_dat_i_user[16] I +mprj_dat_i_user[17] I +mprj_dat_i_user[18] I +mprj_dat_i_user[19] I +mprj_dat_i_user[1] I +mprj_dat_i_user[20] I +mprj_dat_i_user[21] I +mprj_dat_i_user[22] I +mprj_dat_i_user[23] I +mprj_dat_i_user[24] I +mprj_dat_i_user[25] I +mprj_dat_i_user[26] I +mprj_dat_i_user[27] I +mprj_dat_i_user[28] I +mprj_dat_i_user[29] I +mprj_dat_i_user[2] I +mprj_dat_i_user[30] I +mprj_dat_i_user[31] I +mprj_dat_i_user[3] I +mprj_dat_i_user[4] I +mprj_dat_i_user[5] I +mprj_dat_i_user[6] I +mprj_dat_i_user[7] I +mprj_dat_i_user[8] I +mprj_dat_i_user[9] I +mprj_dat_o_core[0] I +mprj_dat_o_core[10] I +mprj_dat_o_core[11] I +mprj_dat_o_core[12] I +mprj_dat_o_core[13] I +mprj_dat_o_core[14] I +mprj_dat_o_core[15] I +mprj_dat_o_core[16] I +mprj_dat_o_core[17] I +mprj_dat_o_core[18] I +mprj_dat_o_core[19] I +mprj_dat_o_core[1] I +mprj_dat_o_core[20] I +mprj_dat_o_core[21] I +mprj_dat_o_core[22] I +mprj_dat_o_core[23] I +mprj_dat_o_core[24] I +mprj_dat_o_core[25] I +mprj_dat_o_core[26] I +mprj_dat_o_core[27] I +mprj_dat_o_core[28] I +mprj_dat_o_core[29] I +mprj_dat_o_core[2] I +mprj_dat_o_core[30] I +mprj_dat_o_core[31] I +mprj_dat_o_core[3] I +mprj_dat_o_core[4] I +mprj_dat_o_core[5] I +mprj_dat_o_core[6] I +mprj_dat_o_core[7] I +mprj_dat_o_core[8] I +mprj_dat_o_core[9] I +mprj_dat_o_user[0] O +mprj_dat_o_user[10] O +mprj_dat_o_user[11] O +mprj_dat_o_user[12] O +mprj_dat_o_user[13] O +mprj_dat_o_user[14] O +mprj_dat_o_user[15] O +mprj_dat_o_user[16] O +mprj_dat_o_user[17] O +mprj_dat_o_user[18] O +mprj_dat_o_user[19] O +mprj_dat_o_user[1] O +mprj_dat_o_user[20] O +mprj_dat_o_user[21] O +mprj_dat_o_user[22] O +mprj_dat_o_user[23] O +mprj_dat_o_user[24] O +mprj_dat_o_user[25] O +mprj_dat_o_user[26] O +mprj_dat_o_user[27] O +mprj_dat_o_user[28] O +mprj_dat_o_user[29] O +mprj_dat_o_user[2] O +mprj_dat_o_user[30] O +mprj_dat_o_user[31] O +mprj_dat_o_user[3] O +mprj_dat_o_user[4] O +mprj_dat_o_user[5] O +mprj_dat_o_user[6] O +mprj_dat_o_user[7] O +mprj_dat_o_user[8] O +mprj_dat_o_user[9] O +mprj_iena_wb I +mprj_sel_o_core[0] I +mprj_sel_o_core[1] I +mprj_sel_o_core[2] I +mprj_sel_o_core[3] I +mprj_sel_o_user[0] O +mprj_sel_o_user[1] O +mprj_sel_o_user[2] O +mprj_sel_o_user[3] O +mprj_stb_o_core I +mprj_stb_o_user O +mprj_we_o_core I +mprj_we_o_user O +user1_vcc_powergood O +user1_vdd_powergood O +user2_vcc_powergood O +user2_vdd_powergood O +user_clock O +user_clock2 O +user_irq[0] O +user_irq[1] O +user_irq[2] O +user_irq_core[0] I +user_irq_core[1] I +user_irq_core[2] I +user_irq_ena[0] I +user_irq_ena[1] I +user_irq_ena[2] I +user_reset O + +*D_NET *1 0.000858522 +*CONN +*P caravel_clk I +*I *3918:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21618:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 caravel_clk 0.000233755 +2 *3918:DIODE 0.000104236 +3 *21618:A 0 +4 *1:10 0.000337991 +5 *3918:DIODE *2347:9 0.000164829 +6 *1:10 *2510:6 1.77113e-05 +*RES +1 caravel_clk *1:10 9.75301 +2 *1:10 *21618:A 9.24915 +3 *1:10 *3918:DIODE 12.191 +*END + +*D_NET *2 0.000800085 +*CONN +*P caravel_clk2 I +*I *21729:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *4029:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 caravel_clk2 0.000186793 +2 *21729:A 1.47709e-05 +3 *4029:DIODE 0.000172471 +4 *2:7 0.000374035 +5 *4029:DIODE *2481:8 4.4147e-05 +6 *21729:A *2481:8 7.86825e-06 +*RES +1 caravel_clk2 *2:7 4.04389 +2 *2:7 *4029:DIODE 17.0668 +3 *2:7 *21729:A 14.1278 +*END + +*D_NET *3 0.000882525 +*CONN +*P caravel_rstn I +*I *4140:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21840:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 caravel_rstn 0.000267638 +2 *4140:DIODE 0.000107046 +3 *21840:A 0 +4 *3:10 0.000374684 +5 *4140:DIODE *2695:10 7.48797e-05 +6 *3:10 *2481:8 5.82763e-05 +*RES +1 caravel_rstn *3:10 10.9988 +2 *3:10 *21840:A 9.24915 +3 *3:10 *4140:DIODE 12.191 +*END + +*D_NET *4 0.00115237 +*CONN +*P la_data_in_core[0] O +*I *22705:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[0] 0.000382906 +2 *22705:X 0.000382906 +3 la_data_in_core[0] mprj_dat_o_user[31] 0 +4 la_data_in_core[0] *1870:10 0.000177109 +5 la_data_in_core[0] *1874:8 0.000148606 +6 la_data_in_core[0] *3001:29 6.08467e-05 +*RES +1 *22705:X la_data_in_core[0] 22.6555 +*END + +*D_NET *5 0.00110314 +*CONN +*P la_data_in_core[100] O +*I *22706:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[100] 0.000416831 +2 *22706:X 0.000416831 +3 la_data_in_core[100] la_oenb_core[99] 0 +4 la_data_in_core[100] *22589:A 5.41227e-05 +5 la_data_in_core[100] *22706:A 5.05252e-05 +6 la_data_in_core[100] *261:5 0 +7 la_data_in_core[100] *1863:17 0.000164829 +*RES +1 *22706:X la_data_in_core[100] 23.3494 +*END + +*D_NET *6 0.00115358 +*CONN +*P la_data_in_core[101] O +*I *22707:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[101] 0.000382786 +2 *22707:X 0.000382786 +3 la_data_in_core[101] la_oenb_core[100] 0 +4 la_data_in_core[101] *22707:A 5.41377e-05 +5 la_data_in_core[101] *262:5 0 +6 la_data_in_core[101] *1863:53 0.000164829 +7 la_data_in_core[101] *3361:8 0.000169041 +*RES +1 *22707:X la_data_in_core[101] 23.3494 +*END + +*D_NET *7 0.000894876 +*CONN +*P la_data_in_core[102] O +*I *22708:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[102] 0.000373432 +2 *22708:X 0.000373432 +3 la_data_in_core[102] la_oenb_core[101] 0 +4 la_data_in_core[102] *22708:A 1.36691e-05 +5 la_data_in_core[102] *263:5 0 +6 la_data_in_core[102] *1863:53 6.50727e-05 +7 la_data_in_core[102] *3361:8 6.92705e-05 +*RES +1 *22708:X la_data_in_core[102] 22.2402 +*END + +*D_NET *8 0.00120275 +*CONN +*P la_data_in_core[103] O +*I *22709:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[103] 0.000363429 +2 *22709:X 0.000363429 +3 la_data_in_core[103] la_oenb_core[102] 0 +4 la_data_in_core[103] *22709:A 5.41377e-05 +5 la_data_in_core[103] *22964:A 4.70559e-05 +6 la_data_in_core[103] *264:5 0 +7 la_data_in_core[103] *1863:53 0.000158371 +8 la_data_in_core[103] *2364:12 5.37426e-05 +9 la_data_in_core[103] *3361:8 0.000162583 +*RES +1 *22709:X la_data_in_core[103] 23.3494 +*END + +*D_NET *9 0.000906975 +*CONN +*P la_data_in_core[104] O +*I *22710:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[104] 0.000361787 +2 *22710:X 0.000361787 +3 la_data_in_core[104] la_oenb_core[103] 0 +4 la_data_in_core[104] *22710:A 5.33048e-05 +5 la_data_in_core[104] *265:8 0 +6 la_data_in_core[104] *1863:53 6.3657e-05 +7 la_data_in_core[104] *3361:8 6.64392e-05 +*RES +1 *22710:X la_data_in_core[104] 22.2402 +*END + +*D_NET *10 0.00101807 +*CONN +*P la_data_in_core[105] O +*I *22711:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[105] 0.000426346 +2 *22711:X 0.000426346 +3 la_data_in_core[105] la_oenb_core[104] 0 +4 la_data_in_core[105] *3818:DIODE 0 +5 la_data_in_core[105] *4433:DIODE 0 +6 la_data_in_core[105] *22711:A 2.49237e-05 +7 la_data_in_core[105] *266:5 0 +8 la_data_in_core[105] *1863:60 0.000122378 +9 la_data_in_core[105] *2985:52 1.8078e-05 +*RES +1 *22711:X la_data_in_core[105] 23.6986 +*END + +*D_NET *11 0.000740456 +*CONN +*P la_data_in_core[106] O +*I *22712:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[106] 0.000340618 +2 *22712:X 0.000340618 +3 la_data_in_core[106] la_oenb_core[105] 0 +4 la_data_in_core[106] *22712:A 5.92192e-05 +5 la_data_in_core[106] *267:8 0 +6 la_data_in_core[106] *2985:52 0 +*RES +1 *22712:X la_data_in_core[106] 21.5168 +*END + +*D_NET *12 0.00106555 +*CONN +*P la_data_in_core[107] O +*I *22713:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[107] 0.000438401 +2 *22713:X 0.000438401 +3 la_data_in_core[107] la_oenb_core[106] 0 +4 la_data_in_core[107] *3829:DIODE 4.73178e-05 +5 la_data_in_core[107] *22713:A 7.08723e-06 +6 la_data_in_core[107] *268:5 0 +7 la_data_in_core[107] *1863:60 6.92705e-05 +8 la_data_in_core[107] *3069:37 6.50727e-05 +*RES +1 *22713:X la_data_in_core[107] 23.7058 +*END + +*D_NET *13 0.000931041 +*CONN +*P la_data_in_core[108] O +*I *22714:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[108] 0.000425554 +2 *22714:X 0.000425554 +3 la_data_in_core[108] la_oenb_core[107] 0 +4 la_data_in_core[108] *3851:DIODE 0 +5 la_data_in_core[108] *22969:A 1.48603e-05 +6 la_data_in_core[108] *269:9 0 +7 la_data_in_core[108] *3069:37 6.50727e-05 +*RES +1 *22714:X la_data_in_core[108] 23.7058 +*END + +*D_NET *14 0.000882638 +*CONN +*P la_data_in_core[109] O +*I *22715:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[109] 0.000396108 +2 *22715:X 0.000396108 +3 la_data_in_core[109] la_oenb_core[108] 0 +4 la_data_in_core[109] *3862:DIODE 0 +5 la_data_in_core[109] *5232:DIODE 9.04224e-05 +6 la_data_in_core[109] *22715:A 0 +7 la_data_in_core[109] *270:5 0 +*RES +1 *22715:X la_data_in_core[109] 22.7948 +*END + +*D_NET *15 0.00120169 +*CONN +*P la_data_in_core[10] O +*I *22716:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[10] 0.000514267 +2 *22716:X 0.000514267 +3 la_data_in_core[10] la_oenb_core[9] 0 +4 la_data_in_core[10] *22590:A 6.1438e-05 +5 la_data_in_core[10] *271:5 0 +6 la_data_in_core[10] *3001:29 0.000111722 +*RES +1 *22716:X la_data_in_core[10] 23.2101 +*END + +*D_NET *16 0.000840822 +*CONN +*P la_data_in_core[110] O +*I *22717:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[110] 0.000381476 +2 *22717:X 0.000381476 +3 la_data_in_core[110] la_oenb_core[109] 0 +4 la_data_in_core[110] *3884:DIODE 0 +5 la_data_in_core[110] *22717:A 7.78711e-05 +6 la_data_in_core[110] *272:5 0 +*RES +1 *22717:X la_data_in_core[110] 22.7948 +*END + +*D_NET *17 0.000901551 +*CONN +*P la_data_in_core[111] O +*I *22718:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[111] 0.000421158 +2 *22718:X 0.000421158 +3 la_data_in_core[111] la_oenb_core[110] 0 +4 la_data_in_core[111] *22718:A 5.92342e-05 +5 la_data_in_core[111] *273:5 0 +*RES +1 *22718:X la_data_in_core[111] 23.3494 +*END + +*D_NET *18 0.000802358 +*CONN +*P la_data_in_core[112] O +*I *22719:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[112] 0.000401179 +2 *22719:X 0.000401179 +3 la_data_in_core[112] la_oenb_core[111] 0 +4 la_data_in_core[112] *3906:DIODE 0 +5 la_data_in_core[112] *22719:A 0 +6 la_data_in_core[112] *274:5 0 +*RES +1 *22719:X la_data_in_core[112] 22.7948 +*END + +*D_NET *19 0.000901551 +*CONN +*P la_data_in_core[113] O +*I *22720:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[113] 0.000421158 +2 *22720:X 0.000421158 +3 la_data_in_core[113] la_oenb_core[112] 0 +4 la_data_in_core[113] *3917:DIODE 0 +5 la_data_in_core[113] *22720:A 5.92342e-05 +6 la_data_in_core[113] *275:5 0 +*RES +1 *22720:X la_data_in_core[113] 23.3494 +*END + +*D_NET *20 0.000938603 +*CONN +*P la_data_in_core[114] O +*I *22721:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[114] 0.000434449 +2 *22721:X 0.000434449 +3 la_data_in_core[114] la_oenb_core[113] 0 +4 la_data_in_core[114] *3929:DIODE 2.39679e-05 +5 la_data_in_core[114] *22721:A 1.82696e-05 +6 la_data_in_core[114] *276:5 2.74676e-05 +*RES +1 *22721:X la_data_in_core[114] 23.7058 +*END + +*D_NET *21 0.000793027 +*CONN +*P la_data_in_core[115] O +*I *22722:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[115] 0.000396513 +2 *22722:X 0.000396513 +3 la_data_in_core[115] la_oenb_core[114] 0 +4 la_data_in_core[115] *3940:DIODE 0 +5 la_data_in_core[115] *22722:A 0 +6 la_data_in_core[115] *277:7 0 +*RES +1 *22722:X la_data_in_core[115] 22.7948 +*END + +*D_NET *22 0.000987266 +*CONN +*P la_data_in_core[116] O +*I *22723:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[116] 0.000395254 +2 *22723:X 0.000395254 +3 la_data_in_core[116] la_oenb_core[115] 0 +4 la_data_in_core[116] *3951:DIODE 0 +5 la_data_in_core[116] *22723:A 2.22342e-05 +6 la_data_in_core[116] *278:7 0 +7 la_data_in_core[116] *3371:14 1.3262e-05 +8 la_data_in_core[116] *3371:21 0.000161262 +*RES +1 *22723:X la_data_in_core[116] 23.3494 +*END + +*D_NET *23 0.000994151 +*CONN +*P la_data_in_core[117] O +*I *22724:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[117] 0.00036471 +2 *22724:X 0.00036471 +3 la_data_in_core[117] la_oenb_core[116] 0.000169041 +4 la_data_in_core[117] *22724:A 5.92342e-05 +5 la_data_in_core[117] *279:12 0 +6 la_data_in_core[117] *3371:21 3.6455e-05 +*RES +1 *22724:X la_data_in_core[117] 23.3494 +*END + +*D_NET *24 0.00120994 +*CONN +*P la_data_in_core[118] O +*I *22725:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[118] 0.000389829 +2 *22725:X 0.000389829 +3 la_data_in_core[118] la_oenb_core[117] 0 +4 la_data_in_core[118] *3962:DIODE 4.15008e-05 +5 la_data_in_core[118] *22725:A 2.41274e-06 +6 la_data_in_core[118] *280:9 0 +7 la_data_in_core[118] *1867:17 4.3116e-06 +8 la_data_in_core[118] *1868:17 0.000251276 +9 la_data_in_core[118] *2618:6 0.000130777 +*RES +1 *22725:X la_data_in_core[118] 24.805 +*END + +*D_NET *25 0.000782491 +*CONN +*P la_data_in_core[119] O +*I *22726:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[119] 0.000376982 +2 *22726:X 0.000376982 +3 la_data_in_core[119] la_oenb_core[118] 0 +4 la_data_in_core[119] *22726:A 0 +5 la_data_in_core[119] *281:5 0 +6 la_data_in_core[119] *3371:21 2.85274e-05 +*RES +1 *22726:X la_data_in_core[119] 22.7948 +*END + +*D_NET *26 0.00125825 +*CONN +*P la_data_in_core[11] O +*I *22727:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[11] 0.000553505 +2 *22727:X 0.000553505 +3 la_data_in_core[11] la_oenb_core[10] 0 +4 la_data_in_core[11] *22727:A 2.53348e-05 +5 la_data_in_core[11] *22972:A 9.97495e-06 +6 la_data_in_core[11] *282:12 0 +7 la_data_in_core[11] *3001:29 0.000115934 +*RES +1 *22727:X la_data_in_core[11] 23.9429 +*END + +*D_NET *27 0.000797055 +*CONN +*P la_data_in_core[120] O +*I *22728:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[120] 0.000375122 +2 *22728:X 0.000375122 +3 la_data_in_core[120] la_oenb_core[119] 0 +4 la_data_in_core[120] *22728:A 1.82832e-05 +5 la_data_in_core[120] *283:5 0 +6 la_data_in_core[120] *3371:21 2.85274e-05 +*RES +1 *22728:X la_data_in_core[120] 22.7948 +*END + +*D_NET *28 0.000995483 +*CONN +*P la_data_in_core[121] O +*I *22729:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[121] 0.000404203 +2 *22729:X 0.000404203 +3 la_data_in_core[121] la_oenb_core[120] 0 +4 la_data_in_core[121] la_oenb_core[121] 0 +5 la_data_in_core[121] *22729:A 2.22342e-05 +6 la_data_in_core[121] *22984:A 0.000164843 +7 la_data_in_core[121] *284:8 0 +*RES +1 *22729:X la_data_in_core[121] 23.3494 +*END + +*D_NET *29 0.000911247 +*CONN +*P la_data_in_core[122] O +*I *22730:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[122] 0.000407779 +2 *22730:X 0.000407779 +3 la_data_in_core[122] la_oenb_core[121] 0 +4 la_data_in_core[122] *22730:A 5.92342e-05 +5 la_data_in_core[122] *285:5 0 +6 la_data_in_core[122] *3371:29 3.6455e-05 +*RES +1 *22730:X la_data_in_core[122] 23.3494 +*END + +*D_NET *30 0.000858181 +*CONN +*P la_data_in_core[123] O +*I *22731:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[123] 0.000392952 +2 *22731:X 0.000392952 +3 la_data_in_core[123] la_oenb_core[122] 0 +4 la_data_in_core[123] la_oenb_core[123] 0 +5 la_data_in_core[123] *5504:DIODE 7.58217e-06 +6 la_data_in_core[123] *22731:A 5.37208e-05 +7 la_data_in_core[123] *286:8 0 +8 la_data_in_core[123] *1871:17 0 +9 la_data_in_core[123] *2684:8 1.09738e-05 +*RES +1 *22731:X la_data_in_core[123] 23.3494 +*END + +*D_NET *31 0.00102478 +*CONN +*P la_data_in_core[124] O +*I *22732:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[124] 0.000264545 +2 *22732:X 0.000264545 +3 la_data_in_core[124] la_oenb_core[123] 0 +4 la_data_in_core[124] *4040:DIODE 1.82679e-05 +5 la_data_in_core[124] *287:5 0 +6 la_data_in_core[124] *1870:17 0.000195124 +7 la_data_in_core[124] *1872:12 0.000170592 +8 la_data_in_core[124] *2696:7 0.000111708 +*RES +1 *22732:X la_data_in_core[124] 22.7948 +*END + +*D_NET *32 0.000857406 +*CONN +*P la_data_in_core[125] O +*I *22733:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[125] 0.000323579 +2 *22733:X 0.000323579 +3 la_data_in_core[125] la_oenb_core[124] 0 +4 la_data_in_core[125] *22733:A 6.77978e-05 +5 la_data_in_core[125] *22988:A 5.56367e-05 +6 la_data_in_core[125] *288:8 0 +7 la_data_in_core[125] *1874:14 0 +8 la_data_in_core[125] *1875:14 8.68133e-05 +*RES +1 *22733:X la_data_in_core[125] 23.3494 +*END + +*D_NET *33 0.000839664 +*CONN +*P la_data_in_core[126] O +*I *22734:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[126] 0.000379185 +2 *22734:X 0.000379185 +3 la_data_in_core[126] la_oenb_core[125] 0 +4 la_data_in_core[126] *4062:DIODE 2.65899e-05 +5 la_data_in_core[126] *22734:A 5.4704e-05 +6 la_data_in_core[126] *289:5 0 +*RES +1 *22734:X la_data_in_core[126] 23.3494 +*END + +*D_NET *34 0.000814215 +*CONN +*P la_data_in_core[127] O +*I *22735:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[127] 0.000379755 +2 *22735:X 0.000379755 +3 la_data_in_core[127] la_oenb_core[126] 0 +4 la_data_in_core[127] *22735:A 5.4704e-05 +5 la_data_in_core[127] *290:5 0 +*RES +1 *22735:X la_data_in_core[127] 23.3494 +*END + +*D_NET *35 0.0013517 +*CONN +*P la_data_in_core[12] O +*I *22736:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[12] 0.000582629 +2 *22736:X 0.000582629 +3 la_data_in_core[12] la_oenb_core[11] 0 +4 la_data_in_core[12] *3995:DIODE 1.51697e-05 +5 la_data_in_core[12] *22736:A 0 +6 la_data_in_core[12] *291:5 0 +7 la_data_in_core[12] *3001:29 0.000171273 +*RES +1 *22736:X la_data_in_core[12] 24.4975 +*END + +*D_NET *36 0.00125554 +*CONN +*P la_data_in_core[13] O +*I *22737:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[13] 0.000558676 +2 *22737:X 0.000558676 +3 la_data_in_core[13] la_oenb_core[12] 0 +4 la_data_in_core[13] *22737:A 6.56365e-05 +5 la_data_in_core[13] *292:8 8.89319e-06 +6 la_data_in_core[13] *3001:29 6.3657e-05 +*RES +1 *22737:X la_data_in_core[13] 24.121 +*END + +*D_NET *37 0.00126783 +*CONN +*P la_data_in_core[14] O +*I *22738:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[14] 0.000554824 +2 *22738:X 0.000554824 +3 la_data_in_core[14] la_oenb_core[13] 0 +4 la_data_in_core[14] *4117:DIODE 1.32543e-05 +5 la_data_in_core[14] *22738:A 7.05604e-05 +6 la_data_in_core[14] *293:5 9.29387e-06 +7 la_data_in_core[14] *3001:29 6.50727e-05 +*RES +1 *22738:X la_data_in_core[14] 24.121 +*END + +*D_NET *38 0.00116741 +*CONN +*P la_data_in_core[15] O +*I *22739:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[15] 0.000524613 +2 *22739:X 0.000524613 +3 la_data_in_core[15] la_oenb_core[14] 0 +4 la_data_in_core[15] *294:8 0 +5 la_data_in_core[15] *3001:29 0.00011818 +*RES +1 *22739:X la_data_in_core[15] 23.2101 +*END + +*D_NET *39 0.00132849 +*CONN +*P la_data_in_core[16] O +*I *22740:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[16] 0.000536567 +2 *22740:X 0.000536567 +3 la_data_in_core[16] la_oenb_core[15] 0 +4 la_data_in_core[16] *4128:DIODE 6.70195e-05 +5 la_data_in_core[16] *22740:A 2.34902e-05 +6 la_data_in_core[16] *2981:23 0 +7 la_data_in_core[16] *3001:29 0.000164843 +*RES +1 *22740:X la_data_in_core[16] 23.7647 +*END + +*D_NET *40 0.00118918 +*CONN +*P la_data_in_core[17] O +*I *22741:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[17] 0.000521206 +2 *22741:X 0.000521206 +3 la_data_in_core[17] la_oenb_core[16] 0 +4 la_data_in_core[17] *296:10 0 +5 la_data_in_core[17] *2985:44 2.85887e-05 +6 la_data_in_core[17] *3001:29 0.00011818 +*RES +1 *22741:X la_data_in_core[17] 23.2101 +*END + +*D_NET *41 0.00135905 +*CONN +*P la_data_in_core[18] O +*I *22742:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[18] 0.000534892 +2 *22742:X 0.000534892 +3 la_data_in_core[18] la_oenb_core[17] 0 +4 la_data_in_core[18] *4151:DIODE 6.22114e-05 +5 la_data_in_core[18] *22742:A 6.22259e-05 +6 la_data_in_core[18] *297:5 0 +7 la_data_in_core[18] *3001:29 0.000164829 +*RES +1 *22742:X la_data_in_core[18] 23.7647 +*END + +*D_NET *42 0.0011854 +*CONN +*P la_data_in_core[19] O +*I *22743:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[19] 0.000528314 +2 *22743:X 0.000528314 +3 la_data_in_core[19] la_oenb_core[18] 0 +4 la_data_in_core[19] *22743:A 1.05934e-05 +5 la_data_in_core[19] *298:5 0 +6 la_data_in_core[19] *3001:29 0.00011818 +*RES +1 *22743:X la_data_in_core[19] 23.2101 +*END + +*D_NET *43 0.0012966 +*CONN +*P la_data_in_core[1] O +*I *22744:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[1] 0.000510913 +2 *22744:X 0.000510913 +3 la_data_in_core[1] la_oenb_core[0] 0 +4 la_data_in_core[1] *22744:A 6.22259e-05 +5 la_data_in_core[1] *22961:A 6.14756e-06 +6 la_data_in_core[1] *299:12 0 +7 la_data_in_core[1] *1869:10 0 +8 la_data_in_core[1] *2840:8 4.15661e-05 +9 la_data_in_core[1] *3001:29 0.000164829 +*RES +1 *22744:X la_data_in_core[1] 23.7647 +*END + +*D_NET *44 0.0013047 +*CONN +*P la_data_in_core[20] O +*I *22745:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[20] 0.000559924 +2 *22745:X 0.000559924 +3 la_data_in_core[20] la_oenb_core[19] 0 +4 la_data_in_core[20] *22745:A 2.00098e-05 +5 la_data_in_core[20] *300:5 0 +6 la_data_in_core[20] *3001:29 0.000164843 +*RES +1 *22745:X la_data_in_core[20] 23.7647 +*END + +*D_NET *45 0.0013607 +*CONN +*P la_data_in_core[21] O +*I *22746:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[21] 0.000431807 +2 *22746:X 0.000431807 +3 la_data_in_core[21] la_oenb_core[20] 0 +4 la_data_in_core[21] *1942:19 0.000385363 +5 la_data_in_core[21] *3001:29 0.000111722 +*RES +1 *22746:X la_data_in_core[21] 23.2101 +*END + +*D_NET *46 0.00131878 +*CONN +*P la_data_in_core[22] O +*I *22747:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[22] 0.000566965 +2 *22747:X 0.000566965 +3 la_data_in_core[22] la_oenb_core[21] 0 +4 la_data_in_core[22] *22747:A 2.00098e-05 +5 la_data_in_core[22] *302:7 0 +6 la_data_in_core[22] *3001:29 0.000164843 +*RES +1 *22747:X la_data_in_core[22] 23.7647 +*END + +*D_NET *47 0.000891772 +*CONN +*P la_data_in_core[23] O +*I *22748:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[23] 0.00043724 +2 *22748:X 0.00043724 +3 la_data_in_core[23] la_oenb_core[22] 0 +4 la_data_in_core[23] *22748:A 1.72919e-05 +5 la_data_in_core[23] *303:7 0 +*RES +1 *22748:X la_data_in_core[23] 21.5168 +*END + +*D_NET *48 0.00133194 +*CONN +*P la_data_in_core[24] O +*I *22749:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[24] 0.000602517 +2 *22749:X 0.000602517 +3 la_data_in_core[24] la_oenb_core[23] 0 +4 la_data_in_core[24] *22749:A 8.72256e-06 +5 la_data_in_core[24] *304:7 0 +6 la_data_in_core[24] *3001:43 0.00011818 +*RES +1 *22749:X la_data_in_core[24] 24.1138 +*END + +*D_NET *49 0.000901473 +*CONN +*P la_data_in_core[25] O +*I *22750:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[25] 0.000443306 +2 *22750:X 0.000443306 +3 la_data_in_core[25] la_oenb_core[24] 0 +4 la_data_in_core[25] *22750:A 1.48605e-05 +5 la_data_in_core[25] *305:5 0 +*RES +1 *22750:X la_data_in_core[25] 21.5168 +*END + +*D_NET *50 0.00135602 +*CONN +*P la_data_in_core[26] O +*I *22751:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[26] 0.000559185 +2 *22751:X 0.000559185 +3 la_data_in_core[26] la_oenb_core[25] 0 +4 la_data_in_core[26] *22751:A 6.22259e-05 +5 la_data_in_core[26] *23006:A 1.05934e-05 +6 la_data_in_core[26] *306:5 0 +7 la_data_in_core[26] *3001:45 0.000164829 +*RES +1 *22751:X la_data_in_core[26] 23.7647 +*END + +*D_NET *51 0.00111519 +*CONN +*P la_data_in_core[27] O +*I *22752:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[27] 0.000519262 +2 *22752:X 0.000519262 +3 la_data_in_core[27] la_oenb_core[26] 0 +4 la_data_in_core[27] *22752:A 8.72256e-06 +5 la_data_in_core[27] *307:5 0 +6 la_data_in_core[27] *2918:12 7.09666e-06 +7 la_data_in_core[27] *3001:45 6.08467e-05 +*RES +1 *22752:X la_data_in_core[27] 22.6555 +*END + +*D_NET *52 0.00135069 +*CONN +*P la_data_in_core[28] O +*I *22753:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[28] 0.000575357 +2 *22753:X 0.000575357 +3 la_data_in_core[28] la_oenb_core[27] 0 +4 la_data_in_core[28] *22753:A 1.75682e-05 +5 la_data_in_core[28] *23008:A 1.75682e-05 +6 la_data_in_core[28] *308:5 0 +7 la_data_in_core[28] *3001:45 0.000164843 +*RES +1 *22753:X la_data_in_core[28] 23.7647 +*END + +*D_NET *53 0.00132645 +*CONN +*P la_data_in_core[29] O +*I *22754:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[29] 0.000477626 +2 *22754:X 0.000477626 +3 la_data_in_core[29] la_oenb_core[28] 0 +4 la_data_in_core[29] *309:7 0 +5 la_data_in_core[29] *2988:33 0.000259474 +6 la_data_in_core[29] *3001:45 0.000111722 +*RES +1 *22754:X la_data_in_core[29] 23.2101 +*END + +*D_NET *54 0.00114676 +*CONN +*P la_data_in_core[2] O +*I *22755:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[2] 0.000500182 +2 *22755:X 0.000500182 +3 la_data_in_core[2] la_oenb_core[1] 0 +4 la_data_in_core[2] *4184:DIODE 1.19674e-05 +5 la_data_in_core[2] *310:7 0 +6 la_data_in_core[2] *3000:8 1.84131e-05 +7 la_data_in_core[2] *3001:29 0.000116014 +*RES +1 *22755:X la_data_in_core[2] 23.2101 +*END + +*D_NET *55 0.00134323 +*CONN +*P la_data_in_core[30] O +*I *22756:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[30] 0.000549301 +2 *22756:X 0.000549301 +3 la_data_in_core[30] la_oenb_core[29] 0 +4 la_data_in_core[30] *22756:A 1.75682e-05 +5 la_data_in_core[30] *23010:A 6.22114e-05 +6 la_data_in_core[30] *311:5 0 +7 la_data_in_core[30] *3001:45 0.000164843 +*RES +1 *22756:X la_data_in_core[30] 23.7647 +*END + +*D_NET *56 0.001331 +*CONN +*P la_data_in_core[31] O +*I *22757:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[31] 0.000551975 +2 *22757:X 0.000551975 +3 la_data_in_core[31] la_oenb_core[30] 0 +4 la_data_in_core[31] *22757:A 6.22259e-05 +5 la_data_in_core[31] *312:5 0 +6 la_data_in_core[31] *3001:45 0.000164829 +*RES +1 *22757:X la_data_in_core[31] 23.7647 +*END + +*D_NET *57 0.00135635 +*CONN +*P la_data_in_core[32] O +*I *22758:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[32] 0.000561099 +2 *22758:X 0.000561099 +3 la_data_in_core[32] la_oenb_core[31] 0 +4 la_data_in_core[32] *22758:A 6.22259e-05 +5 la_data_in_core[32] *313:5 0 +6 la_data_in_core[32] *2984:14 7.09666e-06 +7 la_data_in_core[32] *2998:88 0 +8 la_data_in_core[32] *3001:45 0.000164829 +*RES +1 *22758:X la_data_in_core[32] 23.7647 +*END + +*D_NET *58 0.00131336 +*CONN +*P la_data_in_core[33] O +*I *22759:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[33] 0.000562511 +2 *22759:X 0.000562511 +3 la_data_in_core[33] la_oenb_core[32] 0 +4 la_data_in_core[33] *22759:A 2.34902e-05 +5 la_data_in_core[33] *314:5 0 +6 la_data_in_core[33] *3001:63 0.000164843 +*RES +1 *22759:X la_data_in_core[33] 23.7647 +*END + +*D_NET *59 0.00131336 +*CONN +*P la_data_in_core[34] O +*I *22760:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[34] 0.000562511 +2 *22760:X 0.000562511 +3 la_data_in_core[34] la_oenb_core[33] 0 +4 la_data_in_core[34] *22760:A 2.34902e-05 +5 la_data_in_core[34] *315:7 0 +6 la_data_in_core[34] *3001:63 0.000164843 +*RES +1 *22760:X la_data_in_core[34] 23.7647 +*END + +*D_NET *60 0.00135729 +*CONN +*P la_data_in_core[35] O +*I *22761:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[35] 0.000547434 +2 *22761:X 0.000547434 +3 la_data_in_core[35] la_oenb_core[34] 0 +4 la_data_in_core[35] *22761:A 6.48631e-05 +5 la_data_in_core[35] *316:5 0 +6 la_data_in_core[35] *3001:65 0.000161243 +7 la_data_in_core[35] *3007:45 3.63127e-05 +*RES +1 *22761:X la_data_in_core[35] 23.7647 +*END + +*D_NET *61 0.00130896 +*CONN +*P la_data_in_core[36] O +*I *22762:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[36] 0.000561446 +2 *22762:X 0.000561446 +3 la_data_in_core[36] la_oenb_core[35] 0 +4 la_data_in_core[36] *22762:A 2.1221e-05 +5 la_data_in_core[36] *317:12 0 +6 la_data_in_core[36] *3001:65 0.000164843 +7 la_data_in_core[36] *3007:45 0 +8 la_data_in_core[36] *3029:8 0 +*RES +1 *22762:X la_data_in_core[36] 23.7647 +*END + +*D_NET *62 0.00146432 +*CONN +*P la_data_in_core[37] O +*I *22763:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[37] 0.000615059 +2 *22763:X 0.000615059 +3 la_data_in_core[37] la_oenb_core[36] 0 +4 la_data_in_core[37] *4384:DIODE 0 +5 la_data_in_core[37] *318:8 0 +6 la_data_in_core[37] *2983:63 0.000169041 +7 la_data_in_core[37] *3040:8 6.51637e-05 +*RES +1 *22763:X la_data_in_core[37] 25.0104 +*END + +*D_NET *63 0.00132893 +*CONN +*P la_data_in_core[38] O +*I *22764:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[38] 0.000543012 +2 *22764:X 0.000543012 +3 la_data_in_core[38] la_oenb_core[37] 0 +4 la_data_in_core[38] *4392:DIODE 1.32184e-05 +5 la_data_in_core[38] *22764:A 6.48631e-05 +6 la_data_in_core[38] *318:8 0 +7 la_data_in_core[38] *319:12 0 +8 la_data_in_core[38] *3001:65 0.000164829 +*RES +1 *22764:X la_data_in_core[38] 23.7647 +*END + +*D_NET *64 0.00130392 +*CONN +*P la_data_in_core[39] O +*I *22765:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[39] 0.000558916 +2 *22765:X 0.000558916 +3 la_data_in_core[39] la_oenb_core[38] 0 +4 la_data_in_core[39] *4393:DIODE 1.75682e-05 +5 la_data_in_core[39] *320:7 0 +6 la_data_in_core[39] *3001:65 0.000164843 +7 la_data_in_core[39] *3062:8 3.67528e-06 +*RES +1 *22765:X la_data_in_core[39] 23.7647 +*END + +*D_NET *65 0.00130431 +*CONN +*P la_data_in_core[3] O +*I *22766:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[3] 0.000526638 +2 *22766:X 0.000526638 +3 la_data_in_core[3] la_oenb_core[2] 0 +4 la_data_in_core[3] *22766:A 6.9787e-05 +5 la_data_in_core[3] *23011:A 2.28746e-05 +6 la_data_in_core[3] *321:5 0 +7 la_data_in_core[3] *3001:29 0.000158371 +*RES +1 *22766:X la_data_in_core[3] 23.7647 +*END + +*D_NET *66 0.00133147 +*CONN +*P la_data_in_core[40] O +*I *22767:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[40] 0.000552208 +2 *22767:X 0.000552208 +3 la_data_in_core[40] la_oenb_core[39] 0 +4 la_data_in_core[40] *22767:A 6.22259e-05 +5 la_data_in_core[40] *320:7 0 +6 la_data_in_core[40] *322:10 0 +7 la_data_in_core[40] *3001:65 0.000164829 +*RES +1 *22767:X la_data_in_core[40] 23.7647 +*END + +*D_NET *67 0.00133945 +*CONN +*P la_data_in_core[41] O +*I *22768:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[41] 0.000540107 +2 *22768:X 0.000540107 +3 la_data_in_core[41] la_oenb_core[40] 0 +4 la_data_in_core[41] *4396:DIODE 6.22114e-05 +5 la_data_in_core[41] *22768:A 2.00098e-05 +6 la_data_in_core[41] *323:5 0 +7 la_data_in_core[41] *2986:22 1.21729e-05 +8 la_data_in_core[41] *3001:65 0.000164843 +*RES +1 *22768:X la_data_in_core[41] 23.7647 +*END + +*D_NET *68 0.00115374 +*CONN +*P la_data_in_core[42] O +*I *22769:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[42] 0.000533122 +2 *22769:X 0.000533122 +3 la_data_in_core[42] la_oenb_core[41] 0 +4 la_data_in_core[42] *22769:A 2.24412e-05 +5 la_data_in_core[42] *324:8 0 +6 la_data_in_core[42] *3001:65 6.50586e-05 +*RES +1 *22769:X la_data_in_core[42] 23.3883 +*END + +*D_NET *69 0.00132338 +*CONN +*P la_data_in_core[43] O +*I *22770:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[43] 0.000561395 +2 *22770:X 0.000561395 +3 la_data_in_core[43] la_oenb_core[42] 0 +4 la_data_in_core[43] *4398:DIODE 6.22114e-05 +5 la_data_in_core[43] *22770:A 2.24412e-05 +6 la_data_in_core[43] *325:5 0 +7 la_data_in_core[43] *3001:65 0.000115934 +*RES +1 *22770:X la_data_in_core[43] 23.9429 +*END + +*D_NET *70 0.00107078 +*CONN +*P la_data_in_core[44] O +*I *22771:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[44] 0.00052916 +2 *22771:X 0.00052916 +3 la_data_in_core[44] la_oenb_core[43] 0 +4 la_data_in_core[44] *22771:A 1.24564e-05 +5 la_data_in_core[44] *326:8 0 +*RES +1 *22771:X la_data_in_core[44] 23.2267 +*END + +*D_NET *71 0.00129008 +*CONN +*P la_data_in_core[45] O +*I *22772:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[45] 0.000569742 +2 *22772:X 0.000569742 +3 la_data_in_core[45] la_oenb_core[44] 0 +4 la_data_in_core[45] *4402:DIODE 1.05934e-05 +5 la_data_in_core[45] *22772:A 6.56365e-05 +6 la_data_in_core[45] *327:5 9.29387e-06 +7 la_data_in_core[45] *3001:65 6.50727e-05 +*RES +1 *22772:X la_data_in_core[45] 24.121 +*END + +*D_NET *72 0.00113408 +*CONN +*P la_data_in_core[46] O +*I *22773:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[46] 0.000535058 +2 *22773:X 0.000535058 +3 la_data_in_core[46] la_oenb_core[45] 0 +4 la_data_in_core[46] *22773:A 1.32315e-05 +5 la_data_in_core[46] *328:5 0 +6 la_data_in_core[46] *2998:107 5.07314e-05 +*RES +1 *22773:X la_data_in_core[46] 23.2101 +*END + +*D_NET *73 0.00117179 +*CONN +*P la_data_in_core[47] O +*I *22774:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[47] 0.000470132 +2 *22774:X 0.000470132 +3 la_data_in_core[47] la_oenb_core[46] 0 +4 la_data_in_core[47] *22774:A 4.41256e-05 +5 la_data_in_core[47] *329:5 0 +6 la_data_in_core[47] *2985:45 2.1941e-05 +7 la_data_in_core[47] *2998:107 0.000165459 +*RES +1 *22774:X la_data_in_core[47] 23.3494 +*END + +*D_NET *74 0.000990872 +*CONN +*P la_data_in_core[48] O +*I *22775:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[48] 0.000448253 +2 *22775:X 0.000448253 +3 la_data_in_core[48] la_oenb_core[47] 0 +4 la_data_in_core[48] *22775:A 1.06977e-05 +5 la_data_in_core[48] *330:5 0 +6 la_data_in_core[48] *2985:45 1.43983e-05 +7 la_data_in_core[48] *2998:107 6.92705e-05 +*RES +1 *22775:X la_data_in_core[48] 22.2402 +*END + +*D_NET *75 0.00119115 +*CONN +*P la_data_in_core[49] O +*I *22776:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[49] 0.00046252 +2 *22776:X 0.00046252 +3 la_data_in_core[49] la_oenb_core[48] 0 +4 la_data_in_core[49] *22776:A 4.99151e-05 +5 la_data_in_core[49] *23031:A 1.06977e-05 +6 la_data_in_core[49] *331:5 0 +7 la_data_in_core[49] *2985:45 3.6455e-05 +8 la_data_in_core[49] *2998:114 0.000169041 +*RES +1 *22776:X la_data_in_core[49] 23.3494 +*END + +*D_NET *76 0.00119989 +*CONN +*P la_data_in_core[4] O +*I *22777:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[4] 0.000462283 +2 *22777:X 0.000462283 +3 la_data_in_core[4] *22777:A 1.45067e-05 +4 la_data_in_core[4] *332:7 0 +5 la_data_in_core[4] *2488:34 0.000142637 +6 la_data_in_core[4] *3001:29 0.00011818 +*RES +1 *22777:X la_data_in_core[4] 23.2101 +*END + +*D_NET *77 0.00087741 +*CONN +*P la_data_in_core[50] O +*I *22778:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[50] 0.000428299 +2 *22778:X 0.000428299 +3 la_data_in_core[50] la_oenb_core[49] 0 +4 la_data_in_core[50] *22778:A 2.08128e-05 +5 la_data_in_core[50] *333:5 0 +*RES +1 *22778:X la_data_in_core[50] 21.5168 +*END + +*D_NET *78 0.00118425 +*CONN +*P la_data_in_core[51] O +*I *22779:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[51] 0.000466177 +2 *22779:X 0.000466177 +3 la_data_in_core[51] la_oenb_core[50] 0 +4 la_data_in_core[51] *22779:A 4.49076e-05 +5 la_data_in_core[51] *23034:A 1.58588e-05 +6 la_data_in_core[51] *334:5 0 +7 la_data_in_core[51] *2985:45 2.20837e-05 +8 la_data_in_core[51] *2998:114 0.000169041 +9 la_data_in_core[51] *3001:87 0 +*RES +1 *22779:X la_data_in_core[51] 23.3494 +*END + +*D_NET *79 0.00088827 +*CONN +*P la_data_in_core[52] O +*I *22780:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[52] 0.000421647 +2 *22780:X 0.000421647 +3 la_data_in_core[52] la_oenb_core[51] 0 +4 la_data_in_core[52] *22780:A 4.49767e-05 +5 la_data_in_core[52] *335:5 0 +*RES +1 *22780:X la_data_in_core[52] 21.5168 +*END + +*D_NET *80 0.00125887 +*CONN +*P la_data_in_core[53] O +*I *22781:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[53] 0.000463372 +2 *22781:X 0.000463372 +3 la_data_in_core[53] la_oenb_core[52] 0 +4 la_data_in_core[53] *22781:A 4.49912e-05 +5 la_data_in_core[53] *336:5 0 +6 la_data_in_core[53] *2998:114 0.000128765 +7 la_data_in_core[53] *3001:107 0.000158371 +*RES +1 *22781:X la_data_in_core[53] 23.3494 +*END + +*D_NET *81 0.000988702 +*CONN +*P la_data_in_core[54] O +*I *22782:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[54] 0.000446232 +2 *22782:X 0.000446232 +3 la_data_in_core[54] la_oenb_core[53] 0 +4 la_data_in_core[54] *22782:A 1.25836e-05 +5 la_data_in_core[54] *337:5 0 +6 la_data_in_core[54] *2985:45 1.43848e-05 +7 la_data_in_core[54] *3001:107 6.92705e-05 +*RES +1 *22782:X la_data_in_core[54] 22.2402 +*END + +*D_NET *82 0.00111523 +*CONN +*P la_data_in_core[55] O +*I *22783:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[55] 0.000422739 +2 *22783:X 0.000422739 +3 la_data_in_core[55] la_oenb_core[54] 0 +4 la_data_in_core[55] *23038:A 5.49489e-05 +5 la_data_in_core[55] *2488:30 6.63077e-05 +6 la_data_in_core[55] *2985:45 2.61147e-05 +7 la_data_in_core[55] *3001:107 0.000122378 +*RES +1 *22783:X la_data_in_core[55] 22.7948 +*END + +*D_NET *83 0.00106866 +*CONN +*P la_data_in_core[56] O +*I *22784:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[56] 0.000453529 +2 *22784:X 0.000453529 +3 la_data_in_core[56] la_oenb_core[55] 0 +4 la_data_in_core[56] *22784:A 1.06977e-05 +5 la_data_in_core[56] *339:5 0 +6 la_data_in_core[56] *2985:45 2.85274e-05 +7 la_data_in_core[56] *3001:107 0.000122378 +*RES +1 *22784:X la_data_in_core[56] 22.7948 +*END + +*D_NET *84 0.00117415 +*CONN +*P la_data_in_core[57] O +*I *22785:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[57] 0.000469057 +2 *22785:X 0.000469057 +3 la_data_in_core[57] la_oenb_core[56] 0 +4 la_data_in_core[57] *22785:A 4.49076e-05 +5 la_data_in_core[57] *340:5 0 +6 la_data_in_core[57] *2985:45 2.20837e-05 +7 la_data_in_core[57] *3001:111 0.000169041 +*RES +1 *22785:X la_data_in_core[57] 23.3494 +*END + +*D_NET *85 0.0011763 +*CONN +*P la_data_in_core[58] O +*I *22786:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[58] 0.000469917 +2 *22786:X 0.000469917 +3 la_data_in_core[58] la_oenb_core[57] 0 +4 la_data_in_core[58] *22786:A 4.49076e-05 +5 la_data_in_core[58] *341:5 0 +6 la_data_in_core[58] *2985:45 2.18161e-05 +7 la_data_in_core[58] *3001:111 0.000167625 +8 la_data_in_core[58] *3007:58 2.1203e-06 +*RES +1 *22786:X la_data_in_core[58] 23.3494 +*END + +*D_NET *86 0.00122488 +*CONN +*P la_data_in_core[59] O +*I *22787:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[59] 0.000439537 +2 *22787:X 0.000439537 +3 la_data_in_core[59] la_oenb_core[58] 0 +4 la_data_in_core[59] *22787:A 4.99151e-05 +5 la_data_in_core[59] *342:5 0 +6 la_data_in_core[59] *2985:45 3.60456e-05 +7 la_data_in_core[59] *2998:130 9.4385e-05 +8 la_data_in_core[59] *3001:111 0.000165464 +*RES +1 *22787:X la_data_in_core[59] 23.3494 +*END + +*D_NET *87 0.00129051 +*CONN +*P la_data_in_core[5] O +*I *22788:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[5] 0.000542903 +2 *22788:X 0.000542903 +3 la_data_in_core[5] la_oenb_core[4] 0 +4 la_data_in_core[5] *22788:A 2.53568e-05 +5 la_data_in_core[5] *23033:A 1.45067e-05 +6 la_data_in_core[5] *343:5 0 +7 la_data_in_core[5] *3001:29 0.000164843 +*RES +1 *22788:X la_data_in_core[5] 23.7647 +*END + +*D_NET *88 0.00117648 +*CONN +*P la_data_in_core[60] O +*I *22789:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[60] 0.000470226 +2 *22789:X 0.000470226 +3 la_data_in_core[60] la_oenb_core[59] 0 +4 la_data_in_core[60] *22789:A 4.49076e-05 +5 la_data_in_core[60] *344:5 0 +6 la_data_in_core[60] *2985:45 2.20837e-05 +7 la_data_in_core[60] *3001:111 0.000169041 +*RES +1 *22789:X la_data_in_core[60] 23.3494 +*END + +*D_NET *89 0.00117648 +*CONN +*P la_data_in_core[61] O +*I *22790:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[61] 0.000470226 +2 *22790:X 0.000470226 +3 la_data_in_core[61] la_oenb_core[60] 0 +4 la_data_in_core[61] *22790:A 4.49076e-05 +5 la_data_in_core[61] *345:5 0 +6 la_data_in_core[61] *2985:45 2.20837e-05 +7 la_data_in_core[61] *3001:111 0.000169041 +*RES +1 *22790:X la_data_in_core[61] 23.3494 +*END + +*D_NET *90 0.00116848 +*CONN +*P la_data_in_core[62] O +*I *22791:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[62] 0.000466224 +2 *22791:X 0.000466224 +3 la_data_in_core[62] la_oenb_core[61] 0 +4 la_data_in_core[62] *22791:A 4.49076e-05 +5 la_data_in_core[62] *346:5 0 +6 la_data_in_core[62] *2985:45 2.20837e-05 +7 la_data_in_core[62] *3001:111 0.000169041 +*RES +1 *22791:X la_data_in_core[62] 23.3494 +*END + +*D_NET *91 0.00130197 +*CONN +*P la_data_in_core[63] O +*I *22792:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[63] 0.000452339 +2 *22792:X 0.000452339 +3 la_data_in_core[63] la_oenb_core[62] 0.000164815 +4 la_data_in_core[63] *22792:A 1.48605e-05 +5 la_data_in_core[63] *2317:7 5.70029e-05 +6 la_data_in_core[63] *3001:134 0.000160617 +*RES +1 *22792:X la_data_in_core[63] 23.3494 +*END + +*D_NET *92 0.00145653 +*CONN +*P la_data_in_core[64] O +*I *22793:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[64] 0.000622765 +2 *22793:X 0.000622765 +3 la_data_in_core[64] la_oenb_core[63] 0 +4 la_data_in_core[64] *4424:DIODE 0 +5 la_data_in_core[64] *348:8 0 +6 la_data_in_core[64] *2978:19 0.000171273 +7 la_data_in_core[64] *3008:58 3.93117e-06 +8 la_data_in_core[64] *3373:8 3.5797e-05 +*RES +1 *22793:X la_data_in_core[64] 25.0104 +*END + +*D_NET *93 0.0014372 +*CONN +*P la_data_in_core[65] O +*I *22794:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[65] 0.000311852 +2 *22794:X 0.000311852 +3 la_data_in_core[65] la_oenb_core[64] 0.000164829 +4 la_data_in_core[65] *22794:A 4.49912e-05 +5 la_data_in_core[65] *2327:11 0.00037665 +6 la_data_in_core[65] *2435:10 6.64086e-05 +7 la_data_in_core[65] *3001:134 0.000160617 +*RES +1 *22794:X la_data_in_core[65] 23.3494 +*END + +*D_NET *94 0.00115446 +*CONN +*P la_data_in_core[66] O +*I *22795:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[66] 0.000449794 +2 *22795:X 0.000449794 +3 la_data_in_core[66] la_oenb_core[65] 0 +4 la_data_in_core[66] *4426:DIODE 3.50759e-05 +5 la_data_in_core[66] *349:10 2.65831e-05 +6 la_data_in_core[66] *350:7 0 +7 la_data_in_core[66] *2985:45 1.19856e-05 +8 la_data_in_core[66] *3001:134 0.000169041 +9 la_data_in_core[66] *3395:17 1.21831e-05 +*RES +1 *22795:X la_data_in_core[66] 23.3494 +*END + +*D_NET *95 0.00124581 +*CONN +*P la_data_in_core[67] O +*I *22796:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[67] 0.000442092 +2 *22796:X 0.000442092 +3 la_data_in_core[67] la_oenb_core[66] 0.000175485 +4 la_data_in_core[67] *22796:A 1.48503e-05 +5 la_data_in_core[67] *2338:11 0 +6 la_data_in_core[67] *3001:146 0.000171288 +*RES +1 *22796:X la_data_in_core[67] 23.3494 +*END + +*D_NET *96 0.00117205 +*CONN +*P la_data_in_core[68] O +*I *22797:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[68] 0.000458773 +2 *22797:X 0.000458773 +3 la_data_in_core[68] la_oenb_core[67] 0 +4 la_data_in_core[68] *22239:A 5.04829e-06 +5 la_data_in_core[68] *22797:A 4.63742e-05 +6 la_data_in_core[68] *352:5 0 +7 la_data_in_core[68] *2985:45 3.40423e-05 +8 la_data_in_core[68] *3001:146 0.000169041 +*RES +1 *22797:X la_data_in_core[68] 23.3494 +*END + +*D_NET *97 0.00119057 +*CONN +*P la_data_in_core[69] O +*I *22798:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[69] 0.000549445 +2 *22798:X 0.000549445 +3 la_data_in_core[69] la_oenb_core[68] 0 +4 la_data_in_core[69] *22798:A 6.80097e-05 +5 la_data_in_core[69] *353:5 2.36702e-05 +*RES +1 *22798:X la_data_in_core[69] 23.7058 +*END + +*D_NET *98 0.00130902 +*CONN +*P la_data_in_core[6] O +*I *22799:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[6] 0.000537201 +2 *22799:X 0.000537201 +3 la_data_in_core[6] la_oenb_core[5] 0 +4 la_data_in_core[6] *22799:A 6.9787e-05 +5 la_data_in_core[6] *354:5 0 +6 la_data_in_core[6] *3001:29 0.000164829 +*RES +1 *22799:X la_data_in_core[6] 23.7647 +*END + +*D_NET *99 0.00112149 +*CONN +*P la_data_in_core[70] O +*I *22800:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[70] 0.000439204 +2 *22800:X 0.000439204 +3 la_data_in_core[70] la_oenb_core[69] 0 +4 la_data_in_core[70] *355:8 0 +5 la_data_in_core[70] *1876:11 0.000118792 +6 la_data_in_core[70] *3166:23 0.000124295 +*RES +1 *22800:X la_data_in_core[70] 22.7948 +*END + +*D_NET *100 0.00110765 +*CONN +*P la_data_in_core[71] O +*I *22801:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[71] 0.000439486 +2 *22801:X 0.000439486 +3 la_data_in_core[71] la_oenb_core[70] 0 +4 la_data_in_core[71] *5319:DIODE 3.3239e-06 +5 la_data_in_core[71] *356:8 0 +6 la_data_in_core[71] *1876:11 0.000115934 +7 la_data_in_core[71] *2349:17 9.28291e-05 +8 la_data_in_core[71] *3166:23 1.65872e-05 +*RES +1 *22801:X la_data_in_core[71] 22.7948 +*END + +*D_NET *101 0.00106184 +*CONN +*P la_data_in_core[72] O +*I *22802:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[72] 0.000430519 +2 *22802:X 0.000430519 +3 la_data_in_core[72] la_oenb_core[71] 0 +4 la_data_in_core[72] *3808:DIODE 4.99006e-05 +5 la_data_in_core[72] *357:5 0 +6 la_data_in_core[72] *1876:11 0.000122378 +7 la_data_in_core[72] *2985:45 2.85274e-05 +*RES +1 *22802:X la_data_in_core[72] 22.7948 +*END + +*D_NET *102 0.0011659 +*CONN +*P la_data_in_core[73] O +*I *22803:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[73] 0.000486889 +2 *22803:X 0.000486889 +3 la_data_in_core[73] la_oenb_core[72] 0 +4 la_data_in_core[73] *22803:A 1.48605e-05 +5 la_data_in_core[73] *23058:A 1.24189e-05 +6 la_data_in_core[73] *358:5 0 +7 la_data_in_core[73] *3171:27 0.000164843 +*RES +1 *22803:X la_data_in_core[73] 23.3494 +*END + +*D_NET *103 0.00132379 +*CONN +*P la_data_in_core[74] O +*I *22804:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[74] 0.000343344 +2 *22804:X 0.000343344 +3 la_data_in_core[74] *22804:A 4.99151e-05 +4 la_data_in_core[74] *359:5 0 +5 la_data_in_core[74] *1944:25 0.00038169 +6 la_data_in_core[74] *2985:45 3.6455e-05 +7 la_data_in_core[74] *3171:27 0.000169041 +*RES +1 *22804:X la_data_in_core[74] 23.3494 +*END + +*D_NET *104 0.000941476 +*CONN +*P la_data_in_core[75] O +*I *22805:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[75] 0.000419733 +2 *22805:X 0.000419733 +3 la_data_in_core[75] la_oenb_core[74] 0 +4 la_data_in_core[75] *22805:A 1.83409e-05 +5 la_data_in_core[75] *360:5 0 +6 la_data_in_core[75] *2985:45 1.43983e-05 +7 la_data_in_core[75] *3171:27 6.92705e-05 +*RES +1 *22805:X la_data_in_core[75] 22.2402 +*END + +*D_NET *105 0.00107079 +*CONN +*P la_data_in_core[76] O +*I *22806:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[76] 0.000484315 +2 *22806:X 0.000484315 +3 la_data_in_core[76] la_oenb_core[75] 0 +4 la_data_in_core[76] *5324:DIODE 4.85378e-05 +5 la_data_in_core[76] *23061:A 8.03676e-06 +6 la_data_in_core[76] *361:5 0 +7 la_data_in_core[76] *2985:45 2.61147e-05 +8 la_data_in_core[76] *3171:27 1.9472e-05 +*RES +1 *22806:X la_data_in_core[76] 23.3494 +*END + +*D_NET *106 0.000928242 +*CONN +*P la_data_in_core[77] O +*I *22807:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[77] 0.000430201 +2 *22807:X 0.000430201 +3 la_data_in_core[77] la_oenb_core[76] 0 +4 la_data_in_core[77] *22807:A 5.34415e-05 +5 la_data_in_core[77] *362:5 0 +6 la_data_in_core[77] *2985:45 1.43983e-05 +*RES +1 *22807:X la_data_in_core[77] 22.2402 +*END + +*D_NET *107 0.00105414 +*CONN +*P la_data_in_core[78] O +*I *22808:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[78] 0.000500493 +2 *22808:X 0.000500493 +3 la_data_in_core[78] la_oenb_core[77] 0 +4 la_data_in_core[78] *23063:A 3.29488e-05 +5 la_data_in_core[78] *363:5 0 +6 la_data_in_core[78] *2426:14 2.02035e-05 +*RES +1 *22808:X la_data_in_core[78] 23.5442 +*END + +*D_NET *108 0.000904692 +*CONN +*P la_data_in_core[79] O +*I *22809:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[79] 0.000437689 +2 *22809:X 0.000437689 +3 la_data_in_core[79] la_oenb_core[78] 0 +4 la_data_in_core[79] *22809:A 5.21758e-06 +5 la_data_in_core[79] *23065:A 9.7112e-06 +6 la_data_in_core[79] *364:5 0 +7 la_data_in_core[79] *2985:45 1.43848e-05 +*RES +1 *22809:X la_data_in_core[79] 22.2402 +*END + +*D_NET *109 0.00130902 +*CONN +*P la_data_in_core[7] O +*I *22810:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[7] 0.000537201 +2 *22810:X 0.000537201 +3 la_data_in_core[7] la_oenb_core[6] 0 +4 la_data_in_core[7] *22810:A 6.9787e-05 +5 la_data_in_core[7] *365:5 0 +6 la_data_in_core[7] *3001:29 0.000164829 +*RES +1 *22810:X la_data_in_core[7] 23.7647 +*END + +*D_NET *110 0.0010795 +*CONN +*P la_data_in_core[80] O +*I *22811:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[80] 0.000425627 +2 *22811:X 0.000425627 +3 la_data_in_core[80] la_oenb_core[79] 0 +4 la_data_in_core[80] *5329:DIODE 6.3657e-05 +5 la_data_in_core[80] *366:5 0 +6 la_data_in_core[80] *2985:45 2.82599e-05 +7 la_data_in_core[80] *3003:22 0 +8 la_data_in_core[80] *3007:70 0.000136325 +*RES +1 *22811:X la_data_in_core[80] 22.7948 +*END + +*D_NET *111 0.00111857 +*CONN +*P la_data_in_core[81] O +*I *22812:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[81] 0.000450921 +2 *22812:X 0.000450921 +3 la_data_in_core[81] la_oenb_core[80] 0 +4 la_data_in_core[81] *3820:DIODE 0 +5 la_data_in_core[81] *23067:A 0 +6 la_data_in_core[81] *367:7 0 +7 la_data_in_core[81] *2985:45 4.26566e-05 +8 la_data_in_core[81] *3177:13 0.000174074 +*RES +1 *22812:X la_data_in_core[81] 23.3494 +*END + +*D_NET *112 0.00116795 +*CONN +*P la_data_in_core[82] O +*I *22813:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[82] 0.000345436 +2 *22813:X 0.000345436 +3 la_data_in_core[82] la_oenb_core[81] 0 +4 la_data_in_core[82] *3821:DIODE 0 +5 la_data_in_core[82] *368:5 0 +6 la_data_in_core[82] *2974:12 0.00032617 +7 la_data_in_core[82] *2985:45 2.85274e-05 +8 la_data_in_core[82] *3177:13 0.000122378 +*RES +1 *22813:X la_data_in_core[82] 22.7948 +*END + +*D_NET *113 0.00109109 +*CONN +*P la_data_in_core[83] O +*I *22814:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[83] 0.000410097 +2 *22814:X 0.000410097 +3 la_data_in_core[83] la_oenb_core[82] 0 +4 la_data_in_core[83] *22814:A 0 +5 la_data_in_core[83] *369:5 0 +6 la_data_in_core[83] *3007:70 3.03357e-05 +7 la_data_in_core[83] *3177:13 0.000122378 +8 la_data_in_core[83] *3179:39 0.00011818 +*RES +1 *22814:X la_data_in_core[83] 22.7948 +*END + +*D_NET *114 0.0010587 +*CONN +*P la_data_in_core[84] O +*I *22815:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[84] 0.000432388 +2 *22815:X 0.000432388 +3 la_data_in_core[84] la_oenb_core[83] 0 +4 la_data_in_core[84] *22815:A 1.06805e-05 +5 la_data_in_core[84] *370:9 0 +6 la_data_in_core[84] *3177:13 6.50586e-05 +7 la_data_in_core[84] *3179:39 0.00011818 +*RES +1 *22815:X la_data_in_core[84] 22.7948 +*END + +*D_NET *115 0.00101289 +*CONN +*P la_data_in_core[85] O +*I *22816:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[85] 0.000447354 +2 *22816:X 0.000447354 +3 la_data_in_core[85] la_oenb_core[84] 0 +4 la_data_in_core[85] *3824:DIODE 0 +5 la_data_in_core[85] *371:9 0 +6 la_data_in_core[85] *3179:39 0.00011818 +*RES +1 *22816:X la_data_in_core[85] 22.7948 +*END + +*D_NET *116 0.00100305 +*CONN +*P la_data_in_core[86] O +*I *22817:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[86] 0.000418274 +2 *22817:X 0.000418274 +3 la_data_in_core[86] la_oenb_core[85] 0 +4 la_data_in_core[86] *22817:A 2.62694e-05 +5 la_data_in_core[86] *372:5 0 +6 la_data_in_core[86] *2985:45 2.20702e-05 +7 la_data_in_core[86] *3182:15 0.000118166 +*RES +1 *22817:X la_data_in_core[86] 22.7948 +*END + +*D_NET *117 0.00113127 +*CONN +*P la_data_in_core[87] O +*I *22818:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[87] 0.000412911 +2 *22818:X 0.000412911 +3 la_data_in_core[87] la_oenb_core[86] 0 +4 la_data_in_core[87] *22818:A 5.26705e-05 +5 la_data_in_core[87] *373:5 0 +6 la_data_in_core[87] *2936:12 5.99399e-05 +7 la_data_in_core[87] *2985:45 3.02534e-05 +8 la_data_in_core[87] *3182:15 0.000162583 +*RES +1 *22818:X la_data_in_core[87] 23.3494 +*END + +*D_NET *118 0.0011756 +*CONN +*P la_data_in_core[88] O +*I *22819:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[88] 0.000413429 +2 *22819:X 0.000413429 +3 la_data_in_core[88] la_oenb_core[87] 0 +4 la_data_in_core[88] *22819:A 1.48605e-05 +5 la_data_in_core[88] *374:5 0 +6 la_data_in_core[88] *3182:15 0.000169041 +7 la_data_in_core[88] *3187:27 0.000164843 +*RES +1 *22819:X la_data_in_core[88] 23.3494 +*END + +*D_NET *119 0.000968855 +*CONN +*P la_data_in_core[89] O +*I *22820:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[89] 0.000394607 +2 *22820:X 0.000394607 +3 la_data_in_core[89] la_oenb_core[88] 0 +4 la_data_in_core[89] la_oenb_core[89] 0 +5 la_data_in_core[89] *5338:DIODE 0 +6 la_data_in_core[89] *375:8 0 +7 la_data_in_core[89] *3004:26 4.75721e-06 +8 la_data_in_core[89] *3182:15 0.000122378 +9 la_data_in_core[89] *3187:27 5.07314e-05 +10 la_data_in_core[89] *3361:48 1.77537e-06 +*RES +1 *22820:X la_data_in_core[89] 22.7948 +*END + +*D_NET *120 0.0013121 +*CONN +*P la_data_in_core[8] O +*I *22821:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[8] 0.000542696 +2 *22821:X 0.000542696 +3 la_data_in_core[8] la_oenb_core[7] 0 +4 la_data_in_core[8] *22821:A 1.18458e-05 +5 la_data_in_core[8] *376:5 0 +6 la_data_in_core[8] *2497:8 4.77858e-05 +7 la_data_in_core[8] *3001:29 0.000167076 +*RES +1 *22821:X la_data_in_core[8] 23.7647 +*END + +*D_NET *121 0.00119697 +*CONN +*P la_data_in_core[90] O +*I *22822:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[90] 0.00037742 +2 *22822:X 0.00037742 +3 la_data_in_core[90] la_oenb_core[89] 0.000169041 +4 la_data_in_core[90] *3828:DIODE 5.41227e-05 +5 la_data_in_core[90] *22822:A 5.41377e-05 +6 la_data_in_core[90] *377:14 0 +7 la_data_in_core[90] *3361:45 0.000164829 +*RES +1 *22822:X la_data_in_core[90] 23.3494 +*END + +*D_NET *122 0.00139509 +*CONN +*P la_data_in_core[91] O +*I *22823:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[91] 0.000548752 +2 *22823:X 0.000548752 +3 la_data_in_core[91] la_oenb_core[90] 0 +4 la_data_in_core[91] *3831:DIODE 0 +5 la_data_in_core[91] *378:8 0 +6 la_data_in_core[91] *2498:8 0.000122098 +7 la_data_in_core[91] *2978:19 0.000175485 +*RES +1 *22823:X la_data_in_core[91] 25.0104 +*END + +*D_NET *123 0.00117205 +*CONN +*P la_data_in_core[92] O +*I *22824:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[92] 0.000388778 +2 *22824:X 0.000388778 +3 la_data_in_core[92] la_oenb_core[91] 0.000165455 +4 la_data_in_core[92] *3832:DIODE 1.36556e-05 +5 la_data_in_core[92] *22824:A 5.41377e-05 +6 la_data_in_core[92] *379:12 0 +7 la_data_in_core[92] *3361:45 0.000161243 +*RES +1 *22824:X la_data_in_core[92] 23.3494 +*END + +*D_NET *124 0.00107314 +*CONN +*P la_data_in_core[93] O +*I *22825:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[93] 0.00044689 +2 *22825:X 0.00044689 +3 la_data_in_core[93] la_oenb_core[92] 0 +4 la_data_in_core[93] *3833:DIODE 1.87469e-05 +5 la_data_in_core[93] *380:10 0 +6 la_data_in_core[93] *2500:9 0.000160617 +*RES +1 *22825:X la_data_in_core[93] 23.3494 +*END + +*D_NET *125 0.00102767 +*CONN +*P la_data_in_core[94] O +*I *22826:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[94] 0.00038673 +2 *22826:X 0.00038673 +3 la_data_in_core[94] la_oenb_core[93] 0.000122378 +4 la_data_in_core[94] *3834:DIODE 1.36556e-05 +5 la_data_in_core[94] *22826:A 0 +6 la_data_in_core[94] *381:12 0 +7 la_data_in_core[94] *3478:340 0.00011818 +*RES +1 *22826:X la_data_in_core[94] 22.7948 +*END + +*D_NET *126 0.00105178 +*CONN +*P la_data_in_core[95] O +*I *22827:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[95] 0.000395772 +2 *22827:X 0.000395772 +3 la_data_in_core[95] la_oenb_core[94] 0 +4 la_data_in_core[95] *3835:DIODE 1.87469e-05 +5 la_data_in_core[95] *382:5 0 +6 la_data_in_core[95] *2502:8 9.35753e-06 +7 la_data_in_core[95] *2504:12 0.000118166 +8 la_data_in_core[95] *3478:340 0.000113968 +*RES +1 *22827:X la_data_in_core[95] 22.7948 +*END + +*D_NET *127 0.00106743 +*CONN +*P la_data_in_core[96] O +*I *22828:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[96] 0.000397035 +2 *22828:X 0.000397035 +3 la_data_in_core[96] la_oenb_core[95] 0 +4 la_data_in_core[96] *22828:A 5.92342e-05 +5 la_data_in_core[96] *383:8 0 +6 la_data_in_core[96] *2504:12 0.000169041 +7 la_data_in_core[96] *2985:45 3.6455e-05 +8 la_data_in_core[96] *3478:340 8.62625e-06 +*RES +1 *22828:X la_data_in_core[96] 23.3494 +*END + +*D_NET *128 0.00121877 +*CONN +*P la_data_in_core[97] O +*I *22829:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[97] 0.000485269 +2 *22829:X 0.000485269 +3 la_data_in_core[97] la_oenb_core[96] 0.000164843 +4 la_data_in_core[97] *3838:DIODE 0 +5 la_data_in_core[97] *22829:A 8.01837e-05 +6 la_data_in_core[97] *384:5 0 +7 la_data_in_core[97] *1863:17 3.20069e-06 +*RES +1 *22829:X la_data_in_core[97] 23.7647 +*END + +*D_NET *129 0.00114894 +*CONN +*P la_data_in_core[98] O +*I *22830:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[98] 0.000396073 +2 *22830:X 0.000396073 +3 la_data_in_core[98] la_oenb_core[97] 0 +4 la_data_in_core[98] *22586:A 6.42675e-05 +5 la_data_in_core[98] *22830:A 5.56367e-05 +6 la_data_in_core[98] *385:5 0 +7 la_data_in_core[98] *1863:17 0.000158371 +8 la_data_in_core[98] *2506:10 6.50727e-05 +9 la_data_in_core[98] *2985:45 1.34424e-05 +*RES +1 *22830:X la_data_in_core[98] 23.3494 +*END + +*D_NET *130 0.00108094 +*CONN +*P la_data_in_core[99] O +*I *22831:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[99] 0.000342606 +2 *22831:X 0.000342606 +3 la_data_in_core[99] la_oenb_core[98] 0 +4 la_data_in_core[99] *22831:A 1.36691e-05 +5 la_data_in_core[99] *386:5 0 +6 la_data_in_core[99] *1863:17 0.00011818 +7 la_data_in_core[99] *2976:12 0.000263881 +*RES +1 *22831:X la_data_in_core[99] 22.7948 +*END + +*D_NET *131 0.00131804 +*CONN +*P la_data_in_core[9] O +*I *22832:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_core[9] 0.000533152 +2 *22832:X 0.000533152 +3 la_data_in_core[9] la_oenb_core[8] 0 +4 la_data_in_core[9] *22832:A 2.18764e-05 +5 la_data_in_core[9] *387:5 0 +6 la_data_in_core[9] *2985:33 6.50206e-05 +7 la_data_in_core[9] *3001:29 0.000164843 +*RES +1 *22832:X la_data_in_core[9] 23.7647 +*END + +*D_NET *132 0.00149177 +*CONN +*P la_data_in_mprj[0] O +*I *22833:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[0] 0.000621236 +2 *22833:X 0.000621236 +3 la_data_in_mprj[0] *4126:DIODE 8.14875e-05 +4 la_data_in_mprj[0] *388:8 6.87802e-05 +5 la_data_in_mprj[0] *516:8 0 +6 la_data_in_mprj[0] *772:8 9.9028e-05 +*RES +1 *22833:X la_data_in_mprj[0] 30.9773 +*END + +*D_NET *133 0.000483332 +*CONN +*P la_data_in_mprj[100] O +*I *22834:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[100] 0.000184784 +2 *22834:X 0.000184784 +3 la_data_in_mprj[100] *389:7 1.93056e-05 +4 la_data_in_mprj[100] *898:8 0 +5 la_data_in_mprj[100] *2080:47 6.50586e-05 +6 la_data_in_mprj[100] *2915:30 2.94004e-05 +*RES +1 *22834:X la_data_in_mprj[100] 17.2572 +*END + +*D_NET *134 0.00112331 +*CONN +*P la_data_in_mprj[101] O +*I *22835:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[101] 0.000373742 +2 *22835:X 0.000373742 +3 la_data_in_mprj[101] *4127:DIODE 0.000131305 +4 la_data_in_mprj[101] *390:7 0 +5 la_data_in_mprj[101] *2080:47 1.65523e-05 +6 la_data_in_mprj[101] *2080:49 0 +7 la_data_in_mprj[101] *2644:12 5.66806e-05 +8 la_data_in_mprj[101] *2931:31 0.000171288 +*RES +1 *22835:X la_data_in_mprj[101] 21.6884 +*END + +*D_NET *135 0.000468304 +*CONN +*P la_data_in_mprj[102] O +*I *22836:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[102] 0.000195172 +2 *22836:X 0.000195172 +3 la_data_in_mprj[102] *391:9 1.28879e-05 +4 la_data_in_mprj[102] *774:7 0 +5 la_data_in_mprj[102] *2934:9 6.50727e-05 +*RES +1 *22836:X la_data_in_mprj[102] 17.2572 +*END + +*D_NET *136 0.000986146 +*CONN +*P la_data_in_mprj[103] O +*I *22837:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[103] 0.000441451 +2 *22837:X 0.000441451 +3 la_data_in_mprj[103] *4130:DIODE 4.80932e-05 +4 la_data_in_mprj[103] *392:8 0 +5 la_data_in_mprj[103] *775:7 0 +6 la_data_in_mprj[103] *2930:9 2.54062e-05 +7 la_data_in_mprj[103] *2934:13 2.97435e-05 +*RES +1 *22837:X la_data_in_mprj[103] 21.6884 +*END + +*D_NET *137 0.00110414 +*CONN +*P la_data_in_mprj[104] O +*I *22838:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[104] 0.000390036 +2 *22838:X 0.000390036 +3 la_data_in_mprj[104] *4131:DIODE 1.56236e-05 +4 la_data_in_mprj[104] *22838:A 9.22607e-06 +5 la_data_in_mprj[104] *393:8 4.00419e-05 +6 la_data_in_mprj[104] *776:7 0 +7 la_data_in_mprj[104] *2514:10 9.34404e-05 +8 la_data_in_mprj[104] *2796:10 6.1578e-06 +9 la_data_in_mprj[104] *2796:11 6.50727e-05 +10 la_data_in_mprj[104] *2798:8 9.32891e-05 +11 la_data_in_mprj[104] *2930:9 1.21461e-06 +*RES +1 *22838:X la_data_in_mprj[104] 21.6884 +*END + +*D_NET *138 0.000928413 +*CONN +*P la_data_in_mprj[105] O +*I *22839:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[105] 0.000322714 +2 *22839:X 0.000322714 +3 la_data_in_mprj[105] *394:13 2.1203e-06 +4 la_data_in_mprj[105] *2515:15 0.000171288 +5 la_data_in_mprj[105] *2799:11 6.87762e-05 +6 la_data_in_mprj[105] *2911:22 4.08e-05 +*RES +1 *22839:X la_data_in_mprj[105] 19.832 +*END + +*D_NET *139 0.00135729 +*CONN +*P la_data_in_mprj[106] O +*I *22840:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[106] 0.000317787 +2 *22840:X 0.000317787 +3 la_data_in_mprj[106] *3850:DIODE 5.66868e-06 +4 la_data_in_mprj[106] *21662:A 0.000111131 +5 la_data_in_mprj[106] *21803:A 5.43206e-05 +6 la_data_in_mprj[106] *22840:A 6.92705e-05 +7 la_data_in_mprj[106] *395:5 3.30856e-06 +8 la_data_in_mprj[106] *522:8 2.65831e-05 +9 la_data_in_mprj[106] *778:7 0 +10 la_data_in_mprj[106] *2516:17 3.20069e-06 +11 la_data_in_mprj[106] *2517:18 0.000164829 +12 la_data_in_mprj[106] *2658:8 0.000103246 +13 la_data_in_mprj[106] *2800:8 0.000125549 +14 la_data_in_mprj[106] *2932:9 5.46101e-05 +*RES +1 *22840:X la_data_in_mprj[106] 24.7376 +*END + +*D_NET *140 0.00100484 +*CONN +*P la_data_in_mprj[107] O +*I *22841:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[107] 0.000329727 +2 *22841:X 0.000329727 +3 la_data_in_mprj[107] *3852:DIODE 0 +4 la_data_in_mprj[107] *4134:DIODE 0.00014038 +5 la_data_in_mprj[107] *396:7 0 +6 la_data_in_mprj[107] *779:8 0 +7 la_data_in_mprj[107] *2796:11 0.000111722 +8 la_data_in_mprj[107] *2801:9 9.32891e-05 +*RES +1 *22841:X la_data_in_mprj[107] 21.1338 +*END + +*D_NET *141 0.0012082 +*CONN +*P la_data_in_mprj[108] O +*I *22842:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[108] 0.000394078 +2 *22842:X 0.000394078 +3 la_data_in_mprj[108] *3853:DIODE 5.66868e-06 +4 la_data_in_mprj[108] *4135:DIODE 5.22654e-06 +5 la_data_in_mprj[108] *22842:A 0.000261387 +6 la_data_in_mprj[108] *397:5 3.76293e-05 +7 la_data_in_mprj[108] *780:7 0 +8 la_data_in_mprj[108] *2519:7 4.50571e-05 +9 la_data_in_mprj[108] *2802:11 6.50727e-05 +*RES +1 *22842:X la_data_in_mprj[108] 24.4053 +*END + +*D_NET *142 0.00121035 +*CONN +*P la_data_in_mprj[109] O +*I *22843:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[109] 0.000391235 +2 *22843:X 0.000391235 +3 la_data_in_mprj[109] *3854:DIODE 0 +4 la_data_in_mprj[109] *4136:DIODE 4.75924e-05 +5 la_data_in_mprj[109] *398:7 0 +6 la_data_in_mprj[109] *781:8 0 +7 la_data_in_mprj[109] *2636:14 3.22738e-05 +8 la_data_in_mprj[109] *2796:11 0.000215785 +9 la_data_in_mprj[109] *2803:8 0.00013223 +*RES +1 *22843:X la_data_in_mprj[109] 22.243 +*END + +*D_NET *143 0.000903958 +*CONN +*P la_data_in_mprj[10] O +*I *22844:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[10] 0.000297816 +2 *22844:X 0.000297816 +3 la_data_in_mprj[10] *4125:DIODE 7.93468e-05 +4 la_data_in_mprj[10] *4268:DIODE 2.23259e-05 +5 la_data_in_mprj[10] *399:5 3.6077e-05 +6 la_data_in_mprj[10] *2792:8 0.000170577 +*RES +1 *22844:X la_data_in_mprj[10] 21.1338 +*END + +*D_NET *144 0.00120681 +*CONN +*P la_data_in_mprj[110] O +*I *22845:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[110] 0.000401496 +2 *22845:X 0.000401496 +3 la_data_in_mprj[110] *21668:A 6.92705e-05 +4 la_data_in_mprj[110] *400:7 3.43723e-05 +5 la_data_in_mprj[110] *2521:7 0.000238083 +6 la_data_in_mprj[110] *2911:28 6.20888e-05 +*RES +1 *22845:X la_data_in_mprj[110] 24.3518 +*END + +*D_NET *145 0.000499432 +*CONN +*P la_data_in_mprj[111] O +*I *22846:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[111] 0.000235452 +2 *22846:X 0.000235452 +3 la_data_in_mprj[111] *401:5 0 +4 la_data_in_mprj[111] *784:8 0 +5 la_data_in_mprj[111] *2521:7 2.85274e-05 +*RES +1 *22846:X la_data_in_mprj[111] 17.8118 +*END + +*D_NET *146 0.000886058 +*CONN +*P la_data_in_mprj[112] O +*I *22847:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[112] 0.000318655 +2 *22847:X 0.000318655 +3 la_data_in_mprj[112] *3858:DIODE 0 +4 la_data_in_mprj[112] *4142:DIODE 6.17339e-05 +5 la_data_in_mprj[112] *402:7 4.6555e-05 +6 la_data_in_mprj[112] *785:7 0 +7 la_data_in_mprj[112] *2796:27 0.00011818 +8 la_data_in_mprj[112] *2809:10 2.22788e-05 +*RES +1 *22847:X la_data_in_mprj[112] 21.1338 +*END + +*D_NET *147 0.000563611 +*CONN +*P la_data_in_mprj[113] O +*I *22848:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[113] 0.000213765 +2 *22848:X 0.000213765 +3 la_data_in_mprj[113] *403:5 0 +4 la_data_in_mprj[113] *2521:7 2.80281e-05 +5 la_data_in_mprj[113] *2810:8 0.000108054 +*RES +1 *22848:X la_data_in_mprj[113] 17.8118 +*END + +*D_NET *148 0.000664308 +*CONN +*P la_data_in_mprj[114] O +*I *22849:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[114] 0.000299697 +2 *22849:X 0.000299697 +3 la_data_in_mprj[114] *404:8 4.26792e-05 +4 la_data_in_mprj[114] *787:8 0 +5 la_data_in_mprj[114] *2521:7 2.41274e-06 +6 la_data_in_mprj[114] *2521:11 1.75637e-06 +7 la_data_in_mprj[114] *2811:11 1.80647e-05 +*RES +1 *22849:X la_data_in_mprj[114] 18.921 +*END + +*D_NET *149 0.000951016 +*CONN +*P la_data_in_mprj[115] O +*I *22850:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[115] 0.000360799 +2 *22850:X 0.000360799 +3 la_data_in_mprj[115] *3860:DIODE 4.00463e-05 +4 la_data_in_mprj[115] *4145:DIODE 3.06153e-05 +5 la_data_in_mprj[115] *405:11 0 +6 la_data_in_mprj[115] *2094:13 4.05768e-05 +7 la_data_in_mprj[115] *2796:27 0.00011818 +*RES +1 *22850:X la_data_in_mprj[115] 21.1338 +*END + +*D_NET *150 0.00101434 +*CONN +*P la_data_in_mprj[116] O +*I *22851:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[116] 0.000358414 +2 *22851:X 0.000358414 +3 la_data_in_mprj[116] *4003:DIODE 0.000107052 +4 la_data_in_mprj[116] *4146:DIODE 8.3897e-06 +5 la_data_in_mprj[116] *406:8 4.04088e-05 +6 la_data_in_mprj[116] *534:5 0 +7 la_data_in_mprj[116] *789:11 2.34838e-05 +8 la_data_in_mprj[116] *2796:27 0.00011818 +*RES +1 *22851:X la_data_in_mprj[116] 21.1338 +*END + +*D_NET *151 0.000956483 +*CONN +*P la_data_in_mprj[117] O +*I *22852:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[117] 0.000352762 +2 *22852:X 0.000352762 +3 la_data_in_mprj[117] *3864:DIODE 0 +4 la_data_in_mprj[117] *407:5 0 +5 la_data_in_mprj[117] *790:7 0 +6 la_data_in_mprj[117] *2672:14 0.000139038 +7 la_data_in_mprj[117] *2804:17 4.31703e-05 +8 la_data_in_mprj[117] *2814:6 6.87503e-05 +*RES +1 *22852:X la_data_in_mprj[117] 21.1338 +*END + +*D_NET *152 0.000441081 +*CONN +*P la_data_in_mprj[118] O +*I *22853:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[118] 0.000172548 +2 *22853:X 0.000172548 +3 la_data_in_mprj[118] *408:8 3.09266e-05 +4 la_data_in_mprj[118] *791:8 6.50586e-05 +*RES +1 *22853:X la_data_in_mprj[118] 17.2572 +*END + +*D_NET *153 0.00104894 +*CONN +*P la_data_in_mprj[119] O +*I *22854:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[119] 0.000367968 +2 *22854:X 0.000367968 +3 la_data_in_mprj[119] *4007:DIODE 0.000127469 +4 la_data_in_mprj[119] *4149:DIODE 2.25583e-07 +5 la_data_in_mprj[119] *21961:A 7.12632e-06 +6 la_data_in_mprj[119] *409:5 0 +7 la_data_in_mprj[119] *792:7 0 +8 la_data_in_mprj[119] *2532:7 1.31897e-05 +9 la_data_in_mprj[119] *2674:8 0.000164998 +*RES +1 *22854:X la_data_in_mprj[119] 21.1338 +*END + +*D_NET *154 0.000906538 +*CONN +*P la_data_in_mprj[11] O +*I *22855:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[11] 0.000270277 +2 *22855:X 0.000270277 +3 la_data_in_mprj[11] *3997:DIODE 0.00015324 +4 la_data_in_mprj[11] *2664:8 7.86847e-05 +5 la_data_in_mprj[11] *2732:15 9.25605e-05 +6 la_data_in_mprj[11] *2805:10 1.53125e-05 +7 la_data_in_mprj[11] *2898:12 2.61857e-05 +*RES +1 *22855:X la_data_in_mprj[11] 21.1338 +*END + +*D_NET *155 0.000949052 +*CONN +*P la_data_in_mprj[120] O +*I *22856:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[120] 0.000309575 +2 *22856:X 0.000309575 +3 la_data_in_mprj[120] *4150:DIODE 0.000130014 +4 la_data_in_mprj[120] *411:8 3.83957e-05 +5 la_data_in_mprj[120] *2520:12 0.000119107 +6 la_data_in_mprj[120] *3224:15 4.23858e-05 +*RES +1 *22856:X la_data_in_mprj[120] 21.1338 +*END + +*D_NET *156 0.000865796 +*CONN +*P la_data_in_mprj[121] O +*I *22857:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[121] 0.000354858 +2 *22857:X 0.000354858 +3 la_data_in_mprj[121] *3869:DIODE 0 +4 la_data_in_mprj[121] *4153:DIODE 3.31736e-05 +5 la_data_in_mprj[121] *412:5 0 +6 la_data_in_mprj[121] *795:7 0 +7 la_data_in_mprj[121] *2820:8 7.21753e-05 +8 la_data_in_mprj[121] *3224:15 5.07314e-05 +*RES +1 *22857:X la_data_in_mprj[121] 21.1338 +*END + +*D_NET *157 0.0012291 +*CONN +*P la_data_in_mprj[122] O +*I *22858:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[122] 0.000382083 +2 *22858:X 0.000382083 +3 la_data_in_mprj[122] *3870:DIODE 5.66868e-06 +4 la_data_in_mprj[122] *21682:A 0.000107496 +5 la_data_in_mprj[122] *413:5 3.23531e-05 +6 la_data_in_mprj[122] *2678:8 2.39535e-05 +7 la_data_in_mprj[122] *2815:5 0.000174986 +8 la_data_in_mprj[122] *2821:8 0.00012048 +*RES +1 *22858:X la_data_in_mprj[122] 24.4053 +*END + +*D_NET *158 0.000580803 +*CONN +*P la_data_in_mprj[123] O +*I *22859:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[123] 0.00021798 +2 *22859:X 0.00021798 +3 la_data_in_mprj[123] *414:10 0 +4 la_data_in_mprj[123] *2815:5 1.92926e-05 +5 la_data_in_mprj[123] *2822:15 0.000125549 +*RES +1 *22859:X la_data_in_mprj[123] 17.8118 +*END + +*D_NET *159 0.000457297 +*CONN +*P la_data_in_mprj[124] O +*I *22860:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[124] 0.000207509 +2 *22860:X 0.000207509 +3 la_data_in_mprj[124] *415:7 5.86517e-06 +4 la_data_in_mprj[124] *798:7 0 +5 la_data_in_mprj[124] *2647:12 2.72156e-05 +6 la_data_in_mprj[124] *2815:5 9.19886e-06 +*RES +1 *22860:X la_data_in_mprj[124] 17.2572 +*END + +*D_NET *160 0.000927325 +*CONN +*P la_data_in_mprj[125] O +*I *22861:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[125] 0.000354428 +2 *22861:X 0.000354428 +3 la_data_in_mprj[125] *4157:DIODE 3.17121e-05 +4 la_data_in_mprj[125] *416:8 0 +5 la_data_in_mprj[125] *544:5 0 +6 la_data_in_mprj[125] *799:7 0 +7 la_data_in_mprj[125] *2539:10 6.85778e-05 +8 la_data_in_mprj[125] *2813:29 0.00011818 +*RES +1 *22861:X la_data_in_mprj[125] 21.1338 +*END + +*D_NET *161 0.000914411 +*CONN +*P la_data_in_mprj[126] O +*I *22862:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[126] 0.00033006 +2 *22862:X 0.00033006 +3 la_data_in_mprj[126] *3875:DIODE 4.24139e-05 +4 la_data_in_mprj[126] *4158:DIODE 5.8705e-05 +5 la_data_in_mprj[126] *417:5 3.49912e-05 +6 la_data_in_mprj[126] *800:7 0 +7 la_data_in_mprj[126] *2813:29 0.00011818 +*RES +1 *22862:X la_data_in_mprj[126] 21.1338 +*END + +*D_NET *162 0.00130782 +*CONN +*P la_data_in_mprj[127] O +*I *22863:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[127] 0.000411531 +2 *22863:X 0.000411531 +3 la_data_in_mprj[127] *4159:DIODE 5.82321e-05 +4 la_data_in_mprj[127] *801:7 0 +5 la_data_in_mprj[127] *2536:12 4.91673e-05 +6 la_data_in_mprj[127] *2813:29 0.000260388 +7 la_data_in_mprj[127] *2826:8 0.000116971 +*RES +1 *22863:X la_data_in_mprj[127] 22.7976 +*END + +*D_NET *163 0.00094786 +*CONN +*P la_data_in_mprj[12] O +*I *22864:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[12] 0.000255569 +2 *22864:X 0.000255569 +3 la_data_in_mprj[12] *3877:DIODE 4.10689e-05 +4 la_data_in_mprj[12] *4152:DIODE 0.000156823 +5 la_data_in_mprj[12] *419:5 4.08069e-05 +6 la_data_in_mprj[12] *794:13 0.000191108 +7 la_data_in_mprj[12] *3330:11 6.91561e-06 +*RES +1 *22864:X la_data_in_mprj[12] 21.1338 +*END + +*D_NET *164 0.0010558 +*CONN +*P la_data_in_mprj[13] O +*I *22865:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[13] 0.000259012 +2 *22865:X 0.000259012 +3 la_data_in_mprj[13] *4019:DIODE 0.00015324 +4 la_data_in_mprj[13] *2544:10 7.77309e-06 +5 la_data_in_mprj[13] *2688:9 4.31703e-05 +6 la_data_in_mprj[13] *2744:15 0.000333593 +*RES +1 *22865:X la_data_in_mprj[13] 21.1338 +*END + +*D_NET *165 0.00131879 +*CONN +*P la_data_in_mprj[14] O +*I *22866:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[14] 0.000300741 +2 *22866:X 0.000300741 +3 la_data_in_mprj[14] *21691:A 0.000169872 +4 la_data_in_mprj[14] *421:5 3.51946e-05 +5 la_data_in_mprj[14] *548:16 6.3657e-05 +6 la_data_in_mprj[14] *2687:10 0.000315622 +7 la_data_in_mprj[14] *2819:16 6.08697e-06 +8 la_data_in_mprj[14] *2830:15 0.000126878 +*RES +1 *22866:X la_data_in_mprj[14] 23.2426 +*END + +*D_NET *166 0.00113153 +*CONN +*P la_data_in_mprj[15] O +*I *22867:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[15] 0.000479645 +2 *22867:X 0.000479645 +3 la_data_in_mprj[15] *3880:DIODE 5.04829e-06 +4 la_data_in_mprj[15] *4164:DIODE 3.8079e-05 +5 la_data_in_mprj[15] *422:7 0 +6 la_data_in_mprj[15] *805:7 0 +7 la_data_in_mprj[15] *2830:16 5.04264e-05 +8 la_data_in_mprj[15] *2831:6 7.86847e-05 +*RES +1 *22867:X la_data_in_mprj[15] 23.3522 +*END + +*D_NET *167 0.000970185 +*CONN +*P la_data_in_mprj[16] O +*I *22868:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[16] 0.000330742 +2 *22868:X 0.000330742 +3 la_data_in_mprj[16] *806:5 0 +4 la_data_in_mprj[16] *2768:20 0.000143859 +5 la_data_in_mprj[16] *2832:10 0.000164843 +*RES +1 *22868:X la_data_in_mprj[16] 21.6884 +*END + +*D_NET *168 0.00085131 +*CONN +*P la_data_in_mprj[17] O +*I *22869:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[17] 0.000293612 +2 *22869:X 0.000293612 +3 la_data_in_mprj[17] *3881:DIODE 5.54078e-05 +4 la_data_in_mprj[17] *4166:DIODE 1.78514e-05 +5 la_data_in_mprj[17] *424:5 0 +6 la_data_in_mprj[17] *807:10 0 +7 la_data_in_mprj[17] *2547:8 0 +8 la_data_in_mprj[17] *2548:8 0 +9 la_data_in_mprj[17] *2549:12 4.26859e-05 +10 la_data_in_mprj[17] *2832:10 0.000113968 +11 la_data_in_mprj[17] *2833:11 3.41725e-05 +*RES +1 *22869:X la_data_in_mprj[17] 21.1338 +*END + +*D_NET *169 0.000775169 +*CONN +*P la_data_in_mprj[18] O +*I *22870:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[18] 0.000299376 +2 *22870:X 0.000299376 +3 la_data_in_mprj[18] *22870:A 1.92336e-05 +4 la_data_in_mprj[18] *425:10 0.000119876 +5 la_data_in_mprj[18] *808:8 2.65831e-05 +6 la_data_in_mprj[18] *2834:6 1.07248e-05 +*RES +1 *22870:X la_data_in_mprj[18] 19.8248 +*END + +*D_NET *170 0.00091665 +*CONN +*P la_data_in_mprj[19] O +*I *22871:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[19] 0.000374521 +2 *22871:X 0.000374521 +3 la_data_in_mprj[19] *4168:DIODE 0 +4 la_data_in_mprj[19] *22871:A 1.43983e-05 +5 la_data_in_mprj[19] *426:12 0 +6 la_data_in_mprj[19] *554:5 0 +7 la_data_in_mprj[19] *809:7 0 +8 la_data_in_mprj[19] *2550:6 0.00015321 +9 la_data_in_mprj[19] *2835:6 0 +*RES +1 *22871:X la_data_in_mprj[19] 21.6884 +*END + +*D_NET *171 0.0011049 +*CONN +*P la_data_in_mprj[1] O +*I *22872:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[1] 0.000477683 +2 *22872:X 0.000477683 +3 la_data_in_mprj[1] *3843:DIODE 0.00011818 +4 la_data_in_mprj[1] *427:7 3.13557e-05 +5 la_data_in_mprj[1] *772:8 0 +*RES +1 *22872:X la_data_in_mprj[1] 22.5978 +*END + +*D_NET *172 0.000821309 +*CONN +*P la_data_in_mprj[20] O +*I *22873:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[20] 0.000340226 +2 *22873:X 0.000340226 +3 la_data_in_mprj[20] *3887:DIODE 0 +4 la_data_in_mprj[20] *4169:DIODE 6.3152e-05 +5 la_data_in_mprj[20] *22873:A 1.84293e-05 +6 la_data_in_mprj[20] *428:8 5.92767e-05 +7 la_data_in_mprj[20] *810:7 0 +8 la_data_in_mprj[20] *2554:6 0 +*RES +1 *22873:X la_data_in_mprj[20] 21.6884 +*END + +*D_NET *173 0.000879414 +*CONN +*P la_data_in_mprj[21] O +*I *22874:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[21] 0.000276524 +2 *22874:X 0.000276524 +3 la_data_in_mprj[21] *4171:DIODE 3.8079e-05 +4 la_data_in_mprj[21] *22874:A 4.30017e-06 +5 la_data_in_mprj[21] *429:5 0 +6 la_data_in_mprj[21] *812:7 0 +7 la_data_in_mprj[21] *2838:6 7.86847e-05 +8 la_data_in_mprj[21] *2839:8 0.000205302 +*RES +1 *22874:X la_data_in_mprj[21] 21.1338 +*END + +*D_NET *174 0.000920909 +*CONN +*P la_data_in_mprj[22] O +*I *22875:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[22] 0.000293549 +2 *22875:X 0.000293549 +3 la_data_in_mprj[22] *430:10 1.26812e-06 +4 la_data_in_mprj[22] *557:11 0 +5 la_data_in_mprj[22] *813:8 0 +6 la_data_in_mprj[22] *2676:20 0.000332543 +*RES +1 *22875:X la_data_in_mprj[22] 21.1338 +*END + +*D_NET *175 0.000734024 +*CONN +*P la_data_in_mprj[23] O +*I *22876:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[23] 0.000367012 +2 *22876:X 0.000367012 +3 la_data_in_mprj[23] *3890:DIODE 0 +4 la_data_in_mprj[23] *4174:DIODE 0 +5 la_data_in_mprj[23] *431:5 0 +6 la_data_in_mprj[23] *814:5 0 +*RES +1 *22876:X la_data_in_mprj[23] 21.1338 +*END + +*D_NET *176 0.0015475 +*CONN +*P la_data_in_mprj[24] O +*I *22877:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[24] 0.000517541 +2 *22877:X 0.000517541 +3 la_data_in_mprj[24] *4175:DIODE 5.09367e-05 +4 la_data_in_mprj[24] *21703:A 0.000116764 +5 la_data_in_mprj[24] *432:5 1.5876e-05 +6 la_data_in_mprj[24] *815:8 1.55025e-05 +7 la_data_in_mprj[24] *2556:8 1.39717e-06 +8 la_data_in_mprj[24] *2558:10 0.000169122 +9 la_data_in_mprj[24] *2841:5 4.75476e-05 +10 la_data_in_mprj[24] *2842:11 9.52753e-05 +*RES +1 *22877:X la_data_in_mprj[24] 24.8622 +*END + +*D_NET *177 0.000725512 +*CONN +*P la_data_in_mprj[25] O +*I *22878:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[25] 0.000198109 +2 *22878:X 0.000198109 +3 la_data_in_mprj[25] *433:5 0 +4 la_data_in_mprj[25] *2558:10 0.000162739 +5 la_data_in_mprj[25] *2842:12 1.73605e-05 +6 la_data_in_mprj[25] *2843:11 0.000149194 +*RES +1 *22878:X la_data_in_mprj[25] 18.3664 +*END + +*D_NET *178 0.00100671 +*CONN +*P la_data_in_mprj[26] O +*I *22879:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[26] 0.000371165 +2 *22879:X 0.000371165 +3 la_data_in_mprj[26] *4035:DIODE 6.31954e-05 +4 la_data_in_mprj[26] *21989:A 2.65831e-05 +5 la_data_in_mprj[26] *434:8 3.77501e-05 +6 la_data_in_mprj[26] *817:5 0 +7 la_data_in_mprj[26] *2702:8 9.63545e-05 +8 la_data_in_mprj[26] *2844:9 4.04995e-05 +*RES +1 *22879:X la_data_in_mprj[26] 23.7675 +*END + +*D_NET *179 0.000801285 +*CONN +*P la_data_in_mprj[27] O +*I *22880:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[27] 0.000316318 +2 *22880:X 0.000316318 +3 la_data_in_mprj[27] *3894:DIODE 0 +4 la_data_in_mprj[27] *4036:DIODE 0.000148159 +5 la_data_in_mprj[27] *21990:A 2.41274e-06 +6 la_data_in_mprj[27] *435:5 0 +7 la_data_in_mprj[27] *818:5 0 +8 la_data_in_mprj[27] *2844:9 1.8078e-05 +*RES +1 *22880:X la_data_in_mprj[27] 21.1338 +*END + +*D_NET *180 0.00116507 +*CONN +*P la_data_in_mprj[28] O +*I *22881:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[28] 0.000378662 +2 *22881:X 0.000378662 +3 la_data_in_mprj[28] *4179:DIODE 6.66538e-05 +4 la_data_in_mprj[28] *436:8 6.35883e-05 +5 la_data_in_mprj[28] *819:7 0 +6 la_data_in_mprj[28] *2563:6 0 +7 la_data_in_mprj[28] *2704:9 0.000277502 +*RES +1 *22881:X la_data_in_mprj[28] 22.7976 +*END + +*D_NET *181 0.00089509 +*CONN +*P la_data_in_mprj[29] O +*I *22882:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[29] 0.000294304 +2 *22882:X 0.000294304 +3 la_data_in_mprj[29] *3897:DIODE 0 +4 la_data_in_mprj[29] *4038:DIODE 0.000148159 +5 la_data_in_mprj[29] *437:8 0 +6 la_data_in_mprj[29] *820:5 0 +7 la_data_in_mprj[29] *2704:9 0.00011818 +8 la_data_in_mprj[29] *2705:8 4.01437e-05 +*RES +1 *22882:X la_data_in_mprj[29] 21.1338 +*END + +*D_NET *182 0.000490502 +*CONN +*P la_data_in_mprj[2] O +*I *22883:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[2] 0.000159029 +2 *22883:X 0.000159029 +3 la_data_in_mprj[2] *22872:A 2.85274e-05 +4 la_data_in_mprj[2] *438:8 4.27689e-05 +5 la_data_in_mprj[2] *811:7 0 +6 la_data_in_mprj[2] *2837:11 0.000101148 +*RES +1 *22883:X la_data_in_mprj[2] 17.8118 +*END + +*D_NET *183 0.00246317 +*CONN +*P la_data_in_mprj[30] O +*I *22884:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[30] 0.000715449 +2 *22884:X 0.000715449 +3 la_data_in_mprj[30] *439:8 1.59783e-05 +4 la_data_in_mprj[30] *2564:11 2.06059e-05 +5 la_data_in_mprj[30] *2566:20 7.50872e-05 +6 la_data_in_mprj[30] *2664:20 0.000678817 +7 la_data_in_mprj[30] *2850:13 0.000135934 +8 la_data_in_mprj[30] *2961:43 0.000105847 +*RES +1 *22884:X la_data_in_mprj[30] 36.411 +*END + +*D_NET *184 0.00114482 +*CONN +*P la_data_in_mprj[31] O +*I *22885:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[31] 0.00038793 +2 *22885:X 0.00038793 +3 la_data_in_mprj[31] *22884:A 1.29348e-05 +4 la_data_in_mprj[31] *440:16 2.73889e-06 +5 la_data_in_mprj[31] *2664:20 0.000104642 +6 la_data_in_mprj[31] *2704:9 0.000167076 +7 la_data_in_mprj[31] *2850:13 8.15658e-05 +*RES +1 *22885:X la_data_in_mprj[31] 22.5922 +*END + +*D_NET *185 0.00114282 +*CONN +*P la_data_in_mprj[32] O +*I *22886:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[32] 0.000222275 +2 *22886:X 0.000222275 +3 la_data_in_mprj[32] *2564:12 0.000328526 +4 la_data_in_mprj[32] *2566:20 0.000258017 +5 la_data_in_mprj[32] *2852:10 0.000111722 +*RES +1 *22886:X la_data_in_mprj[32] 21.1338 +*END + +*D_NET *186 0.00142281 +*CONN +*P la_data_in_mprj[33] O +*I *22887:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[33] 0.00027505 +2 *22887:X 0.00027505 +3 la_data_in_mprj[33] *4186:DIODE 0.000266309 +4 la_data_in_mprj[33] *442:8 0 +5 la_data_in_mprj[33] *825:13 0.000201316 +6 la_data_in_mprj[33] *2568:6 0 +7 la_data_in_mprj[33] *2569:8 0 +8 la_data_in_mprj[33] *2709:7 0.000326398 +9 la_data_in_mprj[33] *2853:8 7.86847e-05 +*RES +1 *22887:X la_data_in_mprj[33] 23.7675 +*END + +*D_NET *187 0.00100745 +*CONN +*P la_data_in_mprj[34] O +*I *22888:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[34] 0.000224069 +2 *22888:X 0.000224069 +3 la_data_in_mprj[34] *3903:DIODE 3.64684e-05 +4 la_data_in_mprj[34] *4187:DIODE 0.000151741 +5 la_data_in_mprj[34] *443:5 2.45128e-05 +6 la_data_in_mprj[34] *826:13 0.000196219 +7 la_data_in_mprj[34] *2709:7 5.07314e-05 +8 la_data_in_mprj[34] *2854:8 9.96342e-05 +*RES +1 *22888:X la_data_in_mprj[34] 21.1338 +*END + +*D_NET *188 0.0010323 +*CONN +*P la_data_in_mprj[35] O +*I *22889:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[35] 0.000279418 +2 *22889:X 0.000279418 +3 la_data_in_mprj[35] *2841:10 9.35979e-05 +4 la_data_in_mprj[35] *2846:19 0.000305615 +5 la_data_in_mprj[35] *2848:21 3.60268e-05 +6 la_data_in_mprj[35] *2855:10 3.82228e-05 +*RES +1 *22889:X la_data_in_mprj[35] 21.1338 +*END + +*D_NET *189 0.000753068 +*CONN +*P la_data_in_mprj[36] O +*I *22890:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[36] 0.000302403 +2 *22890:X 0.000302403 +3 la_data_in_mprj[36] *22890:A 3.14978e-05 +4 la_data_in_mprj[36] *445:12 5.16909e-05 +5 la_data_in_mprj[36] *828:8 0 +6 la_data_in_mprj[36] *2570:9 6.50727e-05 +*RES +1 *22890:X la_data_in_mprj[36] 19.8248 +*END + +*D_NET *190 0.00108755 +*CONN +*P la_data_in_mprj[37] O +*I *22891:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[37] 0.000540732 +2 *22891:X 0.000540732 +3 la_data_in_mprj[37] *4190:DIODE 2.41274e-06 +4 la_data_in_mprj[37] *446:5 0 +5 la_data_in_mprj[37] *829:8 0 +6 la_data_in_mprj[37] *2715:6 3.67528e-06 +*RES +1 *22891:X la_data_in_mprj[37] 22.2486 +*END + +*D_NET *191 0.000873741 +*CONN +*P la_data_in_mprj[38] O +*I *22892:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[38] 0.000289326 +2 *22892:X 0.000289326 +3 la_data_in_mprj[38] *3908:DIODE 4.10689e-05 +4 la_data_in_mprj[38] *22891:A 0.00015324 +5 la_data_in_mprj[38] *447:5 5.00486e-05 +6 la_data_in_mprj[38] *830:7 0 +7 la_data_in_mprj[38] *2716:20 5.07314e-05 +*RES +1 *22892:X la_data_in_mprj[38] 21.1338 +*END + +*D_NET *192 0.000988334 +*CONN +*P la_data_in_mprj[39] O +*I *22893:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[39] 0.000414668 +2 *22893:X 0.000414668 +3 la_data_in_mprj[39] *448:5 0 +4 la_data_in_mprj[39] *831:8 0 +5 la_data_in_mprj[39] *2859:13 0.000158997 +*RES +1 *22893:X la_data_in_mprj[39] 21.1394 +*END + +*D_NET *193 0.00111005 +*CONN +*P la_data_in_mprj[3] O +*I *22894:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[3] 0.000361918 +2 *22894:X 0.000361918 +3 la_data_in_mprj[3] *3910:DIODE 0 +4 la_data_in_mprj[3] *21722:A 0.000114594 +5 la_data_in_mprj[3] *449:5 0 +6 la_data_in_mprj[3] *822:9 0 +7 la_data_in_mprj[3] *2565:11 2.6833e-05 +8 la_data_in_mprj[3] *2577:11 0.00015574 +9 la_data_in_mprj[3] *2708:10 8.90486e-05 +*RES +1 *22894:X la_data_in_mprj[3] 24.0678 +*END + +*D_NET *194 0.00076979 +*CONN +*P la_data_in_mprj[40] O +*I *22895:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[40] 0.000308957 +2 *22895:X 0.000308957 +3 la_data_in_mprj[40] *4193:DIODE 5.54078e-05 +4 la_data_in_mprj[40] *450:8 4.5737e-05 +5 la_data_in_mprj[40] *578:5 0 +6 la_data_in_mprj[40] *832:8 0 +7 la_data_in_mprj[40] *2716:20 5.07314e-05 +*RES +1 *22895:X la_data_in_mprj[40] 21.1338 +*END + +*D_NET *195 0.00137632 +*CONN +*P la_data_in_mprj[41] O +*I *22896:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[41] 0.000447013 +2 *22896:X 0.000447013 +3 la_data_in_mprj[41] *3911:DIODE 7.77309e-06 +4 la_data_in_mprj[41] *3912:DIODE 0 +5 la_data_in_mprj[41] *4196:DIODE 8.62625e-06 +6 la_data_in_mprj[41] *21724:A 0.000154145 +7 la_data_in_mprj[41] *22896:A 3.6833e-05 +8 la_data_in_mprj[41] *451:5 0 +9 la_data_in_mprj[41] *579:12 3.14978e-05 +10 la_data_in_mprj[41] *834:11 1.97183e-05 +11 la_data_in_mprj[41] *2863:17 0.000149233 +12 la_data_in_mprj[41] *2864:10 7.44635e-05 +13 la_data_in_mprj[41] *3271:11 0 +*RES +1 *22896:X la_data_in_mprj[41] 24.9693 +*END + +*D_NET *196 0.000813812 +*CONN +*P la_data_in_mprj[42] O +*I *22897:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[42] 0.000321551 +2 *22897:X 0.000321551 +3 la_data_in_mprj[42] *3913:DIODE 4.97193e-05 +4 la_data_in_mprj[42] *4197:DIODE 0 +5 la_data_in_mprj[42] *452:5 3.59657e-05 +6 la_data_in_mprj[42] *835:5 0 +7 la_data_in_mprj[42] *2716:23 5.07314e-05 +8 la_data_in_mprj[42] *2722:10 3.42931e-05 +*RES +1 *22897:X la_data_in_mprj[42] 21.1338 +*END + +*D_NET *197 0.00121904 +*CONN +*P la_data_in_mprj[43] O +*I *22898:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[43] 0.000481212 +2 *22898:X 0.000481212 +3 la_data_in_mprj[43] *21726:A 0.00011818 +4 la_data_in_mprj[43] *453:5 0 +5 la_data_in_mprj[43] *836:8 0 +6 la_data_in_mprj[43] *2580:10 9.78551e-06 +7 la_data_in_mprj[43] *2723:13 1.47102e-05 +8 la_data_in_mprj[43] *2865:16 0.000113942 +*RES +1 *22898:X la_data_in_mprj[43] 22.4268 +*END + +*D_NET *198 0.00055215 +*CONN +*P la_data_in_mprj[44] O +*I *22899:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[44] 0.000198661 +2 *22899:X 0.000198661 +3 la_data_in_mprj[44] *454:16 1.00538e-05 +4 la_data_in_mprj[44] *837:12 0.000118166 +5 la_data_in_mprj[44] *2582:10 8.62625e-06 +6 la_data_in_mprj[44] *2723:14 1.79807e-05 +*RES +1 *22899:X la_data_in_mprj[44] 17.8118 +*END + +*D_NET *199 0.000583187 +*CONN +*P la_data_in_mprj[45] O +*I *22900:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[45] 0.000258887 +2 *22900:X 0.000258887 +3 la_data_in_mprj[45] *22900:A 3.07159e-05 +4 la_data_in_mprj[45] *455:5 0 +5 la_data_in_mprj[45] *2854:18 3.46976e-05 +*RES +1 *22900:X la_data_in_mprj[45] 18.3664 +*END + +*D_NET *200 0.00106958 +*CONN +*P la_data_in_mprj[46] O +*I *22901:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[46] 0.000337683 +2 *22901:X 0.000337683 +3 la_data_in_mprj[46] *3919:DIODE 8.32204e-06 +4 la_data_in_mprj[46] *4201:DIODE 3.0676e-05 +5 la_data_in_mprj[46] *21731:A 0.000111722 +6 la_data_in_mprj[46] *22901:A 0 +7 la_data_in_mprj[46] *456:5 7.40573e-05 +8 la_data_in_mprj[46] *839:8 0 +9 la_data_in_mprj[46] *840:10 1.64789e-05 +10 la_data_in_mprj[46] *2868:7 0.000152955 +*RES +1 *22901:X la_data_in_mprj[46] 23.8623 +*END + +*D_NET *201 0.0005407 +*CONN +*P la_data_in_mprj[47] O +*I *22902:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[47] 0.000165425 +2 *22902:X 0.000165425 +3 la_data_in_mprj[47] *4202:DIODE 6.50727e-05 +4 la_data_in_mprj[47] *457:7 0 +5 la_data_in_mprj[47] *840:10 0 +6 la_data_in_mprj[47] *2583:18 7.50872e-05 +7 la_data_in_mprj[47] *2869:16 6.96906e-05 +*RES +1 *22902:X la_data_in_mprj[47] 17.8118 +*END + +*D_NET *202 0.00103851 +*CONN +*P la_data_in_mprj[48] O +*I *22903:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[48] 0.000276934 +2 *22903:X 0.000276934 +3 la_data_in_mprj[48] *4203:DIODE 0.000148144 +4 la_data_in_mprj[48] *458:7 1.45054e-05 +5 la_data_in_mprj[48] *841:8 0 +6 la_data_in_mprj[48] *2586:12 4.0327e-05 +7 la_data_in_mprj[48] *2588:12 0.000174175 +8 la_data_in_mprj[48] *3278:7 0.000107496 +*RES +1 *22903:X la_data_in_mprj[48] 21.1338 +*END + +*D_NET *203 0.000651275 +*CONN +*P la_data_in_mprj[49] O +*I *22904:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[49] 0.000259016 +2 *22904:X 0.000259016 +3 la_data_in_mprj[49] *459:10 0 +4 la_data_in_mprj[49] *842:8 0 +5 la_data_in_mprj[49] *2587:14 1.79807e-05 +6 la_data_in_mprj[49] *2869:16 0.000115263 +*RES +1 *22904:X la_data_in_mprj[49] 19.2774 +*END + +*D_NET *204 0.000606284 +*CONN +*P la_data_in_mprj[4] O +*I *22905:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[4] 0.000173478 +2 *22905:X 0.000173478 +3 la_data_in_mprj[4] *460:5 2.72518e-05 +4 la_data_in_mprj[4] *833:10 0 +5 la_data_in_mprj[4] *2577:11 0.00011818 +6 la_data_in_mprj[4] *2861:11 0.000113897 +*RES +1 *22905:X la_data_in_mprj[4] 17.8118 +*END + +*D_NET *205 0.00113338 +*CONN +*P la_data_in_mprj[50] O +*I *22906:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[50] 0.000292323 +2 *22906:X 0.000292323 +3 la_data_in_mprj[50] *4064:DIODE 0.000127194 +4 la_data_in_mprj[50] *4205:DIODE 5.94319e-06 +5 la_data_in_mprj[50] *461:8 5.66809e-05 +6 la_data_in_mprj[50] *589:5 0 +7 la_data_in_mprj[50] *843:8 0 +8 la_data_in_mprj[50] *2591:14 5.93375e-06 +9 la_data_in_mprj[50] *2733:7 0.000164829 +10 la_data_in_mprj[50] *2872:15 0.000113077 +11 la_data_in_mprj[50] *2875:10 7.50722e-05 +*RES +1 *22906:X la_data_in_mprj[50] 21.6884 +*END + +*D_NET *206 0.000817148 +*CONN +*P la_data_in_mprj[51] O +*I *22907:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[51] 0.000327203 +2 *22907:X 0.000327203 +3 la_data_in_mprj[51] *3924:DIODE 5.04879e-05 +4 la_data_in_mprj[51] *4208:DIODE 6.64535e-05 +5 la_data_in_mprj[51] *462:8 0 +6 la_data_in_mprj[51] *589:5 0 +7 la_data_in_mprj[51] *845:8 0 +8 la_data_in_mprj[51] *2730:8 4.58003e-05 +*RES +1 *22907:X la_data_in_mprj[51] 21.1338 +*END + +*D_NET *207 0.00161237 +*CONN +*P la_data_in_mprj[52] O +*I *22908:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[52] 0.00029614 +2 *22908:X 0.00029614 +3 la_data_in_mprj[52] *3925:DIODE 5.54078e-05 +4 la_data_in_mprj[52] *4209:DIODE 0.000170577 +5 la_data_in_mprj[52] *23160:A 0.000164843 +6 la_data_in_mprj[52] *463:9 3.78083e-05 +7 la_data_in_mprj[52] *846:8 0 +8 la_data_in_mprj[52] *2592:15 0 +9 la_data_in_mprj[52] *2730:8 0.000164829 +10 la_data_in_mprj[52] *2734:14 0.00041102 +11 la_data_in_mprj[52] *2876:21 0 +12 la_data_in_mprj[52] *3283:9 1.55995e-05 +*RES +1 *22908:X la_data_in_mprj[52] 24.8767 +*END + +*D_NET *208 0.00117465 +*CONN +*P la_data_in_mprj[53] O +*I *22909:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[53] 0.000423633 +2 *22909:X 0.000423633 +3 la_data_in_mprj[53] *3927:DIODE 0 +4 la_data_in_mprj[53] *21739:A 0.00011818 +5 la_data_in_mprj[53] *21881:A 7.48797e-05 +6 la_data_in_mprj[53] *464:5 0 +7 la_data_in_mprj[53] *847:5 0 +8 la_data_in_mprj[53] *2731:8 5.56367e-05 +9 la_data_in_mprj[53] *2735:11 7.86847e-05 +*RES +1 *22909:X la_data_in_mprj[53] 24.9599 +*END + +*D_NET *209 0.00137354 +*CONN +*P la_data_in_mprj[54] O +*I *22910:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[54] 0.000418728 +2 *22910:X 0.000418728 +3 la_data_in_mprj[54] *3928:DIODE 0 +4 la_data_in_mprj[54] *465:7 7.15399e-05 +5 la_data_in_mprj[54] *848:8 0 +6 la_data_in_mprj[54] *2736:17 0.000189906 +7 la_data_in_mprj[54] *2878:19 3.58315e-06 +8 la_data_in_mprj[54] *3285:10 0.000271058 +*RES +1 *22910:X la_data_in_mprj[54] 23.7014 +*END + +*D_NET *210 0.00116633 +*CONN +*P la_data_in_mprj[55] O +*I *22911:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[55] 0.000384364 +2 *22911:X 0.000384364 +3 la_data_in_mprj[55] *3930:DIODE 0.000153565 +4 la_data_in_mprj[55] *466:8 0.00011818 +5 la_data_in_mprj[55] *594:22 3.50943e-05 +6 la_data_in_mprj[55] *849:8 6.50727e-05 +7 la_data_in_mprj[55] *2879:7 2.5691e-05 +*RES +1 *22911:X la_data_in_mprj[55] 23.2426 +*END + +*D_NET *211 0.000884245 +*CONN +*P la_data_in_mprj[56] O +*I *22912:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[56] 0.000314472 +2 *22912:X 0.000314472 +3 la_data_in_mprj[56] *3931:DIODE 2.30663e-05 +4 la_data_in_mprj[56] *23164:A 1.41307e-05 +5 la_data_in_mprj[56] *467:5 3.17968e-05 +6 la_data_in_mprj[56] *850:7 0 +7 la_data_in_mprj[56] *2735:15 7.66356e-05 +8 la_data_in_mprj[56] *2738:8 7.50872e-05 +9 la_data_in_mprj[56] *2880:20 3.45834e-05 +*RES +1 *22912:X la_data_in_mprj[56] 21.1338 +*END + +*D_NET *212 0.000606653 +*CONN +*P la_data_in_mprj[57] O +*I *22913:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[57] 0.00017034 +2 *22913:X 0.00017034 +3 la_data_in_mprj[57] *468:5 0 +4 la_data_in_mprj[57] *851:13 0.000147793 +5 la_data_in_mprj[57] *2739:9 0.00011818 +*RES +1 *22913:X la_data_in_mprj[57] 17.8118 +*END + +*D_NET *213 0.000685112 +*CONN +*P la_data_in_mprj[58] O +*I *22914:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[58] 0.000241774 +2 *22914:X 0.000241774 +3 la_data_in_mprj[58] *469:10 2.97013e-05 +4 la_data_in_mprj[58] *852:5 0 +5 la_data_in_mprj[58] *2600:17 0.000162739 +6 la_data_in_mprj[58] *2882:15 9.12416e-06 +*RES +1 *22914:X la_data_in_mprj[58] 18.3664 +*END + +*D_NET *214 0.00112019 +*CONN +*P la_data_in_mprj[59] O +*I *22915:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[59] 0.000479347 +2 *22915:X 0.000479347 +3 la_data_in_mprj[59] *3934:DIODE 0 +4 la_data_in_mprj[59] *22915:A 0.000161492 +5 la_data_in_mprj[59] *470:7 0 +6 la_data_in_mprj[59] *853:5 0 +*RES +1 *22915:X la_data_in_mprj[59] 24.9599 +*END + +*D_NET *215 0.000982217 +*CONN +*P la_data_in_mprj[5] O +*I *22916:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[5] 0.00024996 +2 *22916:X 0.00024996 +3 la_data_in_mprj[5] *3935:DIODE 0 +4 la_data_in_mprj[5] *4065:DIODE 0.000149628 +5 la_data_in_mprj[5] *471:5 0 +6 la_data_in_mprj[5] *844:11 1.6594e-05 +7 la_data_in_mprj[5] *2590:15 0.00017419 +8 la_data_in_mprj[5] *2720:8 0.000111722 +9 la_data_in_mprj[5] *2732:8 3.01634e-05 +*RES +1 *22916:X la_data_in_mprj[5] 21.1338 +*END + +*D_NET *216 0.000474845 +*CONN +*P la_data_in_mprj[60] O +*I *22917:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[60] 0.000215712 +2 *22917:X 0.000215712 +3 la_data_in_mprj[60] *472:8 3.42967e-05 +4 la_data_in_mprj[60] *854:7 0 +5 la_data_in_mprj[60] *2885:18 9.12416e-06 +*RES +1 *22917:X la_data_in_mprj[60] 17.8118 +*END + +*D_NET *217 0.000522911 +*CONN +*P la_data_in_mprj[61] O +*I *22918:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[61] 0.00018501 +2 *22918:X 0.00018501 +3 la_data_in_mprj[61] *473:10 0 +4 la_data_in_mprj[61] *856:13 0.000152892 +*RES +1 *22918:X la_data_in_mprj[61] 17.8118 +*END + +*D_NET *218 0.00113015 +*CONN +*P la_data_in_mprj[62] O +*I *22919:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[62] 0.000467897 +2 *22919:X 0.000467897 +3 la_data_in_mprj[62] *21750:A 0.00011818 +4 la_data_in_mprj[62] *474:5 3.43154e-05 +5 la_data_in_mprj[62] *857:7 0 +6 la_data_in_mprj[62] *2746:8 1.52753e-05 +7 la_data_in_mprj[62] *2888:7 2.65831e-05 +*RES +1 *22919:X la_data_in_mprj[62] 21.694 +*END + +*D_NET *219 0.000633472 +*CONN +*P la_data_in_mprj[63] O +*I *22920:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[63] 0.000241734 +2 *22920:X 0.000241734 +3 la_data_in_mprj[63] *4222:DIODE 0.00011818 +4 la_data_in_mprj[63] *858:10 0 +5 la_data_in_mprj[63] *2080:13 3.1825e-05 +*RES +1 *22920:X la_data_in_mprj[63] 18.3664 +*END + +*D_NET *220 0.000968018 +*CONN +*P la_data_in_mprj[64] O +*I *22921:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[64] 0.000413304 +2 *22921:X 0.000413304 +3 la_data_in_mprj[64] *21753:A 6.28701e-05 +4 la_data_in_mprj[64] *22035:A 1.61631e-05 +5 la_data_in_mprj[64] *22921:A 1.52821e-05 +6 la_data_in_mprj[64] *476:5 2.78938e-05 +7 la_data_in_mprj[64] *859:7 0 +8 la_data_in_mprj[64] *2748:8 8.62625e-06 +9 la_data_in_mprj[64] *2890:22 1.05746e-05 +*RES +1 *22921:X la_data_in_mprj[64] 22.8514 +*END + +*D_NET *221 0.000469337 +*CONN +*P la_data_in_mprj[65] O +*I *22922:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[65] 0.000230106 +2 *22922:X 0.000230106 +3 la_data_in_mprj[65] *477:10 0 +4 la_data_in_mprj[65] *860:7 0 +5 la_data_in_mprj[65] *2891:18 9.12416e-06 +*RES +1 *22922:X la_data_in_mprj[65] 17.8118 +*END + +*D_NET *222 0.00111156 +*CONN +*P la_data_in_mprj[66] O +*I *22923:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[66] 0.000355435 +2 *22923:X 0.000355435 +3 la_data_in_mprj[66] *4085:DIODE 2.16355e-05 +4 la_data_in_mprj[66] *21755:A 0.000111722 +5 la_data_in_mprj[66] *478:5 2.86299e-05 +6 la_data_in_mprj[66] *606:8 7.78688e-05 +7 la_data_in_mprj[66] *861:8 0 +8 la_data_in_mprj[66] *2606:29 1.31715e-05 +9 la_data_in_mprj[66] *2752:10 6.08467e-05 +10 la_data_in_mprj[66] *2892:19 8.68108e-05 +*RES +1 *22923:X la_data_in_mprj[66] 22.688 +*END + +*D_NET *223 0.00159354 +*CONN +*P la_data_in_mprj[67] O +*I *22924:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[67] 0.000516029 +2 *22924:X 0.000516029 +3 la_data_in_mprj[67] *3944:DIODE 0 +4 la_data_in_mprj[67] *4226:DIODE 4.69189e-06 +5 la_data_in_mprj[67] *22923:A 5.77383e-05 +6 la_data_in_mprj[67] *23182:A 2.20702e-05 +7 la_data_in_mprj[67] *479:7 0 +8 la_data_in_mprj[67] *862:7 0 +9 la_data_in_mprj[67] *3302:7 0.00047698 +*RES +1 *22924:X la_data_in_mprj[67] 26.5405 +*END + +*D_NET *224 0.00131526 +*CONN +*P la_data_in_mprj[68] O +*I *22925:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[68] 0.000319346 +2 *22925:X 0.000319346 +3 la_data_in_mprj[68] *21757:A 0.00011818 +4 la_data_in_mprj[68] *22925:A 1.56419e-05 +5 la_data_in_mprj[68] *2124:9 0.000164519 +6 la_data_in_mprj[68] *2609:26 1.37385e-05 +7 la_data_in_mprj[68] *2612:10 0.000116014 +8 la_data_in_mprj[68] *2893:19 0.000164208 +9 la_data_in_mprj[68] *2894:8 8.42687e-05 +*RES +1 *22925:X la_data_in_mprj[68] 23.5131 +*END + +*D_NET *225 0.00061479 +*CONN +*P la_data_in_mprj[69] O +*I *22926:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[69] 0.000213917 +2 *22926:X 0.000213917 +3 la_data_in_mprj[69] *481:10 0 +4 la_data_in_mprj[69] *2612:10 0.00011818 +5 la_data_in_mprj[69] *2896:11 6.87762e-05 +*RES +1 *22926:X la_data_in_mprj[69] 17.8118 +*END + +*D_NET *226 0.0011982 +*CONN +*P la_data_in_mprj[6] O +*I *22927:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[6] 0.000455618 +2 *22927:X 0.000455618 +3 la_data_in_mprj[6] *21759:A 0.000111722 +4 la_data_in_mprj[6] *855:5 0 +5 la_data_in_mprj[6] *2614:7 0.00011818 +6 la_data_in_mprj[6] *2937:18 5.70599e-05 +*RES +1 *22927:X la_data_in_mprj[6] 21.694 +*END + +*D_NET *227 0.00112628 +*CONN +*P la_data_in_mprj[70] O +*I *22928:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[70] 0.000446059 +2 *22928:X 0.000446059 +3 la_data_in_mprj[70] *21900:A 0.000102003 +4 la_data_in_mprj[70] *483:7 2.78573e-05 +5 la_data_in_mprj[70] *609:16 6.50727e-05 +6 la_data_in_mprj[70] *865:8 0 +7 la_data_in_mprj[70] *2613:20 8.68143e-06 +8 la_data_in_mprj[70] *2897:20 3.05511e-05 +*RES +1 *22928:X la_data_in_mprj[70] 23.2426 +*END + +*D_NET *228 0.00126641 +*CONN +*P la_data_in_mprj[71] O +*I *22929:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[71] 0.000427588 +2 *22929:X 0.000427588 +3 la_data_in_mprj[71] *3949:DIODE 5.31074e-05 +4 la_data_in_mprj[71] *4232:DIODE 0.00011818 +5 la_data_in_mprj[71] *22929:A 2.41483e-05 +6 la_data_in_mprj[71] *484:8 6.50727e-05 +7 la_data_in_mprj[71] *612:18 6.78549e-05 +8 la_data_in_mprj[71] *867:8 0 +9 la_data_in_mprj[71] *2616:13 1.40978e-05 +10 la_data_in_mprj[71] *2899:11 6.87762e-05 +*RES +1 *22929:X la_data_in_mprj[71] 23.7972 +*END + +*D_NET *229 0.00147591 +*CONN +*P la_data_in_mprj[72] O +*I *22930:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[72] 0.000336911 +2 *22930:X 0.000336911 +3 la_data_in_mprj[72] *4091:DIODE 7.82454e-06 +4 la_data_in_mprj[72] *22930:A 1.00981e-05 +5 la_data_in_mprj[72] *485:10 1.60705e-05 +6 la_data_in_mprj[72] *613:14 0.000104625 +7 la_data_in_mprj[72] *2617:20 1.07248e-05 +8 la_data_in_mprj[72] *2894:14 8.62625e-06 +9 la_data_in_mprj[72] *2899:11 0.000319954 +10 la_data_in_mprj[72] *2961:31 0.000324166 +*RES +1 *22930:X la_data_in_mprj[72] 31.9376 +*END + +*D_NET *230 0.00123103 +*CONN +*P la_data_in_mprj[73] O +*I *22931:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[73] 0.000435647 +2 *22931:X 0.000435647 +3 la_data_in_mprj[73] *21764:A 6.3657e-05 +4 la_data_in_mprj[73] *22931:A 0.000169041 +5 la_data_in_mprj[73] *486:5 0 +6 la_data_in_mprj[73] *614:8 2.42138e-05 +7 la_data_in_mprj[73] *869:12 6.50727e-05 +8 la_data_in_mprj[73] *2759:8 3.05511e-05 +9 la_data_in_mprj[73] *2901:20 7.20173e-06 +*RES +1 *22931:X la_data_in_mprj[73] 23.7972 +*END + +*D_NET *231 0.00124014 +*CONN +*P la_data_in_mprj[74] O +*I *22932:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[74] 0.000459023 +2 *22932:X 0.000459023 +3 la_data_in_mprj[74] *4093:DIODE 4.91225e-06 +4 la_data_in_mprj[74] *21765:A 6.92705e-05 +5 la_data_in_mprj[74] *487:5 2.06866e-05 +6 la_data_in_mprj[74] *614:8 2.50647e-05 +7 la_data_in_mprj[74] *615:8 1.19856e-05 +8 la_data_in_mprj[74] *2620:19 6.08467e-05 +9 la_data_in_mprj[74] *2761:11 2.85531e-06 +10 la_data_in_mprj[74] *2902:15 0.00012647 +*RES +1 *22932:X la_data_in_mprj[74] 21.694 +*END + +*D_NET *232 0.00116737 +*CONN +*P la_data_in_mprj[75] O +*I *22933:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[75] 0.000431473 +2 *22933:X 0.000431473 +3 la_data_in_mprj[75] *21906:A 1.92172e-05 +4 la_data_in_mprj[75] *488:7 2.0754e-05 +5 la_data_in_mprj[75] *615:8 5.07314e-05 +6 la_data_in_mprj[75] *871:10 0 +7 la_data_in_mprj[75] *2903:28 0.000213725 +*RES +1 *22933:X la_data_in_mprj[75] 23.5918 +*END + +*D_NET *233 0.000535093 +*CONN +*P la_data_in_mprj[76] O +*I *22934:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[76] 0.000226391 +2 *22934:X 0.000226391 +3 la_data_in_mprj[76] *4237:DIODE 5.07314e-05 +4 la_data_in_mprj[76] *489:5 2.24552e-05 +5 la_data_in_mprj[76] *872:9 0 +6 la_data_in_mprj[76] *2904:22 9.12416e-06 +*RES +1 *22934:X la_data_in_mprj[76] 17.8118 +*END + +*D_NET *234 0.000827318 +*CONN +*P la_data_in_mprj[77] O +*I *22935:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[77] 0.000384068 +2 *22935:X 0.000384068 +3 la_data_in_mprj[77] *4097:DIODE 0 +4 la_data_in_mprj[77] *4238:DIODE 0 +5 la_data_in_mprj[77] *490:9 0 +6 la_data_in_mprj[77] *873:12 2.91891e-05 +7 la_data_in_mprj[77] *2905:13 2.99929e-05 +*RES +1 *22935:X la_data_in_mprj[77] 21.1338 +*END + +*D_NET *235 0.000660096 +*CONN +*P la_data_in_mprj[78] O +*I *22936:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[78] 0.000240596 +2 *22936:X 0.000240596 +3 la_data_in_mprj[78] *22936:A 5.56461e-05 +4 la_data_in_mprj[78] *491:9 2.02727e-05 +5 la_data_in_mprj[78] *874:8 0 +6 la_data_in_mprj[78] *2622:5 1.00981e-05 +7 la_data_in_mprj[78] *2907:22 9.28861e-05 +*RES +1 *22936:X la_data_in_mprj[78] 18.3664 +*END + +*D_NET *236 0.00107601 +*CONN +*P la_data_in_mprj[79] O +*I *22937:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[79] 0.000299166 +2 *22937:X 0.000299166 +3 la_data_in_mprj[79] *4241:DIODE 0.000137865 +4 la_data_in_mprj[79] *2094:5 0.000301588 +5 la_data_in_mprj[79] *2624:14 3.82228e-05 +*RES +1 *22937:X la_data_in_mprj[79] 21.1338 +*END + +*D_NET *237 0.000568026 +*CONN +*P la_data_in_mprj[7] O +*I *22938:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[7] 0.000172522 +2 *22938:X 0.000172522 +3 la_data_in_mprj[7] *493:7 0 +4 la_data_in_mprj[7] *2614:7 0.00011818 +5 la_data_in_mprj[7] *2708:17 2.97152e-05 +6 la_data_in_mprj[7] *2898:8 7.50872e-05 +*RES +1 *22938:X la_data_in_mprj[7] 17.8118 +*END + +*D_NET *238 0.00135834 +*CONN +*P la_data_in_mprj[80] O +*I *22939:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[80] 0.000329859 +2 *22939:X 0.000329859 +3 la_data_in_mprj[80] *3960:DIODE 8.24802e-05 +4 la_data_in_mprj[80] *4242:DIODE 0.000163952 +5 la_data_in_mprj[80] *494:5 4.02523e-05 +6 la_data_in_mprj[80] *2118:13 3.73059e-05 +7 la_data_in_mprj[80] *2624:14 0.000271044 +8 la_data_in_mprj[80] *2769:8 1.00937e-05 +9 la_data_in_mprj[80] *2909:8 9.34919e-05 +*RES +1 *22939:X la_data_in_mprj[80] 23.7675 +*END + +*D_NET *239 0.000999764 +*CONN +*P la_data_in_mprj[81] O +*I *22940:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[81] 0.000338675 +2 *22940:X 0.000338675 +3 la_data_in_mprj[81] *4244:DIODE 5.34022e-05 +4 la_data_in_mprj[81] *495:8 0 +5 la_data_in_mprj[81] *622:10 0 +6 la_data_in_mprj[81] *2627:10 0.000155043 +7 la_data_in_mprj[81] *3320:7 0.000113968 +*RES +1 *22940:X la_data_in_mprj[81] 21.1338 +*END + +*D_NET *240 0.000577169 +*CONN +*P la_data_in_mprj[82] O +*I *22941:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[82] 0.000217288 +2 *22941:X 0.000217288 +3 la_data_in_mprj[82] *496:7 2.88242e-05 +4 la_data_in_mprj[82] *623:8 0.000113768 +5 la_data_in_mprj[82] *879:5 0 +*RES +1 *22941:X la_data_in_mprj[82] 17.8118 +*END + +*D_NET *241 0.00101494 +*CONN +*P la_data_in_mprj[83] O +*I *22942:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[83] 0.000391127 +2 *22942:X 0.000391127 +3 la_data_in_mprj[83] *3964:DIODE 0 +4 la_data_in_mprj[83] *4246:DIODE 0.000133941 +5 la_data_in_mprj[83] *5460:DIODE 2.6122e-05 +6 la_data_in_mprj[83] *497:5 0 +7 la_data_in_mprj[83] *2630:10 5.82294e-05 +8 la_data_in_mprj[83] *3322:5 1.43983e-05 +*RES +1 *22942:X la_data_in_mprj[83] 21.6884 +*END + +*D_NET *242 0.00120228 +*CONN +*P la_data_in_mprj[84] O +*I *22943:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[84] 0.00040765 +2 *22943:X 0.00040765 +3 la_data_in_mprj[84] *4247:DIODE 0.000140451 +4 la_data_in_mprj[84] *498:8 5.21758e-06 +5 la_data_in_mprj[84] *626:12 0 +6 la_data_in_mprj[84] *2631:10 3.31564e-05 +7 la_data_in_mprj[84] *2914:11 0.000158583 +8 la_data_in_mprj[84] *3323:7 4.95737e-05 +*RES +1 *22943:X la_data_in_mprj[84] 22.7976 +*END + +*D_NET *243 0.000779785 +*CONN +*P la_data_in_mprj[85] O +*I *22944:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[85] 0.000258073 +2 *22944:X 0.000258073 +3 la_data_in_mprj[85] *499:8 1.87635e-05 +4 la_data_in_mprj[85] *2632:10 7.78005e-05 +5 la_data_in_mprj[85] *2915:13 0.000167076 +*RES +1 *22944:X la_data_in_mprj[85] 19.2702 +*END + +*D_NET *244 0.00110665 +*CONN +*P la_data_in_mprj[86] O +*I *22945:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[86] 0.000397585 +2 *22945:X 0.000397585 +3 la_data_in_mprj[86] *3967:DIODE 0 +4 la_data_in_mprj[86] *4108:DIODE 0.000148144 +5 la_data_in_mprj[86] *500:7 3.14182e-05 +6 la_data_in_mprj[86] *883:9 0 +7 la_data_in_mprj[86] *2775:15 3.28935e-05 +8 la_data_in_mprj[86] *2916:5 9.9028e-05 +*RES +1 *22945:X la_data_in_mprj[86] 22.243 +*END + +*D_NET *245 0.000796451 +*CONN +*P la_data_in_mprj[87] O +*I *22946:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[87] 0.000289217 +2 *22946:X 0.000289217 +3 la_data_in_mprj[87] *501:5 0 +4 la_data_in_mprj[87] *884:8 0 +5 la_data_in_mprj[87] *2633:10 0.000218017 +*RES +1 *22946:X la_data_in_mprj[87] 18.921 +*END + +*D_NET *246 0.00213024 +*CONN +*P la_data_in_mprj[88] O +*I *22947:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[88] 0.000693395 +2 *22947:X 0.000693395 +3 la_data_in_mprj[88] la_data_in_mprj[89] 0.000275256 +4 la_data_in_mprj[88] *502:7 2.62422e-05 +5 la_data_in_mprj[88] *2628:20 0.000341176 +6 la_data_in_mprj[88] *2633:10 0.000100777 +*RES +1 *22947:X la_data_in_mprj[88] 29.512 +*END + +*D_NET *247 0.00137674 +*CONN +*P la_data_in_mprj[89] O +*I *22948:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[89] 0.000378306 +2 *22948:X 0.000378306 +3 la_data_in_mprj[89] *22947:A 6.50727e-05 +4 la_data_in_mprj[89] *503:5 0 +5 la_data_in_mprj[89] *886:7 0 +6 la_data_in_mprj[89] *2628:20 0.000110762 +7 la_data_in_mprj[89] *2921:10 0.000169041 +8 la_data_in_mprj[88] la_data_in_mprj[89] 0.000275256 +*RES +1 *22948:X la_data_in_mprj[89] 23.4208 +*END + +*D_NET *248 0.000908998 +*CONN +*P la_data_in_mprj[8] O +*I *22949:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[8] 0.000350388 +2 *22949:X 0.000350388 +3 la_data_in_mprj[8] *504:12 3.6949e-05 +4 la_data_in_mprj[8] *632:8 0 +5 la_data_in_mprj[8] *877:8 0 +6 la_data_in_mprj[8] *2874:11 0.000171273 +*RES +1 *22949:X la_data_in_mprj[8] 21.6884 +*END + +*D_NET *249 0.00143755 +*CONN +*P la_data_in_mprj[90] O +*I *22950:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[90] 0.000346271 +2 *22950:X 0.000346271 +3 la_data_in_mprj[90] *4255:DIODE 8.62625e-06 +4 la_data_in_mprj[90] *21784:A 0.00011818 +5 la_data_in_mprj[90] *505:5 2.58239e-05 +6 la_data_in_mprj[90] *887:13 0.00017117 +7 la_data_in_mprj[90] *2628:20 0.000406756 +8 la_data_in_mprj[90] *2922:8 1.44467e-05 +*RES +1 *22950:X la_data_in_mprj[90] 24.4053 +*END + +*D_NET *250 0.000847664 +*CONN +*P la_data_in_mprj[91] O +*I *22951:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[91] 0.000281743 +2 *22951:X 0.000281743 +3 la_data_in_mprj[91] *2633:20 0.000245342 +4 la_data_in_mprj[91] *2915:24 3.88358e-05 +*RES +1 *22951:X la_data_in_mprj[91] 18.921 +*END + +*D_NET *251 0.00129183 +*CONN +*P la_data_in_mprj[92] O +*I *22952:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[92] 0.000384957 +2 *22952:X 0.000384957 +3 la_data_in_mprj[92] *3975:DIODE 2.1558e-06 +4 la_data_in_mprj[92] *4258:DIODE 1.1246e-05 +5 la_data_in_mprj[92] *21787:A 0.000111722 +6 la_data_in_mprj[92] *890:7 0 +7 la_data_in_mprj[92] *2124:33 0.000155836 +8 la_data_in_mprj[92] *2641:17 8.62625e-06 +9 la_data_in_mprj[92] *2782:7 5.73392e-05 +10 la_data_in_mprj[92] *2919:23 0.000174986 +*RES +1 *22952:X la_data_in_mprj[92] 24.4053 +*END + +*D_NET *252 0.00130657 +*CONN +*P la_data_in_mprj[93] O +*I *22953:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[93] 0.000462305 +2 *22953:X 0.000462305 +3 la_data_in_mprj[93] *21788:A 6.50727e-05 +4 la_data_in_mprj[93] *22953:A 3.14978e-05 +5 la_data_in_mprj[93] *2632:31 0.000106204 +6 la_data_in_mprj[93] *2786:12 1.918e-05 +7 la_data_in_mprj[93] *2919:23 3.61993e-05 +8 la_data_in_mprj[93] *2926:8 0.000123807 +*RES +1 *22953:X la_data_in_mprj[93] 23.966 +*END + +*D_NET *253 0.000785385 +*CONN +*P la_data_in_mprj[94] O +*I *22954:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[94] 0.000241083 +2 *22954:X 0.000241083 +3 la_data_in_mprj[94] *509:8 1.71443e-05 +4 la_data_in_mprj[94] *2786:12 0.000167076 +5 la_data_in_mprj[94] *2927:8 0.000118999 +*RES +1 *22954:X la_data_in_mprj[94] 18.3664 +*END + +*D_NET *254 0.00107491 +*CONN +*P la_data_in_mprj[95] O +*I *22955:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[95] 0.00037736 +2 *22955:X 0.00037736 +3 la_data_in_mprj[95] *893:9 0 +4 la_data_in_mprj[95] *2632:40 3.69081e-05 +5 la_data_in_mprj[95] *2644:8 0.0001651 +6 la_data_in_mprj[95] *2920:14 0.00011818 +*RES +1 *22955:X la_data_in_mprj[95] 21.1338 +*END + +*D_NET *255 0.000774352 +*CONN +*P la_data_in_mprj[96] O +*I *22956:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[96] 0.000320365 +2 *22956:X 0.000320365 +3 la_data_in_mprj[96] *4263:DIODE 5.07314e-05 +4 la_data_in_mprj[96] *511:10 7.79911e-05 +5 la_data_in_mprj[96] *2919:23 4.89898e-06 +*RES +1 *22956:X la_data_in_mprj[96] 18.921 +*END + +*D_NET *256 0.00125589 +*CONN +*P la_data_in_mprj[97] O +*I *22957:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[97] 0.000400815 +2 *22957:X 0.000400815 +3 la_data_in_mprj[97] *21792:A 6.50727e-05 +4 la_data_in_mprj[97] *22957:A 8.96328e-05 +5 la_data_in_mprj[97] *512:5 0 +6 la_data_in_mprj[97] *2633:39 3.71149e-05 +7 la_data_in_mprj[97] *2639:14 0.000148721 +8 la_data_in_mprj[97] *2931:17 0.000113721 +*RES +1 *22957:X la_data_in_mprj[97] 23.7972 +*END + +*D_NET *257 0.00168261 +*CONN +*P la_data_in_mprj[98] O +*I *22958:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[98] 0.000366139 +2 *22958:X 0.000366139 +3 la_data_in_mprj[98] *22957:A 0 +4 la_data_in_mprj[98] *513:8 3.03146e-05 +5 la_data_in_mprj[98] *640:8 0 +6 la_data_in_mprj[98] *896:11 5.10425e-05 +7 la_data_in_mprj[98] *2633:40 0.000157355 +8 la_data_in_mprj[98] *2916:5 0.000355813 +9 la_data_in_mprj[98] *2931:17 0.000355813 +*RES +1 *22958:X la_data_in_mprj[98] 24.3221 +*END + +*D_NET *258 0.000855035 +*CONN +*P la_data_in_mprj[99] O +*I *22959:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[99] 0.00031763 +2 *22959:X 0.00031763 +3 la_data_in_mprj[99] *21794:A 6.50727e-05 +4 la_data_in_mprj[99] *514:5 0 +5 la_data_in_mprj[99] *897:12 6.50727e-05 +6 la_data_in_mprj[99] *2648:10 4.62102e-05 +7 la_data_in_mprj[99] *2932:7 1.19207e-05 +8 la_data_in_mprj[99] *2933:10 3.14978e-05 +*RES +1 *22959:X la_data_in_mprj[99] 19.4756 +*END + +*D_NET *259 0.000903181 +*CONN +*P la_data_in_mprj[9] O +*I *22960:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_in_mprj[9] 0.000399454 +2 *22960:X 0.000399454 +3 la_data_in_mprj[9] *515:8 0 +4 la_data_in_mprj[9] *888:9 6.47921e-05 +5 la_data_in_mprj[9] *2650:6 0 +6 la_data_in_mprj[9] *3330:13 3.948e-05 +*RES +1 *22960:X la_data_in_mprj[9] 22.243 +*END + +*D_NET *260 0.00183478 +*CONN +*P la_data_out_core[0] I +*I *21951:A I *D sky130_fd_sc_hd__buf_4 +*I *4251:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[0] 0.000363494 +2 *21951:A 0 +3 *4251:DIODE 0.000160071 +4 *260:8 0.000523566 +5 *4251:DIODE la_oenb_core[0] 2.82354e-05 +6 *4251:DIODE *22961:A 0.000118724 +7 *4251:DIODE *2806:11 1.03079e-05 +8 *260:8 la_oenb_core[0] 0 +9 *260:8 *22705:A 7.09666e-06 +10 *260:8 *1874:8 0.000131038 +11 *260:8 *2989:48 6.50586e-05 +12 *260:8 *3000:8 0.000324166 +13 *260:8 *3001:29 0.000103022 +*RES +1 la_data_out_core[0] *260:8 14.9336 +2 *260:8 *4251:DIODE 21.6444 +3 *260:8 *21951:A 9.24915 +*END + +*D_NET *261 0.00152724 +*CONN +*P la_data_out_core[100] I +*I *22062:A I *D sky130_fd_sc_hd__buf_4 +*I *4362:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[100] 0.000334547 +2 *22062:A 1.55856e-05 +3 *4362:DIODE 0.000210835 +4 *261:5 0.000560968 +5 *4362:DIODE *22706:A 3.88002e-05 +6 *4362:DIODE *2917:8 0 +7 *4362:DIODE *2980:31 4.82966e-05 +8 *4362:DIODE *3361:8 7.50872e-05 +9 *4362:DIODE *3361:13 6.50586e-05 +10 *22062:A *1863:17 1.41976e-05 +11 *22062:A *1863:53 4.56831e-05 +12 *22062:A *2985:45 0.00011818 +13 *261:5 la_oenb_core[100] 0 +14 la_data_in_core[100] *261:5 0 +*RES +1 la_data_out_core[100] *261:5 6.93715 +2 *261:5 *4362:DIODE 18.2442 +3 *261:5 *22062:A 15.0271 +*END + +*D_NET *262 0.00124453 +*CONN +*P la_data_out_core[101] I +*I *22173:A I *D sky130_fd_sc_hd__buf_4 +*I *4400:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[101] 0.000333543 +2 *22173:A 1.26312e-05 +3 *4400:DIODE 0.000189139 +4 *262:5 0.000535313 +5 *4400:DIODE la_oenb_core[101] 0 +6 *4400:DIODE *22707:A 0 +7 *4400:DIODE *2980:31 5.56461e-05 +8 *4400:DIODE *3028:6 2.66039e-05 +9 *22173:A *1863:53 2.65831e-05 +10 *22173:A *2985:45 6.50727e-05 +11 *262:5 la_oenb_core[101] 0 +12 la_data_in_core[101] *262:5 0 +*RES +1 la_data_out_core[101] *262:5 6.93715 +2 *262:5 *4400:DIODE 17.6896 +3 *262:5 *22173:A 14.4725 +*END + +*D_NET *263 0.00137275 +*CONN +*P la_data_out_core[102] I +*I *22211:A I *D sky130_fd_sc_hd__buf_4 +*I *4411:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[102] 0.000333553 +2 *22211:A 1.59132e-05 +3 *4411:DIODE 0.00018628 +4 *263:5 0.000535746 +5 *4411:DIODE la_oenb_core[102] 0 +6 *4411:DIODE *22708:A 0.00014663 +7 *4411:DIODE *2980:31 7.48633e-05 +8 *4411:DIODE *3139:8 2.66039e-05 +9 *22211:A *1863:53 2.65831e-05 +10 *22211:A *2985:52 2.65831e-05 +11 *263:5 la_oenb_core[102] 0 +12 la_data_in_core[102] *263:5 0 +*RES +1 la_data_out_core[102] *263:5 6.93715 +2 *263:5 *4411:DIODE 18.2442 +3 *263:5 *22211:A 14.4725 +*END + +*D_NET *264 0.0013091 +*CONN +*P la_data_out_core[103] I +*I *22222:A I *D sky130_fd_sc_hd__buf_4 +*I *4422:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[103] 0.000316094 +2 *22222:A 1.69677e-05 +3 *4422:DIODE 0.000194803 +4 *264:5 0.000527865 +5 *4422:DIODE *2364:12 3.09155e-05 +6 *4422:DIODE *2980:57 0.000117376 +7 *4422:DIODE *3250:6 2.1588e-05 +8 *22222:A *1863:53 2.57986e-05 +9 *22222:A *2985:52 2.57986e-05 +10 *264:5 la_oenb_core[103] 0 +11 *264:5 *2364:12 3.18938e-05 +12 la_data_in_core[103] *264:5 0 +*RES +1 la_data_out_core[103] *264:5 6.93715 +2 *264:5 *4422:DIODE 17.6896 +3 *264:5 *22222:A 14.4725 +*END + +*D_NET *265 0.00156414 +*CONN +*P la_data_out_core[104] I +*I *4433:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22233:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[104] 0.000361102 +2 *4433:DIODE 0.000105803 +3 *22233:A 0 +4 *265:8 0.000466905 +5 *4433:DIODE la_oenb_core[104] 8.96102e-05 +6 *4433:DIODE *22966:A 0.00014663 +7 *4433:DIODE *2985:52 6.08467e-05 +8 *265:8 la_oenb_core[104] 2.41274e-06 +9 *265:8 *1863:53 5.93184e-05 +10 *265:8 *1863:60 6.90342e-06 +11 *265:8 *2985:52 0.000264612 +12 la_data_in_core[104] *265:8 0 +13 la_data_in_core[105] *4433:DIODE 0 +*RES +1 la_data_out_core[104] *265:8 13.9637 +2 *265:8 *22233:A 9.24915 +3 *265:8 *4433:DIODE 22.0503 +*END + +*D_NET *266 0.00170297 +*CONN +*P la_data_out_core[105] I +*I *21619:A I *D sky130_fd_sc_hd__buf_4 +*I *3818:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[105] 0.000324598 +2 *21619:A 1.92465e-05 +3 *3818:DIODE 0.000300163 +4 *266:5 0.000644008 +5 *3818:DIODE la_oenb_core[105] 0 +6 *3818:DIODE *6563:DIODE 6.08467e-05 +7 *3818:DIODE *23644:A 2.05034e-05 +8 *3818:DIODE *23645:A 0.000122083 +9 *3818:DIODE *1859:16 6.2595e-05 +10 *3818:DIODE *2348:8 4.28889e-05 +11 *3818:DIODE *2983:117 6.50586e-05 +12 *21619:A *1863:60 1.43983e-05 +13 *21619:A *2985:52 2.65831e-05 +14 *266:5 la_oenb_core[105] 0 +15 la_data_in_core[105] *3818:DIODE 0 +16 la_data_in_core[105] *266:5 0 +*RES +1 la_data_out_core[105] *266:5 6.93715 +2 *266:5 *3818:DIODE 21.0117 +3 *266:5 *21619:A 14.4725 +*END + +*D_NET *267 0.00175417 +*CONN +*P la_data_out_core[106] I +*I *3829:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21630:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[106] 0.000439821 +2 *3829:DIODE 0.000261487 +3 *21630:A 0 +4 *267:8 0.000701308 +5 *3829:DIODE la_oenb_core[106] 0 +6 *3829:DIODE *3069:37 4.33655e-05 +7 *3829:DIODE *3478:458 7.97944e-05 +8 *267:8 la_oenb_core[106] 0 +9 *267:8 *1863:60 1.98996e-05 +10 *267:8 *2985:52 7.72722e-05 +11 *267:8 *3069:37 8.39059e-05 +12 la_data_in_core[106] *267:8 0 +13 la_data_in_core[107] *3829:DIODE 4.73178e-05 +*RES +1 la_data_out_core[106] *267:8 14.9336 +2 *267:8 *21630:A 9.24915 +3 *267:8 *3829:DIODE 24.0222 +*END + +*D_NET *268 0.00189006 +*CONN +*P la_data_out_core[107] I +*I *21641:A I *D sky130_fd_sc_hd__buf_4 +*I *3840:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[107] 0.000290957 +2 *21641:A 2.31637e-05 +3 *3840:DIODE 0.00024823 +4 *268:5 0.000562351 +5 *3840:DIODE *6555:DIODE 1.12605e-05 +6 *3840:DIODE *22713:A 0.000331044 +7 *3840:DIODE *2979:12 8.12737e-05 +8 *3840:DIODE *2980:59 0.000111708 +9 *3840:DIODE *3478:469 9.75356e-05 +10 *21641:A *3069:37 6.50727e-05 +11 *268:5 la_oenb_core[107] 0 +12 *268:5 *2979:12 6.74667e-05 +13 la_data_in_core[107] *268:5 0 +*RES +1 la_data_out_core[107] *268:5 6.93715 +2 *268:5 *3840:DIODE 21.0117 +3 *268:5 *21641:A 14.4725 +*END + +*D_NET *269 0.00119553 +*CONN +*P la_data_out_core[108] I +*I *21652:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3851:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[108] 0.000320982 +2 *21652:A 2.92047e-05 +3 *3851:DIODE 0.000164288 +4 *269:9 0.000514475 +5 *3851:DIODE la_oenb_core[108] 2.78452e-05 +6 *3851:DIODE *22969:A 0 +7 *3851:DIODE *1859:19 6.92705e-05 +8 *3851:DIODE *2507:8 3.00073e-05 +9 *21652:A *5232:DIODE 2.65831e-05 +10 *269:9 la_oenb_core[108] 1.28704e-05 +11 la_data_in_core[108] *3851:DIODE 0 +12 la_data_in_core[108] *269:9 0 +*RES +1 la_data_out_core[108] *269:9 7.42569 +2 *269:9 *3851:DIODE 17.5503 +3 *269:9 *21652:A 14.4725 +*END + +*D_NET *270 0.00136494 +*CONN +*P la_data_out_core[109] I +*I *21663:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3862:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[109] 0.000293635 +2 *21663:A 6.42542e-05 +3 *3862:DIODE 0.000146058 +4 *270:5 0.000503948 +5 *3862:DIODE la_oenb_core[109] 0 +6 *3862:DIODE *22715:A 0.000156823 +7 *3862:DIODE *1859:19 0.000115934 +8 *3862:DIODE *2518:10 7.50872e-05 +9 *21663:A *2518:7 9.19886e-06 +10 *270:5 la_oenb_core[109] 0 +11 la_data_in_core[109] *3862:DIODE 0 +12 la_data_in_core[109] *270:5 0 +*RES +1 la_data_out_core[109] *270:5 6.5219 +2 *270:5 *3862:DIODE 18.1049 +3 *270:5 *21663:A 15.0271 +*END + +*D_NET *271 0.00145328 +*CONN +*P la_data_out_core[10] I +*I *21674:A I *D sky130_fd_sc_hd__buf_2 +*I *3873:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[10] 0.000377443 +2 *21674:A 1.26312e-05 +3 *3873:DIODE 0.000199219 +4 *271:5 0.000589293 +5 *3873:DIODE la_oenb_core[10] 0 +6 *3873:DIODE *22716:A 4.56516e-05 +7 *3873:DIODE *2529:6 1.50057e-05 +8 *3873:DIODE *2986:13 0.000122378 +9 *21674:A *2980:9 2.65831e-05 +10 *21674:A *2998:71 6.50727e-05 +11 *271:5 la_oenb_core[10] 0 +12 la_data_in_core[10] *271:5 0 +*RES +1 la_data_out_core[10] *271:5 6.93715 +2 *271:5 *3873:DIODE 17.6896 +3 *271:5 *21674:A 14.4725 +*END + +*D_NET *272 0.00119425 +*CONN +*P la_data_out_core[110] I +*I *21685:A I *D sky130_fd_sc_hd__buf_4 +*I *3884:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[110] 0.000309235 +2 *21685:A 3.57807e-05 +3 *3884:DIODE 0.000173006 +4 *272:5 0.000518021 +5 *3884:DIODE la_oenb_core[110] 0 +6 *3884:DIODE *22717:A 0 +7 *3884:DIODE *1859:19 0.000122378 +8 *3884:DIODE *2540:8 3.58321e-05 +9 *272:5 la_oenb_core[110] 0 +10 la_data_in_core[110] *3884:DIODE 0 +11 la_data_in_core[110] *272:5 0 +*RES +1 la_data_out_core[110] *272:5 6.93715 +2 *272:5 *3884:DIODE 17.6896 +3 *272:5 *21685:A 14.4725 +*END + +*D_NET *273 0.00118463 +*CONN +*P la_data_out_core[111] I +*I *21696:A I *D sky130_fd_sc_hd__buf_4 +*I *3895:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[111] 0.000308241 +2 *21696:A 3.57807e-05 +3 *3895:DIODE 0.000169188 +4 *273:5 0.00051321 +5 *3895:DIODE la_oenb_core[111] 0 +6 *3895:DIODE *22718:A 0 +7 *3895:DIODE *1859:19 0.000122378 +8 *3895:DIODE *2551:6 3.58321e-05 +9 *273:5 la_oenb_core[111] 0 +10 la_data_in_core[111] *273:5 0 +*RES +1 la_data_out_core[111] *273:5 6.93715 +2 *273:5 *3895:DIODE 17.6896 +3 *273:5 *21696:A 14.4725 +*END + +*D_NET *274 0.00125231 +*CONN +*P la_data_out_core[112] I +*I *21707:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3906:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[112] 0.000293635 +2 *21707:A 6.27913e-05 +3 *3906:DIODE 0.000154504 +4 *274:5 0.000510931 +5 *3906:DIODE la_oenb_core[112] 0 +6 *3906:DIODE *22719:A 0.000156823 +7 *3906:DIODE *2562:8 1.79807e-05 +8 *3906:DIODE *3076:13 5.56461e-05 +9 *274:5 la_oenb_core[112] 0 +10 la_data_in_core[112] *3906:DIODE 0 +11 la_data_in_core[112] *274:5 0 +*RES +1 la_data_out_core[112] *274:5 6.5219 +2 *274:5 *3906:DIODE 18.1049 +3 *274:5 *21707:A 15.0271 +*END + +*D_NET *275 0.00115623 +*CONN +*P la_data_out_core[113] I +*I *21718:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3917:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[113] 0.000293635 +2 *21718:A 6.27913e-05 +3 *3917:DIODE 0.000201069 +4 *275:5 0.000557496 +5 *3917:DIODE la_oenb_core[113] 0 +6 *3917:DIODE *22720:A 0 +7 *3917:DIODE *2573:8 1.79807e-05 +8 *3917:DIODE *3359:11 2.32594e-05 +9 *275:5 la_oenb_core[113] 0 +10 la_data_in_core[113] *3917:DIODE 0 +11 la_data_in_core[113] *275:5 0 +*RES +1 la_data_out_core[113] *275:5 6.5219 +2 *275:5 *3917:DIODE 18.1049 +3 *275:5 *21718:A 15.0271 +*END + +*D_NET *276 0.00124126 +*CONN +*P la_data_out_core[114] I +*I *21730:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3929:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[114] 0.000244437 +2 *21730:A 6.84685e-05 +3 *3929:DIODE 0.000185149 +4 *276:5 0.000498054 +5 *3929:DIODE *22721:A 0 +6 *3929:DIODE *1869:16 7.73065e-05 +7 *3929:DIODE *3078:23 6.91078e-06 +8 *276:5 la_oenb_core[114] 0 +9 *276:5 *1869:16 0.000109496 +10 la_data_in_core[114] *3929:DIODE 2.39679e-05 +11 la_data_in_core[114] *276:5 2.74676e-05 +*RES +1 la_data_out_core[114] *276:5 6.5219 +2 *276:5 *3929:DIODE 18.1049 +3 *276:5 *21730:A 15.0271 +*END + +*D_NET *277 0.00110954 +*CONN +*P la_data_out_core[115] I +*I *21741:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3940:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[115] 0.000321801 +2 *21741:A 4.85182e-05 +3 *3940:DIODE 0.000137414 +4 *277:7 0.000507733 +5 *3940:DIODE *22722:A 6.65546e-05 +6 *3940:DIODE *2596:10 1.48017e-05 +7 *3940:DIODE *3080:19 1.27193e-05 +8 *277:7 la_oenb_core[115] 0 +9 la_data_in_core[115] *3940:DIODE 0 +10 la_data_in_core[115] *277:7 0 +*RES +1 la_data_out_core[115] *277:7 7.46233 +2 *277:7 *3940:DIODE 17.3427 +3 *277:7 *21741:A 14.8512 +*END + +*D_NET *278 0.00111491 +*CONN +*P la_data_out_core[116] I +*I *21752:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3951:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[116] 0.000319858 +2 *21752:A 3.82739e-05 +3 *3951:DIODE 0.000164443 +4 *278:7 0.000522575 +5 *3951:DIODE la_oenb_core[116] 0 +6 *3951:DIODE *2607:8 3.00073e-05 +7 *3951:DIODE *3080:19 1.31657e-05 +8 *21752:A la_oenb_core[116] 0 +9 *21752:A *3371:21 2.65831e-05 +10 *278:7 la_oenb_core[116] 0 +11 la_data_in_core[116] *3951:DIODE 0 +12 la_data_in_core[116] *278:7 0 +*RES +1 la_data_out_core[116] *278:7 7.46233 +2 *278:7 *3951:DIODE 17.3427 +3 *278:7 *21752:A 14.8512 +*END + +*D_NET *279 0.00235228 +*CONN +*P la_data_out_core[117] I +*I *3962:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21763:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[117] 0.000549142 +2 *3962:DIODE 0.000238335 +3 *21763:A 0 +4 *279:12 0.000787477 +5 *3962:DIODE *3973:DIODE 4.15008e-05 +6 *3962:DIODE *22725:A 4.30017e-06 +7 *3962:DIODE *22981:A 2.44829e-05 +8 *3962:DIODE *3371:21 0.000118166 +9 *279:12 la_oenb_core[116] 4.30017e-06 +10 *279:12 la_oenb_core[117] 1.7182e-05 +11 *279:12 *22979:A 8.62625e-06 +12 *279:12 *3371:21 0.000517263 +13 la_data_in_core[117] *279:12 0 +14 la_data_in_core[118] *3962:DIODE 4.15008e-05 +*RES +1 la_data_out_core[117] *279:12 18.6176 +2 *279:12 *21763:A 9.24915 +3 *279:12 *3962:DIODE 24.0222 +*END + +*D_NET *280 0.00144764 +*CONN +*P la_data_out_core[118] I +*I *21774:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3973:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[118] 0.000356833 +2 *21774:A 0 +3 *3973:DIODE 0.000153848 +4 *280:9 0.000510681 +5 *3973:DIODE la_oenb_core[118] 2.6777e-05 +6 *3973:DIODE *22981:A 6.31665e-05 +7 *3973:DIODE *2618:6 0 +8 *3973:DIODE *2629:12 0.000287646 +9 *280:9 la_oenb_core[118] 7.18816e-06 +10 la_data_in_core[118] *280:9 0 +11 *3962:DIODE *3973:DIODE 4.15008e-05 +*RES +1 la_data_out_core[118] *280:9 8.40275 +2 *280:9 *3973:DIODE 20.1489 +3 *280:9 *21774:A 13.7491 +*END + +*D_NET *281 0.00129008 +*CONN +*P la_data_out_core[119] I +*I *21785:A I *D sky130_fd_sc_hd__buf_2 +*I *3984:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[119] 0.000293399 +2 *21785:A 2.19663e-05 +3 *3984:DIODE 0.000125739 +4 *281:5 0.000441104 +5 *3984:DIODE *22726:A 0.000156823 +6 *3984:DIODE *22982:A 6.46135e-05 +7 *3984:DIODE *3087:9 0.000118166 +8 *21785:A *3371:21 6.50727e-05 +9 *281:5 la_oenb_core[119] 0 +10 *281:5 *22982:A 3.20069e-06 +11 la_data_in_core[119] *281:5 0 +*RES +1 la_data_out_core[119] *281:5 6.93715 +2 *281:5 *3984:DIODE 17.6896 +3 *281:5 *21785:A 14.4725 +*END + +*D_NET *282 0.00176478 +*CONN +*P la_data_out_core[11] I +*I *21796:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3995:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[11] 0.000491031 +2 *21796:A 0 +3 *3995:DIODE 0.000142336 +4 *282:12 0.000633367 +5 *3995:DIODE la_oenb_core[11] 2.48232e-05 +6 *3995:DIODE *22736:A 0 +7 *3995:DIODE *2651:8 6.85742e-05 +8 *282:12 la_oenb_core[11] 7.02269e-06 +9 *282:12 *2980:9 0.000266846 +10 *282:12 *2998:71 0.000115615 +11 la_data_in_core[11] *282:12 0 +12 la_data_in_core[12] *3995:DIODE 1.51697e-05 +*RES +1 la_data_out_core[11] *282:12 15.4293 +2 *282:12 *3995:DIODE 21.3269 +3 *282:12 *21796:A 9.24915 +*END + +*D_NET *283 0.00121088 +*CONN +*P la_data_out_core[120] I +*I *21807:A I *D sky130_fd_sc_hd__buf_2 +*I *4006:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[120] 0.000294588 +2 *21807:A 2.19663e-05 +3 *4006:DIODE 0.000152126 +4 *283:5 0.00046868 +5 *4006:DIODE la_oenb_core[120] 0 +6 *4006:DIODE *22728:A 6.80864e-05 +7 *4006:DIODE *2662:8 1.79807e-05 +8 *4006:DIODE *3087:9 0.000122378 +9 *21807:A *3371:21 6.50727e-05 +10 *283:5 la_oenb_core[120] 0 +11 la_data_in_core[120] *283:5 0 +*RES +1 la_data_out_core[120] *283:5 6.93715 +2 *283:5 *4006:DIODE 17.6896 +3 *283:5 *21807:A 14.4725 +*END + +*D_NET *284 0.0011178 +*CONN +*P la_data_out_core[121] I +*I *21818:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4017:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[121] 0.000318032 +2 *21818:A 7.66845e-05 +3 *4017:DIODE 0 +4 *284:8 0.000394716 +5 *21818:A *3371:29 0.000205985 +6 *284:8 la_oenb_core[121] 0 +7 *284:8 *3371:29 0.000122378 +8 la_data_in_core[121] *284:8 0 +*RES +1 la_data_out_core[121] *284:8 12.2999 +2 *284:8 *4017:DIODE 9.24915 +3 *284:8 *21818:A 11.6364 +*END + +*D_NET *285 0.00112755 +*CONN +*P la_data_out_core[122] I +*I *21829:A I *D sky130_fd_sc_hd__buf_4 +*I *4028:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[122] 0.000294588 +2 *21829:A 2.19663e-05 +3 *4028:DIODE 0.000186861 +4 *285:5 0.000503415 +5 *4028:DIODE la_oenb_core[122] 0 +6 *4028:DIODE *22730:A 0 +7 *4028:DIODE *1871:17 0 +8 *4028:DIODE *3369:9 5.56461e-05 +9 *21829:A *3371:29 6.50727e-05 +10 *285:5 la_oenb_core[122] 0 +11 la_data_in_core[122] *285:5 0 +*RES +1 la_data_out_core[122] *285:5 6.93715 +2 *285:5 *4028:DIODE 17.6896 +3 *285:5 *21829:A 14.4725 +*END + +*D_NET *286 0.0016257 +*CONN +*P la_data_out_core[123] I +*I *4040:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21841:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[123] 0.000476939 +2 *4040:DIODE 0.000196446 +3 *21841:A 0 +4 *286:8 0.000673385 +5 *4040:DIODE *4051:DIODE 5.66868e-06 +6 *4040:DIODE *22732:A 6.17194e-05 +7 *4040:DIODE *1872:12 0.000169078 +8 *4040:DIODE *2696:7 1.10258e-05 +9 *286:8 la_oenb_core[123] 0 +10 *286:8 *2696:7 1.31657e-05 +11 la_data_in_core[123] *286:8 0 +12 la_data_in_core[124] *4040:DIODE 1.82679e-05 +*RES +1 la_data_out_core[123] *286:8 15.6275 +2 *286:8 *21841:A 9.24915 +3 *286:8 *4040:DIODE 23.7141 +*END + +*D_NET *287 0.00117302 +*CONN +*P la_data_out_core[124] I +*I *21852:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4051:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[124] 0.000253644 +2 *21852:A 5.44296e-05 +3 *4051:DIODE 0.000186633 +4 *287:5 0.000494706 +5 *4051:DIODE la_oenb_core[124] 0 +6 *4051:DIODE *5250:DIODE 6.91561e-06 +7 *4051:DIODE *22732:A 0.000151726 +8 *4051:DIODE *2707:8 0 +9 *21852:A *2696:7 1.92926e-05 +10 *287:5 la_oenb_core[124] 0 +11 *287:5 *1872:12 0 +12 la_data_in_core[124] *287:5 0 +13 *4040:DIODE *4051:DIODE 5.66868e-06 +*RES +1 la_data_out_core[124] *287:5 6.5219 +2 *287:5 *4051:DIODE 18.1049 +3 *287:5 *21852:A 15.0271 +*END + +*D_NET *288 0.00163782 +*CONN +*P la_data_out_core[125] I +*I *4062:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21863:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[125] 0.000398124 +2 *4062:DIODE 0.00022149 +3 *21863:A 0 +4 *288:8 0.000619613 +5 *4062:DIODE *4073:DIODE 4.90829e-05 +6 *4062:DIODE *22734:A 0.000140255 +7 *288:8 *1874:14 0.000182668 +8 la_data_in_core[125] *288:8 0 +9 la_data_in_core[126] *4062:DIODE 2.65899e-05 +*RES +1 la_data_out_core[125] *288:8 15.0729 +2 *288:8 *21863:A 9.24915 +3 *288:8 *4062:DIODE 24.2687 +*END + +*D_NET *289 0.00115247 +*CONN +*P la_data_out_core[126] I +*I *21874:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4073:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[126] 0.000244549 +2 *21874:A 6.36588e-05 +3 *4073:DIODE 0.000175099 +4 *289:5 0.000483307 +5 *4073:DIODE la_oenb_core[126] 0 +6 *4073:DIODE *22990:A 0 +7 *4073:DIODE *2729:6 1.79807e-05 +8 *4073:DIODE *3054:11 0.000118792 +9 *289:5 la_oenb_core[126] 0 +10 la_data_in_core[126] *289:5 0 +11 *4062:DIODE *4073:DIODE 4.90829e-05 +*RES +1 la_data_out_core[126] *289:5 6.5219 +2 *289:5 *4073:DIODE 18.1049 +3 *289:5 *21874:A 15.0271 +*END + +*D_NET *290 0.0011004 +*CONN +*P la_data_out_core[127] I +*I *21885:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4084:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[127] 0.000243504 +2 *21885:A 9.02042e-05 +3 *4084:DIODE 0.000141367 +4 *290:5 0.000475075 +5 *4084:DIODE la_oenb_core[127] 0 +6 *4084:DIODE *22735:A 4.34143e-05 +7 *4084:DIODE *22991:A 0 +8 *4084:DIODE *2740:8 3.00073e-05 +9 *4084:DIODE *3054:11 6.78549e-05 +10 *21885:A *2740:7 8.97566e-06 +11 *290:5 la_oenb_core[127] 0 +12 la_data_in_core[127] *290:5 0 +*RES +1 la_data_out_core[127] *290:5 6.5219 +2 *290:5 *4084:DIODE 17.5503 +3 *290:5 *21885:A 15.5817 +*END + +*D_NET *291 0.00151814 +*CONN +*P la_data_out_core[12] I +*I *21896:A I *D sky130_fd_sc_hd__buf_2 +*I *4095:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[12] 0.000375463 +2 *21896:A 1.26312e-05 +3 *4095:DIODE 0.000180423 +4 *291:5 0.000568517 +5 *4095:DIODE *22736:A 0.000121386 +6 *4095:DIODE *22992:A 3.85253e-05 +7 *4095:DIODE *2986:13 0.000111708 +8 *4095:DIODE *3007:30 1.5714e-05 +9 *21896:A *2980:9 2.65831e-05 +10 *21896:A *2998:71 6.50727e-05 +11 *291:5 la_oenb_core[12] 0 +12 *291:5 *22992:A 2.1203e-06 +13 la_data_in_core[12] *291:5 0 +*RES +1 la_data_out_core[12] *291:5 6.93715 +2 *291:5 *4095:DIODE 17.6896 +3 *291:5 *21896:A 14.4725 +*END + +*D_NET *292 0.00129327 +*CONN +*P la_data_out_core[13] I +*I *21907:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4106:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[13] 0.000373395 +2 *21907:A 2.94561e-05 +3 *4106:DIODE 0 +4 *292:8 0.000402851 +5 *21907:A *2980:9 0.000220733 +6 *21907:A *2998:71 8.8264e-05 +7 *292:8 la_oenb_core[13] 0 +8 *292:8 *2980:9 0.000116014 +9 *292:8 *2998:71 5.36612e-05 +10 la_data_in_core[13] *292:8 8.89319e-06 +*RES +1 la_data_out_core[13] *292:8 12.2999 +2 *292:8 *4106:DIODE 9.24915 +3 *292:8 *21907:A 11.6364 +*END + +*D_NET *293 0.00144444 +*CONN +*P la_data_out_core[14] I +*I *21918:A I *D sky130_fd_sc_hd__buf_4 +*I *4117:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[14] 0.000380456 +2 *21918:A 1.26312e-05 +3 *4117:DIODE 0.000200167 +4 *293:5 0.000593254 +5 *4117:DIODE *22738:A 0 +6 *4117:DIODE *2773:8 2.13521e-05 +7 *4117:DIODE *2986:13 0.000122378 +8 *21918:A *2998:75 6.50727e-05 +9 *21918:A *3001:29 2.65831e-05 +10 *293:5 la_oenb_core[14] 0 +11 la_data_in_core[14] *4117:DIODE 1.32543e-05 +12 la_data_in_core[14] *293:5 9.29387e-06 +*RES +1 la_data_out_core[14] *293:5 6.93715 +2 *293:5 *4117:DIODE 17.6896 +3 *293:5 *21918:A 14.4725 +*END + +*D_NET *294 0.00231209 +*CONN +*P la_data_out_core[15] I +*I *21929:A I *D sky130_fd_sc_hd__buf_4 +*I *4128:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[15] 0.000452702 +2 *21929:A 0 +3 *4128:DIODE 0.000212821 +4 *294:8 0.000665523 +5 *4128:DIODE *22740:A 1.14523e-05 +6 *4128:DIODE *2784:6 3.82386e-05 +7 *4128:DIODE *2986:13 0.000171273 +8 *294:8 la_oenb_core[15] 0 +9 *294:8 *22739:A 9.12416e-06 +10 *294:8 *2998:75 0.000479276 +11 *294:8 *3001:29 0.000204664 +12 la_data_in_core[15] *294:8 0 +13 la_data_in_core[16] *4128:DIODE 6.70195e-05 +*RES +1 la_data_out_core[15] *294:8 16.5974 +2 *294:8 *4128:DIODE 22.7442 +3 *294:8 *21929:A 9.24915 +*END + +*D_NET *295 0.00164693 +*CONN +*P la_data_out_core[16] I +*I *21940:A I *D sky130_fd_sc_hd__buf_4 +*I *4139:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[16] 0.00029675 +2 *21940:A 1.26312e-05 +3 *4139:DIODE 0.000168017 +4 *295:5 0.000477398 +5 *4139:DIODE *2795:6 2.13521e-05 +6 *4139:DIODE *2981:23 0.000133612 +7 *4139:DIODE *2986:13 0.000122378 +8 *21940:A *2998:75 6.50727e-05 +9 *21940:A *3001:29 2.65831e-05 +10 *295:5 la_oenb_core[16] 0 +11 *295:5 *2981:23 0.000323137 +*RES +1 la_data_out_core[16] *295:5 6.93715 +2 *295:5 *4139:DIODE 17.6896 +3 *295:5 *21940:A 14.4725 +*END + +*D_NET *296 0.0025434 +*CONN +*P la_data_out_core[17] I +*I *4151:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21952:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[17] 0.000456759 +2 *4151:DIODE 0.000245832 +3 *21952:A 0 +4 *296:10 0.000702591 +5 *4151:DIODE la_oenb_core[17] 0 +6 *4151:DIODE *22742:A 2.09468e-05 +7 *4151:DIODE *2985:45 0.000118166 +8 *4151:DIODE *2986:13 0.000169041 +9 *4151:DIODE *2998:77 0.000102003 +10 *296:10 la_oenb_core[17] 0 +11 *296:10 *22741:A 9.12416e-06 +12 *296:10 *2985:45 0.000328363 +13 *296:10 *2998:77 0.000328363 +14 la_data_in_core[17] *296:10 0 +15 la_data_in_core[18] *4151:DIODE 6.22114e-05 +*RES +1 la_data_out_core[17] *296:10 15.6664 +2 *296:10 *21952:A 9.24915 +3 *296:10 *4151:DIODE 24.0222 +*END + +*D_NET *297 0.00161441 +*CONN +*P la_data_out_core[18] I +*I *21963:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4162:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[18] 0.000384415 +2 *21963:A 1.23957e-05 +3 *4162:DIODE 0.000220883 +4 *297:5 0.000617694 +5 *4162:DIODE *22742:A 0 +6 *4162:DIODE *2818:8 2.45002e-05 +7 *4162:DIODE *2986:13 0.000118166 +8 *21963:A *2985:45 0.00011818 +9 *21963:A *2998:77 0.00011818 +10 *297:5 la_oenb_core[18] 0 +11 la_data_in_core[18] *297:5 0 +*RES +1 la_data_out_core[18] *297:5 6.93715 +2 *297:5 *4162:DIODE 17.6896 +3 *297:5 *21963:A 15.0271 +*END + +*D_NET *298 0.0018349 +*CONN +*P la_data_out_core[19] I +*I *21974:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4173:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[19] 0.000384415 +2 *21974:A 1.54421e-05 +3 *4173:DIODE 0.000236353 +4 *298:5 0.000636211 +5 *4173:DIODE *22743:A 4.44192e-05 +6 *4173:DIODE *2829:6 0 +7 *4173:DIODE *2986:13 0.000175485 +8 *21974:A *2985:45 0.000171288 +9 *21974:A *2998:77 0.000171288 +10 *298:5 la_oenb_core[19] 0 +11 la_data_in_core[19] *298:5 0 +*RES +1 la_data_out_core[19] *298:5 6.93715 +2 *298:5 *4173:DIODE 18.2442 +3 *298:5 *21974:A 15.5817 +*END + +*D_NET *299 0.00286564 +*CONN +*P la_data_out_core[1] I +*I *4184:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21985:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[1] 0.000460459 +2 *4184:DIODE 0.000288897 +3 *21985:A 0 +4 *299:12 0.000749356 +5 *4184:DIODE *4306:DIODE 5.48384e-05 +6 *4184:DIODE *310:7 7.09666e-06 +7 *4184:DIODE *2980:9 0.000126197 +8 *4184:DIODE *2986:13 0.000207266 +9 *4184:DIODE *2998:61 0.000211464 +10 *4184:DIODE *3000:8 6.50586e-05 +11 *4184:DIODE *3001:29 0.000145414 +12 *299:12 la_oenb_core[1] 0 +13 *299:12 *1868:10 0.000129557 +14 *299:12 *1869:10 0.000147931 +15 *299:12 *2840:7 6.36477e-05 +16 *299:12 *3000:8 0.000158371 +17 *299:12 *3001:29 3.8122e-05 +18 la_data_in_core[1] *299:12 0 +19 la_data_in_core[2] *4184:DIODE 1.19674e-05 +*RES +1 la_data_out_core[1] *299:12 15.2972 +2 *299:12 *21985:A 9.24915 +3 *299:12 *4184:DIODE 28.1804 +*END + +*D_NET *300 0.00161006 +*CONN +*P la_data_out_core[20] I +*I *21996:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4195:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[20] 0.000384415 +2 *21996:A 1.23957e-05 +3 *4195:DIODE 0.000217524 +4 *300:5 0.000614335 +5 *4195:DIODE *22745:A 2.26568e-05 +6 *4195:DIODE *2851:8 0 +7 *4195:DIODE *2986:13 0.000122378 +8 *21996:A *2985:45 0.00011818 +9 *21996:A *2998:77 0.00011818 +10 *300:5 la_oenb_core[20] 0 +11 la_data_in_core[20] *300:5 0 +*RES +1 la_data_out_core[20] *300:5 6.93715 +2 *300:5 *4195:DIODE 17.6896 +3 *300:5 *21996:A 15.0271 +*END + +*D_NET *301 0.00197929 +*CONN +*P la_data_out_core[21] I +*I *22007:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4206:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[21] 0.000376818 +2 *22007:A 2.38821e-05 +3 *4206:DIODE 0.000199321 +4 *301:7 0.000600021 +5 *4206:DIODE *22746:A 3.77755e-05 +6 *4206:DIODE *2862:8 6.22259e-05 +7 *4206:DIODE *2986:13 0.000115934 +8 *22007:A *2985:45 0.000220183 +9 *22007:A *2998:77 0.000220183 +10 *301:7 la_oenb_core[21] 0 +11 *301:7 *22746:A 9.12416e-06 +12 *301:7 *1944:19 0.000113822 +*RES +1 la_data_out_core[21] *301:7 7.66995 +2 *301:7 *4206:DIODE 17.6896 +3 *301:7 *22007:A 16.1364 +*END + +*D_NET *302 0.00153764 +*CONN +*P la_data_out_core[22] I +*I *22018:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4217:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[22] 0.000397032 +2 *22018:A 1.47608e-05 +3 *4217:DIODE 0.000171073 +4 *302:7 0.000582867 +5 *4217:DIODE *2986:13 6.50586e-05 +6 *4217:DIODE *2988:33 0.000115313 +7 *22018:A *2985:45 6.08467e-05 +8 *22018:A *2998:77 6.08467e-05 +9 *302:7 *22747:A 1.05272e-06 +10 *302:7 *2988:33 6.87839e-05 +11 la_data_in_core[22] *302:7 0 +*RES +1 la_data_out_core[22] *302:7 7.66995 +2 *302:7 *4217:DIODE 17.135 +3 *302:7 *22018:A 14.4725 +*END + +*D_NET *303 0.00126257 +*CONN +*P la_data_out_core[23] I +*I *22029:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4228:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[23] 0.000473233 +2 *22029:A 0 +3 *4228:DIODE 0.0001399 +4 *303:7 0.000613133 +5 *4228:DIODE la_oenb_core[23] 1.03079e-05 +6 *4228:DIODE *2884:10 2.24412e-05 +7 *303:7 la_oenb_core[23] 0 +8 *303:7 *22748:A 3.5534e-06 +9 la_data_in_core[23] *303:7 0 +*RES +1 la_data_out_core[23] *303:7 8.23176 +2 *303:7 *4228:DIODE 16.4116 +3 *303:7 *22029:A 13.7491 +*END + +*D_NET *304 0.00156981 +*CONN +*P la_data_out_core[24] I +*I *22040:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4239:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[24] 0.000526056 +2 *22040:A 1.09219e-05 +3 *4239:DIODE 0.000131902 +4 *304:7 0.00066888 +5 *4239:DIODE la_oenb_core[24] 3.54474e-05 +6 *4239:DIODE *2895:8 6.22114e-05 +7 *22040:A *2985:45 6.36477e-05 +8 *22040:A *2998:77 6.36477e-05 +9 *304:7 la_oenb_core[24] 0 +10 *304:7 *22749:A 7.09666e-06 +11 *304:7 *2995:39 0 +12 la_data_in_core[24] *304:7 0 +*RES +1 la_data_out_core[24] *304:7 8.79358 +2 *304:7 *4239:DIODE 16.4116 +3 *304:7 *22040:A 14.4725 +*END + +*D_NET *305 0.00165023 +*CONN +*P la_data_out_core[25] I +*I *22051:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4250:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[25] 0.000397327 +2 *22051:A 1.23957e-05 +3 *4250:DIODE 0.000228191 +4 *305:5 0.000637914 +5 *4250:DIODE *2906:6 6.39153e-06 +6 *4250:DIODE *2983:38 1.5714e-05 +7 *4250:DIODE *2986:13 0.000115934 +8 *22051:A *2985:45 0.00011818 +9 *22051:A *2998:77 0.00011818 +10 *305:5 la_oenb_core[25] 0 +11 la_data_in_core[25] *305:5 0 +*RES +1 la_data_out_core[25] *305:5 6.93715 +2 *305:5 *4250:DIODE 17.6896 +3 *305:5 *22051:A 15.0271 +*END + +*D_NET *306 0.001624 +*CONN +*P la_data_out_core[26] I +*I *22063:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4262:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[26] 0.000396066 +2 *22063:A 1.23957e-05 +3 *4262:DIODE 0.00022417 +4 *306:5 0.000632631 +5 *4262:DIODE la_oenb_core[26] 0 +6 *4262:DIODE *22751:A 0 +7 *4262:DIODE *2986:13 0.000122378 +8 *22063:A *2985:45 0.00011818 +9 *22063:A *2998:77 0.00011818 +10 *306:5 la_oenb_core[26] 0 +11 la_data_in_core[26] *306:5 0 +*RES +1 la_data_out_core[26] *306:5 6.93715 +2 *306:5 *4262:DIODE 17.6896 +3 *306:5 *22063:A 15.0271 +*END + +*D_NET *307 0.00169198 +*CONN +*P la_data_out_core[27] I +*I *22074:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4273:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[27] 0.000397291 +2 *22074:A 1.23957e-05 +3 *4273:DIODE 0.00018894 +4 *307:5 0.000598626 +5 *4273:DIODE *22752:A 0.000125263 +6 *4273:DIODE *2929:9 0 +7 *4273:DIODE *2986:13 0.000122378 +8 *4273:DIODE *3111:36 1.07248e-05 +9 *22074:A *2985:45 0.00011818 +10 *22074:A *2998:77 0.00011818 +11 *307:5 la_oenb_core[27] 0 +12 la_data_in_core[27] *307:5 0 +*RES +1 la_data_out_core[27] *307:5 6.93715 +2 *307:5 *4273:DIODE 17.6896 +3 *307:5 *22074:A 15.0271 +*END + +*D_NET *308 0.00164244 +*CONN +*P la_data_out_core[28] I +*I *22085:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4284:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[28] 0.000397291 +2 *22085:A 1.23957e-05 +3 *4284:DIODE 0.000218996 +4 *308:5 0.000628683 +5 *4284:DIODE *22753:A 2.26568e-05 +6 *4284:DIODE *2940:10 0 +7 *4284:DIODE *2986:13 0.000122378 +8 *4284:DIODE *3112:34 3.67528e-06 +9 *22085:A *2985:45 0.00011818 +10 *22085:A *2998:77 0.00011818 +11 *308:5 la_oenb_core[28] 0 +12 la_data_in_core[28] *308:5 0 +*RES +1 la_data_out_core[28] *308:5 6.93715 +2 *308:5 *4284:DIODE 17.6896 +3 *308:5 *22085:A 15.0271 +*END + +*D_NET *309 0.0014825 +*CONN +*P la_data_out_core[29] I +*I *22096:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4295:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[29] 0.000416099 +2 *22096:A 9.34923e-06 +3 *4295:DIODE 0.000174066 +4 *309:7 0.000599514 +5 *4295:DIODE *22754:A 4.22989e-05 +6 *4295:DIODE *2951:12 0 +7 *4295:DIODE *2986:13 6.92705e-05 +8 *22096:A *2985:45 6.50727e-05 +9 *22096:A *2998:77 6.50727e-05 +10 *309:7 la_oenb_core[29] 0 +11 *309:7 *22754:A 7.09666e-06 +12 *309:7 *2988:33 3.4664e-05 +13 la_data_in_core[29] *309:7 0 +*RES +1 la_data_out_core[29] *309:7 7.66995 +2 *309:7 *4295:DIODE 17.135 +3 *309:7 *22096:A 14.4725 +*END + +*D_NET *310 0.00294127 +*CONN +*P la_data_out_core[2] I +*I *22107:A I *D sky130_fd_sc_hd__buf_4 +*I *4306:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[2] 0.000410635 +2 *22107:A 7.53741e-05 +3 *4306:DIODE 0.000483586 +4 *310:7 0.000969596 +5 *4306:DIODE *22755:A 6.14756e-06 +6 *4306:DIODE *2962:8 0.0002583 +7 *4306:DIODE *2990:17 0.000356273 +8 *4306:DIODE *2998:56 1.68432e-05 +9 *22107:A *22755:A 0.000113968 +10 *22107:A *2962:7 6.08467e-05 +11 *22107:A *2980:9 5.39247e-05 +12 *22107:A *3001:29 7.0512e-05 +13 *310:7 la_oenb_core[2] 0 +14 *310:7 *22755:A 3.3239e-06 +15 *310:7 *1875:8 0 +16 la_data_in_core[2] *310:7 0 +17 *4184:DIODE *4306:DIODE 5.48384e-05 +18 *4184:DIODE *310:7 7.09666e-06 +*RES +1 la_data_out_core[2] *310:7 7.66995 +2 *310:7 *4306:DIODE 23.7847 +3 *310:7 *22107:A 16.691 +*END + +*D_NET *311 0.00161252 +*CONN +*P la_data_out_core[30] I +*I *22118:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4317:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[30] 0.000385641 +2 *22118:A 1.23957e-05 +3 *4317:DIODE 0.000217524 +4 *311:5 0.00061556 +5 *4317:DIODE la_oenb_core[30] 0 +6 *4317:DIODE *22756:A 2.26568e-05 +7 *4317:DIODE *2986:13 0.000122378 +8 *22118:A *2985:45 0.00011818 +9 *22118:A *2998:77 0.00011818 +10 *311:5 la_oenb_core[30] 0 +11 la_data_in_core[30] *311:5 0 +*RES +1 la_data_out_core[30] *311:5 6.93715 +2 *311:5 *4317:DIODE 17.6896 +3 *311:5 *22118:A 15.0271 +*END + +*D_NET *312 0.00162426 +*CONN +*P la_data_out_core[31] I +*I *22129:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4328:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[31] 0.000371737 +2 *22129:A 1.77893e-05 +3 *4328:DIODE 0.000212216 +4 *312:5 0.000601742 +5 *4328:DIODE *22757:A 0 +6 *4328:DIODE *2986:13 0.000118166 +7 *4328:DIODE *2990:28 4.09463e-05 +8 *22129:A *2985:45 0.000113968 +9 *22129:A *2998:77 0.000113968 +10 *312:5 la_oenb_core[31] 0 +11 *312:5 *2990:28 3.37259e-05 +12 la_data_in_core[31] *312:5 0 +*RES +1 la_data_out_core[31] *312:5 6.93715 +2 *312:5 *4328:DIODE 17.6896 +3 *312:5 *22129:A 15.0271 +*END + +*D_NET *313 0.00166254 +*CONN +*P la_data_out_core[32] I +*I *22140:A I *D sky130_fd_sc_hd__buf_4 +*I *4339:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[32] 0.000365727 +2 *22140:A 1.86968e-05 +3 *4339:DIODE 0.000171217 +4 *313:5 0.00055564 +5 *4339:DIODE *2990:28 0.000121665 +6 *4339:DIODE *2995:19 6.51527e-05 +7 *4339:DIODE *2998:88 2.77564e-05 +8 *4339:DIODE *3121:47 0.000115934 +9 *22140:A *2985:45 6.08467e-05 +10 *22140:A *3001:45 1.65872e-05 +11 *22140:A *3001:63 1.41976e-05 +12 *313:5 la_oenb_core[32] 0 +13 *313:5 *2990:28 8.4653e-05 +14 *313:5 *2998:88 4.44699e-05 +15 la_data_in_core[32] *313:5 0 +*RES +1 la_data_out_core[32] *313:5 6.93715 +2 *313:5 *4339:DIODE 17.6896 +3 *313:5 *22140:A 14.4725 +*END + +*D_NET *314 0.00150191 +*CONN +*P la_data_out_core[33] I +*I *22151:A I *D sky130_fd_sc_hd__buf_4 +*I *4350:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[33] 0.000395243 +2 *22151:A 1.26312e-05 +3 *4350:DIODE 0.000205898 +4 *314:5 0.000613772 +5 *4350:DIODE *22759:A 2.08935e-05 +6 *4350:DIODE *3006:15 3.73212e-05 +7 *4350:DIODE *3121:47 0.000122378 +8 *22151:A *2985:45 6.50727e-05 +9 *22151:A *3001:63 2.65831e-05 +10 *314:5 la_oenb_core[33] 0 +11 *314:5 *3006:15 2.1203e-06 +12 la_data_in_core[33] *314:5 0 +*RES +1 la_data_out_core[33] *314:5 6.93715 +2 *314:5 *4350:DIODE 17.6896 +3 *314:5 *22151:A 14.4725 +*END + +*D_NET *315 0.00132287 +*CONN +*P la_data_out_core[34] I +*I *22162:A I *D sky130_fd_sc_hd__buf_4 +*I *4361:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[34] 0.000436364 +2 *22162:A 0 +3 *4361:DIODE 0.000170769 +4 *315:7 0.000607133 +5 *4361:DIODE *22760:A 0 +6 *4361:DIODE *3017:8 3.82791e-05 +7 *4361:DIODE *3120:28 0 +8 *4361:DIODE *3121:47 6.92705e-05 +9 *315:7 la_oenb_core[34] 0 +10 *315:7 *22760:A 1.05272e-06 +11 la_data_in_core[34] *315:7 0 +*RES +1 la_data_out_core[34] *315:7 7.66995 +2 *315:7 *4361:DIODE 17.135 +3 *315:7 *22162:A 13.7491 +*END + +*D_NET *316 0.00175118 +*CONN +*P la_data_out_core[35] I +*I *22174:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4373:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[35] 0.000365555 +2 *22174:A 2.06659e-05 +3 *4373:DIODE 0.000145531 +4 *316:5 0.000531752 +5 *4373:DIODE *2980:14 0.000129949 +6 *4373:DIODE *2983:63 0.000122378 +7 *4373:DIODE *3007:45 0.000118908 +8 *4373:DIODE *3029:8 2.1558e-06 +9 *22174:A *2985:45 0.000111722 +10 *22174:A *2998:89 0.000111722 +11 *316:5 la_oenb_core[35] 0 +12 *316:5 *2980:14 3.58525e-05 +13 *316:5 *3007:45 5.49916e-05 +14 la_data_in_core[35] *316:5 0 +*RES +1 la_data_out_core[35] *316:5 6.93715 +2 *316:5 *4373:DIODE 17.6896 +3 *316:5 *22174:A 15.0271 +*END + +*D_NET *317 0.00309587 +*CONN +*P la_data_out_core[36] I +*I *4384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22185:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[36] 0.000489977 +2 *4384:DIODE 0.00026584 +3 *22185:A 0 +4 *317:12 0.000755817 +5 *4384:DIODE *4392:DIODE 0 +6 *4384:DIODE *318:8 7.09666e-06 +7 *4384:DIODE *2983:63 0.000175485 +8 *4384:DIODE *2985:45 0.000171273 +9 *4384:DIODE *2998:89 0.00015511 +10 *4384:DIODE *3040:8 1.17351e-05 +11 *4384:DIODE *3051:6 0 +12 *317:12 la_oenb_core[36] 0 +13 *317:12 *23017:A 9.12416e-06 +14 *317:12 *2985:45 0.000525814 +15 *317:12 *2998:89 0.000528596 +16 la_data_in_core[36] *317:12 0 +17 la_data_in_core[37] *4384:DIODE 0 +*RES +1 la_data_out_core[36] *317:12 18.0558 +2 *317:12 *22185:A 9.24915 +3 *317:12 *4384:DIODE 24.5769 +*END + +*D_NET *318 0.0018001 +*CONN +*P la_data_out_core[37] I +*I *4392:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22196:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[37] 0.000398257 +2 *4392:DIODE 0.000141067 +3 *22196:A 0 +4 *318:8 0.000539323 +5 *4392:DIODE *22764:A 0 +6 *4392:DIODE *2985:45 6.50586e-05 +7 *4392:DIODE *2998:89 4.88955e-05 +8 *4392:DIODE *3051:6 2.7985e-05 +9 *318:8 la_oenb_core[37] 0 +10 *318:8 *2985:45 0.000277502 +11 *318:8 *2998:89 0.0002817 +12 la_data_in_core[37] *318:8 0 +13 la_data_in_core[38] *4392:DIODE 1.32184e-05 +14 la_data_in_core[38] *318:8 0 +15 *4384:DIODE *4392:DIODE 0 +16 *4384:DIODE *318:8 7.09666e-06 +*RES +1 la_data_out_core[37] *318:8 14.379 +2 *318:8 *22196:A 9.24915 +3 *318:8 *4392:DIODE 21.635 +*END + +*D_NET *319 0.00250476 +*CONN +*P la_data_out_core[38] I +*I *4393:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22204:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[38] 0.000523062 +2 *4393:DIODE 0.000156568 +3 *22204:A 0 +4 *319:12 0.000679629 +5 *4393:DIODE *22765:A 4.09793e-05 +6 *4393:DIODE *2985:45 0.000113968 +7 *4393:DIODE *2998:89 9.97706e-05 +8 *4393:DIODE *3062:8 0 +9 *319:12 la_oenb_core[38] 9.5793e-06 +10 *319:12 *23019:A 7.09666e-06 +11 *319:12 *2985:45 0.000426168 +12 *319:12 *2998:89 0.000430366 +13 la_data_in_core[38] *319:12 0 +14 la_data_in_core[39] *4393:DIODE 1.75682e-05 +*RES +1 la_data_out_core[38] *319:12 17.5084 +2 *319:12 *22204:A 9.24915 +3 *319:12 *4393:DIODE 22.1896 +*END + +*D_NET *320 0.00144809 +*CONN +*P la_data_out_core[39] I +*I *22205:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4394:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[39] 0.000412961 +2 *22205:A 9.34923e-06 +3 *4394:DIODE 0.00016508 +4 *320:7 0.00058739 +5 *4394:DIODE *22765:A 4.22989e-05 +6 *4394:DIODE *2983:63 6.92705e-05 +7 *4394:DIODE *3073:6 2.45002e-05 +8 *22205:A *2985:45 6.50727e-05 +9 *22205:A *2998:89 6.50727e-05 +10 *320:7 la_oenb_core[39] 0 +11 *320:7 *22765:A 7.09666e-06 +12 la_data_in_core[39] *320:7 0 +13 la_data_in_core[40] *320:7 0 +*RES +1 la_data_out_core[39] *320:7 7.66995 +2 *320:7 *4394:DIODE 17.135 +3 *320:7 *22205:A 14.4725 +*END + +*D_NET *321 0.00183962 +*CONN +*P la_data_out_core[3] I +*I *22206:A I *D sky130_fd_sc_hd__buf_4 +*I *4395:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[3] 0.000339845 +2 *22206:A 1.26312e-05 +3 *4395:DIODE 0.000376294 +4 *321:5 0.00072877 +5 *4395:DIODE *2983:14 5.29388e-05 +6 *4395:DIODE *2990:17 0.000122378 +7 *4395:DIODE *3084:8 4.57681e-05 +8 *22206:A *2980:9 2.65831e-05 +9 *22206:A *3084:7 6.50727e-05 +10 *321:5 *2983:14 6.93397e-05 +11 la_data_in_core[3] *321:5 0 +*RES +1 la_data_out_core[3] *321:5 6.93715 +2 *321:5 *4395:DIODE 21.0117 +3 *321:5 *22206:A 14.4725 +*END + +*D_NET *322 0.00259569 +*CONN +*P la_data_out_core[40] I +*I *22207:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4396:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[40] 0.000487338 +2 *22207:A 0 +3 *4396:DIODE 0.000197952 +4 *322:10 0.00068529 +5 *4396:DIODE *22768:A 1.14523e-05 +6 *4396:DIODE *2983:63 0.000171273 +7 *4396:DIODE *2986:22 0.000125263 +8 *322:10 la_oenb_core[40] 0 +9 *322:10 *23021:A 7.09666e-06 +10 *322:10 *2985:45 0.000423908 +11 *322:10 *2998:89 0.000423908 +12 la_data_in_core[40] *322:10 0 +13 la_data_in_core[41] *4396:DIODE 6.22114e-05 +*RES +1 la_data_out_core[40] *322:10 16.7756 +2 *322:10 *4396:DIODE 22.7442 +3 *322:10 *22207:A 9.24915 +*END + +*D_NET *323 0.00161629 +*CONN +*P la_data_out_core[41] I +*I *22208:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4397:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[41] 0.000384415 +2 *22208:A 1.23957e-05 +3 *4397:DIODE 0.000215467 +4 *323:5 0.000612278 +5 *4397:DIODE *22768:A 1.93998e-05 +6 *4397:DIODE *2983:63 0.000122378 +7 *4397:DIODE *3106:8 1.36007e-05 +8 *22208:A *2985:45 0.00011818 +9 *22208:A *2998:89 0.00011818 +10 *323:5 la_oenb_core[41] 0 +11 la_data_in_core[41] *323:5 0 +*RES +1 la_data_out_core[41] *323:5 6.93715 +2 *323:5 *4397:DIODE 17.6896 +3 *323:5 *22208:A 15.0271 +*END + +*D_NET *324 0.00258829 +*CONN +*P la_data_out_core[42] I +*I *4398:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22209:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[42] 0.000429959 +2 *4398:DIODE 0.000248868 +3 *22209:A 0 +4 *324:8 0.000678827 +5 *4398:DIODE la_oenb_core[42] 1.51956e-05 +6 *4398:DIODE *22770:A 8.44495e-06 +7 *4398:DIODE *2983:63 0.000171273 +8 *4398:DIODE *2985:45 6.50586e-05 +9 *4398:DIODE *2998:89 4.88955e-05 +10 *324:8 la_oenb_core[42] 0 +11 *324:8 *22769:A 1.05272e-06 +12 *324:8 *2985:45 0.00043038 +13 *324:8 *2998:89 0.00042812 +14 la_data_in_core[42] *324:8 0 +15 la_data_in_core[43] *4398:DIODE 6.22114e-05 +*RES +1 la_data_out_core[42] *324:8 16.0428 +2 *324:8 *22209:A 9.24915 +3 *324:8 *4398:DIODE 23.4676 +*END + +*D_NET *325 0.00163557 +*CONN +*P la_data_out_core[43] I +*I *22210:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4399:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[43] 0.000384415 +2 *22210:A 1.23957e-05 +3 *4399:DIODE 0.000228113 +4 *325:5 0.000624924 +5 *4399:DIODE *22770:A 1.63924e-05 +6 *4399:DIODE *2983:63 0.000122378 +7 *4399:DIODE *3128:11 1.05934e-05 +8 *22210:A *2985:45 0.00011818 +9 *22210:A *2998:89 0.00011818 +10 *325:5 la_oenb_core[43] 0 +11 la_data_in_core[43] *325:5 0 +*RES +1 la_data_out_core[43] *325:5 6.93715 +2 *325:5 *4399:DIODE 17.6896 +3 *325:5 *22210:A 15.0271 +*END + +*D_NET *326 0.00256768 +*CONN +*P la_data_out_core[44] I +*I *4401:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22212:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[44] 0.000422398 +2 *4401:DIODE 0.000268177 +3 *22212:A 0 +4 *326:8 0.000690575 +5 *4401:DIODE *22772:A 3.64559e-05 +6 *4401:DIODE *2985:45 0.000118166 +7 *4401:DIODE *2998:89 0.000102003 +8 *4401:DIODE *3162:16 0.000169041 +9 *326:8 la_oenb_core[44] 0 +10 *326:8 *22771:A 2.1203e-06 +11 *326:8 *2985:45 0.000377273 +12 *326:8 *2998:89 0.000381471 +13 la_data_in_core[44] *326:8 0 +*RES +1 la_data_out_core[44] *326:8 15.4882 +2 *326:8 *22212:A 9.24915 +3 *326:8 *4401:DIODE 24.0222 +*END + +*D_NET *327 0.00163063 +*CONN +*P la_data_out_core[45] I +*I *22213:A I *D sky130_fd_sc_hd__buf_4 +*I *4402:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[45] 0.000321819 +2 *22213:A 1.47608e-05 +3 *4402:DIODE 0.000212326 +4 *327:5 0.000548906 +5 *4402:DIODE *3001:87 4.15661e-05 +6 *4402:DIODE *3151:10 2.40371e-05 +7 *4402:DIODE *3162:16 0.000118166 +8 *22213:A *2985:45 6.08467e-05 +9 *22213:A *2998:89 6.08467e-05 +10 *327:5 *3001:87 0.000207467 +11 la_data_in_core[45] *4402:DIODE 1.05934e-05 +12 la_data_in_core[45] *327:5 9.29387e-06 +*RES +1 la_data_out_core[45] *327:5 6.93715 +2 *327:5 *4402:DIODE 17.6896 +3 *327:5 *22213:A 14.4725 +*END + +*D_NET *328 0.00174734 +*CONN +*P la_data_out_core[46] I +*I *22214:A I *D sky130_fd_sc_hd__buf_4 +*I *4403:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[46] 0.000396066 +2 *22214:A 1.63474e-05 +3 *4403:DIODE 0.000234616 +4 *328:5 0.000647029 +5 *4403:DIODE *22773:A 3.52414e-05 +6 *4403:DIODE *3008:44 1.91246e-05 +7 *4403:DIODE *3162:16 0.000169728 +8 *22214:A *2985:45 0.000114594 +9 *22214:A *2998:107 0.000114594 +10 *328:5 la_oenb_core[46] 0 +11 la_data_in_core[46] *328:5 0 +*RES +1 la_data_out_core[46] *328:5 6.93715 +2 *328:5 *4403:DIODE 18.2442 +3 *328:5 *22214:A 15.0271 +*END + +*D_NET *329 0.00141311 +*CONN +*P la_data_out_core[47] I +*I *22215:A I *D sky130_fd_sc_hd__buf_4 +*I *4404:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[47] 0.000397327 +2 *22215:A 1.59645e-05 +3 *4404:DIODE 0.000203177 +4 *329:5 0.000616468 +5 *4404:DIODE *22774:A 2.36854e-05 +6 *4404:DIODE *2980:21 5.56461e-05 +7 *4404:DIODE *3173:19 2.13749e-05 +8 *22215:A *2985:45 6.50727e-05 +9 *22215:A *2998:107 1.43983e-05 +10 *329:5 la_oenb_core[47] 0 +11 la_data_in_core[47] *329:5 0 +*RES +1 la_data_out_core[47] *329:5 6.93715 +2 *329:5 *4404:DIODE 17.6896 +3 *329:5 *22215:A 14.4725 +*END + +*D_NET *330 0.00198823 +*CONN +*P la_data_out_core[48] I +*I *22216:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4405:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[48] 0.000396066 +2 *22216:A 4.95275e-05 +3 *4405:DIODE 0.000202682 +4 *330:5 0.000648275 +5 *4405:DIODE *22775:A 0.000133612 +6 *4405:DIODE *3184:6 2.45002e-05 +7 *4405:DIODE *3421:13 0.000169041 +8 *22216:A la_oenb_core[48] 5.04829e-06 +9 *22216:A *2985:45 0.000266832 +10 *22216:A *2998:107 7.48797e-05 +11 *22216:A *2998:114 1.777e-05 +12 *330:5 *2978:17 0 +13 la_data_in_core[48] *330:5 0 +*RES +1 la_data_out_core[48] *330:5 6.93715 +2 *330:5 *4405:DIODE 18.2442 +3 *330:5 *22216:A 16.691 +*END + +*D_NET *331 0.00145797 +*CONN +*P la_data_out_core[49] I +*I *22217:A I *D sky130_fd_sc_hd__buf_4 +*I *4406:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[49] 0.000396066 +2 *22217:A 1.59645e-05 +3 *4406:DIODE 0.000216029 +4 *331:5 0.000628059 +5 *4406:DIODE *22776:A 0 +6 *4406:DIODE *2978:19 0.000122378 +7 *4406:DIODE *3195:14 0 +8 *22217:A *2985:45 6.50727e-05 +9 *22217:A *2998:114 1.43983e-05 +10 *331:5 la_oenb_core[49] 0 +11 la_data_in_core[49] *331:5 0 +*RES +1 la_data_out_core[49] *331:5 6.93715 +2 *331:5 *4406:DIODE 17.6896 +3 *331:5 *22217:A 14.4725 +*END + +*D_NET *332 0.00129759 +*CONN +*P la_data_out_core[4] I +*I *22218:A I *D sky130_fd_sc_hd__buf_4 +*I *4407:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[4] 0.000420624 +2 *22218:A 0 +3 *4407:DIODE 0.000146245 +4 *332:7 0.000566869 +5 *4407:DIODE *22777:A 4.69712e-05 +6 *4407:DIODE *2986:13 6.92705e-05 +7 *4407:DIODE *3206:6 4.05126e-05 +8 *332:7 la_oenb_core[4] 0 +9 *332:7 *22777:A 7.09666e-06 +10 la_data_in_core[4] *332:7 0 +*RES +1 la_data_out_core[4] *332:7 7.66995 +2 *332:7 *4407:DIODE 17.135 +3 *332:7 *22218:A 13.7491 +*END + +*D_NET *333 0.0014775 +*CONN +*P la_data_out_core[50] I +*I *22219:A I *D sky130_fd_sc_hd__buf_4 +*I *4408:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[50] 0.000396066 +2 *22219:A 1.59645e-05 +3 *4408:DIODE 0.00018357 +4 *333:5 0.0005956 +5 *4408:DIODE *22778:A 5.03817e-05 +6 *4408:DIODE *2978:19 0.000118166 +7 *4408:DIODE *3217:8 3.82791e-05 +8 *22219:A *2985:45 6.50727e-05 +9 *22219:A *2998:114 1.43983e-05 +10 *333:5 la_oenb_core[50] 0 +11 la_data_in_core[50] *333:5 0 +*RES +1 la_data_out_core[50] *333:5 6.93715 +2 *333:5 *4408:DIODE 17.6896 +3 *333:5 *22219:A 14.4725 +*END + +*D_NET *334 0.00157083 +*CONN +*P la_data_out_core[51] I +*I *22220:A I *D sky130_fd_sc_hd__buf_4 +*I *4409:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[51] 0.000333842 +2 *22220:A 1.26312e-05 +3 *4409:DIODE 0.000200096 +4 *334:5 0.00054657 +5 *4409:DIODE *22779:A 1.64908e-05 +6 *4409:DIODE *2978:19 0.000122378 +7 *4409:DIODE *3001:87 4.99151e-05 +8 *4409:DIODE *3228:19 0 +9 *22220:A *2985:45 6.50727e-05 +10 *22220:A *3001:87 2.65831e-05 +11 *334:5 la_oenb_core[51] 0 +12 *334:5 *3001:87 0.000197248 +13 la_data_in_core[51] *334:5 0 +*RES +1 la_data_out_core[51] *334:5 6.93715 +2 *334:5 *4409:DIODE 17.6896 +3 *334:5 *22220:A 14.4725 +*END + +*D_NET *335 0.00148011 +*CONN +*P la_data_out_core[52] I +*I *22221:A I *D sky130_fd_sc_hd__buf_4 +*I *4410:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[52] 0.000384415 +2 *22221:A 9.34923e-06 +3 *4410:DIODE 0.000220031 +4 *335:5 0.000613796 +5 *4410:DIODE *22780:A 0 +6 *4410:DIODE *2978:19 0.000122378 +7 *4410:DIODE *3239:21 0 +8 *22221:A *2985:45 6.50727e-05 +9 *22221:A *3001:87 6.50727e-05 +10 *335:5 la_oenb_core[52] 0 +11 la_data_in_core[52] *335:5 0 +*RES +1 la_data_out_core[52] *335:5 6.93715 +2 *335:5 *4410:DIODE 17.6896 +3 *335:5 *22221:A 14.4725 +*END + +*D_NET *336 0.00142259 +*CONN +*P la_data_out_core[53] I +*I *22223:A I *D sky130_fd_sc_hd__buf_4 +*I *4412:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[53] 0.000387864 +2 *22223:A 3.88974e-05 +3 *4412:DIODE 0.000203783 +4 *336:5 0.000630545 +5 *4412:DIODE *22781:A 0 +6 *4412:DIODE *2978:19 0.000122378 +7 *4412:DIODE *2998:114 1.05934e-05 +8 *4412:DIODE *3251:6 2.13749e-05 +9 *336:5 la_oenb_core[53] 0 +10 *336:5 *2998:114 7.15882e-06 +11 la_data_in_core[53] *336:5 0 +*RES +1 la_data_out_core[53] *336:5 6.93715 +2 *336:5 *4412:DIODE 17.6896 +3 *336:5 *22223:A 14.4819 +*END + +*D_NET *337 0.00154917 +*CONN +*P la_data_out_core[54] I +*I *22224:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4413:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[54] 0.000396066 +2 *22224:A 1.93907e-05 +3 *4413:DIODE 0.000212187 +4 *337:5 0.000627643 +5 *4413:DIODE *22782:A 0 +6 *4413:DIODE *2978:19 0.000122378 +7 *4413:DIODE *3262:20 1.17299e-05 +8 *22224:A *2985:45 0.00011818 +9 *22224:A *3001:107 4.15959e-05 +10 *337:5 la_oenb_core[54] 0 +11 la_data_in_core[54] *337:5 0 +*RES +1 la_data_out_core[54] *337:5 6.93715 +2 *337:5 *4413:DIODE 17.6896 +3 *337:5 *22224:A 15.0271 +*END + +*D_NET *338 0.00201667 +*CONN +*P la_data_out_core[55] I +*I *22225:A I *D sky130_fd_sc_hd__buf_4 +*I *4414:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[55] 0.000355206 +2 *22225:A 1.59645e-05 +3 *4414:DIODE 0.000292147 +4 *338:5 0.000663318 +5 *4414:DIODE *22783:A 0.000289912 +6 *4414:DIODE *2983:79 0.000118166 +7 *4414:DIODE *3273:8 9.39115e-05 +8 *22225:A *2985:45 6.50727e-05 +9 *22225:A *3001:107 1.43983e-05 +10 *338:5 la_oenb_core[55] 0 +11 *338:5 *2488:30 0.000108575 +*RES +1 la_data_out_core[55] *338:5 6.93715 +2 *338:5 *4414:DIODE 21.0117 +3 *338:5 *22225:A 14.4725 +*END + +*D_NET *339 0.00155804 +*CONN +*P la_data_out_core[56] I +*I *22226:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4415:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[56] 0.000396066 +2 *22226:A 1.93907e-05 +3 *4415:DIODE 0.000192674 +4 *339:5 0.00060813 +5 *4415:DIODE *22784:A 4.78996e-05 +6 *4415:DIODE *2978:19 0.000122378 +7 *4415:DIODE *3284:6 1.17299e-05 +8 *22226:A *2985:45 0.00011818 +9 *22226:A *3001:107 4.15959e-05 +10 *339:5 la_oenb_core[56] 0 +11 la_data_in_core[56] *339:5 0 +*RES +1 la_data_out_core[56] *339:5 6.93715 +2 *339:5 *4415:DIODE 17.6896 +3 *339:5 *22226:A 15.0271 +*END + +*D_NET *340 0.00149585 +*CONN +*P la_data_out_core[57] I +*I *22227:A I *D sky130_fd_sc_hd__buf_4 +*I *4416:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[57] 0.000396066 +2 *22227:A 1.26312e-05 +3 *4416:DIODE 0.000196176 +4 *340:5 0.000604873 +5 *4416:DIODE *22785:A 2.04072e-05 +6 *4416:DIODE *2978:19 0.000122378 +7 *4416:DIODE *3007:58 3.29488e-05 +8 *4416:DIODE *3295:6 1.8714e-05 +9 *22227:A *2985:45 6.50727e-05 +10 *22227:A *3007:58 2.65831e-05 +11 *340:5 la_oenb_core[57] 0 +12 la_data_in_core[57] *340:5 0 +*RES +1 la_data_out_core[57] *340:5 6.93715 +2 *340:5 *4416:DIODE 17.6896 +3 *340:5 *22227:A 14.4725 +*END + +*D_NET *341 0.00152145 +*CONN +*P la_data_out_core[58] I +*I *22228:A I *D sky130_fd_sc_hd__buf_4 +*I *4417:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[58] 0.000382785 +2 *22228:A 1.39384e-05 +3 *4417:DIODE 0.000195861 +4 *341:5 0.000592584 +5 *4417:DIODE *22786:A 1.64908e-05 +6 *4417:DIODE *2978:19 0.000118796 +7 *4417:DIODE *2998:130 4.99151e-05 +8 *4417:DIODE *3306:21 1.71499e-05 +9 *22228:A *2985:45 6.3657e-05 +10 *22228:A *2998:130 2.57986e-05 +11 *341:5 la_oenb_core[58] 0 +12 *341:5 *2998:130 4.44699e-05 +13 la_data_in_core[58] *341:5 0 +*RES +1 la_data_out_core[58] *341:5 6.93715 +2 *341:5 *4417:DIODE 17.6896 +3 *341:5 *22228:A 14.4725 +*END + +*D_NET *342 0.00145975 +*CONN +*P la_data_out_core[59] I +*I *22229:A I *D sky130_fd_sc_hd__buf_4 +*I *4418:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[59] 0.000396188 +2 *22229:A 1.59645e-05 +3 *4418:DIODE 0.000216798 +4 *342:5 0.000628951 +5 *4418:DIODE la_oenb_core[59] 0 +6 *4418:DIODE *22787:A 0 +7 *4418:DIODE *2978:19 0.000122378 +8 *22229:A *2985:45 6.50727e-05 +9 *22229:A *3001:111 1.43983e-05 +10 *342:5 la_oenb_core[59] 0 +11 la_data_in_core[59] *342:5 0 +*RES +1 la_data_out_core[59] *342:5 6.93715 +2 *342:5 *4418:DIODE 17.6896 +3 *342:5 *22229:A 14.4725 +*END + +*D_NET *343 0.00145026 +*CONN +*P la_data_out_core[5] I +*I *22230:A I *D sky130_fd_sc_hd__buf_4 +*I *4419:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[5] 0.000384415 +2 *22230:A 1.26312e-05 +3 *4419:DIODE 0.000196915 +4 *343:5 0.000593961 +5 *4419:DIODE *22788:A 2.44358e-05 +6 *4419:DIODE *2986:13 0.000122378 +7 *4419:DIODE *3328:6 2.3863e-05 +8 *22230:A *2985:17 6.50727e-05 +9 *22230:A *3001:29 2.65831e-05 +10 *343:5 la_oenb_core[5] 0 +11 la_data_in_core[5] *343:5 0 +*RES +1 la_data_out_core[5] *343:5 6.93715 +2 *343:5 *4419:DIODE 17.6896 +3 *343:5 *22230:A 14.4725 +*END + +*D_NET *344 0.00148598 +*CONN +*P la_data_out_core[60] I +*I *22231:A I *D sky130_fd_sc_hd__buf_4 +*I *4420:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[60] 0.000396066 +2 *22231:A 1.59645e-05 +3 *4420:DIODE 0.000219591 +4 *344:5 0.000631621 +5 *4420:DIODE *22789:A 2.08935e-05 +6 *4420:DIODE *2978:19 0.000122378 +7 *22231:A *2985:45 6.50727e-05 +8 *22231:A *3001:111 1.43983e-05 +9 *344:5 la_oenb_core[60] 0 +10 la_data_in_core[60] *344:5 0 +*RES +1 la_data_out_core[60] *344:5 6.93715 +2 *344:5 *4420:DIODE 17.6896 +3 *344:5 *22231:A 14.4725 +*END + +*D_NET *345 0.00148898 +*CONN +*P la_data_out_core[61] I +*I *22232:A I *D sky130_fd_sc_hd__buf_4 +*I *4421:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[61] 0.000396066 +2 *22232:A 1.59645e-05 +3 *4421:DIODE 0.000211905 +4 *345:5 0.000623935 +5 *4421:DIODE *22790:A 2.08935e-05 +6 *4421:DIODE *2978:19 0.000122378 +7 *4421:DIODE *3350:6 1.83676e-05 +8 *22232:A *2985:45 6.50727e-05 +9 *22232:A *3001:111 1.43983e-05 +10 *345:5 la_oenb_core[61] 0 +11 la_data_in_core[61] *345:5 0 +*RES +1 la_data_out_core[61] *345:5 6.93715 +2 *345:5 *4421:DIODE 17.6896 +3 *345:5 *22232:A 14.4725 +*END + +*D_NET *346 0.00149436 +*CONN +*P la_data_out_core[62] I +*I *22234:A I *D sky130_fd_sc_hd__buf_4 +*I *4423:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[62] 0.000396066 +2 *22234:A 1.26312e-05 +3 *4423:DIODE 0.000211846 +4 *346:5 0.000620543 +5 *4423:DIODE *22791:A 2.08935e-05 +6 *4423:DIODE *2978:19 0.000122378 +7 *4423:DIODE *3362:11 1.83447e-05 +8 *22234:A *2985:45 6.50727e-05 +9 *22234:A *3001:134 2.65831e-05 +10 *346:5 la_oenb_core[62] 0 +11 la_data_in_core[62] *346:5 0 +*RES +1 la_data_out_core[62] *346:5 6.93715 +2 *346:5 *4423:DIODE 17.6896 +3 *346:5 *22234:A 14.4725 +*END + +*D_NET *347 0.00284109 +*CONN +*P la_data_out_core[63] I +*I *4424:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22235:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[63] 0.000474482 +2 *4424:DIODE 0.000257755 +3 *22235:A 0 +4 *347:10 0.000732237 +5 *4424:DIODE *348:8 7.09666e-06 +6 *4424:DIODE *2467:10 3.77755e-05 +7 *4424:DIODE *2978:19 0.000164815 +8 *4424:DIODE *2985:45 0.000118166 +9 *4424:DIODE *3001:134 4.33655e-05 +10 *4424:DIODE *3008:58 1.5714e-05 +11 *347:10 la_oenb_core[63] 0 +12 *347:10 *23047:A 7.09666e-06 +13 *347:10 *2317:7 0.000106288 +14 *347:10 *2985:45 0.000587456 +15 *347:10 *3001:134 0.000223764 +16 *347:10 *3373:7 6.50727e-05 +17 la_data_in_core[64] *4424:DIODE 0 +*RES +1 la_data_out_core[63] *347:10 18.4394 +2 *347:10 *22235:A 9.24915 +3 *347:10 *4424:DIODE 24.0222 +*END + +*D_NET *348 0.00192979 +*CONN +*P la_data_out_core[64] I +*I *22236:A I *D sky130_fd_sc_hd__buf_4 +*I *4425:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[64] 0.000372872 +2 *22236:A 0 +3 *4425:DIODE 0.000122477 +4 *348:8 0.000495349 +5 *4425:DIODE la_oenb_core[64] 6.3609e-05 +6 *4425:DIODE *2327:11 3.88873e-05 +7 *4425:DIODE *3384:6 1.03079e-05 +8 *348:8 *2467:10 0.000326532 +9 *348:8 *2985:45 0.000307023 +10 *348:8 *3001:134 0.000185634 +11 la_data_in_core[64] *348:8 0 +12 *4424:DIODE *348:8 7.09666e-06 +*RES +1 la_data_out_core[64] *348:8 14.9336 +2 *348:8 *4425:DIODE 20.9116 +3 *348:8 *22236:A 9.24915 +*END + +*D_NET *349 0.00226691 +*CONN +*P la_data_out_core[65] I +*I *4426:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22237:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[65] 0.000472006 +2 *4426:DIODE 0.000165161 +3 *22237:A 0 +4 *349:10 0.000637167 +5 *4426:DIODE *350:7 1.05272e-06 +6 *4426:DIODE *2985:45 6.50586e-05 +7 *349:10 la_oenb_core[65] 0 +8 *349:10 *23049:A 7.09666e-06 +9 *349:10 *2435:10 0.000118757 +10 *349:10 *2985:45 0.000534349 +11 *349:10 *3001:134 0.000204606 +12 la_data_in_core[66] *4426:DIODE 3.50759e-05 +13 la_data_in_core[66] *349:10 2.65831e-05 +*RES +1 la_data_out_core[65] *349:10 17.8848 +2 *349:10 *22237:A 9.24915 +3 *349:10 *4426:DIODE 21.635 +*END + +*D_NET *350 0.00137894 +*CONN +*P la_data_out_core[66] I +*I *22238:A I *D sky130_fd_sc_hd__buf_4 +*I *4427:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[66] 0.000423488 +2 *22238:A 0 +3 *4427:DIODE 0.000184579 +4 *350:7 0.000608067 +5 *4427:DIODE *2978:19 6.50586e-05 +6 *4427:DIODE *3406:8 9.66954e-05 +7 *350:7 la_oenb_core[66] 0 +8 la_data_in_core[66] *350:7 0 +9 *4426:DIODE *350:7 1.05272e-06 +*RES +1 la_data_out_core[66] *350:7 7.66995 +2 *350:7 *4427:DIODE 17.135 +3 *350:7 *22238:A 13.7491 +*END + +*D_NET *351 0.00369541 +*CONN +*P la_data_out_core[67] I +*I *22239:A I *D sky130_fd_sc_hd__buf_4 +*I *4428:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[67] 0.000381279 +2 *22239:A 5.22987e-05 +3 *4428:DIODE 0.000550288 +4 *351:9 0.000983866 +5 *4428:DIODE la_oenb_core[67] 1.82554e-05 +6 *4428:DIODE *22797:A 0 +7 *4428:DIODE *23051:A 2.59441e-05 +8 *4428:DIODE *23052:A 0 +9 *4428:DIODE *2338:11 1.18802e-05 +10 *4428:DIODE *2988:91 0.000527956 +11 *4428:DIODE *3417:13 9.32704e-05 +12 *22239:A *2985:45 0.000426168 +13 *22239:A *3001:146 4.30968e-05 +14 *22239:A *3417:13 0.000271058 +15 *351:9 la_oenb_core[67] 9.5793e-06 +16 *351:9 *2338:11 0.000295418 +17 la_data_in_core[68] *22239:A 5.04829e-06 +*RES +1 la_data_out_core[67] *351:9 8.40275 +2 *351:9 *4428:DIODE 24.2027 +3 *351:9 *22239:A 18.3548 +*END + +*D_NET *352 0.00152881 +*CONN +*P la_data_out_core[68] I +*I *22240:A I *D sky130_fd_sc_hd__buf_4 +*I *4429:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[68] 0.00037879 +2 *22240:A 9.34923e-06 +3 *4429:DIODE 0.000199258 +4 *352:5 0.000587397 +5 *4429:DIODE *2978:19 0.000122378 +6 *4429:DIODE *3428:8 0.000101489 +7 *22240:A *2985:45 6.50727e-05 +8 *22240:A *3428:7 6.50727e-05 +9 *352:5 la_oenb_core[68] 0 +10 la_data_in_core[68] *352:5 0 +*RES +1 la_data_out_core[68] *352:5 6.93715 +2 *352:5 *4429:DIODE 17.6896 +3 *352:5 *22240:A 14.4725 +*END + +*D_NET *353 0.00252672 +*CONN +*P la_data_out_core[69] I +*I *22241:A I *D sky130_fd_sc_hd__buf_4 +*I *4430:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[69] 0.000368643 +2 *22241:A 6.34466e-05 +3 *4430:DIODE 0.000516048 +4 *353:5 0.000948137 +5 *4430:DIODE *22798:A 5.77371e-05 +6 *4430:DIODE *1866:38 3.20069e-06 +7 *4430:DIODE *1876:11 0 +8 *4430:DIODE *3002:39 0.000322611 +9 *4430:DIODE *3439:8 0 +10 *22241:A *1876:11 2.41274e-06 +11 *22241:A *2985:45 0.000220809 +12 *353:5 la_oenb_core[69] 0 +13 la_data_in_core[69] *353:5 2.36702e-05 +*RES +1 la_data_out_core[69] *353:5 6.93715 +2 *353:5 *4430:DIODE 23.2301 +3 *353:5 *22241:A 16.1364 +*END + +*D_NET *354 0.0014466 +*CONN +*P la_data_out_core[6] I +*I *22242:A I *D sky130_fd_sc_hd__buf_4 +*I *4431:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[6] 0.000384415 +2 *22242:A 1.26312e-05 +3 *4431:DIODE 0.000207304 +4 *354:5 0.00060435 +5 *4431:DIODE *22799:A 0 +6 *4431:DIODE *2986:13 0.000122378 +7 *4431:DIODE *3450:6 2.3863e-05 +8 *22242:A *2985:17 6.50727e-05 +9 *22242:A *3001:29 2.65831e-05 +10 *354:5 la_oenb_core[6] 0 +11 la_data_in_core[6] *354:5 0 +*RES +1 la_data_out_core[6] *354:5 6.93715 +2 *354:5 *4431:DIODE 17.6896 +3 *354:5 *22242:A 14.4725 +*END + +*D_NET *355 0.00188239 +*CONN +*P la_data_out_core[70] I +*I *4432:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22243:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[70] 0.000382707 +2 *4432:DIODE 0.000225559 +3 *22243:A 0 +4 *355:8 0.000608266 +5 *4432:DIODE la_oenb_core[70] 3.3239e-06 +6 *4432:DIODE *22800:A 0.000168033 +7 *4432:DIODE *2985:45 6.50586e-05 +8 *4432:DIODE *3166:23 1.92172e-05 +9 *4432:DIODE *3461:6 0.000266756 +10 *355:8 la_oenb_core[70] 0 +11 *355:8 *22800:A 9.12416e-06 +12 *355:8 *2985:45 6.50727e-05 +13 *355:8 *3166:23 6.92705e-05 +14 la_data_in_core[70] *355:8 0 +*RES +1 la_data_out_core[70] *355:8 12.1606 +2 *355:8 *22243:A 9.24915 +3 *355:8 *4432:DIODE 24.9571 +*END + +*D_NET *356 0.00241816 +*CONN +*P la_data_out_core[71] I +*I *3808:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21620:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[71] 0.000431389 +2 *3808:DIODE 0.00025231 +3 *21620:A 0 +4 *356:8 0.000683699 +5 *3808:DIODE la_oenb_core[71] 0 +6 *3808:DIODE *1876:11 1.00846e-05 +7 *3808:DIODE *1876:14 0 +8 *3808:DIODE *2978:19 0.000175485 +9 *3808:DIODE *2985:45 6.50586e-05 +10 *356:8 la_oenb_core[71] 0 +11 *356:8 *5319:DIODE 2.1203e-06 +12 *356:8 *1876:11 4.02438e-05 +13 *356:8 *2349:17 0.000277488 +14 *356:8 *2985:45 0.00043038 +15 la_data_in_core[71] *356:8 0 +16 la_data_in_core[72] *3808:DIODE 4.99006e-05 +*RES +1 la_data_out_core[71] *356:8 16.0428 +2 *356:8 *21620:A 9.24915 +3 *356:8 *3808:DIODE 23.4676 +*END + +*D_NET *357 0.00157228 +*CONN +*P la_data_out_core[72] I +*I *21621:A I *D sky130_fd_sc_hd__buf_4 +*I *3809:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[72] 0.000377564 +2 *21621:A 1.78877e-05 +3 *3809:DIODE 0.000208859 +4 *357:5 0.000604311 +5 *3809:DIODE *1876:14 6.87503e-05 +6 *3809:DIODE *2360:17 3.3239e-06 +7 *3809:DIODE *2978:19 0.000115934 +8 *3809:DIODE *3171:8 8.58125e-05 +9 *21621:A *22802:A 1.97124e-05 +10 *21621:A *2985:45 6.50727e-05 +11 *21621:A *3171:27 5.04829e-06 +12 *357:5 la_oenb_core[72] 0 +13 la_data_in_core[72] *357:5 0 +*RES +1 la_data_out_core[72] *357:5 6.93715 +2 *357:5 *3809:DIODE 17.6896 +3 *357:5 *21621:A 14.4725 +*END + +*D_NET *358 0.00170152 +*CONN +*P la_data_out_core[73] I +*I *21622:A I *D sky130_fd_sc_hd__buf_4 +*I *3810:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[73] 0.000377564 +2 *21622:A 2.04747e-05 +3 *3810:DIODE 0.000263523 +4 *358:5 0.000661562 +5 *3810:DIODE *22803:A 4.44192e-05 +6 *3810:DIODE *2371:6 0 +7 *3810:DIODE *2978:19 0.000175485 +8 *21622:A *2985:45 0.00011818 +9 *21622:A *3171:27 4.03123e-05 +10 *358:5 la_oenb_core[73] 0 +11 la_data_in_core[73] *358:5 0 +*RES +1 la_data_out_core[73] *358:5 6.93715 +2 *358:5 *3810:DIODE 18.2442 +3 *358:5 *21622:A 15.0271 +*END + +*D_NET *359 0.00162475 +*CONN +*P la_data_out_core[74] I +*I *21623:A I *D sky130_fd_sc_hd__buf_4 +*I *3811:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[74] 0.000294674 +2 *21623:A 2.3451e-05 +3 *3811:DIODE 0.000186528 +4 *359:5 0.000504652 +5 *3811:DIODE *22804:A 0 +6 *3811:DIODE *2436:6 0.000126589 +7 *3811:DIODE *2978:19 0.000115934 +8 *21623:A *2985:45 6.08467e-05 +9 *21623:A *3171:27 1.03403e-05 +10 *359:5 la_oenb_core[74] 0 +11 *359:5 *2436:6 0.000301739 +12 la_data_in_core[74] *359:5 0 +*RES +1 la_data_out_core[74] *359:5 6.93715 +2 *359:5 *3811:DIODE 17.6896 +3 *359:5 *21623:A 14.4725 +*END + +*D_NET *360 0.00153651 +*CONN +*P la_data_out_core[75] I +*I *21624:A I *D sky130_fd_sc_hd__buf_4 +*I *3812:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[75] 0.000370733 +2 *21624:A 1.59645e-05 +3 *3812:DIODE 0.000221547 +4 *360:5 0.000608244 +5 *3812:DIODE *22805:A 4.78996e-05 +6 *3812:DIODE *2393:6 2.13749e-05 +7 *3812:DIODE *2978:19 0.000171273 +8 *21624:A *2985:45 6.50727e-05 +9 *21624:A *3171:27 1.43983e-05 +10 *360:5 la_oenb_core[75] 0 +11 la_data_in_core[75] *360:5 0 +*RES +1 la_data_out_core[75] *360:5 6.93715 +2 *360:5 *3812:DIODE 18.2442 +3 *360:5 *21624:A 14.4725 +*END + +*D_NET *361 0.00113624 +*CONN +*P la_data_out_core[76] I +*I *21625:A I *D sky130_fd_sc_hd__buf_4 +*I *3813:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[76] 0.000371959 +2 *21625:A 2.19663e-05 +3 *3813:DIODE 0.000128013 +4 *361:5 0.000521938 +5 *3813:DIODE *5324:DIODE 0 +6 *3813:DIODE *2404:8 2.72929e-05 +7 *21625:A *2985:45 6.50727e-05 +8 *361:5 la_oenb_core[76] 0 +9 la_data_in_core[76] *361:5 0 +*RES +1 la_data_out_core[76] *361:5 6.93715 +2 *361:5 *3813:DIODE 16.4116 +3 *361:5 *21625:A 14.4725 +*END + +*D_NET *362 0.00150943 +*CONN +*P la_data_out_core[77] I +*I *21626:A I *D sky130_fd_sc_hd__buf_4 +*I *3814:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[77] 0.000370733 +2 *21626:A 2.19663e-05 +3 *3814:DIODE 0.000231823 +4 *362:5 0.000624523 +5 *3814:DIODE *22807:A 0 +6 *3814:DIODE *2415:14 2.40359e-05 +7 *3814:DIODE *2978:19 0.000171273 +8 *21626:A *2985:45 6.50727e-05 +9 *362:5 la_oenb_core[77] 0 +10 la_data_in_core[77] *362:5 0 +*RES +1 la_data_out_core[77] *362:5 6.93715 +2 *362:5 *3814:DIODE 18.2442 +3 *362:5 *21626:A 14.4725 +*END + +*D_NET *363 0.00149592 +*CONN +*P la_data_out_core[78] I +*I *21627:A I *D sky130_fd_sc_hd__buf_4 +*I *3815:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[78] 0.000370733 +2 *21627:A 9.34923e-06 +3 *3815:DIODE 0.00019016 +4 *363:5 0.000570243 +5 *3815:DIODE *1942:25 0 +6 *3815:DIODE *2426:14 0.000109354 +7 *3815:DIODE *2978:19 0.000115934 +8 *21627:A *2426:14 6.50727e-05 +9 *21627:A *2985:45 6.50727e-05 +10 *363:5 *1942:25 0 +11 la_data_in_core[78] *363:5 0 +*RES +1 la_data_out_core[78] *363:5 6.93715 +2 *363:5 *3815:DIODE 17.6896 +3 *363:5 *21627:A 14.4725 +*END + +*D_NET *364 0.00150644 +*CONN +*P la_data_out_core[79] I +*I *21628:A I *D sky130_fd_sc_hd__buf_4 +*I *3816:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[79] 0.000370733 +2 *21628:A 9.34923e-06 +3 *3816:DIODE 0.000170712 +4 *364:5 0.000550794 +5 *3816:DIODE *22809:A 0.000130187 +6 *3816:DIODE *2437:8 2.21422e-05 +7 *3816:DIODE *2978:19 0.000122378 +8 *21628:A *23065:A 6.50727e-05 +9 *21628:A *2985:45 6.50727e-05 +10 *364:5 la_oenb_core[79] 0 +11 la_data_in_core[79] *364:5 0 +*RES +1 la_data_out_core[79] *364:5 6.93715 +2 *364:5 *3816:DIODE 17.6896 +3 *364:5 *21628:A 14.4725 +*END + +*D_NET *365 0.0016199 +*CONN +*P la_data_out_core[7] I +*I *21629:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3817:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[7] 0.00035838 +2 *21629:A 1.23957e-05 +3 *3817:DIODE 0.00022552 +4 *365:5 0.000596295 +5 *3817:DIODE la_oenb_core[7] 0 +6 *3817:DIODE *22810:A 0 +7 *3817:DIODE *2448:8 6.85742e-05 +8 *3817:DIODE *2986:13 0.000122378 +9 *21629:A *2980:9 0.00011818 +10 *21629:A *2985:33 0.00011818 +11 *365:5 la_oenb_core[7] 0 +12 la_data_in_core[7] *365:5 0 +*RES +1 la_data_out_core[7] *365:5 6.5219 +2 *365:5 *3817:DIODE 18.1049 +3 *365:5 *21629:A 15.0271 +*END + +*D_NET *366 0.00149997 +*CONN +*P la_data_out_core[80] I +*I *3819:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21631:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[80] 0.000373896 +2 *3819:DIODE 0.000169985 +3 *21631:A 1.98947e-05 +4 *366:5 0.000563776 +5 *3819:DIODE *5329:DIODE 0 +6 *3819:DIODE *23647:A 8.62625e-06 +7 *3819:DIODE *2978:19 6.78596e-05 +8 *3819:DIODE *2986:26 0.000130808 +9 *3819:DIODE *3004:26 2.76267e-05 +10 *21631:A *2985:45 6.08467e-05 +11 *21631:A *3004:26 2.16355e-05 +12 *366:5 la_oenb_core[80] 0 +13 *366:5 *2986:26 1.25047e-05 +14 *366:5 *3003:22 4.25147e-05 +15 la_data_in_core[80] *366:5 0 +*RES +1 la_data_out_core[80] *366:5 6.93715 +2 *366:5 *21631:A 14.4725 +3 *366:5 *3819:DIODE 17.8678 +*END + +*D_NET *367 0.0013128 +*CONN +*P la_data_out_core[81] I +*I *21632:A I *D sky130_fd_sc_hd__buf_4 +*I *3820:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[81] 0.000412077 +2 *21632:A 0 +3 *3820:DIODE 0.000141931 +4 *367:7 0.000554008 +5 *3820:DIODE la_oenb_core[81] 7.17578e-06 +6 *3820:DIODE *22812:A 9.10838e-05 +7 *3820:DIODE *2471:8 4.00894e-05 +8 *3820:DIODE *2978:19 6.64392e-05 +9 *367:7 la_oenb_core[81] 0 +10 la_data_in_core[81] *3820:DIODE 0 +11 la_data_in_core[81] *367:7 0 +*RES +1 la_data_out_core[81] *367:7 7.66995 +2 *367:7 *3820:DIODE 17.135 +3 *367:7 *21632:A 13.7491 +*END + +*D_NET *368 0.00162423 +*CONN +*P la_data_out_core[82] I +*I *21633:A I *D sky130_fd_sc_hd__buf_4 +*I *3821:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[82] 0.000370525 +2 *21633:A 2.01089e-05 +3 *3821:DIODE 0.000246827 +4 *368:5 0.000637461 +5 *3821:DIODE la_oenb_core[82] 0 +6 *3821:DIODE *5331:DIODE 8.98169e-05 +7 *3821:DIODE *2482:8 5.1493e-06 +8 *3821:DIODE *2974:12 0 +9 *3821:DIODE *2978:19 0.000162583 +10 *21633:A *22813:A 2.16355e-05 +11 *21633:A *2985:45 6.50727e-05 +12 *21633:A *3179:41 5.04829e-06 +13 *368:5 la_oenb_core[82] 0 +14 la_data_in_core[82] *3821:DIODE 0 +15 la_data_in_core[82] *368:5 0 +*RES +1 la_data_out_core[82] *368:5 6.93715 +2 *368:5 *3821:DIODE 18.2442 +3 *368:5 *21633:A 14.4725 +*END + +*D_NET *369 0.00145442 +*CONN +*P la_data_out_core[83] I +*I *21634:A I *D sky130_fd_sc_hd__buf_4 +*I *3822:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[83] 0.000358818 +2 *21634:A 1.26312e-05 +3 *3822:DIODE 0.000173609 +4 *369:5 0.000545057 +5 *3822:DIODE la_oenb_core[83] 0 +6 *3822:DIODE *22814:A 0.000131559 +7 *3822:DIODE *2489:8 1.8714e-05 +8 *3822:DIODE *2978:19 0.000122378 +9 *21634:A *2985:45 6.50727e-05 +10 *21634:A *3179:39 2.65831e-05 +11 *369:5 la_oenb_core[83] 0 +12 la_data_in_core[83] *369:5 0 +*RES +1 la_data_out_core[83] *369:5 6.93715 +2 *369:5 *3822:DIODE 17.6896 +3 *369:5 *21634:A 14.4725 +*END + +*D_NET *370 0.00122558 +*CONN +*P la_data_out_core[84] I +*I *21635:A I *D sky130_fd_sc_hd__buf_4 +*I *3823:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[84] 0.000388168 +2 *21635:A 0 +3 *3823:DIODE 0.00015759 +4 *370:9 0.000545759 +5 *3823:DIODE la_oenb_core[84] 0 +6 *3823:DIODE *22815:A 2.65524e-05 +7 *3823:DIODE *2490:9 3.82386e-05 +8 *3823:DIODE *2978:19 6.92705e-05 +9 *370:9 la_oenb_core[84] 0 +10 la_data_in_core[84] *370:9 0 +*RES +1 la_data_out_core[84] *370:9 7.84094 +2 *370:9 *3823:DIODE 17.135 +3 *370:9 *21635:A 13.7491 +*END + +*D_NET *371 0.00127143 +*CONN +*P la_data_out_core[85] I +*I *21636:A I *D sky130_fd_sc_hd__buf_4 +*I *3824:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[85] 0.000382804 +2 *21636:A 0 +3 *3824:DIODE 0.000130941 +4 *371:9 0.000513745 +5 *3824:DIODE la_oenb_core[85] 7.84597e-06 +6 *3824:DIODE *2491:6 3.82791e-05 +7 *3824:DIODE *2978:19 6.92705e-05 +8 *3824:DIODE *3179:39 0.000111569 +9 *371:9 la_oenb_core[85] 7.18816e-06 +10 *371:9 *3179:39 9.78551e-06 +11 la_data_in_core[85] *3824:DIODE 0 +12 la_data_in_core[85] *371:9 0 +*RES +1 la_data_out_core[85] *371:9 7.84094 +2 *371:9 *3824:DIODE 17.135 +3 *371:9 *21636:A 13.7491 +*END + +*D_NET *372 0.00127617 +*CONN +*P la_data_out_core[86] I +*I *21637:A I *D sky130_fd_sc_hd__buf_4 +*I *3825:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[86] 0.000358818 +2 *21637:A 1.59645e-05 +3 *3825:DIODE 0.000164964 +4 *372:5 0.000539746 +5 *3825:DIODE la_oenb_core[86] 0 +6 *3825:DIODE *22817:A 2.65599e-05 +7 *3825:DIODE *2492:8 2.13749e-05 +8 *3825:DIODE *2978:19 6.92705e-05 +9 *21637:A *2985:45 6.50727e-05 +10 *21637:A *3182:15 1.43983e-05 +11 *372:5 la_oenb_core[86] 0 +12 la_data_in_core[86] *372:5 0 +*RES +1 la_data_out_core[86] *372:5 6.93715 +2 *372:5 *3825:DIODE 17.135 +3 *372:5 *21637:A 14.4725 +*END + +*D_NET *373 0.00187717 +*CONN +*P la_data_out_core[87] I +*I *21638:A I *D sky130_fd_sc_hd__buf_4 +*I *3826:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[87] 0.000340794 +2 *21638:A 1.3261e-05 +3 *3826:DIODE 0.000295288 +4 *373:5 0.000649343 +5 *3826:DIODE la_oenb_core[87] 0 +6 *3826:DIODE *23073:A 4.55535e-05 +7 *3826:DIODE *2493:8 2.77392e-05 +8 *3826:DIODE *2936:12 1.22734e-05 +9 *3826:DIODE *3181:14 0.000118166 +10 *3826:DIODE *3187:21 0.000251289 +11 *21638:A *2985:45 6.40448e-05 +12 *21638:A *3187:21 2.65831e-05 +13 *373:5 la_oenb_core[87] 0 +14 *373:5 *2936:12 3.28392e-05 +15 la_data_in_core[87] *373:5 0 +*RES +1 la_data_out_core[87] *373:5 6.93715 +2 *373:5 *3826:DIODE 21.0117 +3 *373:5 *21638:A 14.4725 +*END + +*D_NET *374 0.00184421 +*CONN +*P la_data_out_core[88] I +*I *21639:A I *D sky130_fd_sc_hd__buf_4 +*I *3827:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[88] 0.000347892 +2 *21639:A 9.34923e-06 +3 *3827:DIODE 0.000326204 +4 *374:5 0.000683445 +5 *3827:DIODE *22819:A 4.51671e-05 +6 *3827:DIODE *23649:B 2.1309e-05 +7 *3827:DIODE *1878:9 0.000242615 +8 *3827:DIODE *2983:109 1.41291e-05 +9 *3827:DIODE *3003:22 2.16196e-05 +10 *21639:A *2985:45 6.50727e-05 +11 *21639:A *3187:27 6.50727e-05 +12 *374:5 la_oenb_core[88] 0 +13 *374:5 *3003:22 2.33103e-06 +14 la_data_in_core[88] *374:5 0 +*RES +1 la_data_out_core[88] *374:5 6.93715 +2 *374:5 *3827:DIODE 21.0117 +3 *374:5 *21639:A 14.4725 +*END + +*D_NET *375 0.00188711 +*CONN +*P la_data_out_core[89] I +*I *3828:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21640:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[89] 0.000393532 +2 *3828:DIODE 0.000107663 +3 *21640:A 0 +4 *375:8 0.000501194 +5 *3828:DIODE la_oenb_core[89] 1.47102e-05 +6 *3828:DIODE *22822:A 3.60268e-05 +7 *3828:DIODE *2495:6 0 +8 *3828:DIODE *2985:45 0.000118166 +9 *3828:DIODE *3361:45 0.000102003 +10 *375:8 la_oenb_core[89] 0 +11 *375:8 *5338:DIODE 0.000118166 +12 *375:8 *2985:45 0.000324166 +13 *375:8 *3361:45 0.000117364 +14 la_data_in_core[89] *375:8 0 +15 la_data_in_core[90] *3828:DIODE 5.41227e-05 +*RES +1 la_data_out_core[89] *375:8 14.9336 +2 *375:8 *21640:A 9.24915 +3 *375:8 *3828:DIODE 22.1896 +*END + +*D_NET *376 0.00161373 +*CONN +*P la_data_out_core[8] I +*I *21642:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3830:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[8] 0.00035838 +2 *21642:A 1.23957e-05 +3 *3830:DIODE 0.000236356 +4 *376:5 0.000607132 +5 *3830:DIODE la_oenb_core[8] 0 +6 *3830:DIODE *22821:A 4.07317e-05 +7 *3830:DIODE *2986:13 0.000122378 +8 *21642:A *2980:9 0.00011818 +9 *21642:A *2985:33 0.00011818 +10 *376:5 la_oenb_core[8] 0 +11 la_data_in_core[8] *376:5 0 +*RES +1 la_data_out_core[8] *376:5 6.5219 +2 *376:5 *3830:DIODE 18.1049 +3 *376:5 *21642:A 15.0271 +*END + +*D_NET *377 0.00264581 +*CONN +*P la_data_out_core[90] I +*I *3831:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21643:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[90] 0.000525463 +2 *3831:DIODE 0.000230375 +3 *21643:A 0 +4 *377:14 0.000755838 +5 *3831:DIODE la_oenb_core[91] 0 +6 *3831:DIODE *378:8 8.62625e-06 +7 *3831:DIODE *2499:10 3.00073e-05 +8 *3831:DIODE *2978:19 0.000167625 +9 *3831:DIODE *2985:45 0.000118166 +10 *3831:DIODE *3361:45 0.000102003 +11 *377:14 la_oenb_core[90] 3.10407e-05 +12 *377:14 *23076:A 3.20069e-06 +13 *377:14 *2985:45 0.000428134 +14 *377:14 *3191:21 0 +15 *377:14 *3361:45 0.000245334 +16 la_data_in_core[90] *377:14 0 +17 la_data_in_core[91] *3831:DIODE 0 +*RES +1 la_data_out_core[90] *377:14 18.803 +2 *377:14 *21643:A 9.24915 +3 *377:14 *3831:DIODE 24.0222 +*END + +*D_NET *378 0.00173141 +*CONN +*P la_data_out_core[91] I +*I *3832:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21644:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_core[91] 0.000377375 +2 *3832:DIODE 0.000118434 +3 *21644:A 0 +4 *378:8 0.00049581 +5 *3832:DIODE la_oenb_core[91] 7.65861e-05 +6 *3832:DIODE *22824:A 0 +7 *3832:DIODE *2985:45 6.08467e-05 +8 *3832:DIODE *3361:45 4.66492e-05 +9 *378:8 la_oenb_core[91] 0 +10 *378:8 *2985:45 0.000264612 +11 *378:8 *3361:45 0.00026881 +12 la_data_in_core[91] *378:8 0 +13 la_data_in_core[92] *3832:DIODE 1.36556e-05 +14 *3831:DIODE *378:8 8.62625e-06 +*RES +1 la_data_out_core[91] *378:8 14.379 +2 *378:8 *21644:A 9.24915 +3 *378:8 *3832:DIODE 21.635 +*END + +*D_NET *379 0.00239841 +*CONN +*P la_data_out_core[92] I +*I *3833:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21645:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[92] 0.000511487 +2 *3833:DIODE 0.000156905 +3 *21645:A 0 +4 *379:12 0.000668392 +5 *3833:DIODE la_oenb_core[92] 0 +6 *3833:DIODE *22825:A 0.000198477 +7 *3833:DIODE *2500:9 4.88955e-05 +8 *3833:DIODE *2985:45 6.50586e-05 +9 *379:12 la_oenb_core[92] 1.7182e-05 +10 *379:12 *22581:A 8.62625e-06 +11 *379:12 *2500:9 0.000115934 +12 *379:12 *2985:45 0.000470536 +13 *379:12 *3361:45 0.000118166 +14 la_data_in_core[92] *379:12 0 +15 la_data_in_core[93] *3833:DIODE 1.87469e-05 +*RES +1 la_data_out_core[92] *379:12 18.063 +2 *379:12 *21645:A 9.24915 +3 *379:12 *3833:DIODE 22.8808 +*END + +*D_NET *380 0.00173578 +*CONN +*P la_data_out_core[93] I +*I *21646:A I *D sky130_fd_sc_hd__buf_4 +*I *3834:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[93] 0.000426797 +2 *21646:A 0 +3 *3834:DIODE 0.000166346 +4 *380:10 0.000593143 +5 *3834:DIODE la_oenb_core[93] 7.14746e-05 +6 *3834:DIODE *22826:A 0 +7 *3834:DIODE *2353:27 0 +8 *3834:DIODE *2501:8 4.28891e-05 +9 *380:10 la_oenb_core[93] 0 +10 *380:10 *22825:A 3.20069e-06 +11 *380:10 *2985:45 0.00027103 +12 *380:10 *3478:340 0.000147249 +13 la_data_in_core[93] *380:10 0 +14 la_data_in_core[94] *3834:DIODE 1.36556e-05 +*RES +1 la_data_out_core[93] *380:10 15.1118 +2 *380:10 *3834:DIODE 22.1574 +3 *380:10 *21646:A 9.24915 +*END + +*D_NET *381 0.00237635 +*CONN +*P la_data_out_core[94] I +*I *3835:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21647:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[94] 0.000454126 +2 *3835:DIODE 0.000192677 +3 *21647:A 0 +4 *381:12 0.000646804 +5 *3835:DIODE *22827:A 7.1406e-05 +6 *3835:DIODE *2502:8 0 +7 *3835:DIODE *2985:45 0.000113968 +8 *3835:DIODE *3478:340 9.97706e-05 +9 *381:12 la_oenb_core[94] 1.7182e-05 +10 *381:12 *22583:A 5.22654e-06 +11 *381:12 *2985:45 0.000426168 +12 *381:12 *3478:340 0.000330278 +13 la_data_in_core[94] *381:12 0 +14 la_data_in_core[95] *3835:DIODE 1.87469e-05 +*RES +1 la_data_out_core[94] *381:12 17.5084 +2 *381:12 *21647:A 9.24915 +3 *381:12 *3835:DIODE 23.4354 +*END + +*D_NET *382 0.00159447 +*CONN +*P la_data_out_core[95] I +*I *21648:A I *D sky130_fd_sc_hd__buf_4 +*I *3836:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[95] 0.000341378 +2 *21648:A 9.34923e-06 +3 *3836:DIODE 0.000159222 +4 *382:5 0.000509949 +5 *3836:DIODE *22827:A 0.000202089 +6 *3836:DIODE *1852:13 0.000122378 +7 *3836:DIODE *2355:16 1.77537e-06 +8 *3836:DIODE *2503:6 0 +9 *3836:DIODE *2980:21 0.00011818 +10 *21648:A *2985:45 6.50727e-05 +11 *21648:A *3478:340 6.50727e-05 +12 *382:5 la_oenb_core[95] 0 +13 la_data_in_core[95] *382:5 0 +*RES +1 la_data_out_core[95] *382:5 6.93715 +2 *382:5 *3836:DIODE 18.9354 +3 *382:5 *21648:A 14.4725 +*END + +*D_NET *383 0.00157616 +*CONN +*P la_data_out_core[96] I +*I *3837:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21649:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_core[96] 0.000372003 +2 *3837:DIODE 0.000191682 +3 *21649:A 0 +4 *383:8 0.000563685 +5 *3837:DIODE la_oenb_core[96] 8.16827e-05 +6 *3837:DIODE *6569:DIODE 2.14842e-06 +7 *3837:DIODE *22828:A 0 +8 *3837:DIODE *22829:A 0 +9 *3837:DIODE *2504:12 1.00846e-05 +10 *3837:DIODE *2985:45 6.50586e-05 +11 *3837:DIODE *2987:20 4.60221e-06 +12 *383:8 la_oenb_core[96] 0 +13 *383:8 *22828:A 0 +14 *383:8 *2504:12 6.08167e-05 +15 *383:8 *2985:45 0.000224395 +16 la_data_in_core[96] *383:8 0 +*RES +1 la_data_out_core[96] *383:8 13.8244 +2 *383:8 *21649:A 9.24915 +3 *383:8 *3837:DIODE 22.8808 +*END + +*D_NET *384 0.00156345 +*CONN +*P la_data_out_core[97] I +*I *21650:A I *D sky130_fd_sc_hd__buf_4 +*I *3838:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[97] 0.000341378 +2 *21650:A 1.26312e-05 +3 *3838:DIODE 0.000240679 +4 *384:5 0.000594689 +5 *3838:DIODE la_oenb_core[97] 0 +6 *3838:DIODE *1863:17 1.47102e-05 +7 *3838:DIODE *2505:8 4.55535e-05 +8 *3838:DIODE *3361:13 0.000222149 +9 *21650:A la_oenb_core[96] 2.65831e-05 +10 *21650:A *2985:45 6.50727e-05 +11 *384:5 la_oenb_core[97] 0 +12 la_data_in_core[97] *3838:DIODE 0 +13 la_data_in_core[97] *384:5 0 +*RES +1 la_data_out_core[97] *384:5 6.93715 +2 *384:5 *3838:DIODE 18.7989 +3 *384:5 *21650:A 14.4725 +*END + +*D_NET *385 0.00192333 +*CONN +*P la_data_out_core[98] I +*I *21651:A I *D sky130_fd_sc_hd__buf_4 +*I *3839:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[98] 0.000341378 +2 *21651:A 1.54421e-05 +3 *3839:DIODE 0.000265475 +4 *385:5 0.000622296 +5 *3839:DIODE la_oenb_core[98] 0 +6 *3839:DIODE *22830:A 8.92568e-06 +7 *3839:DIODE *2506:10 4.5539e-05 +8 *3839:DIODE *3361:13 0.0002817 +9 *21651:A *2506:10 0.000171288 +10 *21651:A *2985:45 0.000171288 +11 *385:5 la_oenb_core[98] 0 +12 la_data_in_core[98] *385:5 0 +*RES +1 la_data_out_core[98] *385:5 6.93715 +2 *385:5 *3839:DIODE 19.3535 +3 *385:5 *21651:A 15.5817 +*END + +*D_NET *386 0.00191657 +*CONN +*P la_data_out_core[99] I +*I *21653:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3841:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[99] 0.000333543 +2 *21653:A 2.69286e-05 +3 *3841:DIODE 0.000195152 +4 *386:5 0.000555623 +5 *3841:DIODE la_oenb_core[99] 0 +6 *3841:DIODE *22589:A 0 +7 *3841:DIODE *22831:A 5.96936e-05 +8 *3841:DIODE *2508:8 3.00073e-05 +9 *3841:DIODE *3361:13 0.000169041 +10 *21653:A *1863:17 0.00027329 +11 *21653:A *2985:45 0.00027329 +12 *386:5 la_oenb_core[99] 0 +13 la_data_in_core[99] *386:5 0 +*RES +1 la_data_out_core[99] *386:5 6.93715 +2 *386:5 *3841:DIODE 18.2442 +3 *386:5 *21653:A 16.691 +*END + +*D_NET *387 0.00148293 +*CONN +*P la_data_out_core[9] I +*I *21654:A I *D sky130_fd_sc_hd__buf_2 +*I *3842:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[9] 0.000376286 +2 *21654:A 1.26312e-05 +3 *3842:DIODE 0.000207143 +4 *387:5 0.00059606 +5 *3842:DIODE la_oenb_core[9] 0 +6 *3842:DIODE *22832:A 8.19958e-06 +7 *3842:DIODE *2509:10 6.85742e-05 +8 *3842:DIODE *2986:13 0.000122378 +9 *21654:A *2980:9 2.65831e-05 +10 *21654:A *2998:71 6.50727e-05 +11 *387:5 la_oenb_core[9] 0 +12 la_data_in_core[9] *387:5 0 +*RES +1 la_data_out_core[9] *387:5 6.93715 +2 *387:5 *3842:DIODE 17.6896 +3 *387:5 *21654:A 14.4725 +*END + +*D_NET *388 0.00199013 +*CONN +*P la_data_out_mprj[0] I +*I *3843:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21655:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[0] 0.000380503 +2 *3843:DIODE 0.000256034 +3 *21655:A 0 +4 *388:8 0.000636536 +5 *3843:DIODE *3886:DIODE 5.00082e-05 +6 *3843:DIODE *4126:DIODE 7.77309e-06 +7 *3843:DIODE *427:7 3.31882e-05 +8 *3843:DIODE *772:8 0 +9 *3843:DIODE *2510:6 0.000339753 +10 *3843:DIODE *2553:6 0 +11 *3843:DIODE *2652:10 1.83795e-06 +12 *3843:DIODE *2793:10 9.75356e-05 +13 *388:8 *516:8 0 +14 la_data_in_mprj[0] *388:8 6.87802e-05 +15 la_data_in_mprj[1] *3843:DIODE 0.00011818 +*RES +1 la_data_out_mprj[0] *388:8 14.5239 +2 *388:8 *21655:A 9.24915 +3 *388:8 *3843:DIODE 27.2049 +*END + +*D_NET *389 0.00109473 +*CONN +*P la_data_out_mprj[100] I +*I *21656:A I *D sky130_fd_sc_hd__buf_2 +*I *3844:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[100] 0.000342429 +2 *21656:A 1.47608e-05 +3 *3844:DIODE 0 +4 *389:7 0.000357189 +5 *21656:A *2655:11 6.08467e-05 +6 *21656:A *2930:9 6.08467e-05 +7 *389:7 *4267:DIODE 0.000165012 +8 *389:7 *517:5 0 +9 *389:7 *898:8 0 +10 *389:7 *2649:9 0 +11 *389:7 *2655:15 3.04443e-05 +12 *389:7 *2915:30 8.78409e-06 +13 *389:7 *2919:35 3.5116e-05 +14 la_data_in_mprj[100] *389:7 1.93056e-05 +*RES +1 la_data_out_mprj[100] *389:7 11.8524 +2 *389:7 *3844:DIODE 9.24915 +3 *389:7 *21656:A 9.97254 +*END + +*D_NET *390 0.00113807 +*CONN +*P la_data_out_mprj[101] I +*I *3845:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21657:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[101] 0.000179986 +2 *3845:DIODE 0.00011654 +3 *21657:A 0 +4 *390:7 0.000296526 +5 *3845:DIODE *518:10 0.000126209 +6 *3845:DIODE *2512:12 0.000126209 +7 *3845:DIODE *2932:7 6.23875e-05 +8 *3845:DIODE *2934:9 0.000164843 +9 *390:7 *518:10 0 +10 *390:7 *2080:47 4.76283e-05 +11 *390:7 *2512:12 1.77439e-05 +12 la_data_in_mprj[101] *390:7 0 +*RES +1 la_data_out_mprj[101] *390:7 8.11514 +2 *390:7 *21657:A 9.24915 +3 *390:7 *3845:DIODE 22.7442 +*END + +*D_NET *391 0.00137246 +*CONN +*P la_data_out_mprj[102] I +*I *3846:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21658:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[102] 0.000280253 +2 *3846:DIODE 0.000165819 +3 *21658:A 0 +4 *391:9 0.000446073 +5 *3846:DIODE *22836:A 0.000225583 +6 *3846:DIODE *519:10 1.86732e-05 +7 *3846:DIODE *2794:10 0.000174998 +8 *391:9 *22836:A 7.09666e-06 +9 *391:9 *519:10 4.10797e-05 +10 *391:9 *774:7 0 +11 la_data_in_mprj[102] *391:9 1.28879e-05 +*RES +1 la_data_out_mprj[102] *391:9 5.08073 +2 *391:9 *21658:A 13.7491 +3 *391:9 *3846:DIODE 18.4879 +*END + +*D_NET *392 0.00120003 +*CONN +*P la_data_out_mprj[103] I +*I *3847:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21659:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[103] 0.000198485 +2 *3847:DIODE 0.000159535 +3 *21659:A 0 +4 *392:8 0.00035802 +5 *3847:DIODE *520:12 0.000143443 +6 *3847:DIODE *2513:7 0.000101817 +7 *3847:DIODE *2796:10 4.91163e-05 +8 *3847:DIODE *2797:10 1.36007e-05 +9 *3847:DIODE *2934:13 0 +10 *392:8 *520:12 0 +11 *392:8 *2513:7 0.00011818 +12 *392:8 *2796:10 5.07314e-05 +13 *392:8 *2934:13 7.09666e-06 +14 la_data_in_mprj[103] *392:8 0 +*RES +1 la_data_out_mprj[103] *392:8 8.97788 +2 *392:8 *21659:A 9.24915 +3 *392:8 *3847:DIODE 22.6049 +*END + +*D_NET *393 0.00142637 +*CONN +*P la_data_out_mprj[104] I +*I *21660:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3848:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[104] 0.000323177 +2 *21660:A 0 +3 *3848:DIODE 9.68768e-05 +4 *393:8 0.000420054 +5 *3848:DIODE *4132:DIODE 4.45548e-05 +6 *3848:DIODE *521:5 0 +7 *393:8 *2513:7 3.24105e-05 +8 *393:8 *2515:15 0.000175485 +9 *393:8 *2796:10 7.09666e-06 +10 *393:8 *2926:12 7.03502e-05 +11 *393:8 *2932:9 0.00021632 +12 la_data_in_mprj[104] *393:8 4.00419e-05 +*RES +1 la_data_out_mprj[104] *393:8 14.2452 +2 *393:8 *3848:DIODE 20.4964 +3 *393:8 *21660:A 9.24915 +*END + +*D_NET *394 0.0019574 +*CONN +*P la_data_out_mprj[105] I +*I *3849:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21661:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[105] 0.000338738 +2 *3849:DIODE 0.000253557 +3 *21661:A 7.04692e-05 +4 *394:13 0.000662764 +5 *3849:DIODE *22839:A 3.2752e-05 +6 *3849:DIODE *522:8 5.13937e-05 +7 *3849:DIODE *2648:17 2.27414e-05 +8 *3849:DIODE *2796:11 0.000213725 +9 *21661:A *2515:15 0.000160617 +10 *394:13 *522:8 0.000147998 +11 *394:13 *2648:17 5.26361e-07 +12 la_data_in_mprj[105] *394:13 2.1203e-06 +*RES +1 la_data_out_mprj[105] *394:13 7.23027 +2 *394:13 *21661:A 15.5817 +3 *394:13 *3849:DIODE 19.2141 +*END + +*D_NET *395 0.0014052 +*CONN +*P la_data_out_mprj[106] I +*I *3850:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21662:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[106] 0.000151591 +2 *3850:DIODE 0.000276764 +3 *21662:A 8.83426e-05 +4 *395:5 0.000516698 +5 *3850:DIODE *3991:DIODE 4.45548e-05 +6 *3850:DIODE *523:8 0 +7 *3850:DIODE *2516:17 0 +8 *3850:DIODE *2796:11 0.000171288 +9 *395:5 *523:8 0 +10 *395:5 *2658:8 3.58522e-05 +11 la_data_in_mprj[106] *3850:DIODE 5.66868e-06 +12 la_data_in_mprj[106] *21662:A 0.000111131 +13 la_data_in_mprj[106] *395:5 3.30856e-06 +*RES +1 la_data_out_mprj[106] *395:5 2.78463 +2 *395:5 *21662:A 15.6059 +3 *395:5 *3850:DIODE 19.0748 +*END + +*D_NET *396 0.00106411 +*CONN +*P la_data_out_mprj[107] I +*I *3852:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21664:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[107] 0.000216016 +2 *3852:DIODE 0.000146819 +3 *21664:A 3.91269e-05 +4 *396:7 0.000401962 +5 *3852:DIODE *2642:14 0.000162814 +6 *3852:DIODE *2796:11 6.08467e-05 +7 *3852:DIODE *2801:9 0 +8 *21664:A *2932:9 5.20546e-06 +9 *396:7 *2642:14 3.13159e-05 +10 la_data_in_mprj[107] *3852:DIODE 0 +11 la_data_in_mprj[107] *396:7 0 +*RES +1 la_data_out_mprj[107] *396:7 4.49449 +2 *396:7 *21664:A 14.4725 +3 *396:7 *3852:DIODE 17.5503 +*END + +*D_NET *397 0.00113144 +*CONN +*P la_data_out_mprj[108] I +*I *21665:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3853:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[108] 0.00013996 +2 *21665:A 7.51136e-05 +3 *3853:DIODE 0.000202818 +4 *397:5 0.000417891 +5 *3853:DIODE *4135:DIODE 0 +6 *3853:DIODE *22842:A 0.000110675 +7 *3853:DIODE *525:8 0 +8 *3853:DIODE *2796:11 0.000114594 +9 *397:5 *2520:8 2.70914e-05 +10 la_data_in_mprj[108] *3853:DIODE 5.66868e-06 +11 la_data_in_mprj[108] *397:5 3.76293e-05 +*RES +1 la_data_out_mprj[108] *397:5 3.19988 +2 *397:5 *3853:DIODE 18.1049 +3 *397:5 *21665:A 15.0438 +*END + +*D_NET *398 0.00169746 +*CONN +*P la_data_out_mprj[109] I +*I *3854:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21666:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[109] 0.00023872 +2 *3854:DIODE 0.000372779 +3 *21666:A 0 +4 *398:7 0.000611499 +5 *3854:DIODE *526:5 5.26221e-05 +6 *3854:DIODE *2636:14 0 +7 *3854:DIODE *2796:11 0.000421836 +8 *398:7 *526:5 0 +9 la_data_in_mprj[109] *3854:DIODE 0 +10 la_data_in_mprj[109] *398:7 0 +*RES +1 la_data_out_mprj[109] *398:7 4.90975 +2 *398:7 *21666:A 13.7491 +3 *398:7 *3854:DIODE 21.0173 +*END + +*D_NET *399 0.0010814 +*CONN +*P la_data_out_mprj[10] I +*I *3855:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21667:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[10] 0.000165592 +2 *3855:DIODE 0.000240948 +3 *21667:A 2.19663e-05 +4 *399:5 0.000428506 +5 *3855:DIODE *4268:DIODE 2.41274e-06 +6 *3855:DIODE *22844:A 4.66876e-05 +7 *3855:DIODE *2792:8 6.14128e-05 +8 *3855:DIODE *2966:18 0 +9 *3855:DIODE *3330:11 1.75637e-06 +10 *21667:A *2638:23 6.50727e-05 +11 *399:5 *527:10 0 +12 *399:5 *2792:8 1.09738e-05 +13 la_data_in_mprj[10] *399:5 3.6077e-05 +*RES +1 la_data_out_mprj[10] *399:5 3.61514 +2 *399:5 *21667:A 14.4725 +3 *399:5 *3855:DIODE 18.7989 +*END + +*D_NET *400 0.00103412 +*CONN +*P la_data_out_mprj[110] I +*I *3856:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21668:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[110] 0.000204567 +2 *3856:DIODE 0.000136331 +3 *21668:A 6.66142e-06 +4 *400:7 0.000347559 +5 *3856:DIODE *528:5 8.62321e-06 +6 *3856:DIODE *2519:14 3.42931e-05 +7 *3856:DIODE *2796:11 6.50727e-05 +8 *3856:DIODE *2804:15 5.1573e-05 +9 *21668:A *2521:7 6.50727e-05 +10 *400:7 *528:5 0 +11 *400:7 *2804:15 1.07248e-05 +12 *400:7 *2911:28 0 +13 la_data_in_mprj[110] *21668:A 6.92705e-05 +14 la_data_in_mprj[110] *400:7 3.43723e-05 +*RES +1 la_data_out_mprj[110] *400:7 4.34793 +2 *400:7 *21668:A 14.4725 +3 *400:7 *3856:DIODE 17.135 +*END + +*D_NET *401 0.00123764 +*CONN +*P la_data_out_mprj[111] I +*I *3857:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21669:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[111] 0.000139542 +2 *3857:DIODE 0.000200038 +3 *21669:A 0.000104247 +4 *401:5 0.000443826 +5 *3857:DIODE *22846:A 0.000139435 +6 *3857:DIODE *784:8 0 +7 *3857:DIODE *2523:13 0 +8 *3857:DIODE *2645:22 2.04806e-05 +9 *3857:DIODE *2796:11 0.000107496 +10 *21669:A *22846:A 2.65831e-05 +11 *21669:A *2521:7 1.00846e-05 +12 *401:5 *2645:22 4.59084e-05 +13 la_data_in_mprj[111] *401:5 0 +*RES +1 la_data_out_mprj[111] *401:5 2.78463 +2 *401:5 *21669:A 15.6059 +3 *401:5 *3857:DIODE 18.5201 +*END + +*D_NET *402 0.00181333 +*CONN +*P la_data_out_mprj[112] I +*I *3858:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21670:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[112] 0.00021807 +2 *3858:DIODE 0.00038201 +3 *21670:A 0 +4 *402:7 0.00060008 +5 *3858:DIODE *530:5 5.82465e-05 +6 *3858:DIODE *2796:27 0.000470585 +7 *3858:DIODE *2809:10 3.77804e-05 +8 *402:7 *530:5 0 +9 la_data_in_mprj[112] *3858:DIODE 0 +10 la_data_in_mprj[112] *402:7 4.6555e-05 +*RES +1 la_data_out_mprj[112] *402:7 4.90975 +2 *402:7 *21670:A 13.7491 +3 *402:7 *3858:DIODE 21.5719 +*END + +*D_NET *403 0.00123315 +*CONN +*P la_data_out_mprj[113] I +*I *3859:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21671:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[113] 0.000159457 +2 *3859:DIODE 0.000207016 +3 *21671:A 0.000102596 +4 *403:5 0.000469069 +5 *3859:DIODE *22848:A 0.000133941 +6 *3859:DIODE *531:8 0 +7 *3859:DIODE *786:8 0 +8 *3859:DIODE *2796:27 0.00011818 +9 *3859:DIODE *2932:21 1.17299e-05 +10 *21671:A *22848:A 5.04829e-06 +11 *21671:A *2521:7 2.61147e-05 +12 *403:5 *531:8 0 +13 la_data_in_mprj[113] *403:5 0 +*RES +1 la_data_out_mprj[113] *403:5 2.78463 +2 *403:5 *21671:A 15.6059 +3 *403:5 *3859:DIODE 18.5201 +*END + +*D_NET *404 0.00165111 +*CONN +*P la_data_out_mprj[114] I +*I *3860:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21672:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[114] 0.000293046 +2 *3860:DIODE 0.000123896 +3 *21672:A 0 +4 *404:8 0.000416942 +5 *3860:DIODE *788:8 1.65872e-05 +6 *3860:DIODE *2094:13 0.000110477 +7 *3860:DIODE *2527:15 6.50727e-05 +8 *3860:DIODE *2811:11 0.000217923 +9 *404:8 *4144:DIODE 0 +10 *404:8 *22849:A 6.73739e-06 +11 *404:8 *532:5 0 +12 *404:8 *787:8 0 +13 *404:8 *2811:11 0.000317707 +14 la_data_in_mprj[114] *404:8 4.26792e-05 +15 la_data_in_mprj[115] *3860:DIODE 4.00463e-05 +*RES +1 la_data_out_mprj[114] *404:8 12.0268 +2 *404:8 *21672:A 9.24915 +3 *404:8 *3860:DIODE 22.8836 +*END + +*D_NET *405 0.00163409 +*CONN +*P la_data_out_mprj[115] I +*I *3861:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21673:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[115] 0.000330296 +2 *3861:DIODE 4.22097e-05 +3 *21673:A 0 +4 *405:11 0.000372506 +5 *3861:DIODE *22850:A 0.0002646 +6 *3861:DIODE *2524:17 2.41483e-05 +7 *3861:DIODE *2804:17 0.000317707 +8 *405:11 *4145:DIODE 4.25777e-05 +9 *405:11 *2521:14 0.000135406 +10 *405:11 *2528:6 2.71366e-05 +11 *405:11 *2803:14 5.67319e-05 +12 *405:11 *2803:16 2.0772e-05 +13 la_data_in_mprj[115] *405:11 0 +*RES +1 la_data_out_mprj[115] *405:11 12.7562 +2 *405:11 *21673:A 9.24915 +3 *405:11 *3861:DIODE 12.7456 +*END + +*D_NET *406 0.00188859 +*CONN +*P la_data_out_mprj[116] I +*I *3863:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21675:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[116] 0.000204262 +2 *3863:DIODE 0.000292542 +3 *21675:A 0 +4 *406:8 0.000496804 +5 *3863:DIODE *4147:DIODE 0 +6 *3863:DIODE *534:5 0.00012883 +7 *3863:DIODE *790:7 1.05272e-06 +8 *3863:DIODE *2527:15 5.08751e-05 +9 *3863:DIODE *2804:17 0.000103139 +10 *3863:DIODE *2811:11 6.08467e-05 +11 *3863:DIODE *2812:8 6.51527e-05 +12 *3863:DIODE *2813:10 4.3116e-06 +13 *406:8 *534:5 0 +14 *406:8 *2527:15 0.000220183 +15 *406:8 *2811:11 0.000220183 +16 la_data_in_mprj[116] *406:8 4.04088e-05 +*RES +1 la_data_out_mprj[116] *406:8 10.5023 +2 *406:8 *21675:A 9.24915 +3 *406:8 *3863:DIODE 24.5769 +*END + +*D_NET *407 0.00130653 +*CONN +*P la_data_out_mprj[117] I +*I *3864:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21676:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[117] 0.000167429 +2 *3864:DIODE 0.000256842 +3 *21676:A 1.77893e-05 +4 *407:5 0.00044206 +5 *3864:DIODE *2646:14 5.85371e-05 +6 *3864:DIODE *2804:17 6.73351e-05 +7 *3864:DIODE *2814:6 1.49869e-05 +8 *21676:A *2527:15 0.000113968 +9 *21676:A *2672:14 0.000113968 +10 *407:5 *2646:14 5.36171e-05 +11 la_data_in_mprj[117] *3864:DIODE 0 +12 la_data_in_mprj[117] *407:5 0 +*RES +1 la_data_out_mprj[117] *407:5 3.19988 +2 *407:5 *21676:A 15.0271 +3 *407:5 *3864:DIODE 18.6595 +*END + +*D_NET *408 0.00151377 +*CONN +*P la_data_out_mprj[118] I +*I *3865:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21677:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[118] 0.000313595 +2 *3865:DIODE 3.404e-05 +3 *21677:A 0 +4 *408:8 0.000347635 +5 *3865:DIODE *2524:25 0.000208218 +6 *3865:DIODE *2532:7 0.000169041 +7 *3865:DIODE *2813:13 4.82966e-05 +8 *408:8 *4148:DIODE 0.000161116 +9 *408:8 *4149:DIODE 0 +10 *408:8 *22853:A 3.19905e-05 +11 *408:8 *536:8 0 +12 *408:8 *791:8 0 +13 *408:8 *2524:25 0.00011818 +14 *408:8 *2675:16 0 +15 *408:8 *2813:13 5.07314e-05 +16 la_data_in_mprj[118] *408:8 3.09266e-05 +*RES +1 la_data_out_mprj[118] *408:8 13.1304 +2 *408:8 *21677:A 9.24915 +3 *408:8 *3865:DIODE 12.191 +*END + +*D_NET *409 0.00117618 +*CONN +*P la_data_out_mprj[119] I +*I *3866:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21678:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[119] 0.000180046 +2 *3866:DIODE 0.000238426 +3 *21678:A 2.25025e-05 +4 *409:5 0.000440975 +5 *3866:DIODE *4008:DIODE 0 +6 *3866:DIODE *22854:A 3.86121e-05 +7 *3866:DIODE *2533:6 1.49869e-05 +8 *3866:DIODE *2674:8 2.04783e-05 +9 *3866:DIODE *2816:13 6.85778e-05 +10 *21678:A *2675:15 0.00011818 +11 *21678:A *2815:5 2.85274e-05 +12 *409:5 *537:8 0 +13 *409:5 *2674:8 4.86172e-06 +14 la_data_in_mprj[119] *409:5 0 +*RES +1 la_data_out_mprj[119] *409:5 3.19988 +2 *409:5 *21678:A 15.0271 +3 *409:5 *3866:DIODE 18.6595 +*END + +*D_NET *410 0.00109378 +*CONN +*P la_data_out_mprj[11] I +*I *3867:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21679:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[11] 0.000152037 +2 *3867:DIODE 0.00021388 +3 *21679:A 3.30378e-05 +4 *410:5 0.000398955 +5 *3867:DIODE *4009:DIODE 0 +6 *3867:DIODE *22855:A 3.86121e-05 +7 *3867:DIODE *538:10 0 +8 *3867:DIODE *2534:6 1.79672e-05 +9 *3867:DIODE *2732:15 7.41058e-05 +10 *21679:A *2638:23 0.00011818 +11 *410:5 *538:10 0 +12 *410:5 *2732:15 4.70005e-05 +*RES +1 la_data_out_mprj[11] *410:5 3.19988 +2 *410:5 *21679:A 15.0271 +3 *410:5 *3867:DIODE 18.6595 +*END + +*D_NET *411 0.00150771 +*CONN +*P la_data_out_mprj[120] I +*I *3868:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21680:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[120] 0.000247794 +2 *3868:DIODE 0.000296756 +3 *21680:A 0 +4 *411:8 0.00054455 +5 *3868:DIODE *4153:DIODE 0 +6 *3868:DIODE *539:5 0.000141649 +7 *3868:DIODE *795:7 1.77537e-06 +8 *3868:DIODE *2815:5 2.16355e-05 +9 *3868:DIODE *3224:15 0.000118245 +10 *411:8 *4150:DIODE 2.81678e-06 +11 *411:8 *539:5 0 +12 *411:8 *2815:5 9.40969e-05 +13 la_data_in_mprj[120] *411:8 3.83957e-05 +*RES +1 la_data_out_mprj[120] *411:8 10.5023 +2 *411:8 *21680:A 9.24915 +3 *411:8 *3868:DIODE 24.5769 +*END + +*D_NET *412 0.00106454 +*CONN +*P la_data_out_mprj[121] I +*I *3869:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21681:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[121] 0.000165841 +2 *3869:DIODE 0.000249435 +3 *21681:A 5.2256e-05 +4 *412:5 0.000467532 +5 *3869:DIODE *4011:DIODE 0 +6 *3869:DIODE *540:5 0 +7 *3869:DIODE *3224:15 7.48797e-05 +8 *21681:A *2815:5 2.85274e-05 +9 *412:5 *540:5 0 +10 *412:5 *2536:8 2.60731e-05 +11 la_data_in_mprj[121] *3869:DIODE 0 +12 la_data_in_mprj[121] *412:5 0 +*RES +1 la_data_out_mprj[121] *412:5 3.19988 +2 *412:5 *21681:A 15.0271 +3 *412:5 *3869:DIODE 18.6595 +*END + +*D_NET *413 0.00124821 +*CONN +*P la_data_out_mprj[122] I +*I *3870:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21682:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[122] 0.000129721 +2 *3870:DIODE 0.00019467 +3 *21682:A 5.42586e-05 +4 *413:5 0.000378649 +5 *3870:DIODE *2103:23 0.000159174 +6 *3870:DIODE *2678:8 0 +7 *3870:DIODE *3224:15 3.82228e-05 +8 *413:5 *2103:23 0.000147998 +9 la_data_in_mprj[122] *3870:DIODE 5.66868e-06 +10 la_data_in_mprj[122] *21682:A 0.000107496 +11 la_data_in_mprj[122] *413:5 3.23531e-05 +*RES +1 la_data_out_mprj[122] *413:5 3.19988 +2 *413:5 *21682:A 15.0271 +3 *413:5 *3870:DIODE 18.1049 +*END + +*D_NET *414 0.00131621 +*CONN +*P la_data_out_mprj[123] I +*I *21683:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *3871:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[123] 0.000261055 +2 *21683:A 8.69405e-05 +3 *3871:DIODE 9.29187e-05 +4 *414:10 0.000440914 +5 *3871:DIODE *4013:DIODE 0.000138224 +6 *3871:DIODE *542:8 2.95757e-05 +7 *3871:DIODE *798:7 0 +8 *3871:DIODE *2680:8 7.21753e-05 +9 *21683:A *542:8 6.08467e-05 +10 *21683:A *2815:5 4.02438e-05 +11 *414:10 *542:8 6.50586e-05 +12 *414:10 *2815:5 2.82583e-05 +13 la_data_in_mprj[123] *414:10 0 +*RES +1 la_data_out_mprj[123] *414:10 9.69595 +2 *414:10 *3871:DIODE 21.3269 +3 *414:10 *21683:A 11.6364 +*END + +*D_NET *415 0.0018165 +*CONN +*P la_data_out_mprj[124] I +*I *3872:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21684:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[124] 0.000229541 +2 *3872:DIODE 0.000349838 +3 *21684:A 0 +4 *415:7 0.000579379 +5 *3872:DIODE *543:5 5.8705e-05 +6 *3872:DIODE *2647:12 3.5359e-05 +7 *3872:DIODE *2813:29 0.00041971 +8 *415:7 *543:5 0 +9 *415:7 *2647:12 0.000138103 +10 la_data_in_mprj[124] *415:7 5.86517e-06 +*RES +1 la_data_out_mprj[124] *415:7 4.90975 +2 *415:7 *21684:A 13.7491 +3 *415:7 *3872:DIODE 21.0173 +*END + +*D_NET *416 0.00167595 +*CONN +*P la_data_out_mprj[125] I +*I *3874:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21686:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[125] 0.000269194 +2 *3874:DIODE 0.000292745 +3 *21686:A 0 +4 *416:8 0.000561938 +5 *3874:DIODE *4158:DIODE 0 +6 *3874:DIODE *21827:A 9.12416e-06 +7 *3874:DIODE *544:5 0.000136538 +8 *3874:DIODE *800:7 1.77537e-06 +9 *3874:DIODE *2813:29 0.000266846 +10 *3874:DIODE *2815:5 2.16355e-05 +11 *3874:DIODE *2824:10 2.20585e-05 +12 *416:8 *544:5 0 +13 *416:8 *2815:5 9.40969e-05 +14 la_data_in_mprj[125] *416:8 0 +*RES +1 la_data_out_mprj[125] *416:8 10.5023 +2 *416:8 *21686:A 9.24915 +3 *416:8 *3874:DIODE 24.5769 +*END + +*D_NET *417 0.0019616 +*CONN +*P la_data_out_mprj[126] I +*I *3875:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21687:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[126] 0.000162038 +2 *3875:DIODE 0.000452779 +3 *21687:A 5.2256e-05 +4 *417:5 0.000667072 +5 *3875:DIODE *545:5 0 +6 *3875:DIODE *2813:29 0.000521527 +7 *21687:A *2815:5 2.85274e-05 +8 *417:5 *545:5 0 +9 la_data_in_mprj[126] *3875:DIODE 4.24139e-05 +10 la_data_in_mprj[126] *417:5 3.49912e-05 +*RES +1 la_data_out_mprj[126] *417:5 3.19988 +2 *417:5 *21687:A 15.0271 +3 *417:5 *3875:DIODE 22.5417 +*END + +*D_NET *418 0.00176077 +*CONN +*P la_data_out_mprj[127] I +*I *21688:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3876:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[127] 0.000265745 +2 *21688:A 0 +3 *3876:DIODE 6.51919e-05 +4 *418:8 0.000330937 +5 *3876:DIODE *4160:DIODE 0.000116986 +6 *3876:DIODE *2543:8 4.55535e-05 +7 *418:8 *2535:14 0.000143317 +8 *418:8 *2536:12 0.000208495 +9 *418:8 *2543:7 6.50586e-05 +10 *418:8 *2815:5 0.000519481 +*RES +1 la_data_out_mprj[127] *418:8 14.2452 +2 *418:8 *3876:DIODE 20.4964 +3 *418:8 *21688:A 9.24915 +*END + +*D_NET *419 0.00114882 +*CONN +*P la_data_out_mprj[12] I +*I *3877:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21689:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[12] 0.000164049 +2 *3877:DIODE 0.000280211 +3 *21689:A 2.19663e-05 +4 *419:5 0.000466226 +5 *3877:DIODE *2638:24 4.15008e-05 +6 *3877:DIODE *2688:9 7.34948e-06 +7 *3877:DIODE *3330:11 1.4479e-05 +8 *21689:A *2638:23 6.50727e-05 +9 *419:5 *2638:24 6.08697e-06 +10 la_data_in_mprj[12] *3877:DIODE 4.10689e-05 +11 la_data_in_mprj[12] *419:5 4.08069e-05 +*RES +1 la_data_out_mprj[12] *419:5 3.61514 +2 *419:5 *21689:A 14.4725 +3 *419:5 *3877:DIODE 19.3535 +*END + +*D_NET *420 0.00102361 +*CONN +*P la_data_out_mprj[13] I +*I *3878:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21690:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[13] 0.000286254 +2 *3878:DIODE 0.000104428 +3 *21690:A 0 +4 *420:15 0.000390681 +5 *3878:DIODE *4163:DIODE 0 +6 *3878:DIODE *548:16 1.07248e-05 +7 *3878:DIODE *804:5 0 +8 *3878:DIODE *2545:6 7.86847e-05 +9 *3878:DIODE *2744:15 0 +10 *420:15 *548:16 0.000143326 +11 *420:15 *2744:15 9.51089e-06 +*RES +1 la_data_out_mprj[13] *420:15 7.96307 +2 *420:15 *21690:A 13.7491 +3 *420:15 *3878:DIODE 16.8269 +*END + +*D_NET *421 0.00113615 +*CONN +*P la_data_out_mprj[14] I +*I *3879:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21691:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[14] 0.000168583 +2 *3879:DIODE 0.000170931 +3 *21691:A 2.2243e-05 +4 *421:5 0.000361757 +5 *3879:DIODE *4020:DIODE 5.48661e-05 +6 *3879:DIODE *549:8 0 +7 *3879:DIODE *2546:6 2.99929e-05 +8 *3879:DIODE *2688:9 4.58003e-05 +9 *21691:A *2830:15 7.40952e-05 +10 *421:5 *4020:DIODE 2.81678e-06 +11 la_data_in_mprj[14] *21691:A 0.000169872 +12 la_data_in_mprj[14] *421:5 3.51946e-05 +*RES +1 la_data_out_mprj[14] *421:5 3.61514 +2 *421:5 *21691:A 15.5817 +3 *421:5 *3879:DIODE 17.6896 +*END + +*D_NET *422 0.000981868 +*CONN +*P la_data_out_mprj[15] I +*I *3880:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21692:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[15] 0.000213139 +2 *3880:DIODE 0.000220929 +3 *21692:A 0 +4 *422:7 0.000434067 +5 *3880:DIODE *4022:DIODE 0 +6 *3880:DIODE *550:8 1.79196e-05 +7 *3880:DIODE *2830:16 5.75768e-05 +8 *422:7 *550:8 0 +9 *422:7 *2830:16 3.31882e-05 +10 la_data_in_mprj[15] *3880:DIODE 5.04829e-06 +11 la_data_in_mprj[15] *422:7 0 +*RES +1 la_data_out_mprj[15] *422:7 4.90975 +2 *422:7 *21692:A 13.7491 +3 *422:7 *3880:DIODE 19.6294 +*END + +*D_NET *423 0.00138368 +*CONN +*P la_data_out_mprj[16] I +*I *21693:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3881:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[16] 0.0003903 +2 *21693:A 0 +3 *3881:DIODE 6.30653e-05 +4 *423:16 0.000453366 +5 *3881:DIODE *2548:8 3.58457e-05 +6 *423:16 *551:5 0.000218653 +7 *423:16 *807:10 5.07314e-05 +8 *423:16 *2547:7 6.23875e-05 +9 *423:16 *2768:20 5.39218e-05 +10 la_data_in_mprj[17] *3881:DIODE 5.54078e-05 +*RES +1 la_data_out_mprj[16] *423:16 16.6118 +2 *423:16 *3881:DIODE 20.4964 +3 *423:16 *21693:A 9.24915 +*END + +*D_NET *424 0.0011855 +*CONN +*P la_data_out_mprj[17] I +*I *3882:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21694:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[17] 0.000136926 +2 *3882:DIODE 0.000184839 +3 *21694:A 2.64456e-05 +4 *424:5 0.000348211 +5 *3882:DIODE *4166:DIODE 0.000139532 +6 *3882:DIODE *552:8 0 +7 *3882:DIODE *2832:10 0.000171288 +8 *21694:A *2549:11 2.65831e-05 +9 *424:5 *4166:DIODE 8.62625e-06 +10 *424:5 *552:8 0 +11 *424:5 *2833:11 0.000143047 +12 la_data_in_mprj[17] *424:5 0 +*RES +1 la_data_out_mprj[17] *424:5 3.61514 +2 *424:5 *21694:A 14.4725 +3 *424:5 *3882:DIODE 18.2442 +*END + +*D_NET *425 0.00195675 +*CONN +*P la_data_out_mprj[18] I +*I *3883:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21695:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[18] 0.000382988 +2 *3883:DIODE 0.000293295 +3 *21695:A 2.06324e-05 +4 *425:10 0.000696915 +5 *3883:DIODE *426:12 1.09551e-05 +6 *3883:DIODE *554:5 0.000127194 +7 *3883:DIODE *2552:7 0.00011818 +8 *3883:DIODE *2552:10 5.54078e-05 +9 *425:10 *22870:A 0.000122378 +10 *425:10 *553:5 0 +11 *425:10 *2834:6 8.92568e-06 +12 la_data_in_mprj[18] *425:10 0.000119876 +*RES +1 la_data_out_mprj[18] *425:10 13.5929 +2 *425:10 *21695:A 9.82786 +3 *425:10 *3883:DIODE 26.8141 +*END + +*D_NET *426 0.00156471 +*CONN +*P la_data_out_mprj[19] I +*I *21697:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3885:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[19] 0.000308979 +2 *21697:A 0 +3 *3885:DIODE 0.000250111 +4 *426:12 0.00055909 +5 *3885:DIODE *554:5 4.23937e-05 +6 *3885:DIODE *2833:14 0.000118203 +7 *3885:DIODE *2833:16 1.94327e-05 +8 *3885:DIODE *2836:8 7.50872e-05 +9 *426:12 *554:5 0 +10 *426:12 *2552:7 0.000171273 +11 *426:12 *2836:7 9.18559e-06 +12 la_data_in_mprj[19] *426:12 0 +13 *3883:DIODE *426:12 1.09551e-05 +*RES +1 la_data_out_mprj[19] *426:12 11.538 +2 *426:12 *3885:DIODE 23.7141 +3 *426:12 *21697:A 9.24915 +*END + +*D_NET *427 0.00123758 +*CONN +*P la_data_out_mprj[1] I +*I *3886:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21698:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[1] 0.000185077 +2 *3886:DIODE 0.000278852 +3 *21698:A 0 +4 *427:7 0.000463929 +5 *3886:DIODE *21839:A 2.65831e-05 +6 *3886:DIODE *555:5 3.8079e-05 +7 *3886:DIODE *2553:6 0.000101133 +8 *3886:DIODE *2694:8 2.9373e-05 +9 *427:7 *555:5 0 +10 *427:7 *772:8 0 +11 la_data_in_mprj[1] *427:7 3.13557e-05 +12 *3843:DIODE *3886:DIODE 5.00082e-05 +13 *3843:DIODE *427:7 3.31882e-05 +*RES +1 la_data_out_mprj[1] *427:7 4.90975 +2 *427:7 *21698:A 13.7491 +3 *427:7 *3886:DIODE 21.4325 +*END + +*D_NET *428 0.00141791 +*CONN +*P la_data_out_mprj[20] I +*I *21699:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3887:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[20] 0.000214043 +2 *21699:A 0 +3 *3887:DIODE 0.000343917 +4 *428:8 0.00055796 +5 *3887:DIODE *21842:A 1.43983e-05 +6 *3887:DIODE *556:5 0.000149628 +7 *3887:DIODE *2554:6 7.86847e-05 +8 *428:8 *556:5 0 +9 la_data_in_mprj[20] *3887:DIODE 0 +10 la_data_in_mprj[20] *428:8 5.92767e-05 +*RES +1 la_data_out_mprj[20] *428:8 9.94774 +2 *428:8 *3887:DIODE 24.9627 +3 *428:8 *21699:A 9.24915 +*END + +*D_NET *429 0.00089476 +*CONN +*P la_data_out_mprj[21] I +*I *3888:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21700:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[21] 0.000154987 +2 *3888:DIODE 0.000222971 +3 *21700:A 2.52482e-05 +4 *429:5 0.000403206 +5 *3888:DIODE *22874:A 2.41274e-06 +6 *3888:DIODE *2555:6 0 +7 *3888:DIODE *2839:8 4.10689e-05 +8 *21700:A *2839:7 2.65831e-05 +9 *429:5 *557:11 0 +10 *429:5 *2839:8 1.82832e-05 +11 la_data_in_mprj[21] *429:5 0 +*RES +1 la_data_out_mprj[21] *429:5 3.61514 +2 *429:5 *21700:A 14.4725 +3 *429:5 *3888:DIODE 18.2442 +*END + +*D_NET *430 0.0012081 +*CONN +*P la_data_out_mprj[22] I +*I *21701:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3889:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[22] 0.000257473 +2 *21701:A 0 +3 *3889:DIODE 0.000134073 +4 *430:10 0.000391546 +5 *3889:DIODE *4174:DIODE 4.86511e-05 +6 *3889:DIODE *22875:A 1.43983e-05 +7 *3889:DIODE *558:8 2.95757e-05 +8 *3889:DIODE *814:5 1.77537e-06 +9 *3889:DIODE *2699:6 4.2996e-05 +10 *430:10 *558:8 0.000217937 +11 *430:10 *2676:20 6.31809e-05 +12 *430:10 *2699:6 5.22654e-06 +13 la_data_in_mprj[22] *430:10 1.26812e-06 +*RES +1 la_data_out_mprj[22] *430:10 10.8052 +2 *430:10 *3889:DIODE 22.0503 +3 *430:10 *21701:A 9.24915 +*END + +*D_NET *431 0.000901734 +*CONN +*P la_data_out_mprj[23] I +*I *3890:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21702:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[23] 0.000163677 +2 *3890:DIODE 0.000244694 +3 *21702:A 1.59132e-05 +4 *431:5 0.000424284 +5 *3890:DIODE *2557:6 0 +6 *21702:A *2556:7 2.65831e-05 +7 *21702:A *2841:5 2.65831e-05 +8 *431:5 *559:11 0 +9 la_data_in_mprj[23] *3890:DIODE 0 +10 la_data_in_mprj[23] *431:5 0 +*RES +1 la_data_out_mprj[23] *431:5 3.61514 +2 *431:5 *21702:A 14.4725 +3 *431:5 *3890:DIODE 18.2442 +*END + +*D_NET *432 0.000985379 +*CONN +*P la_data_out_mprj[24] I +*I *3891:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21703:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[24] 0.00013782 +2 *3891:DIODE 0.000211528 +3 *21703:A 2.35607e-05 +4 *432:5 0.000372909 +5 *3891:DIODE *4175:DIODE 3.40805e-05 +6 *3891:DIODE *560:8 0 +7 *3891:DIODE *2700:7 6.79854e-06 +8 *21703:A *2841:5 2.82599e-05 +9 *432:5 *560:8 0 +10 *432:5 *2842:11 3.77804e-05 +11 la_data_in_mprj[24] *21703:A 0.000116764 +12 la_data_in_mprj[24] *432:5 1.5876e-05 +*RES +1 la_data_out_mprj[24] *432:5 3.19988 +2 *432:5 *21703:A 15.0271 +3 *432:5 *3891:DIODE 18.1049 +*END + +*D_NET *433 0.00101942 +*CONN +*P la_data_out_mprj[25] I +*I *3892:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21704:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[25] 0.000139548 +2 *3892:DIODE 0.000180538 +3 *21704:A 1.26312e-05 +4 *433:5 0.000332717 +5 *3892:DIODE *2559:8 0 +6 *3892:DIODE *2842:12 0.000130361 +7 *21704:A *2558:10 6.50727e-05 +8 *21704:A *2841:5 2.65831e-05 +9 *433:5 *561:12 0 +10 *433:5 *2842:12 0.000131969 +11 la_data_in_mprj[25] *433:5 0 +*RES +1 la_data_out_mprj[25] *433:5 3.61514 +2 *433:5 *21704:A 14.4725 +3 *433:5 *3892:DIODE 17.6896 +*END + +*D_NET *434 0.00114276 +*CONN +*P la_data_out_mprj[26] I +*I *3893:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21705:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[26] 0.000160199 +2 *3893:DIODE 0.000172996 +3 *21705:A 0 +4 *434:8 0.000333195 +5 *3893:DIODE *2560:6 0.000132396 +6 *3893:DIODE *2702:8 8.84036e-05 +7 *3893:DIODE *2841:5 6.50586e-05 +8 *434:8 *562:12 0 +9 *434:8 *2560:6 0 +10 *434:8 *2702:7 2.41274e-06 +11 *434:8 *2702:8 8.52802e-05 +12 *434:8 *2841:5 6.50727e-05 +13 la_data_in_mprj[26] *434:8 3.77501e-05 +*RES +1 la_data_out_mprj[26] *434:8 9.25379 +2 *434:8 *21705:A 9.24915 +3 *434:8 *3893:DIODE 24.5418 +*END + +*D_NET *435 0.00132223 +*CONN +*P la_data_out_mprj[27] I +*I *3894:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21706:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[27] 0.000142241 +2 *3894:DIODE 0.000227224 +3 *21706:A 0.000145471 +4 *435:5 0.000514936 +5 *3894:DIODE *22880:A 2.41274e-06 +6 *3894:DIODE *2703:8 0.000144546 +7 *3894:DIODE *2844:9 2.20688e-05 +8 *21706:A *2703:7 6.50727e-05 +9 *21706:A *2841:5 5.8256e-05 +10 *435:5 *563:5 0 +11 la_data_in_mprj[27] *3894:DIODE 0 +12 la_data_in_mprj[27] *435:5 0 +*RES +1 la_data_out_mprj[27] *435:5 3.19988 +2 *435:5 *21706:A 17.2456 +3 *435:5 *3894:DIODE 18.6595 +*END + +*D_NET *436 0.00119157 +*CONN +*P la_data_out_mprj[28] I +*I *3896:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21708:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[28] 0.000167395 +2 *3896:DIODE 0.000116386 +3 *21708:A 0 +4 *436:8 0.000283782 +5 *3896:DIODE *564:12 6.50727e-05 +6 *3896:DIODE *820:5 5.04879e-05 +7 *3896:DIODE *2563:6 5.04879e-05 +8 *3896:DIODE *2841:5 0.000271044 +9 *3896:DIODE *2846:10 4.38577e-05 +10 *436:8 *564:12 0 +11 *436:8 *2563:6 0 +12 *436:8 *2841:5 6.50727e-05 +13 *436:8 *2846:10 1.43983e-05 +14 la_data_in_mprj[28] *436:8 6.35883e-05 +*RES +1 la_data_out_mprj[28] *436:8 9.25379 +2 *436:8 *21708:A 9.24915 +3 *436:8 *3896:DIODE 23.4382 +*END + +*D_NET *437 0.00133964 +*CONN +*P la_data_out_mprj[29] I +*I *21709:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3897:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[29] 0.000187218 +2 *21709:A 6.76855e-05 +3 *3897:DIODE 9.16408e-05 +4 *437:8 0.000346545 +5 *3897:DIODE *565:9 0.000174205 +6 *3897:DIODE *2705:8 0 +7 *21709:A *2841:5 4.65396e-05 +8 *21709:A *2846:10 0.000148666 +9 *437:8 *565:9 0 +10 *437:8 *2841:5 5.27412e-05 +11 *437:8 *2846:10 0.000224395 +12 la_data_in_mprj[29] *3897:DIODE 0 +13 la_data_in_mprj[29] *437:8 0 +*RES +1 la_data_out_mprj[29] *437:8 10.0871 +2 *437:8 *3897:DIODE 21.3269 +3 *437:8 *21709:A 11.6364 +*END + +*D_NET *438 0.00117534 +*CONN +*P la_data_out_mprj[2] I +*I *21710:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3898:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[2] 0.000274949 +2 *21710:A 0 +3 *3898:DIODE 0.000111931 +4 *438:8 0.00038688 +5 *3898:DIODE *4041:DIODE 5.19205e-05 +6 *3898:DIODE *21994:A 2.41274e-06 +7 *3898:DIODE *822:9 0.000116993 +8 *3898:DIODE *2694:8 1.4091e-06 +9 *438:8 *4170:DIODE 0 +10 *438:8 *21853:A 0.000118166 +11 *438:8 *22872:A 8.62625e-06 +12 *438:8 *22883:A 3.31733e-05 +13 *438:8 *566:5 0 +14 *438:8 *811:7 0 +15 *438:8 *2708:10 2.61147e-05 +16 la_data_in_mprj[2] *438:8 4.27689e-05 +*RES +1 la_data_out_mprj[2] *438:8 12.0268 +2 *438:8 *3898:DIODE 21.2198 +3 *438:8 *21710:A 9.24915 +*END + +*D_NET *439 0.00118501 +*CONN +*P la_data_out_mprj[30] I +*I *3899:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21711:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[30] 0.000196672 +2 *3899:DIODE 0.000204293 +3 *21711:A 0 +4 *439:8 0.000400965 +5 *3899:DIODE *4042:DIODE 6.01944e-06 +6 *3899:DIODE *4181:DIODE 0 +7 *3899:DIODE *567:5 7.09685e-05 +8 *3899:DIODE *2706:8 0.000122098 +9 *3899:DIODE *2841:5 6.50586e-05 +10 *3899:DIODE *2848:11 1.41291e-05 +11 *439:8 *4181:DIODE 9.35753e-06 +12 *439:8 *567:5 0 +13 *439:8 *821:10 0 +14 *439:8 *2564:11 0 +15 *439:8 *2841:5 6.50727e-05 +16 *439:8 *2848:11 1.43983e-05 +17 la_data_in_mprj[30] *439:8 1.59783e-05 +*RES +1 la_data_out_mprj[30] *439:8 9.25379 +2 *439:8 *21711:A 9.24915 +3 *439:8 *3899:DIODE 24.5418 +*END + +*D_NET *440 0.00183642 +*CONN +*P la_data_out_mprj[31] I +*I *3900:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21712:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[31] 0.000283772 +2 *3900:DIODE 0.000157365 +3 *21712:A 0 +4 *440:16 0.000441137 +5 *3900:DIODE *568:5 5.65074e-05 +6 *3900:DIODE *824:5 5.79544e-05 +7 *3900:DIODE *2704:10 0.000158885 +8 *3900:DIODE *2710:15 0.000167594 +9 *3900:DIODE *2841:5 0.000113968 +10 *3900:DIODE *2848:11 4.56831e-05 +11 *440:16 *568:5 0.000213556 +12 *440:16 *2566:20 5.47736e-05 +13 *440:16 *2841:5 6.08467e-05 +14 *440:16 *2848:11 2.16355e-05 +15 la_data_in_mprj[31] *440:16 2.73889e-06 +*RES +1 la_data_out_mprj[31] *440:16 13.2842 +2 *440:16 *21712:A 9.24915 +3 *440:16 *3900:DIODE 25.0964 +*END + +*D_NET *441 0.00166142 +*CONN +*P la_data_out_mprj[32] I +*I *21713:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3901:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[32] 0.00022269 +2 *21713:A 0 +3 *3901:DIODE 6.13681e-05 +4 *441:8 0.000284059 +5 *3901:DIODE *4186:DIODE 0.000122098 +6 *3901:DIODE *2568:6 3.12316e-05 +7 *441:8 *2564:12 8.88009e-05 +8 *441:8 *2567:7 0.000113968 +9 *441:8 *2567:8 1.09738e-05 +10 *441:8 *2841:5 0.000513023 +11 *441:8 *2848:11 0.000178081 +12 *441:8 *2850:16 3.51249e-05 +*RES +1 la_data_out_mprj[32] *441:8 14.2452 +2 *441:8 *3901:DIODE 20.4964 +3 *441:8 *21713:A 9.24915 +*END + +*D_NET *442 0.00168319 +*CONN +*P la_data_out_mprj[33] I +*I *21714:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3902:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[33] 0.000233286 +2 *21714:A 0 +3 *3902:DIODE 7.46322e-05 +4 *442:8 0.000307918 +5 *3902:DIODE *4187:DIODE 0.000122098 +6 *3902:DIODE *570:5 0 +7 *442:8 *570:5 0 +8 *442:8 *2569:7 0.000271058 +9 *442:8 *2569:8 1.44467e-05 +10 *442:8 *2841:5 0.000525939 +11 *442:8 *2848:11 0.000115827 +12 *442:8 *2853:8 1.79807e-05 +13 la_data_in_mprj[33] *442:8 0 +*RES +1 la_data_out_mprj[33] *442:8 14.2452 +2 *442:8 *3902:DIODE 20.4964 +3 *442:8 *21714:A 9.24915 +*END + +*D_NET *443 0.00107478 +*CONN +*P la_data_out_mprj[34] I +*I *3903:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21715:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[34] 0.000162907 +2 *3903:DIODE 0.000247431 +3 *21715:A 1.59132e-05 +4 *443:5 0.000426251 +5 *3903:DIODE *827:7 0 +6 *3903:DIODE *2709:7 5.07314e-05 +7 *3903:DIODE *2711:11 1.9622e-05 +8 *21715:A *2841:5 2.65831e-05 +9 *21715:A *2848:21 2.65831e-05 +10 *443:5 *571:16 0 +11 *443:5 *2854:8 3.77804e-05 +12 la_data_in_mprj[34] *3903:DIODE 3.64684e-05 +13 la_data_in_mprj[34] *443:5 2.45128e-05 +*RES +1 la_data_out_mprj[34] *443:5 3.61514 +2 *443:5 *21715:A 14.4725 +3 *443:5 *3903:DIODE 18.7989 +*END + +*D_NET *444 0.00101438 +*CONN +*P la_data_out_mprj[35] I +*I *3904:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21716:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[35] 0.000165179 +2 *3904:DIODE 0.000202472 +3 *21716:A 2.19663e-05 +4 *444:5 0.000389617 +5 *3904:DIODE *4046:DIODE 5.64582e-05 +6 *3904:DIODE *2571:6 0 +7 *3904:DIODE *2855:10 7.48797e-05 +8 *21716:A *2570:9 6.50727e-05 +9 *444:5 *4046:DIODE 2.81678e-06 +10 *444:5 *572:11 0 +11 *444:5 *2846:19 3.59225e-05 +*RES +1 la_data_out_mprj[35] *444:5 3.61514 +2 *444:5 *21716:A 14.4725 +3 *444:5 *3904:DIODE 18.2442 +*END + +*D_NET *445 0.00113869 +*CONN +*P la_data_out_mprj[36] I +*I *21717:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *3905:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[36] 0.000360942 +2 *21717:A 0 +3 *3905:DIODE 5.28908e-05 +4 *445:12 0.000413833 +5 *3905:DIODE *4048:DIODE 0.000144614 +6 *3905:DIODE *573:13 8.62625e-06 +7 *3905:DIODE *2572:6 6.81008e-05 +8 *445:12 *22890:A 8.62625e-06 +9 *445:12 *573:13 0 +10 *445:12 *2570:10 5.68225e-06 +11 *445:12 *2572:6 2.36813e-05 +12 la_data_in_mprj[36] *445:12 5.16909e-05 +*RES +1 la_data_out_mprj[36] *445:12 11.9679 +2 *445:12 *3905:DIODE 20.9116 +3 *445:12 *21717:A 9.24915 +*END + +*D_NET *446 0.000759841 +*CONN +*P la_data_out_mprj[37] I +*I *21719:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *3907:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[37] 0.000243925 +2 *21719:A 7.42659e-05 +3 *3907:DIODE 0 +4 *446:5 0.000318191 +5 *21719:A *574:8 0 +6 *21719:A *2855:10 3.31882e-05 +7 *21719:A *2857:6 1.87125e-05 +8 *446:5 *574:8 0 +9 *446:5 *2715:6 0 +10 *446:5 *2857:6 7.15593e-05 +11 la_data_in_mprj[37] *446:5 0 +*RES +1 la_data_out_mprj[37] *446:5 6.10665 +2 *446:5 *3907:DIODE 13.7491 +3 *446:5 *21719:A 15.8893 +*END + +*D_NET *447 0.00186588 +*CONN +*P la_data_out_mprj[38] I +*I *3908:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21720:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[38] 0.000149258 +2 *3908:DIODE 0.000300166 +3 *21720:A 6.49037e-05 +4 *447:5 0.000514328 +5 *3908:DIODE *22891:A 0 +6 *3908:DIODE *575:5 0 +7 *3908:DIODE *2716:20 0.000525925 +8 *21720:A *2858:9 0.000220183 +9 *447:5 *575:5 0 +10 la_data_in_mprj[38] *3908:DIODE 4.10689e-05 +11 la_data_in_mprj[38] *447:5 5.00486e-05 +*RES +1 la_data_out_mprj[38] *447:5 3.61514 +2 *447:5 *21720:A 16.1364 +3 *447:5 *3908:DIODE 22.5417 +*END + +*D_NET *448 0.000876575 +*CONN +*P la_data_out_mprj[39] I +*I *21721:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *3909:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[39] 0.000290415 +2 *21721:A 6.88192e-05 +3 *3909:DIODE 0 +4 *448:5 0.000359234 +5 *21721:A *4192:DIODE 0 +6 *21721:A *576:8 0 +7 *21721:A *2716:20 6.50727e-05 +8 *21721:A *3267:19 6.50727e-05 +9 *448:5 *4192:DIODE 0 +10 *448:5 *576:8 0 +11 *448:5 *831:8 0 +12 *448:5 *2858:10 0 +13 *448:5 *2859:13 2.7961e-05 +14 la_data_in_mprj[39] *448:5 0 +*RES +1 la_data_out_mprj[39] *448:5 6.10665 +2 *448:5 *3909:DIODE 13.7491 +3 *448:5 *21721:A 15.8893 +*END + +*D_NET *449 0.00108181 +*CONN +*P la_data_out_mprj[3] I +*I *3910:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21722:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[3] 0.000114032 +2 *3910:DIODE 0.000211912 +3 *21722:A 3.13581e-05 +4 *449:5 0.000357302 +5 *3910:DIODE *577:8 0 +6 *3910:DIODE *2849:12 4.87439e-05 +7 *21722:A *2708:10 6.08467e-05 +8 *449:5 *577:8 0 +9 *449:5 *2708:10 0.000143017 +10 la_data_in_mprj[3] *3910:DIODE 0 +11 la_data_in_mprj[3] *21722:A 0.000114594 +12 la_data_in_mprj[3] *449:5 0 +*RES +1 la_data_out_mprj[3] *449:5 3.19988 +2 *449:5 *21722:A 15.0271 +3 *449:5 *3910:DIODE 18.1049 +*END + +*D_NET *450 0.0015263 +*CONN +*P la_data_out_mprj[40] I +*I *3911:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21723:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[40] 0.000244032 +2 *3911:DIODE 0.000155125 +3 *21723:A 0 +4 *450:8 0.000399157 +5 *3911:DIODE *4196:DIODE 0.000214087 +6 *3911:DIODE *834:11 2.95757e-05 +7 *3911:DIODE *2578:6 6.63327e-05 +8 *3911:DIODE *2860:11 4.03114e-05 +9 *450:8 *578:5 0 +10 *450:8 *2860:11 0.000324166 +11 la_data_in_mprj[40] *450:8 4.5737e-05 +12 la_data_in_mprj[41] *3911:DIODE 7.77309e-06 +*RES +1 la_data_out_mprj[40] *450:8 11.1963 +2 *450:8 *21723:A 9.24915 +3 *450:8 *3911:DIODE 23.7141 +*END + +*D_NET *451 0.00139104 +*CONN +*P la_data_out_mprj[41] I +*I *3912:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21724:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[41] 8.59748e-05 +2 *3912:DIODE 0.000176635 +3 *21724:A 7.46132e-05 +4 *451:5 0.000337223 +5 *3912:DIODE *4196:DIODE 0 +6 *3912:DIODE *2575:12 0.000165481 +7 *3912:DIODE *2576:17 0.000107496 +8 *451:5 *2575:12 0.000164016 +9 *451:5 *2863:17 0.000125455 +10 la_data_in_mprj[41] *3912:DIODE 0 +11 la_data_in_mprj[41] *21724:A 0.000154145 +12 la_data_in_mprj[41] *451:5 0 +*RES +1 la_data_out_mprj[41] *451:5 3.19988 +2 *451:5 *21724:A 15.5817 +3 *451:5 *3912:DIODE 18.1049 +*END + +*D_NET *452 0.00135045 +*CONN +*P la_data_out_mprj[42] I +*I *3913:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21725:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[42] 0.000122694 +2 *3913:DIODE 0.00031967 +3 *21725:A 0.000127212 +4 *452:5 0.000569575 +5 *3913:DIODE *4056:DIODE 0 +6 *3913:DIODE *580:7 0 +7 *3913:DIODE *2716:23 5.07314e-05 +8 *21725:A *4055:DIODE 6.98314e-05 +9 *21725:A *579:12 5.04829e-06 +10 *452:5 *580:7 0 +11 la_data_in_mprj[42] *3913:DIODE 4.97193e-05 +12 la_data_in_mprj[42] *452:5 3.59657e-05 +*RES +1 la_data_out_mprj[42] *452:5 2.78463 +2 *452:5 *21725:A 16.1605 +3 *452:5 *3913:DIODE 20.184 +*END + +*D_NET *453 0.00103873 +*CONN +*P la_data_out_mprj[43] I +*I *3914:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21726:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[43] 0.000166159 +2 *3914:DIODE 0.000222632 +3 *21726:A 1.23957e-05 +4 *453:5 0.000401186 +5 *3914:DIODE *2580:14 0 +6 *3914:DIODE *2581:6 0 +7 *21726:A *2865:16 0.00011818 +8 *453:5 *581:11 0 +9 la_data_in_mprj[43] *21726:A 0.00011818 +10 la_data_in_mprj[43] *453:5 0 +*RES +1 la_data_out_mprj[43] *453:5 3.19988 +2 *453:5 *21726:A 15.0271 +3 *453:5 *3914:DIODE 18.1049 +*END + +*D_NET *454 0.00174444 +*CONN +*P la_data_out_mprj[44] I +*I *21727:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3915:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[44] 0.000275016 +2 *21727:A 0 +3 *3915:DIODE 0.000177171 +4 *454:16 0.000452187 +5 *3915:DIODE *582:5 5.68225e-06 +6 *3915:DIODE *838:8 8.62625e-06 +7 *3915:DIODE *2725:10 0.000114518 +8 *3915:DIODE *2854:18 7.26733e-05 +9 *3915:DIODE *2865:16 0.000127194 +10 *3915:DIODE *2867:8 7.77309e-06 +11 *454:16 *582:5 0.000164001 +12 *454:16 *2582:10 0.000164829 +13 *454:16 *2865:16 3.58208e-05 +14 *454:16 *2866:6 1.07248e-05 +15 *454:16 *2867:7 0.000118166 +16 la_data_in_mprj[44] *454:16 1.00538e-05 +*RES +1 la_data_out_mprj[44] *454:16 14.1175 +2 *454:16 *3915:DIODE 23.3377 +3 *454:16 *21727:A 9.24915 +*END + +*D_NET *455 0.00106974 +*CONN +*P la_data_out_mprj[45] I +*I *3916:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21728:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[45] 0.000135234 +2 *3916:DIODE 0.000208277 +3 *21728:A 6.27913e-05 +4 *455:5 0.000406302 +5 *3916:DIODE *4059:DIODE 0 +6 *3916:DIODE *22900:A 6.46135e-05 +7 *3916:DIODE *583:5 0 +8 *3916:DIODE *2725:10 0.000171288 +9 *455:5 *583:5 0 +10 *455:5 *2583:18 2.12377e-05 +11 *455:5 *2854:18 0 +12 la_data_in_mprj[45] *455:5 0 +*RES +1 la_data_out_mprj[45] *455:5 3.19988 +2 *455:5 *21728:A 15.0271 +3 *455:5 *3916:DIODE 18.6595 +*END + +*D_NET *456 0.00134595 +*CONN +*P la_data_out_mprj[46] I +*I *3919:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21731:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[46] 6.9947e-05 +2 *3919:DIODE 0.000189538 +3 *21731:A 4.9469e-05 +4 *456:5 0.000308954 +5 *3919:DIODE *4201:DIODE 3.86578e-05 +6 *3919:DIODE *2725:10 0.000164829 +7 *3919:DIODE *2853:20 0.000153389 +8 *456:5 *2853:20 0.000177066 +9 la_data_in_mprj[46] *3919:DIODE 8.32204e-06 +10 la_data_in_mprj[46] *21731:A 0.000111722 +11 la_data_in_mprj[46] *456:5 7.40573e-05 +*RES +1 la_data_out_mprj[46] *456:5 3.19988 +2 *456:5 *21731:A 15.0271 +3 *456:5 *3919:DIODE 18.6595 +*END + +*D_NET *457 0.000673983 +*CONN +*P la_data_out_mprj[47] I +*I *3920:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21732:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[47] 0.000158002 +2 *3920:DIODE 7.47282e-05 +3 *21732:A 0 +4 *457:7 0.00023273 +5 *3920:DIODE *22902:A 4.47713e-05 +6 *3920:DIODE *585:5 8.42523e-05 +7 *3920:DIODE *2869:16 0 +8 *457:7 *585:5 0 +9 *457:7 *2587:14 2.12377e-05 +10 *457:7 *2869:16 5.8261e-05 +11 la_data_in_mprj[47] *457:7 0 +*RES +1 la_data_out_mprj[47] *457:7 4.49449 +2 *457:7 *21732:A 13.7491 +3 *457:7 *3920:DIODE 16.8269 +*END + +*D_NET *458 0.00138219 +*CONN +*P la_data_out_mprj[48] I +*I *3921:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21733:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[48] 0.000168815 +2 *3921:DIODE 0.00022829 +3 *21733:A 0 +4 *458:7 0.000397105 +5 *3921:DIODE *4063:DIODE 2.53624e-06 +6 *3921:DIODE *586:5 4.90829e-05 +7 *3921:DIODE *2586:12 0.000103459 +8 *3921:DIODE *2725:14 6.08467e-05 +9 *3921:DIODE *2727:12 0.000144531 +10 *3921:DIODE *2728:8 7.50872e-05 +11 *458:7 *586:5 0 +12 *458:7 *2586:12 0.000137936 +13 la_data_in_mprj[48] *458:7 1.45054e-05 +*RES +1 la_data_out_mprj[48] *458:7 4.34793 +2 *458:7 *21733:A 13.7491 +3 *458:7 *3921:DIODE 20.4571 +*END + +*D_NET *459 0.00104767 +*CONN +*P la_data_out_mprj[49] I +*I *21734:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3922:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[49] 0.000244139 +2 *21734:A 0 +3 *3922:DIODE 8.54171e-05 +4 *459:10 0.000329556 +5 *3922:DIODE *22904:A 3.54138e-05 +6 *3922:DIODE *587:8 2.36813e-05 +7 *3922:DIODE *843:8 6.34651e-06 +8 *3922:DIODE *2589:18 2.01653e-05 +9 *3922:DIODE *2591:14 3.9739e-05 +10 *459:10 *22904:A 6.92705e-05 +11 *459:10 *587:8 4.58003e-05 +12 *459:10 *2869:16 0.000148144 +13 la_data_in_mprj[49] *459:10 0 +*RES +1 la_data_out_mprj[49] *459:10 11.3745 +2 *459:10 *3922:DIODE 21.3269 +3 *459:10 *21734:A 9.24915 +*END + +*D_NET *460 0.0015649 +*CONN +*P la_data_out_mprj[4] I +*I *3923:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21735:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[4] 0.000167945 +2 *3923:DIODE 0.000210521 +3 *21735:A 6.73528e-05 +4 *460:5 0.000445818 +5 *3923:DIODE *4194:DIODE 0.000120052 +6 *3923:DIODE *22905:A 0.000123582 +7 *3923:DIODE *2720:8 0.000260388 +8 *21735:A *2577:11 6.99486e-05 +9 *460:5 *4194:DIODE 3.31882e-05 +10 *460:5 *22905:A 3.31733e-05 +11 *460:5 *833:10 0 +12 *460:5 *2565:12 0 +13 *460:5 *2577:12 5.68225e-06 +14 la_data_in_mprj[4] *460:5 2.72518e-05 +*RES +1 la_data_out_mprj[4] *460:5 4.03039 +2 *460:5 *21735:A 15.5817 +3 *460:5 *3923:DIODE 18.9382 +*END + +*D_NET *461 0.00124024 +*CONN +*P la_data_out_mprj[50] I +*I *3924:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21736:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[50] 0.000181829 +2 *3924:DIODE 0.000168941 +3 *21736:A 0 +4 *461:8 0.00035077 +5 *3924:DIODE *589:5 0 +6 *3924:DIODE *845:8 5.07314e-05 +7 *3924:DIODE *2591:14 6.75138e-05 +8 *3924:DIODE *2731:8 4.79157e-05 +9 *3924:DIODE *2875:10 0.000212208 +10 *461:8 *589:5 0 +11 *461:8 *2591:14 2.65831e-05 +12 *461:8 *2875:10 2.65831e-05 +13 la_data_in_mprj[50] *461:8 5.66809e-05 +14 la_data_in_mprj[51] *3924:DIODE 5.04879e-05 +*RES +1 la_data_out_mprj[50] *461:8 9.25379 +2 *461:8 *21736:A 9.24915 +3 *461:8 *3924:DIODE 25.6566 +*END + +*D_NET *462 0.00120092 +*CONN +*P la_data_out_mprj[51] I +*I *3925:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21737:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[51] 0.000215521 +2 *3925:DIODE 0.000234241 +3 *21737:A 0 +4 *462:8 0.000449762 +5 *3925:DIODE *846:8 0.00011818 +6 *3925:DIODE *2592:15 0 +7 *3925:DIODE *2731:8 7.21295e-05 +8 *462:8 *4208:DIODE 2.71542e-05 +9 *462:8 *590:5 0 +10 *462:8 *2731:8 2.85274e-05 +11 la_data_in_mprj[51] *462:8 0 +12 la_data_in_mprj[52] *3925:DIODE 5.54078e-05 +*RES +1 la_data_out_mprj[51] *462:8 9.80839 +2 *462:8 *21737:A 9.24915 +3 *462:8 *3925:DIODE 25.102 +*END + +*D_NET *463 0.00144923 +*CONN +*P la_data_out_mprj[52] I +*I *3926:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21738:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[52] 0.000255349 +2 *3926:DIODE 0.000150182 +3 *21738:A 3.27425e-05 +4 *463:9 0.000438274 +5 *3926:DIODE *2593:11 0.000127461 +6 *3926:DIODE *2876:21 0.000296372 +7 *21738:A *2731:8 1.00846e-05 +8 *21738:A *2876:21 1.41976e-05 +9 *463:9 *591:12 1.81891e-05 +10 *463:9 *2593:11 1.44467e-05 +11 *463:9 *2876:21 5.41227e-05 +12 la_data_in_mprj[52] *463:9 3.78083e-05 +*RES +1 la_data_out_mprj[52] *463:9 5.49599 +2 *463:9 *21738:A 14.4725 +3 *463:9 *3926:DIODE 19.3184 +*END + +*D_NET *464 0.00102646 +*CONN +*P la_data_out_mprj[53] I +*I *3927:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21739:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[53] 0.000134122 +2 *3927:DIODE 0.000201548 +3 *21739:A 4.21492e-05 +4 *464:5 0.000377819 +5 *3927:DIODE *4069:DIODE 0 +6 *3927:DIODE *592:5 0 +7 *3927:DIODE *2594:20 3.93117e-06 +8 *3927:DIODE *2731:8 0 +9 *3927:DIODE *2734:14 3.20069e-06 +10 *3927:DIODE *3285:10 0.00011818 +11 *464:5 *592:5 0 +12 *464:5 *2594:20 9.34396e-06 +13 *464:5 *2735:11 1.79807e-05 +14 la_data_in_mprj[53] *3927:DIODE 0 +15 la_data_in_mprj[53] *21739:A 0.00011818 +16 la_data_in_mprj[53] *464:5 0 +*RES +1 la_data_out_mprj[53] *464:5 3.19988 +2 *464:5 *21739:A 15.0271 +3 *464:5 *3927:DIODE 18.1049 +*END + +*D_NET *465 0.00112567 +*CONN +*P la_data_out_mprj[54] I +*I *3928:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21740:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[54] 0.00023318 +2 *3928:DIODE 0.000174693 +3 *21740:A 3.2628e-05 +4 *465:7 0.000440501 +5 *3928:DIODE *4070:DIODE 6.63327e-05 +6 *3928:DIODE *23163:A 3.58457e-05 +7 *3928:DIODE *593:5 7.0954e-05 +8 *3928:DIODE *2736:17 0 +9 *465:7 *593:5 0 +10 la_data_in_mprj[54] *3928:DIODE 0 +11 la_data_in_mprj[54] *465:7 7.15399e-05 +*RES +1 la_data_out_mprj[54] *465:7 5.325 +2 *465:7 *21740:A 14.4725 +3 *465:7 *3928:DIODE 19.3184 +*END + +*D_NET *466 0.00109752 +*CONN +*P la_data_out_mprj[55] I +*I *3930:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21742:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[55] 0.000185603 +2 *3930:DIODE 0.000122361 +3 *21742:A 0 +4 *466:8 0.000307964 +5 *3930:DIODE *4212:DIODE 0 +6 *3930:DIODE *22911:A 5.65312e-05 +7 *3930:DIODE *2879:7 7.246e-05 +8 *466:8 *4212:DIODE 1.05272e-06 +9 *466:8 *594:22 0 +10 *466:8 *850:7 0 +11 *466:8 *2597:17 2.90758e-05 +12 *466:8 *2879:7 5.07314e-05 +13 la_data_in_mprj[55] *3930:DIODE 0.000153565 +14 la_data_in_mprj[55] *466:8 0.00011818 +*RES +1 la_data_out_mprj[55] *466:8 9.39314 +2 *466:8 *21742:A 9.24915 +3 *466:8 *3930:DIODE 22.7442 +*END + +*D_NET *467 0.00142811 +*CONN +*P la_data_out_mprj[56] I +*I *3931:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21743:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[56] 0.000123467 +2 *3931:DIODE 0.00026803 +3 *21743:A 7.12082e-05 +4 *467:5 0.000462705 +5 *3931:DIODE *4071:DIODE 0.000298025 +6 *3931:DIODE *595:7 0 +7 *3931:DIODE *2880:20 7.17561e-05 +8 *21743:A *4071:DIODE 4.94594e-05 +9 *21743:A *594:22 1.74948e-05 +10 *21743:A *2598:7 3.072e-06 +11 *21743:A *2739:9 0 +12 *467:5 *595:7 0 +13 *467:5 *2880:20 8.03393e-06 +14 la_data_in_mprj[56] *3931:DIODE 2.30663e-05 +15 la_data_in_mprj[56] *467:5 3.17968e-05 +*RES +1 la_data_out_mprj[56] *467:5 2.78463 +2 *467:5 *21743:A 15.6059 +3 *467:5 *3931:DIODE 19.6294 +*END + +*D_NET *468 0.00119709 +*CONN +*P la_data_out_mprj[57] I +*I *3932:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21744:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[57] 0.000137159 +2 *3932:DIODE 0.000198799 +3 *21744:A 7.25496e-05 +4 *468:5 0.000408508 +5 *3932:DIODE *22913:A 0.000139177 +6 *3932:DIODE *596:5 0 +7 *3932:DIODE *851:13 0 +8 *3932:DIODE *2599:18 3.67528e-06 +9 *3932:DIODE *2739:21 0.000164948 +10 *21744:A *22913:A 6.50727e-05 +11 *468:5 *596:5 0 +12 *468:5 *2599:18 7.20173e-06 +13 la_data_in_mprj[57] *468:5 0 +*RES +1 la_data_out_mprj[57] *468:5 2.78463 +2 *468:5 *21744:A 15.6059 +3 *468:5 *3932:DIODE 18.5201 +*END + +*D_NET *469 0.00173841 +*CONN +*P la_data_out_mprj[58] I +*I *21745:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3933:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[58] 0.000305705 +2 *21745:A 0 +3 *3933:DIODE 0.000314347 +4 *469:10 0.000620051 +5 *3933:DIODE *4075:DIODE 6.66538e-05 +6 *3933:DIODE *22028:A 7.24764e-05 +7 *3933:DIODE *22914:A 0 +8 *3933:DIODE *597:5 5.22654e-06 +9 *3933:DIODE *853:5 7.58739e-05 +10 *3933:DIODE *2883:19 2.16608e-05 +11 *469:10 *4075:DIODE 0 +12 *469:10 *22914:A 3.31882e-05 +13 *469:10 *597:5 0 +14 *469:10 *2600:17 0.000142797 +15 *469:10 *2742:7 5.07314e-05 +16 la_data_in_mprj[58] *469:10 2.97013e-05 +*RES +1 la_data_out_mprj[58] *469:10 12.6055 +2 *469:10 *3933:DIODE 26.4871 +3 *469:10 *21745:A 9.24915 +*END + +*D_NET *470 0.0014813 +*CONN +*P la_data_out_mprj[59] I +*I *3934:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21746:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[59] 0.000201578 +2 *3934:DIODE 0.000392208 +3 *21746:A 0 +4 *470:7 0.000593786 +5 *3934:DIODE *4218:DIODE 6.50727e-05 +6 *3934:DIODE *22915:A 0.000127194 +7 *3934:DIODE *23171:A 3.61534e-05 +8 *3934:DIODE *598:9 5.48141e-05 +9 *3934:DIODE *853:5 0 +10 *3934:DIODE *3292:7 3.28898e-06 +11 *470:7 *598:9 0 +12 *470:7 *2601:20 7.20173e-06 +13 la_data_in_mprj[59] *3934:DIODE 0 +14 la_data_in_mprj[59] *470:7 0 +*RES +1 la_data_out_mprj[59] *470:7 4.49449 +2 *470:7 *21746:A 13.7491 +3 *470:7 *3934:DIODE 21.4325 +*END + +*D_NET *471 0.00107385 +*CONN +*P la_data_out_mprj[5] I +*I *3935:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21747:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[5] 0.000123764 +2 *3935:DIODE 0.00020785 +3 *21747:A 6.27913e-05 +4 *471:5 0.000394406 +5 *3935:DIODE *4065:DIODE 4.15008e-05 +6 *3935:DIODE *4077:DIODE 0 +7 *3935:DIODE *599:5 0 +8 *3935:DIODE *2602:6 7.50722e-05 +9 *3935:DIODE *2874:11 6.73186e-05 +10 *471:5 *599:5 0 +11 *471:5 *2732:8 0.000101148 +12 la_data_in_mprj[5] *3935:DIODE 0 +13 la_data_in_mprj[5] *471:5 0 +*RES +1 la_data_out_mprj[5] *471:5 3.19988 +2 *471:5 *21747:A 15.0271 +3 *471:5 *3935:DIODE 18.6595 +*END + +*D_NET *472 0.00132566 +*CONN +*P la_data_out_mprj[60] I +*I *3936:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21748:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[60] 0.000293971 +2 *3936:DIODE 0.000297492 +3 *21748:A 0 +4 *472:8 0.000591463 +5 *3936:DIODE *4220:DIODE 7.77888e-05 +6 *3936:DIODE *21890:A 0 +7 *3936:DIODE *22918:A 0 +8 *3936:DIODE *600:5 0 +9 *3936:DIODE *856:13 2.1203e-06 +10 *3936:DIODE *2745:8 2.85274e-05 +11 *472:8 *600:5 0 +12 la_data_in_mprj[60] *472:8 3.42967e-05 +*RES +1 la_data_out_mprj[60] *472:8 10.6417 +2 *472:8 *21748:A 9.24915 +3 *472:8 *3936:DIODE 25.8226 +*END + +*D_NET *473 0.000767955 +*CONN +*P la_data_out_mprj[61] I +*I *21749:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3937:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[61] 0.000208403 +2 *21749:A 0 +3 *3937:DIODE 8.91382e-05 +4 *473:10 0.000297541 +5 *3937:DIODE *4079:DIODE 6.51496e-05 +6 *3937:DIODE *22918:A 6.8703e-05 +7 *3937:DIODE *601:5 9.7112e-06 +8 *473:10 *601:5 0 +9 *473:10 *2604:18 2.93099e-05 +10 la_data_in_mprj[61] *473:10 0 +*RES +1 la_data_out_mprj[61] *473:10 9.14135 +2 *473:10 *3937:DIODE 21.3269 +3 *473:10 *21749:A 9.24915 +*END + +*D_NET *474 0.000986511 +*CONN +*P la_data_out_mprj[62] I +*I *3938:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21750:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[62] 0.000148532 +2 *3938:DIODE 0.000202563 +3 *21750:A 2.46761e-05 +4 *474:5 0.000375771 +5 *3938:DIODE *4221:DIODE 0 +6 *3938:DIODE *602:11 0 +7 *3938:DIODE *2888:13 6.31809e-05 +8 *21750:A *2746:8 1.92926e-05 +9 *474:5 *4221:DIODE 0 +10 *474:5 *602:11 0 +11 *474:5 *857:7 0 +12 *474:5 *2605:20 0 +13 la_data_in_mprj[62] *21750:A 0.00011818 +14 la_data_in_mprj[62] *474:5 3.43154e-05 +*RES +1 la_data_out_mprj[62] *474:5 3.19988 +2 *474:5 *21750:A 15.0271 +3 *474:5 *3938:DIODE 18.1049 +*END + +*D_NET *475 0.00105277 +*CONN +*P la_data_out_mprj[63] I +*I *3939:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21751:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[63] 0.000113363 +2 *3939:DIODE 0.000171059 +3 *21751:A 6.27913e-05 +4 *475:5 0.000347214 +5 *3939:DIODE *4081:DIODE 0 +6 *3939:DIODE *603:5 0 +7 *3939:DIODE *2080:13 0.000172144 +8 *3939:DIODE *2606:29 4.89469e-06 +9 *3939:DIODE *2747:8 6.91561e-06 +10 *475:5 *603:5 0 +11 *475:5 *2080:13 0.000165042 +12 *475:5 *2606:29 9.34396e-06 +*RES +1 la_data_out_mprj[63] *475:5 3.19988 +2 *475:5 *21751:A 15.0271 +3 *475:5 *3939:DIODE 18.1049 +*END + +*D_NET *476 0.000928356 +*CONN +*P la_data_out_mprj[64] I +*I *3941:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21753:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[64] 0.000174466 +2 *3941:DIODE 0.000207498 +3 *21753:A 2.38863e-05 +4 *476:5 0.000405851 +5 *3941:DIODE *604:8 0 +6 *3941:DIODE *2748:8 0 +7 *476:5 *604:8 0 +8 *476:5 *2608:18 6.75063e-06 +9 *476:5 *2748:8 0 +10 *476:5 *2890:22 1.91391e-05 +11 la_data_in_mprj[64] *21753:A 6.28701e-05 +12 la_data_in_mprj[64] *476:5 2.78938e-05 +*RES +1 la_data_out_mprj[64] *476:5 3.61514 +2 *476:5 *21753:A 14.4725 +3 *476:5 *3941:DIODE 17.6896 +*END + +*D_NET *477 0.000780809 +*CONN +*P la_data_out_mprj[65] I +*I *21754:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3942:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[65] 0.000223275 +2 *21754:A 0 +3 *3942:DIODE 8.52018e-05 +4 *477:10 0.000308477 +5 *3942:DIODE *4083:DIODE 6.51496e-05 +6 *3942:DIODE *22922:A 6.8703e-05 +7 *3942:DIODE *605:5 9.7112e-06 +8 *477:10 *605:5 0 +9 *477:10 *2609:11 2.02914e-05 +10 la_data_in_mprj[65] *477:10 0 +*RES +1 la_data_out_mprj[65] *477:10 9.14135 +2 *477:10 *3942:DIODE 21.3269 +3 *477:10 *21754:A 9.24915 +*END + +*D_NET *478 0.00121182 +*CONN +*P la_data_out_mprj[66] I +*I *3943:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21755:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[66] 0.000166502 +2 *3943:DIODE 0.000174588 +3 *21755:A 2.06659e-05 +4 *478:5 0.000361756 +5 *3943:DIODE *4085:DIODE 3.07208e-05 +6 *3943:DIODE *2750:16 0 +7 *3943:DIODE *2752:10 0.000132425 +8 *3943:DIODE *3301:7 4.69808e-06 +9 *21755:A *606:8 0.000111722 +10 *478:5 *606:8 0 +11 *478:5 *2610:22 1.62803e-05 +12 *478:5 *2750:16 0 +13 *478:5 *2752:10 4.15661e-05 +14 *478:5 *2892:19 1.05456e-05 +15 la_data_in_mprj[66] *21755:A 0.000111722 +16 la_data_in_mprj[66] *478:5 2.86299e-05 +*RES +1 la_data_out_mprj[66] *478:5 3.61514 +2 *478:5 *21755:A 15.0271 +3 *478:5 *3943:DIODE 17.6896 +*END + +*D_NET *479 0.00106845 +*CONN +*P la_data_out_mprj[67] I +*I *3944:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21756:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[67] 0.000232058 +2 *3944:DIODE 0.000278432 +3 *21756:A 0 +4 *479:7 0.000510489 +5 *3944:DIODE *4086:DIODE 0 +6 *3944:DIODE *5442:DIODE 2.47112e-05 +7 *3944:DIODE *22923:A 0 +8 *3944:DIODE *607:7 3.5534e-06 +9 *479:7 *607:7 0 +10 *479:7 *2611:21 1.92038e-05 +11 la_data_in_mprj[67] *3944:DIODE 0 +12 la_data_in_mprj[67] *479:7 0 +*RES +1 la_data_out_mprj[67] *479:7 4.90975 +2 *479:7 *21756:A 13.7491 +3 *479:7 *3944:DIODE 19.7337 +*END + +*D_NET *480 0.00123802 +*CONN +*P la_data_out_mprj[68] I +*I *3945:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21757:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[68] 0.000182028 +2 *3945:DIODE 0.000187666 +3 *21757:A 1.23957e-05 +4 *480:5 0.000382089 +5 *3945:DIODE *608:8 0 +6 *3945:DIODE *2124:9 6.92855e-05 +7 *3945:DIODE *3303:7 0.00011818 +8 *21757:A *2893:19 0.00011818 +9 *480:5 *2124:9 5.00146e-05 +10 la_data_in_mprj[68] *21757:A 0.00011818 +*RES +1 la_data_out_mprj[68] *480:5 3.19988 +2 *480:5 *21757:A 15.0271 +3 *480:5 *3945:DIODE 18.1049 +*END + +*D_NET *481 0.00125798 +*CONN +*P la_data_out_mprj[69] I +*I *21758:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3946:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[69] 0.000337075 +2 *21758:A 0 +3 *3946:DIODE 0.000206397 +4 *481:10 0.000543472 +5 *3946:DIODE *4230:DIODE 0 +6 *3946:DIODE *609:16 3.5534e-06 +7 *3946:DIODE *2111:16 6.9206e-05 +8 *3946:DIODE *2755:8 2.99929e-05 +9 *481:10 *22926:A 2.57986e-05 +10 *481:10 *2111:16 4.24837e-05 +11 la_data_in_mprj[69] *481:10 0 +*RES +1 la_data_out_mprj[69] *481:10 10.2506 +2 *481:10 *3946:DIODE 22.6049 +3 *481:10 *21758:A 9.24915 +*END + +*D_NET *482 0.00130984 +*CONN +*P la_data_out_mprj[6] I +*I *3947:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21759:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[6] 7.90066e-05 +2 *3947:DIODE 0.00012707 +3 *21759:A 4.26932e-05 +4 *482:5 0.000248769 +5 *3947:DIODE *2861:12 0.000175674 +6 *3947:DIODE *2874:11 4.31703e-05 +7 *3947:DIODE *2937:18 0.000172144 +8 *482:5 *2861:12 0.000139435 +9 *482:5 *2937:18 0.000170158 +10 la_data_in_mprj[6] *21759:A 0.000111722 +*RES +1 la_data_out_mprj[6] *482:5 3.19988 +2 *482:5 *21759:A 15.0271 +3 *482:5 *3947:DIODE 18.1049 +*END + +*D_NET *483 0.000877006 +*CONN +*P la_data_out_mprj[70] I +*I *3948:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21760:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[70] 0.000224027 +2 *3948:DIODE 0.000141902 +3 *21760:A 0 +4 *483:7 0.000365928 +5 *3948:DIODE *4088:DIODE 3.04394e-05 +6 *3948:DIODE *611:8 3.47214e-05 +7 *3948:DIODE *3308:7 2.65831e-05 +8 *483:7 *4088:DIODE 2.1203e-06 +9 *483:7 *611:8 0 +10 *483:7 *2615:21 2.34274e-05 +11 la_data_in_mprj[70] *483:7 2.78573e-05 +*RES +1 la_data_out_mprj[70] *483:7 4.34793 +2 *483:7 *21760:A 13.7491 +3 *483:7 *3948:DIODE 17.135 +*END + +*D_NET *484 0.00124188 +*CONN +*P la_data_out_mprj[71] I +*I *3949:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21761:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[71] 0.000230943 +2 *3949:DIODE 0.000242605 +3 *21761:A 0 +4 *484:8 0.000473548 +5 *3949:DIODE *4232:DIODE 0 +6 *3949:DIODE *4233:DIODE 0 +7 *3949:DIODE *22929:A 0 +8 *3949:DIODE *612:18 3.20069e-06 +9 *3949:DIODE *3309:7 0.000171288 +10 *484:8 *4232:DIODE 2.1203e-06 +11 *484:8 *612:18 0 +12 la_data_in_mprj[71] *3949:DIODE 5.31074e-05 +13 la_data_in_mprj[71] *484:8 6.50727e-05 +*RES +1 la_data_out_mprj[71] *484:8 8.83853 +2 *484:8 *21761:A 9.24915 +3 *484:8 *3949:DIODE 23.4676 +*END + +*D_NET *485 0.00130316 +*CONN +*P la_data_out_mprj[72] I +*I *21762:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3950:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[72] 0.000213563 +2 *21762:A 0 +3 *3950:DIODE 0.000268211 +4 *485:10 0.000481775 +5 *3950:DIODE *4092:DIODE 0 +6 *3950:DIODE *22930:A 0 +7 *3950:DIODE *613:14 2.1558e-06 +8 *3950:DIODE *2616:13 3.93117e-06 +9 *3950:DIODE *2617:20 1.9101e-05 +10 *3950:DIODE *2758:8 0 +11 *3950:DIODE *3309:7 0.000171288 +12 *485:10 *4091:DIODE 0.000102003 +13 *485:10 *612:18 1.61631e-05 +14 *485:10 *613:14 0 +15 *485:10 *2617:20 3.67528e-06 +16 *485:10 *2758:8 5.22654e-06 +17 *485:10 *2894:14 0 +18 la_data_in_mprj[72] *485:10 1.60705e-05 +*RES +1 la_data_out_mprj[72] *485:10 9.14135 +2 *485:10 *3950:DIODE 23.1595 +3 *485:10 *21762:A 9.24915 +*END + +*D_NET *486 0.00108793 +*CONN +*P la_data_out_mprj[73] I +*I *3952:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21764:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[73] 0.000192489 +2 *3952:DIODE 0.00017697 +3 *21764:A 2.29367e-05 +4 *486:5 0.000392396 +5 *3952:DIODE *4234:DIODE 0.000144415 +6 *3952:DIODE *22931:A 5.15807e-05 +7 *486:5 *4234:DIODE 1.05272e-06 +8 *486:5 *22931:A 2.1203e-06 +9 *486:5 *614:8 0 +10 *486:5 *2619:19 2.11722e-05 +11 *486:5 *2901:20 1.91391e-05 +12 la_data_in_mprj[73] *21764:A 6.3657e-05 +13 la_data_in_mprj[73] *486:5 0 +*RES +1 la_data_out_mprj[73] *486:5 3.61514 +2 *486:5 *21764:A 14.4725 +3 *486:5 *3952:DIODE 17.6896 +*END + +*D_NET *487 0.00117701 +*CONN +*P la_data_out_mprj[74] I +*I *21765:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3953:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[74] 0.000179659 +2 *21765:A 4.83071e-05 +3 *3953:DIODE 0.000250451 +4 *487:5 0.000478417 +5 *3953:DIODE *4093:DIODE 8.35315e-05 +6 *3953:DIODE *4235:DIODE 0 +7 *3953:DIODE *22932:A 0 +8 *3953:DIODE *615:8 0 +9 *3953:DIODE *3311:7 4.23858e-05 +10 *21765:A *4093:DIODE 4.30017e-06 +11 *487:5 *615:8 0 +12 la_data_in_mprj[74] *21765:A 6.92705e-05 +13 la_data_in_mprj[74] *487:5 2.06866e-05 +*RES +1 la_data_out_mprj[74] *487:5 3.19988 +2 *487:5 *3953:DIODE 18.1049 +3 *487:5 *21765:A 15.2053 +*END + +*D_NET *488 0.00106995 +*CONN +*P la_data_out_mprj[75] I +*I *3954:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21766:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[75] 0.000193697 +2 *3954:DIODE 0.000155959 +3 *21766:A 0 +4 *488:7 0.000349656 +5 *3954:DIODE *4094:DIODE 5.54078e-05 +6 *3954:DIODE *4236:DIODE 0 +7 *3954:DIODE *616:8 4.21376e-05 +8 *3954:DIODE *3313:7 0.00011818 +9 *488:7 *2903:28 0.000134163 +10 la_data_in_mprj[75] *488:7 2.0754e-05 +*RES +1 la_data_out_mprj[75] *488:7 4.34793 +2 *488:7 *21766:A 13.7491 +3 *488:7 *3954:DIODE 17.6896 +*END + +*D_NET *489 0.00199898 +*CONN +*P la_data_out_mprj[76] I +*I *3955:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21767:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[76] 0.000171258 +2 *3955:DIODE 0.000362282 +3 *21767:A 0.000201452 +4 *489:5 0.000734992 +5 *3955:DIODE *4237:DIODE 0.000150629 +6 *3955:DIODE *22934:A 0.000150478 +7 *3955:DIODE *2764:11 6.1578e-06 +8 *3955:DIODE *3313:7 6.08467e-05 +9 *21767:A *21909:A 6.3657e-05 +10 *21767:A *2764:11 6.50727e-05 +11 *489:5 *617:5 0 +12 *489:5 *2764:11 9.70097e-06 +13 la_data_in_mprj[76] *489:5 2.24552e-05 +*RES +1 la_data_out_mprj[76] *489:5 3.19988 +2 *489:5 *21767:A 18.3548 +3 *489:5 *3955:DIODE 22.7346 +*END + +*D_NET *490 0.00177132 +*CONN +*P la_data_out_mprj[77] I +*I *3956:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21768:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[77] 0.000220912 +2 *3956:DIODE 0.000261365 +3 *21768:A 4.51066e-05 +4 *490:9 0.000527383 +5 *3956:DIODE *4098:DIODE 6.51105e-05 +6 *3956:DIODE *4238:DIODE 0.000243508 +7 *3956:DIODE *22935:A 2.65831e-05 +8 *3956:DIODE *618:8 9.99665e-05 +9 *3956:DIODE *2765:8 1.49927e-05 +10 *3956:DIODE *2899:21 3.65921e-05 +11 *3956:DIODE *2905:13 4.69725e-06 +12 *21768:A *2622:5 0.000111722 +13 *490:9 *4238:DIODE 7.09666e-06 +14 *490:9 *618:8 0 +15 *490:9 *2899:21 0.00010628 +16 la_data_in_mprj[77] *490:9 0 +*RES +1 la_data_out_mprj[77] *490:9 4.51892 +2 *490:9 *21768:A 15.0271 +3 *490:9 *3956:DIODE 21.9871 +*END + +*D_NET *491 0.00179743 +*CONN +*P la_data_out_mprj[78] I +*I *3957:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21769:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[78] 0.000212668 +2 *3957:DIODE 0.00030643 +3 *21769:A 8.92593e-05 +4 *491:9 0.000608357 +5 *3957:DIODE *21911:A 7.8525e-05 +6 *3957:DIODE *22936:A 0.000161167 +7 *3957:DIODE *619:5 3.86399e-05 +8 *3957:DIODE *2624:14 0.00011818 +9 *3957:DIODE *2766:8 6.12686e-06 +10 *3957:DIODE *2767:11 3.36313e-05 +11 *21769:A *2622:5 9.14834e-05 +12 *491:9 *619:5 7.52574e-06 +13 *491:9 *2103:19 2.51608e-05 +14 la_data_in_mprj[78] *491:9 2.02727e-05 +*RES +1 la_data_out_mprj[78] *491:9 4.10367 +2 *491:9 *21769:A 16.1364 +3 *491:9 *3957:DIODE 22.4024 +*END + +*D_NET *492 0.00109445 +*CONN +*P la_data_out_mprj[79] I +*I *3958:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21770:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[79] 0.000157521 +2 *3958:DIODE 0.000240078 +3 *21770:A 4.6537e-05 +4 *492:5 0.000444136 +5 *3958:DIODE *620:5 0 +6 *3958:DIODE *2094:5 1.71396e-05 +7 *3958:DIODE *2624:14 7.3281e-05 +8 *3958:DIODE *2908:21 2.99929e-05 +9 *21770:A *2622:5 5.07314e-05 +10 *492:5 *620:5 0 +11 *492:5 *2094:5 3.50347e-05 +*RES +1 la_data_out_mprj[79] *492:5 3.19988 +2 *492:5 *21770:A 15.0271 +3 *492:5 *3958:DIODE 18.6595 +*END + +*D_NET *493 0.000853122 +*CONN +*P la_data_out_mprj[7] I +*I *3959:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21771:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[7] 0.00018252 +2 *3959:DIODE 0.000110093 +3 *21771:A 0 +4 *493:7 0.000292613 +5 *3959:DIODE *22938:A 0.000104731 +6 *3959:DIODE *2614:8 2.01595e-05 +7 *3959:DIODE *2626:6 4.47442e-05 +8 *3959:DIODE *2874:11 6.50727e-05 +9 *493:7 *621:13 0 +10 *493:7 *2614:8 3.31882e-05 +11 *493:7 *2708:17 0 +12 la_data_in_mprj[7] *493:7 0 +*RES +1 la_data_out_mprj[7] *493:7 4.34793 +2 *493:7 *21771:A 13.7491 +3 *493:7 *3959:DIODE 17.135 +*END + +*D_NET *494 0.000916388 +*CONN +*P la_data_out_mprj[80] I +*I *3960:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21772:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_mprj[80] 0.000174471 +2 *3960:DIODE 0.00015267 +3 *21772:A 2.31637e-05 +4 *494:5 0.000350305 +5 *3960:DIODE *622:10 0 +6 *3960:DIODE *2909:8 2.7973e-05 +7 *21772:A *2622:5 6.50727e-05 +8 *494:5 *622:10 0 +9 la_data_in_mprj[80] *3960:DIODE 8.24802e-05 +10 la_data_in_mprj[80] *494:5 4.02523e-05 +*RES +1 la_data_out_mprj[80] *494:5 3.61514 +2 *494:5 *21772:A 14.4725 +3 *494:5 *3960:DIODE 17.135 +*END + +*D_NET *495 0.00137805 +*CONN +*P la_data_out_mprj[81] I +*I *3961:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21773:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[81] 0.00020185 +2 *3961:DIODE 0.000154463 +3 *21773:A 0 +4 *495:8 0.000356312 +5 *3961:DIODE *4244:DIODE 0 +6 *3961:DIODE *623:8 0.00011818 +7 *3961:DIODE *879:5 5.09239e-05 +8 *3961:DIODE *2622:5 0.000224381 +9 *495:8 *4244:DIODE 2.1203e-06 +10 *495:8 *623:8 0 +11 *495:8 *2622:5 0.00011818 +12 *495:8 *2911:10 0.00015164 +13 la_data_in_mprj[81] *495:8 0 +*RES +1 la_data_out_mprj[81] *495:8 9.39314 +2 *495:8 *21773:A 9.24915 +3 *495:8 *3961:DIODE 23.2989 +*END + +*D_NET *496 0.000927303 +*CONN +*P la_data_out_mprj[82] I +*I *3963:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21775:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_mprj[82] 0.000218719 +2 *3963:DIODE 0.000144226 +3 *21775:A 0 +4 *496:7 0.000362945 +5 *3963:DIODE *4103:DIODE 4.9817e-05 +6 *3963:DIODE *624:5 2.99428e-05 +7 *3963:DIODE *2771:8 6.50727e-05 +8 *496:7 *4103:DIODE 2.77564e-05 +9 *496:7 *624:5 0 +10 la_data_in_mprj[82] *496:7 2.88242e-05 +*RES +1 la_data_out_mprj[82] *496:7 4.34793 +2 *496:7 *21775:A 13.7491 +3 *496:7 *3963:DIODE 17.135 +*END + +*D_NET *497 0.00109389 +*CONN +*P la_data_out_mprj[83] I +*I *3964:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21776:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_mprj[83] 0.000180008 +2 *3964:DIODE 0.00025819 +3 *21776:A 9.34923e-06 +4 *497:5 0.000447547 +5 *3964:DIODE *625:5 0 +6 *3964:DIODE *2772:8 1.04252e-05 +7 *21776:A *2622:5 6.50727e-05 +8 *21776:A *2913:10 6.50727e-05 +9 *497:5 *2913:10 5.82294e-05 +10 la_data_in_mprj[83] *3964:DIODE 0 +11 la_data_in_mprj[83] *497:5 0 +*RES +1 la_data_out_mprj[83] *497:5 3.61514 +2 *497:5 *21776:A 14.4725 +3 *497:5 *3964:DIODE 18.2442 +*END + +*D_NET *498 0.0016574 +*CONN +*P la_data_out_mprj[84] I +*I *3965:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21777:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_mprj[84] 0.000184504 +2 *3965:DIODE 0.000330644 +3 *21777:A 0 +4 *498:8 0.000515147 +5 *3965:DIODE *4107:DIODE 3.83429e-05 +6 *3965:DIODE *4248:DIODE 0 +7 *3965:DIODE *626:12 0.000171575 +8 *3965:DIODE *882:8 1.77537e-06 +9 *3965:DIODE *2622:5 0.000217923 +10 *3965:DIODE *2632:10 6.50727e-05 +11 *498:8 *626:12 0 +12 *498:8 *2622:5 6.50727e-05 +13 *498:8 *2914:11 6.21293e-05 +14 la_data_in_mprj[84] *498:8 5.21758e-06 +*RES +1 la_data_out_mprj[84] *498:8 8.83853 +2 *498:8 *21777:A 9.24915 +3 *498:8 *3965:DIODE 26.2407 +*END + +*D_NET *499 0.0012212 +*CONN +*P la_data_out_mprj[85] I +*I *21778:A I *D sky130_fd_sc_hd__buf_4 +*I *3966:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[85] 0.000248643 +2 *21778:A 4.25699e-05 +3 *3966:DIODE 9.10505e-05 +4 *499:8 0.000382263 +5 *3966:DIODE *22944:A 3.12316e-05 +6 *3966:DIODE *883:9 0 +7 *21778:A *627:12 7.46378e-05 +8 *21778:A *2628:5 7.48797e-05 +9 *499:8 *22944:A 9.82459e-05 +10 *499:8 *627:12 5.04829e-06 +11 *499:8 *883:9 0 +12 *499:8 *2622:23 3.20069e-06 +13 *499:8 *2628:5 8.65358e-05 +14 *499:8 *2632:10 0 +15 *499:8 *2915:13 6.41347e-05 +16 la_data_in_mprj[85] *499:8 1.87635e-05 +*RES +1 la_data_out_mprj[85] *499:8 10.9176 +2 *499:8 *3966:DIODE 20.4964 +3 *499:8 *21778:A 11.6605 +*END + +*D_NET *500 0.00150069 +*CONN +*P la_data_out_mprj[86] I +*I *3967:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21779:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[86] 0.000244672 +2 *3967:DIODE 0.000364352 +3 *21779:A 0 +4 *500:7 0.000609024 +5 *3967:DIODE *628:5 6.3152e-05 +6 *3967:DIODE *2916:5 0.000188077 +7 *500:7 *628:5 0 +8 la_data_in_mprj[86] *3967:DIODE 0 +9 la_data_in_mprj[86] *500:7 3.14182e-05 +*RES +1 la_data_out_mprj[86] *500:7 4.90975 +2 *500:7 *21779:A 13.7491 +3 *500:7 *3967:DIODE 21.0173 +*END + +*D_NET *501 0.00117728 +*CONN +*P la_data_out_mprj[87] I +*I *3968:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21780:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[87] 0.000214433 +2 *3968:DIODE 0.000123815 +3 *21780:A 0.000109394 +4 *501:5 0.000447642 +5 *3968:DIODE *4252:DIODE 0 +6 *3968:DIODE *22946:A 0.000132436 +7 *21780:A *2633:10 0.000134843 +8 *501:5 *4252:DIODE 0 +9 *501:5 *22946:A 7.58067e-06 +10 *501:5 *629:5 0 +11 *501:5 *884:8 0 +12 *501:5 *2919:14 7.13655e-06 +13 la_data_in_mprj[87] *501:5 0 +*RES +1 la_data_out_mprj[87] *501:5 4.03039 +2 *501:5 *21780:A 17.1009 +3 *501:5 *3968:DIODE 17.1444 +*END + +*D_NET *502 0.00131936 +*CONN +*P la_data_out_mprj[88] I +*I *3969:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21781:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_data_out_mprj[88] 0.000264834 +2 *3969:DIODE 0.000301982 +3 *21781:A 0 +4 *502:7 0.000566816 +5 *3969:DIODE *4253:DIODE 0 +6 *3969:DIODE *630:12 5.79821e-05 +7 *3969:DIODE *3333:13 0.000101503 +8 *502:7 *630:12 0 +9 la_data_in_mprj[88] *502:7 2.62422e-05 +*RES +1 la_data_out_mprj[88] *502:7 4.90975 +2 *502:7 *21781:A 13.7491 +3 *502:7 *3969:DIODE 19.7337 +*END + +*D_NET *503 0.00180494 +*CONN +*P la_data_out_mprj[89] I +*I *3970:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21782:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[89] 0.000402583 +2 *3970:DIODE 0.000183961 +3 *21782:A 1.98947e-05 +4 *503:5 0.000606439 +5 *3970:DIODE *4111:DIODE 1.26672e-05 +6 *3970:DIODE *2779:10 6.22259e-05 +7 *3970:DIODE *2921:10 9.80784e-05 +8 *3970:DIODE *3333:19 0.000164829 +9 *21782:A *2779:10 2.16355e-05 +10 *21782:A *2916:5 6.08467e-05 +11 *503:5 *4111:DIODE 2.1203e-06 +12 *503:5 *4254:DIODE 0 +13 *503:5 *631:8 0 +14 *503:5 *886:7 0 +15 *503:5 *2921:10 0.000169655 +16 la_data_in_mprj[89] *503:5 0 +*RES +1 la_data_out_mprj[89] *503:5 7.35241 +2 *503:5 *21782:A 14.4725 +3 *503:5 *3970:DIODE 17.829 +*END + +*D_NET *504 0.00196572 +*CONN +*P la_data_out_mprj[8] I +*I *21783:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *3971:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[8] 0.000305568 +2 *21783:A 0 +3 *3971:DIODE 0.000106881 +4 *504:12 0.000412449 +5 *3971:DIODE *4256:DIODE 0.00013592 +6 *3971:DIODE *888:9 0.000226281 +7 *3971:DIODE *2961:54 0.000362201 +8 *504:12 *632:8 1.28704e-05 +9 *504:12 *2768:10 0.000366603 +10 la_data_in_mprj[8] *504:12 3.6949e-05 +*RES +1 la_data_out_mprj[8] *504:12 12.6547 +2 *504:12 *3971:DIODE 24.6489 +3 *504:12 *21783:A 9.24915 +*END + +*D_NET *505 0.00121674 +*CONN +*P la_data_out_mprj[90] I +*I *3972:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21784:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[90] 0.000164816 +2 *3972:DIODE 0.00021829 +3 *21784:A 4.21492e-05 +4 *505:5 0.000425256 +5 *3972:DIODE *633:8 0 +6 *3972:DIODE *2916:5 5.07314e-05 +7 *3972:DIODE *2920:10 8.72256e-06 +8 *3972:DIODE *2922:8 0.000130389 +9 *505:5 *633:8 0 +10 *505:5 *2639:8 2.16533e-05 +11 *505:5 *2922:8 1.07248e-05 +12 la_data_in_mprj[90] *21784:A 0.00011818 +13 la_data_in_mprj[90] *505:5 2.58239e-05 +*RES +1 la_data_out_mprj[90] *505:5 3.19988 +2 *505:5 *21784:A 15.0271 +3 *505:5 *3972:DIODE 18.1049 +*END + +*D_NET *506 0.00113528 +*CONN +*P la_data_out_mprj[91] I +*I *3974:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21786:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[91] 0.000170475 +2 *3974:DIODE 0.000198597 +3 *21786:A 3.29791e-05 +4 *506:5 0.000402051 +5 *3974:DIODE *4115:DIODE 0 +6 *3974:DIODE *4257:DIODE 0 +7 *3974:DIODE *2920:14 6.3657e-05 +8 *3974:DIODE *2924:13 1.05934e-05 +9 *21786:A *2633:20 6.08467e-05 +10 *21786:A *2919:23 4.87439e-05 +11 *506:5 *889:8 0 +12 *506:5 *2633:20 0.000138607 +13 *506:5 *2915:24 8.72808e-06 +*RES +1 la_data_out_mprj[91] *506:5 3.61514 +2 *506:5 *21786:A 15.0271 +3 *506:5 *3974:DIODE 17.135 +*END + +*D_NET *507 0.0013334 +*CONN +*P la_data_out_mprj[92] I +*I *3975:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21787:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[92] 0.000166512 +2 *3975:DIODE 0.000212176 +3 *21787:A 3.24165e-05 +4 *507:5 0.000411105 +5 *3975:DIODE *2124:33 3.57291e-06 +6 *3975:DIODE *2641:17 0 +7 *3975:DIODE *2782:8 0.000132548 +8 *3975:DIODE *2920:14 0.000111722 +9 *21787:A *2782:7 6.08467e-05 +10 *507:5 *2124:33 4.55613e-05 +11 *507:5 *2642:8 1.87297e-05 +12 *507:5 *2782:8 2.43314e-05 +13 la_data_in_mprj[92] *3975:DIODE 2.1558e-06 +14 la_data_in_mprj[92] *21787:A 0.000111722 +*RES +1 la_data_out_mprj[92] *507:5 3.19988 +2 *507:5 *21787:A 15.0271 +3 *507:5 *3975:DIODE 18.1049 +*END + +*D_NET *508 0.00124591 +*CONN +*P la_data_out_mprj[93] I +*I *3976:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21788:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[93] 0.000155157 +2 *3976:DIODE 0.000223241 +3 *21788:A 9.34923e-06 +4 *508:5 0.000387748 +5 *3976:DIODE *636:5 0 +6 *3976:DIODE *2632:31 1.98263e-05 +7 *3976:DIODE *2788:17 1.75682e-05 +8 *3976:DIODE *2920:14 0.00011818 +9 *21788:A *2632:31 6.50727e-05 +10 *508:5 *636:5 0 +11 *508:5 *2632:31 0.000169686 +12 *508:5 *2643:11 1.5013e-05 +13 la_data_in_mprj[93] *21788:A 6.50727e-05 +*RES +1 la_data_out_mprj[93] *508:5 3.61514 +2 *508:5 *21788:A 14.4725 +3 *508:5 *3976:DIODE 17.6896 +*END + +*D_NET *509 0.00192665 +*CONN +*P la_data_out_mprj[94] I +*I *21789:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3977:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[94] 0.000295372 +2 *21789:A 1.716e-05 +3 *3977:DIODE 0.000134716 +4 *509:8 0.000447248 +5 *3977:DIODE *4119:DIODE 9.32704e-05 +6 *3977:DIODE *893:9 9.32704e-05 +7 *3977:DIODE *2920:14 6.08467e-05 +8 *21789:A *2632:31 1.00846e-05 +9 *21789:A *2919:23 6.08467e-05 +10 *509:8 *22954:A 2.43314e-05 +11 *509:8 *892:7 0 +12 *509:8 *2632:31 0.000299316 +13 *509:8 *2919:23 0.000373047 +14 la_data_in_mprj[94] *509:8 1.71443e-05 +*RES +1 la_data_out_mprj[94] *509:8 12.5814 +2 *509:8 *3977:DIODE 21.2198 +3 *509:8 *21789:A 9.97254 +*END + +*D_NET *510 0.00184125 +*CONN +*P la_data_out_mprj[95] I +*I *3978:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21790:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[95] 0.000150237 +2 *3978:DIODE 0.000295576 +3 *21790:A 8.24647e-05 +4 *510:5 0.000528278 +5 *3978:DIODE *4120:DIODE 0.000113968 +6 *3978:DIODE *2631:16 0.000144047 +7 *3978:DIODE *2632:40 0.00014033 +8 *3978:DIODE *2916:5 1.41689e-05 +9 *3978:DIODE *2920:14 0.000164829 +10 *21790:A *2919:23 3.6455e-05 +11 *510:5 *2631:16 0.000140316 +12 *510:5 *2632:40 3.0577e-05 +*RES +1 la_data_out_mprj[95] *510:5 3.19988 +2 *510:5 *21790:A 15.5817 +3 *510:5 *3978:DIODE 20.3233 +*END + +*D_NET *511 0.0016895 +*CONN +*P la_data_out_mprj[96] I +*I *3979:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21791:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[96] 0.000300852 +2 *3979:DIODE 0.000310585 +3 *21791:A 0 +4 *511:10 0.000611437 +5 *3979:DIODE *4264:DIODE 0 +6 *3979:DIODE *639:8 4.42225e-05 +7 *3979:DIODE *895:7 3.20069e-06 +8 *3979:DIODE *2633:39 6.50586e-05 +9 *3979:DIODE *2916:5 9.40969e-05 +10 *511:10 *894:10 0 +11 *511:10 *2633:39 7.02842e-05 +12 *511:10 *2643:14 9.59184e-05 +13 *511:10 *2919:23 1.58551e-05 +14 la_data_in_mprj[96] *511:10 7.79911e-05 +*RES +1 la_data_out_mprj[96] *511:10 11.2204 +2 *511:10 *21791:A 9.24915 +3 *511:10 *3979:DIODE 24.0222 +*END + +*D_NET *512 0.00108779 +*CONN +*P la_data_out_mprj[97] I +*I *3980:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21792:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_data_out_mprj[97] 0.000201186 +2 *3980:DIODE 0.000228891 +3 *21792:A 9.34923e-06 +4 *512:5 0.000439426 +5 *3980:DIODE *640:8 0 +6 *3980:DIODE *2639:14 0 +7 *3980:DIODE *2916:5 4.99469e-05 +8 *3980:DIODE *2931:17 1.38358e-05 +9 *21792:A *2931:17 6.50727e-05 +10 *512:5 *640:8 0 +11 *512:5 *2639:14 0 +12 *512:5 *2647:8 1.5013e-05 +13 la_data_in_mprj[97] *21792:A 6.50727e-05 +14 la_data_in_mprj[97] *512:5 0 +*RES +1 la_data_out_mprj[97] *512:5 3.61514 +2 *512:5 *21792:A 14.4725 +3 *512:5 *3980:DIODE 17.6896 +*END + +*D_NET *513 0.00173957 +*CONN +*P la_data_out_mprj[98] I +*I *21793:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3981:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[98] 0.000342894 +2 *21793:A 0 +3 *3981:DIODE 0.000122718 +4 *513:8 0.000465612 +5 *3981:DIODE *4266:DIODE 3.37307e-05 +6 *3981:DIODE *641:8 0 +7 *513:8 *4265:DIODE 2.77564e-05 +8 *513:8 *641:8 0 +9 *513:8 *897:12 2.07503e-05 +10 *513:8 *2633:40 5.1493e-06 +11 *513:8 *2648:10 0.000116 +12 *513:8 *2932:7 0.000574647 +13 la_data_in_mprj[98] *513:8 3.03146e-05 +*RES +1 la_data_out_mprj[98] *513:8 14.7998 +2 *513:8 *3981:DIODE 20.4964 +3 *513:8 *21793:A 9.24915 +*END + +*D_NET *514 0.00143977 +*CONN +*P la_data_out_mprj[99] I +*I *3982:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21794:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[99] 0.000187862 +2 *3982:DIODE 0.000250264 +3 *21794:A 0.000104994 +4 *514:5 0.00054312 +5 *3982:DIODE *22959:A 2.44586e-05 +6 *3982:DIODE *2931:17 0.000167076 +7 *3982:DIODE *2933:10 4.41818e-05 +8 *21794:A *2932:7 5.27412e-05 +9 *514:5 *642:8 0 +10 *514:5 *2648:10 0 +11 la_data_in_mprj[99] *21794:A 6.50727e-05 +12 la_data_in_mprj[99] *514:5 0 +*RES +1 la_data_out_mprj[99] *514:5 3.19988 +2 *514:5 *21794:A 16.7151 +3 *514:5 *3982:DIODE 18.6595 +*END + +*D_NET *515 0.00112273 +*CONN +*P la_data_out_mprj[9] I +*I *21795:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *3983:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[9] 0.000272989 +2 *21795:A 2.11181e-05 +3 *3983:DIODE 5.89057e-05 +4 *515:8 0.000353013 +5 *3983:DIODE *899:8 5.54078e-05 +6 *3983:DIODE *2650:6 5.54078e-05 +7 *21795:A *2638:23 2.41483e-05 +8 *515:8 *643:10 0 +9 *515:8 *2638:23 9.39797e-05 +10 *515:8 *2768:10 0.000187761 +11 la_data_in_mprj[9] *515:8 0 +*RES +1 la_data_out_mprj[9] *515:8 12.0268 +2 *515:8 *3983:DIODE 20.4964 +3 *515:8 *21795:A 9.97254 +*END + +*D_NET *516 0.00149464 +*CONN +*P la_iena_mprj[0] I +*I *3985:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21797:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[0] 0.000539434 +2 *3985:DIODE 0.000132607 +3 *21797:A 0 +4 *516:8 0.000672041 +5 *3985:DIODE *2510:6 0.000148144 +6 *3985:DIODE *2652:10 2.41274e-06 +7 *516:8 *772:8 0 +8 *516:8 *2510:6 0 +9 la_data_in_mprj[0] *516:8 0 +10 *388:8 *516:8 0 +*RES +1 la_iena_mprj[0] *516:8 18.8102 +2 *516:8 *21797:A 9.24915 +3 *516:8 *3985:DIODE 22.1896 +*END + +*D_NET *517 0.00185215 +*CONN +*P la_iena_mprj[100] I +*I *3986:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21798:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[100] 0.000403961 +2 *3986:DIODE 0.000190819 +3 *21798:A 1.54421e-05 +4 *517:5 0.000610222 +5 *3986:DIODE *3708:DIODE 0 +6 *3986:DIODE *4267:DIODE 5.95249e-06 +7 *3986:DIODE *2511:8 7.86847e-05 +8 *3986:DIODE *2916:17 0.000171288 +9 *21798:A *2655:11 0.000171288 +10 *21798:A *2930:9 0.000171288 +11 *517:5 *4267:DIODE 3.32043e-05 +12 *517:5 *773:8 0 +13 *517:5 *2644:12 0 +14 *389:7 *517:5 0 +*RES +1 la_iena_mprj[100] *517:5 7.35241 +2 *517:5 *21798:A 15.5817 +3 *517:5 *3986:DIODE 17.829 +*END + +*D_NET *518 0.00188988 +*CONN +*P la_iena_mprj[101] I +*I *3987:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21799:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[101] 0.000360006 +2 *3987:DIODE 2.82529e-05 +3 *21799:A 0 +4 *518:10 0.000388259 +5 *3987:DIODE *2655:11 0.0003122 +6 *3987:DIODE *2930:9 0.000326398 +7 *518:10 *2512:12 3.98259e-05 +8 *518:10 *2655:11 0.000118166 +9 *518:10 *2658:12 7.23954e-05 +10 *518:10 *2930:9 0.000118166 +11 *3845:DIODE *518:10 0.000126209 +12 *390:7 *518:10 0 +*RES +1 la_iena_mprj[101] *518:10 13.8632 +2 *518:10 *21799:A 9.24915 +3 *518:10 *3987:DIODE 12.7456 +*END + +*D_NET *519 0.00139943 +*CONN +*P la_iena_mprj[102] I +*I *3988:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21800:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[102] 0.000408469 +2 *3988:DIODE 4.34011e-05 +3 *21800:A 0 +4 *519:10 0.00045187 +5 *3988:DIODE *2930:9 0.000171273 +6 *519:10 *4130:DIODE 0 +7 *519:10 *775:7 0 +8 *519:10 *2655:11 4.30017e-06 +9 *519:10 *2794:10 0.000137981 +10 *519:10 *2930:9 0.000122378 +11 *3846:DIODE *519:10 1.86732e-05 +12 *391:9 *519:10 4.10797e-05 +*RES +1 la_iena_mprj[102] *519:10 13.8632 +2 *519:10 *21800:A 9.24915 +3 *519:10 *3988:DIODE 11.0817 +*END + +*D_NET *520 0.00141998 +*CONN +*P la_iena_mprj[103] I +*I *3989:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21801:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[103] 0.00043992 +2 *3989:DIODE 4.34011e-05 +3 *21801:A 0 +4 *520:12 0.000483321 +5 *3989:DIODE *2930:9 0.000171273 +6 *520:12 *4131:DIODE 0 +7 *520:12 *776:7 2.0439e-05 +8 *520:12 *2797:10 0 +9 *520:12 *2930:9 0.00011818 +10 *3847:DIODE *520:12 0.000143443 +11 *392:8 *520:12 0 +*RES +1 la_iena_mprj[103] *520:12 14.0342 +2 *520:12 *21801:A 9.24915 +3 *520:12 *3989:DIODE 11.0817 +*END + +*D_NET *521 0.00126959 +*CONN +*P la_iena_mprj[104] I +*I *3990:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21802:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[104] 0.000312751 +2 *3990:DIODE 7.87839e-05 +3 *21802:A 2.64456e-05 +4 *521:5 0.00041798 +5 *3990:DIODE *2513:13 0.00010586 +6 *3990:DIODE *2657:8 1.24564e-05 +7 *21802:A *2796:11 2.65831e-05 +8 *521:5 *4132:DIODE 0 +9 *521:5 *777:8 0 +10 *521:5 *2513:13 0.000252898 +11 *521:5 *2926:12 3.5828e-05 +12 *3848:DIODE *521:5 0 +*RES +1 la_iena_mprj[104] *521:5 7.35241 +2 *521:5 *21802:A 14.4725 +3 *521:5 *3990:DIODE 15.9964 +*END + +*D_NET *522 0.00169361 +*CONN +*P la_iena_mprj[105] I +*I *21803:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *3991:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[105] 0.000290049 +2 *21803:A 4.43214e-05 +3 *3991:DIODE 8.5727e-05 +4 *522:8 0.000420097 +5 *3991:DIODE *2516:17 2.62745e-05 +6 *21803:A *2932:9 4.58003e-05 +7 *522:8 *2515:15 0.000160617 +8 *522:8 *2516:17 4.58003e-05 +9 *522:8 *2648:17 6.54447e-05 +10 *522:8 *2932:9 0.000184627 +11 la_data_in_mprj[106] *21803:A 5.43206e-05 +12 la_data_in_mprj[106] *522:8 2.65831e-05 +13 *3849:DIODE *522:8 5.13937e-05 +14 *3850:DIODE *3991:DIODE 4.45548e-05 +15 *394:13 *522:8 0.000147998 +*RES +1 la_iena_mprj[105] *522:8 13.6906 +2 *522:8 *3991:DIODE 20.4964 +3 *522:8 *21803:A 11.1059 +*END + +*D_NET *523 0.00145147 +*CONN +*P la_iena_mprj[106] I +*I *3992:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21804:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[106] 0.000405138 +2 *3992:DIODE 2.39966e-05 +3 *21804:A 0 +4 *523:8 0.000429135 +5 *3992:DIODE *2796:11 6.49003e-05 +6 *3992:DIODE *3210:9 0.000169041 +7 *523:8 *22840:A 5.32981e-05 +8 *523:8 *779:8 0 +9 *523:8 *2516:17 0 +10 *523:8 *2517:18 1.53057e-05 +11 *523:8 *2659:16 7.25274e-05 +12 *523:8 *2796:11 5.97576e-05 +13 *523:8 *3210:9 0.000158371 +14 *3850:DIODE *523:8 0 +15 *395:5 *523:8 0 +*RES +1 la_iena_mprj[106] *523:8 13.685 +2 *523:8 *21804:A 9.24915 +3 *523:8 *3992:DIODE 11.0817 +*END + +*D_NET *524 0.00183468 +*CONN +*P la_iena_mprj[107] I +*I *3993:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21805:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[107] 0.00022843 +2 *3993:DIODE 2.32667e-05 +3 *21805:A 0 +4 *524:8 0.000251696 +5 *3993:DIODE *5359:DIODE 0.000164815 +6 *3993:DIODE *2801:9 0.000148652 +7 *524:8 *5359:DIODE 0.000164829 +8 *524:8 *2642:14 0.000366051 +9 *524:8 *2799:12 0.00031105 +10 *524:8 *2801:9 0.000164829 +11 *524:8 *2915:40 1.10599e-05 +*RES +1 la_iena_mprj[107] *524:8 13.685 +2 *524:8 *21805:A 9.24915 +3 *524:8 *3993:DIODE 11.0817 +*END + +*D_NET *525 0.00143717 +*CONN +*P la_iena_mprj[108] I +*I *3994:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21806:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[108] 0.000426055 +2 *3994:DIODE 4.99232e-05 +3 *21806:A 0 +4 *525:8 0.000475978 +5 *3994:DIODE *2801:9 0.00015511 +6 *525:8 *4136:DIODE 0 +7 *525:8 *22842:A 0 +8 *525:8 *781:8 0 +9 *525:8 *2520:8 0.00013223 +10 *525:8 *2661:10 2.65831e-05 +11 *525:8 *2801:9 0.000171288 +12 *3853:DIODE *525:8 0 +*RES +1 la_iena_mprj[108] *525:8 13.685 +2 *525:8 *21806:A 9.24915 +3 *525:8 *3994:DIODE 11.0817 +*END + +*D_NET *526 0.00168726 +*CONN +*P la_iena_mprj[109] I +*I *3996:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21808:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[109] 0.000362243 +2 *3996:DIODE 0.000184575 +3 *21808:A 4.16334e-05 +4 *526:5 0.000588452 +5 *3996:DIODE *22843:A 1.90543e-05 +6 *3996:DIODE *2663:10 6.96979e-05 +7 *3996:DIODE *2931:50 0.000169122 +8 *21808:A *2663:7 2.57986e-05 +9 *21808:A *2801:9 0.000169122 +10 *526:5 *22843:A 4.94e-06 +11 *526:5 *782:8 0 +12 *526:5 *2636:14 0 +13 *526:5 *2911:28 0 +14 *3854:DIODE *526:5 5.26221e-05 +15 *398:7 *526:5 0 +*RES +1 la_iena_mprj[109] *526:5 7.35241 +2 *526:5 *21808:A 15.5817 +3 *526:5 *3996:DIODE 17.829 +*END + +*D_NET *527 0.00203274 +*CONN +*P la_iena_mprj[10] I +*I *21809:A I *D sky130_fd_sc_hd__buf_2 +*I *3997:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[10] 0.000296939 +2 *21809:A 0 +3 *3997:DIODE 0.000164765 +4 *527:10 0.000461705 +5 *3997:DIODE *4138:DIODE 6.50727e-05 +6 *3997:DIODE *2522:14 0.000315206 +7 *3997:DIODE *2732:15 5.43062e-05 +8 *3997:DIODE *2898:12 7.77309e-06 +9 *527:10 *2522:14 0.000209232 +10 *527:10 *2638:23 0.000262339 +11 *527:10 *2966:18 4.2164e-05 +12 la_data_in_mprj[11] *3997:DIODE 0.00015324 +13 *399:5 *527:10 0 +*RES +1 la_iena_mprj[10] *527:10 12.3516 +2 *527:10 *3997:DIODE 24.9571 +3 *527:10 *21809:A 9.24915 +*END + +*D_NET *528 0.00257955 +*CONN +*P la_iena_mprj[110] I +*I *3998:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21810:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[110] 0.000302144 +2 *3998:DIODE 0.000373889 +3 *21810:A 6.41485e-05 +4 *528:5 0.000740182 +5 *3998:DIODE *23102:A 2.65667e-05 +6 *3998:DIODE *2519:14 0.000120052 +7 *3998:DIODE *2665:9 0.000123582 +8 *3998:DIODE *2931:50 7.62175e-05 +9 *3998:DIODE *3215:5 0.000324151 +10 *21810:A *2804:15 0.000160617 +11 *528:5 *22845:A 3.51249e-05 +12 *528:5 *784:8 0 +13 *528:5 *2519:14 0.000156578 +14 *528:5 *2665:9 6.76686e-05 +15 *3856:DIODE *528:5 8.62321e-06 +16 *400:7 *528:5 0 +*RES +1 la_iena_mprj[110] *528:5 7.35241 +2 *528:5 *21810:A 15.5817 +3 *528:5 *3998:DIODE 21.7112 +*END + +*D_NET *529 0.0022685 +*CONN +*P la_iena_mprj[111] I +*I *3999:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21811:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[111] 0.000544789 +2 *3999:DIODE 3.35433e-05 +3 *21811:A 2.59154e-05 +4 *529:13 0.000604248 +5 *3999:DIODE *2524:17 6.08467e-05 +6 *3999:DIODE *2804:17 9.55447e-05 +7 *21811:A *2804:17 6.50727e-05 +8 *529:13 *4142:DIODE 3.74593e-05 +9 *529:13 *5364:DIODE 0.000113968 +10 *529:13 *2523:13 1.15389e-05 +11 *529:13 *2523:17 6.08467e-05 +12 *529:13 *2524:10 3.31882e-05 +13 *529:13 *2627:14 0.000123582 +14 *529:13 *2645:22 0.000142692 +15 *529:13 *2666:15 0.000122098 +16 *529:13 *2927:12 0.000193165 +*RES +1 la_iena_mprj[111] *529:13 27.5631 +2 *529:13 *21811:A 9.97254 +3 *529:13 *3999:DIODE 10.5271 +*END + +*D_NET *530 0.00125074 +*CONN +*P la_iena_mprj[112] I +*I *4000:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21812:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[112] 0.00031044 +2 *4000:DIODE 5.61679e-05 +3 *21812:A 1.47608e-05 +4 *530:5 0.000381368 +5 *4000:DIODE *22847:A 3.08133e-05 +6 *4000:DIODE *2525:8 0.000122098 +7 *21812:A *2524:17 6.08467e-05 +8 *21812:A *2804:17 6.08467e-05 +9 *530:5 *4143:DIODE 0 +10 *530:5 *22847:A 3.67528e-06 +11 *530:5 *786:8 0 +12 *530:5 *2525:8 0.000151481 +13 *530:5 *2809:10 0 +14 *3858:DIODE *530:5 5.82465e-05 +15 *402:7 *530:5 0 +*RES +1 la_iena_mprj[112] *530:5 7.35241 +2 *530:5 *21812:A 14.4725 +3 *530:5 *4000:DIODE 15.9964 +*END + +*D_NET *531 0.00167253 +*CONN +*P la_iena_mprj[113] I +*I *4001:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21813:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[113] 0.000316538 +2 *4001:DIODE 9.60289e-06 +3 *21813:A 0 +4 *531:8 0.000326141 +5 *4001:DIODE *2524:17 0.000175485 +6 *4001:DIODE *2804:17 0.000159322 +7 *531:8 *2524:17 0.000158371 +8 *531:8 *2526:8 0.000135391 +9 *531:8 *2804:17 0.000158371 +10 *531:8 *2913:14 9.79019e-05 +11 *531:8 *2932:21 0.000135406 +12 *3859:DIODE *531:8 0 +13 *403:5 *531:8 0 +*RES +1 la_iena_mprj[113] *531:8 13.685 +2 *531:8 *21813:A 9.24915 +3 *531:8 *4001:DIODE 11.0817 +*END + +*D_NET *532 0.00101998 +*CONN +*P la_iena_mprj[114] I +*I *21814:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4002:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[114] 0.000287277 +2 *21814:A 5.80824e-05 +3 *4002:DIODE 0 +4 *532:5 0.000345359 +5 *21814:A *22849:A 6.6828e-05 +6 *21814:A *2094:13 0 +7 *21814:A *2524:17 6.50727e-05 +8 *21814:A *2804:17 6.50727e-05 +9 *532:5 *22849:A 0.000132291 +10 *532:5 *788:8 0 +11 *532:5 *2094:13 0 +12 *404:8 *532:5 0 +*RES +1 la_iena_mprj[114] *532:5 6.10665 +2 *532:5 *4002:DIODE 13.7491 +3 *532:5 *21814:A 15.8893 +*END + +*D_NET *533 0.00169775 +*CONN +*P la_iena_mprj[115] I +*I *4003:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21815:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[115] 0.000194679 +2 *4003:DIODE 0.000184858 +3 *21815:A 0 +4 *533:10 0.000379537 +5 *4003:DIODE *4146:DIODE 0.000177542 +6 *4003:DIODE *22851:A 0 +7 *4003:DIODE *534:5 0 +8 *4003:DIODE *2527:15 0.000108551 +9 *4003:DIODE *2796:32 0 +10 *4003:DIODE *2811:11 0.000264586 +11 *533:10 *789:11 0 +12 *533:10 *2527:15 6.92705e-05 +13 *533:10 *2670:6 0 +14 *533:10 *2803:14 0.000187528 +15 *533:10 *2811:11 2.41483e-05 +16 la_data_in_mprj[116] *4003:DIODE 0.000107052 +*RES +1 la_iena_mprj[115] *533:10 9.57133 +2 *533:10 *21815:A 9.24915 +3 *533:10 *4003:DIODE 25.2627 +*END + +*D_NET *534 0.00137278 +*CONN +*P la_iena_mprj[116] I +*I *4004:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21816:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[116] 0.000301061 +2 *4004:DIODE 5.34024e-05 +3 *21816:A 9.34923e-06 +4 *534:5 0.000363813 +5 *4004:DIODE *2796:32 0.00011497 +6 *4004:DIODE *2812:8 5.04879e-05 +7 *21816:A *2524:25 6.50727e-05 +8 *21816:A *2804:17 6.50727e-05 +9 *534:5 *790:7 0 +10 *534:5 *2530:8 0.000138075 +11 *534:5 *2796:32 5.41377e-05 +12 *534:5 *2812:8 2.85031e-05 +13 la_data_in_mprj[116] *534:5 0 +14 *3863:DIODE *534:5 0.00012883 +15 *4003:DIODE *534:5 0 +16 *406:8 *534:5 0 +*RES +1 la_iena_mprj[116] *534:5 7.35241 +2 *534:5 *21816:A 14.4725 +3 *534:5 *4004:DIODE 15.9964 +*END + +*D_NET *535 0.00120177 +*CONN +*P la_iena_mprj[117] I +*I *21817:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4005:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[117] 0.000242773 +2 *21817:A 0 +3 *4005:DIODE 0.000112753 +4 *535:8 0.000355526 +5 *4005:DIODE *22853:A 0 +6 *4005:DIODE *2531:10 1.79124e-05 +7 *4005:DIODE *2630:16 5.09095e-05 +8 *535:8 *791:8 6.50727e-05 +9 *535:8 *2527:18 1.42855e-05 +10 *535:8 *2531:7 8.39059e-05 +11 *535:8 *2630:16 2.91512e-05 +12 *535:8 *2646:14 5.87664e-05 +13 *535:8 *2672:14 0.000160617 +14 *535:8 *2811:25 1.00981e-05 +*RES +1 la_iena_mprj[117] *535:8 11.0569 +2 *535:8 *4005:DIODE 20.9116 +3 *535:8 *21817:A 9.24915 +*END + +*D_NET *536 0.0012927 +*CONN +*P la_iena_mprj[118] I +*I *4007:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21819:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[118] 0.000226257 +2 *4007:DIODE 0.000152501 +3 *21819:A 0 +4 *536:8 0.000378759 +5 *4007:DIODE *4149:DIODE 1.93505e-05 +6 *4007:DIODE *2674:7 7.16893e-05 +7 *4007:DIODE *2675:15 0.000154145 +8 *4007:DIODE *2811:25 6.61281e-05 +9 *4007:DIODE *2815:5 4.56667e-05 +10 *536:8 *792:7 0 +11 *536:8 *2815:5 5.07314e-05 +12 la_data_in_mprj[119] *4007:DIODE 0.000127469 +13 *408:8 *536:8 0 +*RES +1 la_iena_mprj[118] *536:8 9.39314 +2 *536:8 *21819:A 9.24915 +3 *536:8 *4007:DIODE 23.8535 +*END + +*D_NET *537 0.00108363 +*CONN +*P la_iena_mprj[119] I +*I *21820:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4008:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[119] 0.000246706 +2 *21820:A 0 +3 *4008:DIODE 9.64932e-05 +4 *537:8 0.000343199 +5 *4008:DIODE *2520:12 0.000139038 +6 *4008:DIODE *2533:6 0 +7 *537:8 *793:12 6.50727e-05 +8 *537:8 *2675:15 7.48797e-05 +9 *537:8 *2815:5 0.000118245 +10 *3866:DIODE *4008:DIODE 0 +11 *409:5 *537:8 0 +*RES +1 la_iena_mprj[119] *537:8 11.0569 +2 *537:8 *4008:DIODE 20.9116 +3 *537:8 *21820:A 9.24915 +*END + +*D_NET *538 0.000927853 +*CONN +*P la_iena_mprj[11] I +*I *4009:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21821:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[11] 0.000244726 +2 *4009:DIODE 0.000109072 +3 *21821:A 0 +4 *538:10 0.000353798 +5 *4009:DIODE *4152:DIODE 4.2996e-05 +6 *4009:DIODE *794:13 1.77537e-06 +7 *4009:DIODE *2534:6 0 +8 *4009:DIODE *2638:23 5.31074e-05 +9 *538:10 *794:13 0 +10 *538:10 *2638:23 0.000122378 +11 *538:10 *2676:10 0 +12 *3867:DIODE *4009:DIODE 0 +13 *3867:DIODE *538:10 0 +14 *410:5 *538:10 0 +*RES +1 la_iena_mprj[11] *538:10 10.1259 +2 *538:10 *21821:A 9.24915 +3 *538:10 *4009:DIODE 21.635 +*END + +*D_NET *539 0.00130805 +*CONN +*P la_iena_mprj[120] I +*I *4010:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21822:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[120] 0.000273603 +2 *4010:DIODE 7.13452e-05 +3 *21822:A 9.34923e-06 +4 *539:5 0.000354298 +5 *4010:DIODE *4153:DIODE 0 +6 *4010:DIODE *2817:10 0.00011497 +7 *21822:A *2813:21 6.50727e-05 +8 *21822:A *3224:15 6.50727e-05 +9 *539:5 *4153:DIODE 0 +10 *539:5 *795:7 0 +11 *539:5 *2535:8 7.21868e-05 +12 *539:5 *2817:10 0.000140502 +13 *3868:DIODE *539:5 0.000141649 +14 *411:8 *539:5 0 +*RES +1 la_iena_mprj[120] *539:5 7.35241 +2 *539:5 *21822:A 14.4725 +3 *539:5 *4010:DIODE 15.9964 +*END + +*D_NET *540 0.00125028 +*CONN +*P la_iena_mprj[121] I +*I *4011:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21823:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[121] 0.000115545 +2 *4011:DIODE 0.000207593 +3 *21823:A 3.37551e-05 +4 *540:5 0.000356893 +5 *4011:DIODE *2800:12 0.000138224 +6 *4011:DIODE *3224:15 6.73186e-05 +7 *21823:A *2815:5 2.16355e-05 +8 *540:5 *2536:8 0.000130408 +9 *540:5 *2800:12 0.000178903 +10 *3869:DIODE *4011:DIODE 0 +11 *3869:DIODE *540:5 0 +12 *412:5 *540:5 0 +*RES +1 la_iena_mprj[121] *540:5 3.61514 +2 *540:5 *21823:A 14.4725 +3 *540:5 *4011:DIODE 18.2442 +*END + +*D_NET *541 0.00170609 +*CONN +*P la_iena_mprj[122] I +*I *4012:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21824:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[122] 0.000287982 +2 *4012:DIODE 1.78551e-05 +3 *21824:A 0 +4 *541:8 0.000305838 +5 *4012:DIODE *2813:21 0.000169041 +6 *4012:DIODE *3224:15 0.000152878 +7 *541:8 *22858:A 0.000213544 +8 *541:8 *797:7 0 +9 *541:8 *2530:14 0.000100016 +10 *541:8 *2537:8 0.000129279 +11 *541:8 *2813:21 0.000164829 +12 *541:8 *3224:15 0.000164829 +*RES +1 la_iena_mprj[122] *541:8 13.685 +2 *541:8 *21824:A 9.24915 +3 *541:8 *4012:DIODE 11.0817 +*END + +*D_NET *542 0.00122027 +*CONN +*P la_iena_mprj[123] I +*I *21825:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4013:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[123] 0.000235422 +2 *21825:A 0 +3 *4013:DIODE 0.000156499 +4 *542:8 0.000391921 +5 *4013:DIODE *22859:A 5.8705e-05 +6 *4013:DIODE *3224:15 4.58003e-05 +7 *542:8 *798:7 0 +8 *542:8 *2815:5 3.82228e-05 +9 *3871:DIODE *4013:DIODE 0.000138224 +10 *3871:DIODE *542:8 2.95757e-05 +11 *21683:A *542:8 6.08467e-05 +12 *414:10 *542:8 6.50586e-05 +*RES +1 la_iena_mprj[123] *542:8 9.39314 +2 *542:8 *4013:DIODE 22.1896 +3 *542:8 *21825:A 9.24915 +*END + +*D_NET *543 0.00129051 +*CONN +*P la_iena_mprj[124] I +*I *4014:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21826:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[124] 0.000351131 +2 *4014:DIODE 7.63743e-05 +3 *21826:A 2.09358e-05 +4 *543:5 0.000448441 +5 *4014:DIODE *3711:DIODE 0 +6 *4014:DIODE *22860:A 0 +7 *4014:DIODE *1332:6 3.34802e-05 +8 *4014:DIODE *2681:8 3.34802e-05 +9 *21826:A *2813:29 7.48797e-05 +10 *21826:A *3047:20 0.000171288 +11 *543:5 *22860:A 2.17961e-05 +12 *543:5 *799:7 0 +13 *543:5 *2647:12 0 +14 *3872:DIODE *543:5 5.8705e-05 +15 *415:7 *543:5 0 +*RES +1 la_iena_mprj[124] *543:5 7.35241 +2 *543:5 *21826:A 15.5817 +3 *543:5 *4014:DIODE 15.9964 +*END + +*D_NET *544 0.00118106 +*CONN +*P la_iena_mprj[125] I +*I *21827:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4015:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[125] 0.000247007 +2 *21827:A 7.80827e-05 +3 *4015:DIODE 0 +4 *544:5 0.00032509 +5 *21827:A *2539:10 0 +6 *21827:A *2813:29 6.99486e-05 +7 *21827:A *2824:10 1.78398e-05 +8 *21827:A *3047:20 0.000167076 +9 *544:5 *800:7 0 +10 *544:5 *2541:8 0.000130358 +11 la_data_in_mprj[125] *544:5 0 +12 *3874:DIODE *21827:A 9.12416e-06 +13 *3874:DIODE *544:5 0.000136538 +14 *416:8 *544:5 0 +*RES +1 la_iena_mprj[125] *544:5 6.10665 +2 *544:5 *4015:DIODE 13.7491 +3 *544:5 *21827:A 16.9985 +*END + +*D_NET *545 0.00128037 +*CONN +*P la_iena_mprj[126] I +*I *4016:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21828:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[126] 0.000348099 +2 *4016:DIODE 6.92143e-05 +3 *21828:A 1.47608e-05 +4 *545:5 0.000432074 +5 *4016:DIODE *4158:DIODE 0 +6 *4016:DIODE *2542:8 0.000122098 +7 *21828:A *22862:A 6.08467e-05 +8 *21828:A *3047:20 6.08467e-05 +9 *545:5 *4158:DIODE 0 +10 *545:5 *4159:DIODE 0 +11 *545:5 *801:7 0 +12 *545:5 *2542:8 0.000172431 +13 *3875:DIODE *545:5 0 +14 *417:5 *545:5 0 +*RES +1 la_iena_mprj[126] *545:5 7.35241 +2 *545:5 *21828:A 14.4725 +3 *545:5 *4016:DIODE 15.9964 +*END + +*D_NET *546 0.00134335 +*CONN +*P la_iena_mprj[127] I +*I *4018:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21830:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[127] 0.000451445 +2 *4018:DIODE 3.85934e-05 +3 *21830:A 0 +4 *546:9 0.000490038 +5 *4018:DIODE *22863:A 0.000101148 +6 *4018:DIODE *2543:8 0.000130777 +7 *4018:DIODE *3047:10 0 +8 *546:9 *22863:A 0 +9 *546:9 *802:13 0 +10 *546:9 *2535:14 6.00837e-05 +11 *546:9 *2543:8 7.12662e-05 +12 *546:9 *3047:10 0 +*RES +1 la_iena_mprj[127] *546:9 9.37981 +2 *546:9 *21830:A 13.7491 +3 *546:9 *4018:DIODE 15.9964 +*END + +*D_NET *547 0.00196106 +*CONN +*P la_iena_mprj[12] I +*I *21831:A I *D sky130_fd_sc_hd__buf_2 +*I *4019:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[12] 0.000317943 +2 *21831:A 0 +3 *4019:DIODE 0.000161012 +4 *547:10 0.000478956 +5 *4019:DIODE *2544:10 0.000336155 +6 *4019:DIODE *2744:15 7.8406e-05 +7 *4019:DIODE *3342:11 6.50727e-05 +8 *547:10 *2544:9 0.000264586 +9 *547:10 *2638:24 3.31882e-05 +10 *547:10 *2689:16 3.95388e-05 +11 *547:10 *2819:15 3.29619e-05 +12 la_data_in_mprj[13] *4019:DIODE 0.00015324 +*RES +1 la_iena_mprj[12] *547:10 12.3443 +2 *547:10 *4019:DIODE 24.9571 +3 *547:10 *21831:A 9.24915 +*END + +*D_NET *548 0.0014557 +*CONN +*P la_iena_mprj[13] I +*I *21832:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4020:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[13] 0.000325857 +2 *21832:A 0 +3 *4020:DIODE 9.85263e-05 +4 *548:16 0.000424384 +5 *4020:DIODE *2819:16 0 +6 *548:16 *21975:A 6.08467e-05 +7 *548:16 *804:5 8.24277e-06 +8 *548:16 *2819:15 0.000213725 +9 *548:16 *2830:15 4.87301e-05 +10 la_data_in_mprj[14] *548:16 6.3657e-05 +11 *3878:DIODE *548:16 1.07248e-05 +12 *3879:DIODE *4020:DIODE 5.48661e-05 +13 *420:15 *548:16 0.000143326 +14 *421:5 *4020:DIODE 2.81678e-06 +*RES +1 la_iena_mprj[13] *548:16 13.9568 +2 *548:16 *4020:DIODE 20.9116 +3 *548:16 *21832:A 9.24915 +*END + +*D_NET *549 0.00125437 +*CONN +*P la_iena_mprj[14] I +*I *4021:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21833:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[14] 0.000347434 +2 *4021:DIODE 8.73974e-05 +3 *21833:A 0 +4 *549:8 0.000434832 +5 *549:8 *22866:A 0.000204562 +6 *549:8 *805:7 0 +7 *549:8 *2546:6 6.17339e-05 +8 *549:8 *2687:10 1.51779e-05 +9 *549:8 *2688:9 6.08467e-05 +10 *549:8 *3330:11 4.23858e-05 +11 *3879:DIODE *549:8 0 +*RES +1 la_iena_mprj[14] *549:8 13.685 +2 *549:8 *21833:A 9.24915 +3 *549:8 *4021:DIODE 11.0817 +*END + +*D_NET *550 0.00104052 +*CONN +*P la_iena_mprj[15] I +*I *4022:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21834:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[15] 0.000187356 +2 *4022:DIODE 0.000205385 +3 *21834:A 0 +4 *550:8 0.000392741 +5 *4022:DIODE *4165:DIODE 5.36397e-05 +6 *4022:DIODE *22867:A 0 +7 *4022:DIODE *806:5 8.85814e-05 +8 *4022:DIODE *2547:7 2.41483e-05 +9 *4022:DIODE *2830:16 0 +10 *550:8 *806:5 0 +11 *550:8 *2547:7 2.65831e-05 +12 *550:8 *2689:11 4.41664e-05 +13 *3880:DIODE *4022:DIODE 0 +14 *3880:DIODE *550:8 1.79196e-05 +15 *422:7 *550:8 0 +*RES +1 la_iena_mprj[15] *550:8 9.25379 +2 *550:8 *21834:A 9.24915 +3 *550:8 *4022:DIODE 24.5418 +*END + +*D_NET *551 0.00161911 +*CONN +*P la_iena_mprj[16] I +*I *4023:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21835:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[16] 0.0002416 +2 *4023:DIODE 0.000193754 +3 *21835:A 6.37855e-05 +4 *551:5 0.000499139 +5 *4023:DIODE *2547:8 4.70005e-05 +6 *4023:DIODE *2768:20 0 +7 *4023:DIODE *3342:11 0.000160617 +8 *21835:A *2690:7 2.65831e-05 +9 *21835:A *2832:10 6.23875e-05 +10 *551:5 *807:10 0 +11 *551:5 *2547:8 0.000105589 +12 *551:5 *2768:20 0 +13 *423:16 *551:5 0.000218653 +*RES +1 la_iena_mprj[16] *551:5 7.35241 +2 *551:5 *21835:A 15.5817 +3 *551:5 *4023:DIODE 17.829 +*END + +*D_NET *552 0.00107267 +*CONN +*P la_iena_mprj[17] I +*I *4024:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21836:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[17] 0.000363746 +2 *4024:DIODE 8.97438e-05 +3 *21836:A 0 +4 *552:8 0.00045349 +5 *4024:DIODE *2691:7 7.97944e-05 +6 *552:8 *4166:DIODE 0 +7 *552:8 *22869:A 8.62625e-06 +8 *552:8 *808:8 0 +9 *552:8 *2832:10 7.72722e-05 +10 *552:8 *2834:6 0 +11 *3882:DIODE *552:8 0 +12 *424:5 *552:8 0 +*RES +1 la_iena_mprj[17] *552:8 12.5758 +2 *552:8 *21836:A 9.24915 +3 *552:8 *4024:DIODE 12.191 +*END + +*D_NET *553 0.000876896 +*CONN +*P la_iena_mprj[18] I +*I *21837:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4025:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[18] 0.000212621 +2 *21837:A 5.44418e-05 +3 *4025:DIODE 0 +4 *553:5 0.000267063 +5 *21837:A *4168:DIODE 3.98327e-05 +6 *21837:A *22870:A 7.81379e-05 +7 *553:5 *4168:DIODE 7.15593e-05 +8 *553:5 *22870:A 0.00015324 +9 *553:5 *809:7 0 +10 *553:5 *2834:6 0 +11 *425:10 *553:5 0 +*RES +1 la_iena_mprj[18] *553:5 6.10665 +2 *553:5 *4025:DIODE 13.7491 +3 *553:5 *21837:A 15.8893 +*END + +*D_NET *554 0.00116693 +*CONN +*P la_iena_mprj[19] I +*I *4026:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21838:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[19] 0.00021659 +2 *4026:DIODE 4.71865e-05 +3 *21838:A 4.17153e-05 +4 *554:5 0.000305491 +5 *4026:DIODE *2552:10 3.54138e-05 +6 *4026:DIODE *2836:8 0.000127194 +7 *554:5 *2552:10 3.10886e-05 +8 *554:5 *2833:14 3.94365e-05 +9 *554:5 *2836:8 0.000153225 +10 la_data_in_mprj[19] *554:5 0 +11 *3883:DIODE *554:5 0.000127194 +12 *3885:DIODE *554:5 4.23937e-05 +13 *426:12 *554:5 0 +*RES +1 la_iena_mprj[19] *554:5 7.35241 +2 *554:5 *21838:A 14.4725 +3 *554:5 *4026:DIODE 15.9964 +*END + +*D_NET *555 0.00102508 +*CONN +*P la_iena_mprj[1] I +*I *4027:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21839:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[1] 0.000253642 +2 *4027:DIODE 6.42246e-05 +3 *21839:A 2.64456e-05 +4 *555:5 0.000344312 +5 *4027:DIODE *4170:DIODE 0 +6 *4027:DIODE *2553:6 0.000120052 +7 *555:5 *4170:DIODE 0 +8 *555:5 *811:7 0 +9 *555:5 *2553:6 0.000151741 +10 *3886:DIODE *21839:A 2.65831e-05 +11 *3886:DIODE *555:5 3.8079e-05 +12 *427:7 *555:5 0 +*RES +1 la_iena_mprj[1] *555:5 7.35241 +2 *555:5 *21839:A 14.4725 +3 *555:5 *4027:DIODE 15.9964 +*END + +*D_NET *556 0.000991751 +*CONN +*P la_iena_mprj[20] I +*I *4030:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21842:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[20] 0.000263009 +2 *4030:DIODE 6.45967e-05 +3 *21842:A 2.97789e-05 +4 *556:5 0.000357384 +5 *4030:DIODE *4171:DIODE 0 +6 *4030:DIODE *2554:6 5.36397e-05 +7 *4030:DIODE *2697:8 2.12377e-05 +8 *556:5 *4171:DIODE 0 +9 *556:5 *812:7 0 +10 *556:5 *2554:6 3.8079e-05 +11 *3887:DIODE *21842:A 1.43983e-05 +12 *3887:DIODE *556:5 0.000149628 +13 *428:8 *556:5 0 +*RES +1 la_iena_mprj[20] *556:5 7.35241 +2 *556:5 *21842:A 14.4725 +3 *556:5 *4030:DIODE 15.9964 +*END + +*D_NET *557 0.00107447 +*CONN +*P la_iena_mprj[21] I +*I *4031:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21843:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[21] 0.000283183 +2 *4031:DIODE 0.000127429 +3 *21843:A 0 +4 *557:11 0.000410612 +5 *557:11 *813:8 1.82832e-05 +6 *557:11 *2555:6 0.000234961 +7 *557:11 *2676:20 0 +8 la_data_in_mprj[22] *557:11 0 +9 *429:5 *557:11 0 +*RES +1 la_iena_mprj[21] *557:11 12.7562 +2 *557:11 *21843:A 9.24915 +3 *557:11 *4031:DIODE 12.191 +*END + +*D_NET *558 0.00144133 +*CONN +*P la_iena_mprj[22] I +*I *21844:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4032:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[22] 0.000221755 +2 *21844:A 0 +3 *4032:DIODE 0.00011097 +4 *558:8 0.000332725 +5 *4032:DIODE *4172:DIODE 0.000346333 +6 *4032:DIODE *2699:6 0.00015982 +7 *558:8 *814:5 0 +8 *558:8 *2699:6 2.22198e-05 +9 *3889:DIODE *558:8 2.95757e-05 +10 *430:10 *558:8 0.000217937 +*RES +1 la_iena_mprj[22] *558:8 10.5023 +2 *558:8 *4032:DIODE 24.2337 +3 *558:8 *21844:A 9.24915 +*END + +*D_NET *559 0.00111766 +*CONN +*P la_iena_mprj[23] I +*I *4033:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21845:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[23] 0.000290294 +2 *4033:DIODE 0.000108724 +3 *21845:A 0 +4 *559:11 0.000399018 +5 *4033:DIODE *2700:7 7.14652e-05 +6 *559:11 *815:8 1.82832e-05 +7 *559:11 *2556:8 0 +8 *559:11 *2557:6 0.000229879 +9 *431:5 *559:11 0 +*RES +1 la_iena_mprj[23] *559:11 12.7562 +2 *559:11 *21845:A 9.24915 +3 *559:11 *4033:DIODE 12.191 +*END + +*D_NET *560 0.0010751 +*CONN +*P la_iena_mprj[24] I +*I *4034:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21846:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[24] 0.000347221 +2 *4034:DIODE 8.9339e-05 +3 *21846:A 0 +4 *560:8 0.00043656 +5 *4034:DIODE *4176:DIODE 2.85274e-05 +6 *4034:DIODE *2701:7 7.97944e-05 +7 *560:8 *22877:A 9.36591e-05 +8 *560:8 *816:5 0 +9 *3891:DIODE *560:8 0 +10 *432:5 *560:8 0 +*RES +1 la_iena_mprj[24] *560:8 12.5758 +2 *560:8 *21846:A 9.24915 +3 *560:8 *4034:DIODE 12.191 +*END + +*D_NET *561 0.00126767 +*CONN +*P la_iena_mprj[25] I +*I *21847:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4035:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[25] 0.000272198 +2 *21847:A 6.05988e-05 +3 *4035:DIODE 8.1133e-05 +4 *561:12 0.00041393 +5 *4035:DIODE *21989:A 3.20069e-06 +6 *4035:DIODE *817:5 5.9708e-05 +7 *21847:A *2841:5 6.73351e-05 +8 *561:12 *817:5 7.56859e-06 +9 *561:12 *2558:10 0.000124942 +10 *561:12 *2559:8 1.07248e-05 +11 *561:12 *2841:5 0.000103139 +12 la_data_in_mprj[26] *4035:DIODE 6.31954e-05 +13 *433:5 *561:12 0 +*RES +1 la_iena_mprj[25] *561:12 11.9607 +2 *561:12 *4035:DIODE 20.9116 +3 *561:12 *21847:A 11.0817 +*END + +*D_NET *562 0.0016827 +*CONN +*P la_iena_mprj[26] I +*I *21848:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *4036:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[26] 0.000294049 +2 *21848:A 0 +3 *4036:DIODE 0.000256024 +4 *562:12 0.000550073 +5 *4036:DIODE *4178:DIODE 0.000128174 +6 *4036:DIODE *22880:A 0 +7 *4036:DIODE *818:5 6.36909e-05 +8 *4036:DIODE *2703:8 0 +9 *4036:DIODE *2845:6 7.86847e-05 +10 *562:12 *818:5 1.82696e-05 +11 *562:12 *2560:6 1.07248e-05 +12 *562:12 *2841:5 0.000134849 +13 la_data_in_mprj[27] *4036:DIODE 0.000148159 +14 *434:8 *562:12 0 +*RES +1 la_iena_mprj[26] *562:12 12.5153 +2 *562:12 *4036:DIODE 25.5117 +3 *562:12 *21848:A 9.24915 +*END + +*D_NET *563 0.00143706 +*CONN +*P la_iena_mprj[27] I +*I *4037:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21849:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[27] 0.000197163 +2 *4037:DIODE 0.000160185 +3 *21849:A 3.37551e-05 +4 *563:5 0.000391103 +5 *4037:DIODE *2703:8 3.9739e-05 +6 *4037:DIODE *2843:14 0.000144546 +7 *4037:DIODE *3255:11 4.69808e-06 +8 *21849:A *2844:9 2.16355e-05 +9 *563:5 *2703:8 5.34081e-05 +10 *563:5 *2843:14 0.000390826 +11 *435:5 *563:5 0 +*RES +1 la_iena_mprj[27] *563:5 6.93715 +2 *563:5 *21849:A 14.4725 +3 *563:5 *4037:DIODE 17.6896 +*END + +*D_NET *564 0.00162111 +*CONN +*P la_iena_mprj[28] I +*I *21850:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *4038:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[28] 0.000250803 +2 *21850:A 0 +3 *4038:DIODE 0.000236234 +4 *564:12 0.000487037 +5 *4038:DIODE *4180:DIODE 0.000100918 +6 *4038:DIODE *820:5 5.90904e-05 +7 *4038:DIODE *2705:8 0 +8 *564:12 *820:5 1.50262e-05 +9 *564:12 *2563:6 1.07248e-05 +10 *564:12 *2841:5 0.000108266 +11 *564:12 *2846:10 0.00013978 +12 la_data_in_mprj[29] *4038:DIODE 0.000148159 +13 *3896:DIODE *564:12 6.50727e-05 +14 *436:8 *564:12 0 +*RES +1 la_iena_mprj[28] *564:12 12.5153 +2 *564:12 *4038:DIODE 24.9571 +3 *564:12 *21850:A 9.24915 +*END + +*D_NET *565 0.00151672 +*CONN +*P la_iena_mprj[29] I +*I *4039:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21851:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[29] 0.000304413 +2 *4039:DIODE 0.000245698 +3 *21851:A 2.25025e-05 +4 *565:9 0.000572614 +5 *4039:DIODE *4181:DIODE 0 +6 *4039:DIODE *22882:A 0 +7 *4039:DIODE *2705:8 0 +8 *21851:A *2704:9 0.00011818 +9 *21851:A *2847:8 2.85274e-05 +10 *565:9 *4181:DIODE 0 +11 *565:9 *821:10 1.18938e-05 +12 *565:9 *2705:8 0 +13 *565:9 *2846:10 3.86857e-05 +14 *3897:DIODE *565:9 0.000174205 +15 *437:8 *565:9 0 +*RES +1 la_iena_mprj[29] *565:9 7.84094 +2 *565:9 *21851:A 15.0271 +3 *565:9 *4039:DIODE 18.2442 +*END + +*D_NET *566 0.000937752 +*CONN +*P la_iena_mprj[2] I +*I *4041:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21853:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[2] 0.000151896 +2 *4041:DIODE 0.000169502 +3 *21853:A 3.47481e-05 +4 *566:5 0.000356146 +5 *4041:DIODE *22883:A 3.9739e-05 +6 *4041:DIODE *2694:8 6.91078e-06 +7 *21853:A *2708:10 5.04829e-06 +8 *566:5 *22883:A 3.67528e-06 +9 *566:5 *822:9 0 +10 *3898:DIODE *4041:DIODE 5.19205e-05 +11 *438:8 *21853:A 0.000118166 +12 *438:8 *566:5 0 +*RES +1 la_iena_mprj[2] *566:5 3.61514 +2 *566:5 *21853:A 15.0271 +3 *566:5 *4041:DIODE 17.6896 +*END + +*D_NET *567 0.00127566 +*CONN +*P la_iena_mprj[30] I +*I *4042:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21854:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[30] 0.000303223 +2 *4042:DIODE 0.000179362 +3 *21854:A 1.59645e-05 +4 *567:5 0.00049855 +5 *4042:DIODE *4183:DIODE 0 +6 *4042:DIODE *2706:8 0.000122098 +7 *21854:A *2704:9 6.50727e-05 +8 *21854:A *2847:8 1.43983e-05 +9 *567:5 *4183:DIODE 0 +10 *567:5 *823:5 0 +11 *3899:DIODE *4042:DIODE 6.01944e-06 +12 *3899:DIODE *567:5 7.09685e-05 +13 *439:8 *567:5 0 +*RES +1 la_iena_mprj[30] *567:5 6.93715 +2 *567:5 *21854:A 14.4725 +3 *567:5 *4042:DIODE 17.6896 +*END + +*D_NET *568 0.00178987 +*CONN +*P la_iena_mprj[31] I +*I *4043:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21855:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[31] 0.000269337 +2 *4043:DIODE 0.000239574 +3 *21855:A 1.47608e-05 +4 *568:5 0.000523672 +5 *4043:DIODE *4185:DIODE 0.00011818 +6 *4043:DIODE *22884:A 0 +7 *4043:DIODE *2704:10 0.000165481 +8 *4043:DIODE *2710:15 1.65872e-05 +9 *21855:A *22885:A 6.08467e-05 +10 *21855:A *2709:7 6.08467e-05 +11 *568:5 *22884:A 0 +12 *568:5 *824:5 0 +13 *568:5 *2704:10 5.05252e-05 +14 *3900:DIODE *568:5 5.65074e-05 +15 *440:16 *568:5 0.000213556 +*RES +1 la_iena_mprj[31] *568:5 7.35241 +2 *568:5 *21855:A 14.4725 +3 *568:5 *4043:DIODE 20.3233 +*END + +*D_NET *569 0.0012863 +*CONN +*P la_iena_mprj[32] I +*I *4044:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21856:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[32] 0.000223099 +2 *4044:DIODE 6.39625e-05 +3 *21856:A 9.34923e-06 +4 *569:5 0.000296411 +5 *4044:DIODE *2568:6 8.62321e-06 +6 *4044:DIODE *2847:8 3.00073e-05 +7 *4044:DIODE *2850:16 0.000109859 +8 *21856:A *22886:A 6.50727e-05 +9 *21856:A *2709:7 6.50727e-05 +10 *569:5 *825:13 0 +11 *569:5 *2568:6 5.77061e-05 +12 *569:5 *2850:16 0.000357135 +*RES +1 la_iena_mprj[32] *569:5 7.35241 +2 *569:5 *21856:A 14.4725 +3 *569:5 *4044:DIODE 15.9964 +*END + +*D_NET *570 0.00106651 +*CONN +*P la_iena_mprj[33] I +*I *21857:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4045:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[33] 0.000315371 +2 *21857:A 3.19916e-05 +3 *4045:DIODE 6.91984e-05 +4 *570:5 0.000416561 +5 *4045:DIODE *4187:DIODE 0 +6 *4045:DIODE *22887:A 0.000113471 +7 *4045:DIODE *2712:8 3.00073e-05 +8 *21857:A *22887:A 0 +9 *570:5 *4187:DIODE 0 +10 *570:5 *22887:A 8.62625e-06 +11 *570:5 *826:13 0 +12 *570:5 *2569:8 8.12881e-05 +13 *3902:DIODE *570:5 0 +14 *442:8 *570:5 0 +*RES +1 la_iena_mprj[33] *570:5 7.35241 +2 *570:5 *4045:DIODE 15.9964 +3 *570:5 *21857:A 14.4819 +*END + +*D_NET *571 0.00235182 +*CONN +*P la_iena_mprj[34] I +*I *21858:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4046:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[34] 0.000383498 +2 *21858:A 0 +3 *4046:DIODE 0.000251631 +4 *571:16 0.000635129 +5 *4046:DIODE *22889:A 4.5726e-05 +6 *4046:DIODE *2846:19 0.000310908 +7 *4046:DIODE *2847:13 4.58003e-05 +8 *571:16 *826:13 0 +9 *571:16 *827:7 0.000182384 +10 *571:16 *2570:9 0.000354331 +11 *571:16 *2841:5 8.31378e-05 +12 *3904:DIODE *4046:DIODE 5.64582e-05 +13 *443:5 *571:16 0 +14 *444:5 *4046:DIODE 2.81678e-06 +*RES +1 la_iena_mprj[34] *571:16 16.1965 +2 *571:16 *4046:DIODE 25.5117 +3 *571:16 *21858:A 9.24915 +*END + +*D_NET *572 0.00143831 +*CONN +*P la_iena_mprj[35] I +*I *4047:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21859:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[35] 0.000300189 +2 *4047:DIODE 4.66985e-05 +3 *21859:A 0 +4 *572:11 0.000346888 +5 *4047:DIODE *2711:11 6.3657e-05 +6 *4047:DIODE *2714:10 7.36794e-05 +7 *4047:DIODE *2855:10 0.000266726 +8 *572:11 *828:8 1.69657e-06 +9 *572:11 *2571:6 0.000229879 +10 *572:11 *2713:8 0.000108892 +11 *444:5 *572:11 0 +*RES +1 la_iena_mprj[35] *572:11 12.7562 +2 *572:11 *21859:A 9.24915 +3 *572:11 *4047:DIODE 12.191 +*END + +*D_NET *573 0.00141719 +*CONN +*P la_iena_mprj[36] I +*I *4048:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21860:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[36] 0.000217333 +2 *4048:DIODE 0.000144135 +3 *21860:A 0 +4 *573:13 0.000361467 +5 *4048:DIODE *829:8 3.67708e-05 +6 *4048:DIODE *2572:6 5.66868e-06 +7 *4048:DIODE *2715:6 6.28598e-05 +8 *4048:DIODE *2855:10 0.000217937 +9 *573:13 *829:8 0.000201286 +10 *573:13 *2572:6 1.64943e-05 +11 *3905:DIODE *4048:DIODE 0.000144614 +12 *3905:DIODE *573:13 8.62625e-06 +13 *445:12 *573:13 0 +*RES +1 la_iena_mprj[36] *573:13 7.64553 +2 *573:13 *21860:A 13.7491 +3 *573:13 *4048:DIODE 19.2141 +*END + +*D_NET *574 0.00108834 +*CONN +*P la_iena_mprj[37] I +*I *4049:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21861:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[37] 0.000346283 +2 *4049:DIODE 7.11376e-05 +3 *21861:A 0 +4 *574:8 0.00041742 +5 *4049:DIODE *2574:11 5.56461e-05 +6 *4049:DIODE *2716:20 0.000171273 +7 *574:8 *4191:DIODE 0 +8 *574:8 *830:7 0 +9 *574:8 *2574:11 2.65831e-05 +10 *21719:A *574:8 0 +11 *446:5 *574:8 0 +*RES +1 la_iena_mprj[37] *574:8 12.5758 +2 *574:8 *21861:A 9.24915 +3 *574:8 *4049:DIODE 12.191 +*END + +*D_NET *575 0.00105559 +*CONN +*P la_iena_mprj[38] I +*I *4050:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21862:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[38] 0.000316275 +2 *4050:DIODE 8.06054e-05 +3 *21862:A 9.34923e-06 +4 *575:5 0.00040623 +5 *4050:DIODE *5410:DIODE 2.75427e-05 +6 *4050:DIODE *2717:11 2.12377e-05 +7 *4050:DIODE *2858:10 0 +8 *21862:A *2716:20 6.50727e-05 +9 *21862:A *3267:19 6.50727e-05 +10 *575:5 *5410:DIODE 3.67528e-06 +11 *575:5 *22891:A 0 +12 *575:5 *831:8 0 +13 *575:5 *2575:11 6.05327e-05 +14 *575:5 *2858:10 0 +15 *3908:DIODE *575:5 0 +16 *447:5 *575:5 0 +*RES +1 la_iena_mprj[38] *575:5 7.35241 +2 *575:5 *21862:A 14.4725 +3 *575:5 *4050:DIODE 15.9964 +*END + +*D_NET *576 0.00149524 +*CONN +*P la_iena_mprj[39] I +*I *4052:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21864:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[39] 0.00028038 +2 *4052:DIODE 2.93416e-05 +3 *21864:A 0 +4 *576:8 0.000309722 +5 *4052:DIODE *2576:17 0.000271044 +6 *4052:DIODE *2716:20 0.000254881 +7 *576:8 *22893:A 0.000101246 +8 *576:8 *832:8 0 +9 *576:8 *2576:17 6.50727e-05 +10 *576:8 *2716:20 6.50727e-05 +11 *576:8 *2859:13 0.000118485 +12 *21721:A *576:8 0 +13 *448:5 *576:8 0 +*RES +1 la_iena_mprj[39] *576:8 12.5758 +2 *576:8 *21864:A 9.24915 +3 *576:8 *4052:DIODE 12.191 +*END + +*D_NET *577 0.00127287 +*CONN +*P la_iena_mprj[3] I +*I *4053:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21865:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[3] 0.000355894 +2 *4053:DIODE 3.82483e-05 +3 *21865:A 0 +4 *577:8 0.000394142 +5 *4053:DIODE *2694:8 0.000103158 +6 *4053:DIODE *2849:12 0.000259159 +7 *577:8 *833:10 0 +8 *577:8 *2694:8 2.65831e-05 +9 *577:8 *2708:10 3.06113e-05 +10 *577:8 *2849:12 6.50727e-05 +11 *3910:DIODE *577:8 0 +12 *449:5 *577:8 0 +*RES +1 la_iena_mprj[3] *577:8 12.5758 +2 *577:8 *21865:A 9.24915 +3 *577:8 *4053:DIODE 12.191 +*END + +*D_NET *578 0.00111405 +*CONN +*P la_iena_mprj[40] I +*I *4054:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21866:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[40] 0.000325781 +2 *4054:DIODE 8.23714e-05 +3 *21866:A 9.34923e-06 +4 *578:5 0.000417502 +5 *4054:DIODE *4193:DIODE 0 +6 *4054:DIODE *22895:A 1.55462e-05 +7 *4054:DIODE *2719:13 7.50872e-05 +8 *21866:A *22895:A 6.50727e-05 +9 *21866:A *2576:17 6.50727e-05 +10 *578:5 *4193:DIODE 0 +11 *578:5 *22895:A 1.09738e-05 +12 *578:5 *834:11 0 +13 *578:5 *2578:6 0 +14 *578:5 *2860:12 4.7294e-05 +15 la_data_in_mprj[40] *578:5 0 +16 *450:8 *578:5 0 +*RES +1 la_iena_mprj[40] *578:5 7.35241 +2 *578:5 *21866:A 14.4725 +3 *578:5 *4054:DIODE 15.9964 +*END + +*D_NET *579 0.00203126 +*CONN +*P la_iena_mprj[41] I +*I *4055:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21867:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[41] 0.00037825 +2 *4055:DIODE 0.000259764 +3 *21867:A 0 +4 *579:12 0.000638014 +5 *4055:DIODE *4056:DIODE 0.000192267 +6 *4055:DIODE *4198:DIODE 3.25751e-05 +7 *4055:DIODE *2580:10 5.56461e-05 +8 *579:12 *4197:DIODE 8.10016e-06 +9 *579:12 *22009:A 0.00011818 +10 *579:12 *835:5 0 +11 *579:12 *2575:12 1.33709e-05 +12 *579:12 *2722:10 7.22498e-05 +13 *579:12 *2859:14 0.000110166 +14 *579:12 *2864:10 4.62974e-05 +15 la_data_in_mprj[41] *579:12 3.14978e-05 +16 *21725:A *4055:DIODE 6.98314e-05 +17 *21725:A *579:12 5.04829e-06 +*RES +1 la_iena_mprj[41] *579:12 14.741 +2 *579:12 *21867:A 9.24915 +3 *579:12 *4055:DIODE 26.0183 +*END + +*D_NET *580 0.00122374 +*CONN +*P la_iena_mprj[42] I +*I *4056:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21868:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[42] 0.000244875 +2 *4056:DIODE 0.00026825 +3 *21868:A 0 +4 *580:7 0.000513124 +5 *4056:DIODE *4198:DIODE 0 +6 *4056:DIODE *836:8 5.22654e-06 +7 *580:7 *836:8 0 +8 *3913:DIODE *4056:DIODE 0 +9 *3913:DIODE *580:7 0 +10 *4055:DIODE *4056:DIODE 0.000192267 +11 *452:5 *580:7 0 +*RES +1 la_iena_mprj[42] *580:7 4.90975 +2 *580:7 *21868:A 13.7491 +3 *580:7 *4056:DIODE 20.3233 +*END + +*D_NET *581 0.00121679 +*CONN +*P la_iena_mprj[43] I +*I *4057:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21869:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[43] 0.000289418 +2 *4057:DIODE 0.00011885 +3 *21869:A 0 +4 *581:11 0.000408268 +5 *4057:DIODE *2724:7 6.73351e-05 +6 *581:11 *22898:A 0.00010126 +7 *581:11 *837:12 1.77537e-06 +8 *581:11 *2581:6 0.000229879 +9 *581:11 *2723:14 0 +10 *453:5 *581:11 0 +*RES +1 la_iena_mprj[43] *581:11 12.7562 +2 *581:11 *21869:A 9.24915 +3 *581:11 *4057:DIODE 12.191 +*END + +*D_NET *582 0.00100111 +*CONN +*P la_iena_mprj[44] I +*I *21870:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4058:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[44] 0.000179979 +2 *21870:A 5.0313e-05 +3 *4058:DIODE 0 +4 *582:5 0.000230292 +5 *21870:A *2724:7 2.16355e-05 +6 *21870:A *2866:6 3.8079e-05 +7 *21870:A *2867:8 8.52652e-05 +8 *582:5 *838:8 0 +9 *582:5 *2866:6 7.41203e-05 +10 *582:5 *2867:8 0.000151741 +11 *3915:DIODE *582:5 5.68225e-06 +12 *454:16 *582:5 0.000164001 +*RES +1 la_iena_mprj[44] *582:5 6.10665 +2 *582:5 *4058:DIODE 13.7491 +3 *582:5 *21870:A 15.8893 +*END + +*D_NET *583 0.000934256 +*CONN +*P la_iena_mprj[45] I +*I *4059:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21871:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[45] 0.000137637 +2 *4059:DIODE 0.000172954 +3 *21871:A 3.59711e-05 +4 *583:5 0.000346562 +5 *4059:DIODE *2725:10 0.000114594 +6 *4059:DIODE *2726:6 3.54718e-05 +7 *583:5 *839:8 0 +8 *583:5 *2583:18 7.50872e-05 +9 *583:5 *2726:6 1.59782e-05 +10 *3916:DIODE *4059:DIODE 0 +11 *3916:DIODE *583:5 0 +12 *455:5 *583:5 0 +*RES +1 la_iena_mprj[45] *583:5 3.61514 +2 *583:5 *21871:A 14.4725 +3 *583:5 *4059:DIODE 17.6896 +*END + +*D_NET *584 0.00120263 +*CONN +*P la_iena_mprj[46] I +*I *4060:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21872:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[46] 0.000296268 +2 *4060:DIODE 9.34491e-05 +3 *21872:A 0 +4 *584:8 0.000389717 +5 *4060:DIODE *2725:10 1.92172e-05 +6 *4060:DIODE *2727:12 0.000171273 +7 *584:8 *22901:A 0 +8 *584:8 *840:10 0 +9 *584:8 *2583:18 0 +10 *584:8 *2586:11 9.60366e-05 +11 *584:8 *2725:10 5.02644e-05 +12 *584:8 *2853:20 8.64012e-05 +*RES +1 la_iena_mprj[46] *584:8 12.5758 +2 *584:8 *21872:A 9.24915 +3 *584:8 *4060:DIODE 12.191 +*END + +*D_NET *585 0.00182596 +*CONN +*P la_iena_mprj[47] I +*I *4061:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21873:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[47] 0.000226386 +2 *4061:DIODE 0.000170854 +3 *21873:A 7.23116e-05 +4 *585:5 0.000469551 +5 *4061:DIODE *5420:DIODE 0 +6 *4061:DIODE *2725:14 0.000164829 +7 *4061:DIODE *2871:16 0.000123582 +8 *21873:A *2727:12 0.000217937 +9 *585:5 *5420:DIODE 0 +10 *585:5 *22902:A 0 +11 *585:5 *841:8 0 +12 *585:5 *2587:14 7.50872e-05 +13 *585:5 *2871:16 0.00022117 +14 *3920:DIODE *585:5 8.42523e-05 +15 *457:7 *585:5 0 +*RES +1 la_iena_mprj[47] *585:5 7.35241 +2 *585:5 *21873:A 16.1364 +3 *585:5 *4061:DIODE 17.829 +*END + +*D_NET *586 0.00142146 +*CONN +*P la_iena_mprj[48] I +*I *4063:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21875:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[48] 0.000261229 +2 *4063:DIODE 0.000167658 +3 *21875:A 4.98372e-05 +4 *586:5 0.000478724 +5 *4063:DIODE *23158:A 9.60216e-05 +6 *4063:DIODE *2725:14 0.000107496 +7 *4063:DIODE *2727:12 5.96936e-05 +8 *4063:DIODE *2870:12 2.97152e-05 +9 *21875:A *23158:A 2.65831e-05 +10 *586:5 *842:8 0 +11 *586:5 *2870:12 9.28816e-05 +12 *3921:DIODE *4063:DIODE 2.53624e-06 +13 *3921:DIODE *586:5 4.90829e-05 +14 *458:7 *586:5 0 +*RES +1 la_iena_mprj[48] *586:5 6.5219 +2 *586:5 *21875:A 15.0513 +3 *586:5 *4063:DIODE 18.1049 +*END + +*D_NET *587 0.00137868 +*CONN +*P la_iena_mprj[49] I +*I *21876:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4064:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[49] 0.000306605 +2 *21876:A 6.59339e-05 +3 *4064:DIODE 3.75177e-05 +4 *587:8 0.000410056 +5 *4064:DIODE *4205:DIODE 0.000127194 +6 *21876:A *2591:14 4.0752e-05 +7 *21876:A *2875:10 7.34948e-06 +8 *587:8 *4205:DIODE 6.08467e-05 +9 *587:8 *22904:A 3.31882e-05 +10 *587:8 *843:8 6.08467e-05 +11 *587:8 *2589:18 7.56859e-06 +12 *587:8 *2591:14 2.41483e-05 +13 *587:8 *2869:16 0 +14 *587:8 *2869:20 0 +15 la_data_in_mprj[50] *4064:DIODE 0.000127194 +16 *3922:DIODE *587:8 2.36813e-05 +17 *459:10 *587:8 4.58003e-05 +*RES +1 la_iena_mprj[49] *587:8 12.5814 +2 *587:8 *4064:DIODE 20.4964 +3 *587:8 *21876:A 11.1059 +*END + +*D_NET *588 0.0018686 +*CONN +*P la_iena_mprj[4] I +*I *21877:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4065:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[4] 0.000361457 +2 *21877:A 0 +3 *4065:DIODE 0.000149636 +4 *588:20 0.000511093 +5 *4065:DIODE *4207:DIODE 0.000101148 +6 *4065:DIODE *2590:15 8.19079e-05 +7 *4065:DIODE *2720:8 2.82537e-05 +8 *588:20 *844:11 0.00019267 +9 *588:20 *2590:15 0.000111708 +10 *588:20 *2837:14 0.000139598 +11 la_data_in_mprj[5] *4065:DIODE 0.000149628 +12 *3935:DIODE *4065:DIODE 4.15008e-05 +*RES +1 la_iena_mprj[4] *588:20 15.9983 +2 *588:20 *4065:DIODE 24.2337 +3 *588:20 *21877:A 9.24915 +*END + +*D_NET *589 0.00160002 +*CONN +*P la_iena_mprj[50] I +*I *4066:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21878:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[50] 0.000366938 +2 *4066:DIODE 0.000203408 +3 *21878:A 2.09358e-05 +4 *589:5 0.000591281 +5 *4066:DIODE *4208:DIODE 0 +6 *4066:DIODE *2725:14 0.000171288 +7 *4066:DIODE *2875:10 0 +8 *21878:A *2730:8 0.000171288 +9 *21878:A *3280:7 7.48797e-05 +10 *589:5 *4208:DIODE 0 +11 *589:5 *845:8 0 +12 la_data_in_mprj[50] *589:5 0 +13 la_data_in_mprj[51] *589:5 0 +14 *3924:DIODE *589:5 0 +15 *461:8 *589:5 0 +*RES +1 la_iena_mprj[50] *589:5 7.35241 +2 *589:5 *21878:A 15.5817 +3 *589:5 *4066:DIODE 17.829 +*END + +*D_NET *590 0.00117944 +*CONN +*P la_iena_mprj[51] I +*I *4067:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21879:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[51] 0.000268049 +2 *4067:DIODE 6.583e-05 +3 *21879:A 1.47608e-05 +4 *590:5 0.00034864 +5 *4067:DIODE *4208:DIODE 0 +6 *4067:DIODE *5425:DIODE 2.22923e-05 +7 *4067:DIODE *2592:15 0.000116986 +8 *21879:A *2730:8 6.08467e-05 +9 *21879:A *3283:9 6.08467e-05 +10 *590:5 *4208:DIODE 0 +11 *590:5 *846:8 0 +12 *590:5 *2592:15 0.000221185 +13 *462:8 *590:5 0 +*RES +1 la_iena_mprj[51] *590:5 7.35241 +2 *590:5 *21879:A 14.4725 +3 *590:5 *4067:DIODE 15.9964 +*END + +*D_NET *591 0.00162206 +*CONN +*P la_iena_mprj[52] I +*I *21880:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4068:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[52] 0.000288222 +2 *21880:A 2.76461e-05 +3 *4068:DIODE 0.000143315 +4 *591:12 0.000459183 +5 *4068:DIODE *4210:DIODE 9.2346e-06 +6 *4068:DIODE *847:5 8.79328e-05 +7 *4068:DIODE *2731:8 0.000325947 +8 *4068:DIODE *2877:15 9.96342e-05 +9 *21880:A *2731:8 1.92172e-05 +10 *591:12 *847:5 1.7182e-05 +11 *591:12 *2593:11 1.07248e-05 +12 *591:12 *2731:8 0.000115632 +13 *463:9 *591:12 1.81891e-05 +*RES +1 la_iena_mprj[52] *591:12 11.9607 +2 *591:12 *4068:DIODE 24.2337 +3 *591:12 *21880:A 9.97254 +*END + +*D_NET *592 0.00194483 +*CONN +*P la_iena_mprj[53] I +*I *4069:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21881:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[53] 0.000180491 +2 *4069:DIODE 0.000202875 +3 *21881:A 0.000270165 +4 *592:5 0.00065353 +5 *4069:DIODE *22909:A 0.000123582 +6 *4069:DIODE *3285:10 0.000217937 +7 *21881:A *4211:DIODE 6.50586e-05 +8 *21881:A *848:8 6.50727e-05 +9 *21881:A *2736:7 2.16355e-05 +10 *592:5 *22909:A 3.04407e-05 +11 *592:5 *848:8 0 +12 *592:5 *2594:20 3.91685e-05 +13 la_data_in_mprj[53] *21881:A 7.48797e-05 +14 *3927:DIODE *4069:DIODE 0 +15 *3927:DIODE *592:5 0 +16 *464:5 *592:5 0 +*RES +1 la_iena_mprj[53] *592:5 4.03039 +2 *592:5 *21881:A 20.7661 +3 *592:5 *4069:DIODE 18.3836 +*END + +*D_NET *593 0.0014387 +*CONN +*P la_iena_mprj[54] I +*I *4070:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21882:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[54] 0.000284171 +2 *4070:DIODE 0.000137724 +3 *21882:A 5.49548e-05 +4 *593:5 0.00047685 +5 *4070:DIODE *22910:A 0.000127164 +6 *4070:DIODE *2595:14 5.68225e-06 +7 *4070:DIODE *2730:11 4.31703e-05 +8 *21882:A *3285:10 0.00011818 +9 *593:5 *849:8 0 +10 *593:5 *2595:14 5.3518e-05 +11 *3928:DIODE *4070:DIODE 6.63327e-05 +12 *3928:DIODE *593:5 7.0954e-05 +13 *465:7 *593:5 0 +*RES +1 la_iena_mprj[54] *593:5 6.93715 +2 *593:5 *21882:A 15.6059 +3 *593:5 *4070:DIODE 17.6896 +*END + +*D_NET *594 0.00236435 +*CONN +*P la_iena_mprj[55] I +*I *4071:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21883:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[55] 0.000437795 +2 *4071:DIODE 0.000238819 +3 *21883:A 0 +4 *594:22 0.000676614 +5 *4071:DIODE *4072:DIODE 0.00016553 +6 *4071:DIODE *595:7 1.05272e-06 +7 *4071:DIODE *2598:8 8.91956e-05 +8 *4071:DIODE *2738:8 0 +9 *594:22 *22911:A 6.50586e-05 +10 *594:22 *850:7 0.000142696 +11 *594:22 *2597:17 8.05972e-06 +12 *594:22 *2738:8 2.01334e-05 +13 *594:22 *2880:20 0.000119324 +14 la_data_in_mprj[55] *594:22 3.50943e-05 +15 *3931:DIODE *4071:DIODE 0.000298025 +16 *21743:A *4071:DIODE 4.94594e-05 +17 *21743:A *594:22 1.74948e-05 +18 *466:8 *594:22 0 +*RES +1 la_iena_mprj[55] *594:22 17.2469 +2 *594:22 *21883:A 9.24915 +3 *594:22 *4071:DIODE 26.1013 +*END + +*D_NET *595 0.0013716 +*CONN +*P la_iena_mprj[56] I +*I *4072:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21884:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[56] 0.000206775 +2 *4072:DIODE 0.000388379 +3 *21884:A 0 +4 *595:7 0.000595154 +5 *4072:DIODE *2598:8 1.47102e-05 +6 *4072:DIODE *2881:11 0 +7 *595:7 *851:13 0 +8 *3931:DIODE *595:7 0 +9 *4071:DIODE *4072:DIODE 0.00016553 +10 *4071:DIODE *595:7 1.05272e-06 +11 *467:5 *595:7 0 +*RES +1 la_iena_mprj[56] *595:7 4.34793 +2 *595:7 *21884:A 13.7491 +3 *595:7 *4072:DIODE 20.4627 +*END + +*D_NET *596 0.000913129 +*CONN +*P la_iena_mprj[57] I +*I *4074:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21886:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[57] 0.000174643 +2 *4074:DIODE 0.000196728 +3 *21886:A 3.57807e-05 +4 *596:5 0.000407152 +5 *4074:DIODE *4215:DIODE 0 +6 *4074:DIODE *22913:A 3.17829e-05 +7 *4074:DIODE *2742:8 2.99929e-05 +8 *596:5 *22913:A 1.05272e-06 +9 *596:5 *852:5 0 +10 *596:5 *2599:18 1.91391e-05 +11 *596:5 *2741:11 1.68577e-05 +12 *3932:DIODE *596:5 0 +13 *468:5 *596:5 0 +*RES +1 la_iena_mprj[57] *596:5 3.61514 +2 *596:5 *21886:A 14.4725 +3 *596:5 *4074:DIODE 17.6896 +*END + +*D_NET *597 0.00062368 +*CONN +*P la_iena_mprj[58] I +*I *4075:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21887:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[58] 0.000185517 +2 *4075:DIODE 9.03831e-05 +3 *21887:A 0 +4 *597:5 0.0002759 +5 *4075:DIODE *22914:A 0 +6 *597:5 *853:5 0 +7 *3933:DIODE *4075:DIODE 6.66538e-05 +8 *3933:DIODE *597:5 5.22654e-06 +9 *469:10 *4075:DIODE 0 +10 *469:10 *597:5 0 +*RES +1 la_iena_mprj[58] *597:5 3.61514 +2 *597:5 *21887:A 13.7491 +3 *597:5 *4075:DIODE 16.4116 +*END + +*D_NET *598 0.00120288 +*CONN +*P la_iena_mprj[59] I +*I *4076:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21888:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[59] 0.000303541 +2 *4076:DIODE 7.85881e-05 +3 *21888:A 0 +4 *598:9 0.00038213 +5 *4076:DIODE *5433:DIODE 0 +6 *4076:DIODE *22915:A 0 +7 *4076:DIODE *2741:12 0.000125695 +8 *598:9 *22915:A 0 +9 *598:9 *854:7 0 +10 *598:9 *2601:20 1.91391e-05 +11 *598:9 *2741:12 0.000238974 +12 *3934:DIODE *598:9 5.48141e-05 +13 *470:7 *598:9 0 +*RES +1 la_iena_mprj[59] *598:9 8.25619 +2 *598:9 *21888:A 13.7491 +3 *598:9 *4076:DIODE 15.9964 +*END + +*D_NET *599 0.000856107 +*CONN +*P la_iena_mprj[5] I +*I *4077:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21889:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[5] 0.00014596 +2 *4077:DIODE 0.000206501 +3 *21889:A 0 +4 *599:5 0.000352461 +5 *4077:DIODE *855:5 0 +6 *4077:DIODE *2602:6 1.79672e-05 +7 *4077:DIODE *2744:8 3.67528e-06 +8 *4077:DIODE *2874:11 7.48797e-05 +9 *599:5 *2744:8 5.46627e-05 +10 *3935:DIODE *4077:DIODE 0 +11 *3935:DIODE *599:5 0 +12 *471:5 *599:5 0 +*RES +1 la_iena_mprj[5] *599:5 3.61514 +2 *599:5 *21889:A 13.7491 +3 *599:5 *4077:DIODE 18.2442 +*END + +*D_NET *600 0.000867311 +*CONN +*P la_iena_mprj[60] I +*I *21890:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4078:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[60] 0.000249598 +2 *21890:A 6.79433e-05 +3 *4078:DIODE 0 +4 *600:5 0.000317542 +5 *21890:A *2603:11 7.81379e-05 +6 *600:5 *856:13 0 +7 *600:5 *2603:11 0.00015409 +8 *3936:DIODE *21890:A 0 +9 *3936:DIODE *600:5 0 +10 *472:8 *600:5 0 +*RES +1 la_iena_mprj[60] *600:5 6.10665 +2 *600:5 *4078:DIODE 13.7491 +3 *600:5 *21890:A 15.8893 +*END + +*D_NET *601 0.00091424 +*CONN +*P la_iena_mprj[61] I +*I *4079:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21891:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[61] 0.000148948 +2 *4079:DIODE 0.00017865 +3 *21891:A 3.59711e-05 +4 *601:5 0.00036357 +5 *4079:DIODE *4221:DIODE 0 +6 *4079:DIODE *2745:8 6.7365e-06 +7 *601:5 *857:7 0 +8 *601:5 *2604:18 0.000105504 +9 *3937:DIODE *4079:DIODE 6.51496e-05 +10 *3937:DIODE *601:5 9.7112e-06 +11 *473:10 *601:5 0 +*RES +1 la_iena_mprj[61] *601:5 3.61514 +2 *601:5 *21891:A 14.4725 +3 *601:5 *4079:DIODE 17.6896 +*END + +*D_NET *602 0.00107197 +*CONN +*P la_iena_mprj[62] I +*I *4080:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21892:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[62] 0.00031432 +2 *4080:DIODE 0.000115721 +3 *21892:A 0 +4 *602:11 0.000430042 +5 *4080:DIODE *2747:8 6.73186e-05 +6 *602:11 *4222:DIODE 0 +7 *602:11 *22919:A 0 +8 *602:11 *22920:A 0 +9 *602:11 *858:10 2.84513e-05 +10 *602:11 *2605:20 7.46124e-05 +11 *602:11 *2746:8 4.15008e-05 +12 *602:11 *2888:13 0 +13 *3938:DIODE *602:11 0 +14 *474:5 *602:11 0 +*RES +1 la_iena_mprj[62] *602:11 12.7562 +2 *602:11 *21892:A 9.24915 +3 *602:11 *4080:DIODE 12.191 +*END + +*D_NET *603 0.000861609 +*CONN +*P la_iena_mprj[63] I +*I *4081:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21893:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[63] 0.000166977 +2 *4081:DIODE 0.00020385 +3 *21893:A 3.57807e-05 +4 *603:5 0.000406607 +5 *4081:DIODE *4223:DIODE 0 +6 *4081:DIODE *2747:8 3.28898e-06 +7 *603:5 *859:7 0 +8 *603:5 *2606:29 4.51062e-05 +9 *3939:DIODE *4081:DIODE 0 +10 *3939:DIODE *603:5 0 +11 *475:5 *603:5 0 +*RES +1 la_iena_mprj[63] *603:5 3.61514 +2 *603:5 *21893:A 14.4725 +3 *603:5 *4081:DIODE 17.6896 +*END + +*D_NET *604 0.00141589 +*CONN +*P la_iena_mprj[64] I +*I *21894:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4082:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[64] 0.000344782 +2 *21894:A 0 +3 *4082:DIODE 0.000179588 +4 *604:8 0.00052437 +5 *4082:DIODE *23179:A 0.000164829 +6 *4082:DIODE *2749:12 6.9815e-05 +7 *604:8 *22921:A 9.51825e-05 +8 *604:8 *860:7 0 +9 *604:8 *2608:18 3.73224e-05 +10 *604:8 *2748:8 0 +11 *3941:DIODE *604:8 0 +12 *476:5 *604:8 0 +*RES +1 la_iena_mprj[64] *604:8 12.5758 +2 *604:8 *4082:DIODE 14.4335 +3 *604:8 *21894:A 9.24915 +*END + +*D_NET *605 0.000903353 +*CONN +*P la_iena_mprj[65] I +*I *4083:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21895:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[65] 0.000149529 +2 *4083:DIODE 0.000177767 +3 *21895:A 3.57807e-05 +4 *605:5 0.000363076 +5 *4083:DIODE *4225:DIODE 0 +6 *4083:DIODE *2749:12 1.21461e-06 +7 *4083:DIODE *3301:7 6.48675e-06 +8 *605:5 *861:8 0 +9 *605:5 *2609:11 9.46387e-05 +10 *3942:DIODE *4083:DIODE 6.51496e-05 +11 *3942:DIODE *605:5 9.7112e-06 +12 *477:10 *605:5 0 +*RES +1 la_iena_mprj[65] *605:5 3.61514 +2 *605:5 *21895:A 14.4725 +3 *605:5 *4083:DIODE 17.6896 +*END + +*D_NET *606 0.00132869 +*CONN +*P la_iena_mprj[66] I +*I *21897:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4085:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[66] 0.000315974 +2 *21897:A 2.02438e-05 +3 *4085:DIODE 8.84555e-05 +4 *606:8 0.000424673 +5 *4085:DIODE *2750:16 0.000130777 +6 *21897:A *2750:16 4.80635e-06 +7 *606:8 *4226:DIODE 0 +8 *606:8 *2610:22 9.28861e-05 +9 *606:8 *2752:10 8.92739e-06 +10 la_data_in_mprj[66] *4085:DIODE 2.16355e-05 +11 la_data_in_mprj[66] *606:8 7.78688e-05 +12 *3943:DIODE *4085:DIODE 3.07208e-05 +13 *21755:A *606:8 0.000111722 +14 *478:5 *606:8 0 +*RES +1 la_iena_mprj[66] *606:8 12.0268 +2 *606:8 *4085:DIODE 21.2198 +3 *606:8 *21897:A 9.82786 +*END + +*D_NET *607 0.00149819 +*CONN +*P la_iena_mprj[67] I +*I *4086:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21898:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[67] 0.00021982 +2 *4086:DIODE 0.000278655 +3 *21898:A 0 +4 *607:7 0.000498475 +5 *4086:DIODE *4227:DIODE 2.2022e-05 +6 *4086:DIODE *5442:DIODE 0.000114086 +7 *4086:DIODE *23182:A 8.98279e-05 +8 *4086:DIODE *863:7 3.5534e-06 +9 *4086:DIODE *1683:5 0.000107496 +10 *4086:DIODE *2753:9 6.78128e-05 +11 *607:7 *2611:21 9.28861e-05 +12 *3944:DIODE *4086:DIODE 0 +13 *3944:DIODE *607:7 3.5534e-06 +14 *479:7 *607:7 0 +*RES +1 la_iena_mprj[67] *607:7 4.90975 +2 *607:7 *21898:A 13.7491 +3 *607:7 *4086:DIODE 21.0117 +*END + +*D_NET *608 0.00129899 +*CONN +*P la_iena_mprj[68] I +*I *4087:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21899:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[68] 0.000405566 +2 *4087:DIODE 2.31358e-05 +3 *21899:A 0 +4 *608:8 0.000428702 +5 *4087:DIODE *3303:7 0.000120326 +6 *4087:DIODE *3304:11 0.000251959 +7 *608:8 *5443:DIODE 0 +8 *608:8 *22925:A 0 +9 *608:8 *2124:9 0 +10 *608:8 *2893:19 1.76999e-05 +11 *608:8 *3303:7 2.57986e-05 +12 *608:8 *3304:11 2.57986e-05 +13 *3945:DIODE *608:8 0 +*RES +1 la_iena_mprj[68] *608:8 12.5758 +2 *608:8 *21899:A 9.24915 +3 *608:8 *4087:DIODE 12.191 +*END + +*D_NET *609 0.00167558 +*CONN +*P la_iena_mprj[69] I +*I *21900:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4088:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[69] 0.000389732 +2 *21900:A 3.60125e-05 +3 *4088:DIODE 0.000102379 +4 *609:16 0.000528124 +5 *4088:DIODE *4230:DIODE 3.2752e-05 +6 *21900:A *2755:8 2.41274e-06 +7 *609:16 *4230:DIODE 5.31074e-05 +8 *609:16 *865:8 9.54792e-05 +9 *609:16 *2111:16 2.82985e-05 +10 *609:16 *2613:20 0.000160617 +11 *609:16 *2755:8 4.34798e-05 +12 la_data_in_mprj[70] *21900:A 0.000102003 +13 la_data_in_mprj[70] *609:16 6.50727e-05 +14 *3946:DIODE *609:16 3.5534e-06 +15 *3948:DIODE *4088:DIODE 3.04394e-05 +16 *483:7 *4088:DIODE 2.1203e-06 +*RES +1 la_iena_mprj[69] *609:16 13.964 +2 *609:16 *4088:DIODE 20.9116 +3 *609:16 *21900:A 10.5271 +*END + +*D_NET *610 0.00112577 +*CONN +*P la_iena_mprj[6] I +*I *4089:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21901:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[6] 0.00030933 +2 *4089:DIODE 6.78706e-05 +3 *21901:A 0 +4 *610:8 0.000377201 +5 *4089:DIODE *2756:10 6.73186e-05 +6 *4089:DIODE *2874:11 0.000137225 +7 *610:8 *22927:A 0 +8 *610:8 *866:10 0 +9 *610:8 *2708:17 0 +10 *610:8 *2861:12 0.000101757 +11 *610:8 *2874:11 6.50727e-05 +*RES +1 la_iena_mprj[6] *610:8 12.5758 +2 *610:8 *21901:A 9.24915 +3 *610:8 *4089:DIODE 12.191 +*END + +*D_NET *611 0.00161862 +*CONN +*P la_iena_mprj[70] I +*I *4090:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21902:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[70] 0.000284312 +2 *4090:DIODE 3.02768e-05 +3 *21902:A 0 +4 *611:8 0.000314588 +5 *4090:DIODE *23185:A 0.000164843 +6 *4090:DIODE *2757:10 4.82966e-05 +7 *4090:DIODE *3308:7 0.000256861 +8 *611:8 *4230:DIODE 0 +9 *611:8 *22928:A 0.000215759 +10 *611:8 *23185:A 6.08467e-05 +11 *611:8 *2615:21 0.000125549 +12 *611:8 *2896:17 2.17145e-05 +13 *611:8 *3308:7 6.08467e-05 +14 *3948:DIODE *611:8 3.47214e-05 +15 *483:7 *611:8 0 +*RES +1 la_iena_mprj[70] *611:8 12.5758 +2 *611:8 *21902:A 9.24915 +3 *611:8 *4090:DIODE 12.191 +*END + +*D_NET *612 0.00222446 +*CONN +*P la_iena_mprj[71] I +*I *4091:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21903:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[71] 0.000446587 +2 *4091:DIODE 0.000226517 +3 *21903:A 0 +4 *612:18 0.000673103 +5 *4091:DIODE *4092:DIODE 3.04807e-05 +6 *4091:DIODE *22930:A 0.000240983 +7 *4091:DIODE *613:14 2.41483e-05 +8 *4091:DIODE *2616:13 0.000113397 +9 *612:18 *4233:DIODE 0 +10 *612:18 *22045:A 0.00011818 +11 *612:18 *22929:A 1.61631e-05 +12 *612:18 *868:5 0 +13 *612:18 *2616:13 0.000137852 +14 la_data_in_mprj[71] *612:18 6.78549e-05 +15 la_data_in_mprj[72] *4091:DIODE 7.82454e-06 +16 *3949:DIODE *612:18 3.20069e-06 +17 *484:8 *612:18 0 +18 *485:10 *4091:DIODE 0.000102003 +19 *485:10 *612:18 1.61631e-05 +*RES +1 la_iena_mprj[71] *612:18 16.065 +2 *612:18 *21903:A 9.24915 +3 *612:18 *4091:DIODE 25.8442 +*END + +*D_NET *613 0.00189836 +*CONN +*P la_iena_mprj[72] I +*I *4092:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21904:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[72] 0.000279163 +2 *4092:DIODE 0.000243815 +3 *21904:A 0 +4 *613:14 0.000522977 +5 *4092:DIODE *5448:DIODE 2.1558e-06 +6 *4092:DIODE *22930:A 8.90058e-06 +7 *4092:DIODE *23187:A 0.000122098 +8 *4092:DIODE *869:12 5.31074e-05 +9 *4092:DIODE *1990:28 1.27046e-05 +10 *4092:DIODE *2759:8 0.000317012 +11 *613:14 *22930:A 6.92705e-05 +12 *613:14 *869:12 9.23513e-05 +13 *613:14 *2617:20 1.33977e-05 +14 la_data_in_mprj[72] *613:14 0.000104625 +15 *3950:DIODE *4092:DIODE 0 +16 *3950:DIODE *613:14 2.1558e-06 +17 *4091:DIODE *4092:DIODE 3.04807e-05 +18 *4091:DIODE *613:14 2.41483e-05 +19 *485:10 *613:14 0 +*RES +1 la_iena_mprj[72] *613:14 11.5843 +2 *613:14 *21904:A 9.24915 +3 *613:14 *4092:DIODE 25.6805 +*END + +*D_NET *614 0.00219534 +*CONN +*P la_iena_mprj[73] I +*I *4093:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21905:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[73] 0.000356005 +2 *4093:DIODE 0.000232163 +3 *21905:A 3.64428e-05 +4 *614:8 0.00062461 +5 *4093:DIODE *4235:DIODE 0 +6 *4093:DIODE *22932:A 0 +7 *4093:DIODE *2761:11 9.29687e-05 +8 *614:8 *4235:DIODE 2.65667e-05 +9 *614:8 *22931:A 5.18929e-05 +10 *614:8 *870:8 2.65831e-05 +11 *614:8 *2103:13 3.22211e-05 +12 *614:8 *2619:19 0.000100407 +13 *614:8 *2760:11 0.000473457 +14 la_data_in_mprj[73] *614:8 2.42138e-05 +15 la_data_in_mprj[74] *4093:DIODE 4.91225e-06 +16 la_data_in_mprj[74] *614:8 2.50647e-05 +17 *3953:DIODE *4093:DIODE 8.35315e-05 +18 *21765:A *4093:DIODE 4.30017e-06 +19 *486:5 *614:8 0 +*RES +1 la_iena_mprj[73] *614:8 15.2151 +2 *614:8 *21905:A 10.2378 +3 *614:8 *4093:DIODE 24.1266 +*END + +*D_NET *615 0.00217635 +*CONN +*P la_iena_mprj[74] I +*I *21906:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4094:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[74] 0.000317248 +2 *21906:A 5.06582e-05 +3 *4094:DIODE 0.000251103 +4 *615:8 0.000619009 +5 *4094:DIODE *4236:DIODE 7.87481e-05 +6 *4094:DIODE *5450:DIODE 6.50727e-05 +7 *4094:DIODE *23190:A 0 +8 *4094:DIODE *616:8 0 +9 *615:8 *4236:DIODE 0.000118166 +10 *615:8 *22932:A 6.75841e-05 +11 *615:8 *871:10 6.92705e-05 +12 *615:8 *2620:19 0.000165644 +13 *615:8 *2761:11 0.000228829 +14 *615:8 *2903:28 7.6719e-06 +15 la_data_in_mprj[74] *615:8 1.19856e-05 +16 la_data_in_mprj[75] *21906:A 1.92172e-05 +17 la_data_in_mprj[75] *615:8 5.07314e-05 +18 *3953:DIODE *615:8 0 +19 *3954:DIODE *4094:DIODE 5.54078e-05 +20 *487:5 *615:8 0 +*RES +1 la_iena_mprj[74] *615:8 14.7998 +2 *615:8 *4094:DIODE 24.5418 +3 *615:8 *21906:A 10.5513 +*END + +*D_NET *616 0.00141083 +*CONN +*P la_iena_mprj[75] I +*I *4096:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21908:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[75] 0.000359706 +2 *4096:DIODE 4.64588e-05 +3 *21908:A 0 +4 *616:8 0.000406165 +5 *4096:DIODE *3312:7 0.000156401 +6 *616:8 *4237:DIODE 0 +7 *616:8 *5451:DIODE 0 +8 *616:8 *22933:A 0 +9 *616:8 *23189:A 0.00011818 +10 *616:8 *23190:A 8.62625e-06 +11 *616:8 *872:9 0 +12 *616:8 *2621:17 9.55484e-05 +13 *616:8 *2903:28 7.73552e-06 +14 *616:8 *3312:7 0.000169872 +15 *3954:DIODE *616:8 4.21376e-05 +16 *4094:DIODE *616:8 0 +*RES +1 la_iena_mprj[75] *616:8 13.685 +2 *616:8 *21908:A 9.24915 +3 *616:8 *4096:DIODE 11.0817 +*END + +*D_NET *617 0.000778944 +*CONN +*P la_iena_mprj[76] I +*I *4097:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21909:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[76] 0.000206028 +2 *4097:DIODE 9.04172e-05 +3 *21909:A 2.29367e-05 +4 *617:5 0.000319382 +5 *4097:DIODE *2764:11 6.16622e-05 +6 *617:5 *873:12 0 +7 *617:5 *2764:11 1.48605e-05 +8 la_data_in_mprj[77] *4097:DIODE 0 +9 *21767:A *21909:A 6.3657e-05 +10 *489:5 *617:5 0 +*RES +1 la_iena_mprj[76] *617:5 3.61514 +2 *617:5 *21909:A 14.4725 +3 *617:5 *4097:DIODE 16.4116 +*END + +*D_NET *618 0.00145956 +*CONN +*P la_iena_mprj[77] I +*I *4098:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21910:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[77] 0.000351854 +2 *4098:DIODE 8.79246e-05 +3 *21910:A 0 +4 *618:8 0.000439778 +5 *4098:DIODE *2766:8 0.000165239 +6 *618:8 *4240:DIODE 0 +7 *618:8 *22935:A 3.20069e-06 +8 *618:8 *874:8 0 +9 *618:8 *2623:11 0.000134087 +10 *618:8 *2765:8 2.57986e-05 +11 *618:8 *2766:8 3.14978e-05 +12 *618:8 *2899:21 5.51006e-05 +13 *618:8 *2905:13 0 +14 *3956:DIODE *4098:DIODE 6.51105e-05 +15 *3956:DIODE *618:8 9.99665e-05 +16 *490:9 *618:8 0 +*RES +1 la_iena_mprj[77] *618:8 13.685 +2 *618:8 *21910:A 9.24915 +3 *618:8 *4098:DIODE 13.3002 +*END + +*D_NET *619 0.00110499 +*CONN +*P la_iena_mprj[78] I +*I *21911:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4099:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[78] 0.00030841 +2 *21911:A 8.55408e-05 +3 *4099:DIODE 0 +4 *619:5 0.000393951 +5 *21911:A *4241:DIODE 0 +6 *21911:A *22936:A 0 +7 *21911:A *2624:14 0 +8 *21911:A *2767:11 0.000169872 +9 *619:5 *4241:DIODE 0 +10 *619:5 *2103:19 2.25226e-05 +11 *619:5 *2624:14 0 +12 *3957:DIODE *21911:A 7.8525e-05 +13 *3957:DIODE *619:5 3.86399e-05 +14 *491:9 *619:5 7.52574e-06 +*RES +1 la_iena_mprj[78] *619:5 6.10665 +2 *619:5 *4099:DIODE 13.7491 +3 *619:5 *21911:A 16.9985 +*END + +*D_NET *620 0.00177313 +*CONN +*P la_iena_mprj[79] I +*I *4100:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21912:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[79] 0.000347746 +2 *4100:DIODE 0.000219843 +3 *21912:A 4.71064e-05 +4 *620:5 0.000614696 +5 *4100:DIODE *2625:8 9.80784e-05 +6 *4100:DIODE *2625:9 0.000113968 +7 *21912:A *2624:14 0.000164829 +8 *21912:A *2767:11 2.16355e-05 +9 *21912:A *2769:8 7.34948e-06 +10 *620:5 *876:8 0 +11 *620:5 *2118:13 0 +12 *620:5 *2625:8 0.00013788 +13 *620:5 *2908:21 0 +14 *3958:DIODE *620:5 0 +15 *492:5 *620:5 0 +*RES +1 la_iena_mprj[79] *620:5 7.35241 +2 *620:5 *21912:A 15.5817 +3 *620:5 *4100:DIODE 17.829 +*END + +*D_NET *621 0.00194522 +*CONN +*P la_iena_mprj[7] I +*I *4101:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21913:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[7] 0.000203681 +2 *4101:DIODE 0.000291168 +3 *21913:A 0.000142414 +4 *621:13 0.000637262 +5 *4101:DIODE *4243:DIODE 0 +6 *4101:DIODE *877:8 0.000200251 +7 *4101:DIODE *2626:6 9.27017e-05 +8 *4101:DIODE *3281:11 0.000171288 +9 *621:13 *877:8 0.000202785 +10 *621:13 *2626:6 3.67528e-06 +11 *493:7 *621:13 0 +*RES +1 la_iena_mprj[7] *621:13 7.64553 +2 *621:13 *21913:A 16.691 +3 *621:13 *4101:DIODE 21.5663 +*END + +*D_NET *622 0.00151377 +*CONN +*P la_iena_mprj[80] I +*I *21914:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4102:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[80] 0.000443637 +2 *21914:A 0 +3 *4102:DIODE 0.00013701 +4 *622:10 0.000580647 +5 *622:10 *4244:DIODE 0 +6 *622:10 *23196:A 8.23258e-05 +7 *622:10 *878:8 0 +8 *622:10 *2624:14 3.20069e-06 +9 *622:10 *2769:8 3.83564e-05 +10 *622:10 *2909:8 0 +11 *622:10 *3320:7 0.000228593 +12 la_data_in_mprj[81] *622:10 0 +13 *3960:DIODE *622:10 0 +14 *494:5 *622:10 0 +*RES +1 la_iena_mprj[80] *622:10 15.5123 +2 *622:10 *4102:DIODE 20.4964 +3 *622:10 *21914:A 9.24915 +*END + +*D_NET *623 0.00225621 +*CONN +*P la_iena_mprj[81] I +*I *21915:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4103:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[81] 0.000343084 +2 *21915:A 5.75142e-05 +3 *4103:DIODE 0.000280677 +4 *623:8 0.000681275 +5 *4103:DIODE *22941:A 0 +6 *4103:DIODE *624:5 0 +7 *4103:DIODE *2625:9 6.50727e-05 +8 *4103:DIODE *2770:9 0.000263135 +9 *21915:A *2622:5 2.16355e-05 +10 *623:8 *22941:A 6.3657e-05 +11 *623:8 *879:5 0 +12 *623:8 *2622:5 0.000153712 +13 *623:8 *2911:10 1.69247e-05 +14 la_data_in_mprj[82] *623:8 0.000113768 +15 *3961:DIODE *623:8 0.00011818 +16 *3963:DIODE *4103:DIODE 4.9817e-05 +17 *495:8 *623:8 0 +18 *496:7 *4103:DIODE 2.77564e-05 +*RES +1 la_iena_mprj[81] *623:8 13.4147 +2 *623:8 *4103:DIODE 25.3723 +3 *623:8 *21915:A 10.5513 +*END + +*D_NET *624 0.00142543 +*CONN +*P la_iena_mprj[82] I +*I *4104:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21916:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[82] 0.000350408 +2 *4104:DIODE 0.000195828 +3 *21916:A 5.68355e-05 +4 *624:5 0.000603071 +5 *4104:DIODE *2625:9 0.00011818 +6 *21916:A *2771:8 5.04829e-06 +7 *624:5 *4246:DIODE 0 +8 *624:5 *880:8 0 +9 *624:5 *2961:30 6.61114e-05 +10 *3963:DIODE *624:5 2.99428e-05 +11 *4103:DIODE *624:5 0 +12 *496:7 *624:5 0 +*RES +1 la_iena_mprj[82] *624:5 7.35241 +2 *624:5 *21916:A 15.0271 +3 *624:5 *4104:DIODE 17.2744 +*END + +*D_NET *625 0.00162259 +*CONN +*P la_iena_mprj[83] I +*I *4105:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21917:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[83] 0.00036573 +2 *4105:DIODE 0.000234727 +3 *21917:A 7.10726e-05 +4 *625:5 0.00067153 +5 *4105:DIODE *2625:9 0.000171288 +6 *21917:A *2772:8 2.65831e-05 +7 *625:5 *4246:DIODE 0 +8 *625:5 *4247:DIODE 0 +9 *625:5 *2913:10 3.77516e-05 +10 *625:5 *2937:14 4.39048e-05 +11 *3964:DIODE *625:5 0 +*RES +1 la_iena_mprj[83] *625:5 7.35241 +2 *625:5 *21917:A 15.5817 +3 *625:5 *4105:DIODE 17.829 +*END + +*D_NET *626 0.00135529 +*CONN +*P la_iena_mprj[84] I +*I *4107:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21919:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[84] 0.000403933 +2 *4107:DIODE 0.000164191 +3 *21919:A 0 +4 *626:12 0.000568123 +5 *626:12 *4247:DIODE 0 +6 *626:12 *4248:DIODE 0 +7 *626:12 *5461:DIODE 9.12416e-06 +8 *626:12 *882:8 0 +9 la_data_in_mprj[84] *626:12 0 +10 *3965:DIODE *4107:DIODE 3.83429e-05 +11 *3965:DIODE *626:12 0.000171575 +12 *498:8 *626:12 0 +*RES +1 la_iena_mprj[84] *626:12 14.0342 +2 *626:12 *21919:A 9.24915 +3 *626:12 *4107:DIODE 13.3002 +*END + +*D_NET *627 0.00260409 +*CONN +*P la_iena_mprj[85] I +*I *21920:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4108:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[85] 0.000313508 +2 *21920:A 5.13208e-05 +3 *4108:DIODE 0.000320533 +4 *627:12 0.000685362 +5 *4108:DIODE *628:5 0 +6 *4108:DIODE *2625:9 0.000220183 +7 *4108:DIODE *2775:15 0.000308128 +8 *21920:A *2628:5 4.65396e-05 +9 *21920:A *2633:10 0.000201774 +10 *627:12 *883:9 0 +11 *627:12 *2628:5 3.33382e-05 +12 *627:12 *2633:10 6.50727e-05 +13 *627:12 *2915:13 0.000130502 +14 la_data_in_mprj[86] *4108:DIODE 0.000148144 +15 *21778:A *627:12 7.46378e-05 +16 *499:8 *627:12 5.04829e-06 +*RES +1 la_iena_mprj[85] *627:12 12.4984 +2 *627:12 *4108:DIODE 26.6209 +3 *627:12 *21920:A 11.6364 +*END + +*D_NET *628 0.00217325 +*CONN +*P la_iena_mprj[86] I +*I *4109:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21921:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[86] 0.000352481 +2 *4109:DIODE 0.000316331 +3 *21921:A 5.03687e-05 +4 *628:5 0.000719181 +5 *4109:DIODE *22945:A 3.51084e-05 +6 *4109:DIODE *2625:9 0.000377259 +7 *4109:DIODE *2776:13 6.22114e-05 +8 *21921:A *2916:5 0.000171288 +9 *628:5 *4252:DIODE 0 +10 *628:5 *22945:A 2.1203e-06 +11 *628:5 *884:8 0 +12 *628:5 *2634:15 2.37478e-05 +13 *3967:DIODE *628:5 6.3152e-05 +14 *4108:DIODE *628:5 0 +15 *500:7 *628:5 0 +*RES +1 la_iena_mprj[86] *628:5 7.35241 +2 *628:5 *21921:A 15.5817 +3 *628:5 *4109:DIODE 20.0474 +*END + +*D_NET *629 0.00138069 +*CONN +*P la_iena_mprj[87] I +*I *4110:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21922:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[87] 0.000347085 +2 *4110:DIODE 9.04932e-05 +3 *21922:A 1.47608e-05 +4 *629:5 0.000452339 +5 *4110:DIODE *5464:DIODE 2.8599e-05 +6 *4110:DIODE *22946:A 6.14756e-06 +7 *4110:DIODE *2778:15 0.000101503 +8 *21922:A *2916:5 6.08467e-05 +9 *21922:A *3326:7 6.08467e-05 +10 *629:5 *22946:A 7.21733e-05 +11 *629:5 *885:7 0 +12 *629:5 *2628:16 3.18148e-05 +13 *629:5 *2635:17 1.05746e-05 +14 *629:5 *2778:15 4.49767e-05 +15 *629:5 *2919:14 5.85325e-05 +16 *501:5 *629:5 0 +*RES +1 la_iena_mprj[87] *629:5 7.35241 +2 *629:5 *21922:A 14.4725 +3 *629:5 *4110:DIODE 15.9964 +*END + +*D_NET *630 0.00199165 +*CONN +*P la_iena_mprj[88] I +*I *4111:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21923:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[88] 0.000630851 +2 *4111:DIODE 0.00011986 +3 *21923:A 0 +4 *630:12 0.000750712 +5 *4111:DIODE *2779:10 6.56365e-05 +6 *4111:DIODE *2916:5 1.38541e-05 +7 *630:12 *4254:DIODE 0 +8 *630:12 *23202:A 5.47232e-06 +9 *630:12 *886:7 0 +10 *630:12 *2636:11 1.66824e-05 +11 *630:12 *2916:5 0.000288051 +12 *630:12 *3333:13 2.77564e-05 +13 *3969:DIODE *630:12 5.79821e-05 +14 *3970:DIODE *4111:DIODE 1.26672e-05 +15 *502:7 *630:12 0 +16 *503:5 *4111:DIODE 2.1203e-06 +*RES +1 la_iena_mprj[88] *630:12 18.5853 +2 *630:12 *21923:A 9.24915 +3 *630:12 *4111:DIODE 20.8099 +*END + +*D_NET *631 0.00155491 +*CONN +*P la_iena_mprj[89] I +*I *4112:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21924:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[89] 0.000454785 +2 *4112:DIODE 6.11465e-05 +3 *21924:A 0 +4 *631:8 0.000515932 +5 *4112:DIODE *2916:5 0.00014642 +6 *631:8 *5465:DIODE 5.17042e-05 +7 *631:8 *22947:A 0.000113142 +8 *631:8 *887:13 0 +9 *631:8 *2779:10 2.16355e-05 +10 *631:8 *2916:5 0.000164829 +11 *631:8 *2921:10 2.53146e-05 +12 *503:5 *631:8 0 +*RES +1 la_iena_mprj[89] *631:8 13.685 +2 *631:8 *21924:A 9.24915 +3 *631:8 *4112:DIODE 11.0817 +*END + +*D_NET *632 0.00184219 +*CONN +*P la_iena_mprj[8] I +*I *4113:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21925:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[8] 0.000333687 +2 *4113:DIODE 0.000170977 +3 *21925:A 0 +4 *632:8 0.000504664 +5 *4113:DIODE *4256:DIODE 0.000228708 +6 *4113:DIODE *22068:A 6.50727e-05 +7 *4113:DIODE *2780:10 7.14746e-05 +8 *4113:DIODE *3330:13 0.000107496 +9 *632:8 *888:9 0 +10 *632:8 *2874:12 2.71542e-05 +11 *632:8 *2961:54 0.000106357 +12 *632:8 *3330:13 0.000213725 +13 la_data_in_mprj[8] *632:8 0 +14 *504:12 *632:8 1.28704e-05 +*RES +1 la_iena_mprj[8] *632:8 14.2396 +2 *632:8 *21925:A 9.24915 +3 *632:8 *4113:DIODE 23.0524 +*END + +*D_NET *633 0.00179867 +*CONN +*P la_iena_mprj[90] I +*I *4114:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21926:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[90] 0.000368281 +2 *4114:DIODE 1.91136e-05 +3 *21926:A 0 +4 *633:8 0.000387395 +5 *4114:DIODE *2916:5 0.000152878 +6 *4114:DIODE *2922:15 0.000167076 +7 *633:8 *22950:A 0.000178045 +8 *633:8 *889:8 0 +9 *633:8 *2639:8 0.000123807 +10 *633:8 *2916:5 0.000160617 +11 *633:8 *2920:10 8.08437e-05 +12 *633:8 *2922:15 0.000160617 +13 *3972:DIODE *633:8 0 +14 *505:5 *633:8 0 +*RES +1 la_iena_mprj[90] *633:8 13.685 +2 *633:8 *21926:A 9.24915 +3 *633:8 *4114:DIODE 11.0817 +*END + +*D_NET *634 0.00102939 +*CONN +*P la_iena_mprj[91] I +*I *4115:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21927:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[91] 0.000219295 +2 *4115:DIODE 0.000191657 +3 *21927:A 1.26312e-05 +4 *634:5 0.000423583 +5 *4115:DIODE *4258:DIODE 0 +6 *4115:DIODE *2920:14 6.50727e-05 +7 *21927:A *2641:17 6.50727e-05 +8 *21927:A *2919:23 2.65831e-05 +9 *634:5 *890:7 0 +10 *634:5 *2633:20 2.54903e-05 +11 *3974:DIODE *4115:DIODE 0 +*RES +1 la_iena_mprj[91] *634:5 3.61514 +2 *634:5 *21927:A 14.4725 +3 *634:5 *4115:DIODE 17.135 +*END + +*D_NET *635 0.00177294 +*CONN +*P la_iena_mprj[92] I +*I *4116:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21928:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[92] 0.000376712 +2 *4116:DIODE 2.02417e-05 +3 *21928:A 0 +4 *635:8 0.000396954 +5 *4116:DIODE *2916:5 0.000150712 +6 *4116:DIODE *2922:15 0.000166875 +7 *635:8 *22952:A 0.000175352 +8 *635:8 *2642:8 0.000123807 +9 *635:8 *2782:8 3.26316e-05 +10 *635:8 *2916:5 0.000164829 +11 *635:8 *2922:15 0.000164829 +*RES +1 la_iena_mprj[92] *635:8 13.685 +2 *635:8 *21928:A 9.24915 +3 *635:8 *4116:DIODE 11.0817 +*END + +*D_NET *636 0.00277442 +*CONN +*P la_iena_mprj[93] I +*I *21930:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4118:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[93] 0.000360372 +2 *21930:A 9.82485e-05 +3 *4118:DIODE 0.000387619 +4 *636:5 0.000846239 +5 *4118:DIODE *2920:14 0.000623463 +6 *21930:A *22953:A 1.94425e-05 +7 *21930:A *2788:17 0 +8 *21930:A *2916:5 0.000160617 +9 *21930:A *2922:15 0.000160617 +10 *636:5 *22953:A 3.8341e-05 +11 *636:5 *892:7 0 +12 *636:5 *2643:11 7.94607e-05 +13 *3976:DIODE *636:5 0 +14 *508:5 *636:5 0 +*RES +1 la_iena_mprj[93] *636:5 6.10665 +2 *636:5 *4118:DIODE 20.5732 +3 *636:5 *21930:A 16.9985 +*END + +*D_NET *637 0.00145181 +*CONN +*P la_iena_mprj[94] I +*I *4119:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21931:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[94] 0.000346512 +2 *4119:DIODE 0.00028722 +3 *21931:A 0 +4 *637:7 0.000633732 +5 *4119:DIODE *4261:DIODE 2.55418e-05 +6 *4119:DIODE *22954:A 0 +7 *4119:DIODE *893:9 2.23577e-05 +8 *4119:DIODE *2922:25 4.31737e-05 +9 *3977:DIODE *4119:DIODE 9.32704e-05 +*RES +1 la_iena_mprj[94] *637:7 4.90975 +2 *637:7 *21931:A 13.7491 +3 *637:7 *4119:DIODE 19.7337 +*END + +*D_NET *638 0.00190509 +*CONN +*P la_iena_mprj[95] I +*I *4120:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21932:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[95] 0.000167347 +2 *4120:DIODE 0.000231836 +3 *21932:A 9.70004e-05 +4 *638:5 0.000496184 +5 *4120:DIODE *2631:16 1.50542e-05 +6 *4120:DIODE *2787:9 3.30161e-05 +7 *4120:DIODE *2916:5 0.000324166 +8 *4120:DIODE *2920:14 7.09666e-06 +9 *21932:A *2919:23 0.000217951 +10 *638:5 *2631:16 3.74945e-05 +11 *638:5 *2645:8 0.000143913 +12 *638:5 *2787:9 2.00611e-05 +13 *3978:DIODE *4120:DIODE 0.000113968 +*RES +1 la_iena_mprj[95] *638:5 4.03039 +2 *638:5 *21932:A 16.7151 +3 *638:5 *4120:DIODE 19.9081 +*END + +*D_NET *639 0.00215413 +*CONN +*P la_iena_mprj[96] I +*I *4121:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21933:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[96] 0.000348287 +2 *4121:DIODE 4.25656e-05 +3 *21933:A 0 +4 *639:8 0.000390852 +5 *4121:DIODE *2916:5 0.000357434 +6 *4121:DIODE *2930:9 0.000369199 +7 *639:8 *4264:DIODE 0 +8 *639:8 *22956:A 0.000184291 +9 *639:8 *895:7 0 +10 *639:8 *2633:39 5.29685e-05 +11 *639:8 *2646:8 2.27643e-05 +12 *639:8 *2916:5 0.000171288 +13 *639:8 *2930:9 0.00017026 +14 *3979:DIODE *639:8 4.42225e-05 +*RES +1 la_iena_mprj[96] *639:8 13.685 +2 *639:8 *21933:A 9.24915 +3 *639:8 *4121:DIODE 13.3002 +*END + +*D_NET *640 0.00169319 +*CONN +*P la_iena_mprj[97] I +*I *4122:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21934:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[97] 0.000401205 +2 *4122:DIODE 1.84985e-05 +3 *21934:A 0 +4 *640:8 0.000419704 +5 *4122:DIODE *2916:5 0.000152753 +6 *4122:DIODE *2930:9 0.000166951 +7 *640:8 *22957:A 3.1525e-05 +8 *640:8 *896:11 0 +9 *640:8 *2647:8 7.94607e-05 +10 *640:8 *2916:5 0.00016491 +11 *640:8 *2930:9 0.00016491 +12 *640:8 *2931:17 9.32704e-05 +13 la_data_in_mprj[98] *640:8 0 +14 *3980:DIODE *640:8 0 +15 *512:5 *640:8 0 +*RES +1 la_iena_mprj[97] *640:8 13.685 +2 *640:8 *21934:A 9.24915 +3 *640:8 *4122:DIODE 11.0817 +*END + +*D_NET *641 0.00217324 +*CONN +*P la_iena_mprj[98] I +*I *4123:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21935:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[98] 0.000436678 +2 *4123:DIODE 4.78731e-05 +3 *21935:A 0 +4 *641:8 0.000484551 +5 *4123:DIODE *2916:5 0.000350171 +6 *4123:DIODE *2930:9 0.000366334 +7 *641:8 *4266:DIODE 0 +8 *641:8 *22958:A 7.09666e-06 +9 *641:8 *897:12 0 +10 *641:8 *2634:22 3.17434e-05 +11 *641:8 *2648:10 0 +12 *641:8 *2916:5 0.000224395 +13 *641:8 *2930:9 0.000224395 +14 *3981:DIODE *641:8 0 +15 *513:8 *641:8 0 +*RES +1 la_iena_mprj[98] *641:8 14.2396 +2 *641:8 *21935:A 9.24915 +3 *641:8 *4123:DIODE 13.3002 +*END + +*D_NET *642 0.00178049 +*CONN +*P la_iena_mprj[99] I +*I *4124:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21936:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[99] 0.000340267 +2 *4124:DIODE 4.4282e-05 +3 *21936:A 0 +4 *642:8 0.000384549 +5 *4124:DIODE *2653:8 2.41483e-05 +6 *4124:DIODE *2916:5 0.000193069 +7 *4124:DIODE *2930:9 0.000258142 +8 *642:8 *22834:A 0.000185485 +9 *642:8 *22959:A 0.00018896 +10 *642:8 *2080:47 2.32621e-05 +11 *642:8 *2649:9 1.66321e-05 +12 *642:8 *2916:5 6.08467e-05 +13 *642:8 *2930:9 6.08467e-05 +14 *514:5 *642:8 0 +*RES +1 la_iena_mprj[99] *642:8 12.5758 +2 *642:8 *21936:A 9.24915 +3 *642:8 *4124:DIODE 12.191 +*END + +*D_NET *643 0.0018068 +*CONN +*P la_iena_mprj[9] I +*I *21937:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4125:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[9] 0.000335055 +2 *21937:A 0 +3 *4125:DIODE 0.000240393 +4 *643:10 0.000575448 +5 *4125:DIODE *899:8 0.0001154 +6 *4125:DIODE *2650:6 0 +7 *4125:DIODE *2792:8 4.5078e-05 +8 *4125:DIODE *2935:8 3.00073e-05 +9 *4125:DIODE *3342:15 2.65831e-05 +10 *643:10 *2638:23 0.000306162 +11 *643:10 *2768:10 5.33266e-05 +12 la_data_in_mprj[10] *4125:DIODE 7.93468e-05 +13 *515:8 *643:10 0 +*RES +1 la_iena_mprj[9] *643:10 11.3598 +2 *643:10 *4125:DIODE 25.3723 +3 *643:10 *21937:A 9.24915 +*END + +*D_NET *644 0.00134058 +*CONN +*P la_oenb_core[0] O +*I *22961:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[0] 0.000552793 +2 *22961:X 0.000552793 +3 la_oenb_core[0] *2806:11 4.84017e-05 +4 la_oenb_core[0] *3001:29 0.000158357 +5 la_data_in_core[1] la_oenb_core[0] 0 +6 *4251:DIODE la_oenb_core[0] 2.82354e-05 +7 *260:8 la_oenb_core[0] 0 +*RES +1 *22961:X la_oenb_core[0] 24.4975 +*END + +*D_NET *645 0.00128867 +*CONN +*P la_oenb_core[100] O +*I *22962:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[100] 0.000544145 +2 *22962:X 0.000544145 +3 la_oenb_core[100] *2917:8 0.000120584 +4 la_oenb_core[100] *2980:31 7.97944e-05 +5 la_data_in_core[101] la_oenb_core[100] 0 +6 *261:5 la_oenb_core[100] 0 +*RES +1 *22962:X la_oenb_core[100] 25.0104 +*END + +*D_NET *646 0.00121645 +*CONN +*P la_oenb_core[101] O +*I *22963:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[101] 0.000543813 +2 *22963:X 0.000543813 +3 la_oenb_core[101] *22708:A 0 +4 la_oenb_core[101] *2980:31 7.97944e-05 +5 la_oenb_core[101] *3028:6 4.90264e-05 +6 la_data_in_core[102] la_oenb_core[101] 0 +7 *4400:DIODE la_oenb_core[101] 0 +8 *262:5 la_oenb_core[101] 0 +*RES +1 *22963:X la_oenb_core[101] 25.0104 +*END + +*D_NET *647 0.00126217 +*CONN +*P la_oenb_core[102] O +*I *22964:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[102] 0.000408082 +2 *22964:X 0.000408082 +3 la_oenb_core[102] *22964:A 0 +4 la_oenb_core[102] *1863:53 0.000213676 +5 la_oenb_core[102] *3139:8 1.44611e-05 +6 la_oenb_core[102] *3361:8 0.000217873 +7 la_data_in_core[103] la_oenb_core[102] 0 +8 *4411:DIODE la_oenb_core[102] 0 +9 *263:5 la_oenb_core[102] 0 +*RES +1 *22964:X la_oenb_core[102] 23.904 +*END + +*D_NET *648 0.00128637 +*CONN +*P la_oenb_core[103] O +*I *22965:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[103] 0.000530927 +2 *22965:X 0.000530927 +3 la_oenb_core[103] *22710:A 0 +4 la_oenb_core[103] *2980:57 0.000175485 +5 la_oenb_core[103] *3250:6 4.90264e-05 +6 la_data_in_core[104] la_oenb_core[103] 0 +7 *264:5 la_oenb_core[103] 0 +*RES +1 *22965:X la_oenb_core[103] 25.0104 +*END + +*D_NET *649 0.00116683 +*CONN +*P la_oenb_core[104] O +*I *22966:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[104] 0.000417212 +2 *22966:X 0.000417212 +3 la_oenb_core[104] *22711:A 2.57986e-05 +4 la_oenb_core[104] *1863:60 0.000207816 +5 la_oenb_core[104] *2985:52 6.7671e-06 +6 la_data_in_core[105] la_oenb_core[104] 0 +7 *4433:DIODE la_oenb_core[104] 8.96102e-05 +8 *265:8 la_oenb_core[104] 2.41274e-06 +*RES +1 *22966:X la_oenb_core[104] 23.904 +*END + +*D_NET *650 0.00110281 +*CONN +*P la_oenb_core[105] O +*I *22967:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[105] 0.000495631 +2 *22967:X 0.000495631 +3 la_oenb_core[105] *22712:A 0 +4 la_oenb_core[105] *2348:8 4.72727e-05 +5 la_oenb_core[105] *2980:57 8.62625e-06 +6 la_oenb_core[105] *3478:446 5.56461e-05 +7 la_data_in_core[106] la_oenb_core[105] 0 +8 *3818:DIODE la_oenb_core[105] 0 +9 *266:5 la_oenb_core[105] 0 +*RES +1 *22967:X la_oenb_core[105] 24.4558 +*END + +*D_NET *651 0.00123471 +*CONN +*P la_oenb_core[106] O +*I *22968:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[106] 0.000507707 +2 *22968:X 0.000507707 +3 la_oenb_core[106] *2459:8 0.000120584 +4 la_oenb_core[106] *2985:52 1.89195e-05 +5 la_oenb_core[106] *3478:458 7.97944e-05 +6 la_data_in_core[107] la_oenb_core[106] 0 +7 *3829:DIODE la_oenb_core[106] 0 +8 *267:8 la_oenb_core[106] 0 +*RES +1 *22968:X la_oenb_core[106] 25.0104 +*END + +*D_NET *652 0.0011561 +*CONN +*P la_oenb_core[107] O +*I *22969:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[107] 0.000371082 +2 *22969:X 0.000371082 +3 la_oenb_core[107] *22969:A 1.36691e-05 +4 la_oenb_core[107] *1863:60 0.000118166 +5 la_oenb_core[107] *2496:8 1.44611e-05 +6 la_oenb_core[107] *2979:12 4.96921e-05 +7 la_oenb_core[107] *3069:37 0.000217951 +8 la_data_in_core[108] la_oenb_core[107] 0 +9 *268:5 la_oenb_core[107] 0 +*RES +1 *22969:X la_oenb_core[107] 23.904 +*END + +*D_NET *653 0.00116175 +*CONN +*P la_oenb_core[108] O +*I *22970:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[108] 0.000459987 +2 *22970:X 0.000459987 +3 la_oenb_core[108] *5232:DIODE 0 +4 la_oenb_core[108] *1859:19 0.000122378 +5 la_oenb_core[108] *2507:8 7.86847e-05 +6 la_data_in_core[109] la_oenb_core[108] 0 +7 *3851:DIODE la_oenb_core[108] 2.78452e-05 +8 *269:9 la_oenb_core[108] 1.28704e-05 +*RES +1 *22970:X la_oenb_core[108] 24.4558 +*END + +*D_NET *654 0.00123206 +*CONN +*P la_oenb_core[109] O +*I *22971:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[109] 0.000508017 +2 *22971:X 0.000508017 +3 la_oenb_core[109] *22717:A 4.47578e-05 +4 la_oenb_core[109] *1859:19 0.000171273 +5 la_data_in_core[110] la_oenb_core[109] 0 +6 *3862:DIODE la_oenb_core[109] 0 +7 *270:5 la_oenb_core[109] 0 +*RES +1 *22971:X la_oenb_core[109] 25.0104 +*END + +*D_NET *655 0.00130732 +*CONN +*P la_oenb_core[10] O +*I *22972:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[10] 0.000554938 +2 *22972:X 0.000554938 +3 la_oenb_core[10] *22972:A 2.18764e-05 +4 la_oenb_core[10] *2529:6 1.07248e-05 +5 la_oenb_core[10] *3001:29 0.000164843 +6 la_data_in_core[11] la_oenb_core[10] 0 +7 *3873:DIODE la_oenb_core[10] 0 +8 *271:5 la_oenb_core[10] 0 +*RES +1 *22972:X la_oenb_core[10] 23.7647 +*END + +*D_NET *656 0.00128337 +*CONN +*P la_oenb_core[110] O +*I *22973:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[110] 0.000488553 +2 *22973:X 0.000488553 +3 la_oenb_core[110] *22718:A 0 +4 la_oenb_core[110] *1859:19 0.000175485 +5 la_oenb_core[110] *2540:8 0.000130777 +6 la_data_in_core[111] la_oenb_core[110] 0 +7 *3884:DIODE la_oenb_core[110] 0 +8 *272:5 la_oenb_core[110] 0 +*RES +1 *22973:X la_oenb_core[110] 25.0104 +*END + +*D_NET *657 0.00123138 +*CONN +*P la_oenb_core[111] O +*I *22974:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[111] 0.000498512 +2 *22974:X 0.000498512 +3 la_oenb_core[111] *22719:A 0 +4 la_oenb_core[111] *1859:19 0.000175485 +5 la_oenb_core[111] *2551:6 5.88662e-05 +6 la_data_in_core[112] la_oenb_core[111] 0 +7 *3895:DIODE la_oenb_core[111] 0 +8 *273:5 la_oenb_core[111] 0 +*RES +1 *22974:X la_oenb_core[111] 25.0104 +*END + +*D_NET *658 0.00116565 +*CONN +*P la_oenb_core[112] O +*I *22975:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[112] 0.000503588 +2 *22975:X 0.000503588 +3 la_oenb_core[112] *22720:A 0 +4 la_oenb_core[112] *2562:8 7.86847e-05 +5 la_oenb_core[112] *3076:13 7.97944e-05 +6 la_data_in_core[113] la_oenb_core[112] 0 +7 *3906:DIODE la_oenb_core[112] 0 +8 *274:5 la_oenb_core[112] 0 +*RES +1 *22975:X la_oenb_core[112] 25.0104 +*END + +*D_NET *659 0.00135944 +*CONN +*P la_oenb_core[113] O +*I *22976:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[113] 0.000638616 +2 *22976:X 0.000638616 +3 la_oenb_core[113] *22721:A 0 +4 la_oenb_core[113] *22976:A 2.9373e-05 +5 la_oenb_core[113] *2573:8 3.34802e-05 +6 la_oenb_core[113] *3078:23 6.19019e-06 +7 la_oenb_core[113] *3359:11 1.31657e-05 +8 la_data_in_core[114] la_oenb_core[113] 0 +9 *3917:DIODE la_oenb_core[113] 0 +10 *275:5 la_oenb_core[113] 0 +*RES +1 *22976:X la_oenb_core[113] 26.6742 +*END + +*D_NET *660 0.00113 +*CONN +*P la_oenb_core[114] O +*I *22977:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[114] 0.000490903 +2 *22977:X 0.000490903 +3 la_oenb_core[114] *22977:A 1.41181e-05 +4 la_oenb_core[114] *1869:16 9.72087e-05 +5 la_oenb_core[114] *2585:6 3.05632e-05 +6 la_oenb_core[114] *3078:23 6.30725e-06 +7 la_data_in_core[115] la_oenb_core[114] 0 +8 *276:5 la_oenb_core[114] 0 +*RES +1 *22977:X la_oenb_core[114] 25.0104 +*END + +*D_NET *661 0.00107323 +*CONN +*P la_oenb_core[115] O +*I *22978:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[115] 0.000474757 +2 *22978:X 0.000474757 +3 la_oenb_core[115] *2596:10 7.50722e-05 +4 la_oenb_core[115] *3080:19 2.24708e-05 +5 la_oenb_core[115] *3371:14 2.61711e-05 +6 la_data_in_core[116] la_oenb_core[115] 0 +7 *277:7 la_oenb_core[115] 0 +*RES +1 *22978:X la_oenb_core[115] 24.4558 +*END + +*D_NET *662 0.00174233 +*CONN +*P la_oenb_core[116] O +*I *22979:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[116] 0.000745472 +2 *22979:X 0.000745472 +3 la_oenb_core[116] *22724:A 0 +4 la_oenb_core[116] *22979:A 1.43848e-05 +5 la_oenb_core[116] *2607:8 5.22654e-06 +6 la_oenb_core[116] *3371:21 5.84357e-05 +7 la_data_in_core[117] la_oenb_core[116] 0.000169041 +8 *3951:DIODE la_oenb_core[116] 0 +9 *21752:A la_oenb_core[116] 0 +10 *278:7 la_oenb_core[116] 0 +11 *279:12 la_oenb_core[116] 4.30017e-06 +*RES +1 *22979:X la_oenb_core[116] 28.2015 +*END + +*D_NET *663 0.00126132 +*CONN +*P la_oenb_core[117] O +*I *22980:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[117] 0.000531444 +2 *22980:X 0.000531444 +3 la_oenb_core[117] *22979:A 0 +4 la_oenb_core[117] *22980:A 1.03403e-05 +5 la_oenb_core[117] *1867:17 0.000170909 +6 la_data_in_core[118] la_oenb_core[117] 0 +7 *279:12 la_oenb_core[117] 1.7182e-05 +*RES +1 *22980:X la_oenb_core[117] 25.565 +*END + +*D_NET *664 0.00116367 +*CONN +*P la_oenb_core[118] O +*I *22981:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[118] 0.000483038 +2 *22981:X 0.000483038 +3 la_oenb_core[118] *22726:A 0 +4 la_oenb_core[118] *22981:A 1.19721e-05 +5 la_oenb_core[118] *2629:12 3.34802e-05 +6 la_oenb_core[118] *3087:9 0.00011818 +7 la_data_in_core[119] la_oenb_core[118] 0 +8 *3973:DIODE la_oenb_core[118] 2.6777e-05 +9 *280:9 la_oenb_core[118] 7.18816e-06 +*RES +1 *22981:X la_oenb_core[118] 25.0104 +*END + +*D_NET *665 0.000858165 +*CONN +*P la_oenb_core[119] O +*I *22982:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[119] 0.000392527 +2 *22982:X 0.000392527 +3 la_oenb_core[119] *22982:A 4.48527e-05 +4 la_oenb_core[119] *3371:21 2.82583e-05 +5 la_data_in_core[120] la_oenb_core[119] 0 +6 *281:5 la_oenb_core[119] 0 +*RES +1 *22982:X la_oenb_core[119] 23.3494 +*END + +*D_NET *666 0.0011916 +*CONN +*P la_oenb_core[11] O +*I *22983:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[11] 0.000516482 +2 *22983:X 0.000516482 +3 la_oenb_core[11] *22983:A 0 +4 la_oenb_core[11] *2651:8 8.62625e-06 +5 la_oenb_core[11] *3001:29 0.000118166 +6 la_data_in_core[12] la_oenb_core[11] 0 +7 *3995:DIODE la_oenb_core[11] 2.48232e-05 +8 *282:12 la_oenb_core[11] 7.02269e-06 +*RES +1 *22983:X la_oenb_core[11] 23.2101 +*END + +*D_NET *667 0.000798149 +*CONN +*P la_oenb_core[120] O +*I *22984:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[120] 0.000384811 +2 *22984:X 0.000384811 +3 la_oenb_core[120] *3371:21 2.85274e-05 +4 la_data_in_core[121] la_oenb_core[120] 0 +5 *4006:DIODE la_oenb_core[120] 0 +6 *283:5 la_oenb_core[120] 0 +*RES +1 *22984:X la_oenb_core[120] 22.7948 +*END + +*D_NET *668 0.00129722 +*CONN +*P la_oenb_core[121] O +*I *22985:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[121] 0.000462957 +2 *22985:X 0.000462957 +3 la_oenb_core[121] *22730:A 0 +4 la_oenb_core[121] *22984:A 0.000127179 +5 la_oenb_core[121] *2673:8 7.50872e-05 +6 la_oenb_core[121] *3087:9 0.000169041 +7 la_data_in_core[121] la_oenb_core[121] 0 +8 la_data_in_core[122] la_oenb_core[121] 0 +9 *284:8 la_oenb_core[121] 0 +*RES +1 *22985:X la_oenb_core[121] 25.0104 +*END + +*D_NET *669 0.00115834 +*CONN +*P la_oenb_core[122] O +*I *22986:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[122] 0.000381892 +2 *22986:X 0.000381892 +3 la_oenb_core[122] *1871:17 0.000240414 +4 la_oenb_core[122] *2684:7 0.000154145 +5 la_data_in_core[123] la_oenb_core[122] 0 +6 *4028:DIODE la_oenb_core[122] 0 +7 *285:5 la_oenb_core[122] 0 +*RES +1 *22986:X la_oenb_core[122] 23.904 +*END + +*D_NET *670 0.00104461 +*CONN +*P la_oenb_core[123] O +*I *22987:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[123] 0.000513093 +2 *22987:X 0.000513093 +3 la_oenb_core[123] *22731:A 0 +4 la_oenb_core[123] *22987:A 1.84293e-05 +5 la_oenb_core[123] *1870:17 0 +6 la_data_in_core[123] la_oenb_core[123] 0 +7 la_data_in_core[124] la_oenb_core[123] 0 +8 *286:8 la_oenb_core[123] 0 +*RES +1 *22987:X la_oenb_core[123] 25.0104 +*END + +*D_NET *671 0.000929676 +*CONN +*P la_oenb_core[124] O +*I *22988:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[124] 0.000384177 +2 *22988:X 0.000384177 +3 la_oenb_core[124] *22988:A 4.31539e-05 +4 la_oenb_core[124] *1875:14 5.89338e-05 +5 la_oenb_core[124] *2707:8 5.92342e-05 +6 la_data_in_core[125] la_oenb_core[124] 0 +7 *4051:DIODE la_oenb_core[124] 0 +8 *287:5 la_oenb_core[124] 0 +*RES +1 *22988:X la_oenb_core[124] 23.904 +*END + +*D_NET *672 0.00111988 +*CONN +*P la_oenb_core[125] O +*I *22989:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[125] 0.000384254 +2 *22989:X 0.000384254 +3 la_oenb_core[125] *22734:A 0 +4 la_oenb_core[125] *1874:14 0.000158398 +5 la_oenb_core[125] *2718:6 7.20082e-05 +6 la_oenb_core[125] *3054:11 0.000120962 +7 la_data_in_core[126] la_oenb_core[125] 0 +*RES +1 *22989:X la_oenb_core[125] 25.3596 +*END + +*D_NET *673 0.000875251 +*CONN +*P la_oenb_core[126] O +*I *22990:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[126] 0.000400388 +2 *22990:X 0.000400388 +3 la_oenb_core[126] *22990:A 7.44738e-05 +4 la_data_in_core[127] la_oenb_core[126] 0 +5 *4073:DIODE la_oenb_core[126] 0 +6 *289:5 la_oenb_core[126] 0 +*RES +1 *22990:X la_oenb_core[126] 24.2532 +*END + +*D_NET *674 0.000985598 +*CONN +*P la_oenb_core[127] O +*I *22991:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[127] 0.000412182 +2 *22991:X 0.000412182 +3 la_oenb_core[127] user_clock2 0 +4 la_oenb_core[127] *22991:A 5.37372e-05 +5 la_oenb_core[127] *1118:11 0.000107496 +6 la_oenb_core[127] *1123:10 0 +7 *4084:DIODE la_oenb_core[127] 0 +8 *290:5 la_oenb_core[127] 0 +*RES +1 *22991:X la_oenb_core[127] 24.4586 +*END + +*D_NET *675 0.00122232 +*CONN +*P la_oenb_core[12] O +*I *22992:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[12] 0.00056495 +2 *22992:X 0.00056495 +3 la_oenb_core[12] *22737:A 0 +4 la_oenb_core[12] *22992:A 1.7464e-05 +5 la_oenb_core[12] *2751:6 9.89388e-06 +6 la_oenb_core[12] *3001:29 6.50586e-05 +7 la_data_in_core[13] la_oenb_core[12] 0 +8 *291:5 la_oenb_core[12] 0 +*RES +1 *22992:X la_oenb_core[12] 23.9501 +*END + +*D_NET *676 0.00144094 +*CONN +*P la_oenb_core[13] O +*I *22993:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[13] 0.000625489 +2 *22993:X 0.000625489 +3 la_oenb_core[13] *22737:A 0 +4 la_oenb_core[13] *2762:6 2.45002e-05 +5 la_oenb_core[13] *2986:13 0.000165459 +6 la_data_in_core[14] la_oenb_core[13] 0 +7 *292:8 la_oenb_core[13] 0 +*RES +1 *22993:X la_oenb_core[13] 25.0104 +*END + +*D_NET *677 0.00132689 +*CONN +*P la_oenb_core[14] O +*I *22994:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[14] 0.000581398 +2 *22994:X 0.000581398 +3 la_oenb_core[14] *22739:A 0 +4 la_oenb_core[14] *2773:8 4.17115e-05 +5 la_oenb_core[14] *2986:13 0.000122378 +6 la_data_in_core[15] la_oenb_core[14] 0 +7 *293:5 la_oenb_core[14] 0 +*RES +1 *22994:X la_oenb_core[14] 24.4558 +*END + +*D_NET *678 0.00143581 +*CONN +*P la_oenb_core[15] O +*I *22995:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[15] 0.000614267 +2 *22995:X 0.000614267 +3 la_oenb_core[15] *22739:A 0 +4 la_oenb_core[15] *2784:6 3.82386e-05 +5 la_oenb_core[15] *2986:13 0.000169041 +6 la_data_in_core[16] la_oenb_core[15] 0 +7 *294:8 la_oenb_core[15] 0 +*RES +1 *22995:X la_oenb_core[15] 25.0104 +*END + +*D_NET *679 0.0014573 +*CONN +*P la_oenb_core[16] O +*I *22996:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[16] 0.000597263 +2 *22996:X 0.000597263 +3 la_oenb_core[16] *2795:6 4.17115e-05 +4 la_oenb_core[16] *2985:44 5.20176e-05 +5 la_oenb_core[16] *2986:13 0.000169041 +6 la_data_in_core[17] la_oenb_core[16] 0 +7 *295:5 la_oenb_core[16] 0 +*RES +1 *22996:X la_oenb_core[16] 25.0104 +*END + +*D_NET *680 0.00146736 +*CONN +*P la_oenb_core[17] O +*I *22997:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[17] 0.000609848 +2 *22997:X 0.000609848 +3 la_oenb_core[17] *2807:8 7.21753e-05 +4 la_oenb_core[17] *2986:13 0.000175485 +5 la_data_in_core[18] la_oenb_core[17] 0 +6 *4151:DIODE la_oenb_core[17] 0 +7 *296:10 la_oenb_core[17] 0 +*RES +1 *22997:X la_oenb_core[17] 25.0104 +*END + +*D_NET *681 0.00145593 +*CONN +*P la_oenb_core[18] O +*I *22998:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[18] 0.000634356 +2 *22998:X 0.000634356 +3 la_oenb_core[18] *22743:A 0 +4 la_oenb_core[18] *2818:8 1.17299e-05 +5 la_oenb_core[18] *2986:13 0.000175485 +6 la_data_in_core[19] la_oenb_core[18] 0 +7 *297:5 la_oenb_core[18] 0 +*RES +1 *22998:X la_oenb_core[18] 25.0104 +*END + +*D_NET *682 0.00136627 +*CONN +*P la_oenb_core[19] O +*I *22999:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[19] 0.00058586 +2 *22999:X 0.00058586 +3 la_oenb_core[19] *22745:A 0 +4 la_oenb_core[19] *2829:6 7.21753e-05 +5 la_oenb_core[19] *2986:13 0.000122378 +6 la_data_in_core[20] la_oenb_core[19] 0 +7 *298:5 la_oenb_core[19] 0 +*RES +1 *22999:X la_oenb_core[19] 24.4558 +*END + +*D_NET *683 0.00216716 +*CONN +*P la_oenb_core[1] O +*I *23000:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[1] 0.000668528 +2 *23000:X 0.000668528 +3 la_oenb_core[1] *1868:10 0.000334377 +4 la_oenb_core[1] *2986:13 0.00041523 +5 la_oenb_core[1] *3000:8 2.93502e-05 +6 la_oenb_core[1] *3008:29 5.11466e-05 +7 la_data_in_core[2] la_oenb_core[1] 0 +8 *299:12 la_oenb_core[1] 0 +*RES +1 *23000:X la_oenb_core[1] 27.7834 +*END + +*D_NET *684 0.00146699 +*CONN +*P la_oenb_core[20] O +*I *23001:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[20] 0.000649751 +2 *23001:X 0.000649751 +3 la_oenb_core[20] *2851:8 2.59904e-05 +4 la_oenb_core[20] *2986:13 0.000122378 +5 la_oenb_core[20] *3008:29 1.91246e-05 +6 la_data_in_core[21] la_oenb_core[20] 0 +7 *300:5 la_oenb_core[20] 0 +*RES +1 *23001:X la_oenb_core[20] 25.3596 +*END + +*D_NET *685 0.00144863 +*CONN +*P la_oenb_core[21] O +*I *23002:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[21] 0.00058487 +2 *23002:X 0.00058487 +3 la_oenb_core[21] *23002:A 5.88009e-05 +4 la_oenb_core[21] *2862:8 2.14842e-06 +5 la_oenb_core[21] *3001:29 0.000217937 +6 la_data_in_core[22] la_oenb_core[21] 0 +7 *301:7 la_oenb_core[21] 0 +*RES +1 *23002:X la_oenb_core[21] 24.3193 +*END + +*D_NET *686 0.00148258 +*CONN +*P la_oenb_core[22] O +*I *23003:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[22] 0.00062116 +2 *23003:X 0.00062116 +3 la_oenb_core[22] *2873:8 2.24412e-05 +4 la_oenb_core[22] *2986:13 0.000175485 +5 la_oenb_core[22] *2988:33 4.23338e-05 +6 la_data_in_core[23] la_oenb_core[22] 0 +*RES +1 *23003:X la_oenb_core[22] 25.0104 +*END + +*D_NET *687 0.00144876 +*CONN +*P la_oenb_core[23] O +*I *23004:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[23] 0.00059849 +2 *23004:X 0.00059849 +3 la_oenb_core[23] *23004:A 2.00098e-05 +4 la_oenb_core[23] *2884:10 7.09666e-06 +5 la_oenb_core[23] *3001:43 0.000214364 +6 la_data_in_core[24] la_oenb_core[23] 0 +7 *4228:DIODE la_oenb_core[23] 1.03079e-05 +8 *303:7 la_oenb_core[23] 0 +*RES +1 *23004:X la_oenb_core[23] 24.3193 +*END + +*D_NET *688 0.00138271 +*CONN +*P la_oenb_core[24] O +*I *23005:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[24] 0.000602699 +2 *23005:X 0.000602699 +3 la_oenb_core[24] *22750:A 2.09013e-05 +4 la_oenb_core[24] *2986:13 0.000120967 +5 la_data_in_core[25] la_oenb_core[24] 0 +6 *4239:DIODE la_oenb_core[24] 3.54474e-05 +7 *304:7 la_oenb_core[24] 0 +*RES +1 *23005:X la_oenb_core[24] 24.4558 +*END + +*D_NET *689 0.00145513 +*CONN +*P la_oenb_core[25] O +*I *23006:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[25] 0.00060681 +2 *23006:X 0.00060681 +3 la_oenb_core[25] *23006:A 2.00098e-05 +4 la_oenb_core[25] *2906:6 3.5534e-06 +5 la_oenb_core[25] *3001:45 0.000217951 +6 la_data_in_core[26] la_oenb_core[25] 0 +7 *305:5 la_oenb_core[25] 0 +*RES +1 *23006:X la_oenb_core[25] 24.3193 +*END + +*D_NET *690 0.00147998 +*CONN +*P la_oenb_core[26] O +*I *23007:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[26] 0.000652247 +2 *23007:X 0.000652247 +3 la_oenb_core[26] *2918:12 0 +4 la_oenb_core[26] *2986:13 0.000175485 +5 la_data_in_core[27] la_oenb_core[26] 0 +6 *4262:DIODE la_oenb_core[26] 0 +7 *306:5 la_oenb_core[26] 0 +*RES +1 *23007:X la_oenb_core[26] 25.0104 +*END + +*D_NET *691 0.00137037 +*CONN +*P la_oenb_core[27] O +*I *23008:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[27] 0.000573763 +2 *23008:X 0.000573763 +3 la_oenb_core[27] *2929:9 6.22259e-05 +4 la_oenb_core[27] *3001:45 0.000160617 +5 la_data_in_core[28] la_oenb_core[27] 0 +6 *307:5 la_oenb_core[27] 0 +*RES +1 *23008:X la_oenb_core[27] 23.7647 +*END + +*D_NET *692 0.00151264 +*CONN +*P la_oenb_core[28] O +*I *23009:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[28] 0.000634201 +2 *23009:X 0.000634201 +3 la_oenb_core[28] *2940:10 6.87503e-05 +4 la_oenb_core[28] *2986:13 0.000175485 +5 la_oenb_core[28] *2988:33 0 +6 la_data_in_core[29] la_oenb_core[28] 0 +7 *308:5 la_oenb_core[28] 0 +*RES +1 *23009:X la_oenb_core[28] 25.0104 +*END + +*D_NET *693 0.00133348 +*CONN +*P la_oenb_core[29] O +*I *23010:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[29] 0.000553212 +2 *23010:X 0.000553212 +3 la_oenb_core[29] *2951:12 6.22259e-05 +4 la_oenb_core[29] *3001:45 0.000164829 +5 la_data_in_core[30] la_oenb_core[29] 0 +6 *309:7 la_oenb_core[29] 0 +*RES +1 *23010:X la_oenb_core[29] 23.7647 +*END + +*D_NET *694 0.00136803 +*CONN +*P la_oenb_core[2] O +*I *23011:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[2] 0.000507736 +2 *23011:X 0.000507736 +3 la_oenb_core[2] *23011:A 1.51891e-05 +4 la_oenb_core[2] *1875:8 0.00022285 +5 la_oenb_core[2] *3001:29 0.000114523 +6 la_data_in_core[3] la_oenb_core[2] 0 +7 *310:7 la_oenb_core[2] 0 +*RES +1 *23011:X la_oenb_core[2] 24.5047 +*END + +*D_NET *695 0.00148878 +*CONN +*P la_oenb_core[30] O +*I *23012:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[30] 0.000627292 +2 *23012:X 0.000627292 +3 la_oenb_core[30] *2973:14 6.51527e-05 +4 la_oenb_core[30] *2986:13 0.000169041 +5 la_data_in_core[31] la_oenb_core[30] 0 +6 *4317:DIODE la_oenb_core[30] 0 +7 *311:5 la_oenb_core[30] 0 +*RES +1 *23012:X la_oenb_core[30] 25.0104 +*END + +*D_NET *696 0.00138064 +*CONN +*P la_oenb_core[31] O +*I *23013:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[31] 0.000589455 +2 *23013:X 0.000589455 +3 la_oenb_core[31] *2986:13 0.000118166 +4 la_oenb_core[31] *2990:28 6.23152e-05 +5 la_oenb_core[31] *3002:28 1.91246e-05 +6 la_oenb_core[31] *3121:47 2.1203e-06 +7 la_data_in_core[32] la_oenb_core[31] 0 +8 *312:5 la_oenb_core[31] 0 +*RES +1 *23013:X la_oenb_core[31] 24.4558 +*END + +*D_NET *697 0.001779 +*CONN +*P la_oenb_core[32] O +*I *23014:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[32] 0.000739086 +2 *23014:X 0.000739086 +3 la_oenb_core[32] *2990:28 0 +4 la_oenb_core[32] *3006:18 1.91246e-05 +5 la_oenb_core[32] *3121:47 0.0002817 +6 la_data_in_core[33] la_oenb_core[32] 0 +7 *313:5 la_oenb_core[32] 0 +*RES +1 *23014:X la_oenb_core[32] 26.8524 +*END + +*D_NET *698 0.00136247 +*CONN +*P la_oenb_core[33] O +*I *23015:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[33] 0.000601385 +2 *23015:X 0.000601385 +3 la_oenb_core[33] *22760:A 0 +4 la_oenb_core[33] *3006:15 3.73212e-05 +5 la_oenb_core[33] *3121:47 0.000122378 +6 la_data_in_core[34] la_oenb_core[33] 0 +7 *314:5 la_oenb_core[33] 0 +*RES +1 *23015:X la_oenb_core[33] 24.4558 +*END + +*D_NET *699 0.00126993 +*CONN +*P la_oenb_core[34] O +*I *23016:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[34] 0.0005713 +2 *23016:X 0.0005713 +3 la_oenb_core[34] *22761:A 0 +4 la_oenb_core[34] *2998:88 7.57527e-05 +5 la_oenb_core[34] *3007:45 9.82762e-06 +6 la_oenb_core[34] *3017:8 4.1752e-05 +7 la_data_in_core[35] la_oenb_core[34] 0 +8 *315:7 la_oenb_core[34] 0 +*RES +1 *23016:X la_oenb_core[34] 24.4724 +*END + +*D_NET *700 0.0020741 +*CONN +*P la_oenb_core[35] O +*I *23017:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[35] 0.000719714 +2 *23017:X 0.000719714 +3 la_oenb_core[35] *2985:45 0.000630475 +4 la_oenb_core[35] *3007:45 4.19401e-06 +5 la_data_in_core[36] la_oenb_core[35] 0 +6 *316:5 la_oenb_core[35] 0 +*RES +1 *23017:X la_oenb_core[35] 26.6798 +*END + +*D_NET *701 0.00123094 +*CONN +*P la_oenb_core[36] O +*I *23018:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[36] 0.00061547 +2 *23018:X 0.00061547 +3 la_oenb_core[36] *23017:A 0 +4 la_data_in_core[37] la_oenb_core[36] 0 +5 *317:12 la_oenb_core[36] 0 +*RES +1 *23018:X la_oenb_core[36] 25.0342 +*END + +*D_NET *702 0.00206117 +*CONN +*P la_oenb_core[37] O +*I *23019:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[37] 0.000709188 +2 *23019:X 0.000709188 +3 la_oenb_core[37] *2985:45 0.000642796 +4 la_data_in_core[38] la_oenb_core[37] 0 +5 *318:8 la_oenb_core[37] 0 +*RES +1 *23019:X la_oenb_core[37] 26.6798 +*END + +*D_NET *703 0.00133063 +*CONN +*P la_oenb_core[38] O +*I *23020:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[38] 0.000588665 +2 *23020:X 0.000588665 +3 la_oenb_core[38] *23019:A 0 +4 la_oenb_core[38] *2983:63 0.000118166 +5 la_oenb_core[38] *3062:8 2.55536e-05 +6 la_data_in_core[39] la_oenb_core[38] 0 +7 *319:12 la_oenb_core[38] 9.5793e-06 +*RES +1 *23020:X la_oenb_core[38] 24.4558 +*END + +*D_NET *704 0.00195012 +*CONN +*P la_oenb_core[39] O +*I *23021:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[39] 0.00068133 +2 *23021:X 0.00068133 +3 la_oenb_core[39] *2985:45 0.000587456 +4 la_data_in_core[40] la_oenb_core[39] 0 +5 *320:7 la_oenb_core[39] 0 +*RES +1 *23021:X la_oenb_core[39] 26.1252 +*END + +*D_NET *705 0.00163874 +*CONN +*P la_oenb_core[3] O +*I *23022:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[3] 0.000411026 +2 *23022:X 0.000411026 +3 la_oenb_core[3] *1867:10 0.000369573 +4 la_oenb_core[3] *2488:34 3.21497e-05 +5 la_oenb_core[3] *2983:14 3.48256e-05 +6 la_oenb_core[3] *2985:17 0.000315077 +7 la_oenb_core[3] *2986:13 6.50586e-05 +*RES +1 *23022:X la_oenb_core[3] 25.1958 +*END + +*D_NET *706 0.00134008 +*CONN +*P la_oenb_core[40] O +*I *23023:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[40] 0.000594336 +2 *23023:X 0.000594336 +3 la_oenb_core[40] *2983:63 0.000122378 +4 la_oenb_core[40] *2986:22 2.90275e-05 +5 la_oenb_core[40] *3095:10 0 +6 la_data_in_core[41] la_oenb_core[40] 0 +7 *322:10 la_oenb_core[40] 0 +*RES +1 *23023:X la_oenb_core[40] 24.4558 +*END + +*D_NET *707 0.00133937 +*CONN +*P la_oenb_core[41] O +*I *23024:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[41] 0.00059451 +2 *23024:X 0.00059451 +3 la_oenb_core[41] *22769:A 0 +4 la_oenb_core[41] *2983:63 0.000122378 +5 la_oenb_core[41] *3106:8 2.7973e-05 +6 la_data_in_core[42] la_oenb_core[41] 0 +7 *323:5 la_oenb_core[41] 0 +*RES +1 *23024:X la_oenb_core[41] 24.4558 +*END + +*D_NET *708 0.00146442 +*CONN +*P la_oenb_core[42] O +*I *23025:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[42] 0.000630603 +2 *23025:X 0.000630603 +3 la_oenb_core[42] *2983:63 0.000122378 +4 la_oenb_core[42] *3117:6 6.56365e-05 +5 la_data_in_core[43] la_oenb_core[42] 0 +6 *4398:DIODE la_oenb_core[42] 1.51956e-05 +7 *324:8 la_oenb_core[42] 0 +*RES +1 *23025:X la_oenb_core[42] 25.3596 +*END + +*D_NET *709 0.00146875 +*CONN +*P la_oenb_core[43] O +*I *23026:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[43] 0.000635411 +2 *23026:X 0.000635411 +3 la_oenb_core[43] *2983:63 0.000175485 +4 la_oenb_core[43] *3128:11 2.24412e-05 +5 la_data_in_core[44] la_oenb_core[43] 0 +6 *325:5 la_oenb_core[43] 0 +*RES +1 *23026:X la_oenb_core[43] 25.0104 +*END + +*D_NET *710 0.00148848 +*CONN +*P la_oenb_core[44] O +*I *23027:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[44] 0.000623681 +2 *23027:X 0.000623681 +3 la_oenb_core[44] *3140:15 6.56365e-05 +4 la_oenb_core[44] *3162:16 0.000175485 +5 la_data_in_core[45] la_oenb_core[44] 0 +6 *326:8 la_oenb_core[44] 0 +*RES +1 *23027:X la_oenb_core[44] 25.0104 +*END + +*D_NET *711 0.00148142 +*CONN +*P la_oenb_core[45] O +*I *23028:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[45] 0.00064345 +2 *23028:X 0.00064345 +3 la_oenb_core[45] *3001:87 0 +4 la_oenb_core[45] *3151:10 1.90305e-05 +5 la_oenb_core[45] *3162:16 0.000175485 +6 la_data_in_core[46] la_oenb_core[45] 0 +*RES +1 *23028:X la_oenb_core[45] 25.0104 +*END + +*D_NET *712 0.00141811 +*CONN +*P la_oenb_core[46] O +*I *23029:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[46] 0.000614837 +2 *23029:X 0.000614837 +3 la_oenb_core[46] *22774:A 0 +4 la_oenb_core[46] *2980:21 7.97944e-05 +5 la_oenb_core[46] *3162:16 0.000108639 +6 la_data_in_core[47] la_oenb_core[46] 0 +7 *328:5 la_oenb_core[46] 0 +*RES +1 *23029:X la_oenb_core[46] 25.0104 +*END + +*D_NET *713 0.00135581 +*CONN +*P la_oenb_core[47] O +*I *23030:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[47] 0.00059834 +2 *23030:X 0.00059834 +3 la_oenb_core[47] *22775:A 0 +4 la_oenb_core[47] *3173:19 4.1752e-05 +5 la_oenb_core[47] *3421:13 0.000117376 +6 la_data_in_core[48] la_oenb_core[47] 0 +7 *329:5 la_oenb_core[47] 0 +*RES +1 *23030:X la_oenb_core[47] 24.4558 +*END + +*D_NET *714 0.00142233 +*CONN +*P la_oenb_core[48] O +*I *23031:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[48] 0.000383432 +2 *23031:X 0.000383432 +3 la_oenb_core[48] *23031:A 1.58588e-05 +4 la_oenb_core[48] *2978:17 0.000364225 +5 la_oenb_core[48] *2985:45 4.81849e-05 +6 la_oenb_core[48] *2998:114 0.000222149 +7 la_data_in_core[49] la_oenb_core[48] 0 +8 *22216:A la_oenb_core[48] 5.04829e-06 +*RES +1 *23031:X la_oenb_core[48] 23.904 +*END + +*D_NET *715 0.00150354 +*CONN +*P la_oenb_core[49] O +*I *23032:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[49] 0.000608243 +2 *23032:X 0.000608243 +3 la_oenb_core[49] *22778:A 0 +4 la_oenb_core[49] *2978:19 0.000175485 +5 la_oenb_core[49] *3195:14 0.000111569 +6 la_data_in_core[50] la_oenb_core[49] 0 +7 *331:5 la_oenb_core[49] 0 +*RES +1 *23032:X la_oenb_core[49] 25.0104 +*END + +*D_NET *716 0.00140425 +*CONN +*P la_oenb_core[4] O +*I *23033:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[4] 0.000570379 +2 *23033:X 0.000570379 +3 la_oenb_core[4] *23033:A 2.53568e-05 +4 la_oenb_core[4] *3001:29 0.000217951 +5 la_oenb_core[4] *3206:6 2.01855e-05 +6 la_data_in_core[5] la_oenb_core[4] 0 +7 *332:7 la_oenb_core[4] 0 +*RES +1 *23033:X la_oenb_core[4] 24.3193 +*END + +*D_NET *717 0.00127096 +*CONN +*P la_oenb_core[50] O +*I *23034:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[50] 0.000484931 +2 *23034:X 0.000484931 +3 la_oenb_core[50] *23034:A 3.72644e-05 +4 la_oenb_core[50] *2985:45 3.62128e-05 +5 la_oenb_core[50] *2998:114 0.000222149 +6 la_oenb_core[50] *3217:8 5.47232e-06 +7 la_data_in_core[51] la_oenb_core[50] 0 +8 *333:5 la_oenb_core[50] 0 +*RES +1 *23034:X la_oenb_core[50] 23.904 +*END + +*D_NET *718 0.0014194 +*CONN +*P la_oenb_core[51] O +*I *23035:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[51] 0.000595187 +2 *23035:X 0.000595187 +3 la_oenb_core[51] *22780:A 0 +4 la_oenb_core[51] *2978:19 0.000122378 +5 la_oenb_core[51] *3228:19 0.000106645 +6 la_data_in_core[52] la_oenb_core[51] 0 +7 *334:5 la_oenb_core[51] 0 +*RES +1 *23035:X la_oenb_core[51] 24.4558 +*END + +*D_NET *719 0.00142705 +*CONN +*P la_oenb_core[52] O +*I *23036:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[52] 0.000457059 +2 *23036:X 0.000457059 +3 la_oenb_core[52] *23036:A 4.15661e-05 +4 la_oenb_core[52] *2998:114 0.000222149 +5 la_oenb_core[52] *3001:107 0.000217937 +6 la_oenb_core[52] *3239:21 3.12828e-05 +7 la_data_in_core[53] la_oenb_core[52] 0 +8 *335:5 la_oenb_core[52] 0 +*RES +1 *23036:X la_oenb_core[52] 23.904 +*END + +*D_NET *720 0.0013546 +*CONN +*P la_oenb_core[53] O +*I *23037:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[53] 0.000573888 +2 *23037:X 0.000573888 +3 la_oenb_core[53] *22782:A 4.69088e-05 +4 la_oenb_core[53] *2978:19 0.000118166 +5 la_oenb_core[53] *3251:6 4.1752e-05 +6 la_data_in_core[54] la_oenb_core[53] 0 +7 *336:5 la_oenb_core[53] 0 +*RES +1 *23037:X la_oenb_core[53] 24.4558 +*END + +*D_NET *721 0.00116968 +*CONN +*P la_oenb_core[54] O +*I *23038:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[54] 0.000475768 +2 *23038:X 0.000475768 +3 la_oenb_core[54] *2985:45 4.26566e-05 +4 la_oenb_core[54] *3001:107 0.000175485 +5 la_data_in_core[55] la_oenb_core[54] 0 +6 *337:5 la_oenb_core[54] 0 +*RES +1 *23038:X la_oenb_core[54] 23.3494 +*END + +*D_NET *722 0.00135586 +*CONN +*P la_oenb_core[55] O +*I *23039:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[55] 0.000603575 +2 *23039:X 0.000603575 +3 la_oenb_core[55] *22784:A 0 +4 la_oenb_core[55] *1866:10 8.62625e-06 +5 la_oenb_core[55] *2978:19 0.000122378 +6 la_oenb_core[55] *3273:8 1.77059e-05 +7 la_data_in_core[56] la_oenb_core[55] 0 +8 *338:5 la_oenb_core[55] 0 +*RES +1 *23039:X la_oenb_core[55] 24.4558 +*END + +*D_NET *723 0.00150395 +*CONN +*P la_oenb_core[56] O +*I *23040:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[56] 0.000628146 +2 *23040:X 0.000628146 +3 la_oenb_core[56] *22785:A 0 +4 la_oenb_core[56] *2978:19 0.000175485 +5 la_oenb_core[56] *3284:6 7.21753e-05 +6 la_data_in_core[57] la_oenb_core[56] 0 +7 *339:5 la_oenb_core[56] 0 +*RES +1 *23040:X la_oenb_core[56] 25.0104 +*END + +*D_NET *724 0.00170075 +*CONN +*P la_oenb_core[57] O +*I *23041:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[57] 0.000693635 +2 *23041:X 0.000693635 +3 la_oenb_core[57] *2978:19 0.000220665 +4 la_oenb_core[57] *3007:58 4.21609e-06 +5 la_oenb_core[57] *3295:6 8.85986e-05 +6 la_data_in_core[58] la_oenb_core[57] 0 +7 *340:5 la_oenb_core[57] 0 +*RES +1 *23041:X la_oenb_core[57] 26.4688 +*END + +*D_NET *725 0.00150365 +*CONN +*P la_oenb_core[58] O +*I *23042:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[58] 0.000610758 +2 *23042:X 0.000610758 +3 la_oenb_core[58] *22787:A 0 +4 la_oenb_core[58] *2978:19 0.000175485 +5 la_oenb_core[58] *2998:130 0 +6 la_oenb_core[58] *3306:21 0.000106645 +7 la_data_in_core[59] la_oenb_core[58] 0 +8 *341:5 la_oenb_core[58] 0 +*RES +1 *23042:X la_oenb_core[58] 25.0104 +*END + +*D_NET *726 0.00185107 +*CONN +*P la_oenb_core[59] O +*I *23043:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[59] 0.00071093 +2 *23043:X 0.00071093 +3 la_oenb_core[59] *2978:19 0.000324777 +4 la_oenb_core[59] *3317:8 0.00010443 +5 la_data_in_core[60] la_oenb_core[59] 0 +6 *4418:DIODE la_oenb_core[59] 0 +7 *342:5 la_oenb_core[59] 0 +*RES +1 *23043:X la_oenb_core[59] 26.6742 +*END + +*D_NET *727 0.00177732 +*CONN +*P la_oenb_core[5] O +*I *23044:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[5] 0.000701892 +2 *23044:X 0.000701892 +3 la_oenb_core[5] *22799:A 0 +4 la_oenb_core[5] *2986:13 0.000330596 +5 la_oenb_core[5] *3328:6 4.29439e-05 +6 la_data_in_core[6] la_oenb_core[5] 0 +7 *343:5 la_oenb_core[5] 0 +*RES +1 *23044:X la_oenb_core[5] 26.6742 +*END + +*D_NET *728 0.00152708 +*CONN +*P la_oenb_core[60] O +*I *23045:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[60] 0.000629982 +2 *23045:X 0.000629982 +3 la_oenb_core[60] *2978:19 0.000169041 +4 la_oenb_core[60] *3339:10 9.80784e-05 +5 la_data_in_core[61] la_oenb_core[60] 0 +6 *344:5 la_oenb_core[60] 0 +*RES +1 *23045:X la_oenb_core[60] 25.0104 +*END + +*D_NET *729 0.00136754 +*CONN +*P la_oenb_core[61] O +*I *23046:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[61] 0.00060447 +2 *23046:X 0.00060447 +3 la_oenb_core[61] *2978:19 0.000122378 +4 la_oenb_core[61] *3350:6 3.62202e-05 +5 la_data_in_core[62] la_oenb_core[61] 0 +6 *345:5 la_oenb_core[61] 0 +*RES +1 *23046:X la_oenb_core[61] 24.4558 +*END + +*D_NET *730 0.00227955 +*CONN +*P la_oenb_core[62] O +*I *23047:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[62] 0.000857261 +2 *23047:X 0.000857261 +3 la_oenb_core[62] *3001:134 0.000370913 +4 la_oenb_core[62] *3362:11 2.92944e-05 +5 la_data_in_core[63] la_oenb_core[62] 0.000164815 +6 *346:5 la_oenb_core[62] 0 +*RES +1 *23047:X la_oenb_core[62] 29.1053 +*END + +*D_NET *731 0.00153106 +*CONN +*P la_oenb_core[63] O +*I *23048:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[63] 0.000668703 +2 *23048:X 0.000668703 +3 la_oenb_core[63] *2978:19 0.000169041 +4 la_oenb_core[63] *3373:8 2.46091e-05 +5 la_data_in_core[64] la_oenb_core[63] 0 +6 *347:10 la_oenb_core[63] 0 +*RES +1 *23048:X la_oenb_core[63] 25.7432 +*END + +*D_NET *732 0.00207922 +*CONN +*P la_oenb_core[64] O +*I *23049:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[64] 0.000797569 +2 *23049:X 0.000797569 +3 la_oenb_core[64] *2327:11 6.56413e-05 +4 la_oenb_core[64] *2467:10 0 +5 la_oenb_core[64] *2998:130 9.71543e-06 +6 la_oenb_core[64] *3001:134 0.000162991 +7 la_oenb_core[64] *3384:6 1.72919e-05 +8 la_data_in_core[65] la_oenb_core[64] 0.000164829 +9 *4425:DIODE la_oenb_core[64] 6.3609e-05 +*RES +1 *23049:X la_oenb_core[64] 28.2015 +*END + +*D_NET *733 0.00132841 +*CONN +*P la_oenb_core[65] O +*I *23050:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[65] 0.000593831 +2 *23050:X 0.000593831 +3 la_oenb_core[65] *2978:19 0.000122378 +4 la_oenb_core[65] *3395:17 1.83676e-05 +5 la_data_in_core[66] la_oenb_core[65] 0 +6 *349:10 la_oenb_core[65] 0 +*RES +1 *23050:X la_oenb_core[65] 24.4558 +*END + +*D_NET *734 0.00215011 +*CONN +*P la_oenb_core[66] O +*I *23051:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[66] 0.000805954 +2 *23051:X 0.000805954 +3 la_oenb_core[66] *22795:A 8.51781e-05 +4 la_oenb_core[66] *22796:A 1.27402e-05 +5 la_oenb_core[66] *3001:134 4.15661e-05 +6 la_oenb_core[66] *3001:146 0.000223235 +7 la_data_in_core[67] la_oenb_core[66] 0.000175485 +8 *350:7 la_oenb_core[66] 0 +*RES +1 *23051:X la_oenb_core[66] 28.2015 +*END + +*D_NET *735 0.00135739 +*CONN +*P la_oenb_core[67] O +*I *23052:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[67] 0.000603588 +2 *23052:X 0.000603588 +3 la_oenb_core[67] *2978:19 0.000122378 +4 la_data_in_core[68] la_oenb_core[67] 0 +5 *4428:DIODE la_oenb_core[67] 1.82554e-05 +6 *351:9 la_oenb_core[67] 9.5793e-06 +*RES +1 *23052:X la_oenb_core[67] 24.4558 +*END + +*D_NET *736 0.00144734 +*CONN +*P la_oenb_core[68] O +*I *23053:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[68] 0.000637719 +2 *23053:X 0.000637719 +3 la_oenb_core[68] *2978:19 0.000171899 +4 la_data_in_core[69] la_oenb_core[68] 0 +5 *352:5 la_oenb_core[68] 0 +*RES +1 *23053:X la_oenb_core[68] 25.0104 +*END + +*D_NET *737 0.00236923 +*CONN +*P la_oenb_core[69] O +*I *23054:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[69] 0.000855004 +2 *23054:X 0.000855004 +3 la_oenb_core[69] *2978:19 0.00052643 +4 la_oenb_core[69] *3166:23 3.13066e-05 +5 la_oenb_core[69] *3439:8 0.000101489 +6 la_data_in_core[70] la_oenb_core[69] 0 +7 *353:5 la_oenb_core[69] 0 +*RES +1 *23054:X la_oenb_core[69] 29.7964 +*END + +*D_NET *738 0.00147936 +*CONN +*P la_oenb_core[6] O +*I *23055:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[6] 0.000595603 +2 *23055:X 0.000595603 +3 la_oenb_core[6] *22810:A 0 +4 la_oenb_core[6] *2986:13 0.000175485 +5 la_oenb_core[6] *3450:6 0.000112673 +6 la_data_in_core[7] la_oenb_core[6] 0 +7 *354:5 la_oenb_core[6] 0 +*RES +1 *23055:X la_oenb_core[6] 25.0104 +*END + +*D_NET *739 0.0013345 +*CONN +*P la_oenb_core[70] O +*I *23056:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[70] 0.000588028 +2 *23056:X 0.000588028 +3 la_oenb_core[70] *2978:19 0.000122378 +4 la_oenb_core[70] *3461:6 3.27398e-05 +5 la_data_in_core[71] la_oenb_core[70] 0 +6 *4432:DIODE la_oenb_core[70] 3.3239e-06 +7 *355:8 la_oenb_core[70] 0 +*RES +1 *23056:X la_oenb_core[70] 24.4558 +*END + +*D_NET *740 0.0014366 +*CONN +*P la_oenb_core[71] O +*I *23057:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[71] 0.000628463 +2 *23057:X 0.000628463 +3 la_oenb_core[71] *2978:19 0.000175485 +4 la_oenb_core[71] *3008:58 4.19401e-06 +5 la_data_in_core[72] la_oenb_core[71] 0 +6 *3808:DIODE la_oenb_core[71] 0 +7 *356:8 la_oenb_core[71] 0 +*RES +1 *23057:X la_oenb_core[71] 25.0104 +*END + +*D_NET *741 0.00130564 +*CONN +*P la_oenb_core[72] O +*I *23058:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[72] 0.000502444 +2 *23058:X 0.000502444 +3 la_oenb_core[72] *22802:A 6.50586e-05 +4 la_oenb_core[72] *23058:A 8.03676e-06 +5 la_oenb_core[72] *2360:17 9.7112e-06 +6 la_oenb_core[72] *3171:27 0.000217951 +7 la_data_in_core[73] la_oenb_core[72] 0 +8 *357:5 la_oenb_core[72] 0 +*RES +1 *23058:X la_oenb_core[72] 23.904 +*END + +*D_NET *742 0.00146899 +*CONN +*P la_oenb_core[73] O +*I *23059:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[73] 0.000590968 +2 *23059:X 0.000590968 +3 la_oenb_core[73] *1944:25 0 +4 la_oenb_core[73] *2371:6 0.000111569 +5 la_oenb_core[73] *2978:19 0.000175485 +6 *358:5 la_oenb_core[73] 0 +*RES +1 *23059:X la_oenb_core[73] 25.0104 +*END + +*D_NET *743 0.00140772 +*CONN +*P la_oenb_core[74] O +*I *23060:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[74] 0.000595241 +2 *23060:X 0.000595241 +3 la_oenb_core[74] *22805:A 0 +4 la_oenb_core[74] *2382:9 4.1752e-05 +5 la_oenb_core[74] *2436:6 0 +6 la_oenb_core[74] *2978:19 0.000175485 +7 la_data_in_core[75] la_oenb_core[74] 0 +8 *359:5 la_oenb_core[74] 0 +*RES +1 *23060:X la_oenb_core[74] 25.0104 +*END + +*D_NET *744 0.00121951 +*CONN +*P la_oenb_core[75] O +*I *23061:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[75] 0.000501739 +2 *23061:X 0.000501739 +3 la_oenb_core[75] *23061:A 1.48605e-05 +4 la_oenb_core[75] *2393:6 1.21831e-05 +5 la_oenb_core[75] *3171:27 0.000188992 +6 la_data_in_core[76] la_oenb_core[75] 0 +7 *360:5 la_oenb_core[75] 0 +*RES +1 *23061:X la_oenb_core[75] 23.904 +*END + +*D_NET *745 0.00185031 +*CONN +*P la_oenb_core[76] O +*I *23062:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[76] 0.000711805 +2 *23062:X 0.000711805 +3 la_oenb_core[76] *22807:A 0 +4 la_oenb_core[76] *2404:8 4.52324e-05 +5 la_oenb_core[76] *2978:19 0.000381471 +6 la_data_in_core[77] la_oenb_core[76] 0 +7 *361:5 la_oenb_core[76] 0 +*RES +1 *23062:X la_oenb_core[76] 27.2288 +*END + +*D_NET *746 0.001148 +*CONN +*P la_oenb_core[77] O +*I *23063:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[77] 0.000511874 +2 *23063:X 0.000511874 +3 la_oenb_core[77] *22808:A 6.63269e-05 +4 la_oenb_core[77] *23063:A 2.15184e-05 +5 la_oenb_core[77] *2415:14 1.21831e-05 +6 la_oenb_core[77] *2985:45 2.42273e-05 +7 la_data_in_core[78] la_oenb_core[77] 0 +8 *362:5 la_oenb_core[77] 0 +*RES +1 *23063:X la_oenb_core[77] 23.904 +*END + +*D_NET *747 0.00147779 +*CONN +*P la_oenb_core[78] O +*I *23064:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[78] 0.000441707 +2 *23064:X 0.000441707 +3 la_oenb_core[78] *23065:A 0 +4 la_oenb_core[78] *1942:25 0.000471996 +5 la_oenb_core[78] *2978:19 0.000122378 +6 la_data_in_core[79] la_oenb_core[78] 0 +*RES +1 *23064:X la_oenb_core[78] 24.4558 +*END + +*D_NET *748 0.00111186 +*CONN +*P la_oenb_core[79] O +*I *23065:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[79] 0.000512367 +2 *23065:X 0.000512367 +3 la_oenb_core[79] *23065:A 5.07314e-05 +4 la_oenb_core[79] *2437:8 1.21831e-05 +5 la_oenb_core[79] *2985:45 2.42138e-05 +6 la_oenb_core[79] *3007:70 0 +7 la_data_in_core[80] la_oenb_core[79] 0 +8 *364:5 la_oenb_core[79] 0 +*RES +1 *23065:X la_oenb_core[79] 23.904 +*END + +*D_NET *749 0.0013259 +*CONN +*P la_oenb_core[7] O +*I *23066:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[7] 0.00060176 +2 *23066:X 0.00060176 +3 la_oenb_core[7] *2497:8 0 +4 la_oenb_core[7] *2986:13 0.000122378 +5 la_data_in_core[8] la_oenb_core[7] 0 +6 *3817:DIODE la_oenb_core[7] 0 +7 *365:5 la_oenb_core[7] 0 +*RES +1 *23066:X la_oenb_core[7] 24.4558 +*END + +*D_NET *750 0.00125233 +*CONN +*P la_oenb_core[80] O +*I *23067:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[80] 0.000440701 +2 *23067:X 0.000440701 +3 la_oenb_core[80] *23067:A 7.84691e-05 +4 la_oenb_core[80] *2460:15 6.34651e-06 +5 la_oenb_core[80] *2985:45 3.53654e-05 +6 la_oenb_core[80] *2986:26 1.76807e-05 +7 la_oenb_core[80] *3004:26 1.66771e-05 +8 la_oenb_core[80] *3177:13 0.000216391 +9 la_data_in_core[81] la_oenb_core[80] 0 +10 *366:5 la_oenb_core[80] 0 +*RES +1 *23067:X la_oenb_core[80] 23.904 +*END + +*D_NET *751 0.00199701 +*CONN +*P la_oenb_core[81] O +*I *23068:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[81] 0.000721007 +2 *23068:X 0.000721007 +3 la_oenb_core[81] *2471:8 0.000113242 +4 la_oenb_core[81] *2974:12 0 +5 la_oenb_core[81] *2978:19 0.000434578 +6 la_data_in_core[82] la_oenb_core[81] 0 +7 *3820:DIODE la_oenb_core[81] 7.17578e-06 +8 *367:7 la_oenb_core[81] 0 +*RES +1 *23068:X la_oenb_core[81] 27.7834 +*END + +*D_NET *752 0.00130686 +*CONN +*P la_oenb_core[82] O +*I *23069:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[82] 0.000550262 +2 *23069:X 0.000550262 +3 la_oenb_core[82] *2482:8 2.03531e-05 +4 la_oenb_core[82] *2978:19 0.000122378 +5 la_oenb_core[82] *3007:70 6.36073e-05 +6 la_data_in_core[83] la_oenb_core[82] 0 +7 *3821:DIODE la_oenb_core[82] 0 +8 *368:5 la_oenb_core[82] 0 +*RES +1 *23069:X la_oenb_core[82] 24.4558 +*END + +*D_NET *753 0.00145739 +*CONN +*P la_oenb_core[83] O +*I *23070:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[83] 0.00058763 +2 *23070:X 0.00058763 +3 la_oenb_core[83] *2489:8 0.000106645 +4 la_oenb_core[83] *2978:19 0.000175485 +5 la_oenb_core[83] *3177:13 0 +6 la_data_in_core[84] la_oenb_core[83] 0 +7 *3822:DIODE la_oenb_core[83] 0 +8 *369:5 la_oenb_core[83] 0 +*RES +1 *23070:X la_oenb_core[83] 25.0104 +*END + +*D_NET *754 0.00137114 +*CONN +*P la_oenb_core[84] O +*I *23071:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[84] 0.000576972 +2 *23071:X 0.000576972 +3 la_oenb_core[84] *5334:DIODE 0 +4 la_oenb_core[84] *2490:9 4.17115e-05 +5 la_oenb_core[84] *2978:19 0.000175485 +6 la_data_in_core[85] la_oenb_core[84] 0 +7 *3823:DIODE la_oenb_core[84] 0 +8 *370:9 la_oenb_core[84] 0 +*RES +1 *23071:X la_oenb_core[84] 25.0104 +*END + +*D_NET *755 0.00141459 +*CONN +*P la_oenb_core[85] O +*I *23072:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[85] 0.000556253 +2 *23072:X 0.000556253 +3 la_oenb_core[85] *22817:A 0 +4 la_oenb_core[85] *2491:6 0.000111569 +5 la_oenb_core[85] *2978:19 0.000175485 +6 la_data_in_core[86] la_oenb_core[85] 0 +7 *3824:DIODE la_oenb_core[85] 7.84597e-06 +8 *371:9 la_oenb_core[85] 7.18816e-06 +*RES +1 *23072:X la_oenb_core[85] 25.0104 +*END + +*D_NET *756 0.00218039 +*CONN +*P la_oenb_core[86] O +*I *23073:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[86] 0.000807489 +2 *23073:X 0.000807489 +3 la_oenb_core[86] *22818:A 0 +4 la_oenb_core[86] *2492:8 4.1752e-05 +5 la_oenb_core[86] *2978:19 0.000523665 +6 la_data_in_core[87] la_oenb_core[86] 0 +7 *3825:DIODE la_oenb_core[86] 0 +8 *372:5 la_oenb_core[86] 0 +*RES +1 *23073:X la_oenb_core[86] 28.8926 +*END + +*D_NET *757 0.0018968 +*CONN +*P la_oenb_core[87] O +*I *23074:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[87] 0.000667128 +2 *23074:X 0.000667128 +3 la_oenb_core[87] *22819:A 0 +4 la_oenb_core[87] *23649:A 0 +5 la_oenb_core[87] *23649:B 2.82403e-05 +6 la_oenb_core[87] *1850:11 9.24241e-05 +7 la_oenb_core[87] *2493:8 0.000277045 +8 la_oenb_core[87] *3181:14 0.000164829 +9 la_data_in_core[88] la_oenb_core[87] 0 +10 *3826:DIODE la_oenb_core[87] 0 +11 *373:5 la_oenb_core[87] 0 +*RES +1 *23074:X la_oenb_core[87] 28.3324 +*END + +*D_NET *758 0.00185861 +*CONN +*P la_oenb_core[88] O +*I *23075:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[88] 0.000661443 +2 *23075:X 0.000661443 +3 la_oenb_core[88] *2494:10 0.000111569 +4 la_oenb_core[88] *2978:19 0.000381471 +5 la_oenb_core[88] *3003:22 8.72221e-06 +6 la_oenb_core[88] *3004:26 3.39643e-05 +7 la_data_in_core[89] la_oenb_core[88] 0 +8 *374:5 la_oenb_core[88] 0 +*RES +1 *23075:X la_oenb_core[88] 27.2288 +*END + +*D_NET *759 0.00203885 +*CONN +*P la_oenb_core[89] O +*I *23076:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[89] 0.000759123 +2 *23076:X 0.000759123 +3 la_oenb_core[89] *2495:6 5.77352e-05 +4 la_oenb_core[89] *3361:45 0.000279123 +5 la_data_in_core[89] la_oenb_core[89] 0 +6 la_data_in_core[90] la_oenb_core[89] 0.000169041 +7 *3828:DIODE la_oenb_core[89] 1.47102e-05 +8 *375:8 la_oenb_core[89] 0 +*RES +1 *23076:X la_oenb_core[89] 28.2015 +*END + +*D_NET *760 0.00145369 +*CONN +*P la_oenb_core[8] O +*I *23077:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[8] 0.000630211 +2 *23077:X 0.000630211 +3 la_oenb_core[8] *2986:13 0.000171273 +4 la_oenb_core[8] *2998:68 2.19921e-05 +5 la_data_in_core[9] la_oenb_core[8] 0 +6 *3830:DIODE la_oenb_core[8] 0 +7 *376:5 la_oenb_core[8] 0 +*RES +1 *23077:X la_oenb_core[8] 25.0104 +*END + +*D_NET *761 0.00141518 +*CONN +*P la_oenb_core[90] O +*I *22580:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[90] 0.000579882 +2 *22580:X 0.000579882 +3 la_oenb_core[90] *23076:A 0 +4 la_oenb_core[90] *2498:8 0 +5 la_oenb_core[90] *2978:19 0.000224381 +6 la_data_in_core[91] la_oenb_core[90] 0 +7 *377:14 la_oenb_core[90] 3.10407e-05 +*RES +1 *22580:X la_oenb_core[90] 25.565 +*END + +*D_NET *762 0.00192482 +*CONN +*P la_oenb_core[91] O +*I *22581:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[91] 0.000755013 +2 *22581:X 0.000755013 +3 la_oenb_core[91] *3361:45 0.00017275 +4 la_data_in_core[92] la_oenb_core[91] 0.000165455 +5 *3831:DIODE la_oenb_core[91] 0 +6 *3832:DIODE la_oenb_core[91] 7.65861e-05 +7 *378:8 la_oenb_core[91] 0 +*RES +1 *22581:X la_oenb_core[91] 28.2015 +*END + +*D_NET *763 0.00146504 +*CONN +*P la_oenb_core[92] O +*I *22582:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[92] 0.000632088 +2 *22582:X 0.000632088 +3 la_oenb_core[92] *22581:A 0 +4 la_oenb_core[92] *1864:33 4.70559e-05 +5 la_oenb_core[92] *1881:8 6.08467e-05 +6 la_oenb_core[92] *2351:12 0 +7 la_oenb_core[92] *3361:27 1.5714e-05 +8 la_oenb_core[92] *3361:45 6.00698e-05 +9 la_data_in_core[93] la_oenb_core[92] 0 +10 *3833:DIODE la_oenb_core[92] 0 +11 *379:12 la_oenb_core[92] 1.7182e-05 +*RES +1 *22582:X la_oenb_core[92] 26.8813 +*END + +*D_NET *764 0.00195628 +*CONN +*P la_oenb_core[93] O +*I *22583:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[93] 0.000752895 +2 *22583:X 0.000752895 +3 la_oenb_core[93] *2501:8 5.77352e-05 +4 la_oenb_core[93] *3478:340 0.000198903 +5 la_data_in_core[94] la_oenb_core[93] 0.000122378 +6 *3834:DIODE la_oenb_core[93] 7.14746e-05 +7 *380:10 la_oenb_core[93] 0 +*RES +1 *22583:X la_oenb_core[93] 28.2015 +*END + +*D_NET *765 0.0015529 +*CONN +*P la_oenb_core[94] O +*I *22584:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[94] 0.000603028 +2 *22584:X 0.000603028 +3 la_oenb_core[94] *22583:A 0 +4 la_oenb_core[94] *1852:13 6.50586e-05 +5 la_oenb_core[94] *2502:8 8.5575e-05 +6 la_oenb_core[94] *2504:12 0.000179026 +7 la_data_in_core[95] la_oenb_core[94] 0 +8 *381:12 la_oenb_core[94] 1.7182e-05 +*RES +1 *22584:X la_oenb_core[94] 26.7103 +*END + +*D_NET *766 0.0015148 +*CONN +*P la_oenb_core[95] O +*I *22585:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[95] 0.000593614 +2 *22585:X 0.000593614 +3 la_oenb_core[95] *1852:13 6.92705e-05 +4 la_oenb_core[95] *2503:6 0.000217942 +5 la_oenb_core[95] *3478:340 4.03591e-05 +6 la_data_in_core[96] la_oenb_core[95] 0 +7 *382:5 la_oenb_core[95] 0 +*RES +1 *22585:X la_oenb_core[95] 26.7103 +*END + +*D_NET *767 0.00242533 +*CONN +*P la_oenb_core[96] O +*I *22586:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[96] 0.000679499 +2 *22586:X 0.000679499 +3 la_oenb_core[96] *22829:A 7.03792e-05 +4 la_oenb_core[96] *1863:17 0.000474797 +5 la_oenb_core[96] *2504:12 3.4685e-05 +6 la_oenb_core[96] *2505:7 6.50727e-05 +7 la_oenb_core[96] *2985:45 0.00014829 +8 la_data_in_core[97] la_oenb_core[96] 0.000164843 +9 *3837:DIODE la_oenb_core[96] 8.16827e-05 +10 *21650:A la_oenb_core[96] 2.65831e-05 +11 *383:8 la_oenb_core[96] 0 +*RES +1 *22586:X la_oenb_core[96] 33.1898 +*END + +*D_NET *768 0.00124593 +*CONN +*P la_oenb_core[97] O +*I *22587:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[97] 0.000575776 +2 *22587:X 0.000575776 +3 la_oenb_core[97] *22586:A 3.93117e-06 +4 la_oenb_core[97] *2505:8 2.11776e-05 +5 la_oenb_core[97] *3361:13 6.92705e-05 +6 la_data_in_core[98] la_oenb_core[97] 0 +7 *3838:DIODE la_oenb_core[97] 0 +8 *384:5 la_oenb_core[97] 0 +*RES +1 *22587:X la_oenb_core[97] 25.3668 +*END + +*D_NET *769 0.00185929 +*CONN +*P la_oenb_core[98] O +*I *22588:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[98] 0.000733658 +2 *22588:X 0.000733658 +3 la_oenb_core[98] *2976:12 1.47102e-05 +4 la_oenb_core[98] *3361:13 0.000377259 +5 la_data_in_core[99] la_oenb_core[98] 0 +6 *3839:DIODE la_oenb_core[98] 0 +7 *385:5 la_oenb_core[98] 0 +*RES +1 *22588:X la_oenb_core[98] 28.1326 +*END + +*D_NET *770 0.00105157 +*CONN +*P la_oenb_core[99] O +*I *22589:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[99] 0.000440141 +2 *22589:X 0.000440141 +3 la_oenb_core[99] *1863:17 0.000171288 +4 la_data_in_core[100] la_oenb_core[99] 0 +5 *3841:DIODE la_oenb_core[99] 0 +6 *386:5 la_oenb_core[99] 0 +*RES +1 *22589:X la_oenb_core[99] 23.3494 +*END + +*D_NET *771 0.00129678 +*CONN +*P la_oenb_core[9] O +*I *22590:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_core[9] 0.000562746 +2 *22590:X 0.000562746 +3 la_oenb_core[9] *3001:29 0.000171288 +4 la_data_in_core[10] la_oenb_core[9] 0 +5 *3842:DIODE la_oenb_core[9] 0 +6 *387:5 la_oenb_core[9] 0 +*RES +1 *22590:X la_oenb_core[9] 23.7647 +*END + +*D_NET *772 0.00199025 +*CONN +*P la_oenb_mprj[0] I +*I *4126:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21938:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[0] 0.000358843 +2 *4126:DIODE 0.000181562 +3 *21938:A 0 +4 *772:8 0.000540405 +5 *4126:DIODE *22833:A 0.000320287 +6 *4126:DIODE *2793:10 0.000400867 +7 *772:8 *2510:6 0 +8 la_data_in_mprj[0] *4126:DIODE 8.14875e-05 +9 la_data_in_mprj[0] *772:8 9.9028e-05 +10 la_data_in_mprj[1] *772:8 0 +11 *3843:DIODE *4126:DIODE 7.77309e-06 +12 *3843:DIODE *772:8 0 +13 *427:7 *772:8 0 +14 *516:8 *772:8 0 +*RES +1 la_oenb_mprj[0] *772:8 14.2396 +2 *772:8 *21938:A 9.24915 +3 *772:8 *4126:DIODE 26.7602 +*END + +*D_NET *773 0.00161473 +*CONN +*P la_oenb_mprj[100] I +*I *4127:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21939:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[100] 0.000204929 +2 *4127:DIODE 0.000192199 +3 *21939:A 0 +4 *773:8 0.000397128 +5 *4127:DIODE *3708:DIODE 0.000114086 +6 *4127:DIODE *22835:A 1.35239e-05 +7 *4127:DIODE *1329:10 7.50872e-05 +8 *4127:DIODE *2080:49 0 +9 *4127:DIODE *2644:12 0.000195389 +10 *4127:DIODE *2932:7 2.16355e-05 +11 *4127:DIODE *2934:9 5.08751e-05 +12 *773:8 *2644:12 5.88009e-05 +13 *773:8 *2932:7 4.58003e-05 +14 *773:8 *2934:9 0.000113968 +15 la_data_in_mprj[101] *4127:DIODE 0.000131305 +16 *517:5 *773:8 0 +*RES +1 la_oenb_mprj[100] *773:8 9.39314 +2 *773:8 *21939:A 9.24915 +3 *773:8 *4127:DIODE 24.9571 +*END + +*D_NET *774 0.00173897 +*CONN +*P la_oenb_mprj[101] I +*I *4129:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21941:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[101] 0.000160006 +2 *4129:DIODE 0.000315787 +3 *21941:A 0 +4 *774:7 0.000475792 +5 *4129:DIODE *22836:A 2.46191e-05 +6 *4129:DIODE *2658:12 0 +7 *4129:DIODE *2796:10 0.00027329 +8 *4129:DIODE *2931:31 6.08087e-05 +9 *4129:DIODE *2934:9 0.000277502 +10 *774:7 *2658:12 0.000151161 +11 la_data_in_mprj[102] *774:7 0 +12 *391:9 *774:7 0 +*RES +1 la_oenb_mprj[101] *774:7 8.11514 +2 *774:7 *21941:A 9.24915 +3 *774:7 *4129:DIODE 27.1755 +*END + +*D_NET *775 0.00135525 +*CONN +*P la_oenb_mprj[102] I +*I *4130:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21942:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[102] 0.000285127 +2 *4130:DIODE 0.000356731 +3 *21942:A 0 +4 *775:7 0.000641857 +5 *4130:DIODE *22837:A 2.34447e-05 +6 *4130:DIODE *2656:11 0 +7 *4130:DIODE *2794:10 0 +8 *4130:DIODE *2934:13 0 +9 la_data_in_mprj[103] *4130:DIODE 4.80932e-05 +10 la_data_in_mprj[103] *775:7 0 +11 *519:10 *4130:DIODE 0 +12 *519:10 *775:7 0 +*RES +1 la_oenb_mprj[102] *775:7 4.90975 +2 *775:7 *21942:A 13.7491 +3 *775:7 *4130:DIODE 20.9794 +*END + +*D_NET *776 0.00132017 +*CONN +*P la_oenb_mprj[103] I +*I *4131:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21943:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[103] 0.000279231 +2 *4131:DIODE 0.000229234 +3 *21943:A 0 +4 *776:7 0.000508465 +5 *4131:DIODE *2514:10 0.00026718 +6 *4131:DIODE *2797:10 0 +7 la_data_in_mprj[104] *4131:DIODE 1.56236e-05 +8 la_data_in_mprj[104] *776:7 0 +9 *520:12 *4131:DIODE 0 +10 *520:12 *776:7 2.0439e-05 +*RES +1 la_oenb_mprj[103] *776:7 4.90975 +2 *776:7 *21943:A 13.7491 +3 *776:7 *4131:DIODE 19.7337 +*END + +*D_NET *777 0.00132443 +*CONN +*P la_oenb_mprj[104] I +*I *21944:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4132:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[104] 0.000256116 +2 *21944:A 0 +3 *4132:DIODE 0.000209905 +4 *777:8 0.00046602 +5 *4132:DIODE *22839:A 0 +6 *4132:DIODE *2796:11 0.00011818 +7 *777:8 *2515:15 0.000220183 +8 *777:8 *2799:11 0 +9 *777:8 *2911:22 9.4745e-06 +10 *3848:DIODE *4132:DIODE 4.45548e-05 +11 *521:5 *4132:DIODE 0 +12 *521:5 *777:8 0 +*RES +1 la_oenb_mprj[104] *777:8 10.0871 +2 *777:8 *4132:DIODE 22.6049 +3 *777:8 *21944:A 9.24915 +*END + +*D_NET *778 0.00150822 +*CONN +*P la_oenb_mprj[105] I +*I *4133:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21945:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[105] 0.000205737 +2 *4133:DIODE 0.000188398 +3 *21945:A 0 +4 *778:7 0.000394135 +5 *4133:DIODE *2515:16 5.59674e-05 +6 *4133:DIODE *2516:17 0.000176049 +7 *4133:DIODE *2660:10 7.26408e-05 +8 *4133:DIODE *2796:11 0.000317693 +9 *778:7 *2515:16 8.62625e-06 +10 *778:7 *2516:17 9.2346e-06 +11 *778:7 *2648:17 5.63085e-05 +12 *778:7 *2800:8 2.34274e-05 +13 la_data_in_mprj[106] *778:7 0 +*RES +1 la_oenb_mprj[105] *778:7 4.34793 +2 *778:7 *21945:A 13.7491 +3 *778:7 *4133:DIODE 20.7386 +*END + +*D_NET *779 0.0013078 +*CONN +*P la_oenb_mprj[106] I +*I *4134:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21946:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[106] 0.000222819 +2 *4134:DIODE 0.000269127 +3 *21946:A 0 +4 *779:8 0.000491946 +5 *4134:DIODE *2517:18 5.59364e-05 +6 *4134:DIODE *2642:14 0 +7 *4134:DIODE *2801:9 1.49589e-05 +8 *4134:DIODE *2932:9 1.43848e-05 +9 *779:8 *2517:18 6.97239e-05 +10 *779:8 *2932:9 2.85274e-05 +11 la_data_in_mprj[107] *4134:DIODE 0.00014038 +12 la_data_in_mprj[107] *779:8 0 +13 *523:8 *779:8 0 +*RES +1 la_oenb_mprj[106] *779:8 9.39314 +2 *779:8 *21946:A 9.24915 +3 *779:8 *4134:DIODE 24.9571 +*END + +*D_NET *780 0.00142497 +*CONN +*P la_oenb_mprj[107] I +*I *4135:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21947:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[107] 0.000168306 +2 *4135:DIODE 0.000160998 +3 *21947:A 0 +4 *780:7 0.000329304 +5 *4135:DIODE *2802:11 0.000277991 +6 *4135:DIODE *2915:40 0.000265926 +7 *780:7 *2915:40 0.000217217 +8 la_data_in_mprj[108] *4135:DIODE 5.22654e-06 +9 la_data_in_mprj[108] *780:7 0 +10 *3853:DIODE *4135:DIODE 0 +*RES +1 la_oenb_mprj[107] *780:7 4.90975 +2 *780:7 *21947:A 13.7491 +3 *780:7 *4135:DIODE 19.7337 +*END + +*D_NET *781 0.00118205 +*CONN +*P la_oenb_mprj[108] I +*I *4136:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21948:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[108] 0.000207189 +2 *4136:DIODE 0.000315546 +3 *21948:A 0 +4 *781:8 0.000522734 +5 *4136:DIODE *2090:21 7.13655e-06 +6 *4136:DIODE *2519:7 2.65667e-05 +7 *4136:DIODE *2636:14 0 +8 *4136:DIODE *2661:10 0 +9 *781:8 *2519:7 2.65831e-05 +10 *781:8 *2803:8 2.87037e-05 +11 la_data_in_mprj[109] *4136:DIODE 4.75924e-05 +12 la_data_in_mprj[109] *781:8 0 +13 *525:8 *4136:DIODE 0 +14 *525:8 *781:8 0 +*RES +1 la_oenb_mprj[108] *781:8 8.83853 +2 *781:8 *21948:A 9.24915 +3 *781:8 *4136:DIODE 24.9571 +*END + +*D_NET *782 0.00145621 +*CONN +*P la_oenb_mprj[109] I +*I *4137:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21949:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[109] 0.000201457 +2 *4137:DIODE 0.000195319 +3 *21949:A 0 +4 *782:8 0.000396776 +5 *4137:DIODE *2521:7 6.08467e-05 +6 *4137:DIODE *2801:14 6.62565e-05 +7 *4137:DIODE *2804:15 3.68493e-05 +8 *4137:DIODE *2911:28 0.000313432 +9 *782:8 *2521:7 0.000113968 +10 *782:8 *2911:28 7.13089e-05 +11 *526:5 *782:8 0 +*RES +1 la_oenb_mprj[109] *782:8 9.39314 +2 *782:8 *21949:A 9.24915 +3 *782:8 *4137:DIODE 24.9571 +*END + +*D_NET *783 0.00237005 +*CONN +*P la_oenb_mprj[10] I +*I *4138:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21950:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[10] 0.00015916 +2 *4138:DIODE 0.000195584 +3 *21950:A 5.82803e-05 +4 *783:5 0.000413024 +5 *4138:DIODE *2898:12 0.000144546 +6 *4138:DIODE *2966:18 0.000141001 +7 *4138:DIODE *3342:15 0.000417464 +8 *21950:A *3330:11 3.82228e-05 +9 *783:5 *2898:12 0.000332543 +10 *783:5 *2966:18 0.00040515 +11 *3997:DIODE *4138:DIODE 6.50727e-05 +*RES +1 la_oenb_mprj[10] *783:5 7.35241 +2 *783:5 *21950:A 15.0271 +3 *783:5 *4138:DIODE 21.0173 +*END + +*D_NET *784 0.0022246 +*CONN +*P la_oenb_mprj[110] I +*I *4141:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21953:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[110] 0.00034757 +2 *4141:DIODE 0.000243546 +3 *21953:A 0 +4 *784:8 0.000591116 +5 *4141:DIODE *5364:DIODE 4.66492e-05 +6 *4141:DIODE *22846:A 5.19205e-05 +7 *4141:DIODE *2523:13 0 +8 *4141:DIODE *2804:15 0.000265537 +9 *4141:DIODE *2808:11 0.000101118 +10 *4141:DIODE *3215:5 0.000113968 +11 *784:8 *22845:A 0.000198477 +12 *784:8 *2523:13 0.00015298 +13 *784:8 *2804:15 0.000111722 +14 la_data_in_mprj[111] *784:8 0 +15 *3857:DIODE *784:8 0 +16 *528:5 *784:8 0 +*RES +1 la_oenb_mprj[110] *784:8 13.1304 +2 *784:8 *21953:A 9.24915 +3 *784:8 *4141:DIODE 25.2708 +*END + +*D_NET *785 0.00126321 +*CONN +*P la_oenb_mprj[111] I +*I *4142:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21954:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[111] 0.000189301 +2 *4142:DIODE 0.000208009 +3 *21954:A 0 +4 *785:7 0.00039731 +5 *4142:DIODE *2524:10 0.00017393 +6 *4142:DIODE *2627:14 8.20492e-06 +7 *4142:DIODE *2809:10 0 +8 *785:7 *2627:14 0.000187258 +9 la_data_in_mprj[112] *4142:DIODE 6.17339e-05 +10 la_data_in_mprj[112] *785:7 0 +11 *529:13 *4142:DIODE 3.74593e-05 +*RES +1 la_oenb_mprj[111] *785:7 4.90975 +2 *785:7 *21954:A 13.7491 +3 *785:7 *4142:DIODE 19.7337 +*END + +*D_NET *786 0.00120828 +*CONN +*P la_oenb_mprj[112] I +*I *4143:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21955:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[112] 0.000193079 +2 *4143:DIODE 0.000283722 +3 *21955:A 0 +4 *786:8 0.000476801 +5 *4143:DIODE *22848:A 0 +6 *4143:DIODE *2521:7 6.50586e-05 +7 *4143:DIODE *2525:8 0 +8 *4143:DIODE *2667:9 2.12377e-05 +9 *4143:DIODE *2668:10 7.86847e-05 +10 *786:8 *2521:7 6.50727e-05 +11 *786:8 *2810:8 2.462e-05 +12 *3859:DIODE *786:8 0 +13 *530:5 *4143:DIODE 0 +14 *530:5 *786:8 0 +*RES +1 la_oenb_mprj[112] *786:8 8.83853 +2 *786:8 *21955:A 9.24915 +3 *786:8 *4143:DIODE 24.9571 +*END + +*D_NET *787 0.00135469 +*CONN +*P la_oenb_mprj[113] I +*I *4144:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21956:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[113] 0.000197774 +2 *4144:DIODE 0.000266741 +3 *21956:A 0 +4 *787:8 0.000464515 +5 *4144:DIODE *22849:A 0 +6 *4144:DIODE *2521:7 6.50586e-05 +7 *4144:DIODE *2796:27 0.000171288 +8 *4144:DIODE *2913:14 0 +9 *787:8 *2521:7 0.00011818 +10 *787:8 *2913:14 7.1138e-05 +11 la_data_in_mprj[114] *787:8 0 +12 *404:8 *4144:DIODE 0 +13 *404:8 *787:8 0 +*RES +1 la_oenb_mprj[113] *787:8 9.39314 +2 *787:8 *21956:A 9.24915 +3 *787:8 *4144:DIODE 23.4676 +*END + +*D_NET *788 0.00232441 +*CONN +*P la_oenb_mprj[114] I +*I *21957:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4145:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[114] 0.000272696 +2 *21957:A 4.29171e-05 +3 *4145:DIODE 0.000330225 +4 *788:8 0.000645839 +5 *4145:DIODE *2094:13 0 +6 *4145:DIODE *2521:14 0.000241652 +7 *4145:DIODE *2932:21 0.000111722 +8 *21957:A *2527:15 0.000111722 +9 *788:8 *2094:13 0.000157902 +10 *788:8 *2527:15 0.000319954 +11 la_data_in_mprj[115] *4145:DIODE 3.06153e-05 +12 *3860:DIODE *788:8 1.65872e-05 +13 *405:11 *4145:DIODE 4.25777e-05 +14 *532:5 *788:8 0 +*RES +1 la_oenb_mprj[114] *788:8 11.7509 +2 *788:8 *4145:DIODE 25.9269 +3 *788:8 *21957:A 10.5271 +*END + +*D_NET *789 0.0012434 +*CONN +*P la_oenb_mprj[115] I +*I *4146:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21958:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[115] 0.000229989 +2 *4146:DIODE 0.00020279 +3 *21958:A 0 +4 *789:11 0.000432779 +5 *4146:DIODE *22851:A 0 +6 *4146:DIODE *2670:6 0.000117218 +7 *789:11 *2670:6 5.12109e-05 +8 la_data_in_mprj[116] *4146:DIODE 8.3897e-06 +9 la_data_in_mprj[116] *789:11 2.34838e-05 +10 *4003:DIODE *4146:DIODE 0.000177542 +11 *533:10 *789:11 0 +*RES +1 la_oenb_mprj[115] *789:11 5.25172 +2 *789:11 *21958:A 13.7491 +3 *789:11 *4146:DIODE 19.7337 +*END + +*D_NET *790 0.00125254 +*CONN +*P la_oenb_mprj[116] I +*I *4147:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21959:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[116] 0.000228395 +2 *4147:DIODE 0.00017171 +3 *21959:A 0 +4 *790:7 0.000400105 +5 *4147:DIODE *2671:8 1.75625e-05 +6 *4147:DIODE *2672:14 0.000319424 +7 *4147:DIODE *2813:10 8.27363e-05 +8 *790:7 *2530:8 3.15515e-05 +9 la_data_in_mprj[117] *790:7 0 +10 *3863:DIODE *4147:DIODE 0 +11 *3863:DIODE *790:7 1.05272e-06 +12 *534:5 *790:7 0 +*RES +1 la_oenb_mprj[116] *790:7 4.90975 +2 *790:7 *21959:A 13.7491 +3 *790:7 *4147:DIODE 19.7337 +*END + +*D_NET *791 0.00140926 +*CONN +*P la_oenb_mprj[117] I +*I *21960:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4148:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[117] 0.000237792 +2 *21960:A 5.89781e-05 +3 *4148:DIODE 7.37207e-05 +4 *791:8 0.00037049 +5 *4148:DIODE *22853:A 0.000142484 +6 *21960:A *2811:25 1.31897e-05 +7 *791:8 *2531:7 4.23874e-05 +8 *791:8 *2630:16 0.00016276 +9 *791:8 *2811:25 1.62073e-05 +10 la_data_in_mprj[118] *791:8 6.50586e-05 +11 *408:8 *4148:DIODE 0.000161116 +12 *408:8 *791:8 0 +13 *535:8 *791:8 6.50727e-05 +*RES +1 la_oenb_mprj[117] *791:8 11.1963 +2 *791:8 *4148:DIODE 21.3269 +3 *791:8 *21960:A 10.5271 +*END + +*D_NET *792 0.00188211 +*CONN +*P la_oenb_mprj[118] I +*I *21961:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4149:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[118] 0.000198955 +2 *21961:A 5.08548e-05 +3 *4149:DIODE 0.000271618 +4 *792:7 0.000521428 +5 *4149:DIODE *21357:A 0.000165459 +6 *4149:DIODE *1141:10 6.3657e-05 +7 *4149:DIODE *2674:8 0 +8 *4149:DIODE *2675:16 0.000320644 +9 *4149:DIODE *2804:23 1.5962e-05 +10 *4149:DIODE *2811:25 0.000246833 +11 la_data_in_mprj[119] *4149:DIODE 2.25583e-07 +12 la_data_in_mprj[119] *21961:A 7.12632e-06 +13 la_data_in_mprj[119] *792:7 0 +14 *4007:DIODE *4149:DIODE 1.93505e-05 +15 *408:8 *4149:DIODE 0 +16 *536:8 *792:7 0 +*RES +1 la_oenb_mprj[118] *792:7 4.14031 +2 *792:7 *4149:DIODE 23.2984 +3 *792:7 *21961:A 14.8606 +*END + +*D_NET *793 0.00142236 +*CONN +*P la_oenb_mprj[119] I +*I *21962:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4150:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[119] 0.000288106 +2 *21962:A 0 +3 *4150:DIODE 0.000219887 +4 *793:12 0.000507993 +5 *4150:DIODE *22856:A 2.93493e-05 +6 *4150:DIODE *2520:12 5.18965e-05 +7 *4150:DIODE *2817:10 0 +8 *793:12 *2520:12 6.35066e-05 +9 *793:12 *2815:5 6.37151e-05 +10 la_data_in_mprj[120] *4150:DIODE 0.000130014 +11 *411:8 *4150:DIODE 2.81678e-06 +12 *537:8 *793:12 6.50727e-05 +*RES +1 la_oenb_mprj[119] *793:12 11.3892 +2 *793:12 *4150:DIODE 24.2337 +3 *793:12 *21962:A 9.24915 +*END + +*D_NET *794 0.00139684 +*CONN +*P la_oenb_mprj[11] I +*I *4152:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21964:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[11] 0.00023539 +2 *4152:DIODE 0.000172449 +3 *21964:A 0 +4 *794:13 0.00040784 +5 *4152:DIODE *22864:A 0 +6 *4152:DIODE *2534:6 0 +7 *4152:DIODE *2638:24 0 +8 *4152:DIODE *2805:10 9.60366e-05 +9 *794:13 *2676:10 9.24241e-05 +10 la_data_in_mprj[12] *4152:DIODE 0.000156823 +11 la_data_in_mprj[12] *794:13 0.000191108 +12 *4009:DIODE *4152:DIODE 4.2996e-05 +13 *4009:DIODE *794:13 1.77537e-06 +14 *538:10 *794:13 0 +*RES +1 la_oenb_mprj[11] *794:13 7.64553 +2 *794:13 *21964:A 13.7491 +3 *794:13 *4152:DIODE 19.7337 +*END + +*D_NET *795 0.00118583 +*CONN +*P la_oenb_mprj[120] I +*I *4153:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21965:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[120] 0.000232513 +2 *4153:DIODE 0.000210875 +3 *21965:A 0 +4 *795:7 0.000443388 +5 *4153:DIODE *2820:8 0.0002491 +6 *795:7 *2535:8 1.50057e-05 +7 la_data_in_mprj[121] *4153:DIODE 3.31736e-05 +8 la_data_in_mprj[121] *795:7 0 +9 *3868:DIODE *4153:DIODE 0 +10 *3868:DIODE *795:7 1.77537e-06 +11 *4010:DIODE *4153:DIODE 0 +12 *539:5 *4153:DIODE 0 +13 *539:5 *795:7 0 +*RES +1 la_oenb_mprj[120] *795:7 4.90975 +2 *795:7 *21965:A 13.7491 +3 *795:7 *4153:DIODE 19.7337 +*END + +*D_NET *796 0.00107149 +*CONN +*P la_oenb_mprj[121] I +*I *4154:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21966:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[121] 0.000163572 +2 *4154:DIODE 0.000210394 +3 *21966:A 1.59132e-05 +4 *796:5 0.000389879 +5 *4154:DIODE *2678:8 5.52466e-05 +6 *4154:DIODE *2800:12 3.21447e-05 +7 *4154:DIODE *3224:15 6.73351e-05 +8 *21966:A *2678:7 2.65831e-05 +9 *21966:A *2815:5 2.65831e-05 +10 *796:5 *2678:8 2.36813e-05 +11 *796:5 *2800:12 4.06674e-05 +12 *796:5 *2821:8 1.94926e-05 +*RES +1 la_oenb_mprj[121] *796:5 3.61514 +2 *796:5 *21966:A 14.4725 +3 *796:5 *4154:DIODE 18.2442 +*END + +*D_NET *797 0.00117354 +*CONN +*P la_oenb_mprj[122] I +*I *4155:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21967:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[122] 0.00021735 +2 *4155:DIODE 0.000322447 +3 *21967:A 0 +4 *797:7 0.000539796 +5 *4155:DIODE *22858:A 0 +6 *4155:DIODE *22859:A 0 +7 *4155:DIODE *3047:20 0 +8 *4155:DIODE *3047:25 6.50727e-05 +9 *797:7 *22858:A 3.67528e-06 +10 *797:7 *2822:15 2.5196e-05 +11 *541:8 *797:7 0 +*RES +1 la_oenb_mprj[122] *797:7 4.34793 +2 *797:7 *21967:A 13.7491 +3 *797:7 *4155:DIODE 20.4571 +*END + +*D_NET *798 0.00139017 +*CONN +*P la_oenb_mprj[123] I +*I *4156:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21968:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[123] 0.000404039 +2 *4156:DIODE 0.000108139 +3 *21968:A 1.2064e-05 +4 *798:7 0.000524242 +5 *4156:DIODE *2538:9 9.24241e-05 +6 *4156:DIODE *2680:8 0 +7 *4156:DIODE *3230:5 6.08467e-05 +8 *21968:A *2813:21 6.50586e-05 +9 *21968:A *3047:20 6.08467e-05 +10 *798:7 *2538:9 6.25101e-05 +11 *798:7 *2647:12 0 +12 *798:7 *2680:8 0 +13 la_data_in_mprj[124] *798:7 0 +14 *3871:DIODE *798:7 0 +15 *542:8 *798:7 0 +*RES +1 la_oenb_mprj[123] *798:7 8.50046 +2 *798:7 *21968:A 14.4725 +3 *798:7 *4156:DIODE 16.3045 +*END + +*D_NET *799 0.00136239 +*CONN +*P la_oenb_mprj[124] I +*I *4157:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21969:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[124] 0.000223871 +2 *4157:DIODE 0.000132199 +3 *21969:A 0 +4 *799:7 0.000356071 +5 *4157:DIODE *22860:A 0.000292801 +6 *4157:DIODE *2539:10 0.000250614 +7 *799:7 *22860:A 7.51261e-05 +8 la_data_in_mprj[125] *4157:DIODE 3.17121e-05 +9 la_data_in_mprj[125] *799:7 0 +10 *543:5 *799:7 0 +*RES +1 la_oenb_mprj[124] *799:7 4.90975 +2 *799:7 *21969:A 13.7491 +3 *799:7 *4157:DIODE 19.7337 +*END + +*D_NET *800 0.00107503 +*CONN +*P la_oenb_mprj[125] I +*I *4158:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21970:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[125] 0.000229041 +2 *4158:DIODE 0.000236075 +3 *21970:A 0 +4 *800:7 0.000465116 +5 *4158:DIODE *2824:10 5.82512e-05 +6 *800:7 *2541:8 2.60655e-05 +7 la_data_in_mprj[126] *4158:DIODE 5.8705e-05 +8 la_data_in_mprj[126] *800:7 0 +9 *3874:DIODE *4158:DIODE 0 +10 *3874:DIODE *800:7 1.77537e-06 +11 *4016:DIODE *4158:DIODE 0 +12 *544:5 *800:7 0 +13 *545:5 *4158:DIODE 0 +*RES +1 la_oenb_mprj[125] *800:7 4.90975 +2 *800:7 *21970:A 13.7491 +3 *800:7 *4158:DIODE 19.7337 +*END + +*D_NET *801 0.00112166 +*CONN +*P la_oenb_mprj[126] I +*I *4159:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21971:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[126] 0.000250679 +2 *4159:DIODE 0.000237444 +3 *21971:A 0 +4 *801:7 0.000488124 +5 *4159:DIODE *22862:A 6.1351e-05 +6 *4159:DIODE *2542:8 0 +7 *4159:DIODE *2683:6 2.12377e-05 +8 *4159:DIODE *2826:8 4.59025e-06 +9 la_data_in_mprj[127] *4159:DIODE 5.82321e-05 +10 la_data_in_mprj[127] *801:7 0 +11 *545:5 *4159:DIODE 0 +12 *545:5 *801:7 0 +*RES +1 la_oenb_mprj[126] *801:7 4.90975 +2 *801:7 *21971:A 13.7491 +3 *801:7 *4159:DIODE 19.7337 +*END + +*D_NET *802 0.00168777 +*CONN +*P la_oenb_mprj[127] I +*I *4160:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21972:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[127] 0.000306952 +2 *4160:DIODE 0.000217711 +3 *21972:A 0 +4 *802:13 0.000524663 +5 *4160:DIODE mprj_ack_i_core 0.00014663 +6 *4160:DIODE *22591:A 0 +7 *4160:DIODE *1143:10 0 +8 *4160:DIODE *2543:8 8.46999e-06 +9 *4160:DIODE *2813:30 0.000150629 +10 *4160:DIODE *2824:13 2.65831e-05 +11 *802:13 mprj_ack_i_core 0.000187373 +12 *802:13 *2543:8 1.77537e-06 +13 *3876:DIODE *4160:DIODE 0.000116986 +14 *546:9 *802:13 0 +*RES +1 la_oenb_mprj[127] *802:13 7.64553 +2 *802:13 *21972:A 13.7491 +3 *802:13 *4160:DIODE 20.4571 +*END + +*D_NET *803 0.00229993 +*CONN +*P la_oenb_mprj[12] I +*I *4161:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21973:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[12] 0.000210543 +2 *4161:DIODE 0.00015395 +3 *21973:A 2.40228e-05 +4 *803:5 0.000388515 +5 *4161:DIODE *1664:11 9.14834e-05 +6 *4161:DIODE *2544:10 6.74811e-05 +7 *4161:DIODE *2689:16 0.000161966 +8 *4161:DIODE *3225:15 1.777e-05 +9 *4161:DIODE *3238:11 0.000111708 +10 *4161:DIODE *3342:11 0.000413266 +11 *21973:A *2688:9 0.000113968 +12 *21973:A *3330:11 4.58003e-05 +13 *803:5 *2544:10 0.00010556 +14 *803:5 *2689:16 0.000393892 +*RES +1 la_oenb_mprj[12] *803:5 7.35241 +2 *803:5 *21973:A 15.0271 +3 *803:5 *4161:DIODE 21.4325 +*END + +*D_NET *804 0.00080714 +*CONN +*P la_oenb_mprj[13] I +*I *4163:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21975:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[13] 0.000166649 +2 *4163:DIODE 6.20196e-05 +3 *21975:A 2.7855e-05 +4 *804:5 0.000256524 +5 *4163:DIODE *2545:6 2.12377e-05 +6 *4163:DIODE *2819:16 0.00015324 +7 *804:5 *2819:16 5.05252e-05 +8 *3878:DIODE *4163:DIODE 0 +9 *3878:DIODE *804:5 0 +10 *548:16 *21975:A 6.08467e-05 +11 *548:16 *804:5 8.24277e-06 +*RES +1 la_oenb_mprj[13] *804:5 3.61514 +2 *804:5 *21975:A 14.4725 +3 *804:5 *4163:DIODE 16.4116 +*END + +*D_NET *805 0.00136372 +*CONN +*P la_oenb_mprj[14] I +*I *4164:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21976:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[14] 0.000247415 +2 *4164:DIODE 9.64625e-05 +3 *21976:A 0 +4 *805:7 0.000343877 +5 *4164:DIODE *2687:12 0.000310862 +6 *4164:DIODE *2831:6 0.000271793 +7 *805:7 *2687:10 5.52347e-05 +8 la_data_in_mprj[15] *4164:DIODE 3.8079e-05 +9 la_data_in_mprj[15] *805:7 0 +10 *549:8 *805:7 0 +*RES +1 la_oenb_mprj[14] *805:7 4.90975 +2 *805:7 *21976:A 13.7491 +3 *805:7 *4164:DIODE 19.7337 +*END + +*D_NET *806 0.00132165 +*CONN +*P la_oenb_mprj[15] I +*I *4165:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21977:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[15] 0.000255367 +2 *4165:DIODE 0.000139826 +3 *21977:A 3.57807e-05 +4 *806:5 0.000430973 +5 *4165:DIODE *22868:A 0 +6 *4165:DIODE *2690:8 1.79672e-05 +7 *4165:DIODE *3342:11 0.00011818 +8 *806:5 *2689:11 0.000181333 +9 *806:5 *2768:20 0 +10 la_data_in_mprj[16] *806:5 0 +11 *4022:DIODE *4165:DIODE 5.36397e-05 +12 *4022:DIODE *806:5 8.85814e-05 +13 *550:8 *806:5 0 +*RES +1 la_oenb_mprj[15] *806:5 7.35241 +2 *806:5 *21977:A 14.4725 +3 *806:5 *4165:DIODE 17.2744 +*END + +*D_NET *807 0.0015225 +*CONN +*P la_oenb_mprj[16] I +*I *4166:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21978:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[16] 0.000322735 +2 *4166:DIODE 0.000170687 +3 *21978:A 0 +4 *807:10 0.000493422 +5 *4166:DIODE *22869:A 0 +6 *4166:DIODE *2549:11 2.65667e-05 +7 *4166:DIODE *2549:12 0.000289145 +8 *807:10 *2547:8 3.20069e-06 +9 *807:10 *2549:11 0 +10 la_data_in_mprj[17] *4166:DIODE 1.78514e-05 +11 la_data_in_mprj[17] *807:10 0 +12 *3882:DIODE *4166:DIODE 0.000139532 +13 *423:16 *807:10 5.07314e-05 +14 *424:5 *4166:DIODE 8.62625e-06 +15 *551:5 *807:10 0 +16 *552:8 *4166:DIODE 0 +*RES +1 la_oenb_mprj[16] *807:10 11.775 +2 *807:10 *21978:A 9.24915 +3 *807:10 *4166:DIODE 24.9571 +*END + +*D_NET *808 0.000908051 +*CONN +*P la_oenb_mprj[17] I +*I *21979:A I *D sky130_fd_sc_hd__buf_2 +*I *4167:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[17] 0.000318119 +2 *21979:A 0 +3 *4167:DIODE 8.8564e-05 +4 *808:8 0.000406683 +5 *4167:DIODE *22870:A 0 +6 *4167:DIODE *2834:6 6.81008e-05 +7 *808:8 *2834:6 0 +8 la_data_in_mprj[18] *808:8 2.65831e-05 +9 *552:8 *808:8 0 +*RES +1 la_oenb_mprj[17] *808:8 11.6116 +2 *808:8 *4167:DIODE 20.9116 +3 *808:8 *21979:A 9.24915 +*END + +*D_NET *809 0.00112527 +*CONN +*P la_oenb_mprj[18] I +*I *4168:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21980:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[18] 0.000225964 +2 *4168:DIODE 0.00012483 +3 *21980:A 0 +4 *809:7 0.000350793 +5 *4168:DIODE *22870:A 3.54138e-05 +6 *4168:DIODE *2835:6 0.000276874 +7 la_data_in_mprj[19] *4168:DIODE 0 +8 la_data_in_mprj[19] *809:7 0 +9 *21837:A *4168:DIODE 3.98327e-05 +10 *553:5 *4168:DIODE 7.15593e-05 +11 *553:5 *809:7 0 +*RES +1 la_oenb_mprj[18] *809:7 4.90975 +2 *809:7 *21980:A 13.7491 +3 *809:7 *4168:DIODE 19.7337 +*END + +*D_NET *810 0.00111756 +*CONN +*P la_oenb_mprj[19] I +*I *4169:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21981:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[19] 0.00018211 +2 *4169:DIODE 0.000177008 +3 *21981:A 0 +4 *810:7 0.000359117 +5 *4169:DIODE *22873:A 0 +6 *4169:DIODE *2554:6 0 +7 *4169:DIODE *2833:14 2.39005e-05 +8 *4169:DIODE *2833:16 0.00011292 +9 *810:7 *2833:14 0.000199351 +10 la_data_in_mprj[20] *4169:DIODE 6.3152e-05 +11 la_data_in_mprj[20] *810:7 0 +*RES +1 la_oenb_mprj[19] *810:7 4.90975 +2 *810:7 *21981:A 13.7491 +3 *810:7 *4169:DIODE 19.7337 +*END + +*D_NET *811 0.000932798 +*CONN +*P la_oenb_mprj[1] I +*I *4170:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21982:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[1] 0.000190206 +2 *4170:DIODE 0.000261111 +3 *21982:A 0 +4 *811:7 0.000451317 +5 *4170:DIODE *22872:A 0 +6 *811:7 *2837:11 3.01634e-05 +7 la_data_in_mprj[2] *811:7 0 +8 *4027:DIODE *4170:DIODE 0 +9 *438:8 *4170:DIODE 0 +10 *438:8 *811:7 0 +11 *555:5 *4170:DIODE 0 +12 *555:5 *811:7 0 +*RES +1 la_oenb_mprj[1] *811:7 4.90975 +2 *811:7 *21982:A 13.7491 +3 *811:7 *4170:DIODE 19.7337 +*END + +*D_NET *812 0.00106741 +*CONN +*P la_oenb_mprj[20] I +*I *4171:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21983:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[20] 0.000203873 +2 *4171:DIODE 0.000164283 +3 *21983:A 0 +4 *812:7 0.000368156 +5 *4171:DIODE *2697:8 2.12377e-05 +6 *4171:DIODE *2838:6 0.000271778 +7 la_data_in_mprj[21] *4171:DIODE 3.8079e-05 +8 la_data_in_mprj[21] *812:7 0 +9 *4030:DIODE *4171:DIODE 0 +10 *556:5 *4171:DIODE 0 +11 *556:5 *812:7 0 +*RES +1 la_oenb_mprj[20] *812:7 4.90975 +2 *812:7 *21983:A 13.7491 +3 *812:7 *4171:DIODE 19.7337 +*END + +*D_NET *813 0.00187463 +*CONN +*P la_oenb_mprj[21] I +*I *21984:A I *D sky130_fd_sc_hd__buf_2 +*I *4172:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[21] 0.000290562 +2 *21984:A 0 +3 *4172:DIODE 0.000203075 +4 *813:8 0.000493637 +5 *4172:DIODE *2676:20 0.000372379 +6 *4172:DIODE *2699:6 5.66868e-06 +7 *4172:DIODE *3225:11 5.05976e-05 +8 *813:8 *2839:7 9.40969e-05 +9 la_data_in_mprj[22] *813:8 0 +10 *4032:DIODE *4172:DIODE 0.000346333 +11 *557:11 *813:8 1.82832e-05 +*RES +1 la_oenb_mprj[21] *813:8 11.6116 +2 *813:8 *4172:DIODE 27.0361 +3 *813:8 *21984:A 9.24915 +*END + +*D_NET *814 0.000926167 +*CONN +*P la_oenb_mprj[22] I +*I *4174:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21986:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[22] 0.000147311 +2 *4174:DIODE 0.000198431 +3 *21986:A 3.30378e-05 +4 *814:5 0.00037878 +5 *21986:A *2556:7 0.00011818 +6 la_data_in_mprj[23] *4174:DIODE 0 +7 la_data_in_mprj[23] *814:5 0 +8 *3889:DIODE *4174:DIODE 4.86511e-05 +9 *3889:DIODE *814:5 1.77537e-06 +10 *558:8 *814:5 0 +*RES +1 la_oenb_mprj[22] *814:5 3.19988 +2 *814:5 *21986:A 15.0271 +3 *814:5 *4174:DIODE 18.1049 +*END + +*D_NET *815 0.00123351 +*CONN +*P la_oenb_mprj[23] I +*I *4175:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21987:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[23] 0.000198417 +2 *4175:DIODE 0.000235 +3 *21987:A 0 +4 *815:8 0.000433416 +5 *4175:DIODE *2556:8 7.94911e-05 +6 *4175:DIODE *2841:5 2.57847e-05 +7 *815:8 *2556:7 2.16355e-05 +8 *815:8 *2556:8 5.56367e-05 +9 *815:8 *2841:5 6.53312e-05 +10 la_data_in_mprj[24] *4175:DIODE 5.09367e-05 +11 la_data_in_mprj[24] *815:8 1.55025e-05 +12 *3891:DIODE *4175:DIODE 3.40805e-05 +13 *559:11 *815:8 1.82832e-05 +*RES +1 la_oenb_mprj[23] *815:8 9.94774 +2 *815:8 *21987:A 9.24915 +3 *815:8 *4175:DIODE 24.9571 +*END + +*D_NET *816 0.00123993 +*CONN +*P la_oenb_mprj[24] I +*I *4176:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21988:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[24] 0.000160329 +2 *4176:DIODE 0.000335102 +3 *21988:A 1.26312e-05 +4 *816:5 0.000508062 +5 *4176:DIODE *22877:A 5.99947e-05 +6 *4176:DIODE *22878:A 0 +7 *4176:DIODE *2701:7 3.62662e-06 +8 *21988:A *2558:10 6.50727e-05 +9 *21988:A *2841:5 2.65831e-05 +10 *816:5 *22877:A 3.20069e-06 +11 *816:5 *2842:12 0 +12 *816:5 *2843:11 3.67977e-05 +13 *4034:DIODE *4176:DIODE 2.85274e-05 +14 *560:8 *816:5 0 +*RES +1 la_oenb_mprj[24] *816:5 3.61514 +2 *816:5 *21988:A 14.4725 +3 *816:5 *4176:DIODE 19.9081 +*END + +*D_NET *817 0.0011938 +*CONN +*P la_oenb_mprj[25] I +*I *21989:A I *D sky130_fd_sc_hd__buf_2 +*I *4177:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[25] 0.000212631 +2 *21989:A 0.000159355 +3 *4177:DIODE 5.53076e-05 +4 *817:5 0.000427293 +5 *21989:A *2558:10 7.66539e-05 +6 *21989:A *2702:8 0 +7 *817:5 *2558:10 0.000165495 +8 la_data_in_mprj[26] *21989:A 2.65831e-05 +9 la_data_in_mprj[26] *817:5 0 +10 *4035:DIODE *21989:A 3.20069e-06 +11 *4035:DIODE *817:5 5.9708e-05 +12 *561:12 *817:5 7.56859e-06 +*RES +1 la_oenb_mprj[25] *817:5 6.10665 +2 *817:5 *4177:DIODE 14.4725 +3 *817:5 *21989:A 18.1077 +*END + +*D_NET *818 0.00177874 +*CONN +*P la_oenb_mprj[26] I +*I *4178:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21990:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[26] 0.000278499 +2 *4178:DIODE 0.000280861 +3 *21990:A 7.01697e-05 +4 *818:5 0.00062953 +5 *4178:DIODE *22879:A 3.9739e-05 +6 *4178:DIODE *2845:6 4.27003e-05 +7 *4178:DIODE *3255:11 3.072e-06 +8 *21990:A *2844:9 0.000217951 +9 *818:5 *22879:A 3.67528e-06 +10 *818:5 *2560:6 0 +11 la_data_in_mprj[27] *21990:A 2.41274e-06 +12 la_data_in_mprj[27] *818:5 0 +13 *4036:DIODE *4178:DIODE 0.000128174 +14 *4036:DIODE *818:5 6.36909e-05 +15 *562:12 *818:5 1.82696e-05 +*RES +1 la_oenb_mprj[26] *818:5 7.35241 +2 *818:5 *21990:A 16.1364 +3 *818:5 *4178:DIODE 21.0173 +*END + +*D_NET *819 0.00123734 +*CONN +*P la_oenb_mprj[27] I +*I *4179:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21991:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[27] 0.000190808 +2 *4179:DIODE 0.000264393 +3 *21991:A 0 +4 *819:7 0.000455202 +5 *4179:DIODE *5399:DIODE 2.86013e-06 +6 *4179:DIODE *22881:A 0.000122083 +7 *4179:DIODE *2561:6 7.88281e-05 +8 *4179:DIODE *2563:6 0 +9 *4179:DIODE *2843:14 0 +10 *4179:DIODE *3255:11 1.83795e-06 +11 *819:7 *2843:14 5.46762e-05 +12 la_data_in_mprj[28] *4179:DIODE 6.66538e-05 +13 la_data_in_mprj[28] *819:7 0 +*RES +1 la_oenb_mprj[27] *819:7 4.90975 +2 *819:7 *21991:A 13.7491 +3 *819:7 *4179:DIODE 21.0117 +*END + +*D_NET *820 0.00148269 +*CONN +*P la_oenb_mprj[28] I +*I *4180:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21992:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[28] 0.000282319 +2 *4180:DIODE 0.000305921 +3 *21992:A 2.64456e-05 +4 *820:5 0.000614686 +5 *4180:DIODE *5400:DIODE 1.21461e-06 +6 *4180:DIODE *2563:6 0 +7 *4180:DIODE *2705:8 0 +8 *21992:A *2704:9 2.65831e-05 +9 *820:5 *2563:6 0 +10 la_data_in_mprj[29] *820:5 0 +11 *3896:DIODE *820:5 5.04879e-05 +12 *4038:DIODE *4180:DIODE 0.000100918 +13 *4038:DIODE *820:5 5.90904e-05 +14 *564:12 *820:5 1.50262e-05 +*RES +1 la_oenb_mprj[28] *820:5 7.35241 +2 *820:5 *21992:A 14.4725 +3 *820:5 *4180:DIODE 21.0173 +*END + +*D_NET *821 0.0012947 +*CONN +*P la_oenb_mprj[29] I +*I *4181:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21993:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[29] 0.000163922 +2 *4181:DIODE 0.000287374 +3 *21993:A 0 +4 *821:10 0.000451296 +5 *4181:DIODE *2841:5 2.65667e-05 +6 *821:10 *2564:11 0.000149209 +7 *821:10 *2841:5 5.56461e-05 +8 *821:10 *2846:10 0.000139435 +9 *3899:DIODE *4181:DIODE 0 +10 *4039:DIODE *4181:DIODE 0 +11 *439:8 *4181:DIODE 9.35753e-06 +12 *439:8 *821:10 0 +13 *565:9 *4181:DIODE 0 +14 *565:9 *821:10 1.18938e-05 +*RES +1 la_oenb_mprj[29] *821:10 10.1259 +2 *821:10 *21993:A 9.24915 +3 *821:10 *4181:DIODE 24.9571 +*END + +*D_NET *822 0.00154195 +*CONN +*P la_oenb_mprj[2] I +*I *4182:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21994:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[2] 0.000297044 +2 *4182:DIODE 0.000208664 +3 *21994:A 3.95008e-05 +4 *822:9 0.000545209 +5 *4182:DIODE *22883:A 0 +6 *4182:DIODE *3257:5 0.00016553 +7 *21994:A *2694:8 4.87439e-05 +8 *822:9 *22883:A 0 +9 *822:9 *2565:11 0.00011785 +10 la_data_in_mprj[3] *822:9 0 +11 *3898:DIODE *21994:A 2.41274e-06 +12 *3898:DIODE *822:9 0.000116993 +13 *566:5 *822:9 0 +*RES +1 la_oenb_mprj[2] *822:9 8.25619 +2 *822:9 *21994:A 15.0271 +3 *822:9 *4182:DIODE 17.829 +*END + +*D_NET *823 0.00170853 +*CONN +*P la_oenb_mprj[30] I +*I *4183:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21995:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[30] 0.000150726 +2 *4183:DIODE 0.000431463 +3 *21995:A 3.10091e-05 +4 *823:5 0.000613199 +5 *4183:DIODE *2664:20 8.95741e-05 +6 *21995:A *2566:20 0.00015447 +7 *21995:A *2848:11 0.000208137 +8 *823:5 *2664:20 2.99509e-05 +9 *4042:DIODE *4183:DIODE 0 +10 *567:5 *4183:DIODE 0 +11 *567:5 *823:5 0 +*RES +1 la_oenb_mprj[30] *823:5 3.19988 +2 *823:5 *21995:A 16.0339 +3 *823:5 *4183:DIODE 22.5361 +*END + +*D_NET *824 0.00181372 +*CONN +*P la_oenb_mprj[31] I +*I *4185:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21997:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[31] 0.000275655 +2 *4185:DIODE 0.000175571 +3 *21997:A 9.34923e-06 +4 *824:5 0.000460576 +5 *4185:DIODE *22885:A 2.33334e-05 +6 *4185:DIODE *2704:10 8.92568e-06 +7 *4185:DIODE *2710:15 0.000417495 +8 *21997:A *22885:A 6.50727e-05 +9 *21997:A *2709:7 6.50727e-05 +10 *824:5 *2566:20 7.8795e-05 +11 *824:5 *2710:15 5.77352e-05 +12 *3900:DIODE *824:5 5.79544e-05 +13 *4043:DIODE *4185:DIODE 0.00011818 +14 *568:5 *824:5 0 +*RES +1 la_oenb_mprj[31] *824:5 7.35241 +2 *824:5 *21997:A 14.4725 +3 *824:5 *4185:DIODE 19.9081 +*END + +*D_NET *825 0.0013657 +*CONN +*P la_oenb_mprj[32] I +*I *4186:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21998:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[32] 0.000217761 +2 *4186:DIODE 0.000161936 +3 *21998:A 0 +4 *825:13 0.000379698 +5 *4186:DIODE *2568:6 0 +6 *4186:DIODE *2709:7 1.65872e-05 +7 *825:13 *2568:6 0 +8 la_data_in_mprj[33] *4186:DIODE 0.000266309 +9 la_data_in_mprj[33] *825:13 0.000201316 +10 *3901:DIODE *4186:DIODE 0.000122098 +11 *569:5 *825:13 0 +*RES +1 la_oenb_mprj[32] *825:13 7.64553 +2 *825:13 *21998:A 13.7491 +3 *825:13 *4186:DIODE 18.2442 +*END + +*D_NET *826 0.0014725 +*CONN +*P la_oenb_mprj[33] I +*I *4187:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21999:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[33] 0.000238152 +2 *4187:DIODE 0.000249777 +3 *21999:A 0 +4 *826:13 0.000487928 +5 *4187:DIODE *22888:A 0 +6 *4187:DIODE *2709:8 0 +7 *4187:DIODE *2712:8 0 +8 *4187:DIODE *2847:13 2.65831e-05 +9 la_data_in_mprj[34] *4187:DIODE 0.000151741 +10 la_data_in_mprj[34] *826:13 0.000196219 +11 *3902:DIODE *4187:DIODE 0.000122098 +12 *4045:DIODE *4187:DIODE 0 +13 *570:5 *4187:DIODE 0 +14 *570:5 *826:13 0 +15 *571:16 *826:13 0 +*RES +1 la_oenb_mprj[33] *826:13 7.64553 +2 *826:13 *21999:A 13.7491 +3 *826:13 *4187:DIODE 20.4571 +*END + +*D_NET *827 0.00136213 +*CONN +*P la_oenb_mprj[34] I +*I *4188:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22000:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[34] 0.000286743 +2 *4188:DIODE 0.000122166 +3 *22000:A 2.46826e-05 +4 *827:7 0.000433592 +5 *4188:DIODE *2709:8 0 +6 *4188:DIODE *2847:13 2.16355e-05 +7 *4188:DIODE *2848:21 0.000118485 +8 *22000:A *2711:11 6.08467e-05 +9 *827:7 *2709:8 0 +10 *827:7 *2848:21 0.000111594 +11 *3903:DIODE *827:7 0 +12 *571:16 *827:7 0.000182384 +*RES +1 la_oenb_mprj[34] *827:7 8.0852 +2 *827:7 *22000:A 14.4725 +3 *827:7 *4188:DIODE 16.7198 +*END + +*D_NET *828 0.00140193 +*CONN +*P la_oenb_mprj[35] I +*I *22001:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4189:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[35] 0.000224445 +2 *22001:A 0 +3 *4189:DIODE 0.000187724 +4 *828:8 0.000412169 +5 *4189:DIODE *2570:10 3.46206e-05 +6 *4189:DIODE *2856:6 0.000266696 +7 *828:8 *2570:9 0.000224395 +8 *828:8 *2713:8 5.0187e-05 +9 la_data_in_mprj[36] *828:8 0 +10 *572:11 *828:8 1.69657e-06 +*RES +1 la_oenb_mprj[35] *828:8 10.5023 +2 *828:8 *4189:DIODE 24.2337 +3 *828:8 *22001:A 9.24915 +*END + +*D_NET *829 0.00130059 +*CONN +*P la_oenb_mprj[36] I +*I *4190:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22002:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[36] 0.000191847 +2 *4190:DIODE 0.000177754 +3 *22002:A 0 +4 *829:8 0.000369601 +5 *4190:DIODE *2715:6 9.3436e-05 +6 *4190:DIODE *2857:6 0.000142221 +7 *829:8 *2715:6 8.52652e-05 +8 la_data_in_mprj[37] *4190:DIODE 2.41274e-06 +9 la_data_in_mprj[37] *829:8 0 +10 *4048:DIODE *829:8 3.67708e-05 +11 *573:13 *829:8 0.000201286 +*RES +1 la_oenb_mprj[36] *829:8 10.363 +2 *829:8 *22002:A 9.24915 +3 *829:8 *4190:DIODE 24.5418 +*END + +*D_NET *830 0.00124709 +*CONN +*P la_oenb_mprj[37] I +*I *4191:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22003:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[37] 0.000238772 +2 *4191:DIODE 0.00016022 +3 *22003:A 2.0485e-05 +4 *830:7 0.000419477 +5 *4191:DIODE *22891:A 0.000315206 +6 *4191:DIODE *2574:11 1.79807e-05 +7 *22003:A *22891:A 6.92705e-05 +8 *830:7 *22891:A 5.68225e-06 +9 la_data_in_mprj[38] *830:7 0 +10 *574:8 *4191:DIODE 0 +11 *574:8 *830:7 0 +*RES +1 la_oenb_mprj[37] *830:7 5.325 +2 *830:7 *22003:A 14.4725 +3 *830:7 *4191:DIODE 19.3184 +*END + +*D_NET *831 0.00122869 +*CONN +*P la_oenb_mprj[38] I +*I *4192:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22004:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[38] 0.000183889 +2 *4192:DIODE 0.000206489 +3 *22004:A 0 +4 *831:8 0.000390378 +5 *4192:DIODE *2858:10 0.000310124 +6 *4192:DIODE *3267:19 0 +7 *831:8 *2575:11 6.05327e-05 +8 *831:8 *2858:9 2.16355e-05 +9 *831:8 *2858:10 5.56367e-05 +10 la_data_in_mprj[39] *831:8 0 +11 *21721:A *4192:DIODE 0 +12 *448:5 *4192:DIODE 0 +13 *448:5 *831:8 0 +14 *575:5 *831:8 0 +*RES +1 la_oenb_mprj[38] *831:8 9.25379 +2 *831:8 *22004:A 9.24915 +3 *831:8 *4192:DIODE 24.5418 +*END + +*D_NET *832 0.00100694 +*CONN +*P la_oenb_mprj[39] I +*I *4193:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22005:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[39] 0.000208697 +2 *4193:DIODE 0.000258112 +3 *22005:A 0 +4 *832:8 0.000466808 +5 *4193:DIODE *22893:A 0 +6 *832:8 *22893:A 1.79196e-05 +7 la_data_in_mprj[40] *4193:DIODE 5.54078e-05 +8 la_data_in_mprj[40] *832:8 0 +9 *4054:DIODE *4193:DIODE 0 +10 *576:8 *832:8 0 +11 *578:5 *4193:DIODE 0 +*RES +1 la_oenb_mprj[39] *832:8 9.25379 +2 *832:8 *22005:A 9.24915 +3 *832:8 *4193:DIODE 24.5418 +*END + +*D_NET *833 0.00125513 +*CONN +*P la_oenb_mprj[3] I +*I *4194:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22006:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[3] 0.000223157 +2 *4194:DIODE 0.000135 +3 *22006:A 0 +4 *833:10 0.000358157 +5 *4194:DIODE *22894:A 1.55025e-05 +6 *4194:DIODE *2577:11 0.000207338 +7 *833:10 *22894:A 6.64392e-05 +8 *833:10 *2577:11 6.64392e-05 +9 *833:10 *2861:11 2.98609e-05 +10 la_data_in_mprj[4] *833:10 0 +11 *3923:DIODE *4194:DIODE 0.000120052 +12 *460:5 *4194:DIODE 3.31882e-05 +13 *460:5 *833:10 0 +14 *577:8 *833:10 0 +*RES +1 la_oenb_mprj[3] *833:10 9.57133 +2 *833:10 *22006:A 9.24915 +3 *833:10 *4194:DIODE 23.2989 +*END + +*D_NET *834 0.00135794 +*CONN +*P la_oenb_mprj[40] I +*I *4196:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22008:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[40] 0.00019788 +2 *4196:DIODE 0.000219775 +3 *22008:A 0 +4 *834:11 0.000417655 +5 *4196:DIODE *2576:17 0.000164829 +6 *4196:DIODE *2864:10 7.50722e-05 +7 *834:11 *2578:6 1.07248e-05 +8 *834:11 *2716:20 0 +9 la_data_in_mprj[41] *4196:DIODE 8.62625e-06 +10 la_data_in_mprj[41] *834:11 1.97183e-05 +11 *3911:DIODE *4196:DIODE 0.000214087 +12 *3911:DIODE *834:11 2.95757e-05 +13 *3912:DIODE *4196:DIODE 0 +14 *578:5 *834:11 0 +*RES +1 la_oenb_mprj[40] *834:11 9.01892 +2 *834:11 *22008:A 9.24915 +3 *834:11 *4196:DIODE 24.0222 +*END + +*D_NET *835 0.00101426 +*CONN +*P la_oenb_mprj[41] I +*I *4197:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22009:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[41] 0.000155902 +2 *4197:DIODE 0.000204156 +3 *22009:A 4.21492e-05 +4 *835:5 0.000402207 +5 *4197:DIODE *22896:A 0 +6 *4197:DIODE *2716:23 4.58003e-05 +7 *4197:DIODE *2722:10 3.77659e-05 +8 *4197:DIODE *2859:14 0 +9 la_data_in_mprj[42] *4197:DIODE 0 +10 la_data_in_mprj[42] *835:5 0 +11 *579:12 *4197:DIODE 8.10016e-06 +12 *579:12 *22009:A 0.00011818 +13 *579:12 *835:5 0 +*RES +1 la_oenb_mprj[41] *835:5 3.19988 +2 *835:5 *22009:A 15.0271 +3 *835:5 *4197:DIODE 18.1049 +*END + +*D_NET *836 0.00114492 +*CONN +*P la_oenb_mprj[42] I +*I *4198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22010:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[42] 0.000172061 +2 *4198:DIODE 0.000205451 +3 *22010:A 0 +4 *836:8 0.000377512 +5 *4198:DIODE *2580:10 5.31074e-05 +6 *4198:DIODE *2580:14 0.000154914 +7 *4198:DIODE *2716:24 0 +8 *836:8 *2580:10 6.90038e-05 +9 *836:8 *2723:13 7.50722e-05 +10 la_data_in_mprj[43] *836:8 0 +11 *4055:DIODE *4198:DIODE 3.25751e-05 +12 *4056:DIODE *4198:DIODE 0 +13 *4056:DIODE *836:8 5.22654e-06 +14 *580:7 *836:8 0 +*RES +1 la_oenb_mprj[42] *836:8 8.83853 +2 *836:8 *22010:A 9.24915 +3 *836:8 *4198:DIODE 24.9571 +*END + +*D_NET *837 0.00164993 +*CONN +*P la_oenb_mprj[43] I +*I *4199:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22011:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[43] 0.000251161 +2 *4199:DIODE 0.000159242 +3 *22011:A 0 +4 *837:12 0.000410403 +5 *4199:DIODE *2582:10 0.000177601 +6 *4199:DIODE *2865:16 6.50586e-05 +7 *4199:DIODE *2866:6 0.00014998 +8 *837:12 *22898:A 1.61631e-05 +9 *837:12 *22899:A 6.92705e-05 +10 *837:12 *2582:10 6.50727e-05 +11 *837:12 *2723:14 7.50872e-05 +12 *837:12 *2865:16 9.09533e-05 +13 la_data_in_mprj[44] *837:12 0.000118166 +14 *581:11 *837:12 1.77537e-06 +*RES +1 la_oenb_mprj[43] *837:12 11.9385 +2 *837:12 *22011:A 9.24915 +3 *837:12 *4199:DIODE 24.9571 +*END + +*D_NET *838 0.00131903 +*CONN +*P la_oenb_mprj[44] I +*I *22012:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4200:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[44] 0.000203123 +2 *22012:A 0 +3 *4200:DIODE 0.000203261 +4 *838:8 0.000406384 +5 *4200:DIODE *22900:A 3.8717e-05 +6 *4200:DIODE *2725:10 0.000162739 +7 *4200:DIODE *2854:18 7.23866e-05 +8 *838:8 *2854:18 0.000162951 +9 *838:8 *2867:7 6.08467e-05 +10 *3915:DIODE *838:8 8.62625e-06 +11 *582:5 *838:8 0 +*RES +1 la_oenb_mprj[44] *838:8 9.53249 +2 *838:8 *4200:DIODE 23.1595 +3 *838:8 *22012:A 9.24915 +*END + +*D_NET *839 0.000801589 +*CONN +*P la_oenb_mprj[45] I +*I *22013:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4201:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[45] 0.000243338 +2 *22013:A 0 +3 *4201:DIODE 0.000105829 +4 *839:8 0.000349167 +5 *839:8 *2726:6 3.39219e-05 +6 la_data_in_mprj[46] *4201:DIODE 3.0676e-05 +7 la_data_in_mprj[46] *839:8 0 +8 *3919:DIODE *4201:DIODE 3.86578e-05 +9 *583:5 *839:8 0 +*RES +1 la_oenb_mprj[45] *839:8 10.0871 +2 *839:8 *4201:DIODE 21.3269 +3 *839:8 *22013:A 9.24915 +*END + +*D_NET *840 0.001292 +*CONN +*P la_oenb_mprj[46] I +*I *4202:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22014:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[46] 0.000176739 +2 *4202:DIODE 0.000170064 +3 *22014:A 0 +4 *840:10 0.000346803 +5 *4202:DIODE *22901:A 1.61631e-05 +6 *4202:DIODE *22902:A 6.14128e-05 +7 *4202:DIODE *2583:18 0.000167601 +8 *4202:DIODE *2727:12 2.65831e-05 +9 *4202:DIODE *2868:7 1.00846e-05 +10 *4202:DIODE *2869:16 9.46343e-05 +11 *840:10 *22901:A 0.000122378 +12 *840:10 *2583:18 1.79807e-05 +13 la_data_in_mprj[46] *840:10 1.64789e-05 +14 la_data_in_mprj[47] *4202:DIODE 6.50727e-05 +15 la_data_in_mprj[47] *840:10 0 +16 *584:8 *840:10 0 +*RES +1 la_oenb_mprj[46] *840:10 9.14135 +2 *840:10 *22014:A 9.24915 +3 *840:10 *4202:DIODE 23.8829 +*END + +*D_NET *841 0.00125443 +*CONN +*P la_oenb_mprj[47] I +*I *4203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22015:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[47] 0.00018803 +2 *4203:DIODE 0.00023412 +3 *22015:A 0 +4 *841:8 0.00042215 +5 *4203:DIODE *2588:12 7.69735e-05 +6 *4203:DIODE *2871:15 0.000118166 +7 *4203:DIODE *2871:16 0 +8 *841:8 *2871:15 6.50727e-05 +9 *841:8 *2871:16 1.77537e-06 +10 la_data_in_mprj[48] *4203:DIODE 0.000148144 +11 la_data_in_mprj[48] *841:8 0 +12 *585:5 *841:8 0 +*RES +1 la_oenb_mprj[47] *841:8 8.83853 +2 *841:8 *22015:A 9.24915 +3 *841:8 *4203:DIODE 25.5117 +*END + +*D_NET *842 0.00157174 +*CONN +*P la_oenb_mprj[48] I +*I *22016:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4204:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[48] 0.000249887 +2 *22016:A 0 +3 *4204:DIODE 0.000201943 +4 *842:8 0.00045183 +5 *4204:DIODE *2587:14 7.58739e-05 +6 *4204:DIODE *2733:7 0.000217937 +7 *4204:DIODE *2869:16 3.88655e-06 +8 *4204:DIODE *2869:20 0.000165199 +9 *842:8 *2587:14 2.36494e-05 +10 *842:8 *2870:12 1.44611e-05 +11 *842:8 *2871:15 0.000167076 +12 la_data_in_mprj[49] *842:8 0 +13 *586:5 *842:8 0 +*RES +1 la_oenb_mprj[48] *842:8 10.6417 +2 *842:8 *4204:DIODE 23.7141 +3 *842:8 *22016:A 9.24915 +*END + +*D_NET *843 0.00141731 +*CONN +*P la_oenb_mprj[49] I +*I *4205:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22017:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[49] 0.000135177 +2 *4205:DIODE 0.000147853 +3 *22017:A 0 +4 *843:8 0.000283029 +5 *4205:DIODE *22906:A 5.39463e-05 +6 *4205:DIODE *2591:14 0.000379338 +7 *4205:DIODE *2875:10 1.38872e-05 +8 *843:8 *2589:18 4.51062e-05 +9 *843:8 *2591:14 6.68422e-05 +10 *843:8 *2872:15 3.0951e-05 +11 la_data_in_mprj[50] *4205:DIODE 5.94319e-06 +12 la_data_in_mprj[50] *843:8 0 +13 *3922:DIODE *843:8 6.34651e-06 +14 *4064:DIODE *4205:DIODE 0.000127194 +15 *587:8 *4205:DIODE 6.08467e-05 +16 *587:8 *843:8 6.08467e-05 +*RES +1 la_oenb_mprj[49] *843:8 8.83853 +2 *843:8 *22017:A 9.24915 +3 *843:8 *4205:DIODE 24.9571 +*END + +*D_NET *844 0.00192341 +*CONN +*P la_oenb_mprj[4] I +*I *4207:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22019:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[4] 0.000281994 +2 *4207:DIODE 0.000222351 +3 *22019:A 0 +4 *844:11 0.000504344 +5 *4207:DIODE *2720:8 0.000115561 +6 *4207:DIODE *2874:11 4.81015e-05 +7 *4207:DIODE *3281:11 0.000111722 +8 *844:11 *2590:15 0.000269694 +9 *844:11 *2837:14 0 +10 *844:11 *2849:12 5.92342e-05 +11 la_data_in_mprj[5] *844:11 1.6594e-05 +12 *4065:DIODE *4207:DIODE 0.000101148 +13 *588:20 *844:11 0.00019267 +*RES +1 la_oenb_mprj[4] *844:11 13.7333 +2 *844:11 *22019:A 9.24915 +3 *844:11 *4207:DIODE 23.7463 +*END + +*D_NET *845 0.00159274 +*CONN +*P la_oenb_mprj[50] I +*I *4208:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22020:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[50] 0.000190229 +2 *4208:DIODE 0.000348044 +3 *22020:A 0 +4 *845:8 0.000538274 +5 *4208:DIODE *5425:DIODE 2.22788e-05 +6 *4208:DIODE *2731:8 0.000103983 +7 *4208:DIODE *2875:10 9.19886e-06 +8 *845:8 *2731:8 0.000220183 +9 *845:8 *2875:10 1.62073e-05 +10 la_data_in_mprj[51] *4208:DIODE 6.64535e-05 +11 la_data_in_mprj[51] *845:8 0 +12 *3924:DIODE *845:8 5.07314e-05 +13 *4066:DIODE *4208:DIODE 0 +14 *4067:DIODE *4208:DIODE 0 +15 *462:8 *4208:DIODE 2.71542e-05 +16 *589:5 *4208:DIODE 0 +17 *589:5 *845:8 0 +18 *590:5 *4208:DIODE 0 +*RES +1 la_oenb_mprj[50] *845:8 10.0871 +2 *845:8 *22020:A 9.24915 +3 *845:8 *4208:DIODE 26.6503 +*END + +*D_NET *846 0.00136797 +*CONN +*P la_oenb_mprj[51] I +*I *22021:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4209:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[51] 0.000229672 +2 *22021:A 0 +3 *4209:DIODE 0.000196977 +4 *846:8 0.000426649 +5 *4209:DIODE *2592:15 0 +6 *4209:DIODE *2730:8 5.8261e-05 +7 *4209:DIODE *2876:21 4.83486e-05 +8 *846:8 *2592:15 3.67528e-06 +9 *846:8 *2731:8 0.000115632 +10 la_data_in_mprj[52] *4209:DIODE 0.000170577 +11 la_data_in_mprj[52] *846:8 0 +12 *3925:DIODE *846:8 0.00011818 +13 *590:5 *846:8 0 +*RES +1 la_oenb_mprj[51] *846:8 11.0569 +2 *846:8 *4209:DIODE 24.2337 +3 *846:8 *22021:A 9.24915 +*END + +*D_NET *847 0.00225384 +*CONN +*P la_oenb_mprj[52] I +*I *4210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22022:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[52] 0.000276085 +2 *4210:DIODE 0.000245451 +3 *22022:A 3.92754e-05 +4 *847:5 0.000560812 +5 *4210:DIODE *5426:DIODE 0.000133161 +6 *4210:DIODE *2730:11 0.000464127 +7 *4210:DIODE *2877:15 0.00011946 +8 *22022:A *23160:A 0.000160617 +9 *22022:A *3283:9 6.23875e-05 +10 *847:5 *5426:DIODE 1.07248e-05 +11 *847:5 *2593:11 0 +12 *847:5 *2731:8 1.32509e-05 +13 *847:5 *3285:10 5.41377e-05 +14 la_data_in_mprj[53] *847:5 0 +15 *4068:DIODE *4210:DIODE 9.2346e-06 +16 *4068:DIODE *847:5 8.79328e-05 +17 *591:12 *847:5 1.7182e-05 +*RES +1 la_oenb_mprj[52] *847:5 7.35241 +2 *847:5 *22022:A 15.5817 +3 *847:5 *4210:DIODE 21.5719 +*END + +*D_NET *848 0.00114576 +*CONN +*P la_oenb_mprj[53] I +*I *4211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22023:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[53] 0.00019868 +2 *4211:DIODE 0.000232839 +3 *22023:A 0 +4 *848:8 0.000431519 +5 *4211:DIODE *22909:A 0 +6 *4211:DIODE *2736:17 0.000143244 +7 *848:8 *22909:A 2.14842e-06 +8 *848:8 *2736:17 0 +9 *848:8 *2878:19 7.20173e-06 +10 la_data_in_mprj[54] *848:8 0 +11 *21881:A *4211:DIODE 6.50586e-05 +12 *21881:A *848:8 6.50727e-05 +13 *592:5 *848:8 0 +*RES +1 la_oenb_mprj[53] *848:8 8.83853 +2 *848:8 *22023:A 9.24915 +3 *848:8 *4211:DIODE 24.9571 +*END + +*D_NET *849 0.00119253 +*CONN +*P la_oenb_mprj[54] I +*I *22024:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4212:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[54] 0.000300281 +2 *22024:A 0 +3 *4212:DIODE 0.000259371 +4 *849:8 0.000559652 +5 *4212:DIODE *22911:A 0 +6 *4212:DIODE *2595:14 0 +7 *4212:DIODE *2737:8 0 +8 *849:8 *2595:14 7.09666e-06 +9 la_data_in_mprj[55] *849:8 6.50727e-05 +10 *3930:DIODE *4212:DIODE 0 +11 *466:8 *4212:DIODE 1.05272e-06 +12 *593:5 *849:8 0 +*RES +1 la_oenb_mprj[54] *849:8 11.0569 +2 *849:8 *4212:DIODE 24.2337 +3 *849:8 *22024:A 9.24915 +*END + +*D_NET *850 0.00102029 +*CONN +*P la_oenb_mprj[55] I +*I *4213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22025:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[55] 0.000172157 +2 *4213:DIODE 0.000103649 +3 *22025:A 0 +4 *850:7 0.000275806 +5 *4213:DIODE *22911:A 1.65159e-05 +6 *4213:DIODE *23164:A 1.03403e-05 +7 *4213:DIODE *2735:15 0.000150478 +8 *4213:DIODE *2879:8 0.000101148 +9 *850:7 *22911:A 7.09666e-06 +10 *850:7 *2735:15 4.04044e-05 +11 la_data_in_mprj[56] *850:7 0 +12 *466:8 *850:7 0 +13 *594:22 *850:7 0.000142696 +*RES +1 la_oenb_mprj[55] *850:7 4.34793 +2 *850:7 *22025:A 13.7491 +3 *850:7 *4213:DIODE 17.135 +*END + +*D_NET *851 0.0011915 +*CONN +*P la_oenb_mprj[56] I +*I *4214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22026:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[56] 0.0002406 +2 *4214:DIODE 0.0002123 +3 *22026:A 0 +4 *851:13 0.000452899 +5 *4214:DIODE *2739:21 0 +6 *4214:DIODE *2881:11 0.000134354 +7 *851:13 *2881:11 3.5534e-06 +8 la_data_in_mprj[57] *851:13 0.000147793 +9 *3932:DIODE *851:13 0 +10 *595:7 *851:13 0 +*RES +1 la_oenb_mprj[56] *851:13 7.64553 +2 *851:13 *22026:A 13.7491 +3 *851:13 *4214:DIODE 19.7337 +*END + +*D_NET *852 0.000953741 +*CONN +*P la_oenb_mprj[57] I +*I *4215:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22027:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[57] 0.000175564 +2 *4215:DIODE 0.000189967 +3 *22027:A 3.00573e-05 +4 *852:5 0.000395588 +5 *4215:DIODE *22914:A 0 +6 *4215:DIODE *2600:17 3.12044e-05 +7 *4215:DIODE *2742:8 2.652e-05 +8 *4215:DIODE *2883:19 1.27193e-05 +9 *22027:A *2742:7 1.41307e-05 +10 *852:5 *2741:11 6.85778e-05 +11 *852:5 *2882:15 9.4116e-06 +12 la_data_in_mprj[58] *852:5 0 +13 *4074:DIODE *4215:DIODE 0 +14 *596:5 *852:5 0 +*RES +1 la_oenb_mprj[57] *852:5 3.61514 +2 *852:5 *22027:A 14.4725 +3 *852:5 *4215:DIODE 17.6896 +*END + +*D_NET *853 0.00135887 +*CONN +*P la_oenb_mprj[58] I +*I *4216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22028:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[58] 0.000322602 +2 *4216:DIODE 0.000181717 +3 *22028:A 4.47412e-05 +4 *853:5 0.00054906 +5 *4216:DIODE *5433:DIODE 0 +6 *4216:DIODE *22914:A 0 +7 *4216:DIODE *22915:A 0 +8 *4216:DIODE *1672:11 4.87439e-05 +9 *4216:DIODE *3291:12 0 +10 *22028:A *2883:19 6.3657e-05 +11 *853:5 *22914:A 0 +12 *853:5 *22915:A 0 +13 la_data_in_mprj[59] *853:5 0 +14 *3933:DIODE *22028:A 7.24764e-05 +15 *3933:DIODE *853:5 7.58739e-05 +16 *3934:DIODE *853:5 0 +17 *597:5 *853:5 0 +*RES +1 la_oenb_mprj[58] *853:5 7.35241 +2 *853:5 *22028:A 15.5817 +3 *853:5 *4216:DIODE 17.2744 +*END + +*D_NET *854 0.00100888 +*CONN +*P la_oenb_mprj[59] I +*I *4218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22030:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[59] 0.000187985 +2 *4218:DIODE 0.000213403 +3 *22030:A 0 +4 *854:7 0.000401387 +5 *4218:DIODE *22917:A 0 +6 *4218:DIODE *23171:A 2.85274e-05 +7 *4218:DIODE *2741:12 4.86511e-05 +8 *4218:DIODE *2743:8 1.00937e-05 +9 *854:7 *2741:12 3.93072e-05 +10 *854:7 *2885:18 1.44572e-05 +11 la_data_in_mprj[60] *854:7 0 +12 *3934:DIODE *4218:DIODE 6.50727e-05 +13 *598:9 *854:7 0 +*RES +1 la_oenb_mprj[59] *854:7 4.34793 +2 *854:7 *22030:A 13.7491 +3 *854:7 *4218:DIODE 19.7687 +*END + +*D_NET *855 0.00148917 +*CONN +*P la_oenb_mprj[5] I +*I *4219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22031:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[5] 0.000270964 +2 *4219:DIODE 0.000153765 +3 *22031:A 2.29517e-05 +4 *855:5 0.000447681 +5 *4219:DIODE *22916:A 9.75356e-05 +6 *4219:DIODE *2602:6 0 +7 *4219:DIODE *3281:11 0.000111722 +8 *22031:A *22916:A 6.50727e-05 +9 *22031:A *2874:11 0.00011818 +10 *855:5 *2602:6 0 +11 *855:5 *2744:8 0.000201301 +12 *855:5 *2937:18 0 +13 la_data_in_mprj[6] *855:5 0 +14 *4077:DIODE *855:5 0 +*RES +1 la_oenb_mprj[5] *855:5 7.35241 +2 *855:5 *22031:A 15.0271 +3 *855:5 *4219:DIODE 17.2744 +*END + +*D_NET *856 0.00113213 +*CONN +*P la_oenb_mprj[60] I +*I *4220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22032:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[60] 0.000189686 +2 *4220:DIODE 0.000227643 +3 *22032:A 0 +4 *856:13 0.000417329 +5 *4220:DIODE *22918:A 0 +6 *4220:DIODE *2745:8 1.01134e-05 +7 *856:13 *2887:18 5.45571e-05 +8 la_data_in_mprj[61] *856:13 0.000152892 +9 *3936:DIODE *4220:DIODE 7.77888e-05 +10 *3936:DIODE *856:13 2.1203e-06 +11 *600:5 *856:13 0 +*RES +1 la_oenb_mprj[60] *856:13 7.23027 +2 *856:13 *22032:A 13.7491 +3 *856:13 *4220:DIODE 18.6595 +*END + +*D_NET *857 0.000690215 +*CONN +*P la_oenb_mprj[61] I +*I *4221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22033:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[61] 0.000204429 +2 *4221:DIODE 0.000118978 +3 *22033:A 0 +4 *857:7 0.000323407 +5 *4221:DIODE *2888:13 4.34007e-05 +6 la_data_in_mprj[62] *857:7 0 +7 *3938:DIODE *4221:DIODE 0 +8 *4079:DIODE *4221:DIODE 0 +9 *474:5 *4221:DIODE 0 +10 *474:5 *857:7 0 +11 *601:5 *857:7 0 +*RES +1 la_oenb_mprj[61] *857:7 4.49449 +2 *857:7 *22033:A 13.7491 +3 *857:7 *4221:DIODE 16.8269 +*END + +*D_NET *858 0.00121335 +*CONN +*P la_oenb_mprj[62] I +*I *4222:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22034:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[62] 0.000201887 +2 *4222:DIODE 0.0001207 +3 *22034:A 0 +4 *858:10 0.000322587 +5 *4222:DIODE *22919:A 1.61631e-05 +6 *4222:DIODE *22920:A 0.000156823 +7 *4222:DIODE *2080:13 0.000179286 +8 *858:10 *22919:A 6.92705e-05 +9 *858:10 *2080:13 0 +10 la_data_in_mprj[63] *4222:DIODE 0.00011818 +11 la_data_in_mprj[63] *858:10 0 +12 *602:11 *4222:DIODE 0 +13 *602:11 *858:10 2.84513e-05 +*RES +1 la_oenb_mprj[62] *858:10 9.15608 +2 *858:10 *22034:A 9.24915 +3 *858:10 *4222:DIODE 23.7141 +*END + +*D_NET *859 0.00122575 +*CONN +*P la_oenb_mprj[63] I +*I *4223:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22035:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[63] 0.000209726 +2 *4223:DIODE 0.000268681 +3 *22035:A 6.47412e-05 +4 *859:7 0.000543149 +5 *4223:DIODE *2748:8 6.27691e-05 +6 *22035:A *2748:8 5.0715e-05 +7 *859:7 *2748:8 9.80922e-06 +8 la_data_in_mprj[64] *22035:A 1.61631e-05 +9 la_data_in_mprj[64] *859:7 0 +10 *4081:DIODE *4223:DIODE 0 +11 *603:5 *859:7 0 +*RES +1 la_oenb_mprj[63] *859:7 4.34793 +2 *859:7 *22035:A 15.5817 +3 *859:7 *4223:DIODE 18.7989 +*END + +*D_NET *860 0.00119326 +*CONN +*P la_oenb_mprj[64] I +*I *4224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22036:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[64] 0.000232606 +2 *4224:DIODE 0.000209272 +3 *22036:A 3.30578e-05 +4 *860:7 0.000474936 +5 *4224:DIODE *22921:A 0 +6 *4224:DIODE *23179:A 0 +7 *4224:DIODE *2891:18 0.000230547 +8 *860:7 *22921:A 3.20069e-06 +9 *860:7 *2891:18 9.63718e-06 +10 la_data_in_mprj[65] *860:7 0 +11 *604:8 *860:7 0 +*RES +1 la_oenb_mprj[64] *860:7 4.90975 +2 *860:7 *22036:A 14.4725 +3 *860:7 *4224:DIODE 19.7337 +*END + +*D_NET *861 0.00134017 +*CONN +*P la_oenb_mprj[65] I +*I *4225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22037:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[65] 0.000194405 +2 *4225:DIODE 0.000207343 +3 *22037:A 0 +4 *861:8 0.000401747 +5 *4225:DIODE *22922:A 0 +6 *4225:DIODE *2749:12 0 +7 *4225:DIODE *2750:16 0.000389445 +8 *861:8 *2606:29 8.63875e-05 +9 *861:8 *2750:16 6.08467e-05 +10 la_data_in_mprj[66] *861:8 0 +11 *4083:DIODE *4225:DIODE 0 +12 *605:5 *861:8 0 +*RES +1 la_oenb_mprj[65] *861:8 8.83853 +2 *861:8 *22037:A 9.24915 +3 *861:8 *4225:DIODE 24.9571 +*END + +*D_NET *862 0.00100058 +*CONN +*P la_oenb_mprj[66] I +*I *4226:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22038:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[66] 0.000235344 +2 *4226:DIODE 0.000183769 +3 *22038:A 0 +4 *862:7 0.000419112 +5 *4226:DIODE *22923:A 0.000114495 +6 *4226:DIODE *2752:10 0 +7 *4226:DIODE *3302:7 4.31703e-05 +8 la_data_in_mprj[67] *4226:DIODE 4.69189e-06 +9 la_data_in_mprj[67] *862:7 0 +10 *606:8 *4226:DIODE 0 +*RES +1 la_oenb_mprj[66] *862:7 4.34793 +2 *862:7 *22038:A 13.7491 +3 *862:7 *4226:DIODE 17.6896 +*END + +*D_NET *863 0.00168007 +*CONN +*P la_oenb_mprj[67] I +*I *4227:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22039:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[67] 0.000214171 +2 *4227:DIODE 0.000213166 +3 *22039:A 0 +4 *863:7 0.000427337 +5 *4227:DIODE *1683:5 0.000164829 +6 *4227:DIODE *2609:26 0.000335808 +7 *4227:DIODE *2753:9 0.000260402 +8 *863:7 *2609:26 3.8784e-05 +9 *4086:DIODE *4227:DIODE 2.2022e-05 +10 *4086:DIODE *863:7 3.5534e-06 +*RES +1 la_oenb_mprj[67] *863:7 4.34793 +2 *863:7 *22039:A 13.7491 +3 *863:7 *4227:DIODE 21.5663 +*END + +*D_NET *864 0.00147329 +*CONN +*P la_oenb_mprj[68] I +*I *4229:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22041:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[68] 0.000224163 +2 *4229:DIODE 8.3505e-05 +3 *22041:A 0 +4 *864:10 0.000307668 +5 *4229:DIODE *22925:A 0.000296116 +6 *4229:DIODE *2612:10 0.000355219 +7 *864:10 *22925:A 6.92705e-05 +8 *864:10 *2111:16 0 +9 *864:10 *2612:10 6.92705e-05 +10 *864:10 *2893:19 5.12109e-05 +11 *864:10 *2896:11 1.68686e-05 +*RES +1 la_oenb_mprj[68] *864:10 9.57133 +2 *864:10 *22041:A 9.24915 +3 *864:10 *4229:DIODE 23.2989 +*END + +*D_NET *865 0.00121378 +*CONN +*P la_oenb_mprj[69] I +*I *4230:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22042:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[69] 0.000182613 +2 *4230:DIODE 0.000285485 +3 *22042:A 0 +4 *865:8 0.000468098 +5 *4230:DIODE *22928:A 0 +6 *4230:DIODE *23310:A 0 +7 *4230:DIODE *2755:8 1.43848e-05 +8 *865:8 *2613:20 6.09999e-05 +9 *865:8 *2755:8 1.43983e-05 +10 *865:8 *2897:20 6.4674e-06 +11 la_data_in_mprj[70] *865:8 0 +12 *3946:DIODE *4230:DIODE 0 +13 *4088:DIODE *4230:DIODE 3.2752e-05 +14 *609:16 *4230:DIODE 5.31074e-05 +15 *609:16 *865:8 9.54792e-05 +16 *611:8 *4230:DIODE 0 +*RES +1 la_oenb_mprj[69] *865:8 8.83853 +2 *865:8 *22042:A 9.24915 +3 *865:8 *4230:DIODE 24.9571 +*END + +*D_NET *866 0.0011708 +*CONN +*P la_oenb_mprj[6] I +*I *4231:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22043:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[6] 0.000192415 +2 *4231:DIODE 0.0001369 +3 *22043:A 0 +4 *866:10 0.000329315 +5 *4231:DIODE *2614:7 0.000210197 +6 *4231:DIODE *2614:8 0.00015324 +7 *4231:DIODE *2708:17 0 +8 *866:10 *2614:7 6.50586e-05 +9 *866:10 *2708:17 8.3676e-05 +10 *610:8 *866:10 0 +*RES +1 la_oenb_mprj[6] *866:10 9.57133 +2 *866:10 *22043:A 9.24915 +3 *866:10 *4231:DIODE 23.2989 +*END + +*D_NET *867 0.0011061 +*CONN +*P la_oenb_mprj[70] I +*I *4232:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22044:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[70] 0.000204109 +2 *4232:DIODE 0.000225723 +3 *22044:A 0 +4 *867:8 0.000429832 +5 *4232:DIODE *2896:17 0 +6 *867:8 *2896:17 0.000109262 +7 *867:8 *2899:11 1.68686e-05 +8 la_data_in_mprj[71] *4232:DIODE 0.00011818 +9 la_data_in_mprj[71] *867:8 0 +10 *3949:DIODE *4232:DIODE 0 +11 *484:8 *4232:DIODE 2.1203e-06 +*RES +1 la_oenb_mprj[70] *867:8 8.83853 +2 *867:8 *22044:A 9.24915 +3 *867:8 *4232:DIODE 23.8535 +*END + +*D_NET *868 0.00118188 +*CONN +*P la_oenb_mprj[71] I +*I *4233:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22045:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[71] 0.000188288 +2 *4233:DIODE 0.00019569 +3 *22045:A 4.21492e-05 +4 *868:5 0.000426128 +5 *4233:DIODE *22929:A 3.8397e-05 +6 *4233:DIODE *2894:14 3.16372e-05 +7 *4233:DIODE *3309:7 0.00011818 +8 *868:5 *2894:14 2.3231e-05 +9 *3949:DIODE *4233:DIODE 0 +10 *612:18 *4233:DIODE 0 +11 *612:18 *22045:A 0.00011818 +12 *612:18 *868:5 0 +*RES +1 la_oenb_mprj[71] *868:5 3.19988 +2 *868:5 *22045:A 15.0271 +3 *868:5 *4233:DIODE 18.1049 +*END + +*D_NET *869 0.00172793 +*CONN +*P la_oenb_mprj[72] I +*I *22046:A I *D sky130_fd_sc_hd__buf_2 +*I *4234:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[72] 0.000296396 +2 *22046:A 0 +3 *4234:DIODE 0.000331738 +4 *869:12 0.000628134 +5 *4234:DIODE *2759:8 6.2652e-05 +6 *4234:DIODE *3310:7 4.49099e-05 +7 *869:12 *2759:8 8.10514e-06 +8 la_data_in_mprj[73] *869:12 6.50727e-05 +9 *3952:DIODE *4234:DIODE 0.000144415 +10 *4092:DIODE *869:12 5.31074e-05 +11 *486:5 *4234:DIODE 1.05272e-06 +12 *613:14 *869:12 9.23513e-05 +*RES +1 la_oenb_mprj[72] *869:12 11.3892 +2 *869:12 *4234:DIODE 26.4871 +3 *869:12 *22046:A 9.24915 +*END + +*D_NET *870 0.00120216 +*CONN +*P la_oenb_mprj[73] I +*I *4235:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22047:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[73] 0.000195992 +2 *4235:DIODE 0.00027339 +3 *22047:A 0 +4 *870:8 0.000469382 +5 *4235:DIODE *2103:13 7.98366e-05 +6 *870:8 *2103:13 0.000107855 +7 *870:8 *2902:15 2.25574e-05 +8 *3953:DIODE *4235:DIODE 0 +9 *4093:DIODE *4235:DIODE 0 +10 *614:8 *4235:DIODE 2.65667e-05 +11 *614:8 *870:8 2.65831e-05 +*RES +1 la_oenb_mprj[73] *870:8 8.83853 +2 *870:8 *22047:A 9.24915 +3 *870:8 *4235:DIODE 24.9571 +*END + +*D_NET *871 0.00138261 +*CONN +*P la_oenb_mprj[74] I +*I *4236:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22048:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[74] 0.000254873 +2 *4236:DIODE 0.000283609 +3 *22048:A 0 +4 *871:10 0.000538482 +5 *4236:DIODE *5450:DIODE 0 +6 *4236:DIODE *22932:A 0 +7 *4236:DIODE *2903:28 7.34948e-06 +8 *871:10 *22932:A 7.09666e-06 +9 *871:10 *2620:19 2.50118e-05 +10 la_data_in_mprj[75] *871:10 0 +11 *3954:DIODE *4236:DIODE 0 +12 *4094:DIODE *4236:DIODE 7.87481e-05 +13 *615:8 *4236:DIODE 0.000118166 +14 *615:8 *871:10 6.92705e-05 +*RES +1 la_oenb_mprj[74] *871:10 9.57133 +2 *871:10 *22048:A 9.24915 +3 *871:10 *4236:DIODE 25.5117 +*END + +*D_NET *872 0.00120768 +*CONN +*P la_oenb_mprj[75] I +*I *4237:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22049:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[75] 0.000244851 +2 *4237:DIODE 0.000141098 +3 *22049:A 0 +4 *872:9 0.000385949 +5 *4237:DIODE *22933:A 0.000224449 +6 *872:9 *2904:22 9.97479e-06 +7 la_data_in_mprj[76] *4237:DIODE 5.07314e-05 +8 la_data_in_mprj[76] *872:9 0 +9 *3955:DIODE *4237:DIODE 0.000150629 +10 *616:8 *4237:DIODE 0 +11 *616:8 *872:9 0 +*RES +1 la_oenb_mprj[75] *872:9 8.84793 +2 *872:9 *22049:A 9.24915 +3 *872:9 *4237:DIODE 23.8535 +*END + +*D_NET *873 0.00177712 +*CONN +*P la_oenb_mprj[76] I +*I *4238:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22050:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[76] 0.000352531 +2 *4238:DIODE 0.000239394 +3 *22050:A 0 +4 *873:12 0.000591926 +5 *4238:DIODE *22935:A 7.92757e-06 +6 *4238:DIODE *2622:5 0.000114584 +7 *4238:DIODE *2905:13 2.3339e-05 +8 *873:12 *2622:5 0.000167625 +9 la_data_in_mprj[77] *4238:DIODE 0 +10 la_data_in_mprj[77] *873:12 2.91891e-05 +11 *3956:DIODE *4238:DIODE 0.000243508 +12 *490:9 *4238:DIODE 7.09666e-06 +13 *617:5 *873:12 0 +*RES +1 la_oenb_mprj[76] *873:12 11.9607 +2 *873:12 *22050:A 9.24915 +3 *873:12 *4238:DIODE 24.0222 +*END + +*D_NET *874 0.00127812 +*CONN +*P la_oenb_mprj[77] I +*I *4240:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22052:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[77] 0.00017524 +2 *4240:DIODE 0.000325361 +3 *22052:A 0 +4 *874:8 0.000500601 +5 *4240:DIODE *22935:A 0 +6 *4240:DIODE *22936:A 0 +7 *4240:DIODE *2622:5 6.08467e-05 +8 *4240:DIODE *2766:8 0 +9 *874:8 *2621:17 0.000127389 +10 *874:8 *2622:5 6.08467e-05 +11 *874:8 *2623:11 2.78341e-05 +12 la_data_in_mprj[78] *874:8 0 +13 *618:8 *4240:DIODE 0 +14 *618:8 *874:8 0 +*RES +1 la_oenb_mprj[77] *874:8 8.83853 +2 *874:8 *22052:A 9.24915 +3 *874:8 *4240:DIODE 24.9571 +*END + +*D_NET *875 0.00139105 +*CONN +*P la_oenb_mprj[78] I +*I *22053:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4241:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[78] 0.000255833 +2 *22053:A 0 +3 *4241:DIODE 0.000238058 +4 *875:8 0.000493891 +5 *4241:DIODE *2090:7 7.13655e-06 +6 *4241:DIODE *2094:5 6.29689e-05 +7 *4241:DIODE *2624:14 2.82242e-05 +8 *4241:DIODE *2767:14 0 +9 *875:8 *2622:5 0.000167076 +10 la_data_in_mprj[79] *4241:DIODE 0.000137865 +11 *21911:A *4241:DIODE 0 +12 *619:5 *4241:DIODE 0 +*RES +1 la_oenb_mprj[78] *875:8 9.94774 +2 *875:8 *4241:DIODE 24.2337 +3 *875:8 *22053:A 9.24915 +*END + +*D_NET *876 0.00154757 +*CONN +*P la_oenb_mprj[79] I +*I *4242:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22054:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[79] 0.000189241 +2 *4242:DIODE 0.000207214 +3 *22054:A 0 +4 *876:8 0.000396456 +5 *4242:DIODE *22939:A 0.00010503 +6 *4242:DIODE *2118:13 0.000117633 +7 *4242:DIODE *2622:5 6.50586e-05 +8 *4242:DIODE *2909:8 1.4059e-05 +9 *876:8 *2118:13 0.000177201 +10 *876:8 *2622:5 0.000111722 +11 la_data_in_mprj[80] *4242:DIODE 0.000163952 +12 *620:5 *876:8 0 +*RES +1 la_oenb_mprj[79] *876:8 9.39314 +2 *876:8 *22054:A 9.24915 +3 *876:8 *4242:DIODE 24.9571 +*END + +*D_NET *877 0.00207726 +*CONN +*P la_oenb_mprj[7] I +*I *4243:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22055:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[7] 0.000233397 +2 *4243:DIODE 0.000206392 +3 *22055:A 0 +4 *877:8 0.000439789 +5 *4243:DIODE *2626:6 0 +6 *4243:DIODE *2874:11 0.000106215 +7 *4243:DIODE *2874:12 5.68225e-06 +8 *4243:DIODE *2910:6 0.00030861 +9 *4243:DIODE *3330:13 5.0715e-05 +10 *877:8 *2874:11 0.000224395 +11 *877:8 *3330:13 9.9028e-05 +12 la_data_in_mprj[8] *877:8 0 +13 *4101:DIODE *4243:DIODE 0 +14 *4101:DIODE *877:8 0.000200251 +15 *621:13 *877:8 0.000202785 +*RES +1 la_oenb_mprj[7] *877:8 13.8244 +2 *877:8 *22055:A 9.24915 +3 *877:8 *4243:DIODE 25.5117 +*END + +*D_NET *878 0.00178502 +*CONN +*P la_oenb_mprj[80] I +*I *4244:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22056:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[80] 0.000194842 +2 *4244:DIODE 0.000327553 +3 *22056:A 0 +4 *878:8 0.000522395 +5 *4244:DIODE *5458:DIODE 7.75133e-06 +6 *4244:DIODE *23196:A 2.24412e-05 +7 *4244:DIODE *879:5 0 +8 *4244:DIODE *2622:5 0.000271044 +9 *4244:DIODE *2627:10 0.000102003 +10 *4244:DIODE *2771:8 0 +11 *4244:DIODE *2911:10 0.00011818 +12 *878:8 *2622:5 6.50727e-05 +13 *878:8 *2627:10 9.82137e-05 +14 la_data_in_mprj[81] *4244:DIODE 5.34022e-05 +15 *3961:DIODE *4244:DIODE 0 +16 *495:8 *4244:DIODE 2.1203e-06 +17 *622:10 *4244:DIODE 0 +18 *622:10 *878:8 0 +*RES +1 la_oenb_mprj[80] *878:8 8.83853 +2 *878:8 *22056:A 9.24915 +3 *878:8 *4244:DIODE 27.1755 +*END + +*D_NET *879 0.0016247 +*CONN +*P la_oenb_mprj[81] I +*I *4245:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22057:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[81] 0.000326199 +2 *4245:DIODE 0.000179966 +3 *22057:A 4.3598e-05 +4 *879:5 0.000549764 +5 *4245:DIODE *5459:DIODE 5.17042e-05 +6 *4245:DIODE *22941:A 4.63742e-05 +7 *4245:DIODE *2625:9 0.000111722 +8 *22057:A *2771:8 4.31703e-05 +9 *22057:A *3321:7 2.16355e-05 +10 *879:5 *22941:A 0.000199646 +11 *879:5 *2771:8 0 +12 la_data_in_mprj[82] *879:5 0 +13 *3961:DIODE *879:5 5.09239e-05 +14 *4244:DIODE *879:5 0 +15 *623:8 *879:5 0 +*RES +1 la_oenb_mprj[81] *879:5 7.35241 +2 *879:5 *22057:A 15.0271 +3 *879:5 *4245:DIODE 17.2744 +*END + +*D_NET *880 0.00210086 +*CONN +*P la_oenb_mprj[82] I +*I *4246:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22058:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[82] 0.000181069 +2 *4246:DIODE 0.000446364 +3 *22058:A 0 +4 *880:8 0.000627434 +5 *4246:DIODE *23198:A 1.04352e-05 +6 *4246:DIODE *2622:5 0.000118166 +7 *4246:DIODE *2625:9 0.000268892 +8 *4246:DIODE *2630:10 4.88955e-05 +9 *4246:DIODE *2772:8 6.22259e-05 +10 *880:8 *2622:5 6.50727e-05 +11 *880:8 *2630:10 0.000123302 +12 *880:8 *2961:30 1.50657e-05 +13 la_data_in_mprj[83] *4246:DIODE 0.000133941 +14 *624:5 *4246:DIODE 0 +15 *624:5 *880:8 0 +16 *625:5 *4246:DIODE 0 +*RES +1 la_oenb_mprj[82] *880:8 8.83853 +2 *880:8 *22058:A 9.24915 +3 *880:8 *4246:DIODE 28.4535 +*END + +*D_NET *881 0.00149779 +*CONN +*P la_oenb_mprj[83] I +*I *4247:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22059:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[83] 0.000189017 +2 *4247:DIODE 0.000292554 +3 *22059:A 0 +4 *881:8 0.000481571 +5 *4247:DIODE *2622:5 6.36477e-05 +6 *881:8 *2622:5 0.000114594 +7 *881:8 *2631:10 0.000215954 +8 la_data_in_mprj[84] *4247:DIODE 0.000140451 +9 *625:5 *4247:DIODE 0 +10 *626:12 *4247:DIODE 0 +*RES +1 la_oenb_mprj[83] *881:8 9.39314 +2 *881:8 *22059:A 9.24915 +3 *881:8 *4247:DIODE 24.9571 +*END + +*D_NET *882 0.00127921 +*CONN +*P la_oenb_mprj[84] I +*I *4248:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22060:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[84] 0.000183747 +2 *4248:DIODE 0.000313619 +3 *22060:A 0 +4 *882:8 0.000497366 +5 *4248:DIODE *2622:5 6.50586e-05 +6 *4248:DIODE *2622:23 0 +7 *4248:DIODE *2632:10 5.31074e-05 +8 *882:8 *2622:5 6.50727e-05 +9 *882:8 *2632:10 9.94679e-05 +10 *3965:DIODE *4248:DIODE 0 +11 *3965:DIODE *882:8 1.77537e-06 +12 *626:12 *4248:DIODE 0 +13 *626:12 *882:8 0 +*RES +1 la_oenb_mprj[84] *882:8 8.83853 +2 *882:8 *22060:A 9.24915 +3 *882:8 *4248:DIODE 24.9571 +*END + +*D_NET *883 0.00141528 +*CONN +*P la_oenb_mprj[85] I +*I *4249:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22061:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[85] 0.000357944 +2 *4249:DIODE 0.000155957 +3 *22061:A 0 +4 *883:9 0.000513901 +5 *4249:DIODE *2625:9 6.08467e-05 +6 *4249:DIODE *2775:15 0.000101489 +7 *883:9 *22944:A 0 +8 *883:9 *2775:15 0.000225145 +9 la_data_in_mprj[86] *883:9 0 +10 *3966:DIODE *883:9 0 +11 *499:8 *883:9 0 +12 *627:12 *883:9 0 +*RES +1 la_oenb_mprj[85] *883:9 8.25619 +2 *883:9 *22061:A 13.7491 +3 *883:9 *4249:DIODE 16.7198 +*END + +*D_NET *884 0.0017905 +*CONN +*P la_oenb_mprj[86] I +*I *4252:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22064:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[86] 0.000214356 +2 *4252:DIODE 0.000422944 +3 *22064:A 0 +4 *884:8 0.0006373 +5 *4252:DIODE *2625:9 0.000169872 +6 *4252:DIODE *2628:5 1.84293e-05 +7 *4252:DIODE *2628:16 7.14746e-05 +8 *4252:DIODE *2633:10 0.000106215 +9 *4252:DIODE *2919:14 3.20069e-06 +10 *884:8 *2628:5 2.85274e-05 +11 *884:8 *2633:10 0.00011818 +12 la_data_in_mprj[87] *884:8 0 +13 *3968:DIODE *4252:DIODE 0 +14 *501:5 *4252:DIODE 0 +15 *501:5 *884:8 0 +16 *628:5 *4252:DIODE 0 +17 *628:5 *884:8 0 +*RES +1 la_oenb_mprj[86] *884:8 9.39314 +2 *884:8 *22064:A 9.24915 +3 *884:8 *4252:DIODE 27.3443 +*END + +*D_NET *885 0.00211849 +*CONN +*P la_oenb_mprj[87] I +*I *4253:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22065:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[87] 0.000258153 +2 *4253:DIODE 0.000509554 +3 *22065:A 0 +4 *885:7 0.000767707 +5 *4253:DIODE *23208:A 4.23272e-05 +6 *4253:DIODE *23330:B 6.50727e-05 +7 *4253:DIODE *2628:16 0 +8 *4253:DIODE *2912:21 4.04945e-05 +9 *4253:DIODE *3329:21 0.00022178 +10 *4253:DIODE *3329:23 1.61631e-05 +11 *4253:DIODE *3333:13 0.000166951 +12 *885:7 *2633:10 1.89536e-05 +13 *885:7 *2635:17 7.13655e-06 +14 *885:7 *2919:14 4.20184e-06 +15 *3969:DIODE *4253:DIODE 0 +16 *629:5 *885:7 0 +*RES +1 la_oenb_mprj[87] *885:7 4.90975 +2 *885:7 *22065:A 13.7491 +3 *885:7 *4253:DIODE 26.4183 +*END + +*D_NET *886 0.00132927 +*CONN +*P la_oenb_mprj[88] I +*I *4254:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22066:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[88] 0.000286139 +2 *4254:DIODE 0.000323428 +3 *22066:A 0 +4 *886:7 0.000609567 +5 *4254:DIODE *23203:A 0.000104914 +6 *4254:DIODE *2636:11 0 +7 *886:7 *2636:11 5.22654e-06 +8 la_data_in_mprj[89] *886:7 0 +9 *503:5 *4254:DIODE 0 +10 *503:5 *886:7 0 +11 *630:12 *4254:DIODE 0 +12 *630:12 *886:7 0 +*RES +1 la_oenb_mprj[88] *886:7 4.90975 +2 *886:7 *22066:A 13.7491 +3 *886:7 *4254:DIODE 19.7337 +*END + +*D_NET *887 0.00169414 +*CONN +*P la_oenb_mprj[89] I +*I *4255:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22067:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[89] 0.00034714 +2 *4255:DIODE 0.000286092 +3 *22067:A 2.31818e-05 +4 *887:13 0.000656414 +5 *4255:DIODE *22948:A 2.13549e-05 +6 *4255:DIODE *22950:A 0 +7 *4255:DIODE *2637:10 4.75272e-05 +8 *4255:DIODE *2781:8 2.7973e-05 +9 *4255:DIODE *2922:8 3.59267e-05 +10 *22067:A *2628:20 6.50586e-05 +11 *887:13 *22948:A 3.67528e-06 +12 la_data_in_mprj[90] *4255:DIODE 8.62625e-06 +13 la_data_in_mprj[90] *887:13 0.00017117 +14 *631:8 *887:13 0 +*RES +1 la_oenb_mprj[89] *887:13 7.64553 +2 *887:13 *22067:A 14.4725 +3 *887:13 *4255:DIODE 19.7337 +*END + +*D_NET *888 0.00202763 +*CONN +*P la_oenb_mprj[8] I +*I *4256:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22068:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[8] 0.000236761 +2 *4256:DIODE 0.000198773 +3 *22068:A 5.85044e-05 +4 *888:9 0.000494039 +5 *4256:DIODE *1664:15 0.000156384 +6 *4256:DIODE *2780:10 9.22013e-06 +7 *4256:DIODE *2961:54 1.2693e-05 +8 *22068:A *3330:13 2.41483e-05 +9 *888:9 *2961:54 0.000116329 +10 la_data_in_mprj[9] *888:9 6.47921e-05 +11 *3971:DIODE *4256:DIODE 0.00013592 +12 *3971:DIODE *888:9 0.000226281 +13 *4113:DIODE *4256:DIODE 0.000228708 +14 *4113:DIODE *22068:A 6.50727e-05 +15 *632:8 *888:9 0 +*RES +1 la_oenb_mprj[8] *888:9 7.84094 +2 *888:9 *22068:A 15.6059 +3 *888:9 *4256:DIODE 20.8779 +*END + +*D_NET *889 0.00156021 +*CONN +*P la_oenb_mprj[90] I +*I *4257:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22069:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[90] 0.00022319 +2 *4257:DIODE 0.00025669 +3 *22069:A 0 +4 *889:8 0.000479881 +5 *4257:DIODE *22950:A 0 +6 *4257:DIODE *22951:A 0.000321815 +7 *4257:DIODE *2633:20 6.50727e-05 +8 *4257:DIODE *2783:10 7.21753e-05 +9 *4257:DIODE *2919:23 2.41483e-05 +10 *4257:DIODE *2924:13 5.0315e-05 +11 *889:8 *22950:A 3.67528e-06 +12 *889:8 *2633:20 3.6669e-05 +13 *889:8 *2919:23 2.65831e-05 +14 *3974:DIODE *4257:DIODE 0 +15 *506:5 *889:8 0 +16 *633:8 *889:8 0 +*RES +1 la_oenb_mprj[90] *889:8 8.83853 +2 *889:8 *22069:A 9.24915 +3 *889:8 *4257:DIODE 25.5117 +*END + +*D_NET *890 0.000955586 +*CONN +*P la_oenb_mprj[91] I +*I *4258:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22070:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[91] 0.00027153 +2 *4258:DIODE 0.000137523 +3 *22070:A 0 +4 *890:7 0.000409053 +5 *4258:DIODE *2641:17 0.000126234 +6 la_data_in_mprj[92] *4258:DIODE 1.1246e-05 +7 la_data_in_mprj[92] *890:7 0 +8 *4115:DIODE *4258:DIODE 0 +9 *634:5 *890:7 0 +*RES +1 la_oenb_mprj[91] *890:7 4.49449 +2 *890:7 *22070:A 13.7491 +3 *890:7 *4258:DIODE 16.8269 +*END + +*D_NET *891 0.0014188 +*CONN +*P la_oenb_mprj[92] I +*I *4259:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22071:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[92] 0.00028889 +2 *4259:DIODE 0.000293332 +3 *22071:A 2.37273e-05 +4 *891:7 0.00060595 +5 *4259:DIODE *2632:31 0 +6 *4259:DIODE *2920:14 0.000160617 +7 *4259:DIODE *2925:17 1.75682e-05 +8 *22071:A *2919:23 2.65667e-05 +9 *891:7 *22952:A 2.14842e-06 +*RES +1 la_oenb_mprj[92] *891:7 4.90975 +2 *891:7 *22071:A 14.4725 +3 *891:7 *4259:DIODE 18.977 +*END + +*D_NET *892 0.00204359 +*CONN +*P la_oenb_mprj[93] I +*I *4260:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22072:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[93] 0.00023776 +2 *4260:DIODE 0.000518999 +3 *22072:A 0 +4 *892:7 0.00075676 +5 *4260:DIODE *21515:A 0.000104481 +6 *4260:DIODE *22954:A 0 +7 *4260:DIODE *1326:11 0 +8 *4260:DIODE *2786:12 4.7236e-05 +9 *4260:DIODE *2789:18 0.000317707 +10 *892:7 *2111:39 4.99231e-05 +11 *892:7 *2786:12 1.07248e-05 +12 *509:8 *892:7 0 +13 *636:5 *892:7 0 +*RES +1 la_oenb_mprj[93] *892:7 4.34793 +2 *892:7 *22072:A 13.7491 +3 *892:7 *4260:DIODE 23.2301 +*END + +*D_NET *893 0.00139099 +*CONN +*P la_oenb_mprj[94] I +*I *4261:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22073:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[94] 0.00043022 +2 *4261:DIODE 0.000135585 +3 *22073:A 0 +4 *893:9 0.000565805 +5 *4261:DIODE *2632:40 0 +6 *4261:DIODE *2789:18 6.50727e-05 +7 *4261:DIODE *2928:8 1.99996e-05 +8 *893:9 *2632:40 0 +9 *893:9 *2644:8 3.31412e-05 +10 la_data_in_mprj[95] *893:9 0 +11 *3977:DIODE *893:9 9.32704e-05 +12 *4119:DIODE *4261:DIODE 2.55418e-05 +13 *4119:DIODE *893:9 2.23577e-05 +*RES +1 la_oenb_mprj[94] *893:9 8.25619 +2 *893:9 *22073:A 13.7491 +3 *893:9 *4261:DIODE 16.7198 +*END + +*D_NET *894 0.00117366 +*CONN +*P la_oenb_mprj[95] I +*I *4263:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22075:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[95] 0.000256562 +2 *4263:DIODE 0.00016241 +3 *22075:A 0 +4 *894:10 0.000418972 +5 *4263:DIODE *2643:14 4.42191e-05 +6 *4263:DIODE *2787:9 0 +7 *4263:DIODE *2919:23 9.90116e-05 +8 *4263:DIODE *2930:8 6.56365e-05 +9 *894:10 *2643:14 0 +10 *894:10 *2645:8 1.68667e-05 +11 *894:10 *2787:9 2.77563e-05 +12 *894:10 *2919:23 3.14978e-05 +13 la_data_in_mprj[96] *4263:DIODE 5.07314e-05 +14 *511:10 *894:10 0 +*RES +1 la_oenb_mprj[95] *894:10 9.57133 +2 *894:10 *22075:A 9.24915 +3 *894:10 *4263:DIODE 23.2989 +*END + +*D_NET *895 0.00142391 +*CONN +*P la_oenb_mprj[96] I +*I *4264:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22076:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[96] 0.000218079 +2 *4264:DIODE 0.000274697 +3 *22076:A 0 +4 *895:7 0.000492775 +5 *4264:DIODE *22956:A 0 +6 *4264:DIODE *2639:14 0.000281674 +7 *4264:DIODE *2789:10 0 +8 *895:7 *2639:14 3.47496e-05 +9 *895:7 *2646:8 0.000118738 +10 *3979:DIODE *4264:DIODE 0 +11 *3979:DIODE *895:7 3.20069e-06 +12 *639:8 *4264:DIODE 0 +13 *639:8 *895:7 0 +*RES +1 la_oenb_mprj[96] *895:7 4.90975 +2 *895:7 *22076:A 13.7491 +3 *895:7 *4264:DIODE 19.7337 +*END + +*D_NET *896 0.00155681 +*CONN +*P la_oenb_mprj[97] I +*I *4265:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22077:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 la_oenb_mprj[97] 0.000300919 +2 *4265:DIODE 0.000232715 +3 *22077:A 0 +4 *896:11 0.000533634 +5 *4265:DIODE *2633:39 0.000160617 +6 *4265:DIODE *2633:40 0.000177963 +7 *4265:DIODE *2634:22 2.05347e-05 +8 *4265:DIODE *2919:35 2.99978e-05 +9 *4265:DIODE *2932:7 2.16355e-05 +10 la_data_in_mprj[98] *896:11 5.10425e-05 +11 *513:8 *4265:DIODE 2.77564e-05 +12 *640:8 *896:11 0 +*RES +1 la_oenb_mprj[97] *896:11 9.58073 +2 *896:11 *22077:A 9.24915 +3 *896:11 *4265:DIODE 24.5446 +*END + +*D_NET *897 0.00163839 +*CONN +*P la_oenb_mprj[98] I +*I *22078:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4266:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[98] 0.000276748 +2 *22078:A 0 +3 *4266:DIODE 0.000236898 +4 *897:12 0.000513646 +5 *4266:DIODE *22958:A 0 +6 *4266:DIODE *2648:10 1.05272e-06 +7 *4266:DIODE *2790:8 0 +8 *4266:DIODE *2933:10 0.000299018 +9 *897:12 *2648:10 0.000163764 +10 *897:12 *2932:7 2.77062e-05 +11 la_data_in_mprj[99] *897:12 6.50727e-05 +12 *3981:DIODE *4266:DIODE 3.37307e-05 +13 *513:8 *897:12 2.07503e-05 +14 *641:8 *4266:DIODE 0 +15 *641:8 *897:12 0 +*RES +1 la_oenb_mprj[98] *897:12 11.3839 +2 *897:12 *4266:DIODE 24.2337 +3 *897:12 *22078:A 9.24915 +*END + +*D_NET *898 0.00203327 +*CONN +*P la_oenb_mprj[99] I +*I *4267:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22079:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[99] 0.000216141 +2 *4267:DIODE 0.000235778 +3 *22079:A 0 +4 *898:8 0.000451919 +5 *4267:DIODE *2080:47 2.20702e-05 +6 *4267:DIODE *2511:8 3.34802e-05 +7 *4267:DIODE *2655:15 9.97045e-06 +8 *4267:DIODE *2919:49 7.50872e-05 +9 *4267:DIODE *2932:7 0.000111708 +10 *898:8 *22834:A 6.50727e-05 +11 *898:8 *2080:47 0.000263528 +12 *898:8 *2649:9 1.37385e-05 +13 *898:8 *2932:7 0.00033061 +14 la_data_in_mprj[100] *898:8 0 +15 *3986:DIODE *4267:DIODE 5.95249e-06 +16 *389:7 *4267:DIODE 0.000165012 +17 *389:7 *898:8 0 +18 *517:5 *4267:DIODE 3.32043e-05 +*RES +1 la_oenb_mprj[99] *898:8 12.0268 +2 *898:8 *22079:A 9.24915 +3 *898:8 *4267:DIODE 25.0964 +*END + +*D_NET *899 0.00150687 +*CONN +*P la_oenb_mprj[9] I +*I *4268:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22080:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[9] 0.000314079 +2 *4268:DIODE 0.00017028 +3 *22080:A 0 +4 *899:8 0.000484359 +5 *4268:DIODE *22844:A 0 +6 *4268:DIODE *2792:8 5.04879e-05 +7 *4268:DIODE *3330:11 5.99691e-05 +8 *4268:DIODE *3330:13 0.00011818 +9 *899:8 *2650:6 0 +10 *899:8 *2768:10 0 +11 *899:8 *3330:13 0.000113968 +12 la_data_in_mprj[10] *4268:DIODE 2.23259e-05 +13 *3855:DIODE *4268:DIODE 2.41274e-06 +14 *3983:DIODE *899:8 5.54078e-05 +15 *4125:DIODE *899:8 0.0001154 +*RES +1 la_oenb_mprj[9] *899:8 13.1304 +2 *899:8 *22080:A 9.24915 +3 *899:8 *4268:DIODE 23.4382 +*END + +*D_NET *900 0.00107138 +*CONN +*P mprj_ack_i_core O +*I *22591:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_ack_i_core 0.000258651 +2 *22591:X 0.000258651 +3 mprj_ack_i_core *4305:DIODE 0 +4 mprj_ack_i_core *966:8 2.97092e-05 +5 mprj_ack_i_core *2827:8 7.21868e-05 +6 mprj_ack_i_core *3047:10 0.00011818 +7 *4160:DIODE mprj_ack_i_core 0.00014663 +8 *802:13 mprj_ack_i_core 0.000187373 +*RES +1 *22591:X mprj_ack_i_core 21.1338 +*END + +*D_NET *901 0.00211453 +*CONN +*P mprj_ack_i_user I +*I *22081:A I *D sky130_fd_sc_hd__buf_12 +*I *4269:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_ack_i_user 0.000243386 +2 *22081:A 0.00010404 +3 *4269:DIODE 0.000483115 +4 *901:5 0.000830541 +5 *4269:DIODE mprj_cyc_o_user 0 +6 *4269:DIODE user_clock 0.000277502 +7 *4269:DIODE user_reset 0.000110876 +8 *4269:DIODE *22699:A 6.50727e-05 +9 *901:5 mprj_cyc_o_user 0 +10 *901:5 user_reset 0 +*RES +1 mprj_ack_i_user *901:5 6.93715 +2 *901:5 *4269:DIODE 28.0822 +3 *901:5 *22081:A 16.1364 +*END + +*D_NET *902 0.00113071 +*CONN +*P mprj_adr_o_core[0] I +*I *4270:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22082:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_core[0] 0.000152039 +2 *4270:DIODE 0.000198417 +3 *22082:A 2.31637e-05 +4 *902:5 0.00037362 +5 *4270:DIODE *2537:14 3.22726e-05 +6 *4270:DIODE *2810:12 3.51249e-05 +7 *4270:DIODE *3047:10 0.000167076 +8 *22082:A *2815:11 6.50727e-05 +9 *902:5 *2537:14 4.33209e-05 +10 *902:5 *2810:12 4.06083e-05 +*RES +1 mprj_adr_o_core[0] *902:5 3.61514 +2 *902:5 *22082:A 14.4725 +3 *902:5 *4270:DIODE 18.2442 +*END + +*D_NET *903 0.00203762 +*CONN +*P mprj_adr_o_core[10] I +*I *4271:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22083:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_adr_o_core[10] 0.000176201 +2 *4271:DIODE 0.000267029 +3 *22083:A 0.000206231 +4 *903:5 0.00064946 +5 *4271:DIODE mprj_dat_i_core[10] 0 +6 *4271:DIODE *4343:DIODE 0.000169041 +7 *4271:DIODE *2413:37 2.02114e-05 +8 *4271:DIODE *2938:11 7.50722e-05 +9 *4271:DIODE *3044:15 0.000120052 +10 *22083:A *22155:A 7.48633e-05 +11 *22083:A *22189:A 2.65831e-05 +12 *22083:A *2938:11 0.000177886 +13 *22083:A *2939:13 2.16355e-05 +14 *22083:A *3013:10 7.34948e-06 +15 *903:5 mprj_dat_i_core[10] 0 +16 *903:5 *4377:DIODE 9.2346e-06 +17 *903:5 *1063:7 0 +18 *903:5 *3044:15 3.67708e-05 +*RES +1 mprj_adr_o_core[10] *903:5 4.03039 +2 *903:5 *22083:A 20.5973 +3 *903:5 *4271:DIODE 19.4928 +*END + +*D_NET *904 0.00143483 +*CONN +*P mprj_adr_o_core[11] I +*I *4272:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22084:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_adr_o_core[11] 0.000182549 +2 *4272:DIODE 0.000255685 +3 *22084:A 0 +4 *904:7 0.000438234 +5 *4272:DIODE mprj_dat_i_core[11] 0.000214688 +6 *4272:DIODE *2413:37 0.000141561 +7 *4272:DIODE *2939:13 2.79471e-05 +8 *4272:DIODE *3013:10 0.000101133 +9 *904:7 mprj_dat_i_core[11] 0 +10 *904:7 *1033:5 3.98454e-05 +11 *904:7 *2939:13 3.31882e-05 +*RES +1 mprj_adr_o_core[11] *904:7 4.34793 +2 *904:7 *22084:A 13.7491 +3 *904:7 *4272:DIODE 22.5417 +*END + +*D_NET *905 0.00125652 +*CONN +*P mprj_adr_o_core[12] I +*I *4274:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22086:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_adr_o_core[12] 0.000148402 +2 *4274:DIODE 0.000239652 +3 *22086:A 4.79982e-05 +4 *905:5 0.000436053 +5 *4274:DIODE mprj_dat_i_core[11] 0 +6 *4274:DIODE *1034:8 0 +7 *4274:DIODE *2413:37 1.71806e-05 +8 *4274:DIODE *2941:9 9.60216e-05 +9 *4274:DIODE *2942:16 2.97007e-05 +10 *22086:A *1034:8 0.000164843 +11 *22086:A *2942:16 6.50727e-05 +12 *905:5 mprj_dat_i_core[12] 0 +13 *905:5 *1034:8 0 +14 *905:5 *2942:16 1.15904e-05 +*RES +1 mprj_adr_o_core[12] *905:5 3.19988 +2 *905:5 *22086:A 15.5817 +3 *905:5 *4274:DIODE 18.6595 +*END + +*D_NET *906 0.00130948 +*CONN +*P mprj_adr_o_core[13] I +*I *4275:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22087:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_adr_o_core[13] 0.000108042 +2 *4275:DIODE 0.000189448 +3 *22087:A 4.14302e-05 +4 *906:5 0.00033892 +5 *4275:DIODE *4344:DIODE 0.000122098 +6 *4275:DIODE *4345:DIODE 4.57241e-06 +7 *4275:DIODE *2413:37 1.69574e-05 +8 *4275:DIODE *2827:12 0.000144531 +9 *22087:A *2942:16 0.000107496 +10 *22087:A *3013:10 1.82679e-05 +11 *906:5 mprj_dat_i_core[13] 0 +12 *906:5 *4345:DIODE 3.20069e-06 +13 *906:5 *1035:7 0 +14 *906:5 *2827:12 0.000118485 +15 *906:5 *3012:8 9.60366e-05 +*RES +1 mprj_adr_o_core[13] *906:5 3.61514 +2 *906:5 *22087:A 15.0271 +3 *906:5 *4275:DIODE 18.2442 +*END + +*D_NET *907 0.0020514 +*CONN +*P mprj_adr_o_core[14] I +*I *22088:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4276:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[14] 0.000459585 +2 *22088:A 0 +3 *4276:DIODE 0.000324217 +4 *907:10 0.000783803 +5 *4276:DIODE *22159:A 2.78496e-05 +6 *4276:DIODE *908:8 1.2601e-05 +7 *4276:DIODE *1037:5 0.000182869 +8 *4276:DIODE *2413:37 2.37285e-05 +9 *4276:DIODE *2945:8 0 +10 *907:10 mprj_dat_i_core[14] 0 +11 *907:10 *1036:12 0.000125168 +12 *907:10 *2943:12 0.000111578 +*RES +1 mprj_adr_o_core[14] *907:10 13.0236 +2 *907:10 *4276:DIODE 24.8233 +3 *907:10 *22088:A 9.24915 +*END + +*D_NET *908 0.00135461 +*CONN +*P mprj_adr_o_core[15] I +*I *4277:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22089:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[15] 0.000178237 +2 *4277:DIODE 0.000149402 +3 *22089:A 0 +4 *908:8 0.000327638 +5 *4277:DIODE mprj_dat_i_core[15] 3.46206e-05 +6 *4277:DIODE *2944:6 0.000258933 +7 *4277:DIODE *2945:8 0.000333438 +8 *908:8 mprj_dat_i_core[15] 0 +9 *908:8 *1037:5 0 +10 *908:8 *2945:8 5.9739e-05 +11 *4276:DIODE *908:8 1.2601e-05 +*RES +1 mprj_adr_o_core[15] *908:8 8.83853 +2 *908:8 *22089:A 9.24915 +3 *908:8 *4277:DIODE 24.9571 +*END + +*D_NET *909 0.00101128 +*CONN +*P mprj_adr_o_core[16] I +*I *4278:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22090:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[16] 0.000143991 +2 *4278:DIODE 0.000192285 +3 *22090:A 2.59659e-05 +4 *909:5 0.000362242 +5 *4278:DIODE mprj_dat_i_core[16] 3.54718e-05 +6 *4278:DIODE *4348:DIODE 0.00013362 +7 *4278:DIODE *22631:A 2.81181e-05 +8 *4278:DIODE *2413:37 6.11359e-06 +9 *22090:A *2945:8 2.57986e-05 +10 *909:5 mprj_dat_i_core[16] 4.96388e-05 +11 *909:5 *4348:DIODE 8.03393e-06 +12 *909:5 *1038:8 0 +*RES +1 mprj_adr_o_core[16] *909:5 3.61514 +2 *909:5 *22090:A 14.4725 +3 *909:5 *4278:DIODE 18.2442 +*END + +*D_NET *910 0.000992439 +*CONN +*P mprj_adr_o_core[17] I +*I *4279:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22091:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_adr_o_core[17] 0.000103689 +2 *4279:DIODE 0.000142741 +3 *22091:A 3.59711e-05 +4 *910:5 0.000282401 +5 *4279:DIODE *4349:DIODE 0.000146098 +6 *4279:DIODE *2413:37 1.87271e-05 +7 *4279:DIODE *2946:11 4.15008e-05 +8 *910:5 mprj_dat_i_core[17] 0 +9 *910:5 *4349:DIODE 1.07248e-05 +10 *910:5 *1039:13 0.000208812 +11 *910:5 *2946:11 1.77537e-06 +*RES +1 mprj_adr_o_core[17] *910:5 3.61514 +2 *910:5 *22091:A 14.4725 +3 *910:5 *4279:DIODE 17.6896 +*END + +*D_NET *911 0.000875622 +*CONN +*P mprj_adr_o_core[18] I +*I *4280:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22092:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[18] 0.000170173 +2 *4280:DIODE 0.000190227 +3 *22092:A 2.19663e-05 +4 *911:5 0.000382366 +5 *4280:DIODE mprj_dat_i_core[17] 0 +6 *4280:DIODE mprj_dat_i_core[18] 0 +7 *4280:DIODE *22633:A 2.78496e-05 +8 *4280:DIODE *2947:9 1.79672e-05 +9 *22092:A *1040:8 6.50727e-05 +10 *911:5 mprj_dat_i_core[18] 0 +11 *911:5 *1040:8 0 +*RES +1 mprj_adr_o_core[18] *911:5 3.61514 +2 *911:5 *22092:A 14.4725 +3 *911:5 *4280:DIODE 17.6896 +*END + +*D_NET *912 0.00118675 +*CONN +*P mprj_adr_o_core[19] I +*I *4281:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22093:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_adr_o_core[19] 0.000124439 +2 *4281:DIODE 0.000196816 +3 *22093:A 1.54421e-05 +4 *912:5 0.000336698 +5 *4281:DIODE mprj_dat_i_core[19] 0 +6 *4281:DIODE *4351:DIODE 0 +7 *4281:DIODE *1041:10 8.92568e-06 +8 *4281:DIODE *2413:37 1.31897e-05 +9 *4281:DIODE *2948:9 0.000101118 +10 *22093:A *1041:10 0.000171288 +11 *22093:A *3019:20 0.000171288 +12 *912:5 mprj_dat_i_core[19] 0 +13 *912:5 *1041:10 4.75463e-05 +*RES +1 mprj_adr_o_core[19] *912:5 3.19988 +2 *912:5 *22093:A 15.5817 +3 *912:5 *4281:DIODE 18.1049 +*END + +*D_NET *913 0.00104828 +*CONN +*P mprj_adr_o_core[1] I +*I *22094:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4282:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[1] 0.000257871 +2 *22094:A 0.000110971 +3 *4282:DIODE 0 +4 *913:5 0.000368842 +5 *22094:A *4342:DIODE 0 +6 *22094:A *1032:9 0 +7 *22094:A *2825:14 6.52347e-05 +8 *22094:A *3049:11 0.000107496 +9 *913:5 mprj_dat_i_core[1] 3.0584e-05 +10 *913:5 *4379:DIODE 1.36815e-05 +11 *913:5 *1032:9 0 +12 *913:5 *1097:8 0 +13 *913:5 *2825:14 9.35979e-05 +*RES +1 mprj_adr_o_core[1] *913:5 6.10665 +2 *913:5 *4282:DIODE 13.7491 +3 *913:5 *22094:A 16.4439 +*END + +*D_NET *914 0.00161742 +*CONN +*P mprj_adr_o_core[20] I +*I *4283:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22095:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_adr_o_core[20] 0.000279173 +2 *4283:DIODE 0.000189822 +3 *22095:A 0 +4 *914:12 0.000468995 +5 *4283:DIODE *4355:DIODE 0.000142485 +6 *4283:DIODE *1044:13 3.31882e-05 +7 *4283:DIODE *2413:37 5.20546e-06 +8 *4283:DIODE *3022:14 0.000156823 +9 *914:12 mprj_dat_i_core[20] 1.97947e-05 +10 *914:12 *1042:13 0.000157086 +11 *914:12 *2950:11 0.000164843 +*RES +1 mprj_adr_o_core[20] *914:12 12.1073 +2 *914:12 *22095:A 9.24915 +3 *914:12 *4283:DIODE 23.8829 +*END + +*D_NET *915 0.00141543 +*CONN +*P mprj_adr_o_core[21] I +*I *4285:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22097:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[21] 7.44728e-05 +2 *4285:DIODE 0.000183779 +3 *22097:A 0.000110171 +4 *915:5 0.000368423 +5 *4285:DIODE *4355:DIODE 0.000142485 +6 *4285:DIODE *2413:37 1.31872e-05 +7 *4285:DIODE *3024:17 0.000149628 +8 *22097:A *2953:8 2.65667e-05 +9 *915:5 mprj_dat_i_core[21] 0 +10 *915:5 *4355:DIODE 1.07248e-05 +11 *915:5 *1044:13 0.000208812 +12 *915:5 *3024:17 0.000127179 +*RES +1 mprj_adr_o_core[21] *915:5 3.61514 +2 *915:5 *22097:A 16.1364 +3 *915:5 *4285:DIODE 18.2442 +*END + +*D_NET *916 0.00113601 +*CONN +*P mprj_adr_o_core[22] I +*I *4286:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22098:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_adr_o_core[22] 0.000130324 +2 *4286:DIODE 0.000262515 +3 *22098:A 8.02088e-05 +4 *916:5 0.000473048 +5 *4286:DIODE mprj_dat_i_core[21] 0 +6 *4286:DIODE mprj_dat_i_core[22] 0 +7 *4286:DIODE *4356:DIODE 0 +8 *4286:DIODE *2954:8 0 +9 *4286:DIODE *3023:17 1.33977e-05 +10 *22098:A *4356:DIODE 0.00015709 +11 *22098:A *1045:8 1.41976e-05 +12 *916:5 mprj_dat_i_core[22] 0 +13 *916:5 *1045:8 0 +14 *916:5 *3023:17 5.22654e-06 +*RES +1 mprj_adr_o_core[22] *916:5 2.78463 +2 *916:5 *22098:A 16.1605 +3 *916:5 *4286:DIODE 18.5201 +*END + +*D_NET *917 0.00113443 +*CONN +*P mprj_adr_o_core[23] I +*I *4287:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22099:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_adr_o_core[23] 0.000154926 +2 *4287:DIODE 0.000202245 +3 *22099:A 2.86221e-05 +4 *917:5 0.000385793 +5 *4287:DIODE mprj_dat_i_core[23] 0 +6 *4287:DIODE *22639:A 5.54078e-05 +7 *4287:DIODE *2954:8 0 +8 *4287:DIODE *2955:11 1.79672e-05 +9 *22099:A *1046:10 0.000171288 +10 *22099:A *2954:8 0.00011818 +11 *917:5 mprj_dat_i_core[23] 0 +12 *917:5 *1046:10 0 +*RES +1 mprj_adr_o_core[23] *917:5 3.19988 +2 *917:5 *22099:A 15.5817 +3 *917:5 *4287:DIODE 18.1049 +*END + +*D_NET *918 0.000953939 +*CONN +*P mprj_adr_o_core[24] I +*I *4288:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22100:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 mprj_adr_o_core[24] 0.000117749 +2 *4288:DIODE 0.000171618 +3 *22100:A 5.44296e-05 +4 *918:5 0.000343796 +5 *4288:DIODE mprj_dat_i_core[24] 4.58124e-05 +6 *4288:DIODE *4358:DIODE 0.000148144 +7 *4288:DIODE *3025:17 2.0456e-06 +8 *22100:A *2955:11 1.92926e-05 +9 *918:5 mprj_dat_i_core[24] 4.89034e-05 +10 *918:5 *1047:8 0 +11 *918:5 *3025:17 2.14842e-06 +*RES +1 mprj_adr_o_core[24] *918:5 3.19988 +2 *918:5 *22100:A 15.0271 +3 *918:5 *4288:DIODE 18.1049 +*END + +*D_NET *919 0.00141274 +*CONN +*P mprj_adr_o_core[25] I +*I *22101:A I *D sky130_fd_sc_hd__buf_2 +*I *4289:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[25] 0.000269512 +2 *22101:A 0 +3 *4289:DIODE 0.000232433 +4 *919:10 0.000501945 +5 *4289:DIODE mprj_dat_i_core[25] 0.000129686 +6 *4289:DIODE *4360:DIODE 0 +7 *4289:DIODE *22642:A 5.04829e-06 +8 *4289:DIODE *1049:8 3.20069e-06 +9 *4289:DIODE *2956:19 8.92568e-06 +10 *919:10 mprj_dat_i_core[25] 0 +11 *919:10 *1048:5 0 +12 *919:10 *2956:19 8.92568e-06 +13 *919:10 *3026:11 2.71397e-05 +14 *919:10 *3027:13 0.000225925 +*RES +1 mprj_adr_o_core[25] *919:10 11.7897 +2 *919:10 *4289:DIODE 24.2687 +3 *919:10 *22101:A 9.24915 +*END + +*D_NET *920 0.00116081 +*CONN +*P mprj_adr_o_core[26] I +*I *4290:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22102:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[26] 0.000146561 +2 *4290:DIODE 0.00020323 +3 *22102:A 0.000115286 +4 *920:5 0.000465076 +5 *4290:DIODE *4360:DIODE 0.000136973 +6 *4290:DIODE *2957:21 5.79232e-05 +7 *920:5 mprj_dat_i_core[26] 0 +8 *920:5 *4360:DIODE 8.62625e-06 +9 *920:5 *1049:8 0 +10 *920:5 *2957:21 2.71397e-05 +*RES +1 mprj_adr_o_core[26] *920:5 3.61514 +2 *920:5 *22102:A 16.1364 +3 *920:5 *4290:DIODE 18.2442 +*END + +*D_NET *921 0.000960981 +*CONN +*P mprj_adr_o_core[27] I +*I *4291:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22103:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[27] 0.00014061 +2 *4291:DIODE 0.000179568 +3 *22103:A 9.34923e-06 +4 *921:5 0.000329528 +5 *4291:DIODE mprj_dat_i_core[27] 0 +6 *4291:DIODE *4363:DIODE 5.99802e-05 +7 *4291:DIODE *22643:A 2.85274e-05 +8 *22103:A *2958:9 6.50727e-05 +9 *22103:A *3031:27 6.50727e-05 +10 *921:5 mprj_dat_i_core[27] 0 +11 *921:5 *4363:DIODE 3.20069e-06 +12 *921:5 *1050:7 3.99398e-05 +13 *921:5 *3030:17 4.01315e-05 +*RES +1 mprj_adr_o_core[27] *921:5 3.61514 +2 *921:5 *22103:A 14.4725 +3 *921:5 *4291:DIODE 17.6896 +*END + +*D_NET *922 0.000972561 +*CONN +*P mprj_adr_o_core[28] I +*I *4292:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22104:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[28] 0.000147719 +2 *4292:DIODE 0.000178408 +3 *22104:A 2.7855e-05 +4 *922:5 0.000353982 +5 *4292:DIODE *2959:13 0.000149628 +6 *22104:A *4364:DIODE 6.08467e-05 +7 *922:5 mprj_dat_i_core[28] 0 +8 *922:5 *1051:8 0 +9 *922:5 *2959:13 5.41227e-05 +*RES +1 mprj_adr_o_core[28] *922:5 3.61514 +2 *922:5 *22104:A 14.4725 +3 *922:5 *4292:DIODE 17.6896 +*END + +*D_NET *923 0.000905651 +*CONN +*P mprj_adr_o_core[29] I +*I *4293:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22105:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[29] 0.000166664 +2 *4293:DIODE 0.000206574 +3 *22105:A 1.26312e-05 +4 *923:5 0.000385869 +5 *4293:DIODE *2960:13 3.40747e-05 +6 *22105:A *2960:7 2.65831e-05 +7 *22105:A *3032:22 6.50727e-05 +8 *923:5 mprj_dat_i_core[29] 0 +9 *923:5 *1052:5 0 +10 *923:5 *2960:13 8.18344e-06 +*RES +1 mprj_adr_o_core[29] *923:5 3.61514 +2 *923:5 *22105:A 14.4725 +3 *923:5 *4293:DIODE 17.6896 +*END + +*D_NET *924 0.00161829 +*CONN +*P mprj_adr_o_core[2] I +*I *22106:A I *D sky130_fd_sc_hd__buf_12 +*I *4294:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[2] 0.000178878 +2 *22106:A 0 +3 *4294:DIODE 0.000114737 +4 *924:8 0.000293615 +5 *4294:DIODE mprj_dat_i_core[2] 0.00015324 +6 *4294:DIODE *2541:14 1.05862e-05 +7 *4294:DIODE *2541:16 0.000160195 +8 *4294:DIODE *3012:14 0.00031602 +9 *924:8 mprj_dat_i_core[2] 0 +10 *924:8 *2821:12 0.000178604 +11 *924:8 *3012:14 4.97325e-05 +12 *924:8 *3046:9 0.000162677 +*RES +1 mprj_adr_o_core[2] *924:8 9.94774 +2 *924:8 *4294:DIODE 24.2337 +3 *924:8 *22106:A 9.24915 +*END + +*D_NET *925 0.00112087 +*CONN +*P mprj_adr_o_core[30] I +*I *22108:A I *D sky130_fd_sc_hd__buf_2 +*I *4296:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[30] 0.000172773 +2 *22108:A 0 +3 *4296:DIODE 0.000178177 +4 *925:8 0.00035095 +5 *4296:DIODE *4366:DIODE 5.19205e-05 +6 *4296:DIODE *1053:5 0.000101246 +7 *4296:DIODE *2963:13 0.000100586 +8 *4296:DIODE *3032:22 6.92705e-05 +9 *925:8 mprj_dat_i_core[30] 0 +10 *925:8 *1053:5 0 +11 *925:8 *2963:13 4.70559e-05 +12 *925:8 *3032:22 4.88955e-05 +*RES +1 mprj_adr_o_core[30] *925:8 8.83853 +2 *925:8 *4296:DIODE 24.9571 +3 *925:8 *22108:A 9.24915 +*END + +*D_NET *926 0.00211452 +*CONN +*P mprj_adr_o_core[31] I +*I *22109:A I *D sky130_fd_sc_hd__buf_2 +*I *4297:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[31] 0.000284803 +2 *22109:A 0 +3 *4297:DIODE 0.000203641 +4 *926:8 0.000488444 +5 *4297:DIODE *4369:DIODE 1.72799e-05 +6 *4297:DIODE *4378:DIODE 0.00033614 +7 *4297:DIODE *22649:A 0.000127194 +8 *4297:DIODE *3032:22 0.000292492 +9 *4297:DIODE *3032:24 9.73734e-05 +10 *926:8 mprj_dat_i_core[31] 0 +11 *926:8 *1055:8 0 +12 *926:8 *3032:22 0.00026715 +*RES +1 mprj_adr_o_core[31] *926:8 12.5758 +2 *926:8 *4297:DIODE 27.8638 +3 *926:8 *22109:A 9.24915 +*END + +*D_NET *927 0.00162169 +*CONN +*P mprj_adr_o_core[3] I +*I *4298:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22110:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 mprj_adr_o_core[3] 0.000208951 +2 *4298:DIODE 0.000200696 +3 *22110:A 0 +4 *927:7 0.000409648 +5 *4298:DIODE mprj_dat_i_core[3] 0.000321666 +6 *4298:DIODE *1099:5 0 +7 *4298:DIODE *2965:15 4.42987e-06 +8 *4298:DIODE *3049:11 0.00043038 +9 *927:7 mprj_dat_i_core[3] 4.59195e-05 +10 *927:7 *1099:5 0 +*RES +1 mprj_adr_o_core[3] *927:7 4.90975 +2 *927:7 *22110:A 13.7491 +3 *927:7 *4298:DIODE 21.4325 +*END + +*D_NET *928 0.000933009 +*CONN +*P mprj_adr_o_core[4] I +*I *4299:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22111:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_core[4] 0.000160488 +2 *4299:DIODE 0.000209636 +3 *22111:A 2.52482e-05 +4 *928:5 0.000395372 +5 *4299:DIODE mprj_dat_i_core[4] 0 +6 *4299:DIODE *4370:DIODE 4.2996e-05 +7 *4299:DIODE *2413:37 2.93863e-05 +8 *22111:A *3038:19 2.65831e-05 +9 *928:5 mprj_dat_i_core[4] 0 +10 *928:5 *4370:DIODE 1.77537e-06 +11 *928:5 *1100:5 0 +12 *928:5 *3038:19 4.15236e-05 +*RES +1 mprj_adr_o_core[4] *928:5 3.61514 +2 *928:5 *22111:A 14.4725 +3 *928:5 *4299:DIODE 18.2442 +*END + +*D_NET *929 0.00111631 +*CONN +*P mprj_adr_o_core[5] I +*I *22112:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4300:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[5] 0.000203605 +2 *22112:A 9.11788e-05 +3 *4300:DIODE 0 +4 *929:5 0.000294783 +5 *22112:A *2413:37 0.000107496 +6 *22112:A *2968:11 7.7502e-05 +7 *929:5 mprj_dat_i_core[4] 0 +8 *929:5 mprj_dat_i_core[5] 0 +9 *929:5 *1058:8 0 +10 *929:5 *2966:8 0.00016396 +11 *929:5 *2968:11 0.000177787 +*RES +1 mprj_adr_o_core[5] *929:5 6.10665 +2 *929:5 *4300:DIODE 13.7491 +3 *929:5 *22112:A 16.4439 +*END + +*D_NET *930 0.00169747 +*CONN +*P mprj_adr_o_core[6] I +*I *4301:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22113:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_adr_o_core[6] 0.000185364 +2 *4301:DIODE 0.000286999 +3 *22113:A 0 +4 *930:8 0.000472363 +5 *4301:DIODE *4372:DIODE 0.00015324 +6 *4301:DIODE *4374:DIODE 0 +7 *4301:DIODE *22653:A 4.2389e-05 +8 *4301:DIODE *1059:20 0.000201774 +9 *4301:DIODE *1060:12 8.62625e-06 +10 *930:8 mprj_dat_i_core[6] 0 +11 *930:8 *1059:20 0.000264847 +12 *930:8 *2968:11 6.50727e-05 +13 *930:8 *3039:7 1.67988e-05 +*RES +1 mprj_adr_o_core[6] *930:8 9.94774 +2 *930:8 *22113:A 9.24915 +3 *930:8 *4301:DIODE 25.6861 +*END + +*D_NET *931 0.000986616 +*CONN +*P mprj_adr_o_core[7] I +*I *4302:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22114:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[7] 0.000233328 +2 *4302:DIODE 5.47656e-05 +3 *22114:A 3.64962e-05 +4 *931:13 0.00032459 +5 *4302:DIODE *4374:DIODE 3.14199e-05 +6 *4302:DIODE *22654:A 6.81008e-05 +7 *4302:DIODE *2969:9 7.86847e-05 +8 *22114:A mprj_dat_i_core[7] 5.04829e-06 +9 *931:13 mprj_dat_i_core[7] 0.000147781 +10 *931:13 *4374:DIODE 3.20069e-06 +11 *931:13 *4375:DIODE 0 +12 *931:13 *22654:A 3.20069e-06 +13 *931:13 *1060:12 0 +14 *931:13 *1061:5 0 +*RES +1 mprj_adr_o_core[7] *931:13 7.64553 +2 *931:13 *22114:A 14.4725 +3 *931:13 *4302:DIODE 16.4116 +*END + +*D_NET *932 0.00148954 +*CONN +*P mprj_adr_o_core[8] I +*I *22115:A I *D sky130_fd_sc_hd__buf_2 +*I *4303:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[8] 0.0002746 +2 *22115:A 0 +3 *4303:DIODE 0.000217648 +4 *932:8 0.000492248 +5 *4303:DIODE mprj_dat_i_core[8] 0 +6 *4303:DIODE *4376:DIODE 2.17582e-05 +7 *4303:DIODE *1062:13 1.77537e-06 +8 *4303:DIODE *2413:37 1.93033e-05 +9 *4303:DIODE *2970:9 2.99929e-05 +10 *4303:DIODE *3043:15 2.99929e-05 +11 *932:8 mprj_dat_i_core[8] 4.12025e-05 +12 *932:8 *4375:DIODE 0 +13 *932:8 *1061:5 0 +14 *932:8 *2971:13 0.000357339 +15 *932:8 *3042:15 3.67528e-06 +*RES +1 mprj_adr_o_core[8] *932:8 12.1662 +2 *932:8 *4303:DIODE 22.7442 +3 *932:8 *22115:A 9.24915 +*END + +*D_NET *933 0.00113063 +*CONN +*P mprj_adr_o_core[9] I +*I *4304:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22116:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_adr_o_core[9] 0.000126861 +2 *4304:DIODE 0.000195824 +3 *22116:A 2.19663e-05 +4 *933:5 0.00034465 +5 *4304:DIODE mprj_dat_i_core[9] 0 +6 *4304:DIODE *4376:DIODE 0.000142485 +7 *4304:DIODE *2413:37 2.93863e-05 +8 *22116:A *2971:13 6.50727e-05 +9 *933:5 mprj_dat_i_core[9] 0 +10 *933:5 *4376:DIODE 1.07248e-05 +11 *933:5 *1062:13 0.000193659 +*RES +1 mprj_adr_o_core[9] *933:5 3.61514 +2 *933:5 *22116:A 14.4725 +3 *933:5 *4304:DIODE 18.2442 +*END + +*D_NET *934 0.00072157 +*CONN +*P mprj_adr_o_user[0] O +*I *22592:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[0] 0.000329481 +2 *22592:X 0.000329481 +3 mprj_adr_o_user[0] mprj_dat_o_user[0] 0 +4 mprj_adr_o_user[0] mprj_we_o_user 0 +5 mprj_adr_o_user[0] *22592:A 0 +6 mprj_adr_o_user[0] *1000:14 0 +7 mprj_adr_o_user[0] *2936:8 6.26091e-05 +*RES +1 *22592:X mprj_adr_o_user[0] 22.7948 +*END + +*D_NET *935 0.00128634 +*CONN +*P mprj_adr_o_user[10] O +*I *22593:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[10] 0.000430565 +2 *22593:X 0.000430565 +3 mprj_adr_o_user[10] mprj_dat_o_user[9] 0 +4 mprj_adr_o_user[10] *1001:10 0 +5 mprj_adr_o_user[10] *3001:27 0.000417863 +6 mprj_adr_o_user[10] *3007:17 7.34948e-06 +*RES +1 *22593:X mprj_adr_o_user[10] 25.7313 +*END + +*D_NET *936 0.00115732 +*CONN +*P mprj_adr_o_user[11] O +*I *22594:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[11] 0.000497383 +2 *22594:X 0.000497383 +3 mprj_adr_o_user[11] *4308:DIODE 4.43862e-05 +4 mprj_adr_o_user[11] *1002:7 0 +5 mprj_adr_o_user[11] *2435:18 0 +6 mprj_adr_o_user[11] *2986:5 0.000118166 +*RES +1 *22594:X mprj_adr_o_user[11] 24.4558 +*END + +*D_NET *937 0.00105829 +*CONN +*P mprj_adr_o_user[12] O +*I *22595:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[12] 0.000327711 +2 *22595:X 0.000327711 +3 mprj_adr_o_user[12] mprj_dat_o_user[11] 6.64392e-05 +4 mprj_adr_o_user[12] *22595:A 2.33103e-06 +5 mprj_adr_o_user[12] *1003:10 0 +6 mprj_adr_o_user[12] *2976:8 0.000270443 +7 mprj_adr_o_user[12] *3001:27 6.3657e-05 +*RES +1 *22595:X mprj_adr_o_user[12] 23.144 +*END + +*D_NET *938 0.00120546 +*CONN +*P mprj_adr_o_user[13] O +*I *22596:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[13] 0.000487087 +2 *22596:X 0.000487087 +3 mprj_adr_o_user[13] mprj_dat_o_user[12] 0 +4 mprj_adr_o_user[13] *1004:5 0 +5 mprj_adr_o_user[13] *2986:5 6.92705e-05 +6 mprj_adr_o_user[13] *2998:34 0.000162015 +*RES +1 *22596:X mprj_adr_o_user[13] 24.634 +*END + +*D_NET *939 0.00110042 +*CONN +*P mprj_adr_o_user[14] O +*I *22597:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[14] 0.000331981 +2 *22597:X 0.000331981 +3 mprj_adr_o_user[14] *1005:8 0 +4 mprj_adr_o_user[14] *2978:8 0.000436458 +*RES +1 *22597:X mprj_adr_o_user[14] 23.2267 +*END + +*D_NET *940 0.00104356 +*CONN +*P mprj_adr_o_user[15] O +*I *22598:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[15] 0.000467766 +2 *22598:X 0.000467766 +3 mprj_adr_o_user[15] mprj_dat_o_user[14] 0 +4 mprj_adr_o_user[15] *4312:DIODE 0.000108028 +5 mprj_adr_o_user[15] *1006:5 0 +*RES +1 *22598:X mprj_adr_o_user[15] 24.4724 +*END + +*D_NET *941 0.00106954 +*CONN +*P mprj_adr_o_user[16] O +*I *22599:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[16] 0.00045946 +2 *22599:X 0.00045946 +3 mprj_adr_o_user[16] mprj_dat_o_user[15] 0 +4 mprj_adr_o_user[16] *1007:12 0 +5 mprj_adr_o_user[16] *2979:8 0 +6 mprj_adr_o_user[16] *2980:8 3.66538e-05 +7 mprj_adr_o_user[16] *3001:29 0.000113968 +*RES +1 *22599:X mprj_adr_o_user[16] 23.2101 +*END + +*D_NET *942 0.00118834 +*CONN +*P mprj_adr_o_user[17] O +*I *22600:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[17] 0.000509981 +2 *22600:X 0.000509981 +3 mprj_adr_o_user[17] mprj_dat_o_user[16] 0 +4 mprj_adr_o_user[17] *4314:DIODE 4.59975e-05 +5 mprj_adr_o_user[17] *1008:5 0 +6 mprj_adr_o_user[17] *2986:5 0.000122378 +*RES +1 *22600:X mprj_adr_o_user[17] 24.4558 +*END + +*D_NET *943 0.00108488 +*CONN +*P mprj_adr_o_user[18] O +*I *22601:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[18] 0.000430296 +2 *22601:X 0.000430296 +3 mprj_adr_o_user[18] *22601:A 0 +4 mprj_adr_o_user[18] *1009:12 0 +5 mprj_adr_o_user[18] *2338:17 0.00010611 +6 mprj_adr_o_user[18] *3001:29 0.00011818 +*RES +1 *22601:X mprj_adr_o_user[18] 23.2101 +*END + +*D_NET *944 0.00118376 +*CONN +*P mprj_adr_o_user[19] O +*I *22602:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[19] 0.000518206 +2 *22602:X 0.000518206 +3 mprj_adr_o_user[19] mprj_dat_o_user[18] 0 +4 mprj_adr_o_user[19] *4316:DIODE 2.49672e-05 +5 mprj_adr_o_user[19] *1010:5 0 +6 mprj_adr_o_user[19] *2986:5 0.000122378 +*RES +1 *22602:X mprj_adr_o_user[19] 24.4558 +*END + +*D_NET *945 0.00103627 +*CONN +*P mprj_adr_o_user[1] O +*I *22603:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[1] 0.000518136 +2 *22603:X 0.000518136 +3 mprj_adr_o_user[1] mprj_sel_o_user[0] 0 +4 mprj_adr_o_user[1] *1011:5 0 +5 mprj_adr_o_user[1] *2974:8 0 +*RES +1 *22603:X mprj_adr_o_user[1] 26.1224 +*END + +*D_NET *946 0.00110062 +*CONN +*P mprj_adr_o_user[20] O +*I *22604:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[20] 0.000449998 +2 *22604:X 0.000449998 +3 mprj_adr_o_user[20] mprj_dat_o_user[19] 0 +4 mprj_adr_o_user[20] *22604:A 1.26131e-05 +5 mprj_adr_o_user[20] *22667:A 6.98287e-05 +6 mprj_adr_o_user[20] *2985:8 0 +7 mprj_adr_o_user[20] *3001:29 0.00011818 +*RES +1 *22604:X mprj_adr_o_user[20] 23.2101 +*END + +*D_NET *947 0.00118 +*CONN +*P mprj_adr_o_user[21] O +*I *22605:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[21] 0.000528809 +2 *22605:X 0.000528809 +3 mprj_adr_o_user[21] mprj_dat_o_user[20] 0 +4 mprj_adr_o_user[21] *4320:DIODE 0 +5 mprj_adr_o_user[21] *1013:5 0 +6 mprj_adr_o_user[21] *2986:5 0.000122378 +*RES +1 *22605:X mprj_adr_o_user[21] 24.4558 +*END + +*D_NET *948 0.00106097 +*CONN +*P mprj_adr_o_user[22] O +*I *22606:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[22] 0.000473188 +2 *22606:X 0.000473188 +3 mprj_adr_o_user[22] mprj_dat_o_user[21] 0 +4 mprj_adr_o_user[22] *22606:A 0 +5 mprj_adr_o_user[22] *1014:7 0 +6 mprj_adr_o_user[22] *3001:29 0.000114594 +*RES +1 *22606:X mprj_adr_o_user[22] 23.2101 +*END + +*D_NET *949 0.0012691 +*CONN +*P mprj_adr_o_user[23] O +*I *22607:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[23] 0.000502268 +2 *22607:X 0.000502268 +3 mprj_adr_o_user[23] mprj_dat_o_user[22] 0 +4 mprj_adr_o_user[23] *1015:7 0 +5 mprj_adr_o_user[23] *2986:5 0.000115934 +6 mprj_adr_o_user[23] *2998:40 0.000148632 +*RES +1 *22607:X mprj_adr_o_user[23] 24.4558 +*END + +*D_NET *950 0.00108176 +*CONN +*P mprj_adr_o_user[24] O +*I *22608:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[24] 0.00045759 +2 *22608:X 0.00045759 +3 mprj_adr_o_user[24] mprj_dat_o_user[23] 0 +4 mprj_adr_o_user[24] *1016:7 0 +5 mprj_adr_o_user[24] *2990:8 4.84017e-05 +6 mprj_adr_o_user[24] *3001:29 0.00011818 +*RES +1 *22608:X mprj_adr_o_user[24] 23.2101 +*END + +*D_NET *951 0.00106783 +*CONN +*P mprj_adr_o_user[25] O +*I *22609:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[25] 0.000454407 +2 *22609:X 0.000454407 +3 mprj_adr_o_user[25] mprj_dat_o_user[24] 0 +4 mprj_adr_o_user[25] *22609:A 1.45067e-05 +5 mprj_adr_o_user[25] *22673:A 2.6333e-05 +6 mprj_adr_o_user[25] *1017:7 0 +7 mprj_adr_o_user[25] *3001:29 0.00011818 +*RES +1 *22609:X mprj_adr_o_user[25] 23.2101 +*END + +*D_NET *952 0.00109573 +*CONN +*P mprj_adr_o_user[26] O +*I *22610:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[26] 0.000451276 +2 *22610:X 0.000451276 +3 mprj_adr_o_user[26] mprj_dat_o_user[25] 0 +4 mprj_adr_o_user[26] *22610:A 9.97495e-06 +5 mprj_adr_o_user[26] *22674:A 6.50206e-05 +6 mprj_adr_o_user[26] *1018:5 0 +7 mprj_adr_o_user[26] *3001:29 0.00011818 +*RES +1 *22610:X mprj_adr_o_user[26] 23.2101 +*END + +*D_NET *953 0.00106419 +*CONN +*P mprj_adr_o_user[27] O +*I *22611:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[27] 0.000461556 +2 *22611:X 0.000461556 +3 mprj_adr_o_user[27] mprj_dat_o_user[26] 0 +4 mprj_adr_o_user[27] *22611:A 0 +5 mprj_adr_o_user[27] *22675:A 2.28932e-05 +6 mprj_adr_o_user[27] *1019:5 0 +7 mprj_adr_o_user[27] *3001:29 0.00011818 +*RES +1 *22611:X mprj_adr_o_user[27] 23.2101 +*END + +*D_NET *954 0.00211221 +*CONN +*P mprj_adr_o_user[28] O +*I *22612:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[28] 0.000731968 +2 *22612:X 0.000731968 +3 mprj_adr_o_user[28] mprj_dat_o_user[27] 0 +4 mprj_adr_o_user[28] *4329:DIODE 0 +5 mprj_adr_o_user[28] *22676:A 6.50206e-05 +6 mprj_adr_o_user[28] *1020:5 0 +7 mprj_adr_o_user[28] *3001:29 0.000583258 +*RES +1 *22612:X mprj_adr_o_user[28] 28.2015 +*END + +*D_NET *955 0.00108697 +*CONN +*P mprj_adr_o_user[29] O +*I *22613:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[29] 0.000480035 +2 *22613:X 0.000480035 +3 mprj_adr_o_user[29] mprj_dat_o_user[28] 0 +4 mprj_adr_o_user[29] *22612:A 8.72256e-06 +5 mprj_adr_o_user[29] *1021:7 0 +6 mprj_adr_o_user[29] *3001:29 0.00011818 +*RES +1 *22613:X mprj_adr_o_user[29] 23.2101 +*END + +*D_NET *956 0.000733988 +*CONN +*P mprj_adr_o_user[2] O +*I *22614:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[2] 0.000366994 +2 *22614:X 0.000366994 +3 mprj_adr_o_user[2] mprj_sel_o_user[1] 0 +4 mprj_adr_o_user[2] *4331:DIODE 0 +5 mprj_adr_o_user[2] *1022:5 0 +*RES +1 *22614:X mprj_adr_o_user[2] 22.7948 +*END + +*D_NET *957 0.00198832 +*CONN +*P mprj_adr_o_user[30] O +*I *22615:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[30] 0.000727055 +2 *22615:X 0.000727055 +3 mprj_adr_o_user[30] mprj_dat_o_user[29] 0 +4 mprj_adr_o_user[30] *22678:A 2.34394e-05 +5 mprj_adr_o_user[30] *1023:5 0 +6 mprj_adr_o_user[30] *3001:29 0.000510776 +*RES +1 *22615:X mprj_adr_o_user[30] 27.6469 +*END + +*D_NET *958 0.00111359 +*CONN +*P mprj_adr_o_user[31] O +*I *22616:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[31] 0.000468426 +2 *22616:X 0.000468426 +3 mprj_adr_o_user[31] mprj_dat_o_user[30] 0 +4 mprj_adr_o_user[31] *22615:A 6.50206e-05 +5 mprj_adr_o_user[31] *22616:A 0 +6 mprj_adr_o_user[31] *1024:5 0 +7 mprj_adr_o_user[31] *3001:29 0.000111722 +*RES +1 *22616:X mprj_adr_o_user[31] 23.2101 +*END + +*D_NET *959 0.000787976 +*CONN +*P mprj_adr_o_user[3] O +*I *22617:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[3] 0.00034859 +2 *22617:X 0.00034859 +3 mprj_adr_o_user[3] mprj_sel_o_user[2] 0 +4 mprj_adr_o_user[3] *4334:DIODE 0 +5 mprj_adr_o_user[3] *22617:A 2.15266e-05 +6 mprj_adr_o_user[3] *1025:7 0 +7 mprj_adr_o_user[3] *2998:10 6.92705e-05 +*RES +1 *22617:X mprj_adr_o_user[3] 22.973 +*END + +*D_NET *960 0.000886162 +*CONN +*P mprj_adr_o_user[4] O +*I *22618:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[4] 0.000322802 +2 *22618:X 0.000322802 +3 mprj_adr_o_user[4] mprj_sel_o_user[3] 0 +4 mprj_adr_o_user[4] *1026:5 0 +5 mprj_adr_o_user[4] *2998:10 0.000122378 +6 mprj_adr_o_user[4] *3001:11 0.00011818 +*RES +1 *22618:X mprj_adr_o_user[4] 22.7948 +*END + +*D_NET *961 0.000937619 +*CONN +*P mprj_adr_o_user[5] O +*I *22619:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[5] 0.000329726 +2 *22619:X 0.000329726 +3 mprj_adr_o_user[5] mprj_dat_o_user[4] 0 +4 mprj_adr_o_user[5] *22619:A 5.05252e-05 +5 mprj_adr_o_user[5] *1027:5 0 +6 mprj_adr_o_user[5] *2998:10 0.000115934 +7 mprj_adr_o_user[5] *3001:11 0.000111708 +*RES +1 *22619:X mprj_adr_o_user[5] 22.7948 +*END + +*D_NET *962 0.00156682 +*CONN +*P mprj_adr_o_user[6] O +*I *22620:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[6] 0.000344486 +2 *22620:X 0.000344486 +3 mprj_adr_o_user[6] mprj_dat_o_user[5] 0 +4 mprj_adr_o_user[6] mprj_dat_o_user[6] 0 +5 mprj_adr_o_user[6] *22620:A 0 +6 mprj_adr_o_user[6] *1028:10 0 +7 mprj_adr_o_user[6] *2998:10 0.000441022 +8 mprj_adr_o_user[6] *3001:11 0.000436825 +9 mprj_adr_o_user[6] *3003:8 0 +*RES +1 *22620:X mprj_adr_o_user[6] 26.1224 +*END + +*D_NET *963 0.000931209 +*CONN +*P mprj_adr_o_user[7] O +*I *22621:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[7] 0.000339807 +2 *22621:X 0.000339807 +3 mprj_adr_o_user[7] mprj_dat_o_user[6] 0 +4 mprj_adr_o_user[7] *4337:DIODE 1.10517e-05 +5 mprj_adr_o_user[7] *22621:A 0 +6 mprj_adr_o_user[7] *1029:5 0 +7 mprj_adr_o_user[7] *2998:10 0.000122378 +8 mprj_adr_o_user[7] *3001:11 0.000118166 +*RES +1 *22621:X mprj_adr_o_user[7] 22.7948 +*END + +*D_NET *964 0.00118764 +*CONN +*P mprj_adr_o_user[8] O +*I *22622:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[8] 0.00036376 +2 *22622:X 0.00036376 +3 mprj_adr_o_user[8] mprj_dat_o_user[7] 0.000228593 +4 mprj_adr_o_user[8] *1030:8 0 +5 mprj_adr_o_user[8] *2327:17 0 +6 mprj_adr_o_user[8] *3001:27 0.000224395 +7 mprj_adr_o_user[8] *3004:8 7.13655e-06 +*RES +1 *22622:X mprj_adr_o_user[8] 23.904 +*END + +*D_NET *965 0.0011245 +*CONN +*P mprj_adr_o_user[9] O +*I *22623:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_user[9] 0.000473289 +2 *22623:X 0.000473289 +3 mprj_adr_o_user[9] mprj_dat_o_user[8] 0 +4 mprj_adr_o_user[9] *4340:DIODE 5.97587e-05 +5 mprj_adr_o_user[9] *1031:7 0 +6 mprj_adr_o_user[9] *2986:5 0.000118166 +*RES +1 *22623:X mprj_adr_o_user[9] 24.4558 +*END + +*D_NET *966 0.00147941 +*CONN +*P mprj_cyc_o_core I +*I *22117:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4305:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_cyc_o_core 0.000218937 +2 *22117:A 0 +3 *4305:DIODE 0.00021397 +4 *966:8 0.000432907 +5 *4305:DIODE *3549:DIODE 2.65831e-05 +6 *4305:DIODE *4383:DIODE 1.11594e-05 +7 *4305:DIODE *1105:7 8.62625e-06 +8 *4305:DIODE *1143:10 4.76283e-05 +9 *4305:DIODE *2972:8 3.76047e-05 +10 *4305:DIODE *3047:10 0.000207266 +11 *4305:DIODE *3049:11 5.23916e-05 +12 *966:8 *1105:7 0 +13 *966:8 *2815:11 0.000167076 +14 *966:8 *2827:8 2.55536e-05 +15 mprj_ack_i_core *4305:DIODE 0 +16 mprj_ack_i_core *966:8 2.97092e-05 +*RES +1 mprj_cyc_o_core *966:8 9.94774 +2 *966:8 *4305:DIODE 24.1294 +3 *966:8 *22117:A 9.24915 +*END + +*D_NET *967 0.000887129 +*CONN +*P mprj_cyc_o_user O +*I *22624:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_cyc_o_user 0.000443565 +2 *22624:X 0.000443565 +3 mprj_cyc_o_user mprj_stb_o_user 0 +4 *4269:DIODE mprj_cyc_o_user 0 +5 *901:5 mprj_cyc_o_user 0 +*RES +1 *22624:X mprj_cyc_o_user 24.4558 +*END + +*D_NET *968 0.00113409 +*CONN +*P mprj_dat_i_core[0] O +*I *22625:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[0] 0.000323356 +2 *22625:X 0.000323356 +3 mprj_dat_i_core[0] *1032:9 0 +4 mprj_dat_i_core[0] *2537:14 0.000319681 +5 mprj_dat_i_core[0] *3047:10 0.000167701 +*RES +1 *22625:X mprj_dat_i_core[0] 21.6884 +*END + +*D_NET *969 0.00162977 +*CONN +*P mprj_dat_i_core[10] O +*I *22626:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[10] 0.000582465 +2 *22626:X 0.000582465 +3 mprj_dat_i_core[10] *4343:DIODE 0 +4 mprj_dat_i_core[10] *1033:5 0 +5 mprj_dat_i_core[10] *2413:37 0.000357979 +6 mprj_dat_i_core[10] *2938:11 4.59893e-05 +7 mprj_dat_i_core[10] *3010:11 6.08696e-05 +8 *4271:DIODE mprj_dat_i_core[10] 0 +9 *903:5 mprj_dat_i_core[10] 0 +*RES +1 *22626:X mprj_dat_i_core[10] 25.9831 +*END + +*D_NET *970 0.000971501 +*CONN +*P mprj_dat_i_core[11] O +*I *22627:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[11] 0.000378407 +2 *22627:X 0.000378407 +3 mprj_dat_i_core[11] *1034:8 0 +4 *4272:DIODE mprj_dat_i_core[11] 0.000214688 +5 *4274:DIODE mprj_dat_i_core[11] 0 +6 *904:7 mprj_dat_i_core[11] 0 +*RES +1 *22627:X mprj_dat_i_core[11] 21.6884 +*END + +*D_NET *971 0.000894411 +*CONN +*P mprj_dat_i_core[12] O +*I *22628:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[12] 0.000390828 +2 *22628:X 0.000390828 +3 mprj_dat_i_core[12] *4345:DIODE 0 +4 mprj_dat_i_core[12] *22628:A 1.84293e-05 +5 mprj_dat_i_core[12] *1035:7 0 +6 mprj_dat_i_core[12] *2413:37 1.62206e-05 +7 mprj_dat_i_core[12] *2942:16 7.34099e-05 +8 mprj_dat_i_core[12] *3011:20 4.69495e-06 +9 *905:5 mprj_dat_i_core[12] 0 +*RES +1 *22628:X mprj_dat_i_core[12] 21.6884 +*END + +*D_NET *972 0.000866179 +*CONN +*P mprj_dat_i_core[13] O +*I *22629:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[13] 0.000371308 +2 *22629:X 0.000371308 +3 mprj_dat_i_core[13] *1036:12 5.91029e-05 +4 mprj_dat_i_core[13] *2413:37 1.89511e-05 +5 mprj_dat_i_core[13] *2827:12 0 +6 mprj_dat_i_core[13] *2943:12 4.55099e-05 +7 *906:5 mprj_dat_i_core[13] 0 +*RES +1 *22629:X mprj_dat_i_core[13] 22.0376 +*END + +*D_NET *973 0.000842756 +*CONN +*P mprj_dat_i_core[14] O +*I *22630:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[14] 0.000371764 +2 *22630:X 0.000371764 +3 mprj_dat_i_core[14] *4346:DIODE 6.4579e-05 +4 mprj_dat_i_core[14] *22630:A 1.84293e-05 +5 mprj_dat_i_core[14] *1036:12 0 +6 mprj_dat_i_core[14] *1037:5 0 +7 mprj_dat_i_core[14] *2413:37 1.62206e-05 +8 *907:10 mprj_dat_i_core[14] 0 +*RES +1 *22630:X mprj_dat_i_core[14] 21.6884 +*END + +*D_NET *974 0.000955449 +*CONN +*P mprj_dat_i_core[15] O +*I *22631:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[15] 0.00037751 +2 *22631:X 0.00037751 +3 mprj_dat_i_core[15] *1038:8 0 +4 mprj_dat_i_core[15] *2413:37 3.27067e-05 +5 mprj_dat_i_core[15] *2944:6 7.50722e-05 +6 mprj_dat_i_core[15] *2945:8 1.13359e-05 +7 mprj_dat_i_core[15] *3015:13 4.66932e-05 +8 *4277:DIODE mprj_dat_i_core[15] 3.46206e-05 +9 *908:8 mprj_dat_i_core[15] 0 +*RES +1 *22631:X mprj_dat_i_core[15] 22.243 +*END + +*D_NET *975 0.000807115 +*CONN +*P mprj_dat_i_core[16] O +*I *22632:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[16] 0.000349373 +2 *22632:X 0.000349373 +3 mprj_dat_i_core[16] *1039:13 0 +4 mprj_dat_i_core[16] *2413:37 2.32594e-05 +5 mprj_dat_i_core[16] *3016:11 0 +6 *4278:DIODE mprj_dat_i_core[16] 3.54718e-05 +7 *909:5 mprj_dat_i_core[16] 4.96388e-05 +*RES +1 *22632:X mprj_dat_i_core[16] 21.8666 +*END + +*D_NET *976 0.000801139 +*CONN +*P mprj_dat_i_core[17] O +*I *22633:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[17] 0.000315609 +2 *22633:X 0.000315609 +3 mprj_dat_i_core[17] *1040:8 0 +4 mprj_dat_i_core[17] *2413:37 1.92926e-05 +5 mprj_dat_i_core[17] *2946:11 0.000150629 +6 *4280:DIODE mprj_dat_i_core[17] 0 +7 *910:5 mprj_dat_i_core[17] 0 +*RES +1 *22633:X mprj_dat_i_core[17] 21.1338 +*END + +*D_NET *977 0.000829572 +*CONN +*P mprj_dat_i_core[18] O +*I *22634:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[18] 0.00032945 +2 *22634:X 0.00032945 +3 mprj_dat_i_core[18] *4351:DIODE 9.40407e-06 +4 mprj_dat_i_core[18] *1041:10 0 +5 mprj_dat_i_core[18] *2413:37 1.92926e-05 +6 mprj_dat_i_core[18] *2947:9 7.21868e-05 +7 mprj_dat_i_core[18] *3018:18 1.91391e-05 +8 mprj_dat_i_core[18] *3019:20 5.06495e-05 +9 *4280:DIODE mprj_dat_i_core[18] 0 +10 *911:5 mprj_dat_i_core[18] 0 +*RES +1 *22634:X mprj_dat_i_core[18] 21.1338 +*END + +*D_NET *978 0.000838856 +*CONN +*P mprj_dat_i_core[19] O +*I *22635:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[19] 0.000370611 +2 *22635:X 0.000370611 +3 mprj_dat_i_core[19] *4352:DIODE 4.65491e-05 +4 mprj_dat_i_core[19] *1042:13 0 +5 mprj_dat_i_core[19] *2413:37 2.41916e-05 +6 mprj_dat_i_core[19] *2948:9 2.68928e-05 +7 *4281:DIODE mprj_dat_i_core[19] 0 +8 *912:5 mprj_dat_i_core[19] 0 +*RES +1 *22635:X mprj_dat_i_core[19] 21.6884 +*END + +*D_NET *979 0.00129958 +*CONN +*P mprj_dat_i_core[1] O +*I *22636:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[1] 0.000493143 +2 *22636:X 0.000493143 +3 mprj_dat_i_core[1] *4354:DIODE 0 +4 mprj_dat_i_core[1] *4379:DIODE 0.000101449 +5 mprj_dat_i_core[1] *22636:A 2.65831e-05 +6 mprj_dat_i_core[1] *1043:9 6.58997e-05 +7 mprj_dat_i_core[1] *2949:6 7.86847e-05 +8 mprj_dat_i_core[1] *3013:11 1.00937e-05 +9 mprj_dat_i_core[1] *3021:6 0 +10 *913:5 mprj_dat_i_core[1] 3.0584e-05 +*RES +1 *22636:X mprj_dat_i_core[1] 25.3596 +*END + +*D_NET *980 0.000800961 +*CONN +*P mprj_dat_i_core[20] O +*I *22637:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[20] 0.00037589 +2 *22637:X 0.00037589 +3 mprj_dat_i_core[20] *1042:13 0 +4 mprj_dat_i_core[20] *1044:13 0 +5 mprj_dat_i_core[20] *2413:37 2.93863e-05 +6 mprj_dat_i_core[20] *2950:11 0 +7 mprj_dat_i_core[20] *3022:14 0 +8 *914:12 mprj_dat_i_core[20] 1.97947e-05 +*RES +1 *22637:X mprj_dat_i_core[20] 21.6884 +*END + +*D_NET *981 0.000876269 +*CONN +*P mprj_dat_i_core[21] O +*I *22638:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[21] 0.000330409 +2 *22638:X 0.000330409 +3 mprj_dat_i_core[21] *5156:DIODE 1.00937e-05 +4 mprj_dat_i_core[21] *1045:8 5.3215e-05 +5 mprj_dat_i_core[21] *2413:37 9.19886e-06 +6 mprj_dat_i_core[21] *2953:8 9.75356e-05 +7 mprj_dat_i_core[21] *3024:17 4.54077e-05 +8 *4286:DIODE mprj_dat_i_core[21] 0 +9 *915:5 mprj_dat_i_core[21] 0 +*RES +1 *22638:X mprj_dat_i_core[21] 21.6884 +*END + +*D_NET *982 0.000468436 +*CONN +*P mprj_dat_i_core[22] O +*I *22639:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[22] 0.000207964 +2 *22639:X 0.000207964 +3 mprj_dat_i_core[22] *4356:DIODE 5.07314e-05 +4 mprj_dat_i_core[22] *1046:10 0 +5 mprj_dat_i_core[22] *2954:8 1.77537e-06 +6 *4286:DIODE mprj_dat_i_core[22] 0 +7 *916:5 mprj_dat_i_core[22] 0 +*RES +1 *22639:X mprj_dat_i_core[22] 17.8118 +*END + +*D_NET *983 0.000828018 +*CONN +*P mprj_dat_i_core[23] O +*I *22640:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[23] 0.000343635 +2 *22640:X 0.000343635 +3 mprj_dat_i_core[23] *4357:DIODE 3.5653e-05 +4 mprj_dat_i_core[23] *1047:8 0 +5 mprj_dat_i_core[23] *2955:11 7.50872e-05 +6 mprj_dat_i_core[23] *3024:11 3.00073e-05 +7 *4287:DIODE mprj_dat_i_core[23] 0 +8 *917:5 mprj_dat_i_core[23] 0 +*RES +1 *22640:X mprj_dat_i_core[23] 21.1338 +*END + +*D_NET *984 0.000739548 +*CONN +*P mprj_dat_i_core[24] O +*I *22641:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[24] 0.000322416 +2 *22641:X 0.000322416 +3 mprj_dat_i_core[24] *4359:DIODE 0 +4 mprj_dat_i_core[24] *1048:5 0 +5 *4288:DIODE mprj_dat_i_core[24] 4.58124e-05 +6 *918:5 mprj_dat_i_core[24] 4.89034e-05 +*RES +1 *22641:X mprj_dat_i_core[24] 21.1338 +*END + +*D_NET *985 0.00098495 +*CONN +*P mprj_dat_i_core[25] O +*I *22642:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[25] 0.000324076 +2 *22642:X 0.000324076 +3 mprj_dat_i_core[25] *22642:A 1.19721e-05 +4 mprj_dat_i_core[25] *1049:8 0 +5 mprj_dat_i_core[25] *2956:19 0.000195139 +6 *4289:DIODE mprj_dat_i_core[25] 0.000129686 +7 *919:10 mprj_dat_i_core[25] 0 +*RES +1 *22642:X mprj_dat_i_core[25] 21.6884 +*END + +*D_NET *986 0.000822057 +*CONN +*P mprj_dat_i_core[26] O +*I *22643:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[26] 0.00030952 +2 *22643:X 0.00030952 +3 mprj_dat_i_core[26] *1050:7 0 +4 mprj_dat_i_core[26] *2957:21 8.18622e-05 +5 mprj_dat_i_core[26] *2958:19 2.86173e-05 +6 mprj_dat_i_core[26] *3031:27 9.25366e-05 +7 *920:5 mprj_dat_i_core[26] 0 +*RES +1 *22643:X mprj_dat_i_core[26] 21.1338 +*END + +*D_NET *987 0.000785234 +*CONN +*P mprj_dat_i_core[27] O +*I *22644:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[27] 0.000392617 +2 *22644:X 0.000392617 +3 mprj_dat_i_core[27] *1051:8 0 +4 *4291:DIODE mprj_dat_i_core[27] 0 +5 *921:5 mprj_dat_i_core[27] 0 +*RES +1 *22644:X mprj_dat_i_core[27] 21.1338 +*END + +*D_NET *988 0.000598267 +*CONN +*P mprj_dat_i_core[28] O +*I *22645:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[28] 0.000227271 +2 *22645:X 0.000227271 +3 mprj_dat_i_core[28] *4364:DIODE 0.00011818 +4 mprj_dat_i_core[28] *22645:A 2.41483e-05 +5 mprj_dat_i_core[28] *1052:5 0 +6 mprj_dat_i_core[28] *2959:13 1.39717e-06 +7 *922:5 mprj_dat_i_core[28] 0 +*RES +1 *22645:X mprj_dat_i_core[28] 18.3664 +*END + +*D_NET *989 0.00086822 +*CONN +*P mprj_dat_i_core[29] O +*I *22646:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[29] 0.000388755 +2 *22646:X 0.000388755 +3 mprj_dat_i_core[29] *22178:A 2.41274e-06 +4 mprj_dat_i_core[29] *1053:5 8.82965e-05 +5 *923:5 mprj_dat_i_core[29] 0 +*RES +1 *22646:X mprj_dat_i_core[29] 21.6884 +*END + +*D_NET *990 0.00116848 +*CONN +*P mprj_dat_i_core[2] O +*I *22647:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[2] 0.000270105 +2 *22647:X 0.000270105 +3 mprj_dat_i_core[2] *2541:14 0.000332521 +4 mprj_dat_i_core[2] *3012:14 4.34573e-05 +5 mprj_dat_i_core[2] *3048:10 6.36477e-05 +6 mprj_dat_i_core[2] *3049:11 3.54024e-05 +7 *4294:DIODE mprj_dat_i_core[2] 0.00015324 +8 *924:8 mprj_dat_i_core[2] 0 +*RES +1 *22647:X mprj_dat_i_core[2] 21.6884 +*END + +*D_NET *991 0.00132378 +*CONN +*P mprj_dat_i_core[30] O +*I *22648:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[30] 0.000376355 +2 *22648:X 0.000376355 +3 mprj_dat_i_core[30] user1_vcc_powergood 0.000260239 +4 mprj_dat_i_core[30] *4368:DIODE 0.000110297 +5 mprj_dat_i_core[30] *1055:8 0 +6 mprj_dat_i_core[30] *2963:13 8.20467e-05 +7 mprj_dat_i_core[30] *3033:10 6.65668e-05 +8 mprj_dat_i_core[30] *3045:11 5.19175e-05 +9 *925:8 mprj_dat_i_core[30] 0 +*RES +1 *22648:X mprj_dat_i_core[30] 24.4558 +*END + +*D_NET *992 0.00146993 +*CONN +*P mprj_dat_i_core[31] O +*I *22649:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[31] 0.000734967 +2 *22649:X 0.000734967 +3 mprj_dat_i_core[31] *1056:8 0 +4 mprj_dat_i_core[31] *3032:22 0 +5 *926:8 mprj_dat_i_core[31] 0 +*RES +1 *22649:X mprj_dat_i_core[31] 29.9962 +*END + +*D_NET *993 0.0010184 +*CONN +*P mprj_dat_i_core[3] O +*I *22650:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[3] 0.000310413 +2 *22650:X 0.000310413 +3 mprj_dat_i_core[3] *1057:8 0 +4 mprj_dat_i_core[3] *1100:5 0 +5 mprj_dat_i_core[3] *2965:15 2.99929e-05 +6 *4298:DIODE mprj_dat_i_core[3] 0.000321666 +7 *927:7 mprj_dat_i_core[3] 4.59195e-05 +*RES +1 *22650:X mprj_dat_i_core[3] 21.6884 +*END + +*D_NET *994 0.000827338 +*CONN +*P mprj_dat_i_core[4] O +*I *22651:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[4] 0.000396344 +2 *22651:X 0.000396344 +3 mprj_dat_i_core[4] *22651:A 1.84293e-05 +4 mprj_dat_i_core[4] *1058:8 0 +5 mprj_dat_i_core[4] *2413:37 1.62206e-05 +6 *4299:DIODE mprj_dat_i_core[4] 0 +7 *928:5 mprj_dat_i_core[4] 0 +8 *929:5 mprj_dat_i_core[4] 0 +*RES +1 *22651:X mprj_dat_i_core[4] 21.6884 +*END + +*D_NET *995 0.00128512 +*CONN +*P mprj_dat_i_core[5] O +*I *22652:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[5] 0.000537671 +2 *22652:X 0.000537671 +3 mprj_dat_i_core[5] *4371:DIODE 6.81008e-05 +4 mprj_dat_i_core[5] *1059:20 1.50418e-05 +5 mprj_dat_i_core[5] *2413:37 7.34238e-05 +6 mprj_dat_i_core[5] *2968:11 4.3116e-06 +7 mprj_dat_i_core[5] *3039:13 4.89039e-05 +8 *929:5 mprj_dat_i_core[5] 0 +*RES +1 *22652:X mprj_dat_i_core[5] 24.4614 +*END + +*D_NET *996 0.000787658 +*CONN +*P mprj_dat_i_core[6] O +*I *22653:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[6] 0.000346986 +2 *22653:X 0.000346986 +3 mprj_dat_i_core[6] *4372:DIODE 4.58124e-05 +4 mprj_dat_i_core[6] *1059:20 2.85807e-05 +5 mprj_dat_i_core[6] *1060:12 0 +6 mprj_dat_i_core[6] *2413:37 1.92926e-05 +7 mprj_dat_i_core[6] *3039:13 0 +8 *930:8 mprj_dat_i_core[6] 0 +*RES +1 *22653:X mprj_dat_i_core[6] 21.1338 +*END + +*D_NET *997 0.000609407 +*CONN +*P mprj_dat_i_core[7] O +*I *22654:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[7] 0.000228289 +2 *22654:X 0.000228289 +3 mprj_dat_i_core[7] *1061:5 0 +4 *22114:A mprj_dat_i_core[7] 5.04829e-06 +5 *931:13 mprj_dat_i_core[7] 0.000147781 +*RES +1 *22654:X mprj_dat_i_core[7] 18.3664 +*END + +*D_NET *998 0.000878472 +*CONN +*P mprj_dat_i_core[8] O +*I *22655:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[8] 0.000351453 +2 *22655:X 0.000351453 +3 mprj_dat_i_core[8] *22655:A 1.43983e-05 +4 mprj_dat_i_core[8] *1062:13 0 +5 mprj_dat_i_core[8] *2413:37 1.40978e-05 +6 mprj_dat_i_core[8] *2970:9 2.99929e-05 +7 mprj_dat_i_core[8] *2971:13 7.58739e-05 +8 *4303:DIODE mprj_dat_i_core[8] 0 +9 *932:8 mprj_dat_i_core[8] 4.12025e-05 +*RES +1 *22655:X mprj_dat_i_core[8] 21.6884 +*END + +*D_NET *999 0.000870617 +*CONN +*P mprj_dat_i_core[9] O +*I *22656:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_i_core[9] 0.000417984 +2 *22656:X 0.000417984 +3 mprj_dat_i_core[9] *4377:DIODE 0 +4 mprj_dat_i_core[9] *22656:A 1.84293e-05 +5 mprj_dat_i_core[9] *1063:7 0 +6 mprj_dat_i_core[9] *2413:37 1.62206e-05 +7 *4304:DIODE mprj_dat_i_core[9] 0 +8 *933:5 mprj_dat_i_core[9] 0 +*RES +1 *22656:X mprj_dat_i_core[9] 21.6884 +*END + +*D_NET *1000 0.00136497 +*CONN +*P mprj_dat_i_user[0] I +*I *22119:A I *D sky130_fd_sc_hd__buf_12 +*I *4307:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[0] 0.000435737 +2 *22119:A 0 +3 *4307:DIODE 0.000179158 +4 *1000:14 0.000614895 +5 *4307:DIODE mprj_dat_o_user[0] 5.92342e-05 +6 *4307:DIODE mprj_sel_o_user[0] 0 +7 *4307:DIODE *22657:A 3.94997e-05 +8 *1000:14 mprj_dat_o_user[0] 3.64415e-05 +9 mprj_adr_o_user[0] *1000:14 0 +*RES +1 mprj_dat_i_user[0] *1000:14 15.4462 +2 *1000:14 *4307:DIODE 22.1896 +3 *1000:14 *22119:A 9.24915 +*END + +*D_NET *1001 0.00208471 +*CONN +*P mprj_dat_i_user[10] I +*I *4308:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22120:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_user[10] 0.000523567 +2 *4308:DIODE 0.000300172 +3 *22120:A 0 +4 *1001:10 0.000823738 +5 *4308:DIODE *4309:DIODE 3.06151e-05 +6 *4308:DIODE *1002:7 6.83221e-05 +7 *4308:DIODE *3001:27 4.58003e-05 +8 *1001:10 mprj_dat_o_user[10] 0 +9 *1001:10 *2364:18 0 +10 *1001:10 *3001:27 0.000248111 +11 mprj_adr_o_user[10] *1001:10 0 +12 mprj_adr_o_user[11] *4308:DIODE 4.43862e-05 +*RES +1 mprj_dat_i_user[10] *1001:10 17.3154 +2 *1001:10 *22120:A 9.24915 +3 *1001:10 *4308:DIODE 25.5117 +*END + +*D_NET *1002 0.00148884 +*CONN +*P mprj_dat_i_user[11] I +*I *22121:A I *D sky130_fd_sc_hd__buf_12 +*I *4309:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[11] 0.00050333 +2 *22121:A 0 +3 *4309:DIODE 0.000175682 +4 *1002:7 0.000679012 +5 *4309:DIODE *22594:A 0 +6 *4309:DIODE *3002:9 3.18806e-05 +7 *1002:7 mprj_dat_o_user[11] 0 +8 *1002:7 *2975:15 0 +9 mprj_adr_o_user[11] *1002:7 0 +10 *4308:DIODE *4309:DIODE 3.06151e-05 +11 *4308:DIODE *1002:7 6.83221e-05 +*RES +1 mprj_dat_i_user[11] *1002:7 11.4072 +2 *1002:7 *4309:DIODE 17.2744 +3 *1002:7 *22121:A 13.7491 +*END + +*D_NET *1003 0.00219448 +*CONN +*P mprj_dat_i_user[12] I +*I *22122:A I *D sky130_fd_sc_hd__buf_12 +*I *4310:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[12] 0.000440765 +2 *22122:A 0 +3 *4310:DIODE 0.000286531 +4 *1003:10 0.000727296 +5 *4310:DIODE mprj_dat_o_user[12] 2.65697e-05 +6 *4310:DIODE *5177:DIODE 0 +7 *4310:DIODE *5178:DIODE 6.50586e-05 +8 *4310:DIODE *22660:A 0.000120548 +9 *4310:DIODE *1004:5 0 +10 *4310:DIODE *2366:20 1.79672e-05 +11 *4310:DIODE *2438:41 6.50727e-05 +12 *4310:DIODE *2998:34 0.000170436 +13 *1003:10 mprj_dat_o_user[12] 0 +14 *1003:10 *2436:12 9.37227e-05 +15 *1003:10 *3001:27 0.000180515 +16 mprj_adr_o_user[12] *1003:10 0 +*RES +1 mprj_dat_i_user[12] *1003:10 16.2062 +2 *1003:10 *4310:DIODE 25.5117 +3 *1003:10 *22122:A 9.24915 +*END + +*D_NET *1004 0.0017328 +*CONN +*P mprj_dat_i_user[13] I +*I *22123:A I *D sky130_fd_sc_hd__buf_12 +*I *4311:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[13] 0.000502569 +2 *22123:A 2.64456e-05 +3 *4311:DIODE 0.000174279 +4 *1004:5 0.000703294 +5 *4311:DIODE *22596:A 0.000124145 +6 *4311:DIODE *2438:41 0.000175485 +7 *4311:DIODE *2977:8 0 +8 *22123:A *2986:5 2.65831e-05 +9 *1004:5 mprj_dat_o_user[13] 0 +10 *1004:5 *2977:8 0 +11 *1004:5 *2978:8 0 +12 *1004:5 *2998:34 0 +13 mprj_adr_o_user[13] *1004:5 0 +14 *4310:DIODE *1004:5 0 +*RES +1 mprj_dat_i_user[13] *1004:5 10.6744 +2 *1004:5 *4311:DIODE 17.829 +3 *1004:5 *22123:A 14.4725 +*END + +*D_NET *1005 0.00222774 +*CONN +*P mprj_dat_i_user[14] I +*I *4312:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22124:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_user[14] 0.000387908 +2 *4312:DIODE 0.000267106 +3 *22124:A 2.3034e-05 +4 *1005:8 0.000678048 +5 *4312:DIODE mprj_dat_o_user[14] 0 +6 *4312:DIODE *22598:A 0 +7 *4312:DIODE *22661:A 0 +8 *4312:DIODE *22662:A 0 +9 *4312:DIODE *1006:5 0 +10 *4312:DIODE *2998:35 5.0715e-05 +11 *4312:DIODE *3001:29 0.000102003 +12 *1005:8 mprj_dat_o_user[14] 0 +13 *1005:8 *22597:A 3.12828e-05 +14 *1005:8 *22661:A 7.47839e-05 +15 *1005:8 *2998:35 0.000129801 +16 *1005:8 *3001:29 0.000375027 +17 mprj_adr_o_user[14] *1005:8 0 +18 mprj_adr_o_user[15] *4312:DIODE 0.000108028 +*RES +1 mprj_dat_i_user[14] *1005:8 15.9034 +2 *1005:8 *22124:A 9.82786 +3 *1005:8 *4312:DIODE 25.0964 +*END + +*D_NET *1006 0.00172834 +*CONN +*P mprj_dat_i_user[15] I +*I *22125:A I *D sky130_fd_sc_hd__buf_12 +*I *4313:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[15] 0.000500339 +2 *22125:A 2.64456e-05 +3 *4313:DIODE 0.000174279 +4 *1006:5 0.000701063 +5 *4313:DIODE *22598:A 0.000124145 +6 *4313:DIODE *2438:41 0.000175485 +7 *22125:A *2986:5 2.65831e-05 +8 *1006:5 mprj_dat_o_user[15] 0 +9 mprj_adr_o_user[15] *1006:5 0 +10 *4312:DIODE *1006:5 0 +*RES +1 mprj_dat_i_user[15] *1006:5 10.6744 +2 *1006:5 *4313:DIODE 17.829 +3 *1006:5 *22125:A 14.4725 +*END + +*D_NET *1007 0.00217907 +*CONN +*P mprj_dat_i_user[16] I +*I *4314:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22126:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_user[16] 0.000404923 +2 *4314:DIODE 0.000243399 +3 *22126:A 1.79623e-05 +4 *1007:12 0.000666285 +5 *4314:DIODE mprj_dat_o_user[16] 2.49672e-05 +6 *4314:DIODE *22663:A 0 +7 *4314:DIODE *22664:A 0.000116493 +8 *4314:DIODE *1008:5 0 +9 *4314:DIODE *2998:35 5.0715e-05 +10 *4314:DIODE *3001:29 0.000102003 +11 *1007:12 mprj_dat_o_user[15] 6.50586e-05 +12 *1007:12 mprj_dat_o_user[16] 0 +13 *1007:12 *22599:A 2.1203e-06 +14 *1007:12 *22663:A 2.1203e-06 +15 *1007:12 *2980:8 7.13807e-06 +16 *1007:12 *2998:35 0.000200403 +17 *1007:12 *3001:29 0.00022949 +18 mprj_adr_o_user[16] *1007:12 0 +19 mprj_adr_o_user[17] *4314:DIODE 4.59975e-05 +*RES +1 mprj_dat_i_user[16] *1007:12 15.6811 +2 *1007:12 *22126:A 9.82786 +3 *1007:12 *4314:DIODE 25.0964 +*END + +*D_NET *1008 0.00178854 +*CONN +*P mprj_dat_i_user[17] I +*I *22127:A I *D sky130_fd_sc_hd__buf_12 +*I *4315:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[17] 0.000477118 +2 *22127:A 2.64456e-05 +3 *4315:DIODE 0.00018484 +4 *1008:5 0.000688405 +5 *4315:DIODE *22600:A 0.000116493 +6 *4315:DIODE *2338:17 0 +7 *4315:DIODE *2438:41 0.000175485 +8 *22127:A *2986:5 2.65831e-05 +9 *1008:5 *2338:17 0 +10 *1008:5 *2981:8 9.31689e-05 +11 mprj_adr_o_user[17] *1008:5 0 +12 *4314:DIODE *1008:5 0 +*RES +1 mprj_dat_i_user[17] *1008:5 10.6744 +2 *1008:5 *4315:DIODE 17.829 +3 *1008:5 *22127:A 14.4725 +*END + +*D_NET *1009 0.00205219 +*CONN +*P mprj_dat_i_user[18] I +*I *4316:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22128:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_user[18] 0.000396278 +2 *4316:DIODE 0.000267403 +3 *22128:A 1.63729e-05 +4 *1009:12 0.000680054 +5 *4316:DIODE mprj_dat_o_user[18] 4.25247e-05 +6 *4316:DIODE *22665:A 0 +7 *4316:DIODE *22666:A 4.52324e-05 +8 *4316:DIODE *1010:5 0 +9 *4316:DIODE *2998:35 4.58003e-05 +10 *4316:DIODE *3001:29 9.97706e-05 +11 *1009:12 mprj_dat_o_user[17] 6.50586e-05 +12 *1009:12 mprj_dat_o_user[18] 0 +13 *1009:12 *22601:A 9.12416e-06 +14 *1009:12 *22665:A 2.1203e-06 +15 *1009:12 *2998:35 0.000178868 +16 *1009:12 *3001:29 0.000178615 +17 mprj_adr_o_user[18] *1009:12 0 +18 mprj_adr_o_user[19] *4316:DIODE 2.49672e-05 +*RES +1 mprj_dat_i_user[18] *1009:12 15.1265 +2 *1009:12 *22128:A 9.82786 +3 *1009:12 *4316:DIODE 25.0964 +*END + +*D_NET *1010 0.00179055 +*CONN +*P mprj_dat_i_user[19] I +*I *22130:A I *D sky130_fd_sc_hd__buf_12 +*I *4318:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[19] 0.000478123 +2 *22130:A 2.64456e-05 +3 *4318:DIODE 0.00018484 +4 *1010:5 0.000689409 +5 *4318:DIODE *22602:A 0.000116493 +6 *4318:DIODE *22667:A 0 +7 *4318:DIODE *2438:41 0.000175485 +8 *22130:A *2986:5 2.65831e-05 +9 *1010:5 mprj_dat_o_user[19] 0 +10 *1010:5 *22667:A 0 +11 *1010:5 *2983:8 9.31689e-05 +12 mprj_adr_o_user[19] *1010:5 0 +13 *4316:DIODE *1010:5 0 +*RES +1 mprj_dat_i_user[19] *1010:5 10.6744 +2 *1010:5 *4318:DIODE 17.829 +3 *1010:5 *22130:A 14.4725 +*END + +*D_NET *1011 0.00112231 +*CONN +*P mprj_dat_i_user[1] I +*I *4319:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22131:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 mprj_dat_i_user[1] 0.000307214 +2 *4319:DIODE 0 +3 *22131:A 9.13416e-05 +4 *1011:5 0.000398556 +5 *1011:5 mprj_dat_o_user[1] 0 +6 *1011:5 mprj_sel_o_user[0] 0 +7 *1011:5 *2974:8 0.000325195 +8 mprj_adr_o_user[1] *1011:5 0 +*RES +1 mprj_dat_i_user[1] *1011:5 9.42867 +2 *1011:5 *22131:A 15.8893 +3 *1011:5 *4319:DIODE 13.7491 +*END + +*D_NET *1012 0.00211012 +*CONN +*P mprj_dat_i_user[20] I +*I *4320:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22132:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 mprj_dat_i_user[20] 0.000266299 +2 *4320:DIODE 0.000286777 +3 *22132:A 0 +4 *1012:8 0.000553076 +5 *4320:DIODE mprj_dat_o_user[20] 0.000134191 +6 *4320:DIODE *22604:A 0 +7 *4320:DIODE *22669:A 2.72929e-05 +8 *4320:DIODE *1013:5 0 +9 *4320:DIODE *2985:8 0 +10 *4320:DIODE *2998:35 0.000111708 +11 *4320:DIODE *3001:29 3.58044e-05 +12 *1012:8 mprj_dat_o_user[20] 0 +13 *1012:8 *2985:8 0.000366636 +14 *1012:8 *2998:35 0.000224395 +15 *1012:8 *3001:29 0.000103943 +16 mprj_adr_o_user[21] *4320:DIODE 0 +*RES +1 mprj_dat_i_user[20] *1012:8 13.8244 +2 *1012:8 *22132:A 9.24915 +3 *1012:8 *4320:DIODE 25.5117 +*END + +*D_NET *1013 0.00172834 +*CONN +*P mprj_dat_i_user[21] I +*I *22133:A I *D sky130_fd_sc_hd__buf_8 +*I *4321:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[21] 0.00051751 +2 *22133:A 2.64456e-05 +3 *4321:DIODE 0.000206479 +4 *1013:5 0.000750435 +5 *4321:DIODE mprj_dat_o_user[21] 0 +6 *4321:DIODE *22605:A 2.53992e-05 +7 *4321:DIODE *2438:41 0.000175485 +8 *22133:A *2986:5 2.65831e-05 +9 *1013:5 mprj_dat_o_user[21] 0 +10 mprj_adr_o_user[21] *1013:5 0 +11 *4320:DIODE *1013:5 0 +*RES +1 mprj_dat_i_user[21] *1013:5 10.6744 +2 *1013:5 *4321:DIODE 17.829 +3 *1013:5 *22133:A 14.4725 +*END + +*D_NET *1014 0.00201509 +*CONN +*P mprj_dat_i_user[22] I +*I *22134:A I *D sky130_fd_sc_hd__buf_8 +*I *4322:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[22] 0.000364802 +2 *22134:A 1.86148e-05 +3 *4322:DIODE 0.000406072 +4 *1014:7 0.000789489 +5 *4322:DIODE mprj_dat_o_user[22] 0 +6 *4322:DIODE *22606:A 0.000107013 +7 *4322:DIODE *2987:16 5.97745e-05 +8 *4322:DIODE *2998:40 0 +9 *4322:DIODE *3002:9 5.96432e-05 +10 *22134:A *2987:16 6.08467e-05 +11 *22134:A *2998:35 0.00011818 +12 *22134:A *3001:29 2.15348e-05 +13 *1014:7 mprj_dat_o_user[22] 0 +14 *1014:7 *22606:A 9.12416e-06 +15 mprj_adr_o_user[22] *1014:7 0 +*RES +1 mprj_dat_i_user[22] *1014:7 7.66995 +2 *1014:7 *4322:DIODE 22.1209 +3 *1014:7 *22134:A 15.0271 +*END + +*D_NET *1015 0.00139047 +*CONN +*P mprj_dat_i_user[23] I +*I *22135:A I *D sky130_fd_sc_hd__buf_6 +*I *4323:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[23] 0.000362971 +2 *22135:A 1.39384e-05 +3 *4323:DIODE 0.000214199 +4 *1015:7 0.000591108 +5 *4323:DIODE mprj_dat_o_user[23] 0 +6 *4323:DIODE *2986:5 0.000118796 +7 *4323:DIODE *2998:40 0 +8 *22135:A *2989:7 6.3657e-05 +9 *22135:A *3001:29 2.57986e-05 +10 *1015:7 mprj_dat_o_user[23] 0 +11 mprj_adr_o_user[23] *1015:7 0 +*RES +1 mprj_dat_i_user[23] *1015:7 7.66995 +2 *1015:7 *4323:DIODE 17.6896 +3 *1015:7 *22135:A 14.4725 +*END + +*D_NET *1016 0.00125775 +*CONN +*P mprj_dat_i_user[24] I +*I *22136:A I *D sky130_fd_sc_hd__buf_2 +*I *4324:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[24] 0.000388554 +2 *22136:A 0 +3 *4324:DIODE 0.000157374 +4 *1016:7 0.000545928 +5 *4324:DIODE mprj_dat_o_user[24] 1.29689e-05 +6 *4324:DIODE *22608:A 2.32768e-05 +7 *4324:DIODE *2986:5 6.50586e-05 +8 *4324:DIODE *2987:16 5.11466e-05 +9 *4324:DIODE *2991:8 4.31485e-06 +10 *1016:7 mprj_dat_o_user[24] 0 +11 *1016:7 *22608:A 9.12416e-06 +12 mprj_adr_o_user[24] *1016:7 0 +*RES +1 mprj_dat_i_user[24] *1016:7 8.23176 +2 *1016:7 *4324:DIODE 17.135 +3 *1016:7 *22136:A 13.7491 +*END + +*D_NET *1017 0.0011157 +*CONN +*P mprj_dat_i_user[25] I +*I *22137:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4325:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[25] 0.000399199 +2 *22137:A 0 +3 *4325:DIODE 0.000115789 +4 *1017:7 0.000514988 +5 *4325:DIODE mprj_dat_o_user[25] 1.14139e-05 +6 *4325:DIODE *22609:A 0 +7 *4325:DIODE *2992:8 7.21868e-05 +8 *1017:7 mprj_dat_o_user[25] 0 +9 *1017:7 *22609:A 2.1203e-06 +10 mprj_adr_o_user[25] *1017:7 0 +*RES +1 mprj_dat_i_user[25] *1017:7 8.23176 +2 *1017:7 *4325:DIODE 16.4116 +3 *1017:7 *22137:A 13.7491 +*END + +*D_NET *1018 0.00154538 +*CONN +*P mprj_dat_i_user[26] I +*I *22138:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4326:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[26] 0.000303171 +2 *22138:A 1.67835e-05 +3 *4326:DIODE 0.000252315 +4 *1018:5 0.00057227 +5 *4326:DIODE mprj_dat_o_user[26] 0 +6 *4326:DIODE *22610:A 4.56516e-05 +7 *4326:DIODE *2986:5 0.000171273 +8 *4326:DIODE *2993:8 1.50057e-05 +9 *22138:A *2980:9 0.00011818 +10 *22138:A *2989:27 5.07314e-05 +11 *1018:5 mprj_dat_o_user[26] 0 +12 mprj_adr_o_user[26] *1018:5 0 +*RES +1 mprj_dat_i_user[26] *1018:5 6.5219 +2 *1018:5 *4326:DIODE 18.6595 +3 *1018:5 *22138:A 15.0271 +*END + +*D_NET *1019 0.00147041 +*CONN +*P mprj_dat_i_user[27] I +*I *22139:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4327:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[27] 0.000303171 +2 *22139:A 1.67835e-05 +3 *4327:DIODE 0.00019715 +4 *1019:5 0.000517104 +5 *4327:DIODE mprj_dat_o_user[27] 0 +6 *4327:DIODE *22611:A 0.000129908 +7 *4327:DIODE *2986:5 0.000122378 +8 *4327:DIODE *2994:9 1.50057e-05 +9 *22139:A *2980:9 0.00011818 +10 *22139:A *2989:27 5.07314e-05 +11 *1019:5 mprj_dat_o_user[27] 0 +12 mprj_adr_o_user[27] *1019:5 0 +*RES +1 mprj_dat_i_user[27] *1019:5 6.5219 +2 *1019:5 *4327:DIODE 18.1049 +3 *1019:5 *22139:A 15.0271 +*END + +*D_NET *1020 0.00142755 +*CONN +*P mprj_dat_i_user[28] I +*I *22141:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4329:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[28] 0.000303171 +2 *22141:A 1.67835e-05 +3 *4329:DIODE 0.000248176 +4 *1020:5 0.00056813 +5 *4329:DIODE mprj_dat_o_user[28] 0 +6 *4329:DIODE *22676:A 0 +7 *4329:DIODE *2986:5 0.000122378 +8 *22141:A *2980:9 0.00011818 +9 *22141:A *2989:27 5.07314e-05 +10 *1020:5 mprj_dat_o_user[28] 0 +11 mprj_adr_o_user[28] *4329:DIODE 0 +12 mprj_adr_o_user[28] *1020:5 0 +*RES +1 mprj_dat_i_user[28] *1020:5 6.5219 +2 *1020:5 *4329:DIODE 18.1049 +3 *1020:5 *22141:A 15.0271 +*END + +*D_NET *1021 0.00139013 +*CONN +*P mprj_dat_i_user[29] I +*I *22142:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4330:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[29] 0.000347522 +2 *22142:A 9.34923e-06 +3 *4330:DIODE 0.000203693 +4 *1021:7 0.000560564 +5 *4330:DIODE *22613:A 4.13289e-05 +6 *4330:DIODE *2986:5 6.92705e-05 +7 *4330:DIODE *2996:15 8.24443e-06 +8 *4330:DIODE *2997:9 2.00098e-05 +9 *22142:A *2980:9 6.50727e-05 +10 *22142:A *2989:27 6.50727e-05 +11 *1021:7 mprj_dat_o_user[29] 0 +12 mprj_adr_o_user[29] *1021:7 0 +*RES +1 mprj_dat_i_user[29] *1021:7 7.2547 +2 *1021:7 *4330:DIODE 17.5503 +3 *1021:7 *22142:A 14.4725 +*END + +*D_NET *1022 0.00135169 +*CONN +*P mprj_dat_i_user[2] I +*I *22143:A I *D sky130_fd_sc_hd__buf_8 +*I *4331:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[2] 0.00027065 +2 *22143:A 2.31637e-05 +3 *4331:DIODE 0.000232384 +4 *1022:5 0.000526198 +5 *4331:DIODE mprj_sel_o_user[1] 0 +6 *4331:DIODE *22614:A 6.51789e-05 +7 *4331:DIODE *2986:5 0.000169041 +8 *22143:A *22614:A 6.50727e-05 +9 *1022:5 mprj_dat_o_user[2] 0 +10 mprj_adr_o_user[2] *4331:DIODE 0 +11 mprj_adr_o_user[2] *1022:5 0 +*RES +1 mprj_dat_i_user[2] *1022:5 6.93715 +2 *1022:5 *4331:DIODE 18.977 +3 *1022:5 *22143:A 14.4725 +*END + +*D_NET *1023 0.00153227 +*CONN +*P mprj_dat_i_user[30] I +*I *22144:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4332:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[30] 0.000286917 +2 *22144:A 1.23957e-05 +3 *4332:DIODE 0.000237867 +4 *1023:5 0.00053718 +5 *4332:DIODE *1871:10 1.41429e-05 +6 *4332:DIODE *2986:5 0.000115934 +7 *4332:DIODE *2991:23 5.01835e-05 +8 *22144:A *2980:9 0.00011818 +9 *22144:A *2989:27 0.00011818 +10 *1023:5 mprj_dat_o_user[30] 0 +11 *1023:5 *1871:10 4.12884e-05 +12 mprj_adr_o_user[30] *1023:5 0 +*RES +1 mprj_dat_i_user[30] *1023:5 6.5219 +2 *1023:5 *4332:DIODE 18.1049 +3 *1023:5 *22144:A 15.0271 +*END + +*D_NET *1024 0.00260827 +*CONN +*P mprj_dat_i_user[31] I +*I *22145:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4333:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[31] 0.000289404 +2 *22145:A 2.78838e-05 +3 *4333:DIODE 0.000421684 +4 *1024:5 0.000738972 +5 *4333:DIODE *22616:A 0.000424607 +6 *4333:DIODE *1872:8 0.000335009 +7 *4333:DIODE *2469:25 0.000122378 +8 *22145:A *2980:9 0.000111722 +9 *22145:A *2989:48 4.31703e-05 +10 *1024:5 mprj_dat_o_user[31] 0 +11 *1024:5 *1872:8 9.34404e-05 +12 mprj_adr_o_user[31] *1024:5 0 +*RES +1 mprj_dat_i_user[31] *1024:5 6.5219 +2 *1024:5 *4333:DIODE 24.7489 +3 *1024:5 *22145:A 15.0271 +*END + +*D_NET *1025 0.0010822 +*CONN +*P mprj_dat_i_user[3] I +*I *22146:A I *D sky130_fd_sc_hd__buf_8 +*I *4334:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[3] 0.000290908 +2 *22146:A 9.55513e-05 +3 *4334:DIODE 0.000108363 +4 *1025:7 0.000494822 +5 *4334:DIODE mprj_dat_o_user[3] 0 +6 *4334:DIODE *22617:A 6.31665e-05 +7 *22146:A *2998:10 2.93863e-05 +8 *1025:7 mprj_dat_o_user[3] 0 +9 mprj_adr_o_user[3] *4334:DIODE 0 +10 mprj_adr_o_user[3] *1025:7 0 +*RES +1 mprj_dat_i_user[3] *1025:7 7.2547 +2 *1025:7 *4334:DIODE 16.8269 +3 *1025:7 *22146:A 16.1605 +*END + +*D_NET *1026 0.00105909 +*CONN +*P mprj_dat_i_user[4] I +*I *4335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22147:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 mprj_dat_i_user[4] 0.000374973 +2 *4335:DIODE 0 +3 *22147:A 7.79102e-05 +4 *1026:5 0.000452883 +5 *22147:A mprj_sel_o_user[3] 0 +6 *22147:A *22618:A 3.00073e-05 +7 *22147:A *2375:13 6.50727e-05 +8 *1026:5 mprj_dat_o_user[4] 0 +9 *1026:5 mprj_sel_o_user[3] 0 +10 *1026:5 *22618:A 5.82465e-05 +11 mprj_adr_o_user[4] *1026:5 0 +*RES +1 mprj_dat_i_user[4] *1026:5 9.42867 +2 *1026:5 *22147:A 15.8893 +3 *1026:5 *4335:DIODE 13.7491 +*END + +*D_NET *1027 0.00147184 +*CONN +*P mprj_dat_i_user[5] I +*I *22148:A I *D sky130_fd_sc_hd__buf_12 +*I *4336:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[5] 0.000278456 +2 *22148:A 2.31637e-05 +3 *4336:DIODE 0.000401766 +4 *1027:5 0.000703385 +5 *4336:DIODE mprj_dat_o_user[5] 0 +6 *4336:DIODE *22619:A 0 +7 *4336:DIODE *3002:8 0 +8 *22148:A *3001:11 6.50727e-05 +9 *1027:5 mprj_dat_o_user[5] 0 +10 mprj_adr_o_user[5] *1027:5 0 +*RES +1 mprj_dat_i_user[5] *1027:5 6.93715 +2 *1027:5 *4336:DIODE 21.5663 +3 *1027:5 *22148:A 14.4725 +*END + +*D_NET *1028 0.00177939 +*CONN +*P mprj_dat_i_user[6] I +*I *4337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22149:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_user[6] 0.000517196 +2 *4337:DIODE 0.000129689 +3 *22149:A 0 +4 *1028:10 0.000646885 +5 *4337:DIODE *22621:A 0 +6 *4337:DIODE *1029:5 0.00014041 +7 *4337:DIODE *3001:11 5.95286e-05 +8 *1028:10 mprj_dat_o_user[6] 0 +9 *1028:10 *22620:A 6.08467e-05 +10 *1028:10 *3001:11 0.000213784 +11 mprj_adr_o_user[6] *1028:10 0 +12 mprj_adr_o_user[7] *4337:DIODE 1.10517e-05 +*RES +1 mprj_dat_i_user[6] *1028:10 17.8701 +2 *1028:10 *22149:A 9.24915 +3 *1028:10 *4337:DIODE 22.1896 +*END + +*D_NET *1029 0.00162577 +*CONN +*P mprj_dat_i_user[7] I +*I *22150:A I *D sky130_fd_sc_hd__buf_8 +*I *4338:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[7] 0.000407172 +2 *22150:A 2.64456e-05 +3 *4338:DIODE 0.000176478 +4 *1029:5 0.000610096 +5 *4338:DIODE *22622:A 0 +6 *4338:DIODE *2375:8 0.000130777 +7 *4338:DIODE *2396:6 0 +8 *4338:DIODE *2998:10 1.77537e-06 +9 *4338:DIODE *3002:9 4.66876e-05 +10 *22150:A *2986:5 2.65831e-05 +11 *1029:5 mprj_dat_o_user[7] 0 +12 *1029:5 *22622:A 0 +13 *1029:5 *2375:8 2.24484e-05 +14 *1029:5 *2998:10 3.69003e-05 +15 mprj_adr_o_user[7] *1029:5 0 +16 *4337:DIODE *1029:5 0.00014041 +*RES +1 mprj_dat_i_user[7] *1029:5 10.6744 +2 *1029:5 *4338:DIODE 17.829 +3 *1029:5 *22150:A 14.4725 +*END + +*D_NET *1030 0.00222528 +*CONN +*P mprj_dat_i_user[8] I +*I *4340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22152:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 mprj_dat_i_user[8] 0.0004639 +2 *4340:DIODE 0.000271475 +3 *22152:A 0 +4 *1030:8 0.000735375 +5 *4340:DIODE *4341:DIODE 1.28326e-05 +6 *4340:DIODE *5204:DIODE 0 +7 *4340:DIODE *22687:A 3.4475e-05 +8 *4340:DIODE *1031:7 8.65508e-05 +9 *4340:DIODE *2397:52 2.99929e-05 +10 *4340:DIODE *3001:27 9.97706e-05 +11 *1030:8 *22622:A 6.92705e-05 +12 *1030:8 *22686:A 6.08467e-05 +13 *1030:8 *2467:16 0 +14 *1030:8 *3001:27 0.000301032 +15 mprj_adr_o_user[8] *1030:8 0 +16 mprj_adr_o_user[9] *4340:DIODE 5.97587e-05 +*RES +1 mprj_dat_i_user[8] *1030:8 16.7367 +2 *1030:8 *22152:A 9.24915 +3 *1030:8 *4340:DIODE 25.9269 +*END + +*D_NET *1031 0.00139127 +*CONN +*P mprj_dat_i_user[9] I +*I *22153:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *4341:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[9] 0.000472353 +2 *22153:A 0 +3 *4341:DIODE 0.000126838 +4 *1031:7 0.000599191 +5 *4341:DIODE mprj_dat_o_user[9] 0 +6 *4341:DIODE *2397:52 7.50722e-05 +7 *4341:DIODE *3002:9 1.84293e-05 +8 *1031:7 mprj_dat_o_user[9] 0 +9 mprj_adr_o_user[9] *1031:7 0 +10 *4340:DIODE *4341:DIODE 1.28326e-05 +11 *4340:DIODE *1031:7 8.65508e-05 +*RES +1 mprj_dat_i_user[9] *1031:7 11.4072 +2 *1031:7 *4341:DIODE 16.7198 +3 *1031:7 *22153:A 13.7491 +*END + +*D_NET *1032 0.0011053 +*CONN +*P mprj_dat_o_core[0] I +*I *4342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22154:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[0] 0.000428249 +2 *4342:DIODE 7.08611e-05 +3 *22154:A 0 +4 *1032:9 0.00049911 +5 *4342:DIODE *22625:A 0 +6 *4342:DIODE *2825:14 0 +7 *4342:DIODE *3009:8 7.86847e-05 +8 *1032:9 *22625:A 0 +9 *1032:9 *2537:14 0 +10 *1032:9 *2537:18 0 +11 *1032:9 *2937:8 2.83981e-05 +12 mprj_dat_i_core[0] *1032:9 0 +13 *22094:A *4342:DIODE 0 +14 *22094:A *1032:9 0 +15 *913:5 *1032:9 0 +*RES +1 mprj_dat_o_core[0] *1032:9 8.818 +2 *1032:9 *22154:A 13.7491 +3 *1032:9 *4342:DIODE 15.9964 +*END + +*D_NET *1033 0.00134154 +*CONN +*P mprj_dat_o_core[10] I +*I *4343:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22155:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[10] 0.000134481 +2 *4343:DIODE 0.000175023 +3 *22155:A 6.38914e-05 +4 *1033:5 0.000373396 +5 *4343:DIODE *2413:37 5.85117e-05 +6 *4343:DIODE *2939:13 8.01886e-05 +7 *4343:DIODE *3010:11 0.000169093 +8 *1033:5 *2939:13 3.20069e-06 +9 mprj_dat_i_core[10] *4343:DIODE 0 +10 mprj_dat_i_core[10] *1033:5 0 +11 *4271:DIODE *4343:DIODE 0.000169041 +12 *22083:A *22155:A 7.48633e-05 +13 *904:7 *1033:5 3.98454e-05 +*RES +1 mprj_dat_o_core[10] *1033:5 3.19988 +2 *1033:5 *22155:A 15.5817 +3 *1033:5 *4343:DIODE 20.184 +*END + +*D_NET *1034 0.00182195 +*CONN +*P mprj_dat_o_core[11] I +*I *4344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22156:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_core[11] 0.000344215 +2 *4344:DIODE 0.000102157 +3 *22156:A 0 +4 *1034:8 0.000446372 +5 *4344:DIODE *4345:DIODE 0.000122098 +6 *4344:DIODE *22157:A 6.50586e-05 +7 *4344:DIODE *2942:16 3.83336e-05 +8 *4344:DIODE *3013:10 6.73022e-05 +9 *1034:8 *2942:16 0.000204676 +10 *1034:8 *3013:10 0.000144797 +11 mprj_dat_i_core[11] *1034:8 0 +12 *4274:DIODE *1034:8 0 +13 *4275:DIODE *4344:DIODE 0.000122098 +14 *22086:A *1034:8 0.000164843 +15 *905:5 *1034:8 0 +*RES +1 mprj_dat_o_core[11] *1034:8 14.9392 +2 *1034:8 *22156:A 9.24915 +3 *1034:8 *4344:DIODE 22.9077 +*END + +*D_NET *1035 0.00127518 +*CONN +*P mprj_dat_o_core[12] I +*I *4345:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22157:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_core[12] 0.000240644 +2 *4345:DIODE 0.000218369 +3 *22157:A 6.67041e-06 +4 *1035:7 0.000465684 +5 *4345:DIODE *22628:A 0 +6 *4345:DIODE *2827:12 5.0185e-05 +7 *4345:DIODE *2942:16 0 +8 *22157:A *2942:16 6.92705e-05 +9 *1035:7 *3011:20 7.13655e-06 +10 *1035:7 *3012:8 2.22923e-05 +11 mprj_dat_i_core[12] *4345:DIODE 0 +12 mprj_dat_i_core[12] *1035:7 0 +13 *4275:DIODE *4345:DIODE 4.57241e-06 +14 *4344:DIODE *4345:DIODE 0.000122098 +15 *4344:DIODE *22157:A 6.50586e-05 +16 *906:5 *4345:DIODE 3.20069e-06 +17 *906:5 *1035:7 0 +*RES +1 mprj_dat_o_core[12] *1035:7 4.90975 +2 *1035:7 *22157:A 14.4725 +3 *1035:7 *4345:DIODE 19.7337 +*END + +*D_NET *1036 0.00122259 +*CONN +*P mprj_dat_o_core[13] I +*I *22158:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *4346:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[13] 0.000257475 +2 *22158:A 0 +3 *4346:DIODE 0.000121472 +4 *1036:12 0.000378947 +5 *1036:12 *2943:12 0.000215846 +6 mprj_dat_i_core[13] *1036:12 5.91029e-05 +7 mprj_dat_i_core[14] *4346:DIODE 6.4579e-05 +8 mprj_dat_i_core[14] *1036:12 0 +9 *907:10 *1036:12 0.000125168 +*RES +1 mprj_dat_o_core[13] *1036:12 11.5527 +2 *1036:12 *4346:DIODE 21.3269 +3 *1036:12 *22158:A 9.24915 +*END + +*D_NET *1037 0.00212043 +*CONN +*P mprj_dat_o_core[14] I +*I *4347:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22159:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[14] 0.000286201 +2 *4347:DIODE 0.000308319 +3 *22159:A 4.24037e-05 +4 *1037:5 0.000636924 +5 *4347:DIODE *21375:A 0.00036228 +6 *4347:DIODE *22630:A 5.99802e-05 +7 *4347:DIODE *2945:8 0 +8 *4347:DIODE *3014:6 4.27003e-05 +9 *22159:A *2413:37 0.000167701 +10 *1037:5 *22630:A 3.20069e-06 +11 *1037:5 *2945:8 0 +12 mprj_dat_i_core[14] *1037:5 0 +13 *4276:DIODE *22159:A 2.78496e-05 +14 *4276:DIODE *1037:5 0.000182869 +15 *908:8 *1037:5 0 +*RES +1 mprj_dat_o_core[14] *1037:5 7.35241 +2 *1037:5 *22159:A 15.5817 +3 *1037:5 *4347:DIODE 22.1265 +*END + +*D_NET *1038 0.00119029 +*CONN +*P mprj_dat_o_core[15] I +*I *22160:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4348:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[15] 0.000210848 +2 *22160:A 0 +3 *4348:DIODE 0.000229373 +4 *1038:8 0.000440221 +5 *4348:DIODE *22631:A 2.61377e-05 +6 *4348:DIODE *3015:13 0 +7 *4348:DIODE *3016:11 0 +8 *1038:8 *2945:8 4.63091e-05 +9 *1038:8 *3015:7 6.50727e-05 +10 *1038:8 *3015:13 3.0676e-05 +11 mprj_dat_i_core[15] *1038:8 0 +12 *4278:DIODE *4348:DIODE 0.00013362 +13 *909:5 *4348:DIODE 8.03393e-06 +14 *909:5 *1038:8 0 +*RES +1 mprj_dat_o_core[15] *1038:8 9.94774 +2 *1038:8 *4348:DIODE 24.2337 +3 *1038:8 *22160:A 9.24915 +*END + +*D_NET *1039 0.00122675 +*CONN +*P mprj_dat_o_core[16] I +*I *4349:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22161:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[16] 0.000190677 +2 *4349:DIODE 0.000164751 +3 *22161:A 0 +4 *1039:13 0.000355428 +5 *4349:DIODE *2946:11 0 +6 *4349:DIODE *3016:11 0.000145031 +7 *1039:13 *3016:11 5.22654e-06 +8 mprj_dat_i_core[16] *1039:13 0 +9 *4279:DIODE *4349:DIODE 0.000146098 +10 *910:5 *4349:DIODE 1.07248e-05 +11 *910:5 *1039:13 0.000208812 +*RES +1 mprj_dat_o_core[16] *1039:13 7.64553 +2 *1039:13 *22161:A 13.7491 +3 *1039:13 *4349:DIODE 19.7337 +*END + +*D_NET *1040 0.00165455 +*CONN +*P mprj_dat_o_core[17] I +*I *22163:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4351:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[17] 0.000427111 +2 *22163:A 0 +3 *4351:DIODE 0.000227521 +4 *1040:8 0.000654632 +5 *4351:DIODE *22634:A 5.39608e-05 +6 *4351:DIODE *1041:10 3.31882e-05 +7 *4351:DIODE *2947:9 0 +8 *4351:DIODE *2948:9 0 +9 *4351:DIODE *3019:20 0.000118583 +10 *1040:8 *3019:20 6.50727e-05 +11 mprj_dat_i_core[17] *1040:8 0 +12 mprj_dat_i_core[18] *4351:DIODE 9.40407e-06 +13 *4281:DIODE *4351:DIODE 0 +14 *22092:A *1040:8 6.50727e-05 +15 *911:5 *1040:8 0 +*RES +1 mprj_dat_o_core[17] *1040:8 13.9693 +2 *1040:8 *4351:DIODE 24.6489 +3 *1040:8 *22163:A 9.24915 +*END + +*D_NET *1041 0.00160539 +*CONN +*P mprj_dat_o_core[18] I +*I *22164:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4352:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[18] 0.00032063 +2 *22164:A 0 +3 *4352:DIODE 0.000191462 +4 *1041:10 0.000512092 +5 *4352:DIODE *2410:22 7.86825e-06 +6 *4352:DIODE *2413:37 8.65522e-05 +7 *4352:DIODE *2948:9 0 +8 *4352:DIODE *3020:11 8.50941e-05 +9 *1041:10 *3019:20 9.41917e-05 +10 mprj_dat_i_core[18] *1041:10 0 +11 mprj_dat_i_core[19] *4352:DIODE 4.65491e-05 +12 *4281:DIODE *1041:10 8.92568e-06 +13 *4351:DIODE *1041:10 3.31882e-05 +14 *22093:A *1041:10 0.000171288 +15 *912:5 *1041:10 4.75463e-05 +*RES +1 mprj_dat_o_core[18] *1041:10 14.0154 +2 *1041:10 *4352:DIODE 24.1294 +3 *1041:10 *22164:A 9.24915 +*END + +*D_NET *1042 0.00129595 +*CONN +*P mprj_dat_o_core[19] I +*I *4353:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22165:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[19] 0.000197975 +2 *4353:DIODE 0.000116937 +3 *22165:A 0 +4 *1042:13 0.000314912 +5 *4353:DIODE *2950:11 0.000344849 +6 *4353:DIODE *3020:11 0.000148241 +7 *1042:13 *1044:13 0 +8 *1042:13 *2950:11 1.07248e-05 +9 *1042:13 *3020:11 5.22654e-06 +10 mprj_dat_i_core[19] *1042:13 0 +11 mprj_dat_i_core[20] *1042:13 0 +12 *914:12 *1042:13 0.000157086 +*RES +1 mprj_dat_o_core[19] *1042:13 7.64553 +2 *1042:13 *22165:A 13.7491 +3 *1042:13 *4353:DIODE 19.7337 +*END + +*D_NET *1043 0.00134725 +*CONN +*P mprj_dat_o_core[1] I +*I *4354:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22166:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[1] 0.000390593 +2 *4354:DIODE 0.000109508 +3 *22166:A 2.40881e-05 +4 *1043:9 0.000524189 +5 *4354:DIODE *4380:DIODE 4.44611e-05 +6 *4354:DIODE *1098:5 2.81678e-06 +7 *4354:DIODE *1145:5 1.41307e-05 +8 *4354:DIODE *3021:6 9.68437e-05 +9 *22166:A *3049:11 6.3657e-05 +10 *1043:9 *1098:5 1.10604e-05 +11 mprj_dat_i_core[1] *4354:DIODE 0 +12 mprj_dat_i_core[1] *1043:9 6.58997e-05 +*RES +1 mprj_dat_o_core[1] *1043:9 8.818 +2 *1043:9 *22166:A 14.4725 +3 *1043:9 *4354:DIODE 16.7198 +*END + +*D_NET *1044 0.0013332 +*CONN +*P mprj_dat_o_core[20] I +*I *4355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22167:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[20] 0.000184589 +2 *4355:DIODE 0.000133757 +3 *22167:A 0 +4 *1044:13 0.000318346 +5 *4355:DIODE *3022:14 8.0123e-05 +6 *4355:DIODE *3024:17 7.86904e-05 +7 mprj_dat_i_core[20] *1044:13 0 +8 *4283:DIODE *4355:DIODE 0.000142485 +9 *4283:DIODE *1044:13 3.31882e-05 +10 *4285:DIODE *4355:DIODE 0.000142485 +11 *915:5 *4355:DIODE 1.07248e-05 +12 *915:5 *1044:13 0.000208812 +13 *1042:13 *1044:13 0 +*RES +1 mprj_dat_o_core[20] *1044:13 7.64553 +2 *1044:13 *22167:A 13.7491 +3 *1044:13 *4355:DIODE 19.7337 +*END + +*D_NET *1045 0.00134292 +*CONN +*P mprj_dat_o_core[21] I +*I *4356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22168:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_core[21] 0.000213593 +2 *4356:DIODE 0.000176033 +3 *22168:A 0 +4 *1045:8 0.000389627 +5 *4356:DIODE *2953:8 2.65831e-05 +6 *4356:DIODE *2954:8 0.00015324 +7 *1045:8 *2953:8 8.53519e-05 +8 *1045:8 *3023:17 2.32531e-05 +9 mprj_dat_i_core[21] *1045:8 5.3215e-05 +10 mprj_dat_i_core[22] *4356:DIODE 5.07314e-05 +11 *4286:DIODE *4356:DIODE 0 +12 *22098:A *4356:DIODE 0.00015709 +13 *22098:A *1045:8 1.41976e-05 +14 *916:5 *1045:8 0 +*RES +1 mprj_dat_o_core[21] *1045:8 10.5023 +2 *1045:8 *22168:A 9.24915 +3 *1045:8 *4356:DIODE 24.4081 +*END + +*D_NET *1046 0.00168436 +*CONN +*P mprj_dat_o_core[22] I +*I *22169:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4357:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[22] 0.000372324 +2 *22169:A 0 +3 *4357:DIODE 0.000222155 +4 *1046:10 0.000594479 +5 *4357:DIODE *4358:DIODE 0 +6 *4357:DIODE *22640:A 3.90891e-05 +7 *4357:DIODE *1047:8 7.09666e-06 +8 *4357:DIODE *2955:11 0 +9 *1046:10 *22639:A 6.92705e-05 +10 *1046:10 *2954:8 0.000122418 +11 *1046:10 *2955:11 5.05841e-05 +12 mprj_dat_i_core[22] *1046:10 0 +13 mprj_dat_i_core[23] *4357:DIODE 3.5653e-05 +14 *22099:A *1046:10 0.000171288 +15 *917:5 *1046:10 0 +*RES +1 mprj_dat_o_core[22] *1046:10 14.5628 +2 *1046:10 *4357:DIODE 24.2337 +3 *1046:10 *22169:A 9.24915 +*END + +*D_NET *1047 0.00117828 +*CONN +*P mprj_dat_o_core[23] I +*I *22170:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4358:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[23] 0.000221683 +2 *22170:A 0 +3 *4358:DIODE 0.000185468 +4 *1047:8 0.000407151 +5 *4358:DIODE *22640:A 0.000127194 +6 *4358:DIODE *22641:A 0 +7 *4358:DIODE *3026:11 0 +8 *1047:8 *2955:11 3.24105e-05 +9 *1047:8 *3024:11 3.00073e-05 +10 *1047:8 *3025:17 1.91246e-05 +11 mprj_dat_i_core[23] *1047:8 0 +12 *4288:DIODE *4358:DIODE 0.000148144 +13 *4357:DIODE *4358:DIODE 0 +14 *4357:DIODE *1047:8 7.09666e-06 +15 *918:5 *1047:8 0 +*RES +1 mprj_dat_o_core[23] *1047:8 9.94774 +2 *1047:8 *4358:DIODE 24.2337 +3 *1047:8 *22170:A 9.24915 +*END + +*D_NET *1048 0.00106617 +*CONN +*P mprj_dat_o_core[24] I +*I *4359:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22171:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_core[24] 0.000142954 +2 *4359:DIODE 0.000227761 +3 *22171:A 0.000115286 +4 *1048:5 0.000486 +5 *4359:DIODE *22641:A 2.41274e-06 +6 *4359:DIODE *3026:11 6.46135e-05 +7 *1048:5 *3026:11 2.71397e-05 +8 mprj_dat_i_core[24] *4359:DIODE 0 +9 mprj_dat_i_core[24] *1048:5 0 +10 *919:10 *1048:5 0 +*RES +1 mprj_dat_o_core[24] *1048:5 3.61514 +2 *1048:5 *22171:A 16.1364 +3 *1048:5 *4359:DIODE 18.2442 +*END + +*D_NET *1049 0.00112991 +*CONN +*P mprj_dat_o_core[25] I +*I *22172:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4360:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[25] 0.00023207 +2 *22172:A 0 +3 *4360:DIODE 0.000197151 +4 *1049:8 0.000429222 +5 *4360:DIODE *22642:A 0 +6 *4360:DIODE *2956:19 0 +7 *4360:DIODE *2957:21 5.75903e-05 +8 *1049:8 *3027:13 6.50727e-05 +9 mprj_dat_i_core[25] *1049:8 0 +10 *4289:DIODE *4360:DIODE 0 +11 *4289:DIODE *1049:8 3.20069e-06 +12 *4290:DIODE *4360:DIODE 0.000136973 +13 *920:5 *4360:DIODE 8.62625e-06 +14 *920:5 *1049:8 0 +*RES +1 mprj_dat_o_core[25] *1049:8 9.94774 +2 *1049:8 *4360:DIODE 24.2337 +3 *1049:8 *22172:A 9.24915 +*END + +*D_NET *1050 0.00108577 +*CONN +*P mprj_dat_o_core[26] I +*I *4363:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22175:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_core[26] 0.000166363 +2 *4363:DIODE 0.000185005 +3 *22175:A 1.2055e-05 +4 *1050:7 0.000363423 +5 *4363:DIODE *22643:A 0 +6 *4363:DIODE *2958:19 0.000100573 +7 *22175:A *2958:9 6.50727e-05 +8 *22175:A *3031:27 6.08467e-05 +9 *1050:7 *2958:19 1.09738e-05 +10 *1050:7 *3030:17 1.83332e-05 +11 mprj_dat_i_core[26] *1050:7 0 +12 *4291:DIODE *4363:DIODE 5.99802e-05 +13 *921:5 *4363:DIODE 3.20069e-06 +14 *921:5 *1050:7 3.99398e-05 +*RES +1 mprj_dat_o_core[26] *1050:7 4.34793 +2 *1050:7 *22175:A 14.4725 +3 *1050:7 *4363:DIODE 19.7337 +*END + +*D_NET *1051 0.00145202 +*CONN +*P mprj_dat_o_core[27] I +*I *4364:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22176:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[27] 0.000214782 +2 *4364:DIODE 0.000218847 +3 *22176:A 0 +4 *1051:8 0.00043363 +5 *4364:DIODE *4365:DIODE 4.57241e-06 +6 *4364:DIODE *22645:A 0.000218299 +7 *4364:DIODE *1052:5 3.20069e-06 +8 *4364:DIODE *2959:7 0.000114594 +9 *1051:8 *3031:27 6.50727e-05 +10 mprj_dat_i_core[27] *1051:8 0 +11 mprj_dat_i_core[28] *4364:DIODE 0.00011818 +12 *22104:A *4364:DIODE 6.08467e-05 +13 *922:5 *1051:8 0 +*RES +1 mprj_dat_o_core[27] *1051:8 9.53249 +2 *1051:8 *22176:A 9.24915 +3 *1051:8 *4364:DIODE 25.3779 +*END + +*D_NET *1052 0.00126477 +*CONN +*P mprj_dat_o_core[28] I +*I *4365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22177:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[28] 0.000135803 +2 *4365:DIODE 0.000148328 +3 *22177:A 4.87313e-05 +4 *1052:5 0.000332862 +5 *4365:DIODE *22645:A 0.00015324 +6 *4365:DIODE *2960:13 0.000175674 +7 *22177:A *2960:7 0.000114594 +8 *22177:A *3032:22 0.000114594 +9 *1052:5 *2960:13 3.31733e-05 +10 mprj_dat_i_core[28] *1052:5 0 +11 *4364:DIODE *4365:DIODE 4.57241e-06 +12 *4364:DIODE *1052:5 3.20069e-06 +13 *923:5 *1052:5 0 +*RES +1 mprj_dat_o_core[28] *1052:5 3.19988 +2 *1052:5 *22177:A 15.5817 +3 *1052:5 *4365:DIODE 18.1049 +*END + +*D_NET *1053 0.00156397 +*CONN +*P mprj_dat_o_core[29] I +*I *4366:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22178:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[29] 0.000242422 +2 *4366:DIODE 0.000141163 +3 *22178:A 0.000180126 +4 *1053:5 0.000563711 +5 *4366:DIODE *4368:DIODE 0.000111802 +6 *4366:DIODE *22646:A 4.59978e-05 +7 *22178:A *3033:10 2.99287e-05 +8 *1053:5 *22646:A 4.94e-06 +9 mprj_dat_i_core[29] *22178:A 2.41274e-06 +10 mprj_dat_i_core[29] *1053:5 8.82965e-05 +11 *4296:DIODE *4366:DIODE 5.19205e-05 +12 *4296:DIODE *1053:5 0.000101246 +13 *925:8 *1053:5 0 +*RES +1 mprj_dat_o_core[29] *1053:5 7.35241 +2 *1053:5 *22178:A 17.8002 +3 *1053:5 *4366:DIODE 17.2744 +*END + +*D_NET *1054 0.0014199 +*CONN +*P mprj_dat_o_core[2] I +*I *4367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22179:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[2] 0.000275409 +2 *4367:DIODE 0.000104451 +3 *22179:A 2.59244e-05 +4 *1054:7 0.000405784 +5 *4367:DIODE *1145:5 1.03403e-05 +6 *4367:DIODE *3037:12 0.000123582 +7 *4367:DIODE *3046:10 4.96175e-05 +8 *22179:A *3049:11 6.50586e-05 +9 *1054:7 *1099:5 0 +10 *1054:7 *2541:14 3.59452e-05 +11 *1054:7 *2961:15 2.00914e-05 +12 *1054:7 *3037:12 8.39346e-05 +13 *1054:7 *3046:10 0.000219765 +*RES +1 mprj_dat_o_core[2] *1054:7 8.0852 +2 *1054:7 *22179:A 14.4725 +3 *1054:7 *4367:DIODE 16.7198 +*END + +*D_NET *1055 0.00257977 +*CONN +*P mprj_dat_o_core[30] I +*I *22180:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4368:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[30] 0.000276946 +2 *22180:A 4.61177e-05 +3 *4368:DIODE 0.000414103 +4 *1055:8 0.000737166 +5 *4368:DIODE *22181:A 0.000115934 +6 *4368:DIODE *22648:A 0.000113107 +7 *22180:A *22181:A 1.61631e-05 +8 *22180:A *1056:8 9.55447e-05 +9 *1055:8 user1_vcc_powergood 5.5213e-05 +10 *1055:8 *4378:DIODE 0.000342275 +11 *1055:8 *2964:8 7.50872e-05 +12 *1055:8 *3032:22 1.46079e-05 +13 *1055:8 *3045:11 5.54049e-05 +14 mprj_dat_i_core[30] *4368:DIODE 0.000110297 +15 mprj_dat_i_core[30] *1055:8 0 +16 *4366:DIODE *4368:DIODE 0.000111802 +17 *926:8 *1055:8 0 +*RES +1 mprj_dat_o_core[30] *1055:8 14.5074 +2 *1055:8 *4368:DIODE 19.4008 +3 *1055:8 *22180:A 10.5271 +*END + +*D_NET *1056 0.00272549 +*CONN +*P mprj_dat_o_core[31] I +*I *4369:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22181:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[31] 0.000663699 +2 *4369:DIODE 0.000210899 +3 *22181:A 2.56657e-05 +4 *1056:8 0.000900264 +5 *4369:DIODE *4378:DIODE 0.000170607 +6 *4369:DIODE *22649:A 0.000123582 +7 *4369:DIODE *2964:8 0.000337654 +8 *22181:A *3035:7 4.31539e-05 +9 *1056:8 *1096:8 0 +10 *1056:8 *3035:7 5.04829e-06 +11 mprj_dat_i_core[31] *1056:8 0 +12 *4297:DIODE *4369:DIODE 1.72799e-05 +13 *4368:DIODE *22181:A 0.000115934 +14 *22180:A *22181:A 1.61631e-05 +15 *22180:A *1056:8 9.55447e-05 +*RES +1 mprj_dat_o_core[31] *1056:8 21.5832 +2 *1056:8 *22181:A 10.5271 +3 *1056:8 *4369:DIODE 25.5117 +*END + +*D_NET *1057 0.00148533 +*CONN +*P mprj_dat_o_core[3] I +*I *22182:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4370:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[3] 0.000272924 +2 *22182:A 0 +3 *4370:DIODE 0.000193141 +4 *1057:8 0.000466065 +5 *4370:DIODE *4382:DIODE 0.000122098 +6 *4370:DIODE *22651:A 0 +7 *4370:DIODE *1100:5 0.000215043 +8 *1057:8 *1100:5 0 +9 *1057:8 *3037:11 0.000171288 +10 mprj_dat_i_core[3] *1057:8 0 +11 *4299:DIODE *4370:DIODE 4.2996e-05 +12 *928:5 *4370:DIODE 1.77537e-06 +*RES +1 mprj_dat_o_core[3] *1057:8 11.0569 +2 *1057:8 *4370:DIODE 24.2337 +3 *1057:8 *22182:A 9.24915 +*END + +*D_NET *1058 0.0019092 +*CONN +*P mprj_dat_o_core[4] I +*I *22183:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4371:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[4] 0.000272366 +2 *22183:A 8.4573e-05 +3 *4371:DIODE 0.000150457 +4 *1058:8 0.000507396 +5 *4371:DIODE *2967:10 3.34802e-05 +6 *4371:DIODE *2968:11 0.000333489 +7 *4371:DIODE *3039:13 0 +8 *22183:A *2968:11 0.000252715 +9 *1058:8 *2966:8 4.20262e-05 +10 *1058:8 *2968:11 6.50727e-05 +11 *1058:8 *3038:19 9.95208e-05 +12 mprj_dat_i_core[4] *1058:8 0 +13 mprj_dat_i_core[5] *4371:DIODE 6.81008e-05 +14 *929:5 *1058:8 0 +*RES +1 mprj_dat_o_core[4] *1058:8 11.6116 +2 *1058:8 *4371:DIODE 24.2337 +3 *1058:8 *22183:A 12.191 +*END + +*D_NET *1059 0.00234776 +*CONN +*P mprj_dat_o_core[5] I +*I *22184:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *4372:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[5] 0.000406069 +2 *22184:A 0 +3 *4372:DIODE 0.000274298 +4 *1059:20 0.000680367 +5 *4372:DIODE *4374:DIODE 0 +6 *4372:DIODE *22652:A 0 +7 *4372:DIODE *22653:A 0 +8 *4372:DIODE *1060:12 7.77309e-06 +9 *4372:DIODE *2969:9 2.65831e-05 +10 *1059:20 *3039:7 0.000222149 +11 *1059:20 *3039:13 2.12235e-05 +12 mprj_dat_i_core[5] *1059:20 1.50418e-05 +13 mprj_dat_i_core[6] *4372:DIODE 4.58124e-05 +14 mprj_dat_i_core[6] *1059:20 2.85807e-05 +15 *4301:DIODE *4372:DIODE 0.00015324 +16 *4301:DIODE *1059:20 0.000201774 +17 *930:8 *1059:20 0.000264847 +*RES +1 mprj_dat_o_core[5] *1059:20 18.7758 +2 *1059:20 *4372:DIODE 25.3723 +3 *1059:20 *22184:A 9.24915 +*END + +*D_NET *1060 0.00140296 +*CONN +*P mprj_dat_o_core[6] I +*I *4374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22186:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[6] 0.000261909 +2 *4374:DIODE 0.000304154 +3 *22186:A 0 +4 *1060:12 0.000566063 +5 *4374:DIODE *22653:A 5.04829e-06 +6 *4374:DIODE *2413:37 7.02416e-05 +7 *4374:DIODE *2969:9 9.8511e-05 +8 *1060:12 *3041:17 4.6012e-05 +9 mprj_dat_i_core[6] *1060:12 0 +10 *4301:DIODE *4374:DIODE 0 +11 *4301:DIODE *1060:12 8.62625e-06 +12 *4302:DIODE *4374:DIODE 3.14199e-05 +13 *4372:DIODE *4374:DIODE 0 +14 *4372:DIODE *1060:12 7.77309e-06 +15 *931:13 *4374:DIODE 3.20069e-06 +16 *931:13 *1060:12 0 +*RES +1 mprj_dat_o_core[6] *1060:12 10.2969 +2 *1060:12 *22186:A 9.24915 +3 *1060:12 *4374:DIODE 26.1013 +*END + +*D_NET *1061 0.00110117 +*CONN +*P mprj_dat_o_core[7] I +*I *4375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22187:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[7] 0.00015542 +2 *4375:DIODE 0.000195324 +3 *22187:A 8.75849e-05 +4 *1061:5 0.000438329 +5 *4375:DIODE *22654:A 4.80148e-05 +6 *4375:DIODE *2413:37 2.32834e-05 +7 *4375:DIODE *3042:15 0.00015321 +8 mprj_dat_i_core[7] *1061:5 0 +9 *931:13 *4375:DIODE 0 +10 *931:13 *1061:5 0 +11 *932:8 *4375:DIODE 0 +12 *932:8 *1061:5 0 +*RES +1 mprj_dat_o_core[7] *1061:5 3.19988 +2 *1061:5 *22187:A 15.5817 +3 *1061:5 *4375:DIODE 18.6595 +*END + +*D_NET *1062 0.00150415 +*CONN +*P mprj_dat_o_core[8] I +*I *4376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22188:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[8] 0.00022551 +2 *4376:DIODE 0.000198606 +3 *22188:A 0 +4 *1062:13 0.000424116 +5 *4376:DIODE *22656:A 0 +6 *4376:DIODE *2938:11 2.65831e-05 +7 *4376:DIODE *3043:15 0.000258933 +8 mprj_dat_i_core[8] *1062:13 0 +9 *4303:DIODE *4376:DIODE 2.17582e-05 +10 *4303:DIODE *1062:13 1.77537e-06 +11 *4304:DIODE *4376:DIODE 0.000142485 +12 *933:5 *4376:DIODE 1.07248e-05 +13 *933:5 *1062:13 0.000193659 +*RES +1 mprj_dat_o_core[8] *1062:13 7.64553 +2 *1062:13 *22188:A 13.7491 +3 *1062:13 *4376:DIODE 20.4571 +*END + +*D_NET *1063 0.00154505 +*CONN +*P mprj_dat_o_core[9] I +*I *4377:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22189:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[9] 0.000201833 +2 *4377:DIODE 0.000276515 +3 *22189:A 8.54079e-05 +4 *1063:7 0.000563755 +5 *4377:DIODE *22656:A 0 +6 *4377:DIODE *2938:11 4.31703e-05 +7 *4377:DIODE *3044:15 0.000338555 +8 mprj_dat_i_core[9] *4377:DIODE 0 +9 mprj_dat_i_core[9] *1063:7 0 +10 *22083:A *22189:A 2.65831e-05 +11 *903:5 *4377:DIODE 9.2346e-06 +12 *903:5 *1063:7 0 +*RES +1 mprj_dat_o_core[9] *1063:7 4.49449 +2 *1063:7 *22189:A 15.5817 +3 *1063:7 *4377:DIODE 21.4269 +*END + +*D_NET *1064 0.000870195 +*CONN +*P mprj_dat_o_user[0] O +*I *22657:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[0] 0.000357643 +2 *22657:X 0.000357643 +3 mprj_dat_o_user[0] mprj_sel_o_user[0] 0 +4 mprj_dat_o_user[0] *22657:A 5.92342e-05 +5 mprj_adr_o_user[0] mprj_dat_o_user[0] 0 +6 *4307:DIODE mprj_dat_o_user[0] 5.92342e-05 +7 *1000:14 mprj_dat_o_user[0] 3.64415e-05 +*RES +1 *22657:X mprj_dat_o_user[0] 23.3494 +*END + +*D_NET *1065 0.00198905 +*CONN +*P mprj_dat_o_user[10] O +*I *22658:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[10] 0.000388032 +2 *22658:X 0.000388032 +3 mprj_dat_o_user[10] *5111:DIODE 0.000312929 +4 mprj_dat_o_user[10] *5176:DIODE 2.65667e-05 +5 mprj_dat_o_user[10] *22658:A 2.57986e-05 +6 mprj_dat_o_user[10] *2364:18 0.000153564 +7 mprj_dat_o_user[10] *2435:18 0.000694131 +8 *1001:10 mprj_dat_o_user[10] 0 +*RES +1 *22658:X mprj_dat_o_user[10] 27.7778 +*END + +*D_NET *1066 0.00179537 +*CONN +*P mprj_dat_o_user[11] O +*I *22659:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[11] 0.000733254 +2 *22659:X 0.000733254 +3 mprj_dat_o_user[11] *2975:15 1.32543e-05 +4 mprj_dat_o_user[11] *2976:8 0 +5 mprj_dat_o_user[11] *3001:27 0.000249167 +6 mprj_adr_o_user[12] mprj_dat_o_user[11] 6.64392e-05 +7 *1002:7 mprj_dat_o_user[11] 0 +*RES +1 *22659:X mprj_dat_o_user[11] 28.2015 +*END + +*D_NET *1067 0.00122796 +*CONN +*P mprj_dat_o_user[12] O +*I *22660:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[12] 0.000439809 +2 *22660:X 0.000439809 +3 mprj_dat_o_user[12] *5177:DIODE 5.03412e-05 +4 mprj_dat_o_user[12] *2436:12 0.000149048 +5 mprj_dat_o_user[12] *2986:5 0.000122378 +6 mprj_adr_o_user[13] mprj_dat_o_user[12] 0 +7 *4310:DIODE mprj_dat_o_user[12] 2.65697e-05 +8 *1003:10 mprj_dat_o_user[12] 0 +*RES +1 *22660:X mprj_dat_o_user[12] 24.4558 +*END + +*D_NET *1068 0.00182957 +*CONN +*P mprj_dat_o_user[13] O +*I *22661:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[13] 0.000624286 +2 *22661:X 0.000624286 +3 mprj_dat_o_user[13] *2978:8 0 +4 mprj_dat_o_user[13] *2998:35 0.000580998 +5 *1004:5 mprj_dat_o_user[13] 0 +*RES +1 *22661:X mprj_dat_o_user[13] 26.1252 +*END + +*D_NET *1069 0.00130291 +*CONN +*P mprj_dat_o_user[14] O +*I *22662:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[14] 0.000551064 +2 *22662:X 0.000551064 +3 mprj_dat_o_user[14] *22661:A 2.52949e-05 +4 mprj_dat_o_user[14] *2986:5 0.000175485 +5 mprj_adr_o_user[15] mprj_dat_o_user[14] 0 +6 *4312:DIODE mprj_dat_o_user[14] 0 +7 *1005:8 mprj_dat_o_user[14] 0 +*RES +1 *22662:X mprj_dat_o_user[14] 25.0104 +*END + +*D_NET *1070 0.00196496 +*CONN +*P mprj_dat_o_user[15] O +*I *22663:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[15] 0.000425124 +2 *22663:X 0.000425124 +3 mprj_dat_o_user[15] *22599:A 7.31016e-05 +4 mprj_dat_o_user[15] *22663:A 4.77858e-05 +5 mprj_dat_o_user[15] *2979:8 0.000164643 +6 mprj_dat_o_user[15] *2998:35 0.000187335 +7 mprj_dat_o_user[15] *3001:29 0.000576786 +8 mprj_adr_o_user[16] mprj_dat_o_user[15] 0 +9 *1006:5 mprj_dat_o_user[15] 0 +10 *1007:12 mprj_dat_o_user[15] 6.50586e-05 +*RES +1 *22663:X mprj_dat_o_user[15] 37.3725 +*END + +*D_NET *1071 0.00120014 +*CONN +*P mprj_dat_o_user[16] O +*I *22664:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[16] 0.000511009 +2 *22664:X 0.000511009 +3 mprj_dat_o_user[16] *22663:A 3.07808e-05 +4 mprj_dat_o_user[16] *2986:5 0.000122378 +5 mprj_adr_o_user[17] mprj_dat_o_user[16] 0 +6 *4314:DIODE mprj_dat_o_user[16] 2.49672e-05 +7 *1007:12 mprj_dat_o_user[16] 0 +*RES +1 *22664:X mprj_dat_o_user[16] 24.4558 +*END + +*D_NET *1072 0.00211265 +*CONN +*P mprj_dat_o_user[17] O +*I *22665:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[17] 0.000332215 +2 *22665:X 0.000332215 +3 mprj_dat_o_user[17] *22601:A 1.77439e-05 +4 mprj_dat_o_user[17] *22665:A 4.77858e-05 +5 mprj_dat_o_user[17] *2338:17 0.00015685 +6 mprj_dat_o_user[17] *2981:8 0.000370047 +7 mprj_dat_o_user[17] *2998:35 0.000213934 +8 mprj_dat_o_user[17] *3001:29 0.0005768 +9 *1009:12 mprj_dat_o_user[17] 6.50586e-05 +*RES +1 *22665:X mprj_dat_o_user[17] 37.3725 +*END + +*D_NET *1073 0.00122624 +*CONN +*P mprj_dat_o_user[18] O +*I *22666:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[18] 0.000517385 +2 *22666:X 0.000517385 +3 mprj_dat_o_user[18] *22665:A 3.07808e-05 +4 mprj_dat_o_user[18] *2986:5 0.000118166 +5 mprj_adr_o_user[19] mprj_dat_o_user[18] 0 +6 *4316:DIODE mprj_dat_o_user[18] 4.25247e-05 +7 *1009:12 mprj_dat_o_user[18] 0 +*RES +1 *22666:X mprj_dat_o_user[18] 24.4558 +*END + +*D_NET *1074 0.00135139 +*CONN +*P mprj_dat_o_user[19] O +*I *22667:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[19] 0.000405029 +2 *22667:X 0.000405029 +3 mprj_dat_o_user[19] *22667:A 0 +4 mprj_dat_o_user[19] *2983:8 0.000370047 +5 mprj_dat_o_user[19] *3001:29 0.000171288 +6 mprj_adr_o_user[20] mprj_dat_o_user[19] 0 +7 *1010:5 mprj_dat_o_user[19] 0 +*RES +1 *22667:X mprj_dat_o_user[19] 23.7647 +*END + +*D_NET *1075 0.000784143 +*CONN +*P mprj_dat_o_user[1] O +*I *22668:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[1] 0.000353571 +2 *22668:X 0.000353571 +3 mprj_dat_o_user[1] mprj_sel_o_user[1] 0 +4 mprj_dat_o_user[1] *22603:A 6.42675e-05 +5 mprj_dat_o_user[1] *22668:A 1.27331e-05 +6 *1011:5 mprj_dat_o_user[1] 0 +*RES +1 *22668:X mprj_dat_o_user[1] 22.7948 +*END + +*D_NET *1076 0.00125149 +*CONN +*P mprj_dat_o_user[20] O +*I *22669:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[20] 0.000500681 +2 *22669:X 0.000500681 +3 mprj_dat_o_user[20] *2985:8 0 +4 mprj_dat_o_user[20] *2986:5 0.000115934 +5 mprj_adr_o_user[21] mprj_dat_o_user[20] 0 +6 *4320:DIODE mprj_dat_o_user[20] 0.000134191 +7 *1012:8 mprj_dat_o_user[20] 0 +*RES +1 *22669:X mprj_dat_o_user[20] 24.4558 +*END + +*D_NET *1077 0.00152478 +*CONN +*P mprj_dat_o_user[21] O +*I *22670:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[21] 0.000701202 +2 *22670:X 0.000701202 +3 mprj_dat_o_user[21] *22606:A 0 +4 mprj_dat_o_user[21] *2438:41 0.000122378 +5 mprj_adr_o_user[22] mprj_dat_o_user[21] 0 +6 *4321:DIODE mprj_dat_o_user[21] 0 +7 *1013:5 mprj_dat_o_user[21] 0 +*RES +1 *22670:X mprj_dat_o_user[21] 27.7778 +*END + +*D_NET *1078 0.00120379 +*CONN +*P mprj_dat_o_user[22] O +*I *22671:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[22] 0.000538164 +2 *22671:X 0.000538164 +3 mprj_dat_o_user[22] *2986:5 0.000117376 +4 mprj_dat_o_user[22] *2987:16 1.00824e-05 +5 mprj_adr_o_user[23] mprj_dat_o_user[22] 0 +6 *4322:DIODE mprj_dat_o_user[22] 0 +7 *1014:7 mprj_dat_o_user[22] 0 +*RES +1 *22671:X mprj_dat_o_user[22] 24.4558 +*END + +*D_NET *1079 0.00120592 +*CONN +*P mprj_dat_o_user[23] O +*I *22672:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[23] 0.000531316 +2 *22672:X 0.000531316 +3 mprj_dat_o_user[23] *2986:5 0.000121937 +4 mprj_dat_o_user[23] *2990:8 2.13521e-05 +5 mprj_adr_o_user[24] mprj_dat_o_user[23] 0 +6 *4323:DIODE mprj_dat_o_user[23] 0 +7 *1015:7 mprj_dat_o_user[23] 0 +*RES +1 *22672:X mprj_dat_o_user[23] 24.4558 +*END + +*D_NET *1080 0.00106388 +*CONN +*P mprj_dat_o_user[24] O +*I *22673:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[24] 0.00045375 +2 *22673:X 0.00045375 +3 mprj_dat_o_user[24] *22673:A 1.45067e-05 +4 mprj_dat_o_user[24] *2991:8 1.07248e-05 +5 mprj_dat_o_user[24] *3001:29 0.00011818 +6 mprj_adr_o_user[25] mprj_dat_o_user[24] 0 +7 *4324:DIODE mprj_dat_o_user[24] 1.29689e-05 +8 *1016:7 mprj_dat_o_user[24] 0 +*RES +1 *22673:X mprj_dat_o_user[24] 23.2101 +*END + +*D_NET *1081 0.00106576 +*CONN +*P mprj_dat_o_user[25] O +*I *22674:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[25] 0.000459549 +2 *22674:X 0.000459549 +3 mprj_dat_o_user[25] *22674:A 1.18458e-05 +4 mprj_dat_o_user[25] *2992:8 5.22654e-06 +5 mprj_dat_o_user[25] *3001:29 0.00011818 +6 mprj_adr_o_user[26] mprj_dat_o_user[25] 0 +7 *4325:DIODE mprj_dat_o_user[25] 1.14139e-05 +8 *1017:7 mprj_dat_o_user[25] 0 +*RES +1 *22674:X mprj_dat_o_user[25] 23.2101 +*END + +*D_NET *1082 0.00107513 +*CONN +*P mprj_dat_o_user[26] O +*I *22675:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[26] 0.000469938 +2 *22675:X 0.000469938 +3 mprj_dat_o_user[26] *22675:A 1.18458e-05 +4 mprj_dat_o_user[26] *2993:8 5.22654e-06 +5 mprj_dat_o_user[26] *3001:29 0.00011818 +6 mprj_adr_o_user[27] mprj_dat_o_user[26] 0 +7 *4326:DIODE mprj_dat_o_user[26] 0 +8 *1018:5 mprj_dat_o_user[26] 0 +*RES +1 *22675:X mprj_dat_o_user[26] 23.2101 +*END + +*D_NET *1083 0.00107513 +*CONN +*P mprj_dat_o_user[27] O +*I *22676:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[27] 0.000469938 +2 *22676:X 0.000469938 +3 mprj_dat_o_user[27] *22676:A 1.18458e-05 +4 mprj_dat_o_user[27] *2994:9 5.22654e-06 +5 mprj_dat_o_user[27] *3001:29 0.00011818 +6 mprj_adr_o_user[28] mprj_dat_o_user[27] 0 +7 *4327:DIODE mprj_dat_o_user[27] 0 +8 *1019:5 mprj_dat_o_user[27] 0 +*RES +1 *22676:X mprj_dat_o_user[27] 23.2101 +*END + +*D_NET *1084 0.00123957 +*CONN +*P mprj_dat_o_user[28] O +*I *22677:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[28] 0.000551611 +2 *22677:X 0.000551611 +3 mprj_dat_o_user[28] *2986:5 0.000118166 +4 mprj_dat_o_user[28] *2991:17 1.81797e-05 +5 mprj_adr_o_user[29] mprj_dat_o_user[28] 0 +6 *4329:DIODE mprj_dat_o_user[28] 0 +7 *1020:5 mprj_dat_o_user[28] 0 +*RES +1 *22677:X mprj_dat_o_user[28] 24.4558 +*END + +*D_NET *1085 0.00109354 +*CONN +*P mprj_dat_o_user[29] O +*I *22678:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[29] 0.000461106 +2 *22678:X 0.000461106 +3 mprj_dat_o_user[29] *22678:A 1.32543e-05 +4 mprj_dat_o_user[29] *2996:15 3.9897e-05 +5 mprj_dat_o_user[29] *3001:29 0.00011818 +6 mprj_adr_o_user[30] mprj_dat_o_user[29] 0 +7 *1021:7 mprj_dat_o_user[29] 0 +*RES +1 *22678:X mprj_dat_o_user[29] 23.2101 +*END + +*D_NET *1086 0.00134431 +*CONN +*P mprj_dat_o_user[2] O +*I *22679:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[2] 0.000323091 +2 *22679:X 0.000323091 +3 mprj_dat_o_user[2] mprj_sel_o_user[2] 0 +4 mprj_dat_o_user[2] *22614:A 3.25751e-05 +5 mprj_dat_o_user[2] *2317:13 0.00054962 +6 mprj_dat_o_user[2] *2986:5 0.000115934 +7 *1022:5 mprj_dat_o_user[2] 0 +*RES +1 *22679:X mprj_dat_o_user[2] 24.4558 +*END + +*D_NET *1087 0.00130026 +*CONN +*P mprj_dat_o_user[30] O +*I *22680:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[30] 0.000484522 +2 *22680:X 0.000484522 +3 mprj_dat_o_user[30] *22615:A 0 +4 mprj_dat_o_user[30] *1871:10 6.19798e-05 +5 mprj_dat_o_user[30] *2986:5 0.000122378 +6 mprj_dat_o_user[30] *2999:9 0.000146854 +7 mprj_adr_o_user[31] mprj_dat_o_user[30] 0 +8 *1023:5 mprj_dat_o_user[30] 0 +*RES +1 *22680:X mprj_dat_o_user[30] 24.4558 +*END + +*D_NET *1088 0.00216641 +*CONN +*P mprj_dat_o_user[31] O +*I *22681:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[31] 0.000821368 +2 *22681:X 0.000821368 +3 mprj_dat_o_user[31] *1870:10 0 +4 mprj_dat_o_user[31] *1872:8 0 +5 mprj_dat_o_user[31] *2986:13 0.000523679 +6 la_data_in_core[0] mprj_dat_o_user[31] 0 +7 *1024:5 mprj_dat_o_user[31] 0 +*RES +1 *22681:X mprj_dat_o_user[31] 28.8926 +*END + +*D_NET *1089 0.00106334 +*CONN +*P mprj_dat_o_user[3] O +*I *22682:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[3] 0.000470483 +2 *22682:X 0.000470483 +3 mprj_dat_o_user[3] mprj_sel_o_user[3] 0 +4 mprj_dat_o_user[3] *2986:5 0.000122378 +5 *4334:DIODE mprj_dat_o_user[3] 0 +6 *1025:7 mprj_dat_o_user[3] 0 +*RES +1 *22682:X mprj_dat_o_user[3] 24.4558 +*END + +*D_NET *1090 0.00081726 +*CONN +*P mprj_dat_o_user[4] O +*I *22683:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[4] 0.000307569 +2 *22683:X 0.000307569 +3 mprj_dat_o_user[4] *22618:A 1.36556e-05 +4 mprj_dat_o_user[4] *22683:A 5.41377e-05 +5 mprj_dat_o_user[4] *2998:10 6.92705e-05 +6 mprj_dat_o_user[4] *3001:11 6.50586e-05 +7 mprj_adr_o_user[5] mprj_dat_o_user[4] 0 +8 *1026:5 mprj_dat_o_user[4] 0 +*RES +1 *22683:X mprj_dat_o_user[4] 22.2402 +*END + +*D_NET *1091 0.000990128 +*CONN +*P mprj_dat_o_user[5] O +*I *22684:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[5] 0.000495064 +2 *22684:X 0.000495064 +3 mprj_adr_o_user[6] mprj_dat_o_user[5] 0 +4 *4336:DIODE mprj_dat_o_user[5] 0 +5 *1027:5 mprj_dat_o_user[5] 0 +*RES +1 *22684:X mprj_dat_o_user[5] 25.0342 +*END + +*D_NET *1092 0.00123722 +*CONN +*P mprj_dat_o_user[6] O +*I *22685:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[6] 0.000422016 +2 *22685:X 0.000422016 +3 mprj_dat_o_user[6] *22620:A 0.000140425 +4 mprj_dat_o_user[6] *22621:A 0.000136827 +5 mprj_dat_o_user[6] *2986:5 0.000115934 +6 mprj_adr_o_user[6] mprj_dat_o_user[6] 0 +7 mprj_adr_o_user[7] mprj_dat_o_user[6] 0 +8 *1028:10 mprj_dat_o_user[6] 0 +*RES +1 *22685:X mprj_dat_o_user[6] 24.4558 +*END + +*D_NET *1093 0.00235461 +*CONN +*P mprj_dat_o_user[7] O +*I *22686:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[7] 0.000625356 +2 *22686:X 0.000625356 +3 mprj_dat_o_user[7] *22622:A 7.75615e-05 +4 mprj_dat_o_user[7] *2327:17 0.000466419 +5 mprj_dat_o_user[7] *3001:11 0.000217937 +6 mprj_dat_o_user[7] *3001:27 0.000113392 +7 mprj_adr_o_user[8] mprj_dat_o_user[7] 0.000228593 +8 *1029:5 mprj_dat_o_user[7] 0 +*RES +1 *22686:X mprj_dat_o_user[7] 29.3107 +*END + +*D_NET *1094 0.00139595 +*CONN +*P mprj_dat_o_user[8] O +*I *22687:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[8] 0.000370528 +2 *22687:X 0.000370528 +3 mprj_dat_o_user[8] *5204:DIODE 0.000137839 +4 mprj_dat_o_user[8] *2467:16 0.000394678 +5 mprj_dat_o_user[8] *2986:5 0.000122378 +6 mprj_adr_o_user[9] mprj_dat_o_user[8] 0 +*RES +1 *22687:X mprj_dat_o_user[8] 24.4558 +*END + +*D_NET *1095 0.00129496 +*CONN +*P mprj_dat_o_user[9] O +*I *22688:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_user[9] 0.000631201 +2 *22688:X 0.000631201 +3 mprj_dat_o_user[9] *3002:9 3.25584e-05 +4 mprj_dat_o_user[9] *3007:17 0 +5 mprj_adr_o_user[10] mprj_dat_o_user[9] 0 +6 *4341:DIODE mprj_dat_o_user[9] 0 +7 *1031:7 mprj_dat_o_user[9] 0 +*RES +1 *22688:X mprj_dat_o_user[9] 27.7778 +*END + +*D_NET *1096 0.00307573 +*CONN +*P mprj_iena_wb I +*I *22190:A I *D sky130_fd_sc_hd__buf_6 +*I *4378:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_iena_wb 0.000465155 +2 *22190:A 0 +3 *4378:DIODE 0.00050425 +4 *1096:8 0.000969405 +5 *4378:DIODE user1_vcc_powergood 0.000107496 +6 *4378:DIODE *22649:A 2.16355e-05 +7 *4378:DIODE *2964:8 1.37925e-05 +8 *4378:DIODE *3032:22 0.000125761 +9 *1096:8 *3032:22 1.92172e-05 +10 *4297:DIODE *4378:DIODE 0.00033614 +11 *4369:DIODE *4378:DIODE 0.000170607 +12 *1055:8 *4378:DIODE 0.000342275 +13 *1056:8 *1096:8 0 +*RES +1 mprj_iena_wb *1096:8 16.0484 +2 *1096:8 *4378:DIODE 34.4092 +3 *1096:8 *22190:A 9.24915 +*END + +*D_NET *1097 0.00175181 +*CONN +*P mprj_sel_o_core[0] I +*I *4379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22191:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_sel_o_core[0] 0.000235761 +2 *4379:DIODE 0.000186222 +3 *22191:A 0 +4 *1097:8 0.000421983 +5 *4379:DIODE *2815:11 6.36477e-05 +6 *4379:DIODE *2825:14 0.000258531 +7 *4379:DIODE *2949:6 7.86847e-05 +8 *1097:8 *2815:11 0.000265306 +9 *1097:8 *2937:8 0.000126547 +10 mprj_dat_i_core[1] *4379:DIODE 0.000101449 +11 *913:5 *4379:DIODE 1.36815e-05 +12 *913:5 *1097:8 0 +*RES +1 mprj_sel_o_core[0] *1097:8 11.0569 +2 *1097:8 *22191:A 9.24915 +3 *1097:8 *4379:DIODE 24.9571 +*END + +*D_NET *1098 0.00166891 +*CONN +*P mprj_sel_o_core[1] I +*I *4380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22192:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_sel_o_core[1] 0.000320486 +2 *4380:DIODE 0.00019933 +3 *22192:A 8.15583e-05 +4 *1098:5 0.000601375 +5 *4380:DIODE *1145:5 3.24105e-05 +6 *4380:DIODE *2815:12 4.72779e-05 +7 *22192:A *3049:11 0.000210077 +8 *1098:5 *2815:12 6.95777e-05 +9 *1098:5 *2821:12 4.84766e-05 +10 *4354:DIODE *4380:DIODE 4.44611e-05 +11 *4354:DIODE *1098:5 2.81678e-06 +12 *1043:9 *1098:5 1.10604e-05 +*RES +1 mprj_sel_o_core[1] *1098:5 7.35241 +2 *1098:5 *22192:A 16.1364 +3 *1098:5 *4380:DIODE 17.829 +*END + +*D_NET *1099 0.00131294 +*CONN +*P mprj_sel_o_core[2] I +*I *4381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22193:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_sel_o_core[2] 0.000293559 +2 *4381:DIODE 0.000146216 +3 *22193:A 2.31637e-05 +4 *1099:5 0.000462938 +5 *4381:DIODE *22650:A 0 +6 *4381:DIODE *1173:7 5.07314e-05 +7 *4381:DIODE *3034:6 7.86847e-05 +8 *4381:DIODE *3037:12 1.87269e-05 +9 *22193:A *3049:11 6.50727e-05 +10 *1099:5 *2961:15 8.62048e-05 +11 *1099:5 *2965:15 0 +12 *1099:5 *3037:12 8.76418e-05 +13 *4298:DIODE *1099:5 0 +14 *927:7 *1099:5 0 +15 *1054:7 *1099:5 0 +*RES +1 mprj_sel_o_core[2] *1099:5 7.35241 +2 *1099:5 *22193:A 14.4725 +3 *1099:5 *4381:DIODE 17.2744 +*END + +*D_NET *1100 0.00187319 +*CONN +*P mprj_sel_o_core[3] I +*I *4382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22194:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_sel_o_core[3] 0.00028161 +2 *4382:DIODE 0.000185691 +3 *22194:A 4.50421e-05 +4 *1100:5 0.000512343 +5 *4382:DIODE *22651:A 0 +6 *4382:DIODE *1173:7 0.000273166 +7 *4382:DIODE *2413:36 0 +8 *4382:DIODE *2965:15 0 +9 *22194:A *2413:37 0.000161243 +10 *22194:A *3049:11 6.08467e-05 +11 *1100:5 *2965:15 0 +12 *1100:5 *3038:19 1.61138e-05 +13 mprj_dat_i_core[3] *1100:5 0 +14 *4370:DIODE *4382:DIODE 0.000122098 +15 *4370:DIODE *1100:5 0.000215043 +16 *928:5 *1100:5 0 +17 *1057:8 *1100:5 0 +*RES +1 mprj_sel_o_core[3] *1100:5 7.35241 +2 *1100:5 *22194:A 15.5817 +3 *1100:5 *4382:DIODE 19.3535 +*END + +*D_NET *1101 0.000915518 +*CONN +*P mprj_sel_o_user[0] O +*I *22689:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_sel_o_user[0] 0.000456553 +2 *22689:X 0.000456553 +3 mprj_sel_o_user[0] *22689:A 2.41274e-06 +4 mprj_adr_o_user[1] mprj_sel_o_user[0] 0 +5 mprj_dat_o_user[0] mprj_sel_o_user[0] 0 +6 *4307:DIODE mprj_sel_o_user[0] 0 +7 *1011:5 mprj_sel_o_user[0] 0 +*RES +1 *22689:X mprj_sel_o_user[0] 24.805 +*END + +*D_NET *1102 0.00174147 +*CONN +*P mprj_sel_o_user[1] O +*I *22690:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_sel_o_user[1] 0.00067081 +2 *22690:X 0.00067081 +3 mprj_sel_o_user[1] *5208:DIODE 7.97944e-05 +4 mprj_sel_o_user[1] *22668:A 0.000320057 +5 mprj_adr_o_user[2] mprj_sel_o_user[1] 0 +6 mprj_dat_o_user[1] mprj_sel_o_user[1] 0 +7 *4331:DIODE mprj_sel_o_user[1] 0 +*RES +1 *22690:X mprj_sel_o_user[1] 29.9962 +*END + +*D_NET *1103 0.00108748 +*CONN +*P mprj_sel_o_user[2] O +*I *22691:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_sel_o_user[2] 0.000482552 +2 *22691:X 0.000482552 +3 mprj_sel_o_user[2] *22617:A 0 +4 mprj_sel_o_user[2] *2317:13 0 +5 mprj_sel_o_user[2] *2986:5 0.000122378 +6 mprj_adr_o_user[3] mprj_sel_o_user[2] 0 +7 mprj_dat_o_user[2] mprj_sel_o_user[2] 0 +*RES +1 *22691:X mprj_sel_o_user[2] 24.4558 +*END + +*D_NET *1104 0.000906298 +*CONN +*P mprj_sel_o_user[3] O +*I *22692:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_sel_o_user[3] 0.000453149 +2 *22692:X 0.000453149 +3 mprj_adr_o_user[4] mprj_sel_o_user[3] 0 +4 mprj_dat_o_user[3] mprj_sel_o_user[3] 0 +5 *22147:A mprj_sel_o_user[3] 0 +6 *1026:5 mprj_sel_o_user[3] 0 +*RES +1 *22692:X mprj_sel_o_user[3] 24.4724 +*END + +*D_NET *1105 0.00135666 +*CONN +*P mprj_stb_o_core I +*I *4383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22195:A I *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 mprj_stb_o_core 0.000257163 +2 *4383:DIODE 0.00014475 +3 *22195:A 0 +4 *1105:7 0.000401913 +5 *4383:DIODE *1107:5 2.82537e-05 +6 *4383:DIODE *2972:8 0.000250599 +7 *4383:DIODE *3050:8 0.000254196 +8 *1105:7 *1107:5 0 +9 *4305:DIODE *4383:DIODE 1.11594e-05 +10 *4305:DIODE *1105:7 8.62625e-06 +11 *966:8 *1105:7 0 +*RES +1 mprj_stb_o_core *1105:7 4.90975 +2 *1105:7 *22195:A 13.7491 +3 *1105:7 *4383:DIODE 19.7337 +*END + +*D_NET *1106 0.000925797 +*CONN +*P mprj_stb_o_user O +*I *22693:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_stb_o_user 0.000435075 +2 *22693:X 0.000435075 +3 mprj_stb_o_user mprj_we_o_user 0 +4 mprj_stb_o_user *22624:A 5.56461e-05 +5 mprj_cyc_o_user mprj_stb_o_user 0 +*RES +1 *22693:X mprj_stb_o_user 24.4558 +*END + +*D_NET *1107 0.00183186 +*CONN +*P mprj_we_o_core I +*I *4385:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22197:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_we_o_core 0.000236114 +2 *4385:DIODE 0.000133192 +3 *22197:A 3.20361e-05 +4 *1107:5 0.000401342 +5 *4385:DIODE *2810:12 0.000118485 +6 *4385:DIODE *2824:13 3.82228e-05 +7 *4385:DIODE *3050:8 0.00011497 +8 *22197:A *3047:10 6.73186e-05 +9 *22197:A *3049:11 0.000164829 +10 *1107:5 *2810:12 0.000357868 +11 *1107:5 *3050:8 0.000139226 +12 *4383:DIODE *1107:5 2.82537e-05 +13 *1105:7 *1107:5 0 +*RES +1 mprj_we_o_core *1107:5 7.35241 +2 *1107:5 *22197:A 15.5817 +3 *1107:5 *4385:DIODE 17.2744 +*END + +*D_NET *1108 0.000956857 +*CONN +*P mprj_we_o_user O +*I *22694:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_we_o_user 0.000402133 +2 *22694:X 0.000402133 +3 mprj_we_o_user *22592:A 0 +4 mprj_we_o_user *22624:A 5.56461e-05 +5 mprj_we_o_user *2936:8 9.69453e-05 +6 mprj_adr_o_user[0] mprj_we_o_user 0 +7 mprj_stb_o_user mprj_we_o_user 0 +*RES +1 *22694:X mprj_we_o_user 24.4558 +*END + +*D_NET *1109 0.00201662 +*CONN +*P user1_vcc_powergood O +*I *22695:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user1_vcc_powergood 0.000640261 +2 *22695:X 0.000640261 +3 user1_vcc_powergood *2964:8 7.37879e-05 +4 user1_vcc_powergood *3033:10 0.000139215 +5 user1_vcc_powergood *3035:8 1.2693e-05 +6 user1_vcc_powergood *3036:8 7.50722e-05 +7 user1_vcc_powergood *3045:11 1.23869e-05 +8 mprj_dat_i_core[30] user1_vcc_powergood 0.000260239 +9 *4378:DIODE user1_vcc_powergood 0.000107496 +10 *1055:8 user1_vcc_powergood 5.5213e-05 +*RES +1 *22695:X user1_vcc_powergood 28.2104 +*END + +*D_NET *1110 0.000634049 +*CONN +*P user1_vdd_powergood O +*I *22696:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user1_vdd_powergood 0.00023688 +2 *22696:X 0.00023688 +3 user1_vdd_powergood *2425:12 0.000112657 +4 user1_vdd_powergood *3032:24 4.76318e-05 +*RES +1 *22696:X user1_vdd_powergood 19.8327 +*END + +*D_NET *1111 0.00440382 +*CONN +*P user2_vcc_powergood O +*I *22697:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user2_vcc_powergood 0.00201981 +2 *22697:X 0.00201981 +3 user2_vcc_powergood *22697:A 2.16355e-05 +4 user2_vcc_powergood *2422:34 0.000157687 +5 user2_vcc_powergood *2478:5 0.000179834 +6 user2_vcc_powergood *2478:9 5.04829e-06 +*RES +1 *22697:X user2_vcc_powergood 44.5235 +*END + +*D_NET *1112 0.00328613 +*CONN +*P user2_vdd_powergood O +*I *22698:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user2_vdd_powergood 0.000735605 +2 *22698:X 0.000735605 +3 user2_vdd_powergood user_irq[0] 1.07248e-05 +4 user2_vdd_powergood *2424:19 3.52699e-05 +5 user2_vdd_powergood *2480:12 0.000149628 +6 user2_vdd_powergood *2964:8 0.000245754 +7 user2_vdd_powergood *2964:11 1.82679e-05 +8 user2_vdd_powergood *3035:8 0.000536394 +9 user2_vdd_powergood *3036:12 0.000522452 +10 user2_vdd_powergood *3036:17 0.000296427 +*RES +1 *22698:X user2_vdd_powergood 39.4883 +*END + +*D_NET *1113 0.00189325 +*CONN +*P user_clock O +*I *22699:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user_clock 0.000784622 +2 *22699:X 0.000784622 +3 user_clock user_reset 4.65017e-05 +4 *4269:DIODE user_clock 0.000277502 +*RES +1 *22699:X user_clock 34.4331 +*END + +*D_NET *1114 0.000946426 +*CONN +*P user_clock2 O +*I *22700:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user_clock2 0.000389148 +2 *22700:X 0.000389148 +3 user_clock2 *1118:11 0 +4 user_clock2 *1119:10 1.31657e-05 +5 user_clock2 *1123:10 0.000154965 +6 la_oenb_core[127] user_clock2 0 +*RES +1 *22700:X user_clock2 23.9012 +*END + +*D_NET *1115 0.000857098 +*CONN +*P user_irq[0] O +*I *22701:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user_irq[0] 0.000399075 +2 *22701:X 0.000399075 +3 user_irq[0] *2480:12 1.41396e-05 +4 user_irq[0] *3033:12 3.42037e-06 +5 user_irq[0] *3036:17 3.06627e-05 +6 user2_vdd_powergood user_irq[0] 1.07248e-05 +*RES +1 *22701:X user_irq[0] 19.0458 +*END + +*D_NET *1116 0.000449575 +*CONN +*P user_irq[1] O +*I *22702:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user_irq[1] 0.000213431 +2 *22702:X 0.000213431 +3 user_irq[1] *2425:12 2.27135e-05 +*RES +1 *22702:X user_irq[1] 18.1717 +*END + +*D_NET *1117 0.000434898 +*CONN +*P user_irq[2] O +*I *22703:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user_irq[2] 0.000206092 +2 *22703:X 0.000206092 +3 user_irq[2] *2425:12 2.27135e-05 +*RES +1 *22703:X user_irq[2] 18.1717 +*END + +*D_NET *1118 0.00231388 +*CONN +*P user_irq_core[0] I +*I *22198:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4386:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_core[0] 0.000642982 +2 *22198:A 0 +3 *4386:DIODE 8.20872e-05 +4 *1118:11 0.000725069 +5 *4386:DIODE *4391:DIODE 0.000115934 +6 *4386:DIODE *22991:A 0.000143047 +7 *4386:DIODE *1123:10 0.000148159 +8 *4386:DIODE *3058:5 6.50586e-05 +9 *1118:11 *22991:A 0.00013592 +10 *1118:11 *1119:10 0 +11 *1118:11 *1123:10 0.000148129 +12 la_oenb_core[127] *1118:11 0.000107496 +13 user_clock2 *1118:11 0 +*RES +1 user_irq_core[0] *1118:11 23.8964 +2 *1118:11 *4386:DIODE 17.6896 +3 *1118:11 *22198:A 13.7491 +*END + +*D_NET *1119 0.00232656 +*CONN +*P user_irq_core[1] I +*I *22199:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4387:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_core[1] 0.000779415 +2 *22199:A 0 +3 *4387:DIODE 4.66101e-05 +4 *1119:10 0.000826025 +5 *4387:DIODE *22700:A 0.000374968 +6 *4387:DIODE *3053:11 0.00015789 +7 *1119:10 *22700:A 5.92206e-05 +8 *1119:10 *1120:8 0 +9 *1119:10 *3053:11 6.92705e-05 +10 user_clock2 *1119:10 1.31657e-05 +11 *1118:11 *1119:10 0 +*RES +1 user_irq_core[1] *1119:10 24.6562 +2 *1119:10 *4387:DIODE 13.3002 +3 *1119:10 *22199:A 9.24915 +*END + +*D_NET *1120 0.00177686 +*CONN +*P user_irq_core[2] I +*I *22200:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4388:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_core[2] 0.000772793 +2 *22200:A 0 +3 *4388:DIODE 0.000114748 +4 *1120:8 0.000887541 +5 *4388:DIODE *22991:A 0 +6 *4388:DIODE *1123:10 1.77537e-06 +7 *1119:10 *1120:8 0 +*RES +1 user_irq_core[2] *1120:8 25.4598 +2 *1120:8 *4388:DIODE 20.9116 +3 *1120:8 *22200:A 9.24915 +*END + +*D_NET *1121 0.000737298 +*CONN +*P user_irq_ena[0] I +*I *22201:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4389:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_ena[0] 0.000247905 +2 *22201:A 0 +3 *4389:DIODE 8.78631e-05 +4 *1121:10 0.000335768 +5 *4389:DIODE *3056:11 2.16355e-05 +6 *1121:10 *2425:12 4.41269e-05 +*RES +1 user_irq_ena[0] *1121:10 10.507 +2 *1121:10 *4389:DIODE 11.0817 +3 *1121:10 *22201:A 9.24915 +*END + +*D_NET *1122 0.00069447 +*CONN +*P user_irq_ena[1] I +*I *22202:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4390:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_ena[1] 0.000235152 +2 *22202:A 0 +3 *4390:DIODE 9.17253e-05 +4 *1122:10 0.000326877 +5 *1122:10 *2425:10 1.45944e-05 +6 *1122:10 *3057:9 2.61208e-05 +*RES +1 user_irq_ena[1] *1122:10 10.507 +2 *1122:10 *4390:DIODE 11.0817 +3 *1122:10 *22202:A 9.24915 +*END + +*D_NET *1123 0.00209935 +*CONN +*P user_irq_ena[2] I +*I *22203:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *4391:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_ena[2] 0.000488205 +2 *22203:A 0 +3 *4391:DIODE 8.83879e-05 +4 *1123:10 0.000576593 +5 *4391:DIODE *3055:11 0.000205991 +6 *4391:DIODE *3058:5 0.000133742 +7 *1123:10 *22991:A 3.74738e-05 +8 la_oenb_core[127] *1123:10 0 +9 user_clock2 *1123:10 0.000154965 +10 *4386:DIODE *4391:DIODE 0.000115934 +11 *4386:DIODE *1123:10 0.000148159 +12 *4388:DIODE *1123:10 1.77537e-06 +13 *1118:11 *1123:10 0.000148129 +*RES +1 user_irq_ena[2] *1123:10 15.7195 +2 *1123:10 *4391:DIODE 14.4094 +3 *1123:10 *22203:A 9.24915 +*END + +*D_NET *1124 0.00177035 +*CONN +*P user_reset O +*I *22704:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 user_reset 0.000793194 +2 *22704:X 0.000793194 +3 user_reset *22699:A 2.65831e-05 +4 user_clock user_reset 4.65017e-05 +5 *4269:DIODE user_reset 0.000110876 +6 *901:5 user_reset 0 +*RES +1 *22704:X user_reset 36.0941 +*END + +*D_NET *1135 0.00403534 +*CONN +*I *22536:A I *D sky130_fd_sc_hd__einvp_4 +*I *5014:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21351:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22536:A 0 +2 *5014:DIODE 4.72863e-05 +3 *21351:Y 7.08815e-05 +4 *1135:11 0.0017668 +5 *1135:7 0.0017904 +6 *5014:DIODE *2481:7 0.000171904 +7 *1135:7 *2347:9 0.000118796 +8 *1135:11 *2481:7 6.92705e-05 +*RES +1 *21351:Y *1135:7 15.0271 +2 *1135:7 *1135:11 49.5533 +3 *1135:11 *5014:DIODE 11.0817 +4 *1135:11 *22536:A 9.24915 +*END + +*D_NET *1136 0.0514142 +*CONN +*I *22535:A I *D sky130_fd_sc_hd__einvp_8 +*I *5012:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21352:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22535:A 0.00013895 +2 *5012:DIODE 0 +3 *21352:Y 0.000120537 +4 *1136:15 0.003642 +5 *1136:14 0.00375198 +6 *1136:9 0.0140216 +7 *1136:8 0.0138932 +8 *22535:A *3097:24 4.66876e-05 +9 *1136:8 *1247:9 5.22654e-06 +10 *1136:8 *1759:9 5.80086e-05 +11 *1136:9 *3615:DIODE 2.85274e-05 +12 *1136:9 *4434:DIODE 2.82583e-05 +13 *1136:9 *4435:DIODE 1.83795e-06 +14 *1136:9 *1208:11 4.26566e-05 +15 *1136:9 *1242:11 0.000695889 +16 *1136:9 *1335:10 6.50727e-05 +17 *1136:9 *1430:7 0.00122048 +18 *1136:9 *1430:11 0.00155208 +19 *1136:9 *1430:13 3.59302e-05 +20 *1136:9 *2331:12 0.000304095 +21 *1136:9 *2842:44 0.00331261 +22 *1136:9 *3059:7 0.0022209 +23 *1136:9 *3059:15 0.00125047 +24 *1136:9 *3093:5 0.00293181 +25 *1136:14 *1257:8 2.44492e-05 +26 *1136:14 *3138:47 0 +27 *1136:15 *2339:8 0.000115848 +28 *1136:15 *3097:15 0.00134039 +29 *1136:15 *3097:24 0.000564739 +*RES +1 *21352:Y *1136:8 21.7421 +2 *1136:8 *1136:9 237.539 +3 *1136:9 *1136:14 13.7388 +4 *1136:14 *1136:15 57.8476 +5 *1136:15 *5012:DIODE 9.24915 +6 *1136:15 *22535:A 12.0704 +*END + +*D_NET *1137 0.084872 +*CONN +*I *5016:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22537:A I *D sky130_fd_sc_hd__einvp_8 +*I *21353:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5016:DIODE 0 +2 *22537:A 5.11755e-05 +3 *21353:Y 0 +4 *1137:71 0.000910637 +5 *1137:65 0.00176777 +6 *1137:61 0.00142033 +7 *1137:51 0.00149114 +8 *1137:50 0.00108343 +9 *1137:45 0.00318051 +10 *1137:44 0.00433508 +11 *1137:35 0.00371872 +12 *1137:32 0.00278682 +13 *1137:21 0.00106392 +14 *1137:19 0.000896454 +15 *1137:13 0.00089194 +16 *1137:5 0.00252092 +17 *1137:4 0.0017885 +18 *22537:A *2470:31 0.000228593 +19 *22537:A *3147:25 6.08167e-05 +20 *1137:5 *1192:23 0.00489441 +21 *1137:5 *1192:35 0.000623326 +22 *1137:5 *1231:11 0.000683015 +23 *1137:5 *2356:11 0.00160893 +24 *1137:13 *22576:A 3.57059e-05 +25 *1137:13 *22576:TE 0.000118166 +26 *1137:13 *1192:35 0.000280393 +27 *1137:13 *1193:21 0.00142484 +28 *1137:13 *1196:26 0.000969769 +29 *1137:13 *2345:48 1.67988e-05 +30 *1137:13 *2345:50 0.00335854 +31 *1137:19 *3702:DIODE 0.000171288 +32 *1137:19 *1193:21 0.000104029 +33 *1137:19 *2345:48 0.000644079 +34 *1137:21 *4909:DIODE 0.000201309 +35 *1137:21 *22559:A 0.000171273 +36 *1137:21 *1193:21 0.00505233 +37 *1137:21 *1946:80 0.0029481 +38 *1137:21 *2345:48 0.000339654 +39 *1137:32 *4899:DIODE 0.000175485 +40 *1137:32 *22476:B 7.22263e-05 +41 *1137:32 *1942:29 2.55314e-05 +42 *1137:32 *2314:25 9.59051e-06 +43 *1137:32 *2316:34 0.000264586 +44 *1137:32 *2345:36 0.000587442 +45 *1137:32 *2472:6 2.39126e-05 +46 *1137:32 *2909:22 1.92302e-05 +47 *1137:32 *2909:40 4.49912e-05 +48 *1137:32 *3139:23 0 +49 *1137:32 *3191:8 1.03079e-05 +50 *1137:35 *2316:33 0.00197202 +51 *1137:35 *2323:30 0.00207569 +52 *1137:44 *1161:53 0.0014496 +53 *1137:44 *1321:10 0.000103348 +54 *1137:44 *2085:31 0.000316656 +55 *1137:44 *2920:87 0.000119505 +56 *1137:44 *3187:10 0 +57 *1137:44 *3461:6 0 +58 *1137:45 *1183:19 0.005085 +59 *1137:50 *5021:DIODE 2.33193e-05 +60 *1137:50 *2304:17 4.42033e-05 +61 *1137:50 *2467:8 0 +62 *1137:51 *3487:DIODE 8.82627e-05 +63 *1137:51 *22473:A_N 0.000136248 +64 *1137:51 *2304:10 0.000184939 +65 *1137:51 *2304:17 0.000508637 +66 *1137:51 *2313:8 0.00144513 +67 *1137:51 *3445:9 0.000683754 +68 *1137:51 *3445:13 0.00322052 +69 *1137:61 *3487:DIODE 7.54269e-06 +70 *1137:61 *21297:A 7.85235e-05 +71 *1137:61 *2333:14 0.000133887 +72 *1137:61 *2903:54 0.00120192 +73 *1137:61 *3147:31 0.0021553 +74 *1137:61 *3445:9 0.000927209 +75 *1137:65 *4880:DIODE 6.50727e-05 +76 *1137:65 *2134:19 0.000194418 +77 *1137:65 *2903:54 0.00252718 +78 *1137:65 *3147:29 0.00184262 +79 *1137:65 *3147:31 0.00107345 +80 *1137:71 *23565:A 0.000561763 +81 *1137:71 *23565:TE 0.000111722 +82 *1137:71 *1305:16 0.000708183 +83 *1137:71 *2333:14 0.000202038 +84 *1137:71 *2470:31 0.00166493 +85 *1137:71 *3147:25 0.000756252 +86 *1137:71 *3147:29 0.000127174 +*RES +1 *21353:Y *1137:4 9.24915 +2 *1137:4 *1137:5 68.9396 +3 *1137:5 *1137:13 49.7455 +4 *1137:13 *1137:19 8.39139 +5 *1137:19 *1137:21 55.0746 +6 *1137:21 *1137:32 29.5944 +7 *1137:32 *1137:35 48.4825 +8 *1137:35 *1137:44 38.26 +9 *1137:44 *1137:45 55.0746 +10 *1137:45 *1137:50 11.2472 +11 *1137:50 *1137:51 52.8561 +12 *1137:51 *1137:61 36.1819 +13 *1137:61 *1137:65 46.5023 +14 *1137:65 *1137:71 35.8563 +15 *1137:71 *22537:A 12.2151 +16 *1137:71 *5016:DIODE 9.24915 +*END + +*D_NET *1138 0.0383188 +*CONN +*I *22577:A I *D sky130_fd_sc_hd__einvp_8 +*I *5093:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21354:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22577:A 9.78867e-05 +2 *5093:DIODE 0 +3 *21354:Y 1.452e-05 +4 *1138:34 0.00682358 +5 *1138:22 0.00831211 +6 *1138:7 0.00283299 +7 *1138:5 0.00126108 +8 *22577:A *1948:84 0.000217151 +9 *22577:A *2291:22 2.57847e-05 +10 *1138:5 *2639:21 3.66773e-05 +11 *1138:7 *1156:11 0.000133887 +12 *1138:7 *2491:31 0.00073823 +13 *1138:7 *2512:18 1.41976e-05 +14 *1138:7 *2624:35 1.41689e-05 +15 *1138:7 *2639:21 0.00347887 +16 *1138:7 *3338:12 0.00140698 +17 *1138:22 *5984:DIODE 0.000113107 +18 *1138:22 *5985:DIODE 1.41853e-05 +19 *1138:22 *1714:9 0 +20 *1138:22 *2253:44 0.000224381 +21 *1138:22 *2494:35 0.000141416 +22 *1138:22 *2787:9 0.000198857 +23 *1138:22 *2924:19 0.00024529 +24 *1138:22 *3439:26 2.33103e-06 +25 *1138:22 *3439:31 0.000724166 +26 *1138:34 *1991:30 0.00469123 +27 *1138:34 *2289:17 1.91391e-05 +28 *1138:34 *2291:22 1.77537e-06 +29 *1138:34 *2322:18 0.000104754 +30 *1138:34 *2328:18 0.000108986 +31 *1138:34 *2479:45 0.00160799 +32 *1138:34 *3306:21 0.00411622 +33 *1138:34 *3350:47 0.00059689 +*RES +1 *21354:Y *1138:5 9.82786 +2 *1138:5 *1138:7 47.3101 +3 *1138:7 *1138:22 47.854 +4 *1138:22 *1138:34 26.5105 +5 *1138:34 *5093:DIODE 9.24915 +6 *1138:34 *22577:A 12.625 +*END + +*D_NET *1139 0.00552813 +*CONN +*I *5096:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22579:A I *D sky130_fd_sc_hd__einvp_8 +*I *21355:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *5096:DIODE 0 +2 *22579:A 0.000219701 +3 *21355:Y 0.00143901 +4 *1139:9 0.00165872 +5 *22579:A *1181:19 0.000210043 +6 *22579:A *1201:5 6.50727e-05 +7 *22579:A *1201:16 2.77564e-05 +8 *22579:A *1201:17 2.16355e-05 +9 *22579:A *2814:6 7.47581e-05 +10 *22579:A *3194:8 0 +11 *1139:9 *23238:B 0.000189712 +12 *1139:9 *23360:A 4.03502e-05 +13 *1139:9 *1201:5 0.00148753 +14 *1139:9 *1607:10 7.09243e-05 +15 *1139:9 *2423:14 0 +16 *1139:9 *2573:12 2.29151e-05 +17 *1139:9 *2585:12 0 +*RES +1 *21355:Y *1139:9 45.8842 +2 *1139:9 *22579:A 23.9098 +3 *1139:9 *5096:DIODE 9.24915 +*END + +*D_NET *1140 0.018334 +*CONN +*I *22573:A I *D sky130_fd_sc_hd__einvp_8 +*I *5085:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21356:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22573:A 7.55555e-05 +2 *5085:DIODE 0 +3 *21356:Y 0.000200499 +4 *1140:15 0.00149842 +5 *1140:14 0.00142287 +6 *1140:12 0.00056094 +7 *1140:8 0.000761439 +8 *22573:A *1182:25 0.000112009 +9 *22573:A *1203:11 0.00010466 +10 *1140:8 *1728:10 0.000234011 +11 *1140:8 *2401:12 4.23384e-05 +12 *1140:8 *2433:14 0.00032403 +13 *1140:12 *1182:9 0.00326289 +14 *1140:12 *1183:9 0.00326024 +15 *1140:12 *2662:12 0.000330367 +16 *1140:12 *3066:14 0.000326769 +17 *1140:15 *1182:25 0.000360604 +18 *1140:15 *1203:11 0.00545638 +*RES +1 *21356:Y *1140:8 25.0642 +2 *1140:8 *1140:12 46.5632 +3 *1140:12 *1140:14 4.5 +4 *1140:14 *1140:15 58.9568 +5 *1140:15 *5085:DIODE 9.24915 +6 *1140:15 *22573:A 12.7697 +*END + +*D_NET *1141 0.0313002 +*CONN +*I *22574:A I *D sky130_fd_sc_hd__einvp_8 +*I *5087:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21357:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22574:A 8.79636e-05 +2 *5087:DIODE 4.18856e-05 +3 *21357:Y 0.000712848 +4 *1141:42 0.00191463 +5 *1141:32 0.00296246 +6 *1141:21 0.00373705 +7 *1141:16 0.00264305 +8 *1141:13 0.00279472 +9 *1141:10 0.0034239 +10 *5087:DIODE *2137:34 6.50727e-05 +11 *22574:A *1185:29 0.000118166 +12 *22574:A *1198:52 3.20069e-06 +13 *22574:A *2084:25 0 +14 *22574:A *2137:34 5.0715e-05 +15 *22574:A *3139:23 0 +16 *1141:10 *2524:28 0.00131223 +17 *1141:10 *2675:16 0.00130882 +18 *1141:10 *2804:23 0.000161243 +19 *1141:13 *23107:A 0.000108054 +20 *1141:13 *1985:68 0.000351168 +21 *1141:13 *2801:15 0.000904427 +22 *1141:13 *3199:7 0.000107496 +23 *1141:13 *3221:10 6.50727e-05 +24 *1141:16 *2526:15 0.00018235 +25 *1141:16 *2913:14 0.000178737 +26 *1141:21 *1980:56 0.000422557 +27 *1141:21 *2661:11 0.000362123 +28 *1141:21 *2798:15 0.00392053 +29 *1141:32 *1334:12 0.000148962 +30 *1141:32 *1985:57 0.000984559 +31 *1141:32 *2005:23 7.08723e-06 +32 *1141:32 *2120:25 8.57676e-05 +33 *1141:32 *2915:42 0.000148962 +34 *1141:32 *2921:32 0.000107797 +35 *1141:32 *3139:28 0.00015945 +36 *1141:42 *1180:12 5.96344e-05 +37 *1141:42 *1198:52 4.20662e-05 +38 *1141:42 *1329:24 0.000123662 +39 *1141:42 *1333:6 0 +40 *1141:42 *1839:10 9.34404e-05 +41 *1141:42 *1973:27 0.000160678 +42 *1141:42 *2005:23 1.3996e-05 +43 *1141:42 *2084:25 0 +44 *1141:42 *2272:39 0.00014365 +45 *1141:42 *2277:22 0.000126008 +46 *1141:42 *2909:22 0 +47 *1141:42 *2921:32 0 +48 *1141:42 *3139:23 0.000205922 +49 *1141:42 *3139:28 0.000684399 +50 *4149:DIODE *1141:10 6.3657e-05 +*RES +1 *21357:Y *1141:10 45.9984 +2 *1141:10 *1141:13 48.4825 +3 *1141:13 *1141:16 7.993 +4 *1141:16 *1141:21 48.6122 +5 *1141:21 *1141:32 38.7767 +6 *1141:32 *1141:42 41.7817 +7 *1141:42 *5087:DIODE 14.4725 +8 *1141:42 *22574:A 16.4439 +*END + +*D_NET *1142 0.0221844 +*CONN +*I *5089:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22575:A I *D sky130_fd_sc_hd__einvp_8 +*I *21358:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5089:DIODE 0.000406537 +2 *22575:A 0 +3 *21358:Y 8.46429e-05 +4 *1142:14 0.00107407 +5 *1142:11 0.0029176 +6 *1142:10 0.00225007 +7 *1142:8 0.00279257 +8 *1142:7 0.00287722 +9 *5089:DIODE *1453:11 5.03898e-05 +10 *5089:DIODE *2101:32 0.000400335 +11 *5089:DIODE *2371:10 0.000116971 +12 *5089:DIODE *2508:16 0.000116971 +13 *5089:DIODE *3187:6 0.000135188 +14 *1142:7 *2511:9 0.000353672 +15 *1142:7 *3050:21 0.000141229 +16 *1142:8 *22494:B 0 +17 *1142:8 *1457:8 0 +18 *1142:8 *1605:9 0 +19 *1142:8 *1974:24 2.00098e-05 +20 *1142:8 *1978:29 0 +21 *1142:8 *2087:47 0 +22 *1142:8 *2627:14 0 +23 *1142:8 *2666:15 1.40063e-05 +24 *1142:8 *2808:11 0.000100401 +25 *1142:8 *2922:47 0 +26 *1142:8 *2927:12 0 +27 *1142:8 *2928:24 0 +28 *1142:8 *2928:45 0 +29 *1142:8 *2931:50 0.000163274 +30 *1142:11 *1954:28 0.00237755 +31 *1142:11 *1959:32 0.00307667 +32 *1142:11 *1987:26 0.000581963 +33 *1142:11 *3348:11 0.00120823 +34 *1142:14 *1453:11 7.89118e-05 +35 *1142:14 *2080:49 0 +36 *1142:14 *2924:57 0 +37 *1142:14 *3187:6 0.000845954 +*RES +1 *21358:Y *1142:7 17.8002 +2 *1142:7 *1142:8 52.0775 +3 *1142:8 *1142:10 4.5 +4 *1142:10 *1142:11 82.2501 +5 *1142:11 *1142:14 21.6963 +6 *1142:14 *22575:A 13.7491 +7 *1142:14 *5089:DIODE 32.2645 +*END + +*D_NET *1143 0.0211407 +*CONN +*I *22576:A I *D sky130_fd_sc_hd__einvp_8 +*I *5091:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21359:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22576:A 0.000155434 +2 *5091:DIODE 0 +3 *21359:Y 0.00117728 +4 *1143:18 0.00294667 +5 *1143:17 0.00321783 +6 *1143:13 0.00220463 +7 *1143:10 0.00295531 +8 *22576:A *1192:35 0.000127765 +9 *22576:A *1193:21 0.000209388 +10 *22576:A *2629:22 3.09932e-05 +11 *22576:A *3192:8 3.3239e-06 +12 *1143:10 *3549:DIODE 0.000272272 +13 *1143:10 *22591:A 8.51186e-05 +14 *1143:10 *2362:18 0.000503279 +15 *1143:10 *2972:8 0.000295789 +16 *1143:10 *3047:10 6.08467e-05 +17 *1143:13 *23113:A 0.000275256 +18 *1143:13 *1985:68 0.00179925 +19 *1143:17 *1985:68 0.00204177 +20 *1143:17 *3227:7 0.00118184 +21 *1143:18 *1192:35 2.81678e-06 +22 *1143:18 *2520:12 0 +23 *1143:18 *2629:22 0.000254166 +24 *1143:18 *2629:24 0 +25 *1143:18 *3192:8 0.000444895 +26 *1143:18 *3226:16 0.000811466 +27 *4160:DIODE *1143:10 0 +28 *4305:DIODE *1143:10 4.76283e-05 +29 *1137:13 *22576:A 3.57059e-05 +*RES +1 *21359:Y *1143:10 49.4569 +2 *1143:10 *1143:13 48.998 +3 *1143:13 *1143:17 26.8529 +4 *1143:17 *1143:18 62.4588 +5 *1143:18 *5091:DIODE 13.7491 +6 *1143:18 *22576:A 18.5471 +*END + +*D_NET *1144 0.00492165 +*CONN +*I *22503:A I *D sky130_fd_sc_hd__einvp_4 +*I *4948:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21360:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22503:A 2.06324e-05 +2 *4948:DIODE 3.71401e-05 +3 *21360:Y 0.000852857 +4 *1144:13 0.00123217 +5 *1144:10 0.00202726 +6 *4948:DIODE *2363:7 5.36612e-05 +7 *1144:10 *2590:16 0 +8 *1144:10 *2886:6 0 +9 *1144:13 *22503:TE 0.000224381 +10 *1144:13 *1894:17 0.000459423 +11 *1144:13 *2363:7 1.41291e-05 +*RES +1 *21360:Y *1144:10 36.4504 +2 *1144:10 *1144:13 19.0494 +3 *1144:13 *4948:DIODE 10.5271 +4 *1144:13 *22503:A 9.82786 +*END + +*D_NET *1145 0.0167247 +*CONN +*I *22504:A I *D sky130_fd_sc_hd__einvp_8 +*I *4950:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21370:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22504:A 0.000171935 +2 *4950:DIODE 0.00016605 +3 *21370:Y 0 +4 *1145:8 0.00133145 +5 *1145:5 0.00194071 +6 *1145:4 0.000947245 +7 *4950:DIODE *2823:9 7.13972e-05 +8 *22504:A *2411:20 0.000220795 +9 *22504:A *2429:26 6.08467e-05 +10 *22504:A *2673:17 3.31745e-05 +11 *22504:A *3021:6 0.000229421 +12 *1145:5 *21367:A 0.000171273 +13 *1145:5 *1150:9 0.000101365 +14 *1145:5 *1173:7 0.00182559 +15 *1145:5 *2969:9 0.000421818 +16 *1145:5 *3013:11 0.0046511 +17 *1145:8 *22636:A 0.000170693 +18 *1145:8 *2411:20 0.00197163 +19 *1145:8 *3021:6 0.00218135 +20 *4354:DIODE *1145:5 1.41307e-05 +21 *4367:DIODE *1145:5 1.03403e-05 +22 *4380:DIODE *1145:5 3.24105e-05 +*RES +1 *21370:Y *1145:4 9.24915 +2 *1145:4 *1145:5 50.6377 +3 *1145:5 *1145:8 46.6115 +4 *1145:8 *4950:DIODE 16.1364 +5 *1145:8 *22504:A 19.9053 +*END + +*D_NET *1146 0.073786 +*CONN +*I *22505:A I *D sky130_fd_sc_hd__einvp_8 +*I *4952:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21371:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *22505:A 0.000194258 +2 *4952:DIODE 6.67041e-06 +3 *21371:Y 0.000560927 +4 *1146:41 0.00029498 +5 *1146:35 0.00286097 +6 *1146:34 0.00358977 +7 *1146:23 0.00341265 +8 *1146:21 0.00345748 +9 *1146:17 0.00206546 +10 *1146:14 0.00137723 +11 *1146:9 0.00419918 +12 *1146:8 0.00458065 +13 *4952:DIODE *1985:50 6.50586e-05 +14 *4952:DIODE *2084:18 6.92705e-05 +15 *22505:A *23335:A 0.000153208 +16 *22505:A *2240:33 2.14842e-06 +17 *1146:8 *2433:20 0.000193812 +18 *1146:8 *3043:15 0 +19 *1146:9 *1148:13 0.00651682 +20 *1146:9 *1174:15 0.000101365 +21 *1146:14 *2513:22 0.000333905 +22 *1146:14 *2972:8 0.000133774 +23 *1146:17 *5793:DIODE 0.000277488 +24 *1146:17 *6049:DIODE 4.56667e-05 +25 *1146:17 *23371:A 0.000423936 +26 *1146:17 *1490:5 0.000466778 +27 *1146:17 *2275:30 6.50727e-05 +28 *1146:17 *2696:23 0.000328572 +29 *1146:17 *2798:23 0.00238822 +30 *1146:21 *5792:DIODE 1.43848e-05 +31 *1146:21 *1980:66 0.00132823 +32 *1146:21 *2798:15 0.000351263 +33 *1146:21 *2798:23 0.000149034 +34 *1146:23 *6015:DIODE 6.08467e-05 +35 *1146:23 *23106:A 0.0002646 +36 *1146:23 *23226:B 6.33269e-05 +37 *1146:23 *1148:25 0.0136182 +38 *1146:23 *1473:5 0.000555191 +39 *1146:23 *1980:48 0.000263142 +40 *1146:23 *1980:52 0.00482918 +41 *1146:23 *1980:56 0.000390924 +42 *1146:23 *1980:62 0.00270237 +43 *1146:23 *1980:66 0.000947488 +44 *1146:23 *2258:29 0.00010238 +45 *1146:23 *2636:20 0.00312468 +46 *1146:34 *1147:13 7.23297e-05 +47 *1146:34 *1996:32 0.000195128 +48 *1146:34 *2252:27 0.000721597 +49 *1146:34 *2258:29 0.000712225 +50 *1146:34 *2506:14 7.83498e-05 +51 *1146:34 *2633:40 1.9101e-05 +52 *1146:34 *2916:20 0 +53 *1146:34 *2920:36 4.69495e-06 +54 *1146:35 *2084:18 0.00456594 +55 *1146:35 *2252:27 0.000166538 +56 *1146:41 *23335:A 1.24189e-05 +57 *1146:41 *1985:50 0.000158451 +58 *1146:41 *2084:18 0.0001465 +59 *1146:41 *2782:8 2.1203e-06 +*RES +1 *21371:Y *1146:8 32.1235 +2 *1146:8 *1146:9 71.1581 +3 *1146:9 *1146:14 15.3998 +4 *1146:14 *1146:17 45.1158 +5 *1146:17 *1146:21 27.9231 +6 *1146:21 *1146:23 172.651 +7 *1146:23 *1146:34 20.3146 +8 *1146:34 *1146:35 49.5285 +9 *1146:35 *1146:41 11.8341 +10 *1146:41 *4952:DIODE 9.97254 +11 *1146:41 *22505:A 22.1574 +*END + +*D_NET *1147 0.152448 +*CONN +*I *22506:A I *D sky130_fd_sc_hd__einvp_8 +*I *4954:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21372:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *22506:A 0 +2 *4954:DIODE 2.31575e-05 +3 *21372:Y 0.000664095 +4 *1147:37 0.000747981 +5 *1147:23 0.0164438 +6 *1147:22 0.0181765 +7 *1147:13 0.0140007 +8 *1147:10 0.0122073 +9 *4954:DIODE *1394:17 0.000331221 +10 *4954:DIODE *2374:11 0.000331221 +11 *1147:10 *2433:20 0 +12 *1147:10 *3044:15 0.000761841 +13 *1147:13 *1157:24 0.000187761 +14 *1147:13 *1985:50 0.00010238 +15 *1147:13 *1996:32 0.0198483 +16 *1147:13 *1996:45 0.000919766 +17 *1147:13 *2258:29 0.00118392 +18 *1147:13 *2269:52 0.00010238 +19 *1147:13 *2429:29 0.000181147 +20 *1147:13 *2521:34 0.00116219 +21 *1147:13 *2526:32 6.91674e-05 +22 *1147:13 *2531:20 0.0158295 +23 *1147:13 *2816:27 8.14362e-06 +24 *1147:13 *2824:22 6.23101e-05 +25 *1147:13 *2826:20 0.000361743 +26 *1147:22 *1153:32 0.00666035 +27 *1147:22 *1153:33 0.000259915 +28 *1147:22 *1157:24 0.000141942 +29 *1147:22 *1158:28 5.91266e-06 +30 *1147:22 *1175:36 0.000417781 +31 *1147:22 *2245:17 0.00163063 +32 *1147:22 *2250:15 0 +33 *1147:22 *2261:21 0.0107009 +34 *1147:22 *2460:33 0.000152345 +35 *1147:22 *2491:12 5.65354e-05 +36 *1147:22 *2500:34 0.000115848 +37 *1147:22 *2506:14 8.77982e-05 +38 *1147:22 *3306:21 0.000125108 +39 *1147:23 *1149:35 3.29867e-05 +40 *1147:23 *1153:33 0.00662037 +41 *1147:23 *1153:44 0.00017378 +42 *1147:23 *1153:45 0.0153046 +43 *1147:23 *1175:60 0.00373349 +44 *1147:23 *2037:8 8.75961e-05 +45 *1147:23 *2177:23 0.000125467 +46 *1147:23 *2365:39 0.000108464 +47 *1147:23 *3384:23 0.000470681 +48 *1147:37 *5690:DIODE 0.000130501 +49 *1147:37 *5895:DIODE 0.000316941 +50 *1147:37 *23294:A 3.52184e-05 +51 *1147:37 *23294:B 1.43848e-05 +52 *1147:37 *1394:17 0.000194565 +53 *1147:37 *1541:8 4.37048e-05 +54 *1147:37 *1670:6 9.70324e-05 +55 *1147:37 *2198:29 2.1878e-06 +56 *1147:37 *2374:11 0.0007792 +57 *1147:37 *2737:8 1.47102e-05 +58 *1147:37 *3288:9 2.82537e-05 +59 *1146:34 *1147:13 7.23297e-05 +*RES +1 *21372:Y *1147:10 35.9448 +2 *1147:10 *1147:13 47.63 +3 *1147:13 *1147:22 33.2 +4 *1147:22 *1147:23 281.907 +5 *1147:23 *1147:37 30.2454 +6 *1147:37 *4954:DIODE 12.7456 +7 *1147:37 *22506:A 9.24915 +*END + +*D_NET *1148 0.0964235 +*CONN +*I *4956:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22507:A I *D sky130_fd_sc_hd__einvp_8 +*I *21373:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *4956:DIODE 4.47776e-05 +2 *22507:A 0.000325422 +3 *21373:Y 0.00108229 +4 *1148:35 0.00453919 +5 *1148:34 0.00427645 +6 *1148:25 0.0145312 +7 *1148:24 0.0145133 +8 *1148:21 0.00200546 +9 *1148:13 0.0054419 +10 *1148:11 0.00460828 +11 *4956:DIODE *1175:56 0 +12 *4956:DIODE *2365:27 7.50872e-05 +13 *4956:DIODE *2415:26 1.9101e-05 +14 *22507:A *1157:27 0.000362066 +15 *22507:A *1568:9 6.08467e-05 +16 *22507:A *1985:40 6.08467e-05 +17 *22507:A *2090:7 0.000122083 +18 *22507:A *2094:5 2.7961e-05 +19 *1148:11 *2401:18 0.000597557 +20 *1148:11 *2478:5 0.00023981 +21 *1148:11 *2941:9 0 +22 *1148:13 *5095:DIODE 0.000532938 +23 *1148:13 *21368:A 0.00026881 +24 *1148:13 *1174:15 0.000110257 +25 *1148:13 *2346:13 0.00201208 +26 *1148:13 *2478:5 0.00120811 +27 *1148:13 *2513:19 0.00231795 +28 *1148:13 *2514:17 0.000181473 +29 *1148:13 *2794:21 0.000729664 +30 *1148:13 *2802:17 7.24543e-05 +31 *1148:21 *2796:42 0 +32 *1148:21 *2798:15 0.00272056 +33 *1148:21 *2817:14 0 +34 *1148:21 *2820:12 0.000271558 +35 *1148:24 *23106:A 1.87469e-05 +36 *1148:24 *2527:18 1.17147e-05 +37 *1148:24 *2931:56 4.26828e-05 +38 *1148:25 *5745:DIODE 2.34061e-05 +39 *1148:25 *5990:DIODE 4.87301e-05 +40 *1148:25 *5991:DIODE 0.000277502 +41 *1148:25 *6001:DIODE 0.000268892 +42 *1148:25 *6003:DIODE 0.000417478 +43 *1148:25 *6247:DIODE 2.39581e-05 +44 *1148:25 *23206:A 0.000217937 +45 *1148:25 *23219:A 2.57986e-05 +46 *1148:25 *23220:B 0.000213725 +47 *1148:25 *23470:A 0.000198133 +48 *1148:25 *1175:43 0.00044763 +49 *1148:25 *1466:5 0.000364342 +50 *1148:25 *1467:5 8.64186e-05 +51 *1148:25 *1589:5 0.000182038 +52 *1148:25 *1980:40 7.68538e-06 +53 *1148:25 *1980:46 0.000796531 +54 *1148:25 *1980:48 0.000246617 +55 *1148:25 *2251:28 2.57986e-05 +56 *1148:25 *2258:29 0.000289628 +57 *1148:25 *2506:27 0.000617345 +58 *1148:25 *2637:16 0.000683032 +59 *1148:34 *1158:28 2.33103e-06 +60 *1148:35 *1157:27 0.00693589 +61 *1148:35 *2258:29 0.000100106 +62 *1148:35 *3395:35 0.000354817 +63 *1146:9 *1148:13 0.00651682 +64 *1146:23 *1148:25 0.0136182 +*RES +1 *21373:Y *1148:11 40.779 +2 *1148:11 *1148:13 141.315 +3 *1148:13 *1148:21 48.7714 +4 *1148:21 *1148:24 6.74725 +5 *1148:24 *1148:25 251.959 +6 *1148:25 *1148:34 11.0518 +7 *1148:34 *1148:35 75.0403 +8 *1148:35 *22507:A 25.2708 +9 *1148:35 *4956:DIODE 19.6659 +*END + +*D_NET *1149 0.109524 +*CONN +*I *22508:A I *D sky130_fd_sc_hd__einvp_8 +*I *4958:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21374:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *22508:A 0 +2 *4958:DIODE 5.6076e-05 +3 *21374:Y 0.000114662 +4 *1149:46 0.000420325 +5 *1149:43 0.00288858 +6 *1149:40 0.00258929 +7 *1149:35 0.00109895 +8 *1149:34 0.00131281 +9 *1149:31 0.00114511 +10 *1149:22 0.00228537 +11 *1149:15 0.00230212 +12 *1149:9 0.0205138 +13 *1149:8 0.0197455 +14 *4958:DIODE *1967:16 0.000362266 +15 *4958:DIODE *2368:11 0.000166951 +16 *4958:DIODE *2372:11 0.000160617 +17 *4958:DIODE *2372:13 1.41689e-05 +18 *1149:8 *2402:14 9.51945e-05 +19 *1149:8 *2941:9 3.12976e-05 +20 *1149:8 *2942:16 3.98296e-05 +21 *1149:9 *3563:DIODE 2.86013e-06 +22 *1149:9 *3715:DIODE 2.97421e-05 +23 *1149:9 *5618:DIODE 0.000160462 +24 *1149:9 *21373:A 2.63704e-05 +25 *1149:9 *23098:A 4.60283e-05 +26 *1149:9 *1172:10 0.000113968 +27 *1149:9 *1209:7 0.00222046 +28 *1149:9 *1210:7 0.000377713 +29 *1149:9 *1331:7 0.00171441 +30 *1149:9 *1601:9 0.000357835 +31 *1149:9 *2122:44 0.00020273 +32 *1149:9 *2512:25 0.000944692 +33 *1149:9 *2628:39 7.95879e-05 +34 *1149:9 *2632:51 0.000180945 +35 *1149:9 *2632:63 0.000559957 +36 *1149:9 *2919:63 0.00113096 +37 *1149:9 *2931:53 0.00477268 +38 *1149:15 *5742:DIODE 6.08467e-05 +39 *1149:15 *5999:DIODE 0.000118166 +40 *1149:15 *2122:39 0.000123288 +41 *1149:15 *2503:26 0.00218219 +42 *1149:15 *2628:39 0.00397576 +43 *1149:22 *2122:39 0.00012309 +44 *1149:22 *2506:26 2.43314e-05 +45 *1149:22 *2628:39 1.37669e-05 +46 *1149:22 *2790:14 7.09666e-06 +47 *1149:22 *2922:25 0.000139484 +48 *1149:22 *3340:13 0.00118817 +49 *1149:31 *6243:DIODE 1.88152e-05 +50 *1149:31 *23468:A 0.000433229 +51 *1149:31 *1172:11 0.00100313 +52 *1149:31 *1174:26 0.00135738 +53 *1149:31 *1175:36 0.000883225 +54 *1149:31 *1326:11 0 +55 *1149:31 *1715:8 0 +56 *1149:31 *2246:25 1.1573e-05 +57 *1149:31 *2257:21 0.00141927 +58 *1149:31 *2261:21 0.000108607 +59 *1149:31 *2500:17 0.000182414 +60 *1149:31 *2500:34 0.00074432 +61 *1149:31 *2506:26 6.08467e-05 +62 *1149:31 *2924:19 0.000243745 +63 *1149:34 *1151:36 1.9101e-05 +64 *1149:35 *1153:33 0.0097397 +65 *1149:35 *1172:11 0.000478575 +66 *1149:35 *1175:60 0.000925511 +67 *1149:35 *2037:8 0.00821152 +68 *1149:35 *2258:25 0.000477922 +69 *1149:35 *2261:21 0.000195504 +70 *1149:35 *3332:11 0.00146893 +71 *1149:40 *5949:DIODE 1.75682e-05 +72 *1149:40 *1695:9 6.22259e-05 +73 *1149:43 *1172:11 0.00414979 +74 *1149:46 *2219:19 0.000527181 +75 *1149:46 *2220:19 0.000530591 +76 *1147:23 *1149:35 3.29867e-05 +*RES +1 *21374:Y *1149:8 22.1574 +2 *1149:8 *1149:9 307.697 +3 *1149:9 *1149:15 44.308 +4 *1149:15 *1149:22 35.1078 +5 *1149:22 *1149:31 48.187 +6 *1149:31 *1149:34 9.44639 +7 *1149:34 *1149:35 115.526 +8 *1149:35 *1149:40 10.4167 +9 *1149:40 *1149:43 49.5917 +10 *1149:43 *1149:46 15.8828 +11 *1149:46 *4958:DIODE 13.3002 +12 *1149:46 *22508:A 9.24915 +*END + +*D_NET *1150 0.187804 +*CONN +*I *22509:A I *D sky130_fd_sc_hd__einvp_4 +*I *4960:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21375:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22509:A 0.000131139 +2 *4960:DIODE 0 +3 *21375:Y 3.3589e-05 +4 *1150:26 0.0015575 +5 *1150:25 0.00142636 +6 *1150:23 0.00222722 +7 *1150:21 0.00239728 +8 *1150:15 0.000886102 +9 *1150:14 0.000918366 +10 *1150:9 0.0289216 +11 *1150:8 0.0287529 +12 *22509:A *2556:8 0 +13 *22509:A *2557:6 0.000232263 +14 *1150:8 *22629:A 0 +15 *1150:8 *22630:A 0 +16 *1150:9 *1154:9 0.0460575 +17 *1150:9 *1173:7 0.000110257 +18 *1150:9 *1672:11 0.000139024 +19 *1150:9 *1990:28 0.000593334 +20 *1150:9 *1990:40 0.00318571 +21 *1150:9 *2090:20 0.0214043 +22 *1150:9 *2190:33 0.00236465 +23 *1150:9 *2203:24 5.83184e-05 +24 *1150:9 *2229:21 0 +25 *1150:9 *2230:24 1.4996e-05 +26 *1150:9 *2231:16 0.00115765 +27 *1150:9 *2382:40 3.93984e-05 +28 *1150:9 *2410:22 0.00010362 +29 *1150:9 *2589:18 0 +30 *1150:9 *2594:20 0 +31 *1150:9 *2599:18 0 +32 *1150:9 *2601:20 0 +33 *1150:9 *2605:20 0 +34 *1150:9 *2606:29 0 +35 *1150:9 *2609:26 0.00118417 +36 *1150:9 *2622:23 0.00026794 +37 *1150:9 *2624:15 0.000253421 +38 *1150:9 *2804:23 0.000101365 +39 *1150:9 *2876:21 6.80213e-05 +40 *1150:9 *2878:19 0 +41 *1150:9 *2880:20 0 +42 *1150:9 *2883:19 0.00217753 +43 *1150:9 *2885:18 0 +44 *1150:9 *2887:18 0 +45 *1150:9 *2891:18 0.000826243 +46 *1150:9 *2893:19 0 +47 *1150:9 *2894:23 5.13892e-05 +48 *1150:9 *2905:13 0.000522394 +49 *1150:9 *2912:21 0.00528588 +50 *1150:9 *2921:11 0.000137573 +51 *1150:9 *2924:13 0.000141764 +52 *1150:9 *3011:20 0 +53 *1150:9 *3228:37 0.000114374 +54 *1150:9 *3417:43 0 +55 *1150:14 *2733:8 0.000163058 +56 *1150:14 *2870:12 0.000162759 +57 *1150:15 *23145:A 0.000169041 +58 *1150:15 *2011:42 0.00201081 +59 *1150:15 *2139:14 0.00108921 +60 *1150:15 *3274:7 0.00395708 +61 *1150:21 *23145:A 3.51034e-05 +62 *1150:21 *2139:14 5.30929e-05 +63 *1150:23 *3651:DIODE 0.000123176 +64 *1150:23 *5643:DIODE 0.00041753 +65 *1150:23 *23100:A 0.000217923 +66 *1150:23 *1266:11 6.50727e-05 +67 *1150:23 *1603:9 0.00319572 +68 *1150:23 *1719:15 0.00153626 +69 *1150:23 *2139:14 0.0150699 +70 *1150:23 *2574:11 0.000376527 +71 *1150:23 *2852:11 0.000789657 +72 *1150:23 *3235:5 0.00183311 +73 *1150:26 *2141:31 2.01653e-05 +74 *1150:26 *2556:8 0 +75 *1150:26 *2557:6 0.00255226 +76 *1150:26 *3450:20 2.01653e-05 +77 *1145:5 *1150:9 0.000101365 +*RES +1 *21375:Y *1150:8 17.9118 +2 *1150:8 *1150:9 108.742 +3 *1150:9 *1150:14 14.8914 +4 *1150:14 *1150:15 50.6377 +5 *1150:15 *1150:21 4.78647 +6 *1150:21 *1150:23 162.945 +7 *1150:23 *1150:25 4.5 +8 *1150:25 *1150:26 45.8487 +9 *1150:26 *4960:DIODE 13.7491 +10 *1150:26 *22509:A 18.0727 +*END + +*D_NET *1151 0.187705 +*CONN +*I *22510:A I *D sky130_fd_sc_hd__einvp_4 +*I *4962:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21376:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22510:A 0 +2 *4962:DIODE 4.03599e-05 +3 *21376:Y 0.00518502 +4 *1151:80 0.00118561 +5 *1151:77 0.00135359 +6 *1151:74 0.0102611 +7 *1151:63 0.0153304 +8 *1151:47 0.00616672 +9 *1151:46 0.00126028 +10 *1151:39 0.00261076 +11 *1151:36 0.00276693 +12 *1151:30 0.0103521 +13 *1151:15 0.0150098 +14 *4962:DIODE *1639:13 6.73186e-05 +15 *4962:DIODE *2379:23 6.73186e-05 +16 *1151:15 *1153:15 0 +17 *1151:15 *1174:15 0.00417673 +18 *1151:15 *2419:18 0.000337391 +19 *1151:15 *2479:45 0.00232953 +20 *1151:15 *3014:6 0 +21 *1151:30 *6239:DIODE 1.91391e-05 +22 *1151:30 *1174:15 0.00700569 +23 *1151:30 *1174:26 0.0143089 +24 *1151:30 *1326:11 3.83492e-06 +25 *1151:30 *1716:9 1.97222e-05 +26 *1151:30 *2243:23 1.49935e-05 +27 *1151:30 *2257:21 0.00892196 +28 *1151:30 *2477:9 0.000115848 +29 *1151:30 *2479:45 0.00446335 +30 *1151:30 *3461:23 0.00012601 +31 *1151:36 *2257:21 0.00225405 +32 *1151:36 *2479:45 0.00225405 +33 *1151:36 *3461:30 0 +34 *1151:39 *22571:A 2.54369e-05 +35 *1151:39 *1174:26 0.000156778 +36 *1151:39 *2477:7 0.000659913 +37 *1151:39 *2477:9 0.00153759 +38 *1151:39 *3461:31 6.83459e-05 +39 *1151:46 *22571:A 2.57847e-05 +40 *1151:46 *23329:B 0 +41 *1151:46 *1174:26 0.000144521 +42 *1151:46 *1707:8 0 +43 *1151:46 *2234:25 0 +44 *1151:46 *2779:19 0.00083795 +45 *1151:46 *3461:31 0.000259359 +46 *1151:47 *1174:27 3.21312e-05 +47 *1151:47 *2142:19 0.00200998 +48 *1151:47 *2151:38 0.00255806 +49 *1151:47 *2371:13 0.00254882 +50 *1151:47 *2479:39 0.00510267 +51 *1151:47 *3428:29 0.000448941 +52 *1151:63 *2152:34 0.000427201 +53 *1151:63 *2186:47 0.00119703 +54 *1151:63 *2191:17 3.0145e-05 +55 *1151:63 *2365:39 0.0148259 +56 *1151:63 *2404:50 5.01835e-05 +57 *1151:63 *3350:52 0.0124701 +58 *1151:63 *3384:29 0.00285648 +59 *1151:74 *2152:48 0.000110257 +60 *1151:74 *2186:47 0.000939554 +61 *1151:74 *2365:39 0.00196828 +62 *1151:74 *2365:43 0.0125912 +63 *1151:74 *2704:10 0.000330786 +64 *1151:74 *2877:15 5.76799e-05 +65 *1151:74 *2962:14 2.95757e-05 +66 *1151:74 *3258:8 0 +67 *1151:77 *23228:A 5.04829e-06 +68 *1151:77 *2448:19 0.00235245 +69 *1151:77 *2664:23 1.92172e-05 +70 *1151:77 *2962:17 0.00231 +71 *1151:80 *23344:A 0 +72 *1151:80 *23344:B 0 +73 *1151:80 *2010:23 0 +74 *1151:80 *2563:6 0.000690252 +75 *1151:80 *2705:8 0 +76 *1149:34 *1151:36 1.9101e-05 +*RES +1 *21376:Y *1151:15 35.1927 +2 *1151:15 *1151:30 48.0934 +3 *1151:30 *1151:36 12.8649 +4 *1151:36 *1151:39 39.0152 +5 *1151:39 *1151:46 22.9234 +6 *1151:46 *1151:47 83.3593 +7 *1151:47 *1151:63 39.4574 +8 *1151:63 *1151:74 42.6999 +9 *1151:74 *1151:77 29.626 +10 *1151:77 *1151:80 34.5691 +11 *1151:80 *4962:DIODE 11.0817 +12 *1151:80 *22510:A 9.24915 +*END + +*D_NET *1152 0.088935 +*CONN +*I *4964:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22511:A I *D sky130_fd_sc_hd__einvp_8 +*I *21377:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *4964:DIODE 0 +2 *22511:A 0.000144966 +3 *21377:Y 7.55664e-05 +4 *1152:45 0.000542463 +5 *1152:44 0.00207378 +6 *1152:29 0.00235445 +7 *1152:27 0.000788921 +8 *1152:21 0.00102206 +9 *1152:20 0.00207631 +10 *1152:9 0.0119003 +11 *1152:8 0.0108109 +12 *22511:A *1967:16 0.00021217 +13 *22511:A *2086:18 6.50727e-05 +14 *22511:A *2100:26 0.00021217 +15 *22511:A *2118:10 6.1449e-05 +16 *22511:A *2151:37 0 +17 *1152:8 *2407:18 0 +18 *1152:8 *3015:13 3.77286e-05 +19 *1152:9 *23091:A 7.20291e-05 +20 *1152:9 *23218:A 0.000158357 +21 *1152:9 *23218:B 5.51483e-06 +22 *1152:9 *23230:A 6.08467e-05 +23 *1152:9 *23236:A 6.50727e-05 +24 *1152:9 *23346:A 6.50586e-05 +25 *1152:9 *23346:B 2.65831e-05 +26 *1152:9 *1159:9 0.000220514 +27 *1152:9 *1594:9 0.000204422 +28 *1152:9 *2255:26 6.33048e-05 +29 *1152:9 *2268:45 0.000108607 +30 *1152:9 *2477:17 8.22276e-05 +31 *1152:9 *2477:21 0.000459184 +32 *1152:9 *2477:29 0.001195 +33 *1152:9 *2477:31 0.00531865 +34 *1152:9 *2477:35 0.00560019 +35 *1152:9 *2477:39 0.00126562 +36 *1152:9 *2503:27 0.00171 +37 *1152:9 *2512:22 0.00372101 +38 *1152:9 *2517:25 0.00344837 +39 *1152:9 *2517:29 0.00130516 +40 *1152:9 *2666:15 0.000831695 +41 *1152:9 *2808:11 0.000523693 +42 *1152:9 *2917:15 0.00382605 +43 *1152:9 *2932:31 0.00391847 +44 *1152:20 *1586:10 2.43314e-05 +45 *1152:20 *2253:35 0 +46 *1152:20 *2371:13 0.00154697 +47 *1152:20 *2479:45 0.00012309 +48 *1152:20 *2633:40 0.000159765 +49 *1152:20 *2643:14 0.000116868 +50 *1152:20 *2920:36 5.83269e-05 +51 *1152:21 *5737:DIODE 0.000413323 +52 *1152:21 *6241:DIODE 6.08467e-05 +53 *1152:21 *1158:23 0.00142391 +54 *1152:21 *1159:9 0.000165394 +55 *1152:21 *1717:9 0.000734519 +56 *1152:21 *2255:26 0.000745277 +57 *1152:21 *2628:23 0.00426061 +58 *1152:21 *2783:11 0.000567295 +59 *1152:21 *3340:13 1.65872e-05 +60 *1152:27 *23336:A 0.000438665 +61 *1152:27 *1158:23 0.000547107 +62 *1152:27 *2783:11 9.82896e-06 +63 *1152:29 *6235:DIODE 6.64392e-05 +64 *1152:29 *1156:25 0.00431687 +65 *1152:29 *1158:23 3.75343e-05 +66 *1152:29 *1159:22 0.00380304 +67 *1152:29 *1583:5 0.000264787 +68 *1152:29 *1711:8 6.50586e-05 +69 *1152:29 *2255:26 4.79321e-06 +70 *1152:29 *2482:40 0.000210287 +71 *1152:44 *5956:DIODE 0 +72 *1152:44 *23325:B 0 +73 *1152:44 *23452:A 2.89576e-05 +74 *1152:44 *23453:A 0 +75 *1152:44 *1156:25 2.6758e-05 +76 *1152:44 *1156:27 0.000224395 +77 *1152:44 *1175:56 0.000115313 +78 *1152:44 *1700:9 1.07248e-05 +79 *1152:44 *1991:29 1.09024e-05 +80 *1152:44 *2122:13 7.09666e-06 +81 *1152:44 *2140:8 0.00073823 +82 *1152:44 *2142:13 0.000267821 +83 *1152:44 *2151:37 0 +84 *1152:45 *2086:18 0.000689401 +*RES +1 *21377:Y *1152:8 20.0811 +2 *1152:8 *1152:9 375.635 +3 *1152:9 *1152:20 40.5471 +4 *1152:20 *1152:21 61.7298 +5 *1152:21 *1152:27 7.28219 +6 *1152:27 *1152:29 51.7469 +7 *1152:29 *1152:44 49.7278 +8 *1152:44 *1152:45 7.37864 +9 *1152:45 *22511:A 22.7765 +10 *1152:45 *4964:DIODE 9.24915 +*END + +*D_NET *1153 0.184991 +*CONN +*I *22512:A I *D sky130_fd_sc_hd__einvp_4 +*I *4966:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21378:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22512:A 0 +2 *4966:DIODE 7.02005e-06 +3 *21378:Y 0.00495948 +4 *1153:61 0.00012448 +5 *1153:57 0.00139365 +6 *1153:56 0.00127619 +7 *1153:54 0.00348517 +8 *1153:45 0.00729417 +9 *1153:44 0.0052024 +10 *1153:33 0.00322653 +11 *1153:32 0.0110067 +12 *1153:15 0.0141331 +13 *4966:DIODE *2060:24 0.000122378 +14 *4966:DIODE *2373:5 0.000122378 +15 *1153:15 *1157:15 0.0119573 +16 *1153:15 *1174:15 0.00039041 +17 *1153:15 *2407:18 0.000243296 +18 *1153:15 *2419:18 0 +19 *1153:15 *2538:9 0.00542538 +20 *1153:15 *2965:15 6.21488e-06 +21 *1153:15 *3016:11 7.47831e-05 +22 *1153:32 *23340:A 2.02746e-05 +23 *1153:32 *23340:B 2.77564e-05 +24 *1153:32 *1157:24 0.016914 +25 *1153:32 *1174:15 0 +26 *1153:32 *1174:26 0.00043735 +27 *1153:32 *1175:36 0.000914501 +28 *1153:32 *2245:17 1.91246e-05 +29 *1153:32 *2261:21 0.00576844 +30 *1153:32 *2504:42 6.23101e-05 +31 *1153:32 *2505:26 0.000691387 +32 *1153:32 *2928:15 0.00010238 +33 *1153:33 *23340:B 2.57986e-05 +34 *1153:33 *1172:11 8.61294e-05 +35 *1153:33 *3384:23 0.00051197 +36 *1153:44 *23323:A 1.91391e-05 +37 *1153:44 *1175:60 0.000189024 +38 *1153:44 *2118:13 1.5714e-05 +39 *1153:44 *2221:19 2.81147e-06 +40 *1153:44 *2223:19 0.000718645 +41 *1153:44 *3173:25 1.66626e-05 +42 *1153:44 *3306:34 0.00137901 +43 *1153:44 *3384:29 0.0046381 +44 *1153:45 *5688:DIODE 0.000479137 +45 *1153:45 *6127:DIODE 0.000277502 +46 *1153:45 *1394:21 0.000535708 +47 *1153:45 *1980:10 0.00534192 +48 *1153:45 *1980:19 4.5066e-05 +49 *1153:45 *2037:8 0.00266711 +50 *1153:45 *2150:8 0.0072243 +51 *1153:45 *2150:12 0.00169042 +52 *1153:45 *2177:23 0.000136473 +53 *1153:45 *2365:39 0.000117719 +54 *1153:45 *3029:47 0.00367284 +55 *1153:45 *3384:29 0.00020476 +56 *1153:54 *1157:61 9.59618e-06 +57 *1153:54 *2003:55 0.000293622 +58 *1153:54 *2147:65 0.000541725 +59 *1153:54 *2174:25 0.000764333 +60 *1153:54 *2372:41 0.00615816 +61 *1153:54 *2866:6 0.000330038 +62 *1153:54 *2867:8 0.000121548 +63 *1153:54 *3051:24 0.0010269 +64 *1153:54 *3206:6 0 +65 *1153:57 *22512:TE 0.000228593 +66 *1153:57 *2060:24 7.68538e-06 +67 *1153:57 *2071:31 0.000559686 +68 *1153:57 *2093:22 0.00633369 +69 *1153:57 *3084:27 0.00385387 +70 *1153:61 *2060:24 0.000410978 +71 *1153:61 *2373:5 5.31074e-05 +72 *1153:61 *3084:27 1.64699e-05 +73 *1153:61 *3084:29 0.000117275 +74 *1147:22 *1153:32 0.00666035 +75 *1147:22 *1153:33 0.000259915 +76 *1147:23 *1153:33 0.00662037 +77 *1147:23 *1153:44 0.00017378 +78 *1147:23 *1153:45 0.0153046 +79 *1149:35 *1153:33 0.0097397 +80 *1151:15 *1153:15 0 +*RES +1 *21378:Y *1153:15 43.6504 +2 *1153:15 *1153:32 47.007 +3 *1153:32 *1153:33 108.871 +4 *1153:33 *1153:44 22.8169 +5 *1153:44 *1153:45 258.614 +6 *1153:45 *1153:54 48.3133 +7 *1153:54 *1153:56 4.5 +8 *1153:56 *1153:57 71.7127 +9 *1153:57 *1153:61 5.59426 +10 *1153:61 *4966:DIODE 10.5271 +11 *1153:61 *22512:A 9.24915 +*END + +*D_NET *1154 0.128529 +*CONN +*I *22513:A I *D sky130_fd_sc_hd__einvp_8 +*I *4968:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21379:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *22513:A 9.95624e-05 +2 *4968:DIODE 0 +3 *21379:Y 1.24773e-05 +4 *1154:38 0.00411529 +5 *1154:29 0.00543403 +6 *1154:28 0.00256168 +7 *1154:17 0.00225274 +8 *1154:9 0.0147256 +9 *1154:8 0.0136287 +10 *22513:A *4978:DIODE 3.67528e-06 +11 *22513:A *1395:15 7.16754e-05 +12 *22513:A *2152:23 0 +13 *22513:A *2368:17 0.000165521 +14 *1154:8 *2946:11 2.02035e-05 +15 *1154:9 *1156:11 0.00816017 +16 *1154:9 *2403:18 0.000219698 +17 *1154:9 *2406:20 0.000340861 +18 *1154:9 *2410:22 0.00018009 +19 *1154:9 *2430:18 6.44644e-05 +20 *1154:9 *2622:23 0.00212036 +21 *1154:9 *2632:40 0.00532372 +22 *1154:9 *2811:39 0.000110257 +23 *1154:9 *2909:9 0.00012875 +24 *1154:9 *3013:11 0.000110257 +25 *1154:9 *3013:15 0.000101365 +26 *1154:17 *5465:DIODE 0 +27 *1154:17 *5727:DIODE 0.000122378 +28 *1154:17 *5731:DIODE 0.000113968 +29 *1154:17 *5968:DIODE 1.43983e-05 +30 *1154:17 *5969:DIODE 4.82966e-05 +31 *1154:17 *6217:DIODE 0 +32 *1154:17 *6223:DIODE 0.000108249 +33 *1154:17 *6225:DIODE 5.49209e-05 +34 *1154:17 *23328:A 2.65831e-05 +35 *1154:17 *23455:A 0.000220044 +36 *1154:17 *23458:A 0.000160617 +37 *1154:17 *1577:11 0.000634132 +38 *1154:17 *1578:5 0.000712176 +39 *1154:17 *1706:5 0.000583257 +40 *1154:17 *2268:28 0.000830016 +41 *1154:17 *2624:27 0.00011938 +42 *1154:17 *2775:15 0.000171456 +43 *1154:17 *2778:15 2.82583e-05 +44 *1154:17 *2779:10 0.000181293 +45 *1154:17 *3331:9 0 +46 *1154:28 *5460:DIODE 0.000142094 +47 *1154:28 *5958:DIODE 0 +48 *1154:28 *5961:DIODE 0.000271058 +49 *1154:28 *6214:DIODE 4.94768e-05 +50 *1154:28 *6215:DIODE 1.05213e-05 +51 *1154:28 *6217:DIODE 0.0002817 +52 *1154:28 *23327:A 2.16608e-05 +53 *1154:28 *23454:A 1.9101e-05 +54 *1154:28 *23454:B 2.14786e-05 +55 *1154:28 *23455:A 1.67988e-05 +56 *1154:28 *1574:5 6.50954e-05 +57 *1154:28 *2360:38 3.32224e-05 +58 *1154:29 *1701:13 7.36794e-05 +59 *1154:29 *2360:39 0.000569122 +60 *1154:29 *2382:40 0.000130331 +61 *1154:29 *3228:31 0.000642938 +62 *1154:29 *3439:39 0.00454161 +63 *1154:38 *4978:DIODE 2.69218e-05 +64 *1154:38 *23306:B 6.62712e-05 +65 *1154:38 *23531:TE 0 +66 *1154:38 *1156:33 4.69495e-06 +67 *1154:38 *1159:39 0.00290779 +68 *1154:38 *1159:40 0.000128983 +69 *1154:38 *1172:27 4.99185e-05 +70 *1154:38 *1692:6 0.000106656 +71 *1154:38 *1693:9 0.000284508 +72 *1154:38 *2037:11 0 +73 *1154:38 *2152:23 0 +74 *1154:38 *2187:23 0.000110946 +75 *1154:38 *2379:10 1.24189e-05 +76 *1154:38 *2763:13 5.34983e-05 +77 *1154:38 *3195:20 0.00774849 +78 *1150:9 *1154:9 0.0460575 +*RES +1 *21379:Y *1154:8 17.4965 +2 *1154:8 *1154:9 63.6132 +3 *1154:9 *1154:17 49.4754 +4 *1154:17 *1154:28 29.8001 +5 *1154:28 *1154:29 63.9482 +6 *1154:29 *1154:38 47.286 +7 *1154:38 *4968:DIODE 13.7491 +8 *1154:38 *22513:A 16.9985 +*END + +*D_NET *1155 0.220287 +*CONN +*I *22514:A I *D sky130_fd_sc_hd__einvp_4 +*I *4970:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21361:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22514:A 0.000170211 +2 *4970:DIODE 0 +3 *21361:Y 1.57719e-05 +4 *1155:26 0.000275891 +5 *1155:23 0.0140715 +6 *1155:22 0.0175228 +7 *1155:9 0.0189402 +8 *1155:8 0.015399 +9 *22514:A *2396:6 6.3559e-05 +10 *22514:A *2935:8 0 +11 *1155:8 *2431:12 4.23622e-05 +12 *1155:8 *2514:20 4.23622e-05 +13 *1155:9 *1169:22 0.000121501 +14 *1155:9 *1171:9 0 +15 *1155:9 *1224:19 0.00012309 +16 *1155:9 *1736:8 0.000111178 +17 *1155:9 *1979:26 0.0247624 +18 *1155:9 *1979:31 0.00804774 +19 *1155:9 *1986:20 1.53451e-05 +20 *1155:9 *2022:22 0.00287954 +21 *1155:9 *2048:28 0.000641177 +22 *1155:9 *2097:14 0.0400817 +23 *1155:9 *2115:26 0.000102438 +24 *1155:9 *2136:45 0.00624009 +25 *1155:9 *2207:22 0.00165332 +26 *1155:9 *2259:18 0.00169622 +27 *1155:9 *2262:16 0.00153265 +28 *1155:9 *2264:16 0.000771918 +29 *1155:9 *2273:20 0.000810042 +30 *1155:9 *2332:34 0.00606233 +31 *1155:22 *2022:22 0.00966151 +32 *1155:22 *2023:37 0.000445306 +33 *1155:22 *2097:14 0.00620757 +34 *1155:22 *2159:30 0.000244929 +35 *1155:22 *2161:12 0.000603768 +36 *1155:22 *2167:32 0.000104416 +37 *1155:22 *2173:22 0.00073887 +38 *1155:22 *2332:34 0.000348257 +39 *1155:22 *2736:17 0.000422618 +40 *1155:23 *1169:63 0.00291656 +41 *1155:23 *1894:7 0.00576124 +42 *1155:23 *1916:32 0.000267774 +43 *1155:23 *2129:33 2.34143e-05 +44 *1155:23 *2162:29 0.00302267 +45 *1155:23 *2162:35 0.0253061 +46 *1155:23 *2166:40 0.00016362 +47 *1155:23 *2325:16 0.00180621 +48 *1155:26 *2396:6 4.53485e-05 +49 *1155:26 *2935:8 0 +*RES +1 *21361:Y *1155:8 17.9118 +2 *1155:8 *1155:9 74.0541 +3 *1155:9 *1155:22 18.2068 +4 *1155:22 *1155:23 51.719 +5 *1155:23 *1155:26 6.23891 +6 *1155:26 *4970:DIODE 13.7491 +7 *1155:26 *22514:A 18.0727 +*END + +*D_NET *1156 0.103593 +*CONN +*I *4972:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22515:A I *D sky130_fd_sc_hd__einvp_8 +*I *21380:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *4972:DIODE 0 +2 *22515:A 0.000336237 +3 *21380:Y 5.98236e-05 +4 *1156:33 0.00179591 +5 *1156:27 0.00320301 +6 *1156:25 0.00215454 +7 *1156:22 0.000637748 +8 *1156:17 0.00233966 +9 *1156:11 0.0182299 +10 *1156:10 0.0161766 +11 *22515:A *1985:24 1.39864e-05 +12 *22515:A *1985:38 0.000195949 +13 *1156:10 *2409:18 1.66771e-05 +14 *1156:11 *1158:9 0.0027528 +15 *1156:11 *1158:23 8.43111e-05 +16 *1156:11 *2092:46 0.001331 +17 *1156:11 *2253:44 0.000117719 +18 *1156:11 *2403:18 6.03915e-05 +19 *1156:11 *2406:20 0.000104995 +20 *1156:11 *2410:22 0 +21 *1156:11 *2430:18 1.57481e-05 +22 *1156:11 *2493:30 0.000295324 +23 *1156:11 *2494:33 0.000535381 +24 *1156:11 *2539:22 0.0172189 +25 *1156:11 *2622:23 0.00430965 +26 *1156:11 *2624:35 0.000130331 +27 *1156:11 *2632:40 0.00144359 +28 *1156:11 *2639:21 0.000133887 +29 *1156:11 *3048:11 0.000110257 +30 *1156:11 *3050:9 0.000110257 +31 *1156:11 *3417:35 0.00250491 +32 *1156:11 *3439:26 0.000340646 +33 *1156:17 *2782:8 0 +34 *1156:17 *3417:35 0.00010238 +35 *1156:17 *3439:33 0.0032414 +36 *1156:22 *5966:DIODE 9.12416e-06 +37 *1156:22 *23330:B 3.12609e-05 +38 *1156:22 *2122:39 2.33289e-05 +39 *1156:22 *2490:30 9.42362e-06 +40 *1156:25 *23453:A 0.000224381 +41 *1156:25 *1991:30 0.000102939 +42 *1156:25 *2122:24 0.00396445 +43 *1156:25 *2140:8 7.78853e-05 +44 *1156:25 *2232:19 0.000124658 +45 *1156:27 *6213:DIODE 0.000259093 +46 *1156:27 *23324:A 0.000483474 +47 *1156:27 *23324:B 4.33819e-05 +48 *1156:27 *23453:A 1.67988e-05 +49 *1156:27 *1572:5 0.000224256 +50 *1156:27 *2140:8 0.00013013 +51 *1156:27 *2764:11 0.00062766 +52 *1156:27 *3239:27 0.00374642 +53 *1156:33 *23318:A 7.01552e-05 +54 *1156:33 *1693:9 3.36632e-05 +55 *1156:33 *1985:38 0.00041238 +56 *1156:33 *2222:17 0 +57 *1156:33 *2223:19 0 +58 *1156:33 *2763:13 7.09666e-06 +59 *1138:7 *1156:11 0.000133887 +60 *1152:29 *1156:25 0.00431687 +61 *1152:44 *1156:25 2.6758e-05 +62 *1152:44 *1156:27 0.000224395 +63 *1154:9 *1156:11 0.00816017 +64 *1154:38 *1156:33 4.69495e-06 +*RES +1 *21380:Y *1156:10 18.2199 +2 *1156:10 *1156:11 62.0834 +3 *1156:11 *1156:17 45.8477 +4 *1156:17 *1156:22 13.3235 +5 *1156:22 *1156:25 47.3342 +6 *1156:25 *1156:27 58.4022 +7 *1156:27 *1156:33 35.5175 +8 *1156:33 *22515:A 15.398 +9 *1156:33 *4972:DIODE 9.24915 +*END + +*D_NET *1157 0.177703 +*CONN +*I *22516:A I *D sky130_fd_sc_hd__einvp_8 +*I *4974:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21381:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22516:A 2.3034e-05 +2 *4974:DIODE 0.00015678 +3 *21381:Y 0.00440607 +4 *1157:69 0.000653795 +5 *1157:65 0.00272523 +6 *1157:61 0.00263612 +7 *1157:47 0.00183778 +8 *1157:45 0.00147474 +9 *1157:43 0.0041914 +10 *1157:41 0.0046657 +11 *1157:37 0.00112845 +12 *1157:27 0.00520036 +13 *1157:26 0.00456804 +14 *1157:24 0.0117089 +15 *1157:15 0.0161149 +16 *4974:DIODE *2377:5 4.87439e-05 +17 *1157:15 *2409:18 0 +18 *1157:15 *2416:23 0.000115848 +19 *1157:15 *2419:18 0 +20 *1157:15 *2431:15 0.000267904 +21 *1157:15 *2531:20 0.00030859 +22 *1157:15 *2542:24 0.0159242 +23 *1157:15 *2811:46 0.000170694 +24 *1157:15 *3020:11 0 +25 *1157:24 *1158:28 0 +26 *1157:24 *1175:36 0.00346419 +27 *1157:24 *1710:18 0.000204778 +28 *1157:24 *1980:46 0.000125608 +29 *1157:24 *2258:29 0.0175623 +30 *1157:24 *2460:33 0.000471801 +31 *1157:24 *2504:42 5.60804e-05 +32 *1157:24 *2531:20 0.000427553 +33 *1157:27 *4957:DIODE 0.000322616 +34 *1157:27 *5011:DIODE 0.000154145 +35 *1157:27 *5945:DIODE 0.000162677 +36 *1157:27 *5948:DIODE 5.0715e-05 +37 *1157:27 *5953:DIODE 7.66165e-05 +38 *1157:27 *6175:DIODE 3.95516e-05 +39 *1157:27 *6201:DIODE 3.73237e-05 +40 *1157:27 *6209:DIODE 4.09471e-05 +41 *1157:27 *22534:A 0.000372922 +42 *1157:27 *22534:TE 0.000251669 +43 *1157:27 *23321:B 5.0715e-05 +44 *1157:27 *23323:A 3.99701e-05 +45 *1157:27 *23434:A 0.000491303 +46 *1157:27 *23447:A 0.000415249 +47 *1157:27 *23451:A 0.000423922 +48 *1157:27 *1172:27 0.000759144 +49 *1157:27 *1175:43 6.26402e-05 +50 *1157:27 *1175:45 0.0055016 +51 *1157:27 *1553:5 2.57986e-05 +52 *1157:27 *1570:5 0.000479151 +53 *1157:27 *1980:22 0.00178358 +54 *1157:27 *1980:26 0.000583802 +55 *1157:27 *1980:34 0.000747118 +56 *1157:27 *1980:40 1.63804e-05 +57 *1157:27 *2258:29 0.00010238 +58 *1157:27 *2397:7 1.30449e-05 +59 *1157:27 *2415:27 6.50586e-05 +60 *1157:27 *3395:35 0.000385942 +61 *1157:27 *3395:42 0.000156964 +62 *1157:37 *5909:DIODE 7.22498e-05 +63 *1157:37 *6165:DIODE 4.03336e-05 +64 *1157:37 *23429:A 0.000428892 +65 *1157:37 *1172:35 0.000180257 +66 *1157:37 *1548:5 3.07159e-05 +67 *1157:37 *2147:28 0.000319954 +68 *1157:37 *2152:33 0.00186048 +69 *1157:37 *2397:7 0.000180424 +70 *1157:37 *3262:33 0.00390038 +71 *1157:37 *3339:34 0.000157517 +72 *1157:41 *1671:21 0.000415936 +73 *1157:41 *2397:7 0.0010342 +74 *1157:41 *3262:33 0.000965365 +75 *1157:43 *5689:DIODE 0.000169862 +76 *1157:43 *5690:DIODE 0.000205006 +77 *1157:43 *6152:DIODE 0.000164815 +78 *1157:43 *23168:A 0.000100215 +79 *1157:43 *1671:21 4.14242e-05 +80 *1157:43 *2147:42 0.000452065 +81 *1157:43 *2147:49 0.00320839 +82 *1157:43 *2174:25 0.000318594 +83 *1157:43 *2397:7 9.92162e-05 +84 *1157:43 *2397:17 8.06391e-05 +85 *1157:47 *4552:DIODE 0.000169041 +86 *1157:47 *22303:TE 0.000111708 +87 *1157:47 *1267:15 0.000829421 +88 *1157:47 *2147:65 0.00290999 +89 *1157:47 *2397:19 0.0019401 +90 *1157:61 *4553:DIODE 0.000377422 +91 *1157:61 *23149:A 4.49912e-05 +92 *1157:61 *1268:14 3.29488e-05 +93 *1157:61 *1652:8 1.00004e-05 +94 *1157:61 *2147:65 3.43103e-05 +95 *1157:61 *2150:26 0.0015342 +96 *1157:61 *2174:25 0.000495306 +97 *1157:61 *2397:32 0.00158313 +98 *1157:61 *2509:20 1.9101e-05 +99 *1157:61 *2529:15 2.15348e-05 +100 *1157:65 *1394:8 0.000596247 +101 *1157:65 *1896:31 0 +102 *1157:65 *2127:10 0.000816689 +103 *1157:65 *2175:39 0.000101365 +104 *1157:65 *2397:32 0.000283279 +105 *1157:65 *2448:8 0 +106 *1157:65 *2579:12 0 +107 *1157:65 *2857:9 0.000113968 +108 *1157:65 *2857:15 0.000150646 +109 *1157:65 *2858:33 1.76666e-05 +110 *1157:65 *3450:6 0 +111 *1157:69 *2127:10 0.000321905 +112 *22507:A *1157:27 0.000362066 +113 *1147:13 *1157:24 0.000187761 +114 *1147:22 *1157:24 0.000141942 +115 *1148:35 *1157:27 0.00693589 +116 *1153:15 *1157:15 0.0119573 +117 *1153:32 *1157:24 0.016914 +118 *1153:54 *1157:61 9.59618e-06 +*RES +1 *21381:Y *1157:15 46.9286 +2 *1157:15 *1157:24 47.1779 +3 *1157:24 *1157:26 4.5 +4 *1157:26 *1157:27 189.289 +5 *1157:27 *1157:37 46.7193 +6 *1157:37 *1157:41 30.2741 +7 *1157:41 *1157:43 70.8808 +8 *1157:43 *1157:45 0.578717 +9 *1157:45 *1157:47 60.8979 +10 *1157:47 *1157:61 40.2639 +11 *1157:61 *1157:65 49.5869 +12 *1157:65 *1157:69 10.7304 +13 *1157:69 *4974:DIODE 12.7456 +14 *1157:69 *22516:A 9.82786 +*END + +*D_NET *1158 0.107186 +*CONN +*I *4976:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22517:A I *D sky130_fd_sc_hd__einvp_8 +*I *21382:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *4976:DIODE 0 +2 *22517:A 0.000276911 +3 *21382:Y 1.04986e-05 +4 *1158:29 0.0030009 +5 *1158:28 0.00366709 +6 *1158:23 0.00283281 +7 *1158:9 0.0137846 +8 *1158:8 0.0119054 +9 *22517:A *1967:28 0.000214369 +10 *22517:A *2100:26 1.99146e-05 +11 *22517:A *2100:28 4.63117e-05 +12 *1158:8 *2412:26 4.75721e-06 +13 *1158:8 *3022:14 2.02035e-05 +14 *1158:9 *1159:9 0.00111396 +15 *1158:9 *2092:46 0.00580571 +16 *1158:9 *2122:39 0.0104357 +17 *1158:9 *2122:44 0.0261623 +18 *1158:9 *2491:31 0.000139024 +19 *1158:9 *2494:33 0.00253861 +20 *1158:9 *2539:22 0.00398273 +21 *1158:9 *2628:39 0.000246179 +22 *1158:9 *2632:51 0.000136627 +23 *1158:23 *6235:DIODE 2.99287e-05 +24 *1158:23 *1583:5 0.000127309 +25 *1158:23 *1991:30 0.000131164 +26 *1158:23 *2122:39 0.00104573 +27 *1158:23 *2244:19 6.47951e-05 +28 *1158:23 *2255:26 0.00165822 +29 *1158:23 *2493:30 0.00393375 +30 *1158:23 *2783:10 4.93292e-05 +31 *1158:23 *3332:16 0.000214599 +32 *1158:23 *3406:22 0.000507449 +33 *1158:23 *3417:35 0.00156905 +34 *1158:23 *3439:26 0.000822442 +35 *1158:23 *3439:33 0.000137573 +36 *1158:28 *23464:A 3.29402e-05 +37 *1158:28 *2140:7 0.00091886 +38 *1158:29 *1967:28 0.0045129 +39 *1158:29 *2115:19 0.000231712 +40 *1147:22 *1158:28 5.91266e-06 +41 *1148:34 *1158:28 2.33103e-06 +42 *1152:21 *1158:23 0.00142391 +43 *1152:27 *1158:23 0.000547107 +44 *1152:29 *1158:23 3.75343e-05 +45 *1156:11 *1158:9 0.0027528 +46 *1156:11 *1158:23 8.43111e-05 +47 *1157:24 *1158:28 0 +*RES +1 *21382:Y *1158:8 17.4965 +2 *1158:8 *1158:9 57.9147 +3 *1158:9 *1158:23 46.933 +4 *1158:23 *1158:28 29.5183 +5 *1158:28 *1158:29 48.9739 +6 *1158:29 *22517:A 14.2888 +7 *1158:29 *4976:DIODE 9.24915 +*END + +*D_NET *1159 0.142998 +*CONN +*I *4978:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22518:A I *D sky130_fd_sc_hd__einvp_8 +*I *21383:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *4978:DIODE 0.000175413 +2 *22518:A 0 +3 *21383:Y 1.90526e-05 +4 *1159:40 0.000990089 +5 *1159:39 0.00393255 +6 *1159:25 0.00622124 +7 *1159:24 0.00310337 +8 *1159:22 0.00244011 +9 *1159:18 0.00285369 +10 *1159:9 0.0155889 +11 *1159:8 0.0151944 +12 *4978:DIODE *2372:13 6.3657e-05 +13 *1159:9 *1991:30 0.0501242 +14 *1159:9 *2092:46 2.05448e-05 +15 *1159:9 *2122:39 1.91035e-05 +16 *1159:9 *2122:44 0.00406039 +17 *1159:9 *2268:45 0.0154139 +18 *1159:9 *2268:63 0.00406629 +19 *1159:9 *2417:18 0 +20 *1159:9 *2477:35 0.00020273 +21 *1159:9 *2479:45 0.000195609 +22 *1159:9 *2917:15 0.000118134 +23 *1159:18 *23336:B 0.000358568 +24 *1159:18 *1991:30 0.000280374 +25 *1159:18 *2092:46 0.00132583 +26 *1159:18 *2241:38 2.85396e-05 +27 *1159:18 *2482:40 0.000112942 +28 *1159:18 *2625:14 1.5714e-05 +29 *1159:22 *5958:DIODE 1.05272e-06 +30 *1159:22 *23453:A 9.12416e-06 +31 *1159:22 *1700:9 0 +32 *1159:22 *2360:38 0 +33 *1159:22 *2482:40 0.000247872 +34 *1159:22 *2770:9 0 +35 *1159:25 *2349:51 1.41689e-05 +36 *1159:25 *3406:49 0.00129819 +37 *1159:25 *3406:60 0.00112449 +38 *1159:25 *3439:33 0.00104622 +39 *1159:39 *1693:9 0 +40 *1159:39 *2092:24 0.000107496 +41 *1159:39 *2203:24 9.32426e-05 +42 *1159:39 *2230:24 6.1438e-05 +43 *1159:39 *2233:23 0.000307023 +44 *1159:39 *2393:70 0.000105064 +45 *1159:39 *2764:11 0.000120012 +46 *1159:39 *3195:20 3.15978e-05 +47 *1159:39 *3239:35 0.000119332 +48 *1159:39 *3406:60 6.73341e-05 +49 *1159:39 *3417:43 0.00246339 +50 *1159:40 *23306:B 8.39222e-06 +51 *1159:40 *1172:27 0.000198085 +52 *1159:40 *1681:8 0.00027894 +53 *1159:40 *2143:13 0 +54 *22513:A *4978:DIODE 3.67528e-06 +55 *1152:9 *1159:9 0.000220514 +56 *1152:21 *1159:9 0.000165394 +57 *1152:29 *1159:22 0.00380304 +58 *1154:38 *4978:DIODE 2.69218e-05 +59 *1154:38 *1159:39 0.00290779 +60 *1154:38 *1159:40 0.000128983 +61 *1158:9 *1159:9 0.00111396 +*RES +1 *21383:Y *1159:8 17.4965 +2 *1159:8 *1159:9 67.9349 +3 *1159:9 *1159:18 10.2578 +4 *1159:18 *1159:22 48.6479 +5 *1159:22 *1159:24 4.5 +6 *1159:24 *1159:25 53.9653 +7 *1159:25 *1159:39 34.9764 +8 *1159:39 *1159:40 18.6497 +9 *1159:40 *22518:A 13.7491 +10 *1159:40 *4978:DIODE 17.135 +*END + +*D_NET *1160 0.190358 +*CONN +*I *22519:A I *D sky130_fd_sc_hd__einvp_8 +*I *4980:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21384:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22519:A 3.93497e-05 +2 *4980:DIODE 5.9772e-05 +3 *21384:Y 0.000173057 +4 *1160:61 0.00041995 +5 *1160:55 0.0116387 +6 *1160:54 0.0118408 +7 *1160:51 0.00119431 +8 *1160:48 0.000783369 +9 *1160:43 0.00852336 +10 *1160:42 0.00936627 +11 *1160:31 0.00172575 +12 *1160:29 0.00131029 +13 *1160:26 0.00219878 +14 *1160:15 0.00250973 +15 *1160:9 0.00269918 +16 *1160:7 0.00202183 +17 *4980:DIODE *1889:29 9.14505e-05 +18 *4980:DIODE *1949:16 0.000375027 +19 *4980:DIODE *2340:18 4.02438e-05 +20 *1160:7 *4750:DIODE 0.000434578 +21 *1160:7 *1200:5 0.00109921 +22 *1160:7 *2827:33 9.04617e-05 +23 *1160:7 *2827:41 0.00013123 +24 *1160:9 *3726:DIODE 0.000277502 +25 *1160:9 *1200:5 5.75508e-05 +26 *1160:9 *1200:13 0.000643549 +27 *1160:9 *1352:20 0.000743263 +28 *1160:9 *1743:13 0.00398284 +29 *1160:9 *2827:33 6.50727e-05 +30 *1160:15 *4736:DIODE 0.000111708 +31 *1160:15 *1200:13 0.00265157 +32 *1160:15 *1352:20 6.35286e-05 +33 *1160:26 *3555:DIODE 0.000154145 +34 *1160:26 *3585:DIODE 3.31745e-05 +35 *1160:26 *6281:DIODE 0.000113968 +36 *1160:26 *21365:A 0.000765504 +37 *1160:26 *1200:13 6.9815e-05 +38 *1160:26 *1200:25 0.000235437 +39 *1160:26 *1200:27 0.000105684 +40 *1160:26 *1201:5 0.000833055 +41 *1160:26 *1349:13 0.000697373 +42 *1160:26 *2411:16 8.98943e-05 +43 *1160:26 *2429:18 9.34769e-05 +44 *1160:29 *3519:DIODE 1.41853e-05 +45 *1160:29 *21329:A 3.68841e-05 +46 *1160:29 *1184:11 0.00234093 +47 *1160:29 *1195:35 0.000816532 +48 *1160:29 *1200:27 0.00317277 +49 *1160:29 *1201:5 7.68538e-06 +50 *1160:31 *1171:9 0.00010238 +51 *1160:31 *1181:13 0.000369544 +52 *1160:31 *1181:17 0.00164465 +53 *1160:31 *1195:35 1.34424e-05 +54 *1160:31 *1200:41 0.00342602 +55 *1160:31 *1201:5 0.00605859 +56 *1160:42 *1181:19 0.00127094 +57 *1160:42 *1201:16 2.69685e-05 +58 *1160:42 *2585:12 0 +59 *1160:42 *2631:20 3.11741e-05 +60 *1160:42 *2797:26 7.74361e-05 +61 *1160:42 *3193:12 0.000119553 +62 *1160:43 *1171:9 0.000224455 +63 *1160:43 *1201:17 0.0170988 +64 *1160:43 *1207:9 0.00057578 +65 *1160:43 *2153:20 0.00186132 +66 *1160:48 *2333:13 0.000126245 +67 *1160:48 *2334:7 1.48605e-05 +68 *1160:48 *2335:17 0 +69 *1160:51 *2101:32 0.000341986 +70 *1160:51 *2297:8 0.00358222 +71 *1160:51 *2324:10 0.000909799 +72 *1160:54 *1163:22 0.000244071 +73 *1160:54 *2309:17 9.33833e-05 +74 *1160:54 *2310:14 0.00020145 +75 *1160:55 *1164:35 0.000417432 +76 *1160:55 *1943:26 0.000671884 +77 *1160:55 *1951:10 0.00203027 +78 *1160:55 *1951:18 0.0216513 +79 *1160:55 *2030:16 0.000429013 +80 *1160:55 *2123:20 5.83052e-05 +81 *1160:55 *2260:8 0.000231712 +82 *1160:55 *2320:36 0.0135367 +83 *1160:55 *2332:48 0.000209378 +84 *1160:55 *2335:17 0.0113588 +85 *1160:55 *2335:34 0.0136107 +86 *1160:55 *2335:47 0.00929291 +87 *1160:55 *2595:20 5.60804e-05 +88 *1160:55 *2612:24 0.000223179 +89 *1160:61 *1938:19 0.000270498 +90 *1160:61 *1949:16 0.000557411 +91 *1160:61 *2340:18 0.000118252 +92 *1160:61 *2940:28 0.000275105 +*RES +1 *21384:Y *1160:7 21.6433 +2 *1160:7 *1160:9 63.3936 +3 *1160:9 *1160:15 30.1656 +4 *1160:15 *1160:26 47.7975 +5 *1160:26 *1160:29 37.7613 +6 *1160:29 *1160:31 66.1666 +7 *1160:31 *1160:42 35.6979 +8 *1160:42 *1160:43 184.852 +9 *1160:43 *1160:48 11.6625 +10 *1160:48 *1160:51 44.0456 +11 *1160:51 *1160:54 14.9592 +12 *1160:54 *1160:55 56.2702 +13 *1160:55 *1160:61 19.9151 +14 *1160:61 *4980:DIODE 13.3002 +15 *1160:61 *22519:A 10.2378 +*END + +*D_NET *1161 0.100447 +*CONN +*I *4982:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22520:A I *D sky130_fd_sc_hd__einvp_8 +*I *21385:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *4982:DIODE 0 +2 *22520:A 0.000333691 +3 *21385:Y 0.000793261 +4 *1161:69 0.00253508 +5 *1161:65 0.00391517 +6 *1161:63 0.00195611 +7 *1161:57 0.00282228 +8 *1161:53 0.00345607 +9 *1161:49 0.0012112 +10 *1161:43 0.00526754 +11 *1161:41 0.00524352 +12 *1161:31 0.00275001 +13 *1161:29 0.00249351 +14 *1161:23 0.00340136 +15 *1161:21 0.00350878 +16 *1161:15 0.00302267 +17 *1161:13 0.00365395 +18 *22520:A *1819:9 1.51173e-05 +19 *22520:A *3161:15 0.000415258 +20 *1161:13 *4748:DIODE 4.82966e-05 +21 *1161:13 *21348:A 0.000171273 +22 *1161:13 *1364:8 7.41263e-05 +23 *1161:13 *2136:52 0.000489404 +24 *1161:13 *2826:27 0.00013805 +25 *1161:13 *3026:20 0.000268195 +26 *1161:13 *3369:6 8.5506e-05 +27 *1161:15 *4745:DIODE 6.50727e-05 +28 *1161:15 *1168:23 0.000374023 +29 *1161:15 *1182:7 2.37299e-05 +30 *1161:15 *1745:5 0.00493899 +31 *1161:15 *2826:27 1.38625e-05 +32 *1161:21 *1182:7 3.89637e-05 +33 *1161:23 *3735:DIODE 6.50727e-05 +34 *1161:23 *1182:7 8.73295e-05 +35 *1161:23 *1182:9 0.0101572 +36 *1161:23 *1182:24 1.67988e-05 +37 *1161:23 *1183:9 5.51483e-06 +38 *1161:23 *1206:24 0.00302703 +39 *1161:23 *1206:29 1.92172e-05 +40 *1161:23 *2822:21 0.000311343 +41 *1161:23 *2822:27 0.0002646 +42 *1161:23 *3061:13 0.000678617 +43 *1161:29 *1206:29 0.00011818 +44 *1161:31 *4439:DIODE 6.08467e-05 +45 *1161:31 *4659:DIODE 0.000162583 +46 *1161:31 *22246:TE 0.000154145 +47 *1161:31 *1206:29 7.13909e-05 +48 *1161:31 *1206:33 0.00417455 +49 *1161:31 *1206:37 0.000798779 +50 *1161:41 *1206:37 0.000602294 +51 *1161:41 *1206:41 0.000716748 +52 *1161:41 *1947:50 0.00048737 +53 *1161:41 *3183:7 0.000470571 +54 *1161:43 *22478:B 6.40381e-05 +55 *1161:43 *1206:41 0.000884377 +56 *1161:43 *1206:43 0.000763692 +57 *1161:43 *2920:99 0.000897176 +58 *1161:49 *22568:A 0.000167076 +59 *1161:49 *1177:45 0.000759185 +60 *1161:49 *1183:19 1.15389e-05 +61 *1161:49 *1206:43 0.000177199 +62 *1161:49 *2468:7 0.000220183 +63 *1161:49 *2920:87 0.000193731 +64 *1161:53 *22568:TE 0.000142194 +65 *1161:53 *1177:45 1.15389e-05 +66 *1161:53 *2291:40 0.00351132 +67 *1161:53 *2920:87 0.000413238 +68 *1161:57 *22567:TE 3.07133e-05 +69 *1161:57 *1168:36 0.000141764 +70 *1161:57 *2291:34 0.00052527 +71 *1161:57 *2291:38 0.00251721 +72 *1161:57 *2291:40 0.000215665 +73 *1161:63 *22567:TE 1.64789e-05 +74 *1161:63 *2312:11 3.20069e-06 +75 *1161:63 *2313:7 0 +76 *1161:63 *2317:7 0 +77 *1161:65 *5032:DIODE 6.20497e-05 +78 *1161:65 *5033:DIODE 0.000151462 +79 *1161:65 *1168:36 0.000133887 +80 *1161:65 *2280:10 0.00199918 +81 *1161:65 *2289:24 0.000218017 +82 *1161:65 *2291:22 0.000116014 +83 *1161:65 *2291:34 0.000911642 +84 *1161:65 *2293:12 0.00127293 +85 *1161:65 *2299:8 0.000169122 +86 *1161:65 *2312:11 0.000472639 +87 *1161:65 *3161:17 0.00378216 +88 *1161:69 *3145:15 0.00034536 +89 *1161:69 *3161:15 0.000275948 +90 *1161:69 *3161:17 0.00144636 +91 *1137:44 *1161:53 0.0014496 +*RES +1 *21385:Y *1161:13 41.9218 +2 *1161:13 *1161:15 78.3679 +3 *1161:15 *1161:21 3.26734 +4 *1161:21 *1161:23 124.677 +5 *1161:23 *1161:29 2.43543 +6 *1161:29 *1161:31 71.1581 +7 *1161:31 *1161:41 16.4934 +8 *1161:41 *1161:43 80.309 +9 *1161:43 *1161:49 14.2147 +10 *1161:49 *1161:53 40.679 +11 *1161:53 *1161:57 49.5917 +12 *1161:57 *1161:63 7.93663 +13 *1161:63 *1161:65 75.8722 +14 *1161:65 *1161:69 38.7379 +15 *1161:69 *22520:A 24.2715 +16 *1161:69 *4982:DIODE 9.24915 +*END + +*D_NET *1162 0.13259 +*CONN +*I *22521:A I *D sky130_fd_sc_hd__einvp_8 +*I *4984:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21386:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *22521:A 0 +2 *4984:DIODE 2.48361e-05 +3 *21386:Y 2.3034e-05 +4 *1162:36 0.000568492 +5 *1162:25 0.00760684 +6 *1162:24 0.00713497 +7 *1162:19 0.00904045 +8 *1162:18 0.0091912 +9 *1162:7 0.0093332 +10 *1162:5 0.00913369 +11 *4984:DIODE *1911:18 0.000111708 +12 *4984:DIODE *2318:42 0.000164815 +13 *4984:DIODE *2344:44 2.41483e-05 +14 *1162:7 *3531:DIODE 0.000205189 +15 *1162:7 *3582:DIODE 6.08467e-05 +16 *1162:7 *3592:DIODE 9.9028e-05 +17 *1162:7 *6266:DIODE 8.90486e-05 +18 *1162:7 *6267:DIODE 6.50586e-05 +19 *1162:7 *21392:A 6.23875e-05 +20 *1162:7 *21394:A 7.77744e-05 +21 *1162:7 *21399:A 7.16754e-05 +22 *1162:7 *23496:A 6.73351e-05 +23 *1162:7 *1165:9 0.000595822 +24 *1162:7 *1177:20 8.221e-05 +25 *1162:7 *1190:32 0.000905619 +26 *1162:7 *1198:10 0.000158451 +27 *1162:7 *1205:5 0.00159185 +28 *1162:7 *1205:14 0.00043038 +29 *1162:7 *1343:11 0.000508175 +30 *1162:7 *2816:31 0.000359052 +31 *1162:7 *3351:10 0.000122378 +32 *1162:18 *1190:33 0.00021569 +33 *1162:18 *1198:23 0.000207266 +34 *1162:18 *1210:8 5.42662e-05 +35 *1162:18 *1342:10 5.18094e-05 +36 *1162:18 *1962:13 5.88009e-05 +37 *1162:18 *2113:17 6.22114e-05 +38 *1162:19 *1167:9 0.000108607 +39 *1162:19 *1176:9 0.00211568 +40 *1162:19 *1190:41 0.00448401 +41 *1162:19 *1190:43 0.000231042 +42 *1162:19 *1198:23 0.000621568 +43 *1162:19 *2311:26 0.00544991 +44 *1162:24 *2917:12 2.20663e-05 +45 *1162:24 *3250:18 0 +46 *1162:25 *1163:23 0.00101729 +47 *1162:25 *1163:27 0.00181533 +48 *1162:25 *1176:23 0.016809 +49 *1162:25 *1393:41 0.00787503 +50 *1162:25 *1945:28 0.000430676 +51 *1162:25 *2135:24 0.00068119 +52 *1162:25 *2311:20 0.000811295 +53 *1162:25 *2336:8 0.0303505 +54 *1162:25 *2342:8 0.000194161 +55 *1162:36 *4596:DIODE 9.32704e-05 +56 *1162:36 *22451:B 9.17656e-06 +57 *1162:36 *1392:30 0.000216143 +58 *1162:36 *1917:7 4.57563e-05 +59 *1162:36 *1918:7 0.000396709 +60 *1162:36 *1946:22 0.000158357 +61 *1162:36 *2881:29 9.32704e-05 +*RES +1 *21386:Y *1162:5 9.82786 +2 *1162:5 *1162:7 216.464 +3 *1162:7 *1162:18 24.8817 +4 *1162:18 *1162:19 157.122 +5 *1162:19 *1162:24 10.4167 +6 *1162:24 *1162:25 407.802 +7 *1162:25 *1162:36 30.9711 +8 *1162:36 *4984:DIODE 11.0817 +9 *1162:36 *22521:A 9.24915 +*END + +*D_NET *1163 0.125697 +*CONN +*I *22522:A I *D sky130_fd_sc_hd__einvp_8 +*I *4986:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21387:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *22522:A 0 +2 *4986:DIODE 4.35516e-05 +3 *21387:Y 0.00229228 +4 *1163:32 0.000397815 +5 *1163:27 0.00164055 +6 *1163:25 0.00130932 +7 *1163:23 0.00381227 +8 *1163:22 0.00857599 +9 *1163:15 0.0139484 +10 *1163:11 0.011454 +11 *4986:DIODE *1946:38 0.000143702 +12 *4986:DIODE *2320:26 0.000317644 +13 *1163:11 *1167:9 0.00153465 +14 *1163:11 *1197:5 0.00017378 +15 *1163:11 *1992:21 1.91391e-05 +16 *1163:11 *2957:25 4.20184e-06 +17 *1163:11 *3026:20 0.000114471 +18 *1163:15 *1167:9 0.0303139 +19 *1163:15 *1185:5 0.000183868 +20 *1163:15 *1185:16 0.000936609 +21 *1163:15 *1190:33 0.00010238 +22 *1163:15 *1191:15 0.000192797 +23 *1163:15 *1195:17 3.35503e-05 +24 *1163:15 *1195:28 1.32028e-05 +25 *1163:15 *1197:5 0.000409555 +26 *1163:15 *1198:23 0.000108607 +27 *1163:15 *2119:34 0.00252492 +28 *1163:15 *2119:42 0.0046942 +29 *1163:15 *2137:69 6.82074e-05 +30 *1163:15 *2311:34 0.000118134 +31 *1163:15 *3010:20 1.79334e-05 +32 *1163:15 *3011:20 5.60804e-05 +33 *1163:15 *3016:20 4.35313e-05 +34 *1163:15 *3018:18 1.84122e-06 +35 *1163:15 *3019:20 1.84122e-06 +36 *1163:22 *1167:9 0.00617353 +37 *1163:22 *2119:34 0.017153 +38 *1163:22 *2310:14 0.000239617 +39 *1163:23 *1176:23 0.00126104 +40 *1163:23 *1187:9 0.00379678 +41 *1163:23 *1406:9 0.00110257 +42 *1163:23 *2123:20 0.000653716 +43 *1163:23 *2306:8 0.000604141 +44 *1163:27 *1164:43 0.00379526 +45 *1163:27 *1393:41 2.57847e-05 +46 *1163:27 *1406:9 0.00117516 +47 *1163:27 *2227:13 0.000738863 +48 *1163:27 *2326:8 0.000152056 +49 *1163:32 *23530:A 3.92776e-05 +50 *1163:32 *1393:44 0.000106152 +51 *1163:32 *2209:27 0 +52 *1163:32 *2384:6 0 +53 *1163:32 *3407:6 0 +54 *1160:54 *1163:22 0.000244071 +55 *1162:25 *1163:23 0.00101729 +56 *1162:25 *1163:27 0.00181533 +*RES +1 *21387:Y *1163:11 23.6374 +2 *1163:11 *1163:15 40.3702 +3 *1163:15 *1163:22 36.2656 +4 *1163:22 *1163:23 124.677 +5 *1163:23 *1163:25 0.578717 +6 *1163:25 *1163:27 77.536 +7 *1163:27 *1163:32 15.815 +8 *1163:32 *4986:DIODE 12.7456 +9 *1163:32 *22522:A 9.24915 +*END + +*D_NET *1164 0.132994 +*CONN +*I *22523:A I *D sky130_fd_sc_hd__einvp_8 +*I *4988:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21388:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 *22523:A 0 +2 *4988:DIODE 0.000240391 +3 *21388:Y 0.000115364 +4 *1164:46 0.000554482 +5 *1164:43 0.000730484 +6 *1164:40 0.00052856 +7 *1164:35 0.00358048 +8 *1164:33 0.00423403 +9 *1164:26 0.00117428 +10 *1164:15 0.00458936 +11 *1164:14 0.00456435 +12 *1164:9 0.0169883 +13 *1164:8 0.0167201 +14 *4988:DIODE *4987:DIODE 9.21233e-05 +15 *4988:DIODE *1946:38 5.15213e-05 +16 *4988:DIODE *2171:19 7.09666e-06 +17 *4988:DIODE *2318:28 0.000164829 +18 *4988:DIODE *2320:26 0.000107496 +19 *4988:DIODE *3407:6 0 +20 *1164:8 *1168:12 0.000112199 +21 *1164:8 *1196:8 0.000165158 +22 *1164:8 *2696:8 0.000410358 +23 *1164:9 *1168:23 0.0119103 +24 *1164:9 *1168:36 9.15144e-05 +25 *1164:9 *1179:40 0.00171638 +26 *1164:9 *1184:28 0.00221804 +27 *1164:9 *1196:9 0.000695104 +28 *1164:9 *1199:9 0.000118134 +29 *1164:9 *1199:32 0.000961784 +30 *1164:9 *1199:35 0.00010238 +31 *1164:9 *1952:43 0.00747028 +32 *1164:9 *1991:39 6.21462e-05 +33 *1164:9 *1995:57 0.012612 +34 *1164:9 *2915:56 0.00324167 +35 *1164:9 *2916:43 0.00390296 +36 *1164:9 *3015:20 6.21462e-05 +37 *1164:14 *2506:14 0 +38 *1164:14 *2916:22 0 +39 *1164:14 *2920:48 0.000210401 +40 *1164:15 *4926:DIODE 2.65667e-05 +41 *1164:15 *22362:TE 7.6719e-06 +42 *1164:15 *23079:A 5.8973e-05 +43 *1164:15 *1838:7 0.00138389 +44 *1164:15 *1943:48 0.00334114 +45 *1164:15 *2294:26 0.000386531 +46 *1164:15 *2294:28 2.77625e-06 +47 *1164:26 *1176:23 0.0013711 +48 *1164:26 *1943:42 8.3506e-05 +49 *1164:26 *2306:8 0.0013641 +50 *1164:26 *2308:8 0.00013115 +51 *1164:26 *2309:17 0.00012774 +52 *1164:26 *2317:7 0.000117975 +53 *1164:33 *1943:35 6.08467e-05 +54 *1164:33 *1943:36 0.00302937 +55 *1164:33 *2282:8 0.000433888 +56 *1164:33 *2294:18 0.000773951 +57 *1164:33 *2294:26 0.000111168 +58 *1164:35 *5040:DIODE 6.08467e-05 +59 *1164:35 *22549:A 0.000318385 +60 *1164:35 *1943:26 0.00980497 +61 *1164:35 *1943:35 0.000106585 +62 *1164:35 *2335:17 0.000528581 +63 *1164:35 *2335:34 0.000459803 +64 *1164:40 *2216:8 0 +65 *1164:40 *3117:6 3.8071e-05 +66 *1164:43 *1406:9 0.00378685 +67 *1164:46 *4987:DIODE 3.05511e-05 +68 *1164:46 *2193:7 0.000278906 +69 *1164:46 *3051:6 4.91246e-05 +70 *1160:55 *1164:35 0.000417432 +71 *1163:27 *1164:43 0.00379526 +*RES +1 *21388:Y *1164:8 24.1406 +2 *1164:8 *1164:9 58.5649 +3 *1164:9 *1164:14 16.1372 +4 *1164:14 *1164:15 71.7127 +5 *1164:15 *1164:26 38.8833 +6 *1164:26 *1164:33 40.3173 +7 *1164:33 *1164:35 112.199 +8 *1164:35 *1164:40 11.2472 +9 *1164:40 *1164:43 45.7095 +10 *1164:43 *1164:46 10.8998 +11 *1164:46 *4988:DIODE 23.607 +12 *1164:46 *22523:A 9.24915 +*END + +*D_NET *1165 0.131685 +*CONN +*I *4990:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22524:A I *D sky130_fd_sc_hd__einvp_8 +*I *21389:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *4990:DIODE 8.1919e-05 +2 *22524:A 0 +3 *21389:Y 9.81557e-06 +4 *1165:20 0.000787604 +5 *1165:15 0.00293741 +6 *1165:14 0.00248871 +7 *1165:9 0.0162591 +8 *1165:8 0.016012 +9 *4990:DIODE *1936:64 0.000323366 +10 *4990:DIODE *1946:40 5.90902e-05 +11 *1165:8 *1168:12 7.86825e-06 +12 *1165:8 *2696:8 2.02035e-05 +13 *1165:9 *1167:9 0.000923116 +14 *1165:9 *1176:15 0.000115411 +15 *1165:9 *1176:23 0.00010238 +16 *1165:9 *1184:28 0 +17 *1165:9 *1828:10 0.000110257 +18 *1165:9 *1966:16 0 +19 *1165:9 *2123:20 0.0183885 +20 *1165:9 *2130:29 0.0150266 +21 *1165:9 *2135:24 0.00391092 +22 *1165:9 *2135:41 0.0101243 +23 *1165:9 *2135:52 0.00543875 +24 *1165:9 *2306:14 0.00010238 +25 *1165:9 *2342:8 0.00115302 +26 *1165:9 *2816:31 0.000101365 +27 *1165:14 *2335:17 0.0002834 +28 *1165:14 *2336:7 7.95157e-05 +29 *1165:15 *2085:26 0.00131456 +30 *1165:15 *2137:24 0.0186137 +31 *1165:15 *2236:19 0.000745795 +32 *1165:15 *2321:14 0.0155473 +33 *1165:20 *1812:6 0 +34 *1165:20 *2215:8 0 +35 *1165:20 *2388:18 2.08128e-05 +36 *1162:7 *1165:9 0.000595822 +*RES +1 *21389:Y *1165:8 17.4965 +2 *1165:8 *1165:9 64.3016 +3 *1165:9 *1165:14 14.0609 +4 *1165:14 *1165:15 203.154 +5 *1165:15 *1165:20 22.4591 +6 *1165:20 *22524:A 9.24915 +7 *1165:20 *4990:DIODE 13.3243 +*END + +*D_NET *1166 0.00313891 +*CONN +*I *22525:A I *D sky130_fd_sc_hd__einvp_4 +*I *4992:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21362:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22525:A 0 +2 *4992:DIODE 3.67843e-05 +3 *21362:Y 0.00147359 +4 *1166:10 0.00151037 +5 *4992:DIODE *2387:7 0.000118166 +6 *1166:10 *22529:A 0 +7 *1166:10 *1170:8 0 +8 *1166:10 *1258:10 0 +9 *1166:10 *2391:8 0 +10 *1166:10 *2861:12 0 +*RES +1 *21362:Y *1166:10 42.8381 +2 *1166:10 *4992:DIODE 10.5271 +3 *1166:10 *22525:A 9.24915 +*END + +*D_NET *1167 0.144401 +*CONN +*I *22526:A I *D sky130_fd_sc_hd__einvp_8 +*I *4994:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21390:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *22526:A 9.33851e-05 +2 *4994:DIODE 0 +3 *21390:Y 9.81557e-06 +4 *1167:12 0.000666029 +5 *1167:9 0.018375 +6 *1167:8 0.0178122 +7 *22526:A *2050:17 8.02893e-06 +8 *22526:A *2324:20 3.71651e-05 +9 *22526:A *2329:37 0.000123099 +10 *22526:A *2344:26 0.000207751 +11 *1167:8 *1168:12 2.02035e-05 +12 *1167:8 *2696:8 7.86825e-06 +13 *1167:9 *1176:9 0.00010238 +14 *1167:9 *1190:41 0.000118134 +15 *1167:9 *1193:5 0.00082697 +16 *1167:9 *1945:28 0.00029687 +17 *1167:9 *2050:17 8.62976e-06 +18 *1167:9 *2053:18 3.85897e-05 +19 *1167:9 *2119:34 4.54318e-05 +20 *1167:9 *2135:24 0.0271226 +21 *1167:9 *2135:41 0.0156682 +22 *1167:9 *2135:52 0.0145798 +23 *1167:9 *2234:25 5.09003e-05 +24 *1167:9 *2245:17 0.00119752 +25 *1167:9 *2247:19 0.00695487 +26 *1167:9 *2250:8 0.000608222 +27 *1167:9 *3026:20 0.000114382 +28 *1167:12 *2050:17 0.000109861 +29 *1167:12 *2089:19 8.6868e-05 +30 *1167:12 *2329:37 4.07419e-05 +31 *1167:12 *2335:17 1.5714e-05 +32 *1162:19 *1167:9 0.000108607 +33 *1163:11 *1167:9 0.00153465 +34 *1163:15 *1167:9 0.0303139 +35 *1163:22 *1167:9 0.00617353 +36 *1165:9 *1167:9 0.000923116 +*RES +1 *21390:Y *1167:8 17.4965 +2 *1167:8 *1167:9 86.4073 +3 *1167:9 *1167:12 15.3745 +4 *1167:12 *4994:DIODE 13.7491 +5 *1167:12 *22526:A 17.1378 +*END + +*D_NET *1168 0.113061 +*CONN +*I *4996:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22527:A I *D sky130_fd_sc_hd__einvp_8 +*I *21391:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *4996:DIODE 0 +2 *22527:A 2.72311e-05 +3 *21391:Y 0.000402607 +4 *1168:38 2.72311e-05 +5 *1168:36 0.0107255 +6 *1168:23 0.0278496 +7 *1168:12 0.0175267 +8 *22527:A *1946:48 0.000218562 +9 *22527:A *2318:18 0.000215771 +10 *1168:12 *1196:8 0.000205317 +11 *1168:12 *2422:22 0.00103679 +12 *1168:12 *2696:8 0.000144398 +13 *1168:12 *3092:7 3.14978e-05 +14 *1168:23 *1182:7 0.000126544 +15 *1168:23 *1183:9 0.000118462 +16 *1168:23 *1745:5 0.000137341 +17 *1168:23 *2122:51 1.89576e-05 +18 *1168:23 *2132:49 0 +19 *1168:23 *2826:20 0.00504036 +20 *1168:23 *2916:43 0.00257378 +21 *1168:23 *3015:20 6.21462e-05 +22 *1168:36 *1177:44 0.00358308 +23 *1168:36 *1952:32 3.98711e-05 +24 *1168:36 *1952:43 0.00784757 +25 *1168:36 *2131:20 0.00153322 +26 *1168:36 *2132:38 0.000637552 +27 *1168:36 *2291:38 0.000130331 +28 *1168:36 *2329:27 0.000529839 +29 *1168:36 *2343:8 0.0105901 +30 *1168:36 *2903:53 9.70552e-05 +31 *1168:36 *2912:30 0.00336893 +32 *1168:36 *2916:43 0.000498342 +33 *1168:36 *3145:15 0.00293451 +34 *1168:36 *3161:17 0.000488151 +35 *1168:36 *3384:23 0.000976068 +36 *1168:36 *3417:35 0.000442419 +37 *1168:36 *3439:26 8.36264e-05 +38 *1161:15 *1168:23 0.000374023 +39 *1161:57 *1168:36 0.000141764 +40 *1161:65 *1168:36 0.000133887 +41 *1164:8 *1168:12 0.000112199 +42 *1164:9 *1168:23 0.0119103 +43 *1164:9 *1168:36 9.15144e-05 +44 *1165:8 *1168:12 7.86825e-06 +45 *1167:8 *1168:12 2.02035e-05 +*RES +1 *21391:Y *1168:12 36.2393 +2 *1168:12 *1168:23 47.8712 +3 *1168:23 *1168:36 45.7192 +4 *1168:36 *1168:38 4.5 +5 *1168:38 *22527:A 11.6364 +6 *1168:38 *4996:DIODE 9.24915 +*END + +*D_NET *1169 0.17525 +*CONN +*I *22528:A I *D sky130_fd_sc_hd__einvp_8 +*I *4998:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21363:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22528:A 0 +2 *4998:DIODE 0.000160383 +3 *21363:Y 0.000352453 +4 *1169:101 0.000178006 +5 *1169:99 0.00266049 +6 *1169:98 0.00273966 +7 *1169:89 0.00131 +8 *1169:88 0.00169919 +9 *1169:76 0.00291569 +10 *1169:72 0.00353029 +11 *1169:66 0.00141143 +12 *1169:63 0.00467295 +13 *1169:43 0.00848406 +14 *1169:42 0.0043351 +15 *1169:31 0.000719402 +16 *1169:29 0.000601009 +17 *1169:23 0.000926987 +18 *1169:22 0.00214586 +19 *1169:11 0.00266141 +20 *1169:10 0.00170023 +21 *4998:DIODE *2839:34 7.05107e-05 +22 *1169:10 *1989:63 0.000303528 +23 *1169:10 *2137:56 9.2932e-05 +24 *1169:10 *2823:12 0.000303528 +25 *1169:11 *5787:DIODE 0.000311249 +26 *1169:11 *21328:A 0.000113968 +27 *1169:11 *21406:A 0.000167076 +28 *1169:11 *1181:7 0.000860973 +29 *1169:11 *1184:17 0.00433681 +30 *1169:11 *1190:9 0.000244847 +31 *1169:11 *1207:9 0.000160053 +32 *1169:11 *1342:10 0.000112277 +33 *1169:11 *1485:20 0.00302921 +34 *1169:11 *2812:43 1.67988e-05 +35 *1169:11 *2812:45 0.00495107 +36 *1169:22 *1171:9 0.000380441 +37 *1169:22 *1458:10 7.13655e-06 +38 *1169:22 *1983:33 1.5714e-05 +39 *1169:22 *2136:45 0.000355412 +40 *1169:22 *2797:26 1.9101e-05 +41 *1169:23 *22361:A 0.000118166 +42 *1169:23 *2270:24 0.000142631 +43 *1169:23 *2270:34 0.00377273 +44 *1169:23 *2272:42 0.00389671 +45 *1169:23 *2272:44 1.67988e-05 +46 *1169:23 *2275:10 0.00831267 +47 *1169:23 *3189:10 0.000118796 +48 *1169:29 *2270:24 0.000370829 +49 *1169:29 *2275:10 0.000136425 +50 *1169:31 *21315:A 0.000103983 +51 *1169:31 *2270:24 0.000158122 +52 *1169:31 *2272:28 0.00435052 +53 *1169:31 *2275:10 0.004962 +54 *1169:31 *2926:38 0.000113968 +55 *1169:42 *1171:9 1.06223e-05 +56 *1169:42 *1453:11 4.69495e-06 +57 *1169:42 *2096:27 1.5714e-05 +58 *1169:42 *2097:14 0.00013423 +59 *1169:42 *2272:27 0.000390298 +60 *1169:42 *2924:52 4.45558e-05 +61 *1169:42 *2924:57 1.91391e-05 +62 *1169:43 *1932:61 0.000167701 +63 *1169:43 *1932:68 0.0131371 +64 *1169:43 *1945:22 0.0034213 +65 *1169:43 *2097:14 0.00114896 +66 *1169:43 *2101:28 0.00384535 +67 *1169:43 *2166:10 5.96438e-05 +68 *1169:43 *2180:38 0.000128579 +69 *1169:43 *2181:20 0.000614745 +70 *1169:43 *2243:23 0.000231712 +71 *1169:43 *2248:18 0.000834718 +72 *1169:43 *2248:24 2.32625e-05 +73 *1169:43 *2248:26 6.88361e-05 +74 *1169:43 *2259:16 0.00202903 +75 *1169:43 *2270:12 0.00130562 +76 *1169:43 *2270:24 0.00138497 +77 *1169:43 *2272:10 3.2967e-05 +78 *1169:43 *2272:16 0.00543276 +79 *1169:43 *2273:15 0.00231866 +80 *1169:43 *2275:10 0.0132194 +81 *1169:43 *2279:28 0.000120719 +82 *1169:43 *2325:10 0.000139046 +83 *1169:63 *1171:26 0.00391791 +84 *1169:63 *1671:17 0.000108986 +85 *1169:63 *1912:20 2.1203e-06 +86 *1169:63 *1920:7 7.60356e-05 +87 *1169:63 *1932:61 6.91789e-06 +88 *1169:63 *1936:37 1.07269e-05 +89 *1169:63 *2085:20 0.00198823 +90 *1169:63 *2101:25 0 +91 *1169:63 *2119:25 0.000213341 +92 *1169:63 *2155:13 2.16573e-05 +93 *1169:63 *2155:23 3.30161e-05 +94 *1169:63 *2156:16 7.24873e-05 +95 *1169:63 *2162:29 6.18304e-05 +96 *1169:63 *2163:25 7.60356e-05 +97 *1169:63 *2169:22 0.000540139 +98 *1169:63 *2192:25 0.000382755 +99 *1169:63 *2325:16 0.00307151 +100 *1169:63 *2592:15 6.03122e-05 +101 *1169:63 *2598:20 0.000462701 +102 *1169:63 *3317:31 0.000114394 +103 *1169:66 *1932:15 1.2693e-05 +104 *1169:66 *1937:11 1.21887e-05 +105 *1169:66 *2025:7 4.0605e-06 +106 *1169:66 *2129:33 0.000385481 +107 *1169:66 *2156:41 0.000342432 +108 *1169:66 *2162:29 2.1203e-06 +109 *1169:72 *2183:24 0.00341044 +110 *1169:72 *2366:12 0.000140307 +111 *1169:72 *3119:15 0.00154874 +112 *1169:72 *3151:58 0 +113 *1169:76 *1392:11 0.000452353 +114 *1169:76 *1392:13 0.00261356 +115 *1169:76 *2004:19 5.4251e-05 +116 *1169:76 *2852:34 0.000140307 +117 *1169:88 *22424:A_N 6.50586e-05 +118 *1169:88 *1905:40 0.00151416 +119 *1169:88 *2337:26 0.00072211 +120 *1169:88 *3450:6 2.83629e-05 +121 *1169:89 *3644:DIODE 0.000164829 +122 *1169:89 *6099:DIODE 1.69964e-05 +123 *1169:89 *23394:A 2.07365e-05 +124 *1169:89 *23394:B 4.87439e-05 +125 *1169:89 *23396:A 8.54415e-05 +126 *1169:89 *1255:9 0.00152777 +127 *1169:89 *1371:34 0.00100472 +128 *1169:89 *1441:25 0.0032444 +129 *1169:89 *1515:11 0.000111722 +130 *1169:89 *1641:10 1.01177e-05 +131 *1169:89 *2839:36 2.41274e-06 +132 *1169:89 *2839:52 9.9411e-05 +133 *1169:89 *2850:33 0.000676571 +134 *1169:89 *3188:29 0.000268272 +135 *1169:98 *2566:22 5.39635e-06 +136 *1169:99 *4520:DIODE 0.000251595 +137 *1169:99 *1251:11 0.00168705 +138 *1169:99 *1255:9 0.00262646 +139 *1169:99 *2335:47 0.000204778 +140 *1169:99 *2839:34 0.000220035 +141 *1169:99 *2839:36 0.00134843 +142 *1155:9 *1169:22 0.000121501 +143 *1155:23 *1169:63 0.00291656 +*RES +1 *21363:Y *1169:10 27.1755 +2 *1169:10 *1169:11 92.233 +3 *1169:11 *1169:22 19.9867 +4 *1169:22 *1169:23 89.46 +5 *1169:23 *1169:29 5.20845 +6 *1169:29 *1169:31 53.4107 +7 *1169:31 *1169:42 17.3096 +8 *1169:42 *1169:43 342.359 +9 *1169:43 *1169:63 45.4161 +10 *1169:63 *1169:66 13.5989 +11 *1169:66 *1169:72 49.9596 +12 *1169:72 *1169:76 47.678 +13 *1169:76 *1169:88 35.2414 +14 *1169:88 *1169:89 62.2844 +15 *1169:89 *1169:98 11.0518 +16 *1169:98 *1169:99 87.7962 +17 *1169:99 *1169:101 0.578717 +18 *1169:101 *4998:DIODE 13.3243 +19 *1169:101 *22528:A 9.24915 +*END + +*D_NET *1170 0.00485234 +*CONN +*I *22529:A I *D sky130_fd_sc_hd__einvp_4 +*I *5000:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21364:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22529:A 0.000146956 +2 *5000:DIODE 0 +3 *21364:Y 0.00215507 +4 *1170:8 0.00230202 +5 *22529:A *2614:17 0 +6 *22529:A *2626:6 8.04605e-05 +7 *1170:8 *5007:DIODE 1.43848e-05 +8 *1170:8 *22532:A 5.46286e-05 +9 *1170:8 *22533:A 2.32594e-05 +10 *1170:8 *2626:6 7.55575e-05 +11 *1166:10 *22529:A 0 +12 *1166:10 *1170:8 0 +*RES +1 *21364:Y *1170:8 46.9415 +2 *1170:8 *5000:DIODE 13.7491 +3 *1170:8 *22529:A 18.4879 +*END + +*D_NET *1171 0.17011 +*CONN +*I *22530:A I *D sky130_fd_sc_hd__einvp_8 +*I *5002:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21365:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22530:A 0 +2 *5002:DIODE 2.96306e-05 +3 *21365:Y 2.22263e-05 +4 *1171:32 0.00622031 +5 *1171:26 0.01016 +6 *1171:15 0.00652992 +7 *1171:14 0.00259109 +8 *1171:9 0.0228264 +9 *1171:8 0.0228182 +10 *5002:DIODE *2337:34 9.40969e-05 +11 *5002:DIODE *2340:48 0.000224395 +12 *1171:8 *1995:41 3.91685e-05 +13 *1171:8 *2965:18 3.91685e-05 +14 *1171:9 *6039:DIODE 3.44597e-05 +15 *1171:9 *1195:28 0.000131758 +16 *1171:9 *1200:38 0.00102462 +17 *1171:9 *1201:5 0.00010238 +18 *1171:9 *1201:16 0.000259567 +19 *1171:9 *1201:17 0.000325634 +20 *1171:9 *1979:31 0 +21 *1171:9 *1984:33 0.00100957 +22 *1171:9 *1988:32 0.00360294 +23 *1171:9 *2036:19 8.20799e-06 +24 *1171:9 *2097:14 0 +25 *1171:9 *2121:39 0.00183347 +26 *1171:9 *2136:45 0 +27 *1171:9 *2153:20 0.000637216 +28 *1171:9 *2240:28 0.00236787 +29 *1171:9 *2242:23 0.000749888 +30 *1171:9 *2243:23 0.000123579 +31 *1171:9 *2244:19 0.00133391 +32 *1171:9 *2266:23 0.000423251 +33 *1171:9 *2301:12 0.00010238 +34 *1171:9 *2924:35 0.00523158 +35 *1171:9 *2924:52 0.000314691 +36 *1171:9 *2965:15 1.33805e-05 +37 *1171:9 *3350:18 0.000266924 +38 *1171:14 *2295:11 4.25398e-05 +39 *1171:15 *1198:57 0.00103529 +40 *1171:15 *2036:20 0.00205724 +41 *1171:15 *2089:19 0.00029687 +42 *1171:15 *2119:26 0.0180712 +43 *1171:15 *2172:12 0.000258222 +44 *1171:15 *2241:28 0.000204778 +45 *1171:15 *2244:19 0.000176586 +46 *1171:15 *2262:11 0.00288662 +47 *1171:15 *2266:8 0.00045276 +48 *1171:15 *3117:9 0.000869526 +49 *1171:15 *3184:9 0.00679321 +50 *1171:26 *1671:17 0.000104754 +51 *1171:26 *1905:31 0.000165759 +52 *1171:26 *1912:20 0.00117974 +53 *1171:26 *1932:25 0.000221384 +54 *1171:26 *1941:7 0.000225837 +55 *1171:26 *2034:20 1.49935e-05 +56 *1171:26 *2137:23 0.00305962 +57 *1171:26 *2155:32 0.000192799 +58 *1171:26 *2162:29 1.88462e-05 +59 *1171:26 *2169:7 6.39153e-06 +60 *1171:26 *2169:22 0.000540938 +61 *1171:26 *2170:12 7.09666e-06 +62 *1171:26 *2192:25 1.09549e-05 +63 *1171:26 *2325:16 0.000652445 +64 *1171:26 *2598:20 0.00142509 +65 *1171:26 *2736:17 0.000119789 +66 *1171:26 *2739:21 0.00051109 +67 *1171:26 *3124:26 0.000273984 +68 *1171:26 *3317:31 0.00246884 +69 *1171:32 *1949:34 0.000127812 +70 *1171:32 *2010:23 4.69495e-06 +71 *1171:32 *2164:26 0.00246536 +72 *1171:32 *2319:14 0.0216693 +73 *1171:32 *2321:20 0.0029714 +74 *1171:32 *2340:36 0.000141764 +75 *1171:32 *3410:13 0.00225724 +76 *1155:9 *1171:9 0 +77 *1160:31 *1171:9 0.00010238 +78 *1160:43 *1171:9 0.000224455 +79 *1169:22 *1171:9 0.000380441 +80 *1169:42 *1171:9 1.06223e-05 +81 *1169:63 *1171:26 0.00391791 +*RES +1 *21365:Y *1171:8 17.9118 +2 *1171:8 *1171:9 52.8281 +3 *1171:9 *1171:14 8.66265 +4 *1171:14 *1171:15 208.7 +5 *1171:15 *1171:26 33.5638 +6 *1171:26 *1171:32 37.0576 +7 *1171:32 *5002:DIODE 11.6364 +8 *1171:32 *22530:A 9.24915 +*END + +*D_NET *1172 0.101493 +*CONN +*I *5004:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22531:A I *D sky130_fd_sc_hd__einvp_8 +*I *21366:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5004:DIODE 0 +2 *22531:A 0.000275536 +3 *21366:Y 0.000556706 +4 *1172:35 0.00112229 +5 *1172:27 0.00244949 +6 *1172:11 0.0165755 +7 *1172:10 0.0155294 +8 *22531:A *2146:16 0.000116764 +9 *22531:A *2147:33 0 +10 *22531:A *2148:13 0.000227357 +11 *22531:A *2889:11 0 +12 *1172:10 *2428:18 0.000239746 +13 *1172:10 *2967:23 0 +14 *1172:11 *6021:DIODE 3.83172e-05 +15 *1172:11 *6237:DIODE 5.23571e-05 +16 *1172:11 *6243:DIODE 2.77625e-06 +17 *1172:11 *23220:A 0.000158357 +18 *1172:11 *23229:A 2.15348e-05 +19 *1172:11 *23229:B 0.000203595 +20 *1172:11 *23340:B 0.000215844 +21 *1172:11 *23348:A 6.08467e-05 +22 *1172:11 *23357:A 0.000413127 +23 *1172:11 *23465:A 6.92705e-05 +24 *1172:11 *23468:A 0.000437441 +25 *1172:11 *1334:9 0.00625101 +26 *1172:11 *1476:5 0.00036425 +27 *1172:11 *1584:15 6.64392e-05 +28 *1172:11 *1587:11 0.000263401 +29 *1172:11 *1712:8 0.000116014 +30 *1172:11 *1715:8 0.000380121 +31 *1172:11 *2037:8 0.0152594 +32 *1172:11 *2237:27 0.000208744 +33 *1172:11 *2258:25 0.000136644 +34 *1172:11 *2261:21 0.000228391 +35 *1172:11 *2428:17 0.00332112 +36 *1172:11 *2431:15 0 +37 *1172:11 *2495:22 0.000152056 +38 *1172:11 *2500:17 0.00079411 +39 *1172:11 *2506:26 0.00158208 +40 *1172:11 *2655:15 0.000704796 +41 *1172:11 *2696:23 0 +42 *1172:11 *2796:35 0.00333627 +43 *1172:11 *2798:12 0.00360053 +44 *1172:11 *2928:14 0.00333241 +45 *1172:11 *2928:24 0.00128594 +46 *1172:11 *3139:35 0.00331638 +47 *1172:11 *3199:13 0.00276077 +48 *1172:11 *3332:11 0.00147312 +49 *1172:27 *23306:A 0.000263135 +50 *1172:27 *23306:B 4.79289e-05 +51 *1172:27 *23434:B 0 +52 *1172:27 *1980:19 0.000738235 +53 *1172:27 *2037:8 0.000540737 +54 *1172:27 *2397:7 0.000290871 +55 *1172:35 *2080:13 3.64559e-05 +56 *1172:35 *2146:16 0.000726082 +57 *1172:35 *2152:33 0.00175942 +58 *1172:35 *2397:7 0.00222624 +59 *1172:35 *3339:34 0.000144814 +60 *1149:9 *1172:10 0.000113968 +61 *1149:31 *1172:11 0.00100313 +62 *1149:35 *1172:11 0.000478575 +63 *1149:43 *1172:11 0.00414979 +64 *1153:33 *1172:11 8.61294e-05 +65 *1154:38 *1172:27 4.99185e-05 +66 *1157:27 *1172:27 0.000759144 +67 *1157:37 *1172:35 0.000180257 +68 *1159:40 *1172:27 0.000198085 +*RES +1 *21366:Y *1172:10 31.7404 +2 *1172:10 *1172:11 549.504 +3 *1172:11 *1172:27 45.7919 +4 *1172:27 *1172:35 43.6125 +5 *1172:35 *22531:A 24.2659 +6 *1172:35 *5004:DIODE 9.24915 +*END + +*D_NET *1173 0.198439 +*CONN +*I *22532:A I *D sky130_fd_sc_hd__einvp_4 +*I *5006:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21367:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22532:A 0.000125956 +2 *5006:DIODE 0 +3 *21367:Y 0.000472726 +4 *1173:40 0.000449752 +5 *1173:35 0.012846 +6 *1173:29 0.0176101 +7 *1173:21 0.00808396 +8 *1173:20 0.00299605 +9 *1173:18 0.0103391 +10 *1173:10 0.0114616 +11 *1173:7 0.0015952 +12 *1173:10 *22650:A 0 +13 *1173:10 *2427:12 0 +14 *1173:10 *2684:28 0.000504682 +15 *1173:10 *3034:6 0.00197955 +16 *1173:18 *1323:17 0.000268973 +17 *1173:18 *1955:28 0.000704752 +18 *1173:18 *1957:8 0.000102519 +19 *1173:18 *1957:25 0.00224681 +20 *1173:18 *1966:13 0.000214912 +21 *1173:18 *1976:39 0.000174968 +22 *1173:18 *1981:22 0 +23 *1173:18 *1989:63 0 +24 *1173:18 *2069:29 0.000560096 +25 *1173:18 *2128:40 0.0050339 +26 *1173:18 *2263:25 0.0180427 +27 *1173:18 *2279:28 0.000247628 +28 *1173:18 *2279:39 0.00771804 +29 *1173:21 *1323:13 0.000941121 +30 *1173:21 *1957:8 9.00459e-05 +31 *1173:21 *1965:14 2.38154e-05 +32 *1173:21 *1994:8 0.00547401 +33 *1173:21 *2014:14 0.00380719 +34 *1173:21 *2048:19 0.00146808 +35 *1173:21 *2069:29 0.000371558 +36 *1173:21 *2091:10 0.000141764 +37 *1173:21 *2098:20 0.000947219 +38 *1173:21 *2109:14 0.0213107 +39 *1173:21 *2110:21 0.000158896 +40 *1173:29 *1994:16 0.0125259 +41 *1173:29 *1998:10 0.00321451 +42 *1173:29 *2002:16 0.000583629 +43 *1173:29 *2015:20 4.31714e-05 +44 *1173:29 *2019:17 0.00264855 +45 *1173:29 *2088:24 0.00102908 +46 *1173:29 *2109:13 0.00145597 +47 *1173:35 *1848:12 0 +48 *1173:35 *1994:16 0.000937353 +49 *1173:35 *1994:30 0.0119194 +50 *1173:35 *1998:10 0.0211991 +51 *1173:35 *1999:8 0.000101365 +52 *1173:35 *2003:38 0.000559117 +53 *1173:40 *2650:6 0.000334152 +54 *1173:40 *2935:8 0.00105943 +55 *4381:DIODE *1173:7 5.07314e-05 +56 *4382:DIODE *1173:7 0.000273166 +57 *1145:5 *1173:7 0.00182559 +58 *1150:9 *1173:7 0.000110257 +59 *1170:8 *22532:A 5.46286e-05 +*RES +1 *21367:Y *1173:7 33.3291 +2 *1173:7 *1173:10 40.7048 +3 *1173:10 *1173:18 49.9042 +4 *1173:18 *1173:20 4.5 +5 *1173:20 *1173:21 235.321 +6 *1173:21 *1173:29 30.8338 +7 *1173:29 *1173:35 47.1895 +8 *1173:35 *1173:40 25.688 +9 *1173:40 *5006:DIODE 9.24915 +10 *1173:40 *22532:A 12.625 +*END + +*D_NET *1174 0.207292 +*CONN +*I *22533:A I *D sky130_fd_sc_hd__einvp_4 +*I *5008:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21368:Y O *D sky130_fd_sc_hd__inv_12 +*CAP +1 *22533:A 0.000192981 +2 *5008:DIODE 0 +3 *21368:Y 0.00615708 +4 *1174:46 0.000656509 +5 *1174:43 0.00187796 +6 *1174:37 0.00435797 +7 *1174:35 0.00297998 +8 *1174:33 0.00220876 +9 *1174:32 0.00279429 +10 *1174:27 0.022398 +11 *1174:26 0.030208 +12 *1174:15 0.014589 +13 *22533:A *3554:DIODE 0.000217951 +14 *1174:15 *2429:30 7.12632e-06 +15 *1174:15 *2538:9 0.0012114 +16 *1174:15 *2965:15 2.14262e-05 +17 *1174:26 *1175:19 0.00012601 +18 *1174:26 *1579:5 0.000103585 +19 *1174:26 *2242:23 6.98716e-05 +20 *1174:26 *2243:23 5.69128e-05 +21 *1174:26 *2246:25 6.98716e-05 +22 *1174:26 *2257:21 0.00032182 +23 *1174:26 *2404:47 0.00198582 +24 *1174:26 *2477:7 0.000170531 +25 *1174:26 *2477:9 0.000110257 +26 *1174:26 *2492:28 0.00424846 +27 *1174:26 *2495:22 2.43181e-05 +28 *1174:26 *2505:26 0.01444 +29 *1174:26 *3373:25 0.00665803 +30 *1174:26 *3428:29 0.000645757 +31 *1174:26 *3461:31 0.000156964 +32 *1174:27 *2151:38 0.0326769 +33 *1174:27 *2191:17 0.000198288 +34 *1174:27 *2201:23 0.000130331 +35 *1174:27 *2257:21 0.000144814 +36 *1174:27 *2404:47 0.000131336 +37 *1174:27 *2479:39 0.00348173 +38 *1174:27 *3428:36 0.000108607 +39 *1174:32 *1266:11 0.000836594 +40 *1174:32 *3328:6 0 +41 *1174:33 *21460:A 0.000260388 +42 *1174:33 *23143:A 0.000271044 +43 *1174:33 *1265:10 6.50586e-05 +44 *1174:33 *2374:31 0.000410286 +45 *1174:33 *2397:33 0.00185176 +46 *1174:33 *2397:41 0.0017087 +47 *1174:37 *1697:9 0 +48 *1174:37 *2374:31 0.00430391 +49 *1174:37 *2378:17 0.000133887 +50 *1174:37 *2397:41 0.000673401 +51 *1174:37 *2397:43 0.00925951 +52 *1174:43 *2397:43 0.000674434 +53 *1174:46 *2522:16 0.00181093 +54 *1174:46 *2898:12 0.000848836 +55 *1146:9 *1174:15 0.000101365 +56 *1148:13 *1174:15 0.000110257 +57 *1149:31 *1174:26 0.00135738 +58 *1151:15 *1174:15 0.00417673 +59 *1151:30 *1174:15 0.00700569 +60 *1151:30 *1174:26 0.0143089 +61 *1151:39 *1174:26 0.000156778 +62 *1151:46 *1174:26 0.000144521 +63 *1151:47 *1174:27 3.21312e-05 +64 *1153:15 *1174:15 0.00039041 +65 *1153:32 *1174:15 0 +66 *1153:32 *1174:26 0.00043735 +67 *1170:8 *22533:A 2.32594e-05 +*RES +1 *21368:Y *1174:15 38.6128 +2 *1174:15 *1174:26 49.2194 +3 *1174:26 *1174:27 391.164 +4 *1174:27 *1174:32 25.3658 +5 *1174:32 *1174:33 70.6034 +6 *1174:33 *1174:35 0.988641 +7 *1174:35 *1174:37 129.114 +8 *1174:37 *1174:43 44.2024 +9 *1174:43 *1174:46 34.5691 +10 *1174:46 *5008:DIODE 9.24915 +11 *1174:46 *22533:A 14.4576 +*END + +*D_NET *1175 0.10091 +*CONN +*I *22534:A I *D sky130_fd_sc_hd__einvp_8 +*I *5010:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21369:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *22534:A 0.000122119 +2 *5010:DIODE 0 +3 *21369:Y 0.00028722 +4 *1175:60 0.000716036 +5 *1175:56 0.00137423 +6 *1175:45 0.00130808 +7 *1175:43 0.000710183 +8 *1175:36 0.00164814 +9 *1175:19 0.00256353 +10 *1175:18 0.00130471 +11 *1175:9 0.0171737 +12 *1175:8 0.017254 +13 *22534:A *1980:34 0.000161509 +14 *22534:A *2222:17 1.32236e-05 +15 *1175:8 *2431:18 0.00057106 +16 *1175:8 *3042:15 0.000146295 +17 *1175:9 *6023:DIODE 2.01874e-05 +18 *1175:9 *23230:B 0.000213739 +19 *1175:9 *23358:A 0.000120974 +20 *1175:9 *1477:5 3.33532e-05 +21 *1175:9 *2516:24 0.000231858 +22 *1175:9 *2551:19 0.000264483 +23 *1175:9 *2625:23 0.0023388 +24 *1175:9 *2625:29 0.000868935 +25 *1175:9 *2684:29 0.00142914 +26 *1175:9 *2809:11 0.0120975 +27 *1175:18 *23351:A 3.84926e-05 +28 *1175:18 *1470:10 0.000105175 +29 *1175:18 *2371:10 0 +30 *1175:19 *6245:DIODE 9.66247e-05 +31 *1175:19 *23349:A 2.65831e-05 +32 *1175:19 *2256:46 0.00144307 +33 *1175:19 *2256:48 0.00198149 +34 *1175:19 *2477:9 0.00434935 +35 *1175:19 *3250:27 0.000390306 +36 *1175:36 *6243:DIODE 0.000169122 +37 *1175:36 *1710:18 3.60933e-06 +38 *1175:36 *1717:9 0.000205153 +39 *1175:36 *2245:17 0.0016283 +40 *1175:36 *2365:11 0.000204778 +41 *1175:36 *2500:34 0.00031994 +42 *1175:36 *2924:19 2.32756e-05 +43 *1175:36 *2928:8 0 +44 *1175:36 *3331:9 3.29488e-05 +45 *1175:36 *3332:11 0 +46 *1175:43 *1980:40 0.00119129 +47 *1175:45 *1980:40 0.00548451 +48 *1175:45 *3395:35 0.000385942 +49 *1175:56 *6204:DIODE 6.08467e-05 +50 *1175:56 *23323:A 0.000104166 +51 *1175:56 *1696:8 0 +52 *1175:56 *1985:40 8.90486e-05 +53 *1175:56 *2118:13 6.71978e-06 +54 *1175:56 *2228:29 0.000133021 +55 *1175:56 *2365:27 0.000977974 +56 *1175:56 *2415:26 0 +57 *1175:56 *2460:38 8.56161e-05 +58 *1175:60 *2037:8 0.00123268 +59 *1175:60 *2222:17 1.315e-05 +60 *4956:DIODE *1175:56 0 +61 *1147:22 *1175:36 0.000417781 +62 *1147:23 *1175:60 0.00373349 +63 *1148:25 *1175:43 0.00044763 +64 *1149:31 *1175:36 0.000883225 +65 *1149:35 *1175:60 0.000925511 +66 *1152:44 *1175:56 0.000115313 +67 *1153:32 *1175:36 0.000914501 +68 *1153:44 *1175:60 0.000189024 +69 *1157:24 *1175:36 0.00346419 +70 *1157:27 *22534:A 0.000372922 +71 *1157:27 *1175:43 6.26402e-05 +72 *1157:27 *1175:45 0.0055016 +73 *1174:26 *1175:19 0.00012601 +*RES +1 *21369:Y *1175:8 28.8014 +2 *1175:8 *1175:9 283.571 +3 *1175:9 *1175:18 13.9586 +4 *1175:18 *1175:19 68.385 +5 *1175:19 *1175:36 46.7756 +6 *1175:36 *1175:43 13.2743 +7 *1175:43 *1175:45 59.2341 +8 *1175:45 *1175:56 39.1564 +9 *1175:56 *1175:60 46.9869 +10 *1175:60 *5010:DIODE 13.7491 +11 *1175:60 *22534:A 19.2169 +*END + +*D_NET *1176 0.0662457 +*CONN +*I *5018:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22538:A I *D sky130_fd_sc_hd__einvp_8 +*I *21392:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5018:DIODE 0 +2 *22538:A 0.000187722 +3 *21392:Y 5.46897e-05 +4 *1176:28 0.00070685 +5 *1176:23 0.00574187 +6 *1176:21 0.00540754 +7 *1176:15 0.00214142 +8 *1176:14 0.00202394 +9 *1176:9 0.00197402 +10 *1176:8 0.00196138 +11 *22538:A *2344:24 5.62765e-05 +12 *1176:8 *2135:41 5.74984e-05 +13 *1176:8 *2399:22 9.34404e-05 +14 *1176:8 *2428:8 7.05739e-06 +15 *1176:9 *23368:A 6.50586e-05 +16 *1176:9 *1178:5 0.00132823 +17 *1176:9 *1190:32 0.000357884 +18 *1176:9 *1198:21 0.000349354 +19 *1176:9 *1198:23 0.00513068 +20 *1176:9 *1615:16 0.000404547 +21 *1176:9 *2135:52 6.50586e-05 +22 *1176:14 *22557:A 1.98263e-05 +23 *1176:14 *1195:42 8.60109e-05 +24 *1176:14 *2629:22 0.000109248 +25 *1176:15 *3696:DIODE 6.50727e-05 +26 *1176:15 *4906:DIODE 1.92336e-05 +27 *1176:15 *22557:A 6.23875e-05 +28 *1176:15 *1205:17 0.000320903 +29 *1176:15 *1205:21 0.00115853 +30 *1176:15 *1205:23 6.99052e-05 +31 *1176:15 *1828:10 0.00215489 +32 *1176:21 *4906:DIODE 4.38577e-05 +33 *1176:23 *3700:DIODE 0.000108087 +34 *1176:23 *22553:A 0.000420274 +35 *1176:23 *22553:TE 0.00011818 +36 *1176:23 *1191:27 0.000907859 +37 *1176:23 *1205:23 0.000610001 +38 *1176:23 *1205:31 0.00365739 +39 *1176:23 *1834:11 0.000402765 +40 *1176:23 *2135:24 0.000144623 +41 *1176:23 *2135:41 0.00040456 +42 *1176:23 *2306:8 0.000547339 +43 *1176:23 *2306:14 0.00272035 +44 *1176:23 *2306:16 0.00193199 +45 *1176:23 *2913:21 6.50727e-05 +46 *1176:28 *2271:8 0.000105836 +47 *1162:19 *1176:9 0.00211568 +48 *1162:25 *1176:23 0.016809 +49 *1163:23 *1176:23 0.00126104 +50 *1164:26 *1176:23 0.0013711 +51 *1165:9 *1176:15 0.000115411 +52 *1165:9 *1176:23 0.00010238 +53 *1167:9 *1176:9 0.00010238 +*RES +1 *21392:Y *1176:8 20.0811 +2 *1176:8 *1176:9 76.7041 +3 *1176:9 *1176:14 11.2472 +4 *1176:14 *1176:15 55.6292 +5 *1176:15 *1176:21 3.54464 +6 *1176:21 *1176:23 259.723 +7 *1176:23 *1176:28 18.7218 +8 *1176:28 *22538:A 12.625 +9 *1176:28 *5018:DIODE 9.24915 +*END + +*D_NET *1177 0.0709647 +*CONN +*I *5020:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22539:A I *D sky130_fd_sc_hd__einvp_8 +*I *21402:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5020:DIODE 0 +2 *22539:A 5.4482e-05 +3 *21402:Y 0.000786825 +4 *1177:45 0.000806546 +5 *1177:44 0.00131114 +6 *1177:33 0.00867918 +7 *1177:31 0.00832456 +8 *1177:25 0.00138822 +9 *1177:23 0.00160823 +10 *1177:20 0.0012113 +11 *22539:A *1183:19 0.000372861 +12 *22539:A *2291:38 0.000160098 +13 *1177:20 *22257:A 1.5714e-05 +14 *1177:20 *1221:9 0 +15 *1177:20 *1223:10 0.000110174 +16 *1177:20 *1231:19 0.000415284 +17 *1177:20 *2526:32 4.04447e-05 +18 *1177:20 *2811:72 0.000243838 +19 *1177:20 *2815:22 0.000154851 +20 *1177:20 *3357:10 0.000151253 +21 *1177:23 *22259:TE 4.58003e-05 +22 *1177:23 *1196:9 0.00228198 +23 *1177:23 *1199:13 0.000533666 +24 *1177:25 *3514:DIODE 1.80296e-05 +25 *1177:25 *3520:DIODE 0.00025175 +26 *1177:25 *3739:DIODE 0.000324166 +27 *1177:25 *21324:A 5.94675e-05 +28 *1177:25 *22380:B 6.78549e-05 +29 *1177:25 *1179:11 7.68538e-06 +30 *1177:25 *1196:9 0.00129772 +31 *1177:25 *1199:13 0.00072071 +32 *1177:25 *1199:21 0.004137 +33 *1177:25 *1206:15 0.0032157 +34 *1177:25 *1338:13 0.00125206 +35 *1177:25 *2796:72 0.000609238 +36 *1177:25 *2802:38 0.000311964 +37 *1177:31 *1196:9 0.000749011 +38 *1177:31 *1199:35 0.00094208 +39 *1177:31 *2796:72 1.41853e-05 +40 *1177:33 *4651:DIODE 0.000175485 +41 *1177:33 *21507:A 6.06823e-05 +42 *1177:33 *22483:A_N 2.01874e-05 +43 *1177:33 *1199:35 0.00124376 +44 *1177:33 *1199:37 0.000303008 +45 *1177:33 *1199:41 1.75155e-06 +46 *1177:33 *1830:8 0.00197425 +47 *1177:33 *1831:8 0.000134715 +48 *1177:33 *1947:42 2.41483e-05 +49 *1177:33 *1947:44 0.00478028 +50 *1177:44 *1824:9 1.74249e-05 +51 *1177:44 *1952:43 0.00374769 +52 *1177:44 *2436:6 3.88655e-06 +53 *1177:44 *2503:18 7.97983e-05 +54 *1177:44 *2909:40 1.49935e-05 +55 *1177:44 *2920:87 6.89449e-05 +56 *1177:45 *22568:TE 0.000158357 +57 *1177:45 *1183:19 0.00638765 +58 *1177:45 *2291:38 0.000619227 +59 *1177:45 *2291:40 0.00403737 +60 *1161:49 *1177:45 0.000759185 +61 *1161:53 *1177:45 1.15389e-05 +62 *1162:7 *1177:20 8.221e-05 +63 *1168:36 *1177:44 0.00358308 +*RES +1 *21402:Y *1177:20 46.563 +2 *1177:20 *1177:23 25.1501 +3 *1177:23 *1177:25 83.9139 +4 *1177:25 *1177:31 11.8637 +5 *1177:31 *1177:33 137.156 +6 *1177:33 *1177:44 23.4398 +7 *1177:44 *1177:45 69.4942 +8 *1177:45 *22539:A 13.3002 +9 *1177:45 *5020:DIODE 9.24915 +*END + +*D_NET *1178 0.0387459 +*CONN +*I *5022:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22540:A I *D sky130_fd_sc_hd__einvp_8 +*I *21403:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5022:DIODE 0 +2 *22540:A 5.23119e-05 +3 *21403:Y 0 +4 *1178:49 0.00236439 +5 *1178:45 0.00296981 +6 *1178:27 0.00232953 +7 *1178:25 0.00231448 +8 *1178:21 0.00110639 +9 *1178:13 0.00212372 +10 *1178:5 0.00322147 +11 *1178:4 0.00156146 +12 *22540:A *1943:54 0.000167076 +13 *1178:5 *21393:A 0.000317693 +14 *1178:5 *1198:21 0.000958897 +15 *1178:5 *1349:13 6.50727e-05 +16 *1178:5 *2135:52 0.000126194 +17 *1178:13 *23482:TE 4.82966e-05 +18 *1178:13 *1190:32 2.55314e-05 +19 *1178:13 *2114:25 0 +20 *1178:13 *2117:24 8.39223e-05 +21 *1178:13 *2123:30 0.000644591 +22 *1178:13 *2536:16 0 +23 *1178:13 *2813:30 0 +24 *1178:21 *6498:DIODE 0.000162583 +25 *1178:21 *21542:A 6.08467e-05 +26 *1178:21 *23596:TE 6.50727e-05 +27 *1178:21 *1228:13 6.50727e-05 +28 *1178:21 *1459:17 0.00011818 +29 *1178:21 *1722:10 0.00029294 +30 *1178:21 *2123:30 0.000696864 +31 *1178:25 *4694:DIODE 0.000171288 +32 *1178:25 *22374:A_N 6.50586e-05 +33 *1178:25 *1200:50 0.000566787 +34 *1178:25 *1722:10 0.000580998 +35 *1178:25 *1828:16 0.00068535 +36 *1178:25 *2123:28 0.00318381 +37 *1178:25 *2123:30 0.000177355 +38 *1178:27 *4908:DIODE 0.000224395 +39 *1178:27 *22481:A_N 0.000118166 +40 *1178:27 *22481:B 1.41291e-05 +41 *1178:27 *22562:A 1.19721e-05 +42 *1178:27 *22562:TE 5.56461e-05 +43 *1178:27 *1192:48 0.000106845 +44 *1178:27 *1197:15 0.000126061 +45 *1178:27 *1828:16 0.00033682 +46 *1178:27 *1829:9 0.00217576 +47 *1178:27 *2123:28 0.000323736 +48 *1178:27 *2316:34 6.03278e-05 +49 *1178:45 *22481:A_N 6.40581e-05 +50 *1178:45 *22481:B 5.56461e-05 +51 *1178:45 *1829:9 3.01683e-06 +52 *1178:45 *1832:11 6.08467e-05 +53 *1178:45 *1834:11 0.00164326 +54 *1178:45 *1943:56 0.00180863 +55 *1178:45 *2316:34 9.37123e-05 +56 *1178:49 *1943:54 1.86405e-05 +57 *1178:49 *1943:56 0.000720112 +58 *1178:49 *2314:25 0.00202288 +59 *1176:9 *1178:5 0.00132823 +*RES +1 *21403:Y *1178:4 9.24915 +2 *1178:4 *1178:5 46.7555 +3 *1178:5 *1178:13 48.1763 +4 *1178:13 *1178:21 18.4104 +5 *1178:21 *1178:25 42.0655 +6 *1178:25 *1178:27 50.915 +7 *1178:27 *1178:45 31.2989 +8 *1178:45 *1178:49 41.0889 +9 *1178:49 *22540:A 11.0817 +10 *1178:49 *5022:DIODE 9.24915 +*END + +*D_NET *1179 0.0652678 +*CONN +*I *22541:A I *D sky130_fd_sc_hd__einvp_8 +*I *5024:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21404:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22541:A 0.000127476 +2 *5024:DIODE 0 +3 *21404:Y 0 +4 *1179:54 0.000211627 +5 *1179:51 0.000664388 +6 *1179:50 0.000977716 +7 *1179:41 0.00131638 +8 *1179:40 0.0017212 +9 *1179:28 0.00130472 +10 *1179:17 0.00318276 +11 *1179:15 0.00340037 +12 *1179:11 0.00148214 +13 *1179:7 0.00217083 +14 *1179:4 0.00140872 +15 *22541:A *2303:17 3.5534e-06 +16 *22541:A *2310:26 5.57353e-05 +17 *22541:A *3350:6 2.69795e-05 +18 *22541:A *3350:18 0.000216512 +19 *1179:7 *3514:DIODE 0.000280034 +20 *1179:7 *1199:21 0.00405679 +21 *1179:11 *3514:DIODE 0.000107496 +22 *1179:11 *1199:35 1.47365e-05 +23 *1179:11 *2796:72 0.000372765 +24 *1179:15 *4938:DIODE 0.000423922 +25 *1179:15 *1196:18 0.000988984 +26 *1179:15 *1199:35 0.0036917 +27 *1179:17 *1199:35 9.82896e-06 +28 *1179:17 *1199:37 0.00437129 +29 *1179:28 *22478:B 6.14756e-06 +30 *1179:28 *1184:28 0.00312698 +31 *1179:28 *1943:59 5.36085e-05 +32 *1179:28 *1948:107 0.00303838 +33 *1179:28 *2351:6 0 +34 *1179:28 *2911:30 1.29348e-05 +35 *1179:28 *2913:42 5.11322e-06 +36 *1179:28 *2915:56 4.55162e-05 +37 *1179:40 *22555:A 6.92705e-05 +38 *1179:40 *1184:28 0.000407174 +39 *1179:40 *1193:27 0.000211478 +40 *1179:40 *1942:29 1.5714e-05 +41 *1179:40 *1948:107 0.00238971 +42 *1179:40 *2315:44 0.00274857 +43 *1179:40 *2917:12 3.88213e-05 +44 *1179:40 *3139:18 4.69495e-06 +45 *1179:40 *3250:18 0 +46 *1179:41 *1947:36 0.000250526 +47 *1179:41 *1948:86 0.00503435 +48 *1179:41 *2315:30 0.0011747 +49 *1179:41 *2315:38 0.000634735 +50 *1179:41 *2920:87 0.000253916 +51 *1179:50 *1948:86 8.76513e-05 +52 *1179:50 *2315:30 0.000219249 +53 *1179:50 *2344:7 1.44467e-05 +54 *1179:50 *2439:8 3.20461e-05 +55 *1179:50 *2439:12 6.24754e-05 +56 *1179:50 *3190:10 6.4447e-05 +57 *1179:50 *3445:16 2.43314e-05 +58 *1179:51 *1821:5 0.00396758 +59 *1179:51 *2312:24 0.00143649 +60 *1179:51 *3445:13 0.00544521 +61 *1179:54 *2303:17 2.51591e-05 +62 *1179:54 *2308:8 0 +63 *1179:54 *2310:26 5.36085e-05 +64 *1164:9 *1179:40 0.00171638 +65 *1177:25 *1179:11 7.68538e-06 +*RES +1 *21404:Y *1179:4 9.24915 +2 *1179:4 *1179:7 44.5612 +3 *1179:7 *1179:11 13.0814 +4 *1179:11 *1179:15 40.8116 +5 *1179:15 *1179:17 47.8647 +6 *1179:17 *1179:28 22.6749 +7 *1179:28 *1179:40 49.2955 +8 *1179:40 *1179:41 60.6206 +9 *1179:41 *1179:50 19.6115 +10 *1179:50 *1179:51 58.9568 +11 *1179:51 *1179:54 6.332 +12 *1179:54 *5024:DIODE 13.7491 +13 *1179:54 *22541:A 17.5531 +*END + +*D_NET *1180 0.0271765 +*CONN +*I *5026:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22542:A I *D sky130_fd_sc_hd__einvp_8 +*I *21405:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5026:DIODE 0 +2 *22542:A 0.000178843 +3 *21405:Y 0.000858583 +4 *1180:19 0.00142722 +5 *1180:13 0.00446912 +6 *1180:12 0.00431825 +7 *1180:8 0.00195609 +8 *22542:A *2315:30 0.000222979 +9 *22542:A *2344:7 0 +10 *22542:A *3028:28 0 +11 *1180:8 *5358:DIODE 0.000171033 +12 *1180:8 *22840:A 7.50722e-05 +13 *1180:8 *1333:6 0.000267034 +14 *1180:8 *2517:18 0.000436933 +15 *1180:8 *2921:32 0 +16 *1180:12 *1333:6 0.00177416 +17 *1180:12 *2277:22 2.70631e-05 +18 *1180:12 *3139:28 0.00138777 +19 *1180:13 *1987:26 0.00627189 +20 *1180:13 *2095:14 0 +21 *1180:13 *2633:49 0 +22 *1180:13 *2634:31 0.000371149 +23 *1180:13 *2925:37 0 +24 *1180:19 *2315:30 0.00021217 +25 *1180:19 *2624:36 0 +26 *1180:19 *2633:48 7.86825e-06 +27 *1180:19 *2925:36 0.000994848 +28 *1180:19 *3028:28 0.00168881 +29 *1141:42 *1180:12 5.96344e-05 +*RES +1 *21405:Y *1180:8 34.7927 +2 *1180:8 *1180:12 41.0056 +3 *1180:12 *1180:13 69.4942 +4 *1180:13 *1180:19 46.0241 +5 *1180:19 *22542:A 21.6378 +6 *1180:19 *5026:DIODE 9.24915 +*END + +*D_NET *1181 0.0257946 +*CONN +*I *22543:A I *D sky130_fd_sc_hd__einvp_8 +*I *5028:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21406:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22543:A 9.30387e-05 +2 *5028:DIODE 0 +3 *21406:Y 0.00049813 +4 *1181:22 0.000153437 +5 *1181:19 0.00137994 +6 *1181:17 0.00185422 +7 *1181:13 0.00111859 +8 *1181:10 0.000698501 +9 *1181:7 0.000612715 +10 *22543:A *1185:17 0.000211478 +11 *22543:A *2137:38 8.39059e-05 +12 *22543:A *2353:18 2.53289e-05 +13 *22543:A *2540:24 6.05941e-05 +14 *1181:7 *1184:17 0.0011029 +15 *1181:7 *1207:9 0.00312464 +16 *1181:10 *1485:20 6.75091e-05 +17 *1181:10 *3199:16 0.000172375 +18 *1181:13 *1195:35 0.00432614 +19 *1181:13 *1200:41 0.00341761 +20 *1181:19 *22579:TE 5.56461e-05 +21 *1181:19 *1191:23 0.000523679 +22 *1181:19 *1201:5 0.00022902 +23 *1181:19 *1201:17 0.000213407 +24 *1181:19 *2101:38 0.000570356 +25 *1181:19 *2301:12 0.000719917 +26 *1181:22 *2353:18 3.22732e-05 +27 *1181:22 *2540:24 9.31248e-05 +28 *22579:A *1181:19 0.000210043 +29 *1160:31 *1181:13 0.000369544 +30 *1160:31 *1181:17 0.00164465 +31 *1160:42 *1181:19 0.00127094 +32 *1169:11 *1181:7 0.000860973 +*RES +1 *21406:Y *1181:7 48.3034 +2 *1181:7 *1181:10 7.993 +3 *1181:10 *1181:13 47.8888 +4 *1181:13 *1181:17 18.4948 +5 *1181:17 *1181:19 47.3101 +6 *1181:19 *1181:22 6.332 +7 *1181:22 *5028:DIODE 13.7491 +8 *1181:22 *22543:A 17.5531 +*END + +*D_NET *1182 0.0633206 +*CONN +*I *5030:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22544:A I *D sky130_fd_sc_hd__einvp_8 +*I *21407:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5030:DIODE 0 +2 *22544:A 0.000199447 +3 *21407:Y 0.000387422 +4 *1182:30 0.000240973 +5 *1182:25 0.0109604 +6 *1182:24 0.0118951 +7 *1182:9 0.00227506 +8 *1182:7 0.00168628 +9 *22544:A *3169:27 4.43826e-05 +10 *1182:7 *1183:9 0.00341141 +11 *1182:7 *1745:5 0.00269863 +12 *1182:7 *2822:27 0.000260388 +13 *1182:9 *21345:A 6.50727e-05 +14 *1182:9 *1183:9 0.00164102 +15 *1182:9 *2817:34 0.00294022 +16 *1182:24 *1183:9 0.00149953 +17 *1182:24 *1319:8 0.000370428 +18 *1182:24 *2413:12 0.000150472 +19 *1182:24 *2794:46 8.62625e-06 +20 *1182:24 *3061:13 0.0003284 +21 *1182:24 *3349:6 5.05252e-05 +22 *1182:25 *4660:DIODE 4.80235e-05 +23 *1182:25 *21505:A 2.41483e-05 +24 *1182:25 *22357:TE 2.44829e-05 +25 *1182:25 *22573:TE 2.44829e-05 +26 *1182:25 *1186:35 3.6455e-05 +27 *1182:25 *1192:53 7.17779e-05 +28 *1182:25 *1203:11 0.000630838 +29 *1182:25 *1315:10 0.000895431 +30 *1182:25 *1832:21 0.000657709 +31 *1182:25 *1833:11 1.03403e-05 +32 *1182:25 *2914:41 0.00548588 +33 *1182:25 *2916:33 9.82896e-06 +34 *1182:30 *2085:31 2.99929e-05 +35 *1182:30 *2912:43 7.14746e-05 +36 *22573:A *1182:25 0.000112009 +37 *1140:12 *1182:9 0.00326289 +38 *1140:15 *1182:25 0.000360604 +39 *1161:15 *1182:7 2.37299e-05 +40 *1161:21 *1182:7 3.89637e-05 +41 *1161:23 *1182:7 8.73295e-05 +42 *1161:23 *1182:9 0.0101572 +43 *1161:23 *1182:24 1.67988e-05 +44 *1168:23 *1182:7 0.000126544 +*RES +1 *21407:Y *1182:7 46.6005 +2 *1182:7 *1182:9 110.535 +3 *1182:9 *1182:24 42.8163 +4 *1182:24 *1182:25 176.533 +5 *1182:25 *1182:30 10.4167 +6 *1182:30 *22544:A 12.625 +7 *1182:30 *5030:DIODE 9.24915 +*END + +*D_NET *1183 0.0825385 +*CONN +*I *22545:A I *D sky130_fd_sc_hd__einvp_8 +*I *5032:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21408:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *22545:A 0 +2 *5032:DIODE 5.59356e-05 +3 *21408:Y 0.000486362 +4 *1183:31 0.000530547 +5 *1183:28 0.00059729 +6 *1183:19 0.00266015 +7 *1183:18 0.00263968 +8 *1183:9 0.00633193 +9 *1183:8 0.00671609 +10 *5032:DIODE *1939:38 0.000126194 +11 *5032:DIODE *2291:22 6.3657e-05 +12 *5032:DIODE *3161:17 0.000114584 +13 *1183:8 *21407:A 0 +14 *1183:9 *4438:DIODE 0.000167076 +15 *1183:9 *4740:DIODE 0.000428897 +16 *1183:9 *21345:A 6.50727e-05 +17 *1183:9 *21407:A 0.000167692 +18 *1183:9 *21508:A 0.000171273 +19 *1183:9 *21509:A 0.00011818 +20 *1183:9 *1206:29 0.000513023 +21 *1183:9 *1206:33 0.00165042 +22 *1183:9 *1206:37 0.00208864 +23 *1183:9 *1236:9 0.00236887 +24 *1183:9 *1361:10 0.000163428 +25 *1183:9 *1745:5 0.000194807 +26 *1183:9 *2794:52 0.00349848 +27 *1183:9 *2817:34 0.002936 +28 *1183:9 *3061:13 7.15245e-05 +29 *1183:18 *1322:8 3.93117e-06 +30 *1183:18 *3470:8 3.93117e-06 +31 *1183:19 *3501:DIODE 0.000260374 +32 *1183:19 *4902:DIODE 0.000313481 +33 *1183:19 *4913:DIODE 6.50727e-05 +34 *1183:19 *5058:DIODE 6.08467e-05 +35 *1183:19 *22356:A 4.88955e-05 +36 *1183:19 *22539:TE 1.5962e-05 +37 *1183:19 *22567:A 0.000114584 +38 *1183:19 *22568:A 0.000167076 +39 *1183:19 *1206:37 0.000468325 +40 *1183:19 *1206:41 0.000531193 +41 *1183:19 *1206:43 0.00542411 +42 *1183:19 *1320:9 0.00116245 +43 *1183:19 *1321:16 0.00246435 +44 *1183:19 *1826:8 0.00088674 +45 *1183:19 *2291:34 4.56831e-05 +46 *1183:19 *2291:38 0.000186091 +47 *1183:19 *2293:20 6.50586e-05 +48 *1183:19 *2313:27 0.00243838 +49 *1183:19 *2920:93 0.0023927 +50 *1183:19 *2921:57 0.000918507 +51 *1183:28 *5021:DIODE 8.37496e-06 +52 *1183:28 *2344:17 0 +53 *1183:28 *2467:8 1.5714e-05 +54 *1183:31 *1939:38 0.000880899 +55 *1183:31 *2291:22 2.63422e-05 +56 *1183:31 *2291:34 0.00382753 +57 *1183:31 *2293:20 0.00296082 +58 *22539:A *1183:19 0.000372861 +59 *1137:45 *1183:19 0.005085 +60 *1140:12 *1183:9 0.00326024 +61 *1161:23 *1183:9 5.51483e-06 +62 *1161:49 *1183:19 1.15389e-05 +63 *1161:65 *5032:DIODE 6.20497e-05 +64 *1168:23 *1183:9 0.000118462 +65 *1177:45 *1183:19 0.00638765 +66 *1182:7 *1183:9 0.00341141 +67 *1182:9 *1183:9 0.00164102 +68 *1182:24 *1183:9 0.00149953 +*RES +1 *21408:Y *1183:8 27.9709 +2 *1183:8 *1183:9 235.321 +3 *1183:9 *1183:18 11.0518 +4 *1183:18 *1183:19 181.524 +5 *1183:19 *1183:28 11.0518 +6 *1183:28 *1183:31 42.8974 +7 *1183:31 *5032:DIODE 12.7456 +8 *1183:31 *22545:A 9.24915 +*END + +*D_NET *1184 0.0781298 +*CONN +*I *5034:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22546:A I *D sky130_fd_sc_hd__einvp_8 +*I *21409:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5034:DIODE 0 +2 *22546:A 1.58097e-05 +3 *21409:Y 0.00212392 +4 *1184:28 0.00676625 +5 *1184:22 0.00751619 +6 *1184:17 0.00134529 +7 *1184:16 0.000740235 +8 *1184:11 0.00550887 +9 *1184:10 0.00540028 +10 *1184:5 0.00217603 +11 *22546:A *1946:78 0.000228593 +12 *22546:A *2316:20 0.000224381 +13 *1184:5 *1195:5 0.00347564 +14 *1184:5 *2137:78 4.33819e-05 +15 *1184:10 *4737:DIODE 7.50872e-05 +16 *1184:11 *1200:13 0.000220859 +17 *1184:11 *1200:25 0.00012164 +18 *1184:11 *1200:27 0.000540761 +19 *1184:11 *1352:11 0.00225187 +20 *1184:11 *1849:13 0.00145966 +21 *1184:16 *2114:25 0 +22 *1184:16 *2535:16 0.0002243 +23 *1184:17 *1207:9 0.000898193 +24 *1184:17 *1342:10 0.00116894 +25 *1184:22 *21542:A 0.000230774 +26 *1184:22 *2413:12 0.000209916 +27 *1184:22 *2532:14 0.000577779 +28 *1184:22 *2633:74 5.27268e-05 +29 *1184:28 *1948:107 2.56868e-05 +30 *1184:28 *1952:43 0.000337732 +31 *1184:28 *1966:16 0.0206209 +32 *1184:28 *2915:56 1.52551e-05 +33 *1160:29 *1184:11 0.00234093 +34 *1164:9 *1184:28 0.00221804 +35 *1165:9 *1184:28 0 +36 *1169:11 *1184:17 0.00433681 +37 *1179:28 *1184:28 0.00312698 +38 *1179:40 *1184:28 0.000407174 +39 *1181:7 *1184:17 0.0011029 +*RES +1 *21409:Y *1184:5 47.6856 +2 *1184:5 *1184:10 10.4167 +3 *1184:10 *1184:11 90.5692 +4 *1184:11 *1184:16 13.3235 +5 *1184:16 *1184:17 47.3101 +6 *1184:17 *1184:22 28.1795 +7 *1184:22 *1184:28 36.5222 +8 *1184:28 *22546:A 11.6364 +9 *1184:28 *5034:DIODE 9.24915 +*END + +*D_NET *1185 0.0703461 +*CONN +*I *5036:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22547:A I *D sky130_fd_sc_hd__einvp_8 +*I *21410:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5036:DIODE 0 +2 *22547:A 6.84688e-05 +3 *21410:Y 0 +4 *1185:34 0.000558945 +5 *1185:29 0.00314469 +6 *1185:28 0.00318715 +7 *1185:17 0.00249984 +8 *1185:16 0.00236173 +9 *1185:5 0.00235744 +10 *1185:4 0.00196262 +11 *22547:A *22362:TE 0 +12 *22547:A *1838:7 0.00031989 +13 *22547:A *2294:28 0.000138787 +14 *1185:5 *3527:DIODE 0.000171288 +15 *1185:5 *4462:DIODE 0.000167701 +16 *1185:5 *21331:A 6.08467e-05 +17 *1185:5 *21337:A 0.000118166 +18 *1185:5 *21401:A 0.000169862 +19 *1185:5 *21409:A 0.000220044 +20 *1185:5 *23487:A 1.40978e-05 +21 *1185:5 *1190:17 0.00236817 +22 *1185:5 *1191:15 0.0130747 +23 *1185:5 *1345:10 0.000525925 +24 *1185:5 *2137:50 0.000144353 +25 *1185:5 *2137:56 0.000516607 +26 *1185:5 *2137:69 0.000670084 +27 *1185:5 *2137:76 0.00124078 +28 *1185:5 *2137:78 0.00159503 +29 *1185:5 *3358:13 6.08467e-05 +30 *1185:16 *23366:A 7.08288e-05 +31 *1185:16 *2109:37 2.01428e-05 +32 *1185:16 *2119:42 0.000979354 +33 *1185:16 *3198:32 1.21359e-05 +34 *1185:17 *3509:DIODE 0.000275948 +35 *1185:17 *5635:DIODE 0.000383703 +36 *1185:17 *6496:DIODE 0.0002646 +37 *1185:17 *22253:A 0.000107496 +38 *1185:17 *22543:TE 7.48797e-05 +39 *1185:17 *1191:15 0.0091892 +40 *1185:17 *1198:41 0.00233731 +41 *1185:17 *1615:17 0.000366603 +42 *1185:17 *2101:44 2.97286e-05 +43 *1185:17 *2101:54 0.000942866 +44 *1185:17 *2119:34 0.000108607 +45 *1185:17 *2121:27 0.00010238 +46 *1185:17 *2121:39 0.00010238 +47 *1185:17 *2137:38 0.000480649 +48 *1185:17 *2137:40 0.00177828 +49 *1185:17 *2137:50 0.000407712 +50 *1185:17 *2355:7 0.000318389 +51 *1185:17 *2931:77 0.000515819 +52 *1185:28 *1191:27 7.94607e-05 +53 *1185:28 *1945:40 0.000576866 +54 *1185:28 *2352:8 7.60356e-05 +55 *1185:28 *2911:30 2.51488e-05 +56 *1185:29 *22574:TE 0.000111722 +57 *1185:29 *1455:9 0.00143015 +58 *1185:29 *2085:26 0.00419017 +59 *1185:29 *2137:24 0.00317296 +60 *1185:29 *2137:34 0.00194054 +61 *1185:29 *2137:38 8.41032e-05 +62 *1185:29 *2473:11 0.000357912 +63 *1185:34 *2335:17 0 +64 *1185:34 *2336:7 2.40767e-05 +65 *1185:34 *2337:9 8.42542e-05 +66 *1185:34 *2338:7 0.000155573 +67 *22543:A *1185:17 0.000211478 +68 *22574:A *1185:29 0.000118166 +69 *1163:15 *1185:5 0.000183868 +70 *1163:15 *1185:16 0.000936609 +*RES +1 *21410:Y *1185:4 9.24915 +2 *1185:4 *1185:5 143.257 +3 *1185:5 *1185:16 20.26 +4 *1185:16 *1185:17 129.946 +5 *1185:17 *1185:28 27.9334 +6 *1185:28 *1185:29 114.972 +7 *1185:29 *1185:34 18.7218 +8 *1185:34 *22547:A 13.3243 +9 *1185:34 *5036:DIODE 9.24915 +*END + +*D_NET *1186 0.0651099 +*CONN +*I *5038:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22548:A I *D sky130_fd_sc_hd__einvp_8 +*I *21411:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5038:DIODE 0 +2 *22548:A 7.47533e-05 +3 *21411:Y 0.000251755 +4 *1186:35 0.000845616 +5 *1186:34 0.00115294 +6 *1186:25 0.00127004 +7 *1186:23 0.000910996 +8 *1186:21 0.00447657 +9 *1186:19 0.00560161 +10 *1186:9 0.00174547 +11 *1186:8 0.000849163 +12 *22548:A *1192:53 0.000228593 +13 *22548:A *1192:61 4.31603e-06 +14 *1186:8 *2824:59 0 +15 *1186:8 *3366:6 0.000141464 +16 *1186:9 *23488:TE 6.50727e-05 +17 *1186:9 *1188:9 0.00509631 +18 *1186:9 *1188:15 0.000145363 +19 *1186:9 *1236:15 0.00205495 +20 *1186:9 *3359:7 0.000855101 +21 *1186:19 *6302:DIODE 6.73351e-05 +22 *1186:19 *23498:TE 0.000169122 +23 *1186:19 *1188:15 0.00029206 +24 *1186:19 *1188:23 0.000456899 +25 *1186:19 *1236:15 0.000796437 +26 *1186:19 *1730:13 0.000623343 +27 *1186:21 *21504:A 3.82228e-05 +28 *1186:21 *1188:23 0.00283204 +29 *1186:21 *1188:25 0.00503856 +30 *1186:21 *1188:29 0.000948864 +31 *1186:21 *1188:44 0.00271642 +32 *1186:21 *1188:47 3.30999e-05 +33 *1186:21 *1203:5 0.0047443 +34 *1186:21 *1834:25 0.000858265 +35 *1186:21 *2312:24 0.00666017 +36 *1186:21 *2794:46 0.000598161 +37 *1186:21 *2921:57 0.000468957 +38 *1186:25 *3500:DIODE 0.000267472 +39 *1186:25 *3693:DIODE 0.000161243 +40 *1186:25 *21302:A 0.000266832 +41 *1186:25 *22550:A 0.000167076 +42 *1186:25 *1188:47 0.000746631 +43 *1186:25 *1188:51 0.00229293 +44 *1186:25 *1312:10 6.92705e-05 +45 *1186:25 *2312:24 0.00245981 +46 *1186:25 *2313:21 1.41689e-05 +47 *1186:25 *2921:57 0.000809281 +48 *1186:34 *4905:DIODE 0.000124169 +49 *1186:34 *22550:TE 0.000207266 +50 *1186:34 *1944:28 0.000128636 +51 *1186:34 *2312:24 9.80828e-05 +52 *1186:34 *2313:21 0.00100087 +53 *1186:34 *2912:43 6.06108e-05 +54 *1186:34 *3187:10 1.70077e-05 +55 *1186:35 *1192:53 0.00284136 +56 *1186:35 *2470:9 0.000228391 +57 *1182:25 *1186:35 3.6455e-05 +*RES +1 *21411:Y *1186:8 24.6489 +2 *1186:8 *1186:9 58.6795 +3 *1186:9 *1186:19 31.1904 +4 *1186:19 *1186:21 203.986 +5 *1186:21 *1186:23 0.578717 +6 *1186:23 *1186:25 62.5617 +7 *1186:25 *1186:34 24.3326 +8 *1186:34 *1186:35 30.672 +9 *1186:35 *22548:A 12.2151 +10 *1186:35 *5038:DIODE 9.24915 +*END + +*D_NET *1187 0.0774246 +*CONN +*I *22549:A I *D sky130_fd_sc_hd__einvp_8 +*I *5040:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21393:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22549:A 7.5702e-05 +2 *5040:DIODE 4.51842e-05 +3 *21393:Y 0.000132215 +4 *1187:12 0.000164643 +5 *1187:9 0.0215151 +6 *1187:8 0.0216036 +7 *22549:A *1943:35 0.000318385 +8 *22549:A *2283:13 3.44695e-05 +9 *22549:A *2285:10 4.15661e-05 +10 *1187:8 *2364:8 6.65271e-05 +11 *1187:8 *2537:22 6.74646e-05 +12 *1187:9 *6264:DIODE 0.000123176 +13 *1187:9 *21506:A 1.92336e-05 +14 *1187:9 *1205:5 0.0048486 +15 *1187:9 *1205:14 4.36155e-05 +16 *1187:9 *1205:17 0.00374839 +17 *1187:9 *1205:21 9.97818e-05 +18 *1187:9 *1205:23 0.0152563 +19 *1187:9 *1316:8 0.000676557 +20 *1187:9 *1943:42 0.00330023 +21 *1187:9 *2306:8 0.000933919 +22 *1187:12 *2283:13 6.87503e-05 +23 *1187:12 *2285:10 6.51527e-05 +24 *1163:23 *1187:9 0.00379678 +25 *1164:35 *5040:DIODE 6.08467e-05 +26 *1164:35 *22549:A 0.000318385 +*RES +1 *21393:Y *1187:8 21.7421 +2 *1187:8 *1187:9 378.408 +3 *1187:9 *1187:12 5.91674 +4 *1187:12 *5040:DIODE 14.4725 +5 *1187:12 *22549:A 18.2471 +*END + +*D_NET *1188 0.0560717 +*CONN +*I *5042:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22550:A I *D sky130_fd_sc_hd__einvp_8 +*I *21412:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5042:DIODE 0 +2 *22550:A 2.08357e-05 +3 *21412:Y 0.000221308 +4 *1188:51 0.000262178 +5 *1188:47 0.00151431 +6 *1188:46 0.00127296 +7 *1188:44 0.0010065 +8 *1188:29 0.00328995 +9 *1188:27 0.0023187 +10 *1188:25 0.00135087 +11 *1188:23 0.00209554 +12 *1188:15 0.00159467 +13 *1188:9 0.00248931 +14 *1188:8 0.00189587 +15 *22550:A *2313:21 0.000167076 +16 *1188:8 *3602:DIODE 3.28899e-05 +17 *1188:8 *1235:9 0 +18 *1188:8 *1747:9 0.000133691 +19 *1188:9 *4733:DIODE 4.87439e-05 +20 *1188:9 *6282:DIODE 0.000171288 +21 *1188:9 *1236:15 0.000158093 +22 *1188:15 *4710:DIODE 0.00032539 +23 *1188:15 *6302:DIODE 0.000164843 +24 *1188:15 *23488:TE 6.50727e-05 +25 *1188:15 *23498:TE 7.78095e-05 +26 *1188:23 *4710:DIODE 2.1801e-05 +27 *1188:23 *6268:DIODE 0.000161172 +28 *1188:23 *21397:A 8.64022e-05 +29 *1188:23 *21546:A 1.05106e-05 +30 *1188:23 *23481:TE 0.000125677 +31 *1188:23 *1344:9 0.000303375 +32 *1188:23 *2804:39 2.41483e-05 +33 *1188:23 *2821:25 4.09471e-05 +34 *1188:23 *2821:39 0.000636352 +35 *1188:23 *3352:13 0.00084541 +36 *1188:25 *3513:DIODE 0.000160617 +37 *1188:25 *3736:DIODE 1.41181e-05 +38 *1188:25 *6256:DIODE 6.22703e-05 +39 *1188:25 *21545:A 0.000160617 +40 *1188:25 *23475:TE 0.000115934 +41 *1188:25 *1338:13 4.93995e-05 +42 *1188:25 *2109:40 1.80257e-05 +43 *1188:25 *3061:13 9.51286e-05 +44 *1188:25 *3345:13 6.08467e-05 +45 *1188:29 *2794:46 0.00143028 +46 *1188:44 *4652:DIODE 4.26566e-05 +47 *1188:44 *22353:A 6.6856e-06 +48 *1188:44 *22353:TE 2.65831e-05 +49 *1188:44 *22358:A 0 +50 *1188:44 *22558:TE 6.50727e-05 +51 *1188:44 *1317:9 1.03403e-05 +52 *1188:44 *1829:13 0.000132414 +53 *1188:44 *1834:25 0.000769547 +54 *1188:44 *2440:6 7.08723e-06 +55 *1188:44 *3180:7 0.000164829 +56 *1188:44 *3186:7 0.00145605 +57 *1188:47 *3492:DIODE 6.50586e-05 +58 *1188:47 *3500:DIODE 0.000267472 +59 *1188:47 *3693:DIODE 0.000161243 +60 *1188:47 *21302:A 0.000266832 +61 *1188:47 *21504:A 0.000107496 +62 *1188:47 *1834:25 6.99486e-05 +63 *1188:47 *2313:28 0.00245141 +64 *1188:47 *2921:57 0.00190818 +65 *1188:51 *2313:21 0.00222561 +66 *1186:9 *1188:9 0.00509631 +67 *1186:9 *1188:15 0.000145363 +68 *1186:19 *1188:15 0.00029206 +69 *1186:19 *1188:23 0.000456899 +70 *1186:21 *1188:23 0.00283204 +71 *1186:21 *1188:25 0.00503856 +72 *1186:21 *1188:29 0.000948864 +73 *1186:21 *1188:44 0.00271642 +74 *1186:21 *1188:47 3.30999e-05 +75 *1186:25 *22550:A 0.000167076 +76 *1186:25 *1188:47 0.000746631 +77 *1186:25 *1188:51 0.00229293 +*RES +1 *21412:Y *1188:8 24.2337 +2 *1188:8 *1188:9 61.7298 +3 *1188:9 *1188:15 23.3657 +4 *1188:15 *1188:23 45.8633 +5 *1188:23 *1188:25 54.7972 +6 *1188:25 *1188:27 0.988641 +7 *1188:27 *1188:29 58.4022 +8 *1188:29 *1188:44 49.0755 +9 *1188:44 *1188:46 4.5 +10 *1188:46 *1188:47 52.8561 +11 *1188:47 *1188:51 25.1501 +12 *1188:51 *22550:A 11.0817 +13 *1188:51 *5042:DIODE 9.24915 +*END + +*D_NET *1189 0.0782263 +*CONN +*I *22551:A I *D sky130_fd_sc_hd__einvp_8 +*I *5044:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21413:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *22551:A 7.05146e-05 +2 *5044:DIODE 0 +3 *21413:Y 0.000274286 +4 *1189:14 0.000109912 +5 *1189:11 0.0274594 +6 *1189:10 0.0276943 +7 *22551:A *2310:26 0.000205006 +8 *22551:A *2452:8 1.36556e-05 +9 *22551:A *3168:21 0.000205006 +10 *22551:A *3478:35 4.33979e-05 +11 *1189:10 *3603:DIODE 1.79807e-05 +12 *1189:10 *22270:TE 0 +13 *1189:10 *1234:18 0.0001397 +14 *1189:10 *1746:8 0 +15 *1189:10 *3087:6 0.000393344 +16 *1189:10 *3367:8 8.16827e-05 +17 *1189:11 *3536:DIODE 4.33819e-05 +18 *1189:11 *3692:DIODE 1.88152e-05 +19 *1189:11 *3716:DIODE 0.000119986 +20 *1189:11 *3724:DIODE 6.9815e-05 +21 *1189:11 *4696:DIODE 0.000192991 +22 *1189:11 *6464:DIODE 6.85963e-05 +23 *1189:11 *6467:DIODE 1.82679e-05 +24 *1189:11 *22556:A 0.000211478 +25 *1189:11 *22556:TE 0.00011818 +26 *1189:11 *23494:TE 7.48797e-05 +27 *1189:11 *23579:A 1.41181e-05 +28 *1189:11 *23579:TE 1.87146e-05 +29 *1189:11 *1311:10 0.000420326 +30 *1189:11 *1362:10 0.000372922 +31 *1189:11 *1448:11 0.000570047 +32 *1189:11 *1723:11 0.000287573 +33 *1189:11 *1730:13 0.000266846 +34 *1189:11 *1823:8 0.000283434 +35 *1189:11 *2085:32 0.000107735 +36 *1189:11 *2129:56 0.00012309 +37 *1189:11 *2454:9 0.000175207 +38 *1189:11 *2513:29 7.13972e-05 +39 *1189:11 *2523:33 4.31703e-05 +40 *1189:11 *2797:31 0.000934169 +41 *1189:11 *2797:49 0.000119076 +42 *1189:11 *2808:28 1.44694e-05 +43 *1189:11 *2813:62 0.000286826 +44 *1189:11 *3078:11 0.003167 +45 *1189:11 *3080:15 7.01316e-05 +46 *1189:11 *3167:11 0.00378116 +47 *1189:11 *3356:9 0.000349302 +48 *1189:11 *3367:5 0.00108237 +49 *1189:11 *3462:5 0.00463913 +50 *1189:11 *3467:7 0.00328679 +51 *1189:14 *2452:8 1.79807e-05 +52 *1189:14 *3478:35 7.86847e-05 +*RES +1 *21413:Y *1189:10 26.8968 +2 *1189:10 *1189:11 446.625 +3 *1189:11 *1189:14 5.91674 +4 *1189:14 *5044:DIODE 13.7491 +5 *1189:14 *22551:A 17.1378 +*END + +*D_NET *1190 0.054991 +*CONN +*I *5046:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22552:A I *D sky130_fd_sc_hd__einvp_8 +*I *21414:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5046:DIODE 0 +2 *22552:A 3.88719e-05 +3 *21414:Y 0.000211057 +4 *1190:43 0.000410122 +5 *1190:41 0.00095131 +6 *1190:38 0.000643561 +7 *1190:33 0.00131254 +8 *1190:32 0.00238294 +9 *1190:17 0.00163301 +10 *1190:9 0.00250093 +11 *1190:8 0.00221287 +12 *22552:A *1945:40 0.000382292 +13 *22552:A *2311:26 0.000170675 +14 *1190:8 *21350:A 0 +15 *1190:8 *1191:13 1.07248e-05 +16 *1190:8 *1743:14 5.79544e-05 +17 *1190:8 *2827:30 0 +18 *1190:8 *3023:18 0 +19 *1190:9 *1207:9 0.00451183 +20 *1190:9 *2480:9 0.00358601 +21 *1190:9 *2812:45 0.000482985 +22 *1190:9 *2820:23 0.00517138 +23 *1190:17 *2137:56 0.00237238 +24 *1190:17 *2433:14 0.000306863 +25 *1190:17 *3012:26 0.00032051 +26 *1190:32 *1967:43 0.000140018 +27 *1190:32 *1981:23 0.000202878 +28 *1190:32 *2114:25 2.20585e-05 +29 *1190:32 *2362:18 0.000199467 +30 *1190:32 *2798:24 0.000143443 +31 *1190:33 *6497:DIODE 6.50727e-05 +32 *1190:33 *1198:23 0.000714228 +33 *1190:33 *1459:14 0.00328755 +34 *1190:33 *1487:9 0.00408644 +35 *1190:33 *1615:16 0.00120121 +36 *1190:33 *2311:36 0.000470508 +37 *1190:38 *2797:26 6.71354e-05 +38 *1190:41 *1198:23 0.00271627 +39 *1190:41 *1198:32 0.000687806 +40 *1190:41 *2311:34 2.97421e-05 +41 *1190:43 *1945:40 0.000180927 +42 *1190:43 *1945:42 0.000487964 +43 *1190:43 *2311:26 0.000575358 +44 *1190:43 *2311:34 0.000988757 +45 *1162:7 *1190:32 0.000905619 +46 *1162:18 *1190:33 0.00021569 +47 *1162:19 *1190:41 0.00448401 +48 *1162:19 *1190:43 0.000231042 +49 *1163:15 *1190:33 0.00010238 +50 *1167:9 *1190:41 0.000118134 +51 *1169:11 *1190:9 0.000244847 +52 *1176:9 *1190:32 0.000357884 +53 *1178:13 *1190:32 2.55314e-05 +54 *1185:5 *1190:17 0.00236817 +*RES +1 *21414:Y *1190:8 23.4032 +2 *1190:8 *1190:9 118.854 +3 *1190:9 *1190:17 46.1349 +4 *1190:17 *1190:32 45.5207 +5 *1190:32 *1190:33 69.4942 +6 *1190:33 *1190:38 10.4167 +7 *1190:38 *1190:41 49.4079 +8 *1190:41 *1190:43 24.5714 +9 *1190:43 *22552:A 13.3002 +10 *1190:43 *5046:DIODE 9.24915 +*END + +*D_NET *1191 0.0687482 +*CONN +*I *5048:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22553:A I *D sky130_fd_sc_hd__einvp_8 +*I *21415:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5048:DIODE 0 +2 *22553:A 7.78453e-05 +3 *21415:Y 0.000882934 +4 *1191:27 0.000653072 +5 *1191:23 0.000929931 +6 *1191:20 0.000498846 +7 *1191:15 0.0150848 +8 *1191:13 0.0158236 +9 *22553:A *1205:23 4.56667e-05 +10 *22553:A *2919:73 0.000316306 +11 *1191:13 *3533:DIODE 0.00027175 +12 *1191:13 *3604:DIODE 0.000171273 +13 *1191:13 *1195:5 0.00113732 +14 *1191:13 *1359:8 0.000681478 +15 *1191:13 *1743:14 9.24241e-05 +16 *1191:13 *2137:78 0.000134215 +17 *1191:13 *3023:18 0 +18 *1191:15 *4466:DIODE 1.19721e-05 +19 *1191:15 *21410:A 9.90116e-05 +20 *1191:15 *23366:B 6.99486e-05 +21 *1191:15 *1224:23 7.09148e-05 +22 *1191:15 *2119:34 0.000118134 +23 *1191:15 *2137:50 4.80874e-05 +24 *1191:15 *2137:78 0.00018038 +25 *1191:20 *2101:43 9.07365e-05 +26 *1191:20 *2351:6 0 +27 *1191:20 *2913:18 0.000219 +28 *1191:23 *2101:38 0.000534487 +29 *1191:23 *2297:12 0.0016791 +30 *1191:23 *2301:12 0.00343598 +31 *1191:27 *1198:41 0 +32 *1191:27 *1205:23 0.000112035 +33 *1191:27 *2352:8 8.92585e-05 +34 *1191:27 *2450:6 0.000143717 +35 *1191:27 *2507:12 0 +36 *1191:27 *2919:73 6.64392e-05 +37 *1191:27 *2921:39 0.00011818 +38 *1191:27 *2921:50 0.000460728 +39 *1163:15 *1191:15 0.000192797 +40 *1176:23 *22553:A 0.000420274 +41 *1176:23 *1191:27 0.000907859 +42 *1181:19 *1191:23 0.000523679 +43 *1185:5 *1191:15 0.0130747 +44 *1185:17 *1191:15 0.0091892 +45 *1185:28 *1191:27 7.94607e-05 +46 *1190:8 *1191:13 1.07248e-05 +*RES +1 *21415:Y *1191:13 41.2405 +2 *1191:13 *1191:15 262.219 +3 *1191:15 *1191:20 13.3235 +4 *1191:20 *1191:23 41.2726 +5 *1191:23 *1191:27 24.3734 +6 *1191:27 *22553:A 14.4335 +7 *1191:27 *5048:DIODE 9.24915 +*END + +*D_NET *1192 0.0801968 +*CONN +*I *5050:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22554:A I *D sky130_fd_sc_hd__einvp_8 +*I *21416:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5050:DIODE 0 +2 *22554:A 0.000140851 +3 *21416:Y 0.000608844 +4 *1192:61 0.000983821 +5 *1192:53 0.00360683 +6 *1192:51 0.00397579 +7 *1192:48 0.00205564 +8 *1192:42 0.00195224 +9 *1192:35 0.00231213 +10 *1192:23 0.0029308 +11 *1192:22 0.00223722 +12 *1192:11 0.00273291 +13 *1192:10 0.00283173 +14 *22554:A *2312:21 0.000267467 +15 *22554:A *2467:8 1.67245e-05 +16 *22554:A *3384:6 0 +17 *1192:10 *1199:9 0.000605237 +18 *1192:10 *2135:55 0 +19 *1192:10 *2539:22 1.91391e-05 +20 *1192:10 *3022:20 7.26819e-05 +21 *1192:10 *3085:8 0.000113257 +22 *1192:11 *4474:DIODE 4.88955e-05 +23 *1192:11 *4731:DIODE 6.3657e-05 +24 *1192:11 *22267:TE 0.000224395 +25 *1192:11 *1221:9 0.000169107 +26 *1192:11 *1228:23 0.00514857 +27 *1192:11 *1231:19 2.26775e-05 +28 *1192:11 *1231:25 0.000450077 +29 *1192:22 *22257:A 0.000205487 +30 *1192:22 *1735:10 2.01503e-05 +31 *1192:22 *1995:57 0.000124174 +32 *1192:22 *2130:29 0 +33 *1192:22 *2811:72 6.58212e-05 +34 *1192:22 *2822:18 1.66626e-05 +35 *1192:23 *3594:DIODE 0.000317693 +36 *1192:23 *21353:A 0.000171273 +37 *1192:23 *21356:A 0.000158357 +38 *1192:23 *1193:13 0.00187873 +39 *1192:23 *1193:20 1.41689e-05 +40 *1192:23 *1228:13 0.00125501 +41 *1192:23 *1228:15 0.00307774 +42 *1192:23 *1228:21 0.00207179 +43 *1192:23 *1231:11 3.34025e-05 +44 *1192:23 *1231:13 0.00456715 +45 *1192:23 *2796:71 0.000107731 +46 *1192:35 *22576:TE 4.33655e-05 +47 *1192:35 *1193:21 0.000230388 +48 *1192:35 *2345:50 6.98314e-05 +49 *1192:35 *2796:71 0.000815784 +50 *1192:35 *3192:8 6.87106e-05 +51 *1192:42 *1197:21 0.000413252 +52 *1192:42 *2345:50 0.00314413 +53 *1192:42 *2636:24 0 +54 *1192:42 *3470:8 0.000110766 +55 *1192:48 *1314:9 0.000110649 +56 *1192:48 *1829:9 0.000755543 +57 *1192:48 *1834:25 5.80177e-05 +58 *1192:48 *2316:34 0.00216736 +59 *1192:48 *2461:8 0.000123168 +60 *1192:48 *2808:22 0.000740395 +61 *1192:51 *1832:21 0.000796985 +62 *1192:53 *3499:DIODE 0.000367219 +63 *1192:53 *4660:DIODE 0.000563898 +64 *1192:53 *21505:A 1.41689e-05 +65 *1192:53 *22357:TE 9.97706e-05 +66 *1192:53 *1448:10 1.65872e-05 +67 *1192:53 *1832:11 0.000907677 +68 *1192:53 *1832:21 6.36477e-05 +69 *1192:53 *1833:11 6.08467e-05 +70 *1192:53 *2132:38 0.000115848 +71 *1192:53 *2914:41 7.54269e-06 +72 *1192:53 *2916:30 0.0020388 +73 *1192:53 *2916:33 0.00411224 +74 *1192:53 *2919:85 3.79152e-05 +75 *1192:61 *21500:A 5.0715e-05 +76 *1192:61 *22548:TE 0.000266832 +77 *1192:61 *1309:8 3.61534e-05 +78 *1192:61 *2303:18 0.00269167 +79 *1192:61 *2312:21 0.0021248 +80 *1192:61 *2445:7 0.000114518 +81 *22548:A *1192:53 0.000228593 +82 *22548:A *1192:61 4.31603e-06 +83 *22576:A *1192:35 0.000127765 +84 *1137:5 *1192:23 0.00489441 +85 *1137:5 *1192:35 0.000623326 +86 *1137:13 *1192:35 0.000280393 +87 *1143:18 *1192:35 2.81678e-06 +88 *1178:27 *1192:48 0.000106845 +89 *1182:25 *1192:53 7.17779e-05 +90 *1186:35 *1192:53 0.00284136 +*RES +1 *21416:Y *1192:10 36.3306 +2 *1192:10 *1192:11 70.6034 +3 *1192:11 *1192:22 17.692 +4 *1192:22 *1192:23 126.341 +5 *1192:23 *1192:35 41.0285 +6 *1192:35 *1192:42 45.2469 +7 *1192:42 *1192:48 47.5822 +8 *1192:48 *1192:51 20.7132 +9 *1192:51 *1192:53 101.107 +10 *1192:53 *1192:61 41.4265 +11 *1192:61 *22554:A 22.1924 +12 *1192:61 *5050:DIODE 9.24915 +*END + +*D_NET *1193 0.0621961 +*CONN +*I *5052:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22555:A I *D sky130_fd_sc_hd__einvp_8 +*I *21417:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5052:DIODE 0 +2 *22555:A 0.000177515 +3 *21417:Y 0 +4 *1193:27 0.000351265 +5 *1193:21 0.01257 +6 *1193:20 0.0134082 +7 *1193:13 0.0020078 +8 *1193:5 0.0051466 +9 *1193:4 0.00415073 +10 *22555:A *1942:29 1.67271e-05 +11 *22555:A *2315:38 0.000113968 +12 *22555:A *2315:44 1.41853e-05 +13 *22555:A *2472:6 1.19576e-05 +14 *1193:5 *3607:DIODE 4.31703e-05 +15 *1193:5 *3725:DIODE 0.000162728 +16 *1193:5 *1197:5 0.00167831 +17 *1193:5 *3357:7 8.05819e-05 +18 *1193:13 *4721:DIODE 6.3657e-05 +19 *1193:13 *1735:10 0.000215006 +20 *1193:13 *1979:31 0 +21 *1193:13 *2523:30 0 +22 *1193:13 *3043:20 9.60556e-05 +23 *1193:20 *1231:13 0.00147464 +24 *1193:20 *1989:67 0.000122083 +25 *1193:20 *2409:12 0.000118485 +26 *1193:21 *3702:DIODE 7.48797e-05 +27 *1193:21 *1196:26 0.00102036 +28 *1193:21 *1228:13 2.8873e-05 +29 *1193:21 *1228:15 0.00656455 +30 *1193:21 *1946:80 3.07561e-05 +31 *1193:21 *1948:97 6.50586e-05 +32 *1193:21 *2796:71 0.00226483 +33 *1193:27 *1824:9 2.99929e-05 +34 *1193:27 *1948:97 7.14746e-05 +35 *22576:A *1193:21 0.000209388 +36 *1137:13 *1193:21 0.00142484 +37 *1137:19 *1193:21 0.000104029 +38 *1137:21 *1193:21 0.00505233 +39 *1167:9 *1193:5 0.00082697 +40 *1179:40 *22555:A 6.92705e-05 +41 *1179:40 *1193:27 0.000211478 +42 *1192:23 *1193:13 0.00187873 +43 *1192:23 *1193:20 1.41689e-05 +44 *1192:35 *1193:21 0.000230388 +*RES +1 *21417:Y *1193:4 9.24915 +2 *1193:4 *1193:5 103.325 +3 *1193:5 *1193:13 38.6045 +4 *1193:13 *1193:20 28.0541 +5 *1193:20 *1193:21 215.91 +6 *1193:21 *1193:27 12.8039 +7 *1193:27 *22555:A 21.6378 +8 *1193:27 *5052:DIODE 9.24915 +*END + +*D_NET *1194 0.0583482 +*CONN +*I *5054:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22556:A I *D sky130_fd_sc_hd__einvp_8 +*I *21418:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5054:DIODE 0 +2 *22556:A 9.50702e-05 +3 *21418:Y 0.000570192 +4 *1194:16 0.000217466 +5 *1194:11 0.00944087 +6 *1194:10 0.00988867 +7 *22556:A *2085:32 1.43983e-05 +8 *1194:10 *1237:10 0 +9 *1194:10 *3089:15 0.000122378 +10 *1194:10 *3089:16 0 +11 *1194:10 *3376:7 0.000118166 +12 *1194:11 *3528:DIODE 0.000472644 +13 *1194:11 *4454:DIODE 6.73186e-05 +14 *1194:11 *4468:DIODE 5.61389e-05 +15 *1194:11 *4472:DIODE 0.000160617 +16 *1194:11 *4476:DIODE 6.11872e-05 +17 *1194:11 *21326:A 0.000381471 +18 *1194:11 *22254:TE 0.000113968 +19 *1194:11 *22261:TE 0.000114518 +20 *1194:11 *22263:TE 0.000220183 +21 *1194:11 *22265:TE 0.00011818 +22 *1194:11 *23485:A 4.43826e-05 +23 *1194:11 *23485:TE 1.00846e-05 +24 *1194:11 *23492:TE 0.000122378 +25 *1194:11 *23581:A 6.08167e-05 +26 *1194:11 *23581:TE 7.22498e-05 +27 *1194:11 *23597:TE 0.000118166 +28 *1194:11 *1204:9 0.00783114 +29 *1194:11 *1204:13 0.004317 +30 *1194:11 *1204:17 0.00699019 +31 *1194:11 *1340:11 0.000258142 +32 *1194:11 *1353:11 0.000695571 +33 *1194:11 *1444:9 1.41291e-05 +34 *1194:11 *1737:11 0.000103324 +35 *1194:11 *1741:11 5.00593e-05 +36 *1194:11 *2126:32 0.000204626 +37 *1194:11 *2357:7 0.000642796 +38 *1194:11 *2798:42 0.00016107 +39 *1194:11 *2814:9 0.000865731 +40 *1194:11 *2814:15 0.000192991 +41 *1194:11 *2814:26 2.65667e-05 +42 *1194:11 *3070:11 0.000227265 +43 *1194:11 *3088:7 0.0027262 +44 *1194:11 *3190:13 0.00314302 +45 *1194:11 *3190:24 0.00079792 +46 *1194:11 *3190:25 0.00548241 +47 *1194:11 *3365:7 0.000596133 +48 *1194:11 *3463:8 7.97944e-05 +49 *1194:16 *1437:10 0 +50 *1194:16 *2080:49 6.91241e-05 +51 *1189:11 *22556:A 0.000211478 +*RES +1 *21418:Y *1194:10 32.9862 +2 *1194:10 *1194:11 408.912 +3 *1194:11 *1194:16 12.0778 +4 *1194:16 *22556:A 12.2151 +5 *1194:16 *5054:DIODE 9.24915 +*END + +*D_NET *1195 0.0453185 +*CONN +*I *22557:A I *D sky130_fd_sc_hd__einvp_8 +*I *5056:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21419:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22557:A 9.93044e-05 +2 *5056:DIODE 0 +3 *21419:Y 0 +4 *1195:42 0.000540704 +5 *1195:35 0.00371722 +6 *1195:34 0.00327582 +7 *1195:32 0.00137564 +8 *1195:28 0.00231842 +9 *1195:17 0.00229804 +10 *1195:5 0.00345237 +11 *1195:4 0.00209711 +12 *22557:A *1205:17 0.000213739 +13 *22557:A *2629:22 1.56279e-05 +14 *22557:A *3069:10 3.1718e-05 +15 *1195:5 *3609:DIODE 5.56461e-05 +16 *1195:5 *1359:8 0.000176125 +17 *1195:5 *1366:9 0.0001274 +18 *1195:5 *2137:78 0.00572548 +19 *1195:5 *3024:29 7.6719e-06 +20 *1195:5 *3377:10 0.000532383 +21 *1195:17 *4737:DIODE 4.19401e-06 +22 *1195:17 *2137:76 0.00272985 +23 *1195:17 *2524:52 4.69495e-06 +24 *1195:17 *3010:20 1.79334e-05 +25 *1195:17 *3044:20 7.08723e-06 +26 *1195:28 *1975:49 3.77568e-05 +27 *1195:28 *1988:32 0.00191304 +28 *1195:28 *2120:38 3.91944e-05 +29 *1195:28 *2137:69 1.93659e-05 +30 *1195:28 *2804:36 0 +31 *1195:28 *2965:15 0 +32 *1195:28 *3034:6 4.25398e-05 +33 *1195:28 *3041:17 0 +34 *1195:32 *3521:DIODE 0.000317693 +35 *1195:32 *21331:A 1.98263e-05 +36 *1195:32 *2117:21 9.49135e-05 +37 *1195:32 *2137:56 0.00355022 +38 *1195:32 *2803:34 7.50872e-05 +39 *1195:42 *2500:10 6.00927e-05 +40 *1195:42 *2629:22 9.47372e-05 +41 *1195:42 *2629:24 1.05601e-05 +42 *1195:42 *3069:10 0.000103461 +43 *1160:29 *1195:35 0.000816532 +44 *1160:31 *1195:35 1.34424e-05 +45 *1163:15 *1195:17 3.35503e-05 +46 *1163:15 *1195:28 1.32028e-05 +47 *1171:9 *1195:28 0.000131758 +48 *1176:14 *22557:A 1.98263e-05 +49 *1176:14 *1195:42 8.60109e-05 +50 *1176:15 *22557:A 6.23875e-05 +51 *1181:13 *1195:35 0.00432614 +52 *1184:5 *1195:5 0.00347564 +53 *1191:13 *1195:5 0.00113732 +*RES +1 *21419:Y *1195:4 9.24915 +2 *1195:4 *1195:5 92.7876 +3 *1195:5 *1195:17 46.6429 +4 *1195:17 *1195:28 20.2818 +5 *1195:28 *1195:32 45.8776 +6 *1195:32 *1195:34 4.5 +7 *1195:34 *1195:35 57.293 +8 *1195:35 *1195:42 14.0264 +9 *1195:42 *5056:DIODE 13.7491 +10 *1195:42 *22557:A 17.5531 +*END + +*D_NET *1196 0.0599853 +*CONN +*I *22558:A I *D sky130_fd_sc_hd__einvp_8 +*I *5058:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21420:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22558:A 0 +2 *5058:DIODE 0.000119162 +3 *21420:Y 5.59905e-05 +4 *1196:34 0.000209361 +5 *1196:33 0.00248264 +6 *1196:26 0.00283209 +7 *1196:18 0.00236257 +8 *1196:9 0.0154131 +9 *1196:8 0.0135461 +10 *5058:DIODE *4913:DIODE 8.62625e-06 +11 *5058:DIODE *1206:41 6.50727e-05 +12 *5058:DIODE *2913:42 0.000163997 +13 *5058:DIODE *3183:8 0 +14 *1196:8 *2696:8 1.23518e-05 +15 *1196:9 *4464:DIODE 4.28742e-05 +16 *1196:9 *22380:B 6.78549e-05 +17 *1196:9 *1199:9 0.00318816 +18 *1196:9 *1199:13 0.000517697 +19 *1196:9 *1206:15 0.00321151 +20 *1196:9 *1338:13 0.00124784 +21 *1196:18 *4938:DIODE 0.000423922 +22 *1196:18 *1210:8 4.03281e-05 +23 *1196:18 *2455:6 8.98279e-05 +24 *1196:18 *3196:6 9.34404e-05 +25 *1196:18 *3349:6 0.000111097 +26 *1196:26 *2585:12 0 +27 *1196:26 *2797:30 0.000620308 +28 *1196:26 *3179:6 0.00046733 +29 *1196:33 *1203:11 0.00349429 +30 *1196:33 *1832:21 0.00040657 +31 *1196:34 *4663:DIODE 0.000110477 +32 *1196:34 *2913:42 0.000146458 +33 *1196:34 *3183:8 0 +34 *1137:13 *1196:26 0.000969769 +35 *1164:8 *1196:8 0.000165158 +36 *1164:9 *1196:9 0.000695104 +37 *1168:12 *1196:8 0.000205317 +38 *1177:23 *1196:9 0.00228198 +39 *1177:25 *1196:9 0.00129772 +40 *1177:31 *1196:9 0.000749011 +41 *1179:15 *1196:18 0.000988984 +42 *1183:19 *5058:DIODE 6.08467e-05 +43 *1193:21 *1196:26 0.00102036 +*RES +1 *21420:Y *1196:8 21.7421 +2 *1196:8 *1196:9 229.775 +3 *1196:9 *1196:18 47.6966 +4 *1196:18 *1196:26 36.5589 +5 *1196:26 *1196:33 48.6754 +6 *1196:33 *1196:34 3.07775 +7 *1196:34 *5058:DIODE 17.5503 +8 *1196:34 *22558:A 13.7491 +*END + +*D_NET *1197 0.0569436 +*CONN +*I *5060:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22559:A I *D sky130_fd_sc_hd__einvp_8 +*I *21421:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5060:DIODE 0 +2 *22559:A 0.000141864 +3 *21421:Y 0 +4 *1197:21 0.00187668 +5 *1197:15 0.01213 +6 *1197:13 0.0116883 +7 *1197:10 0.00162685 +8 *1197:5 0.00342827 +9 *1197:4 0.0030946 +10 *22559:A *4909:DIODE 0.000171273 +11 *22559:A *2345:48 6.50727e-05 +12 *22559:A *2627:14 1.94347e-05 +13 *22559:A *2914:46 0 +14 *1197:5 *3605:DIODE 0.000114584 +15 *1197:5 *3607:DIODE 0.000266832 +16 *1197:5 *4751:DIODE 6.50727e-05 +17 *1197:5 *6299:DIODE 2.81717e-05 +18 *1197:10 *2416:8 0.000165339 +19 *1197:13 *3590:DIODE 0.000103139 +20 *1197:13 *21537:A 0.00012196 +21 *1197:13 *23489:TE 2.85139e-05 +22 *1197:13 *1206:7 0.000123984 +23 *1197:13 *1223:10 0.00017407 +24 *1197:13 *2123:38 0.000236921 +25 *1197:13 *3360:7 0.00114798 +26 *1197:15 *22562:A 0.00049413 +27 *1197:15 *22562:TE 3.14978e-05 +28 *1197:15 *1200:51 0.0019928 +29 *1197:15 *1206:7 5.32995e-05 +30 *1197:15 *1206:11 0.00406706 +31 *1197:15 *2123:28 0.0006342 +32 *1197:15 *2123:30 0.00752596 +33 *1197:15 *2123:36 6.20141e-05 +34 *1197:21 *2094:15 1.47102e-05 +35 *1197:21 *2345:48 0.00226263 +36 *1197:21 *2345:50 1.41689e-05 +37 *1137:21 *22559:A 0.000171273 +38 *1163:11 *1197:5 0.00017378 +39 *1163:15 *1197:5 0.000409555 +40 *1178:27 *1197:15 0.000126061 +41 *1192:42 *1197:21 0.000413252 +42 *1193:5 *1197:5 0.00167831 +*RES +1 *21421:Y *1197:4 9.24915 +2 *1197:4 *1197:5 81.1409 +3 *1197:5 *1197:10 17.0608 +4 *1197:10 *1197:13 35.6875 +5 *1197:13 *1197:15 181.524 +6 *1197:15 *1197:21 39.9795 +7 *1197:21 *22559:A 22.2219 +8 *1197:21 *5060:DIODE 9.24915 +*END + +*D_NET *1198 0.0732546 +*CONN +*I *22560:A I *D sky130_fd_sc_hd__einvp_8 +*I *5062:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21394:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22560:A 9.68512e-05 +2 *5062:DIODE 0 +3 *21394:Y 0.000203623 +4 *1198:60 0.000688234 +5 *1198:57 0.00246918 +6 *1198:55 0.0021494 +7 *1198:52 0.00103068 +8 *1198:41 0.00294829 +9 *1198:32 0.00254154 +10 *1198:23 0.00170965 +11 *1198:21 0.00276774 +12 *1198:10 0.00161404 +13 *22560:A *1946:58 0.000207266 +14 *22560:A *2285:10 5.75105e-05 +15 *22560:A *2288:7 5.78953e-05 +16 *22560:A *2289:17 2.6333e-05 +17 *22560:A *2458:7 6.08467e-05 +18 *1198:10 *1205:5 6.11872e-05 +19 *1198:10 *1973:47 0.000185793 +20 *1198:10 *2115:31 0 +21 *1198:21 *3583:DIODE 0.000111708 +22 *1198:21 *3734:DIODE 2.38485e-05 +23 *1198:21 *21393:A 0.000317693 +24 *1198:21 *21544:A 0.000203187 +25 *1198:21 *23368:A 6.33285e-05 +26 *1198:21 *23368:B 0 +27 *1198:23 *23368:B 0.000111722 +28 *1198:23 *1459:14 0.00328334 +29 *1198:23 *1487:9 7.6719e-06 +30 *1198:23 *1615:16 0.00119699 +31 *1198:23 *2311:34 0.000685559 +32 *1198:23 *2311:36 0.000265031 +33 *1198:32 *2311:34 0.00162681 +34 *1198:32 *2353:18 0.000100741 +35 *1198:32 *2540:24 3.55926e-05 +36 *1198:41 *23592:TE 2.29454e-05 +37 *1198:41 *2137:34 1.18461e-05 +38 *1198:41 *2137:38 0.000719152 +39 *1198:41 *2911:30 2.30482e-05 +40 *1198:52 *6491:DIODE 0.000171288 +41 *1198:52 *23592:TE 0.000222979 +42 *1198:52 *2137:34 0.000637327 +43 *1198:52 *2272:39 8.62625e-06 +44 *1198:52 *3139:23 2.1558e-05 +45 *1198:55 *2297:8 0.000260181 +46 *1198:55 *2297:12 0.00208694 +47 *1198:55 *2301:12 0.0026932 +48 *1198:57 *2036:20 0.000943501 +49 *1198:57 *2101:32 1.77716e-05 +50 *1198:57 *2266:8 0.000193304 +51 *1198:57 *2297:8 0.0118367 +52 *1198:57 *2301:8 0.0098912 +53 *1198:57 *2301:12 0.000577138 +54 *1198:60 *2288:7 0.000693141 +55 *1198:60 *2289:17 0.000242466 +56 *22574:A *1198:52 3.20069e-06 +57 *1141:42 *1198:52 4.20662e-05 +58 *1162:7 *1198:10 0.000158451 +59 *1162:18 *1198:23 0.000207266 +60 *1162:19 *1198:23 0.000621568 +61 *1163:15 *1198:23 0.000108607 +62 *1171:15 *1198:57 0.00103529 +63 *1176:9 *1198:21 0.000349354 +64 *1176:9 *1198:23 0.00513068 +65 *1178:5 *1198:21 0.000958897 +66 *1185:17 *1198:41 0.00233731 +67 *1190:33 *1198:23 0.000714228 +68 *1190:41 *1198:23 0.00271627 +69 *1190:41 *1198:32 0.000687806 +70 *1191:27 *1198:41 0 +*RES +1 *21394:Y *1198:10 23.99 +2 *1198:10 *1198:21 39.2322 +3 *1198:21 *1198:23 101.384 +4 *1198:23 *1198:32 29.4648 +5 *1198:32 *1198:41 48.2128 +6 *1198:41 *1198:52 29.9109 +7 *1198:52 *1198:55 29.3096 +8 *1198:55 *1198:57 144.643 +9 *1198:57 *1198:60 19.6201 +10 *1198:60 *5062:DIODE 13.7491 +11 *1198:60 *22560:A 17.5531 +*END + +*D_NET *1199 0.0502845 +*CONN +*I *22561:A I *D sky130_fd_sc_hd__einvp_8 +*I *5064:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21422:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22561:A 0.000106449 +2 *5064:DIODE 0 +3 *21422:Y 0.000121751 +4 *1199:41 0.000633732 +5 *1199:37 0.0013547 +6 *1199:35 0.00142041 +7 *1199:32 0.00111609 +8 *1199:21 0.00126479 +9 *1199:13 0.00294201 +10 *1199:11 0.00223967 +11 *1199:9 0.0018105 +12 *1199:8 0.0018929 +13 *22561:A *1947:44 9.90116e-05 +14 *1199:8 *2696:8 0.00010571 +15 *1199:8 *3030:20 0.000348793 +16 *1199:9 *3602:DIODE 6.50727e-05 +17 *1199:9 *21416:A 0.00021569 +18 *1199:9 *21548:A 0.000330471 +19 *1199:9 *22264:TE 0.000175485 +20 *1199:9 *22399:A_N 2.57847e-05 +21 *1199:9 *1995:57 0.00034756 +22 *1199:9 *3022:23 1.67988e-05 +23 *1199:13 *3520:DIODE 0.000237552 +24 *1199:13 *3739:DIODE 0.000324166 +25 *1199:13 *4464:DIODE 0.000169848 +26 *1199:13 *4741:DIODE 0.000167701 +27 *1199:13 *22259:TE 0.000113968 +28 *1199:13 *1728:10 0.000254551 +29 *1199:13 *1989:68 0.00430317 +30 *1199:13 *1995:57 0.000165394 +31 *1199:13 *2802:38 0.00021436 +32 *1199:21 *2802:38 4.0062e-05 +33 *1199:32 *1332:6 5.42014e-06 +34 *1199:32 *2796:71 0 +35 *1199:32 *3198:32 4.69495e-06 +36 *1199:35 *4651:DIODE 0.000158231 +37 *1199:35 *2796:72 0.000220183 +38 *1199:37 *4651:DIODE 1.00981e-05 +39 *1199:37 *4910:DIODE 0.000421676 +40 *1199:37 *21507:A 0.000266846 +41 *1199:37 *1830:8 8.47748e-05 +42 *1199:37 *1831:8 0.000679165 +43 *1199:41 *22483:A_N 0.000106215 +44 *1199:41 *1947:44 6.73799e-05 +45 *1199:41 *2916:43 0.000115934 +46 *1164:9 *1199:9 0.000118134 +47 *1164:9 *1199:32 0.000961784 +48 *1164:9 *1199:35 0.00010238 +49 *1177:23 *1199:13 0.000533666 +50 *1177:25 *1199:13 0.00072071 +51 *1177:25 *1199:21 0.004137 +52 *1177:31 *1199:35 0.00094208 +53 *1177:33 *1199:35 0.00124376 +54 *1177:33 *1199:37 0.000303008 +55 *1177:33 *1199:41 1.75155e-06 +56 *1179:7 *1199:21 0.00405679 +57 *1179:11 *1199:35 1.47365e-05 +58 *1179:15 *1199:35 0.0036917 +59 *1179:17 *1199:35 9.82896e-06 +60 *1179:17 *1199:37 0.00437129 +61 *1192:10 *1199:9 0.000605237 +62 *1196:9 *1199:9 0.00318816 +63 *1196:9 *1199:13 0.000517697 +*RES +1 *21422:Y *1199:8 24.2337 +2 *1199:8 *1199:9 77.2587 +3 *1199:9 *1199:11 0.988641 +4 *1199:11 *1199:13 86.1323 +5 *1199:13 *1199:21 49.6009 +6 *1199:21 *1199:32 18.1838 +7 *1199:32 *1199:35 44.4165 +8 *1199:35 *1199:37 49.5285 +9 *1199:37 *1199:41 8.51196 +10 *1199:41 *5064:DIODE 9.24915 +11 *1199:41 *22561:A 12.625 +*END + +*D_NET *1200 0.0528174 +*CONN +*I *5066:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22562:A I *D sky130_fd_sc_hd__einvp_8 +*I *21423:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5066:DIODE 0 +2 *22562:A 7.23127e-05 +3 *21423:Y 0 +4 *1200:51 0.000311502 +5 *1200:50 0.00174933 +6 *1200:41 0.00191053 +7 *1200:38 0.000707416 +8 *1200:27 0.00150964 +9 *1200:25 0.00133785 +10 *1200:13 0.000718211 +11 *1200:11 0.00269804 +12 *1200:5 0.00345674 +13 *1200:4 0.00134167 +14 *22562:A *2123:28 0.000436825 +15 *1200:5 *1743:13 0.000800603 +16 *1200:5 *3377:11 0.002874 +17 *1200:11 *1743:13 0.000824193 +18 *1200:13 *3726:DIODE 0.000277502 +19 *1200:13 *1352:11 0.00225608 +20 *1200:13 *1743:10 0.00172353 +21 *1200:13 *1743:13 6.50727e-05 +22 *1200:25 *22502:A 3.23075e-05 +23 *1200:25 *1849:13 5.47093e-05 +24 *1200:25 *2120:38 2.04806e-05 +25 *1200:27 *3519:DIODE 0.000162583 +26 *1200:27 *3585:DIODE 0.000200794 +27 *1200:27 *21365:A 0.000765504 +28 *1200:27 *1201:5 0.0015335 +29 *1200:27 *1349:13 0.000699619 +30 *1200:27 *1849:13 0.00131971 +31 *1200:38 *6039:DIODE 0.000163604 +32 *1200:38 *22260:TE 1.5714e-05 +33 *1200:38 *1613:11 4.19401e-06 +34 *1200:38 *1988:32 0.000135599 +35 *1200:38 *2109:37 1.5714e-05 +36 *1200:38 *3198:32 3.93117e-06 +37 *1200:50 *22374:A_N 6.50586e-05 +38 *1200:50 *1458:10 0 +39 *1200:50 *1487:8 0 +40 *1200:50 *1828:16 9.75356e-05 +41 *1200:50 *1983:33 0 +42 *1200:50 *2573:12 2.36494e-05 +43 *1200:50 *2796:50 0.00060273 +44 *1200:51 *2123:28 0.00197664 +45 *1160:7 *1200:5 0.00109921 +46 *1160:9 *1200:5 5.75508e-05 +47 *1160:9 *1200:13 0.000643549 +48 *1160:15 *1200:13 0.00265157 +49 *1160:26 *1200:13 6.9815e-05 +50 *1160:26 *1200:25 0.000235437 +51 *1160:26 *1200:27 0.000105684 +52 *1160:29 *1200:27 0.00317277 +53 *1160:31 *1200:41 0.00342602 +54 *1171:9 *1200:38 0.00102462 +55 *1178:25 *1200:50 0.000566787 +56 *1178:27 *22562:A 1.19721e-05 +57 *1181:13 *1200:41 0.00341761 +58 *1184:11 *1200:13 0.000220859 +59 *1184:11 *1200:25 0.00012164 +60 *1184:11 *1200:27 0.000540761 +61 *1197:15 *22562:A 0.00049413 +62 *1197:15 *1200:51 0.0019928 +*RES +1 *21423:Y *1200:4 9.24915 +2 *1200:4 *1200:5 50.3604 +3 *1200:5 *1200:11 35.6996 +4 *1200:11 *1200:13 50.0831 +5 *1200:13 *1200:25 14.8241 +6 *1200:25 *1200:27 66.1666 +7 *1200:27 *1200:38 17.845 +8 *1200:38 *1200:41 41.8272 +9 *1200:41 *1200:50 43.243 +10 *1200:50 *1200:51 21.7983 +11 *1200:51 *22562:A 15.398 +12 *1200:51 *5066:DIODE 9.24915 +*END + +*D_NET *1201 0.0679165 +*CONN +*I *5068:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22563:A I *D sky130_fd_sc_hd__einvp_8 +*I *21395:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5068:DIODE 0.000135389 +2 *22563:A 0 +3 *21395:Y 0 +4 *1201:24 0.000589877 +5 *1201:23 0.00112154 +6 *1201:17 0.0025629 +7 *1201:16 0.00207813 +8 *1201:5 0.0034929 +9 *1201:4 0.00331061 +10 *5068:DIODE *2285:16 6.08467e-05 +11 *5068:DIODE *2308:8 0.00011884 +12 *5068:DIODE *3350:18 0.000109715 +13 *1201:5 *3519:DIODE 0.000211492 +14 *1201:5 *3585:DIODE 0.000107496 +15 *1201:5 *3596:DIODE 0.000216526 +16 *1201:5 *21329:A 4.99884e-05 +17 *1201:5 *1343:11 0.000307037 +18 *1201:5 *1721:11 0.00174891 +19 *1201:5 *3226:15 0.000317721 +20 *1201:16 *2136:45 0 +21 *1201:16 *2631:20 3.77568e-05 +22 *1201:16 *2814:6 3.58315e-06 +23 *1201:16 *3193:12 3.88655e-06 +24 *1201:17 *5090:DIODE 0.000263305 +25 *1201:17 *22579:TE 0.000106215 +26 *1201:17 *2153:20 4.80707e-05 +27 *1201:17 *2301:8 0.000230449 +28 *1201:17 *2301:12 0.01115 +29 *1201:17 *2334:8 0.00427489 +30 *1201:23 *1207:15 1.49927e-05 +31 *1201:23 *1207:19 0.000774726 +32 *1201:23 *2153:20 0.000395816 +33 *1201:23 *2266:8 0.000764096 +34 *1201:23 *2301:8 0.00378464 +35 *1201:23 *2924:35 1.7883e-05 +36 *1201:24 *2308:8 0.000606162 +37 *1201:24 *3350:18 0.000609572 +38 *22579:A *1201:5 6.50727e-05 +39 *22579:A *1201:16 2.77564e-05 +40 *22579:A *1201:17 2.16355e-05 +41 *1139:9 *1201:5 0.00148753 +42 *1160:26 *1201:5 0.000833055 +43 *1160:29 *1201:5 7.68538e-06 +44 *1160:31 *1201:5 0.00605859 +45 *1160:42 *1201:16 2.69685e-05 +46 *1160:43 *1201:17 0.0170988 +47 *1171:9 *1201:5 0.00010238 +48 *1171:9 *1201:16 0.000259567 +49 *1171:9 *1201:17 0.000325634 +50 *1181:19 *1201:5 0.00022902 +51 *1181:19 *1201:17 0.000213407 +52 *1200:27 *1201:5 0.0015335 +*RES +1 *21395:Y *1201:4 9.24915 +2 *1201:4 *1201:5 123.291 +3 *1201:5 *1201:16 17.6811 +4 *1201:16 *1201:17 186.516 +5 *1201:17 *1201:23 46.8428 +6 *1201:23 *1201:24 13.4591 +7 *1201:24 *22563:A 13.7491 +8 *1201:24 *5068:DIODE 17.135 +*END + +*D_NET *1202 0.0554845 +*CONN +*I *5070:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22564:A I *D sky130_fd_sc_hd__einvp_8 +*I *21396:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5070:DIODE 0 +2 *22564:A 4.57456e-05 +3 *21396:Y 0.000510333 +4 *1202:17 0.000170838 +5 *1202:13 0.0069312 +6 *1202:11 0.00684425 +7 *1202:9 0.00420871 +8 *1202:8 0.0046809 +9 *22564:A *2131:24 0.000168313 +10 *1202:8 *21396:A 3.67708e-05 +11 *1202:8 *2409:6 0 +12 *1202:8 *3351:14 0 +13 *1202:9 *4450:DIODE 0.000171288 +14 *1202:9 *4698:DIODE 0.000428134 +15 *1202:9 *22252:TE 0.000107496 +16 *1202:9 *1737:11 0.000765643 +17 *1202:9 *2131:34 0.00154652 +18 *1202:9 *2131:36 0.0116148 +19 *1202:9 *2798:27 6.50727e-05 +20 *1202:9 *2813:37 0.000111722 +21 *1202:9 *2813:47 0.000236317 +22 *1202:9 *3068:7 6.92705e-05 +23 *1202:9 *3470:13 0.00257285 +24 *1202:13 *4646:DIODE 0.000120742 +25 *1202:13 *22350:TE 0.00011818 +26 *1202:13 *1314:9 6.73186e-05 +27 *1202:13 *1821:16 0.000227628 +28 *1202:13 *1984:34 0.000456781 +29 *1202:13 *2131:24 0.00122925 +30 *1202:13 *2131:28 0.0116398 +31 *1202:13 *2131:34 4.89822e-05 +32 *1202:17 *2131:24 0.000289599 +*RES +1 *21396:Y *1202:8 29.2167 +2 *1202:8 *1202:9 174.869 +3 *1202:9 *1202:11 0.988641 +4 *1202:11 *1202:13 194.003 +5 *1202:13 *1202:17 4.20775 +6 *1202:17 *22564:A 11.0817 +7 *1202:17 *5070:DIODE 9.24915 +*END + +*D_NET *1203 0.0655176 +*CONN +*I *5072:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22565:A I *D sky130_fd_sc_hd__einvp_8 +*I *21397:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5072:DIODE 0 +2 *22565:A 0.000171623 +3 *21397:Y 0 +4 *1203:28 0.000359075 +5 *1203:23 0.0120408 +6 *1203:22 0.0118534 +7 *1203:20 0.00158118 +8 *1203:11 0.0048368 +9 *1203:10 0.00330415 +10 *1203:5 0.00296971 +11 *1203:4 0.00292118 +12 *22565:A *1306:18 1.77537e-06 +13 *22565:A *2442:8 4.75721e-06 +14 *22565:A *3165:11 0.000267394 +15 *22565:A *3169:15 1.37189e-05 +16 *22565:A *3169:17 0.000219249 +17 *1203:10 *1232:10 8.98169e-05 +18 *1203:10 *3066:14 9.34145e-05 +19 *1203:11 *22573:TE 0.000113968 +20 *1203:11 *1832:21 0.000479276 +21 *1203:11 *3345:13 0.000200794 +22 *1203:20 *21305:A 9.14505e-05 +23 *1203:20 *22350:TE 1.25173e-05 +24 *1203:20 *1826:8 4.08704e-05 +25 *1203:20 *2087:47 0 +26 *1203:20 *2351:6 0 +27 *1203:20 *2808:22 0 +28 *1203:20 *2913:42 6.0369e-05 +29 *1203:20 *3470:8 0 +30 *1203:23 *3497:DIODE 0.000123698 +31 *1203:23 *1984:34 0.000102438 +32 *1203:23 *2131:24 0.00635099 +33 *1203:23 *2131:28 0.00268975 +34 *1203:23 *2915:70 5.07314e-05 +35 *1203:28 *1306:18 0 +36 *1203:28 *2341:16 4.22247e-05 +37 *1203:28 *2464:11 0 +38 *1203:28 *2465:8 0 +39 *1203:28 *3317:17 0 +40 *22573:A *1203:11 0.00010466 +41 *1140:15 *1203:11 0.00545638 +42 *1182:25 *1203:11 0.000630838 +43 *1186:21 *1203:5 0.0047443 +44 *1196:33 *1203:11 0.00349429 +*RES +1 *21397:Y *1203:4 9.24915 +2 *1203:4 *1203:5 51.7469 +3 *1203:5 *1203:10 10.832 +4 *1203:10 *1203:11 112.199 +5 *1203:11 *1203:20 46.7184 +6 *1203:20 *1203:22 4.5 +7 *1203:22 *1203:23 194.28 +8 *1203:23 *1203:28 13.3235 +9 *1203:28 *22565:A 24.3908 +10 *1203:28 *5072:DIODE 9.24915 +*END + +*D_NET *1204 0.0588148 +*CONN +*I *5074:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22566:A I *D sky130_fd_sc_hd__einvp_8 +*I *21398:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *5074:DIODE 0 +2 *22566:A 6.81513e-05 +3 *21398:Y 0.00050418 +4 *1204:22 0.000172745 +5 *1204:17 0.00601189 +6 *1204:15 0.00592793 +7 *1204:13 0.00686133 +8 *1204:11 0.00686133 +9 *1204:9 0.00280097 +10 *1204:8 0.00328452 +11 *22566:A *3168:17 0.000169733 +12 *22566:A *3437:27 0.00022284 +13 *1204:8 *1353:14 7.65861e-05 +14 *1204:8 *2118:25 2.12377e-05 +15 *1204:8 *2119:45 0.000265773 +16 *1204:8 *2410:6 0 +17 *1204:8 *3351:14 0 +18 *1204:8 *3356:9 0 +19 *1204:13 *3190:24 0.00079792 +20 *1204:17 *2310:28 8.1438e-05 +21 *1204:17 *3168:17 0.000772746 +22 *1204:17 *3168:21 0.00382135 +23 *1204:17 *3190:13 0.000773638 +24 *1204:22 *2310:26 1.07248e-05 +25 *1204:22 *2463:12 0 +26 *1204:22 *3168:17 0.000137921 +27 *1204:22 *3350:6 3.15383e-05 +28 *1194:11 *1204:9 0.00783114 +29 *1194:11 *1204:13 0.004317 +30 *1194:11 *1204:17 0.00699019 +*RES +1 *21398:Y *1204:8 31.2929 +2 *1204:8 *1204:9 84.7458 +3 *1204:9 *1204:11 0.578717 +4 *1204:11 *1204:13 116.636 +5 *1204:13 *1204:15 0.578717 +6 *1204:15 *1204:17 169.046 +7 *1204:17 *1204:22 12.0778 +8 *1204:22 *22566:A 12.625 +9 *1204:22 *5074:DIODE 9.24915 +*END + +*D_NET *1205 0.0638517 +*CONN +*I *22567:A I *D sky130_fd_sc_hd__einvp_8 +*I *5076:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21399:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *22567:A 0.000423575 +2 *5076:DIODE 0 +3 *21399:Y 0 +4 *1205:34 0.000793625 +5 *1205:31 0.000816755 +6 *1205:28 0.00050954 +7 *1205:23 0.00200057 +8 *1205:21 0.00216903 +9 *1205:17 0.00124587 +10 *1205:14 0.00114729 +11 *1205:5 0.00285689 +12 *1205:4 0.00272418 +13 *22567:A *5021:DIODE 1.05272e-06 +14 *22567:A *22539:TE 6.64392e-05 +15 *22567:A *2291:34 2.79507e-05 +16 *22567:A *2296:18 0.000162739 +17 *22567:A *2300:13 0 +18 *22567:A *2327:7 0 +19 *22567:A *2344:17 1.5714e-05 +20 *22567:A *3384:6 4.88764e-06 +21 *1205:5 *6266:DIODE 0.000268812 +22 *1205:5 *21392:A 0.000160617 +23 *1205:5 *21394:A 0.000205101 +24 *1205:5 *1343:11 0.00132182 +25 *1205:14 *6264:DIODE 0.000277502 +26 *1205:14 *1210:8 5.64867e-05 +27 *1205:14 *1342:10 8.12388e-06 +28 *1205:14 *3349:6 3.20069e-06 +29 *1205:17 *3732:DIODE 2.32834e-05 +30 *1205:17 *6265:DIODE 9.18559e-06 +31 *1205:17 *2113:20 5.20546e-06 +32 *1205:21 *21506:A 0.000213739 +33 *1205:21 *1316:8 0.000211288 +34 *1205:23 *3700:DIODE 0.000258142 +35 *1205:23 *4906:DIODE 0.000430366 +36 *1205:23 *1828:10 0.000872351 +37 *1205:23 *1834:11 0.000897677 +38 *1205:23 *2123:20 0.00010238 +39 *1205:23 *2306:8 0.000224395 +40 *1205:23 *2306:14 0.00117017 +41 *1205:23 *2306:16 0.00462321 +42 *1205:23 *2913:21 1.92336e-05 +43 *1205:23 *2919:73 0.000364451 +44 *1205:23 *2921:39 0.00011818 +45 *1205:23 *2921:50 0.000472679 +46 *1205:31 *2306:8 0.0036504 +47 *1205:34 *2316:13 2.09338e-05 +48 *1205:34 *2322:18 0.000429319 +49 *1205:34 *2323:13 8.45036e-05 +50 *22553:A *1205:23 4.56667e-05 +51 *22557:A *1205:17 0.000213739 +52 *1162:7 *1205:5 0.00159185 +53 *1162:7 *1205:14 0.00043038 +54 *1176:15 *1205:17 0.000320903 +55 *1176:15 *1205:21 0.00115853 +56 *1176:15 *1205:23 6.99052e-05 +57 *1176:23 *1205:23 0.000610001 +58 *1176:23 *1205:31 0.00365739 +59 *1183:19 *22567:A 0.000114584 +60 *1187:9 *1205:5 0.0048486 +61 *1187:9 *1205:14 4.36155e-05 +62 *1187:9 *1205:17 0.00374839 +63 *1187:9 *1205:21 9.97818e-05 +64 *1187:9 *1205:23 0.0152563 +65 *1191:27 *1205:23 0.000112035 +66 *1198:10 *1205:5 6.11872e-05 +*RES +1 *21399:Y *1205:4 9.24915 +2 *1205:4 *1205:5 97.2244 +3 *1205:5 *1205:14 16.1504 +4 *1205:14 *1205:17 42.1981 +5 *1205:17 *1205:21 13.636 +6 *1205:21 *1205:23 164.609 +7 *1205:23 *1205:28 10.0015 +8 *1205:28 *1205:31 44.6003 +9 *1205:31 *1205:34 13.8065 +10 *1205:34 *5076:DIODE 9.24915 +11 *1205:34 *22567:A 26.0985 +*END + +*D_NET *1206 0.0533153 +*CONN +*I *5078:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22568:A I *D sky130_fd_sc_hd__einvp_8 +*I *21400:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5078:DIODE 0 +2 *22568:A 2.08357e-05 +3 *21400:Y 6.67065e-05 +4 *1206:43 0.000866518 +5 *1206:41 0.00132976 +6 *1206:37 0.00086526 +7 *1206:33 0.0010065 +8 *1206:29 0.000735075 +9 *1206:24 0.00206788 +10 *1206:15 0.00260843 +11 *1206:11 0.00114654 +12 *1206:7 0.000562943 +13 *1206:7 *2123:36 1.09551e-05 +14 *1206:7 *2123:38 0.000113212 +15 *1206:11 *2123:36 0.00402236 +16 *1206:11 *2123:38 7.6719e-06 +17 *1206:15 *1973:47 8.16637e-05 +18 *1206:15 *2115:31 0.000123207 +19 *1206:24 *4939:DIODE 7.39899e-05 +20 *1206:24 *1338:13 8.98279e-05 +21 *1206:24 *1968:55 3.24632e-05 +22 *1206:24 *2932:47 1.53606e-05 +23 *1206:29 *22246:TE 0.000154145 +24 *1206:33 *21508:A 0.000171273 +25 *1206:33 *21509:A 0.00011818 +26 *1206:37 *4659:DIODE 0.000158371 +27 *1206:37 *22356:A 2.41483e-05 +28 *1206:41 *3501:DIODE 0.000246176 +29 *1206:41 *4902:DIODE 0.000309083 +30 *1206:41 *4913:DIODE 6.50727e-05 +31 *1206:41 *22478:B 6.40381e-05 +32 *1206:41 *2921:57 0.000922719 +33 *1206:43 *2920:99 0.002135 +34 *5058:DIODE *1206:41 6.50727e-05 +35 *1161:23 *1206:24 0.00302703 +36 *1161:23 *1206:29 1.92172e-05 +37 *1161:29 *1206:29 0.00011818 +38 *1161:31 *1206:29 7.13909e-05 +39 *1161:31 *1206:33 0.00417455 +40 *1161:31 *1206:37 0.000798779 +41 *1161:41 *1206:37 0.000602294 +42 *1161:41 *1206:41 0.000716748 +43 *1161:43 *1206:41 0.000884377 +44 *1161:43 *1206:43 0.000763692 +45 *1161:49 *22568:A 0.000167076 +46 *1161:49 *1206:43 0.000177199 +47 *1177:25 *1206:15 0.0032157 +48 *1183:9 *1206:29 0.000513023 +49 *1183:9 *1206:33 0.00165042 +50 *1183:9 *1206:37 0.00208864 +51 *1183:19 *22568:A 0.000167076 +52 *1183:19 *1206:37 0.000468325 +53 *1183:19 *1206:41 0.000531193 +54 *1183:19 *1206:43 0.00542411 +55 *1196:9 *1206:15 0.00321151 +56 *1197:13 *1206:7 0.000123984 +57 *1197:15 *1206:7 5.32995e-05 +58 *1197:15 *1206:11 0.00406706 +*RES +1 *21400:Y *1206:7 12.7697 +2 *1206:7 *1206:11 49.0371 +3 *1206:11 *1206:15 46.4238 +4 *1206:15 *1206:24 49.6391 +5 *1206:24 *1206:29 10.7935 +6 *1206:29 *1206:33 45.9477 +7 *1206:33 *1206:37 29.3096 +8 *1206:37 *1206:41 31.8053 +9 *1206:41 *1206:43 58.9568 +10 *1206:43 *22568:A 11.0817 +11 *1206:43 *5078:DIODE 9.24915 +*END + +*D_NET *1207 0.0830122 +*CONN +*I *5080:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22569:A I *D sky130_fd_sc_hd__einvp_8 +*I *21401:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5080:DIODE 0 +2 *22569:A 3.30919e-05 +3 *21401:Y 0.000226101 +4 *1207:22 0.000747799 +5 *1207:19 0.00134018 +6 *1207:15 0.000825037 +7 *1207:9 0.0186636 +8 *1207:8 0.0186901 +9 *22569:A *1946:58 0.000212189 +10 *22569:A *2295:11 0.000212189 +11 *1207:8 *2815:22 0 +12 *1207:8 *3044:20 0 +13 *1207:9 *5787:DIODE 1.5006e-05 +14 *1207:9 *21328:A 1.92336e-05 +15 *1207:9 *21406:A 6.99486e-05 +16 *1207:9 *23240:B 6.08467e-05 +17 *1207:9 *1342:10 0.00151487 +18 *1207:9 *2153:20 0.000939558 +19 *1207:9 *2270:24 0.0147572 +20 *1207:9 *2272:42 1.67988e-05 +21 *1207:9 *2272:44 0.00595093 +22 *1207:15 *2153:20 2.07503e-05 +23 *1207:15 *2270:24 1.5962e-05 +24 *1207:15 *2332:15 0.0010503 +25 *1207:15 *2924:35 0.000916343 +26 *1207:19 *2153:26 0.00346093 +27 *1207:19 *2266:8 0.00195185 +28 *1207:19 *2325:10 0.000122053 +29 *1207:19 *2332:15 9.68716e-06 +30 *1207:22 *2299:8 0.000282956 +31 *1207:22 *2300:7 0.000826555 +32 *1160:43 *1207:9 0.00057578 +33 *1169:11 *1207:9 0.000160053 +34 *1181:7 *1207:9 0.00312464 +35 *1184:17 *1207:9 0.000898193 +36 *1190:9 *1207:9 0.00451183 +37 *1201:23 *1207:15 1.49927e-05 +38 *1201:23 *1207:19 0.000774726 +*RES +1 *21401:Y *1207:8 22.9879 +2 *1207:8 *1207:9 360.107 +3 *1207:9 *1207:15 13.3828 +4 *1207:15 *1207:19 46.8187 +5 *1207:19 *1207:22 22.5268 +6 *1207:22 *22569:A 11.6364 +7 *1207:22 *5080:DIODE 9.24915 +*END + +*D_NET *1208 0.00293328 +*CONN +*I *4434:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22244:A I *D sky130_fd_sc_hd__einvp_8 +*I *21424:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4434:DIODE 0.000137947 +2 *22244:A 0 +3 *21424:Y 0.000885788 +4 *1208:11 0.00102373 +5 *4434:DIODE *3059:7 0.000176388 +6 *1208:11 *22372:B 2.85139e-05 +7 *1208:11 *1269:15 8.88799e-05 +8 *1208:11 *1280:11 0.0002646 +9 *1208:11 *2793:42 0.000256515 +10 *1208:11 *2886:6 0 +11 *1136:9 *4434:DIODE 2.82583e-05 +12 *1136:9 *1208:11 4.26566e-05 +*RES +1 *21424:Y *1208:11 41.2038 +2 *1208:11 *22244:A 9.24915 +3 *1208:11 *4434:DIODE 14.4094 +*END + +*D_NET *1209 0.0115585 +*CONN +*I *22245:A I *D sky130_fd_sc_hd__einvp_4 +*I *4436:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21524:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22245:A 0.000173689 +2 *4436:DIODE 0 +3 *21524:Y 0.000480318 +4 *1209:8 0.00313805 +5 *1209:7 0.00344468 +6 *22245:A *2400:6 0 +7 *22245:A *3060:6 3.12828e-05 +8 *22245:A *3198:32 0.000238673 +9 *1209:7 *1210:7 0.000791462 +10 *1209:8 *23245:A 4.76283e-05 +11 *1209:8 *2276:25 0 +12 *1209:8 *2277:31 7.84597e-06 +13 *1209:8 *2400:6 0 +14 *1209:8 *2681:8 0 +15 *1209:8 *2682:8 0 +16 *1209:8 *3198:32 0.000677887 +17 *1209:8 *3231:8 0.000306484 +18 *1209:8 *3232:9 0 +19 *1149:9 *1209:7 0.00222046 +*RES +1 *21524:Y *1209:7 37.7659 +2 *1209:7 *1209:8 64.9503 +3 *1209:8 *4436:DIODE 13.7491 +4 *1209:8 *22245:A 18.4879 +*END + +*D_NET *1210 0.0134821 +*CONN +*I *4438:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22246:A I *D sky130_fd_sc_hd__einvp_4 +*I *21525:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4438:DIODE 2.08357e-05 +2 *22246:A 0 +3 *21525:Y 0.000330035 +4 *1210:10 2.08357e-05 +5 *1210:8 0.00348293 +6 *1210:7 0.00381296 +7 *4438:DIODE *3061:13 0.000167076 +8 *1210:7 *3714:DIODE 0.000129589 +9 *1210:7 *3715:DIODE 0.000169041 +10 *1210:7 *2511:15 0.000267146 +11 *1210:8 *4939:DIODE 3.3171e-06 +12 *1210:8 *1342:10 0 +13 *1210:8 *1616:10 0.000390692 +14 *1210:8 *1844:9 5.15886e-05 +15 *1210:8 *1962:13 0.00248758 +16 *1210:8 *2113:17 8.42499e-05 +17 *1210:8 *2275:30 0 +18 *1210:8 *2798:23 4.41618e-05 +19 *1210:8 *2804:30 0.000415249 +20 *1210:8 *2932:32 0 +21 *1210:8 *3349:6 0.000117461 +22 *1149:9 *1210:7 0.000377713 +23 *1162:18 *1210:8 5.42662e-05 +24 *1183:9 *4438:DIODE 0.000167076 +25 *1196:18 *1210:8 4.03281e-05 +26 *1205:14 *1210:8 5.64867e-05 +27 *1209:7 *1210:7 0.000791462 +*RES +1 *21525:Y *1210:7 31.6653 +2 *1210:7 *1210:8 89.8655 +3 *1210:8 *1210:10 4.5 +4 *1210:10 *22246:A 9.24915 +5 *1210:10 *4438:DIODE 11.0817 +*END + +*D_NET *1211 0.00158223 +*CONN +*I *4440:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22247:A I *D sky130_fd_sc_hd__einvp_2 +*I *21526:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4440:DIODE 5.58867e-05 +2 *22247:A 0 +3 *21526:Y 0.000408059 +4 *1211:9 0.000463946 +5 *4440:DIODE *2518:11 0.000163418 +6 *1211:9 *1737:11 9.32891e-05 +7 *1211:9 *2399:18 0.000178995 +8 *1211:9 *2431:12 0 +9 *1211:9 *2518:11 0.000218638 +*RES +1 *21526:Y *1211:9 29.9429 +2 *1211:9 *22247:A 9.24915 +3 *1211:9 *4440:DIODE 11.0817 +*END + +*D_NET *1212 0.00176191 +*CONN +*I *4442:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22248:A I *D sky130_fd_sc_hd__einvp_2 +*I *21527:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4442:DIODE 4.37079e-05 +2 *22248:A 0.00015127 +3 *21527:Y 0.000369006 +4 *1212:10 0.000563985 +5 *4442:DIODE *3729:DIODE 2.07503e-05 +6 *22248:A *3729:DIODE 0.000190823 +7 *22248:A *1225:16 0.000107496 +8 *22248:A *2528:25 0.000158357 +9 *1212:10 *1225:16 8.62625e-06 +10 *1212:10 *1232:11 1.81618e-05 +11 *1212:10 *1737:11 4.97431e-05 +12 *1212:10 *2399:18 7.99886e-05 +13 *1212:10 *2431:12 0 +*RES +1 *21527:Y *1212:10 27.0333 +2 *1212:10 *22248:A 13.8789 +3 *1212:10 *4442:DIODE 9.97254 +*END + +*D_NET *1213 0.012132 +*CONN +*I *4444:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22249:A I *D sky130_fd_sc_hd__einvp_8 +*I *21528:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4444:DIODE 9.4588e-05 +2 *22249:A 0 +3 *21528:Y 0.000179152 +4 *1213:27 0.000630066 +5 *1213:19 0.00139481 +6 *1213:8 0.00103848 +7 *4444:DIODE *1973:28 0.000108266 +8 *4444:DIODE *2505:11 0.000417464 +9 *1213:8 *1333:6 5.6293e-05 +10 *1213:8 *2084:25 0 +11 *1213:19 *3507:DIODE 0.000171288 +12 *1213:19 *4930:DIODE 0.000111708 +13 *1213:19 *1962:10 0.00049286 +14 *1213:19 *1974:12 0.00386372 +15 *1213:19 *1974:24 0.000329117 +16 *1213:19 *2098:28 0.000508754 +17 *1213:19 *2799:19 0.000109716 +18 *1213:19 *2799:29 0.000359451 +19 *1213:19 *2799:41 0.000100396 +20 *1213:27 *1840:10 0.000122378 +21 *1213:27 *1972:22 0.000716762 +22 *1213:27 *1973:28 5.07314e-05 +23 *1213:27 *1974:24 2.66278e-05 +24 *1213:27 *1974:28 0.000769855 +25 *1213:27 *2353:16 0.000153464 +26 *1213:27 *2505:11 0.00011818 +27 *1213:27 *2517:32 0 +28 *1213:27 *2540:30 6.53664e-05 +29 *1213:27 *3218:8 0.000142523 +*RES +1 *21528:Y *1213:8 21.7421 +2 *1213:8 *1213:19 49.3838 +3 *1213:19 *1213:27 28.6298 +4 *1213:27 *22249:A 9.24915 +5 *1213:27 *4444:DIODE 13.8548 +*END + +*D_NET *1214 0.00844496 +*CONN +*I *4446:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22250:A I *D sky130_fd_sc_hd__einvp_4 +*I *21529:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4446:DIODE 4.17289e-05 +2 *22250:A 0 +3 *21529:Y 0.00170409 +4 *1214:11 0.000432986 +5 *1214:7 0.00209535 +6 *4446:DIODE *2820:13 0.000260388 +7 *4446:DIODE *3066:5 0.00024619 +8 *1214:7 *2005:36 0.000439288 +9 *1214:7 *2817:17 0.00158089 +10 *1214:11 *2530:16 0.000635368 +11 *1214:11 *2618:24 0.000636579 +12 *1214:11 *2820:13 0.000307037 +13 *1214:11 *3066:5 6.50586e-05 +*RES +1 *21529:Y *1214:7 42.7573 +2 *1214:7 *1214:11 20.2097 +3 *1214:11 *22250:A 9.24915 +4 *1214:11 *4446:DIODE 12.191 +*END + +*D_NET *1215 0.00171831 +*CONN +*I *22251:A I *D sky130_fd_sc_hd__einvp_8 +*I *4448:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21530:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22251:A 0.000117204 +2 *4448:DIODE 0 +3 *21530:Y 0.000355662 +4 *1215:6 0.000472866 +5 *22251:A *2797:26 6.36394e-05 +6 *22251:A *2931:77 0.000162682 +7 *1215:6 *1608:6 3.47182e-05 +8 *1215:6 *2607:22 8.94181e-05 +9 *1215:6 *2633:73 0.000210295 +10 *1215:6 *2797:22 1.87986e-06 +11 *1215:6 *2797:26 3.30315e-05 +12 *1215:6 *2931:77 0.00017691 +*RES +1 *21530:Y *1215:6 22.6404 +2 *1215:6 *4448:DIODE 13.7491 +3 *1215:6 *22251:A 17.2421 +*END + +*D_NET *1216 0.000819295 +*CONN +*I *4450:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22252:A I *D sky130_fd_sc_hd__einvp_2 +*I *21531:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4450:DIODE 5.03687e-05 +2 *22252:A 0 +3 *21531:Y 0.000246433 +4 *1216:8 0.000296801 +5 *1216:8 *2131:41 5.4405e-05 +6 *1216:8 *2402:8 0 +7 *1216:8 *2427:12 0 +8 *1216:8 *2823:12 0 +9 *1202:9 *4450:DIODE 0.000171288 +*RES +1 *21531:Y *1216:8 23.8184 +2 *1216:8 *22252:A 9.24915 +3 *1216:8 *4450:DIODE 11.0817 +*END + +*D_NET *1217 0.00362725 +*CONN +*I *22253:A I *D sky130_fd_sc_hd__einvp_4 +*I *4452:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21532:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22253:A 0.000169058 +2 *4452:DIODE 0 +3 *21532:Y 0.000784282 +4 *1217:8 0.000953341 +5 *22253:A *6497:DIODE 2.43314e-05 +6 *22253:A *2101:54 6.08467e-05 +7 *22253:A *2121:39 9.17656e-06 +8 *22253:A *2137:50 1.92172e-05 +9 *22253:A *2796:50 0.000235132 +10 *22253:A *3226:10 0.000160466 +11 *1217:8 *2500:10 2.89857e-05 +12 *1217:8 *2677:18 4.80292e-05 +13 *1217:8 *2796:50 0.000206798 +14 *1217:8 *2803:31 0.000704187 +15 *1217:8 *2812:23 7.09666e-06 +16 *1217:8 *2930:61 9.80784e-05 +17 *1217:8 *3226:10 1.07248e-05 +18 *1185:17 *22253:A 0.000107496 +*RES +1 *21532:Y *1217:8 29.7432 +2 *1217:8 *4452:DIODE 13.7491 +3 *1217:8 *22253:A 19.7659 +*END + +*D_NET *1218 0.00185855 +*CONN +*I *4454:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22254:A I *D sky130_fd_sc_hd__einvp_2 +*I *21533:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4454:DIODE 7.40192e-05 +2 *22254:A 0 +3 *21533:Y 0.000316485 +4 *1218:10 0.000390504 +5 *1218:10 *3723:DIODE 8.88534e-05 +6 *1218:10 *3074:10 0.000460684 +7 *1218:10 *3076:8 0.000460684 +8 *1194:11 *4454:DIODE 6.73186e-05 +*RES +1 *21533:Y *1218:10 29.1124 +2 *1218:10 *22254:A 9.24915 +3 *1218:10 *4454:DIODE 11.0817 +*END + +*D_NET *1219 0.00139425 +*CONN +*I *4456:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22255:A I *D sky130_fd_sc_hd__einvp_8 +*I *21434:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4456:DIODE 0.000179763 +2 *22255:A 0 +3 *21434:Y 0.000358931 +4 *1219:6 0.000538694 +5 *4456:DIODE *1397:9 2.9373e-05 +6 *4456:DIODE *2327:21 0 +7 *4456:DIODE *3071:8 0.000127164 +8 *1219:6 *1302:8 0 +9 *1219:6 *2327:21 0 +10 *1219:6 *2935:14 2.55493e-05 +11 *1219:6 *2935:27 9.80093e-05 +12 *1219:6 *3071:8 3.67708e-05 +13 *1219:6 *3164:8 0 +*RES +1 *21434:Y *1219:6 23.4709 +2 *1219:6 *22255:A 13.7491 +3 *1219:6 *4456:DIODE 17.829 +*END + +*D_NET *1220 0.00109008 +*CONN +*I *4458:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22256:A I *D sky130_fd_sc_hd__einvp_2 +*I *21534:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4458:DIODE 9.54081e-05 +2 *22256:A 0 +3 *21534:Y 0.000327913 +4 *1220:8 0.000423321 +5 *4458:DIODE *2562:9 3.948e-05 +6 *1220:8 *4473:DIODE 0 +7 *1220:8 *1730:13 3.5534e-06 +8 *1220:8 *1732:9 0.000200407 +9 *1220:8 *1739:6 0 +10 *1220:8 *2412:8 0 +11 *1220:8 *3371:8 0 +*RES +1 *21534:Y *1220:8 27.1404 +2 *1220:8 *22256:A 9.24915 +3 *1220:8 *4458:DIODE 11.6364 +*END + +*D_NET *1221 0.00212115 +*CONN +*I *4460:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22257:A I *D sky130_fd_sc_hd__einvp_2 +*I *21535:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4460:DIODE 0 +2 *22257:A 0.000160419 +3 *21535:Y 0.000605897 +4 *1221:9 0.000766316 +5 *22257:A *1223:10 3.49053e-05 +6 *22257:A *1228:21 7.1802e-05 +7 *22257:A *2526:32 1.57386e-05 +8 *22257:A *2811:72 1.9803e-05 +9 *22257:A *3074:8 3.5534e-06 +10 *22257:A *3357:10 0 +11 *1221:9 *1228:23 5.24081e-05 +12 *1221:9 *2811:72 0 +13 *1221:9 *3010:20 0 +14 *1221:9 *3075:6 0 +15 *1177:20 *22257:A 1.5714e-05 +16 *1177:20 *1221:9 0 +17 *1192:11 *1221:9 0.000169107 +18 *1192:22 *22257:A 0.000205487 +*RES +1 *21535:Y *1221:9 29.8063 +2 *1221:9 *22257:A 27.7407 +3 *1221:9 *4460:DIODE 9.24915 +*END + +*D_NET *1222 0.00136329 +*CONN +*I *4462:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22258:A I *D sky130_fd_sc_hd__einvp_4 +*I *21536:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4462:DIODE 1.93938e-05 +2 *22258:A 0 +3 *21536:Y 0.000325308 +4 *1222:10 0.000344702 +5 *4462:DIODE *2137:78 0.000167701 +6 *1222:10 *4737:DIODE 0 +7 *1222:10 *1743:10 0.000334808 +8 *1222:10 *3075:6 3.67528e-06 +9 *1185:5 *4462:DIODE 0.000167701 +*RES +1 *21536:Y *1222:10 24.4081 +2 *1222:10 *22258:A 9.24915 +3 *1222:10 *4462:DIODE 11.0817 +*END + +*D_NET *1223 0.00139442 +*CONN +*I *4464:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22259:A I *D sky130_fd_sc_hd__einvp_4 +*I *21537:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4464:DIODE 3.75221e-05 +2 *22259:A 0 +3 *21537:Y 0.000317564 +4 *1223:10 0.000355086 +5 *1223:10 *22397:B 0 +6 *1223:10 *3074:8 0 +7 *1223:10 *3074:10 0.000152376 +8 *1223:10 *3357:10 0 +9 *22257:A *1223:10 3.49053e-05 +10 *1177:20 *1223:10 0.000110174 +11 *1196:9 *4464:DIODE 4.28742e-05 +12 *1197:13 *1223:10 0.00017407 +13 *1199:13 *4464:DIODE 0.000169848 +*RES +1 *21537:Y *1223:10 25.651 +2 *1223:10 *22259:A 9.24915 +3 *1223:10 *4464:DIODE 11.6122 +*END + +*D_NET *1224 0.013112 +*CONN +*I *4466:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22260:A I *D sky130_fd_sc_hd__einvp_4 +*I *21538:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4466:DIODE 0.000274971 +2 *22260:A 2.3034e-05 +3 *21538:Y 0.000457819 +4 *1224:23 0.00080114 +5 *1224:19 0.00112167 +6 *1224:15 0.00107635 +7 *4466:DIODE *23366:B 0.000128818 +8 *4466:DIODE *2137:50 0.000462147 +9 *4466:DIODE *3199:16 4.15661e-05 +10 *1224:15 *4680:DIODE 0.000108297 +11 *1224:15 *22367:TE 0.000113431 +12 *1224:15 *23238:A 0.000110306 +13 *1224:15 *23238:B 5.93256e-05 +14 *1224:15 *23360:A 0.000292536 +15 *1224:15 *23360:B 2.65831e-05 +16 *1224:15 *1331:11 7.90978e-05 +17 *1224:15 *1485:14 0.000109458 +18 *1224:15 *2115:26 0.00134748 +19 *1224:15 *2930:61 1.65872e-05 +20 *1224:19 *3508:DIODE 0.000271058 +21 *1224:19 *5633:DIODE 0.0002817 +22 *1224:19 *1458:10 0.000328363 +23 *1224:19 *1485:14 0.000403642 +24 *1224:19 *1613:11 0.0013116 +25 *1224:19 *1736:8 0.00256831 +26 *1224:19 *2115:26 0.000327838 +27 *1224:19 *2275:22 0.000206936 +28 *1224:19 *2812:29 0.000133896 +29 *1224:19 *2812:43 2.16355e-05 +30 *1224:19 *2930:61 1.41976e-05 +31 *1224:23 *22260:TE 4.72899e-05 +32 *1224:23 *23366:B 0 +33 *1224:23 *1485:20 0 +34 *1224:23 *1736:8 6.14128e-05 +35 *1224:23 *2137:50 0.000277502 +36 *1224:23 *3199:16 0 +37 *1155:9 *1224:19 0.00012309 +38 *1191:15 *4466:DIODE 1.19721e-05 +39 *1191:15 *1224:23 7.09148e-05 +*RES +1 *21538:Y *1224:15 30.9028 +2 *1224:15 *1224:19 45.1549 +3 *1224:19 *1224:23 17.1636 +4 *1224:23 *22260:A 9.82786 +5 *1224:23 *4466:DIODE 24.5797 +*END + +*D_NET *1225 0.00426304 +*CONN +*I *22261:A I *D sky130_fd_sc_hd__einvp_4 +*I *4468:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21539:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22261:A 0 +2 *4468:DIODE 0.000134012 +3 *21539:Y 0.000918069 +4 *1225:16 0.00105208 +5 *4468:DIODE *3528:DIODE 0.00027175 +6 *4468:DIODE *1353:11 0.000223595 +7 *1225:16 *1737:11 0.000179834 +8 *1225:16 *2411:16 0.000224315 +9 *1225:16 *2429:14 4.49084e-05 +10 *1225:16 *2808:39 0.000922724 +11 *1225:16 *3078:8 0.000119486 +12 *22248:A *1225:16 0.000107496 +13 *1194:11 *4468:DIODE 5.61389e-05 +14 *1212:10 *1225:16 8.62625e-06 +*RES +1 *21539:Y *1225:16 46.4953 +2 *1225:16 *4468:DIODE 14.4335 +3 *1225:16 *22261:A 9.24915 +*END + +*D_NET *1226 0.00323491 +*CONN +*I *4470:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22262:A I *D sky130_fd_sc_hd__einvp_4 +*I *21540:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4470:DIODE 6.25412e-05 +2 *22262:A 0 +3 *21540:Y 0.000546075 +4 *1226:10 0.000608616 +5 *4470:DIODE *2427:9 0.000100493 +6 *4470:DIODE *3079:5 0.000258128 +7 *1226:10 *21540:A 0.000224381 +8 *1226:10 *2280:43 0 +9 *1226:10 *2507:9 0.000954996 +10 *1226:10 *3349:6 0.000479683 +*RES +1 *21540:Y *1226:10 37.7073 +2 *1226:10 *22262:A 9.24915 +3 *1226:10 *4470:DIODE 12.191 +*END + +*D_NET *1227 0.00150156 +*CONN +*I *22263:A I *D sky130_fd_sc_hd__einvp_4 +*I *4472:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21541:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22263:A 0 +2 *4472:DIODE 4.44939e-05 +3 *21541:Y 0.000355069 +4 *1227:10 0.000399563 +5 *4472:DIODE *3070:11 4.58003e-05 +6 *1227:10 *21541:A 2.65831e-05 +7 *1227:10 *1232:16 5.53934e-05 +8 *1227:10 *2412:8 0.00010604 +9 *1227:10 *3070:12 2.36813e-05 +10 *1227:10 *3080:8 0.000211923 +11 *1227:10 *3086:6 7.2393e-05 +12 *1194:11 *4472:DIODE 0.000160617 +*RES +1 *21541:Y *1227:10 28.4184 +2 *1227:10 *4472:DIODE 11.0817 +3 *1227:10 *22263:A 9.24915 +*END + +*D_NET *1228 0.0324233 +*CONN +*I *4474:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22264:A I *D sky130_fd_sc_hd__einvp_2 +*I *21542:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4474:DIODE 1.67343e-05 +2 *22264:A 9.5808e-05 +3 *21542:Y 0.000272877 +4 *1228:23 0.000724621 +5 *1228:21 0.00104901 +6 *1228:15 0.00193352 +7 *1228:13 0.00176946 +8 *4474:DIODE *1231:19 2.16355e-05 +9 *22264:A *1740:10 0 +10 *22264:A *2533:18 0.000171461 +11 *1228:13 *2103:23 0.000181333 +12 *1228:13 *2123:30 6.08467e-05 +13 *1228:13 *2413:12 0.000184946 +14 *1228:13 *2796:71 0.00117535 +15 *1228:15 *3594:DIODE 0.000313495 +16 *1228:15 *21353:A 0.000171273 +17 *1228:15 *21356:A 0.000158357 +18 *1228:15 *1733:13 0.00040678 +19 *1228:15 *2409:11 0.000211478 +20 *1228:21 *1231:19 0.00261511 +21 *1228:21 *1733:13 1.15389e-05 +22 *1228:23 *3526:DIODE 0.000200153 +23 *1228:23 *21336:A 6.08467e-05 +24 *1228:23 *1231:19 0.00223195 +25 *22257:A *1228:21 7.1802e-05 +26 *1178:21 *1228:13 6.50727e-05 +27 *1192:11 *4474:DIODE 4.88955e-05 +28 *1192:11 *1228:23 0.00514857 +29 *1192:23 *1228:13 0.00125501 +30 *1192:23 *1228:15 0.00307774 +31 *1192:23 *1228:21 0.00207179 +32 *1193:21 *1228:13 2.8873e-05 +33 *1193:21 *1228:15 0.00656455 +34 *1221:9 *1228:23 5.24081e-05 +*RES +1 *21542:Y *1228:13 36.8008 +2 *1228:13 *1228:15 87.5189 +3 *1228:15 *1228:21 30.1656 +4 *1228:21 *1228:23 56.7384 +5 *1228:23 *22264:A 21.3269 +6 *1228:23 *4474:DIODE 9.97254 +*END + +*D_NET *1229 0.00189663 +*CONN +*I *4476:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22265:A I *D sky130_fd_sc_hd__einvp_2 +*I *21543:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4476:DIODE 8.16519e-05 +2 *22265:A 0 +3 *21543:Y 0.000447042 +4 *1229:10 0.000528694 +5 *1229:10 *1234:18 0.000299404 +6 *1229:10 *3087:6 0.000478656 +7 *1229:10 *3366:6 0 +8 *1194:11 *4476:DIODE 6.11872e-05 +*RES +1 *21543:Y *1229:10 30.2216 +2 *1229:10 *22265:A 9.24915 +3 *1229:10 *4476:DIODE 11.0817 +*END + +*D_NET *1230 0.00409744 +*CONN +*I *4478:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22266:A I *D sky130_fd_sc_hd__einvp_8 +*I *21435:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4478:DIODE 6.26896e-05 +2 *22266:A 2.59893e-05 +3 *21435:Y 0.000683808 +4 *1230:10 0.000772487 +5 *4478:DIODE *1336:5 0.000120773 +6 *4478:DIODE *3083:5 0.000101958 +7 *22266:A *1336:5 2.57847e-05 +8 *1230:10 *3625:DIODE 0.000175485 +9 *1230:10 *22394:B 0.000224395 +10 *1230:10 *2318:90 0.000630475 +11 *1230:10 *2318:94 0.000439114 +12 *1230:10 *2322:20 0.000142295 +13 *1230:10 *2324:52 0.000692186 +14 *1230:10 *2365:44 0 +*RES +1 *21435:Y *1230:10 47.4115 +2 *1230:10 *22266:A 9.97254 +3 *1230:10 *4478:DIODE 12.7697 +*END + +*D_NET *1231 0.0264318 +*CONN +*I *4480:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22267:A I *D sky130_fd_sc_hd__einvp_2 +*I *21544:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4480:DIODE 7.28764e-05 +2 *22267:A 0 +3 *21544:Y 0.000759632 +4 *1231:25 0.000541531 +5 *1231:19 0.0026545 +6 *1231:18 0.00222376 +7 *1231:13 0.00143149 +8 *1231:11 0.00215321 +9 *1231:11 *2117:21 0.00030578 +10 *1231:11 *2536:16 0.000157228 +11 *1231:11 *3066:10 4.37999e-05 +12 *1231:13 *4706:DIODE 6.08467e-05 +13 *1231:18 *1988:39 7.14746e-05 +14 *1231:18 *2410:6 7.14746e-05 +15 *1231:19 *3526:DIODE 0.000220809 +16 *1231:19 *21336:A 6.50586e-05 +17 *1231:19 *22257:TE 9.55447e-05 +18 *1231:19 *1351:10 0.000432344 +19 *1231:19 *1733:13 0.00202936 +20 *1231:19 *1995:57 0.000236712 +21 *1231:25 *22267:TE 0.000224395 +22 *1231:25 *3085:7 6.50727e-05 +23 *4474:DIODE *1231:19 2.16355e-05 +24 *1137:5 *1231:11 0.000683015 +25 *1177:20 *1231:19 0.000415284 +26 *1192:11 *1231:19 2.26775e-05 +27 *1192:11 *1231:25 0.000450077 +28 *1192:23 *1231:11 3.34025e-05 +29 *1192:23 *1231:13 0.00456715 +30 *1193:20 *1231:13 0.00147464 +31 *1228:21 *1231:19 0.00261511 +32 *1228:23 *1231:19 0.00223195 +*RES +1 *21544:Y *1231:11 35.3765 +2 *1231:11 *1231:13 50.6377 +3 *1231:13 *1231:18 10.4167 +4 *1231:18 *1231:19 86.9643 +5 *1231:19 *1231:25 15.4686 +6 *1231:25 *22267:A 9.24915 +7 *1231:25 *4480:DIODE 11.0817 +*END + +*D_NET *1232 0.0112062 +*CONN +*I *4482:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22268:A I *D sky130_fd_sc_hd__einvp_4 +*I *21545:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4482:DIODE 9.42182e-05 +2 *22268:A 0 +3 *21545:Y 0.000208776 +4 *1232:16 0.000400924 +5 *1232:11 0.00249587 +6 *1232:10 0.00239795 +7 *4482:DIODE *2134:44 0.000264614 +8 *1232:10 *6256:DIODE 6.50586e-05 +9 *1232:10 *21545:A 5.05252e-05 +10 *1232:10 *2813:37 0 +11 *1232:10 *3066:14 5.13908e-05 +12 *1232:11 *3721:DIODE 0.000261325 +13 *1232:11 *4711:DIODE 6.50727e-05 +14 *1232:11 *21332:A 0.000377273 +15 *1232:11 *21527:A 0.000214355 +16 *1232:11 *1233:15 0.000371548 +17 *1232:11 *1975:50 0.00284484 +18 *1232:11 *2519:25 0.00011818 +19 *1232:11 *2821:39 2.41483e-05 +20 *1232:11 *3345:13 0.00044273 +21 *1232:16 *21541:A 2.99644e-05 +22 *1232:16 *2406:8 0.000160889 +23 *1232:16 *2412:8 0 +24 *1232:16 *2531:20 9.24824e-05 +25 *1232:16 *3086:6 1.07248e-05 +26 *1203:10 *1232:10 8.98169e-05 +27 *1212:10 *1232:11 1.81618e-05 +28 *1227:10 *1232:16 5.53934e-05 +*RES +1 *21545:Y *1232:10 23.2961 +2 *1232:10 *1232:11 71.1581 +3 *1232:11 *1232:16 19.137 +4 *1232:16 *22268:A 9.24915 +5 *1232:16 *4482:DIODE 12.191 +*END + +*D_NET *1233 0.0161329 +*CONN +*I *22269:A I *D sky130_fd_sc_hd__einvp_2 +*I *4484:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21546:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22269:A 0.000167492 +2 *4484:DIODE 0 +3 *21546:Y 0.00019394 +4 *1233:18 0.000235317 +5 *1233:15 0.00308639 +6 *1233:14 0.00431744 +7 *1233:8 0.00149281 +8 *22269:A *1234:18 6.36477e-05 +9 *22269:A *3023:18 0.000109033 +10 *22269:A *3366:6 0 +11 *1233:8 *2364:8 0 +12 *1233:8 *2429:18 0.000328484 +13 *1233:14 *21398:A 0.000204447 +14 *1233:14 *2131:42 7.64297e-05 +15 *1233:14 *2410:6 5.19349e-05 +16 *1233:14 *2823:17 0.000601805 +17 *1233:14 *3041:18 0.000104731 +18 *1233:14 *3067:17 0.000162599 +19 *1233:15 *4732:DIODE 0.000179853 +20 *1233:15 *21332:A 0.000377273 +21 *1233:15 *21344:A 6.08467e-05 +22 *1233:15 *1346:10 0.000275256 +23 *1233:15 *1360:5 0.000375027 +24 *1233:15 *1741:7 0.00245452 +25 *1233:15 *2817:35 0.000727813 +26 *1233:15 *2817:57 6.66147e-05 +27 *1233:15 *2821:39 4.89898e-06 +28 *1233:18 *3023:18 4.27148e-05 +29 *1233:18 *3366:6 0 +30 *1232:11 *1233:15 0.000371548 +*RES +1 *21546:Y *1233:8 24.2337 +2 *1233:8 *1233:14 43.0284 +3 *1233:14 *1233:15 91.1238 +4 *1233:15 *1233:18 6.332 +5 *1233:18 *4484:DIODE 13.7491 +6 *1233:18 *22269:A 18.7961 +*END + +*D_NET *1234 0.0033001 +*CONN +*I *4486:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22270:A I *D sky130_fd_sc_hd__einvp_2 +*I *21547:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4486:DIODE 5.83756e-05 +2 *22270:A 0 +3 *21547:Y 0.00106839 +4 *1234:18 0.00112677 +5 *4486:DIODE *3088:7 0.000171288 +6 *1234:18 *3737:DIODE 0.000228593 +7 *1234:18 *21543:A 6.50586e-05 +8 *1234:18 *22269:TE 7.8874e-05 +9 *1234:18 *22270:TE 0 +10 *1234:18 *1746:8 0 +11 *1234:18 *3085:8 0 +12 *22269:A *1234:18 6.36477e-05 +13 *1189:10 *1234:18 0.0001397 +14 *1229:10 *1234:18 0.000299404 +*RES +1 *21547:Y *1234:18 47.1062 +2 *1234:18 *22270:A 9.24915 +3 *1234:18 *4486:DIODE 11.0817 +*END + +*D_NET *1235 0.0019855 +*CONN +*I *4488:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22271:A I *D sky130_fd_sc_hd__einvp_4 +*I *21548:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4488:DIODE 7.26175e-05 +2 *22271:A 0 +3 *21548:Y 0.000670783 +4 *1235:9 0.000743401 +5 *4488:DIODE *3089:15 0.000277502 +6 *1235:9 *3602:DIODE 1.85628e-05 +7 *1235:9 *1747:9 0 +8 *1235:9 *3023:18 0 +9 *1235:9 *3085:8 0 +10 *1235:9 *3089:15 6.50586e-05 +11 *1235:9 *3370:11 0.000137572 +12 *1188:8 *1235:9 0 +*RES +1 *21548:Y *1235:9 34.9287 +2 *1235:9 *22271:A 9.24915 +3 *1235:9 *4488:DIODE 12.191 +*END + +*D_NET *1236 0.0251377 +*CONN +*I *4490:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22272:A I *D sky130_fd_sc_hd__einvp_2 +*I *21549:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4490:DIODE 5.03426e-05 +2 *22272:A 0 +3 *21549:Y 0.00159622 +4 *1236:17 8.55897e-05 +5 *1236:15 0.0069764 +6 *1236:14 0.00710112 +7 *1236:9 0.00175619 +8 *4490:DIODE *3090:7 0.000166937 +9 *1236:9 *2119:45 7.20192e-05 +10 *1236:9 *3351:14 4.36687e-05 +11 *1236:14 *1730:13 8.62625e-06 +12 *1236:14 *2523:30 0 +13 *1236:14 *2815:22 0 +14 *1236:15 *3539:DIODE 1.41291e-05 +15 *1236:15 *4491:DIODE 3.28416e-06 +16 *1236:15 *1365:10 0.000895445 +17 *1236:15 *2826:33 0.000128365 +18 *1236:15 *3090:7 1.00981e-05 +19 *1236:15 *3359:7 0.000850889 +20 *1183:9 *1236:9 0.00236887 +21 *1186:9 *1236:15 0.00205495 +22 *1186:19 *1236:15 0.000796437 +23 *1188:9 *1236:15 0.000158093 +*RES +1 *21549:Y *1236:9 47.838 +2 *1236:9 *1236:14 12.493 +3 *1236:14 *1236:15 114.972 +4 *1236:15 *1236:17 0.988641 +5 *1236:17 *22272:A 9.24915 +6 *1236:17 *4490:DIODE 11.0817 +*END + +*D_NET *1237 0.00273055 +*CONN +*I *4492:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22273:A I *D sky130_fd_sc_hd__einvp_2 +*I *21550:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4492:DIODE 5.58623e-05 +2 *22273:A 0 +3 *21550:Y 0.000941748 +4 *1237:10 0.00099761 +5 *4492:DIODE *3091:7 7.48797e-05 +6 *1237:10 *3089:15 0.000172763 +7 *1237:10 *3089:16 0 +8 *1237:10 *3376:7 0.000487686 +9 *1194:10 *1237:10 0 +*RES +1 *21550:Y *1237:10 37.2921 +2 *1237:10 *22273:A 9.24915 +3 *1237:10 *4492:DIODE 11.0817 +*END + +*D_NET *1238 0.018431 +*CONN +*I *22274:A I *D sky130_fd_sc_hd__einvp_4 +*I *4494:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21551:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22274:A 9.73786e-05 +2 *4494:DIODE 0 +3 *21551:Y 0.000166583 +4 *1238:16 0.000311741 +5 *1238:13 0.00464247 +6 *1238:11 0.00444874 +7 *1238:9 0.00215964 +8 *1238:7 0.00230559 +9 *22274:A *2696:8 0.000271793 +10 *22274:A *3030:20 7.97533e-05 +11 *1238:7 *3741:DIODE 0.000217951 +12 *1238:7 *1733:7 0.000101123 +13 *1238:9 *21335:A 3.93595e-05 +14 *1238:9 *1350:7 7.77573e-05 +15 *1238:9 *1733:7 0.000438281 +16 *1238:9 *1992:18 0 +17 *1238:9 *2120:34 0.000382466 +18 *1238:9 *2524:47 6.36902e-05 +19 *1238:9 *2541:21 0.000620124 +20 *1238:13 *1986:30 0.000282387 +21 *1238:13 *1992:18 0.000706184 +22 *1238:13 *2128:44 0 +23 *1238:13 *2136:48 0.000469007 +24 *1238:13 *2524:47 0 +25 *1238:13 *2707:17 0 +26 *1238:13 *2729:9 0 +27 *1238:16 *2422:22 0 +28 *1238:16 *2696:8 0.000382572 +29 *1238:16 *3030:20 0.000166362 +*RES +1 *21551:Y *1238:7 14.7108 +2 *1238:7 *1238:9 58.4022 +3 *1238:9 *1238:11 0.578717 +4 *1238:11 *1238:13 124.123 +5 *1238:13 *1238:16 13.8065 +6 *1238:16 *4494:DIODE 13.7491 +7 *1238:16 *22274:A 18.4879 +*END + +*D_NET *1239 0.0106685 +*CONN +*I *22275:A I *D sky130_fd_sc_hd__einvp_8 +*I *4496:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21436:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22275:A 0 +2 *4496:DIODE 6.34543e-05 +3 *21436:Y 0 +4 *1239:19 0.00100443 +5 *1239:11 0.00377394 +6 *1239:4 0.00283296 +7 *4496:DIODE *1430:11 2.6758e-05 +8 *4496:DIODE *1430:13 0.000375013 +9 *4496:DIODE *2830:38 0.00042812 +10 *1239:11 *3630:DIODE 0.000334808 +11 *1239:11 *4667:DIODE 0.000212208 +12 *1239:11 *21436:A 2.85139e-05 +13 *1239:11 *1243:11 0.000282455 +14 *1239:11 *1408:5 0.000380889 +15 *1239:11 *1836:11 0.00019069 +16 *1239:11 *2320:36 0.000101365 +17 *1239:11 *2548:13 6.04266e-05 +18 *1239:19 *1243:11 0.000247912 +19 *1239:19 *1245:8 0 +20 *1239:19 *1430:11 6.50727e-05 +21 *1239:19 *1757:9 0.00024029 +22 *1239:19 *2807:12 0 +23 *1239:19 *2828:33 0 +24 *1239:19 *2830:38 1.92336e-05 +*RES +1 *21436:Y *1239:4 9.24915 +2 *1239:4 *1239:11 47.5271 +3 *1239:11 *1239:19 28.2061 +4 *1239:19 *4496:DIODE 14.4335 +5 *1239:19 *22275:A 9.24915 +*END + +*D_NET *1240 0.00142486 +*CONN +*I *22276:A I *D sky130_fd_sc_hd__einvp_8 +*I *4498:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21437:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22276:A 0.000148741 +2 *4498:DIODE 7.14967e-05 +3 *21437:Y 0.000332352 +4 *1240:6 0.000552589 +5 *4498:DIODE *3200:13 0.000118166 +6 *22276:A *1463:8 3.90891e-05 +7 *22276:A *2365:44 0 +8 *22276:A *2935:27 3.49272e-05 +9 *1240:6 *2365:44 0 +10 *1240:6 *2819:40 0.000100977 +11 *1240:6 *2935:27 2.652e-05 +*RES +1 *21437:Y *1240:6 22.2252 +2 *1240:6 *4498:DIODE 15.0271 +3 *1240:6 *22276:A 18.0727 +*END + +*D_NET *1241 0.00141599 +*CONN +*I *22277:A I *D sky130_fd_sc_hd__einvp_8 +*I *4500:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21438:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22277:A 7.64225e-05 +2 *4500:DIODE 0 +3 *21438:Y 0.000408164 +4 *1241:8 0.000484586 +5 *22277:A *2650:6 5.53379e-05 +6 *22277:A *2935:8 6.05644e-05 +7 *1241:8 *21438:A 9.14669e-05 +8 *1241:8 *2650:6 8.8567e-05 +9 *1241:8 *2831:9 6.2314e-05 +10 *1241:8 *2935:8 8.8567e-05 +*RES +1 *21438:Y *1241:8 22.4024 +2 *1241:8 *4500:DIODE 13.7491 +3 *1241:8 *22277:A 16.4116 +*END + +*D_NET *1242 0.0041456 +*CONN +*I *4502:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22278:A I *D sky130_fd_sc_hd__einvp_8 +*I *21439:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4502:DIODE 6.40003e-05 +2 *22278:A 0 +3 *21439:Y 0.000416321 +4 *1242:11 0.000480322 +5 *4502:DIODE *3097:10 0.000103943 +6 *4502:DIODE *3200:17 0.000441022 +7 *1242:11 *1430:11 0.000700115 +8 *1242:11 *1754:9 0.000220733 +9 *1242:11 *2369:8 0.000539761 +10 *1242:11 *2832:28 0 +11 *1242:11 *3200:17 0.000483488 +12 *1136:9 *1242:11 0.000695889 +*RES +1 *21439:Y *1242:11 40.5098 +2 *1242:11 *22278:A 9.24915 +3 *1242:11 *4502:DIODE 13.8548 +*END + +*D_NET *1243 0.00423483 +*CONN +*I *22279:A I *D sky130_fd_sc_hd__einvp_8 +*I *4504:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21440:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22279:A 8.25965e-05 +2 *4504:DIODE 0 +3 *21440:Y 0.000628493 +4 *1243:11 0.000711089 +5 *22279:A *1386:5 0.00011818 +6 *22279:A *1408:15 5.04829e-06 +7 *1243:11 *3630:DIODE 0.000175485 +8 *1243:11 *1386:5 7.98171e-06 +9 *1243:11 *1757:9 6.55811e-05 +10 *1243:11 *2807:12 0.000451978 +11 *1243:11 *2923:30 0.00145803 +12 *1239:11 *1243:11 0.000282455 +13 *1239:19 *1243:11 0.000247912 +*RES +1 *21440:Y *1243:11 44.1161 +2 *1243:11 *4504:DIODE 9.24915 +3 *1243:11 *22279:A 11.9257 +*END + +*D_NET *1244 0.00271739 +*CONN +*I *22280:A I *D sky130_fd_sc_hd__einvp_8 +*I *4506:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21441:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22280:A 0.000109086 +2 *4506:DIODE 0.000142419 +3 *21441:Y 0.000487525 +4 *1244:6 0.000739029 +5 *4506:DIODE *3138:21 9.40969e-05 +6 *22280:A *2369:8 0 +7 *22280:A *2547:8 0 +8 *22280:A *3138:21 0.000423908 +9 *22280:A *3188:7 0.000423908 +10 *1244:6 *22488:B 0 +11 *1244:6 *2326:11 0 +12 *1244:6 *2369:8 0 +13 *1244:6 *2547:8 0 +14 *1244:6 *2548:10 0.000153609 +15 *1244:6 *2923:12 9.32891e-05 +16 *1244:6 *2923:24 5.05252e-05 +17 *1244:6 *2923:30 0 +*RES +1 *21441:Y *1244:6 25.5472 +2 *1244:6 *4506:DIODE 16.1364 +3 *1244:6 *22280:A 19.7715 +*END + +*D_NET *1245 0.00205163 +*CONN +*I *4508:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22281:A I *D sky130_fd_sc_hd__einvp_8 +*I *21442:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4508:DIODE 1.84885e-05 +2 *22281:A 0 +3 *21442:Y 0.000449972 +4 *1245:8 0.000468461 +5 *4508:DIODE *2318:82 0.000224395 +6 *4508:DIODE *3100:10 0.000224395 +7 *1245:8 *1757:9 0 +8 *1245:8 *2807:12 0 +9 *1245:8 *2828:18 0.000273699 +10 *1245:8 *2828:33 0.000359452 +11 *1245:8 *2835:6 3.27635e-05 +12 *1239:19 *1245:8 0 +*RES +1 *21442:Y *1245:8 30.4624 +2 *1245:8 *22281:A 9.24915 +3 *1245:8 *4508:DIODE 11.6364 +*END + +*D_NET *1246 0.00123657 +*CONN +*I *22282:A I *D sky130_fd_sc_hd__einvp_8 +*I *4510:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21443:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22282:A 8.93925e-05 +2 *4510:DIODE 4.51842e-05 +3 *21443:Y 0.000227139 +4 *1246:6 0.000361715 +5 *4510:DIODE *1375:15 6.08467e-05 +6 *22282:A *1758:11 7.14746e-05 +7 *22282:A *2807:12 1.01823e-05 +8 *1246:6 *1758:11 0.000370636 +*RES +1 *21443:Y *1246:6 20.5642 +2 *1246:6 *4510:DIODE 14.4725 +3 *1246:6 *22282:A 15.9964 +*END + +*D_NET *1247 0.000980987 +*CONN +*I *22283:A I *D sky130_fd_sc_hd__einvp_8 +*I *4512:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21425:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22283:A 0 +2 *4512:DIODE 9.89559e-05 +3 *21425:Y 0.000331632 +4 *1247:9 0.000430588 +5 *1247:9 *1759:9 0 +6 *1247:9 *3102:7 0.000114584 +7 *1136:8 *1247:9 5.22654e-06 +*RES +1 *21425:Y *1247:9 26.7602 +2 *1247:9 *4512:DIODE 11.6364 +3 *1247:9 *22283:A 9.24915 +*END + +*D_NET *1248 0.00143045 +*CONN +*I *4514:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22284:A I *D sky130_fd_sc_hd__einvp_8 +*I *21444:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4514:DIODE 3.63633e-05 +2 *22284:A 0.000105697 +3 *21444:Y 0.000266776 +4 *1248:8 0.000408836 +5 *4514:DIODE *1463:15 6.08467e-05 +6 *22284:A *1463:9 1.41291e-05 +7 *22284:A *1463:15 9.92045e-05 +8 *1248:8 *2338:17 0 +9 *1248:8 *2373:8 0.000438596 +*RES +1 *21444:Y *1248:8 26.3099 +2 *1248:8 *22284:A 11.5158 +3 *1248:8 *4514:DIODE 9.97254 +*END + +*D_NET *1249 0.00296947 +*CONN +*I *4516:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22285:A I *D sky130_fd_sc_hd__einvp_8 +*I *21445:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4516:DIODE 3.89265e-05 +2 *22285:A 0 +3 *21445:Y 0.000722695 +4 *1249:10 0.000761622 +5 *4516:DIODE *1371:11 0.00043038 +6 *4516:DIODE *2324:48 0.00043038 +7 *1249:10 *1373:14 0.000144946 +8 *1249:10 *1905:48 0.000434578 +9 *1249:10 *2376:16 0 +10 *1249:10 *2862:20 0 +11 *1249:10 *3100:16 5.94319e-06 +*RES +1 *21445:Y *1249:10 31.746 +2 *1249:10 *22285:A 9.24915 +3 *1249:10 *4516:DIODE 13.8548 +*END + +*D_NET *1250 0.0157575 +*CONN +*I *22286:A I *D sky130_fd_sc_hd__einvp_8 +*I *4518:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21446:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22286:A 2.00774e-05 +2 *4518:DIODE 0 +3 *21446:Y 0.000399893 +4 *1250:21 0.00105198 +5 *1250:20 0.00141658 +6 *1250:15 0.000784571 +7 *22286:A *3101:9 0.000175485 +8 *22286:A *3103:20 4.23874e-05 +9 *1250:15 *3636:DIODE 8.62625e-06 +10 *1250:15 *21446:A 6.07007e-05 +11 *1250:15 *2378:18 6.23715e-06 +12 *1250:15 *2832:29 0.00255156 +13 *1250:15 *3094:5 0.00248371 +14 *1250:15 *3152:11 0.000101365 +15 *1250:20 *3107:6 0.000551482 +16 *1250:20 *3110:12 0 +17 *1250:21 *2884:27 0.000528581 +18 *1250:21 *3101:9 0.00499916 +19 *1250:21 *3103:20 0.000575115 +*RES +1 *21446:Y *1250:15 48.2294 +2 *1250:15 *1250:20 19.5523 +3 *1250:20 *1250:21 52.8561 +4 *1250:21 *4518:DIODE 9.24915 +5 *1250:21 *22286:A 11.0817 +*END + +*D_NET *1251 0.00561848 +*CONN +*I *4520:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22287:A I *D sky130_fd_sc_hd__einvp_8 +*I *21447:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4520:DIODE 7.83267e-05 +2 *22287:A 0 +3 *21447:Y 0.000613433 +4 *1251:11 0.00069176 +5 *4520:DIODE *2839:36 0.000354801 +6 *1251:11 *22287:TE 0.000164829 +7 *1251:11 *23389:A 0.000271464 +8 *1251:11 *1636:13 5.1493e-06 +9 *1251:11 *1999:11 0.000569356 +10 *1251:11 *2157:24 3.99086e-06 +11 *1251:11 *2839:36 0.000645333 +12 *1251:11 *2895:14 0.000281394 +13 *1169:99 *4520:DIODE 0.000251595 +14 *1169:99 *1251:11 0.00168705 +*RES +1 *21447:Y *1251:11 48.4108 +2 *1251:11 *22287:A 9.24915 +3 *1251:11 *4520:DIODE 14.6023 +*END + +*D_NET *1252 0.00231032 +*CONN +*I *22288:A I *D sky130_fd_sc_hd__einvp_8 +*I *4522:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21448:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22288:A 8.11478e-05 +2 *4522:DIODE 0 +3 *21448:Y 0.000562174 +4 *1252:8 0.000643322 +5 *22288:A *2009:45 0 +6 *22288:A *3200:33 0.00011818 +7 *22288:A *3343:7 0.00011818 +8 *1252:8 *3638:DIODE 0.000211492 +9 *1252:8 *22289:A 7.25424e-05 +10 *1252:8 *1253:8 0.000275869 +11 *1252:8 *1347:14 2.01503e-05 +12 *1252:8 *2009:45 0 +13 *1252:8 *3098:20 0.000207266 +*RES +1 *21448:Y *1252:8 28.3497 +2 *1252:8 *4522:DIODE 13.7491 +3 *1252:8 *22288:A 16.4439 +*END + +*D_NET *1253 0.00218388 +*CONN +*I *22289:A I *D sky130_fd_sc_hd__einvp_8 +*I *4524:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21449:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22289:A 0.000132589 +2 *4524:DIODE 0.000111713 +3 *21449:Y 0.000430246 +4 *1253:8 0.000674548 +5 *4524:DIODE *1452:9 6.99486e-05 +6 *22289:A *1452:9 3.82228e-05 +7 *22289:A *3107:6 0 +8 *1253:8 *1373:20 0.00023907 +9 *1253:8 *2009:45 4.47049e-05 +10 *1253:8 *2318:75 6.20738e-05 +11 *1253:8 *2834:31 3.23571e-05 +12 *1252:8 *22289:A 7.25424e-05 +13 *1252:8 *1253:8 0.000275869 +*RES +1 *21449:Y *1253:8 29.6319 +2 *1253:8 *4524:DIODE 11.0817 +3 *1253:8 *22289:A 20.9439 +*END + +*D_NET *1254 0.00180747 +*CONN +*I *4526:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22290:A I *D sky130_fd_sc_hd__einvp_8 +*I *21450:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4526:DIODE 1.78551e-05 +2 *22290:A 0 +3 *21450:Y 0.000487516 +4 *1254:9 0.000505371 +5 *4526:DIODE *2318:75 0.000169041 +6 *4526:DIODE *2845:34 0.000152878 +7 *1254:9 *2318:75 0.000164843 +8 *1254:9 *2845:22 1.77537e-06 +9 *1254:9 *2845:33 8.27108e-05 +10 *1254:9 *2845:34 0.000164843 +11 *1254:9 *2906:24 0 +12 *1254:9 *3107:6 0 +13 *1254:9 *3110:12 2.28823e-05 +14 *1254:9 *3188:20 3.77568e-05 +*RES +1 *21450:Y *1254:9 29.3883 +2 *1254:9 *22290:A 9.24915 +3 *1254:9 *4526:DIODE 11.0817 +*END + +*D_NET *1255 0.0116088 +*CONN +*I *22291:A I *D sky130_fd_sc_hd__einvp_8 +*I *4528:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21451:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22291:A 5.37606e-05 +2 *4528:DIODE 0 +3 *21451:Y 0.000159235 +4 *1255:12 0.000641342 +5 *1255:9 0.00312837 +6 *1255:8 0.00270002 +7 *22291:A *1373:25 6.50727e-05 +8 *22291:A *2806:16 1.49589e-05 +9 *22291:A *2840:8 1.06618e-05 +10 *22291:A *3389:5 2.65831e-05 +11 *1255:8 *2370:6 6.96196e-05 +12 *1255:8 *2844:10 0 +13 *1255:9 *2335:47 0.000193001 +14 *1255:9 *2839:36 1.71154e-05 +15 *1255:12 *2806:16 0.000239055 +16 *1255:12 *2840:8 0.000135755 +17 *1169:89 *1255:9 0.00152777 +18 *1169:99 *1255:9 0.00262646 +*RES +1 *21451:Y *1255:8 21.7421 +2 *1255:8 *1255:9 45.6463 +3 *1255:9 *1255:12 17.9591 +4 *1255:12 *4528:DIODE 13.7491 +5 *1255:12 *22291:A 15.474 +*END + +*D_NET *1256 0.0114157 +*CONN +*I *22292:A I *D sky130_fd_sc_hd__einvp_8 +*I *4530:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21452:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22292:A 4.1378e-05 +2 *4530:DIODE 0 +3 *21452:Y 0.00082838 +4 *1256:15 0.000332149 +5 *1256:13 0.000867378 +6 *1256:10 0.00140499 +7 *22292:A *1260:16 9.98369e-05 +8 *22292:A *3380:20 4.95146e-05 +9 *1256:10 *2001:25 0 +10 *1256:10 *2010:23 0.000265606 +11 *1256:10 *3096:17 0.000217937 +12 *1256:10 *3256:8 8.62625e-06 +13 *1256:13 *1371:26 1.67988e-05 +14 *1256:13 *2318:54 0.000807434 +15 *1256:13 *2330:30 0.000159297 +16 *1256:13 *2839:52 0.000408877 +17 *1256:13 *2845:34 0.0031699 +18 *1256:15 *3763:DIODE 0.000268798 +19 *1256:15 *1260:11 1.15389e-05 +20 *1256:15 *1260:16 6.50727e-05 +21 *1256:15 *1371:26 0.00173365 +22 *1256:15 *2839:52 4.09471e-05 +23 *1256:15 *3380:20 0.000617612 +*RES +1 *21452:Y *1256:10 35.3412 +2 *1256:10 *1256:13 35.1329 +3 *1256:13 *1256:15 20.1345 +4 *1256:15 *4530:DIODE 9.24915 +5 *1256:15 *22292:A 11.1059 +*END + +*D_NET *1257 0.0016265 +*CONN +*I *22293:A I *D sky130_fd_sc_hd__einvp_8 +*I *4532:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21453:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22293:A 9.34923e-06 +2 *4532:DIODE 0 +3 *21453:Y 0.000504102 +4 *1257:8 0.000513451 +5 *22293:A *1347:15 6.50727e-05 +6 *22293:A *1452:27 6.50727e-05 +7 *1257:8 *1259:9 0.000232865 +8 *1257:8 *2564:12 4.77858e-05 +9 *1257:8 *3138:47 0.000164354 +10 *1136:14 *1257:8 2.44492e-05 +*RES +1 *21453:Y *1257:8 29.6319 +2 *1257:8 *4532:DIODE 9.24915 +3 *1257:8 *22293:A 9.97254 +*END + +*D_NET *1258 0.00809356 +*CONN +*I *22294:A I *D sky130_fd_sc_hd__einvp_8 +*I *4534:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21426:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22294:A 0 +2 *4534:DIODE 9.88106e-05 +3 *21426:Y 0.0018173 +4 *1258:16 0.00139654 +5 *1258:10 0.00311503 +6 *4534:DIODE *3114:15 6.51628e-05 +7 *1258:10 *3621:DIODE 3.25584e-05 +8 *1258:10 *21426:A 7.88424e-05 +9 *1258:16 *4835:DIODE 7.36804e-06 +10 *1258:16 *4900:DIODE 0.000252635 +11 *1258:16 *22525:TE 9.9028e-05 +12 *1258:16 *1753:8 0.000195139 +13 *1258:16 *1894:12 0.000181809 +14 *1258:16 *1916:36 0.000192266 +15 *1258:16 *2321:23 2.19138e-05 +16 *1258:16 *2395:6 0 +17 *1258:16 *2874:21 0 +18 *1258:16 *2874:38 0.00043166 +19 *1258:16 *2910:9 0.000107496 +20 *1258:16 *3176:8 0 +21 *1166:10 *1258:10 0 +*RES +1 *21426:Y *1258:10 44.7918 +2 *1258:10 *1258:16 37.3234 +3 *1258:16 *4534:DIODE 11.8293 +4 *1258:16 *22294:A 9.24915 +*END + +*D_NET *1259 0.00248766 +*CONN +*I *22295:A I *D sky130_fd_sc_hd__einvp_8 +*I *4536:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21454:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22295:A 0 +2 *4536:DIODE 7.78972e-05 +3 *21454:Y 0.000476991 +4 *1259:9 0.000554889 +5 *4536:DIODE *3423:7 0.000334808 +6 *1259:9 *1371:26 0.00016983 +7 *1259:9 *2564:12 0.00010025 +8 *1259:9 *2566:22 9.12416e-06 +9 *1259:9 *3115:7 0.000147308 +10 *1259:9 *3423:7 0.000383703 +11 *1257:8 *1259:9 0.000232865 +*RES +1 *21454:Y *1259:9 32.8525 +2 *1259:9 *4536:DIODE 12.7456 +3 *1259:9 *22295:A 9.24915 +*END + +*D_NET *1260 0.0111032 +*CONN +*I *22296:A I *D sky130_fd_sc_hd__einvp_8 +*I *4538:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21455:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22296:A 9.29289e-05 +2 *4538:DIODE 0 +3 *21455:Y 0.000412757 +4 *1260:16 0.000853904 +5 *1260:11 0.00232458 +6 *1260:8 0.00197636 +7 *22296:A *1372:21 4.07765e-05 +8 *22296:A *2324:36 7.48797e-05 +9 *1260:8 *22423:A_N 2.74769e-05 +10 *1260:8 *2020:23 0 +11 *1260:8 *2564:12 0 +12 *1260:8 *2850:18 2.7973e-05 +13 *1260:11 *1371:26 0.00237467 +14 *1260:16 *3772:DIODE 8.14875e-05 +15 *1260:16 *4531:DIODE 0.000350159 +16 *1260:16 *22292:TE 6.50586e-05 +17 *1260:16 *1372:21 0.000129614 +18 *1260:16 *1382:15 0.00168711 +19 *1260:16 *3121:6 1.59945e-05 +20 *1260:16 *3380:20 0.000208166 +21 *1260:16 *3380:23 0.000182871 +22 *22292:A *1260:16 9.98369e-05 +23 *1256:15 *1260:11 1.15389e-05 +24 *1256:15 *1260:16 6.50727e-05 +*RES +1 *21455:Y *1260:8 26.3099 +2 *1260:8 *1260:11 27.3685 +3 *1260:11 *1260:16 34.3675 +4 *1260:16 *4538:DIODE 13.7491 +5 *1260:16 *22296:A 16.5832 +*END + +*D_NET *1261 0.017499 +*CONN +*I *22297:A I *D sky130_fd_sc_hd__einvp_8 +*I *4540:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21456:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22297:A 1.6344e-05 +2 *4540:DIODE 0 +3 *21456:Y 0.0011772 +4 *1261:19 0.000738975 +5 *1261:13 0.00217996 +6 *1261:7 0.00263453 +7 *22297:A *2165:28 0.000102003 +8 *22297:A *3120:14 5.0715e-05 +9 *1261:7 *3646:DIODE 0.00021569 +10 *1261:7 *3647:DIODE 0.000313446 +11 *1261:7 *4803:DIODE 0.000115934 +12 *1261:7 *21458:A 0.000211464 +13 *1261:7 *1262:7 0.000958724 +14 *1261:7 *1893:21 5.31074e-05 +15 *1261:7 *2164:47 0.000306974 +16 *1261:7 *2569:13 0.000233002 +17 *1261:7 *3017:29 0.000825237 +18 *1261:13 *1889:24 0.000723206 +19 *1261:13 *1893:21 0.00433019 +20 *1261:19 *2015:34 0 +21 *1261:19 *2165:28 6.50727e-05 +22 *1261:19 *2165:30 1.15389e-05 +23 *1261:19 *2170:26 0.000759185 +24 *1261:19 *2581:6 0.000670187 +25 *1261:19 *2940:28 2.1203e-06 +26 *1261:19 *3096:36 0.000763397 +27 *1261:19 *3120:14 4.0752e-05 +*RES +1 *21456:Y *1261:7 49.9281 +2 *1261:7 *1261:13 48.6122 +3 *1261:13 *1261:19 32.4714 +4 *1261:19 *4540:DIODE 9.24915 +5 *1261:19 *22297:A 10.5271 +*END + +*D_NET *1262 0.0150754 +*CONN +*I *22298:A I *D sky130_fd_sc_hd__einvp_8 +*I *4542:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21457:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22298:A 1.98947e-05 +2 *4542:DIODE 0 +3 *21457:Y 0.000692395 +4 *1262:26 0.000548743 +5 *1262:12 0.00145641 +6 *1262:7 0.00161995 +7 *22298:A *2157:24 6.08467e-05 +8 *22298:A *3106:35 2.16355e-05 +9 *1262:7 *3647:DIODE 0.000165521 +10 *1262:7 *4803:DIODE 0.000107496 +11 *1262:7 *21458:A 0.000211464 +12 *1262:7 *22428:B 6.08467e-05 +13 *1262:7 *1893:21 6.50727e-05 +14 *1262:7 *2856:13 0.00131856 +15 *1262:12 *23152:A 4.49912e-05 +16 *1262:12 *1655:8 0.000467304 +17 *1262:12 *1893:21 0.000127366 +18 *1262:12 *2014:27 0.000123372 +19 *1262:12 *2105:24 0.0011754 +20 *1262:12 *2167:57 5.36085e-05 +21 *1262:12 *2852:14 0 +22 *1262:12 *2856:13 6.50586e-05 +23 *1262:12 *2856:23 0.00015709 +24 *1262:12 *2856:34 0.00114903 +25 *1262:12 *3040:31 0.00258352 +26 *1262:26 *23408:A 1.77537e-06 +27 *1262:26 *1527:10 3.24632e-05 +28 *1262:26 *1938:25 0.000579032 +29 *1262:26 *2509:12 0 +30 *1262:26 *2580:16 6.62461e-05 +31 *1262:26 *3095:24 7.5909e-06 +32 *1262:26 *3096:36 4.42033e-05 +33 *1262:26 *3106:35 0.00050655 +34 *1262:26 *3120:7 0.000583244 +35 *1261:7 *1262:7 0.000958724 +*RES +1 *21457:Y *1262:7 39.9453 +2 *1262:7 *1262:12 49.1856 +3 *1262:12 *1262:26 37.733 +4 *1262:26 *4542:DIODE 9.24915 +5 *1262:26 *22298:A 9.97254 +*END + +*D_NET *1263 0.00317752 +*CONN +*I *22299:A I *D sky130_fd_sc_hd__einvp_8 +*I *4544:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21458:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22299:A 0.000114053 +2 *4544:DIODE 0 +3 *21458:Y 0.00119269 +4 *1263:9 0.00130675 +5 *22299:A *1916:30 2.63704e-05 +6 *1263:9 *1887:24 0.000172416 +7 *1263:9 *1916:30 0.000223626 +8 *1263:9 *2962:8 0 +9 *1263:9 *3084:12 0.000141619 +*RES +1 *21458:Y *1263:9 39.7836 +2 *1263:9 *4544:DIODE 9.24915 +3 *1263:9 *22299:A 11.5158 +*END + +*D_NET *1264 0.00507592 +*CONN +*I *4546:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22300:A I *D sky130_fd_sc_hd__einvp_8 +*I *21459:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4546:DIODE 5.99864e-05 +2 *22300:A 0 +3 *21459:Y 0.00056612 +4 *1264:11 0.000626107 +5 *4546:DIODE *2161:26 0.000481241 +6 *4546:DIODE *2173:46 0.000202245 +7 *1264:11 *4803:DIODE 0.00013132 +8 *1264:11 *1649:8 0.000829435 +9 *1264:11 *2003:55 0.000500636 +10 *1264:11 *2161:26 0.000536595 +11 *1264:11 *2173:46 0.000236373 +12 *1264:11 *2762:27 0.000825209 +13 *1264:11 *3017:22 8.0653e-05 +14 *1264:11 *3040:36 0 +*RES +1 *21459:Y *1264:11 43.5587 +2 *1264:11 *22300:A 9.24915 +3 *1264:11 *4546:DIODE 14.4094 +*END + +*D_NET *1265 0.00217179 +*CONN +*I *22301:A I *D sky130_fd_sc_hd__einvp_8 +*I *4548:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21460:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22301:A 0 +2 *4548:DIODE 8.44275e-05 +3 *21460:Y 0.000503254 +4 *1265:10 0.000587681 +5 *4548:DIODE *1997:32 0.000271124 +6 *1265:10 *2713:8 0 +7 *1265:10 *2856:6 0.000660245 +8 *1174:33 *1265:10 6.50586e-05 +*RES +1 *21460:Y *1265:10 31.6011 +2 *1265:10 *4548:DIODE 12.191 +3 *1265:10 *22301:A 9.24915 +*END + +*D_NET *1266 0.00391284 +*CONN +*I *22302:A I *D sky130_fd_sc_hd__einvp_8 +*I *4550:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21461:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22302:A 0 +2 *4550:DIODE 3.31013e-05 +3 *21461:Y 0.000923654 +4 *1266:11 0.000956756 +5 *4550:DIODE *2497:15 0.000120546 +6 *4550:DIODE *2651:25 0.000275256 +7 *1266:11 *2497:15 2.65667e-05 +8 *1266:11 *2574:11 6.92705e-05 +9 *1266:11 *2651:25 4.88955e-05 +10 *1266:11 *2858:10 0.000557126 +11 *1266:11 *3328:6 0 +12 *1150:23 *1266:11 6.50727e-05 +13 *1174:32 *1266:11 0.000836594 +*RES +1 *21461:Y *1266:11 46.4431 +2 *1266:11 *4550:DIODE 12.191 +3 *1266:11 *22302:A 9.24915 +*END + +*D_NET *1267 0.00689674 +*CONN +*I *4552:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22303:A I *D sky130_fd_sc_hd__einvp_8 +*I *21462:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4552:DIODE 1.78551e-05 +2 *22303:A 0 +3 *21462:Y 0.0010111 +4 *1267:15 0.000118342 +5 *1267:12 0.00111159 +6 *4552:DIODE *2397:19 0.000152878 +7 *1267:12 *5671:DIODE 2.27383e-05 +8 *1267:12 *1520:8 0 +9 *1267:12 *1651:8 1.09645e-05 +10 *1267:12 *2580:14 0 +11 *1267:12 *2716:24 0.00121262 +12 *1267:12 *2751:22 5.54837e-05 +13 *1267:12 *3264:9 0.000769855 +14 *1267:12 *3266:8 1.50906e-05 +15 *1267:12 *3267:19 0.000576786 +16 *1267:15 *2397:19 0.000822976 +17 *1157:47 *4552:DIODE 0.000169041 +18 *1157:47 *1267:15 0.000829421 +*RES +1 *21462:Y *1267:12 46.9811 +2 *1267:12 *1267:15 13.5424 +3 *1267:15 *22303:A 9.24915 +4 *1267:15 *4552:DIODE 11.0817 +*END + +*D_NET *1268 0.00685406 +*CONN +*I *4554:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22304:A I *D sky130_fd_sc_hd__einvp_8 +*I *21463:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4554:DIODE 0.00034714 +2 *22304:A 4.88361e-05 +3 *21463:Y 0.00113272 +4 *1268:15 0.000939324 +5 *1268:14 0.00167607 +6 *4554:DIODE *2007:14 0.000572588 +7 *22304:A *2015:34 0 +8 *22304:A *2581:6 4.76283e-05 +9 *1268:14 *4809:DIODE 0 +10 *1268:14 *5856:DIODE 0.000139869 +11 *1268:14 *23149:A 2.15348e-05 +12 *1268:14 *23277:A 7.25274e-05 +13 *1268:14 *1651:8 0 +14 *1268:14 *1779:6 2.29011e-05 +15 *1268:14 *1897:20 0 +16 *1268:14 *2147:65 2.65828e-05 +17 *1268:14 *2152:47 7.20829e-05 +18 *1268:14 *2716:24 0.000531181 +19 *1268:14 *2721:14 8.14232e-05 +20 *1268:14 *3123:8 7.01752e-06 +21 *1268:14 *3267:10 8.51781e-05 +22 *1268:14 *3268:11 0.000118166 +23 *1268:15 *2007:14 0.000878344 +24 *1157:61 *1268:14 3.29488e-05 +*RES +1 *21463:Y *1268:14 43.8616 +2 *1268:14 *1268:15 9.59705 +3 *1268:15 *22304:A 19.2506 +4 *1268:15 *4554:DIODE 15.5186 +*END + +*D_NET *1269 0.00512128 +*CONN +*I *4556:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22305:A I *D sky130_fd_sc_hd__einvp_8 +*I *21427:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4556:DIODE 0.000131614 +2 *22305:A 0.000112279 +3 *21427:Y 0.00175437 +4 *1269:15 0.00199827 +5 *22305:A *22305:TE 0 +6 *22305:A *2391:8 0.000257424 +7 *1269:15 *22305:TE 8.62625e-06 +8 *1269:15 *22316:A 1.41291e-05 +9 *1269:15 *22372:B 0.000118166 +10 *1269:15 *1280:11 4.23874e-05 +11 *1269:15 *1781:6 0.00038209 +12 *1269:15 *2391:8 0 +13 *1269:15 *2793:42 8.39059e-05 +14 *1269:15 *3138:9 0.000129144 +15 *1208:11 *1269:15 8.88799e-05 +*RES +1 *21427:Y *1269:15 49.8525 +2 *1269:15 *22305:A 22.5727 +3 *1269:15 *4556:DIODE 11.0817 +*END + +*D_NET *1270 0.0291249 +*CONN +*I *22306:A I *D sky130_fd_sc_hd__einvp_4 +*I *4558:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21464:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22306:A 0.000131478 +2 *4558:DIODE 0 +3 *21464:Y 0.000191995 +4 *1270:29 0.00173109 +5 *1270:28 0.0022664 +6 *1270:17 0.00359233 +7 *1270:15 0.00349347 +8 *1270:11 0.00267599 +9 *1270:7 0.00230007 +10 *22306:A *3413:9 1.89378e-05 +11 *1270:7 *3654:DIODE 0.000169041 +12 *1270:7 *2864:35 0.000271058 +13 *1270:7 *3116:13 1.41689e-05 +14 *1270:11 *3783:DIODE 6.50954e-05 +15 *1270:11 *1399:10 0.00143869 +16 *1270:11 *2864:35 0.000185642 +17 *1270:11 *3116:13 0.000209496 +18 *1270:11 *3151:55 0.000126194 +19 *1270:15 *4569:DIODE 9.75243e-05 +20 *1270:15 *22311:TE 6.50586e-05 +21 *1270:15 *22312:A 6.08467e-05 +22 *1270:15 *1276:9 0.000266832 +23 *1270:15 *3116:13 6.9892e-05 +24 *1270:15 *3116:23 0.000568663 +25 *1270:15 *3151:55 0.000110306 +26 *1270:17 *22312:A 2.15348e-05 +27 *1270:17 *3116:23 7.6719e-06 +28 *1270:17 *3116:25 0.00455429 +29 *1270:28 *23554:A 4.42742e-06 +30 *1270:28 *1417:8 1.66736e-05 +31 *1270:28 *1920:7 0.000196505 +32 *1270:28 *1931:16 7.09666e-06 +33 *1270:28 *2129:55 3.29488e-05 +34 *1270:28 *2470:52 0.00183247 +35 *1270:28 *2918:23 0.00174454 +36 *1270:28 *3108:18 0.00021627 +37 *1270:28 *3113:52 0 +38 *1270:29 *4842:DIODE 2.57847e-05 +39 *1270:29 *2878:25 2.07503e-05 +40 *1270:29 *3413:9 0.000323643 +*RES +1 *21464:Y *1270:7 14.9881 +2 *1270:7 *1270:11 35.6875 +3 *1270:11 *1270:15 17.3856 +4 *1270:15 *1270:17 51.1923 +5 *1270:17 *1270:28 47.3333 +6 *1270:28 *1270:29 24.0167 +7 *1270:29 *4558:DIODE 9.24915 +8 *1270:29 *22306:A 11.9257 +*END + +*D_NET *1271 0.00177756 +*CONN +*I *4560:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22307:A I *D sky130_fd_sc_hd__einvp_8 +*I *21465:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4560:DIODE 5.24407e-05 +2 *22307:A 0 +3 *21465:Y 0.000506454 +4 *1271:10 0.000558894 +5 *4560:DIODE *1380:22 0.000181116 +6 *4560:DIODE *2829:21 0.00031994 +7 *4560:DIODE *3378:23 3.82228e-05 +8 *1271:10 *1381:11 6.92705e-05 +9 *1271:10 *2448:8 0 +10 *1271:10 *2497:8 0 +11 *1271:10 *3129:17 5.12223e-05 +*RES +1 *21465:Y *1271:10 28.2791 +2 *1271:10 *22307:A 9.24915 +3 *1271:10 *4560:DIODE 12.7456 +*END + +*D_NET *1272 0.00532697 +*CONN +*I *4562:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22308:A I *D sky130_fd_sc_hd__einvp_8 +*I *21466:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4562:DIODE 0.000302223 +2 *22308:A 5.44647e-05 +3 *21466:Y 0.00067427 +4 *1272:10 0.00103096 +5 *4562:DIODE *2385:41 1.57593e-05 +6 *4562:DIODE *3130:20 0.000371507 +7 *1272:10 *3656:DIODE 0.000164843 +8 *1272:10 *4819:DIODE 1.43848e-05 +9 *1272:10 *4822:DIODE 0.000317707 +10 *1272:10 *1786:11 9.41997e-05 +11 *1272:10 *1901:28 2.137e-05 +12 *1272:10 *2366:12 0 +13 *1272:10 *2865:34 0.000169122 +14 *1272:10 *2865:51 0.000201759 +15 *1272:10 *3094:21 0.000339402 +16 *1272:10 *3094:22 0.000462951 +17 *1272:10 *3368:13 0.000266846 +18 *1272:10 *3378:23 0.000825209 +*RES +1 *21466:Y *1272:10 44.9172 +2 *1272:10 *22308:A 10.6477 +3 *1272:10 *4562:DIODE 14.4094 +*END + +*D_NET *1273 0.00264648 +*CONN +*I *4564:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22309:A I *D sky130_fd_sc_hd__einvp_8 +*I *21467:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4564:DIODE 0 +2 *22309:A 0.000109842 +3 *21467:Y 0.000665375 +4 *1273:9 0.000775216 +5 *22309:A *2751:6 0 +6 *22309:A *2795:17 9.9028e-05 +7 *22309:A *3113:29 9.9028e-05 +8 *22309:A *3151:58 0 +9 *1273:9 *2751:6 0.000528936 +10 *1273:9 *2865:18 0.000106562 +11 *1273:9 *3113:29 0.000262495 +*RES +1 *21467:Y *1273:9 32.989 +2 *1273:9 *22309:A 22.0531 +3 *1273:9 *4564:DIODE 9.24915 +*END + +*D_NET *1274 0.00154395 +*CONN +*I *4566:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22310:A I *D sky130_fd_sc_hd__einvp_8 +*I *21468:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4566:DIODE 2.43332e-05 +2 *22310:A 0 +3 *21468:Y 0.000438048 +4 *1274:9 0.000462381 +5 *4566:DIODE *2862:17 7.22498e-05 +6 *4566:DIODE *3381:11 0.000152878 +7 *1274:9 *2651:8 0 +8 *1274:9 *2862:17 4.31703e-05 +9 *1274:9 *3132:9 1.9101e-05 +10 *1274:9 *3368:16 0.000131518 +11 *1274:9 *3378:23 8.20942e-05 +12 *1274:9 *3381:11 0.00011818 +*RES +1 *21468:Y *1274:9 28.4184 +2 *1274:9 *22310:A 9.24915 +3 *1274:9 *4566:DIODE 11.0817 +*END + +*D_NET *1275 0.00181913 +*CONN +*I *22311:A I *D sky130_fd_sc_hd__einvp_8 +*I *4568:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21469:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22311:A 0.000152341 +2 *4568:DIODE 0 +3 *21469:Y 0.000325338 +4 *1275:8 0.000477679 +5 *22311:A *2011:50 0.000206743 +6 *22311:A *2762:6 6.97077e-05 +7 *1275:8 *2011:50 0.000230477 +8 *1275:8 *2762:6 9.226e-05 +9 *1275:8 *2795:15 0.000264586 +*RES +1 *21469:Y *1275:8 21.4297 +2 *1275:8 *4568:DIODE 13.7491 +3 *1275:8 *22311:A 18.0727 +*END + +*D_NET *1276 0.00238893 +*CONN +*I *4570:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22312:A I *D sky130_fd_sc_hd__einvp_8 +*I *21470:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4570:DIODE 0 +2 *22312:A 7.26603e-05 +3 *21470:Y 0.000569709 +4 *1276:9 0.000642369 +5 *22312:A *3116:23 0.000111722 +6 *22312:A *3217:26 4.15661e-05 +7 *1276:9 *21469:A 0 +8 *1276:9 *2583:20 0 +9 *1276:9 *2868:21 0.000112928 +10 *1276:9 *3380:32 0 +11 *1276:9 *3402:6 0.000488766 +12 *1270:15 *22312:A 6.08467e-05 +13 *1270:15 *1276:9 0.000266832 +14 *1270:17 *22312:A 2.15348e-05 +*RES +1 *21470:Y *1276:9 31.328 +2 *1276:9 *22312:A 20.5286 +3 *1276:9 *4570:DIODE 9.24915 +*END + +*D_NET *1277 0.00497299 +*CONN +*I *4572:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22313:A I *D sky130_fd_sc_hd__einvp_8 +*I *21471:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4572:DIODE 4.54125e-05 +2 *22313:A 0 +3 *21471:Y 0.000791386 +4 *1277:11 0.000836799 +5 *4572:DIODE *1907:16 0.000171288 +6 *1277:11 *3661:DIODE 0.000164829 +7 *1277:11 *1404:10 7.09666e-06 +8 *1277:11 *1907:16 0.000266846 +9 *1277:11 *2129:47 0.00102885 +10 *1277:11 *2483:12 0.00045924 +11 *1277:11 *2818:11 0.000935664 +12 *1277:11 *2829:6 9.74605e-05 +13 *1277:11 *2829:9 0.000168118 +*RES +1 *21471:Y *1277:11 47.859 +2 *1277:11 *22313:A 9.24915 +3 *1277:11 *4572:DIODE 11.0817 +*END + +*D_NET *1278 0.00235594 +*CONN +*I *22314:A I *D sky130_fd_sc_hd__einvp_8 +*I *4574:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21472:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22314:A 7.69704e-05 +2 *4574:DIODE 0 +3 *21472:Y 0.000516686 +4 *1278:6 0.000593657 +5 *22314:A *1390:18 0.000211464 +6 *22314:A *1392:13 0.000211464 +7 *22314:A *2176:45 8.02893e-06 +8 *22314:A *3402:6 3.58525e-05 +9 *1278:6 *2176:45 0.000113676 +10 *1278:6 *3402:6 0.000588145 +*RES +1 *21472:Y *1278:6 26.3777 +2 *1278:6 *4574:DIODE 13.7491 +3 *1278:6 *22314:A 17.1378 +*END + +*D_NET *1279 0.0140278 +*CONN +*I *4576:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22315:A I *D sky130_fd_sc_hd__einvp_4 +*I *21473:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4576:DIODE 4.06582e-05 +2 *22315:A 0 +3 *21473:Y 0 +4 *1279:11 0.000434284 +5 *1279:5 0.00108508 +6 *1279:4 0.000691456 +7 *4576:DIODE *2389:17 0.000317693 +8 *4576:DIODE *3137:7 0.00030153 +9 *1279:5 *21601:A 0.000271058 +10 *1279:5 *22443:B 6.08467e-05 +11 *1279:5 *1909:20 0.000207266 +12 *1279:5 *2441:11 0.00577651 +13 *1279:5 *2450:47 0.000501302 +14 *1279:5 *2450:49 0.00408248 +15 *1279:11 *1909:20 2.33103e-06 +16 *1279:11 *2389:17 0.00011818 +17 *1279:11 *2918:12 6.0713e-05 +18 *1279:11 *3121:22 0 +19 *1279:11 *3137:7 6.92705e-05 +20 *1279:11 *3394:38 7.09666e-06 +*RES +1 *21473:Y *1279:4 9.24915 +2 *1279:4 *1279:5 62.839 +3 *1279:5 *1279:11 17.093 +4 *1279:11 *22315:A 9.24915 +5 *1279:11 *4576:DIODE 12.7456 +*END + +*D_NET *1280 0.00169079 +*CONN +*I *22316:A I *D sky130_fd_sc_hd__einvp_8 +*I *4578:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21428:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22316:A 2.07703e-05 +2 *4578:DIODE 0 +3 *21428:Y 0.000193371 +4 *1280:11 0.000214141 +5 *1280:11 *21428:A 6.50727e-05 +6 *1280:11 *2317:13 1.2693e-05 +7 *1280:11 *2602:6 0.000363685 +8 *1280:11 *2793:14 0.000154006 +9 *1280:11 *2793:22 6.32334e-05 +10 *1280:11 *2793:31 0.000122083 +11 *1280:11 *2793:42 0.000160617 +12 *1208:11 *1280:11 0.0002646 +13 *1269:15 *22316:A 1.41291e-05 +14 *1269:15 *1280:11 4.23874e-05 +*RES +1 *21428:Y *1280:11 28.8687 +2 *1280:11 *4578:DIODE 9.24915 +3 *1280:11 *22316:A 9.97254 +*END + +*D_NET *1281 0.00103093 +*CONN +*I *4580:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22317:A I *D sky130_fd_sc_hd__einvp_8 +*I *21474:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4580:DIODE 4.74821e-05 +2 *22317:A 0 +3 *21474:Y 0.000131631 +4 *1281:9 0.000179113 +5 *4580:DIODE *1384:22 0.000167625 +6 *1281:9 *1384:22 0.000211478 +7 *1281:9 *1793:11 1.82679e-05 +8 *1281:9 *2025:7 0.000137667 +9 *1281:9 *2129:33 0.000137667 +*RES +1 *21474:Y *1281:9 23.2989 +2 *1281:9 *22317:A 9.24915 +3 *1281:9 *4580:DIODE 11.0817 +*END + +*D_NET *1282 0.00266332 +*CONN +*I *4582:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22318:A I *D sky130_fd_sc_hd__einvp_8 +*I *21475:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4582:DIODE 9.91994e-05 +2 *22318:A 2.81918e-05 +3 *21475:Y 0.00063603 +4 *1282:8 0.000763421 +5 *4582:DIODE *2061:19 6.01588e-05 +6 *4582:DIODE *2851:8 0 +7 *4582:DIODE *2866:46 4.52625e-05 +8 *4582:DIODE *2876:42 0 +9 *4582:DIODE *3143:17 0 +10 *22318:A *1388:13 2.4072e-05 +11 *22318:A *1904:20 0.000167692 +12 *22318:A *2866:46 6.64392e-05 +13 *1282:8 *21475:A 0.000163418 +14 *1282:8 *22319:A 0 +15 *1282:8 *1384:22 2.1203e-06 +16 *1282:8 *2176:42 0.00013454 +17 *1282:8 *2876:42 0 +18 *1282:8 *3131:9 0.000472773 +*RES +1 *21475:Y *1282:8 28.2159 +2 *1282:8 *22318:A 15.5817 +3 *1282:8 *4582:DIODE 16.4116 +*END + +*D_NET *1283 0.00231746 +*CONN +*I *22319:A I *D sky130_fd_sc_hd__einvp_8 +*I *4584:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21476:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22319:A 0.00023892 +2 *4584:DIODE 7.14967e-05 +3 *21476:Y 0.0004319 +4 *1283:6 0.000742317 +5 *4584:DIODE *3113:47 0.000118166 +6 *22319:A *1388:13 5.67722e-05 +7 *22319:A *1904:20 0.000224381 +8 *22319:A *2851:8 0.000194385 +9 *1283:6 *1902:19 1.27831e-06 +10 *1283:6 *2324:35 9.28716e-05 +11 *1283:6 *2851:8 0.000144975 +12 *1282:8 *22319:A 0 +*RES +1 *21476:Y *1283:6 21.8099 +2 *1283:6 *4584:DIODE 15.0271 +3 *1283:6 *22319:A 20.4599 +*END + +*D_NET *1284 0.00952382 +*CONN +*I *4586:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22320:A I *D sky130_fd_sc_hd__einvp_8 +*I *21477:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4586:DIODE 0.000133662 +2 *22320:A 2.3034e-05 +3 *21477:Y 0.00119171 +4 *1284:19 0.00175761 +5 *1284:11 0.00279262 +6 *4586:DIODE *1907:14 0.00015511 +7 *4586:DIODE *1920:30 5.43595e-05 +8 *4586:DIODE *3144:5 9.14669e-05 +9 *1284:11 *1933:7 1.64462e-05 +10 *1284:11 *2470:52 0.00177598 +11 *1284:11 *3116:31 9.12416e-06 +12 *1284:19 *21612:A 6.50586e-05 +13 *1284:19 *22450:B 6.08467e-05 +14 *1284:19 *1798:10 7.74853e-06 +15 *1284:19 *1907:14 0.000913637 +16 *1284:19 *1907:16 0.000306677 +17 *1284:19 *1918:7 0 +18 *1284:19 *1920:28 4.33819e-05 +19 *1284:19 *1920:30 0.000125339 +20 *1284:19 *3113:52 0 +*RES +1 *21477:Y *1284:11 43.7458 +2 *1284:11 *1284:19 38.2705 +3 *1284:19 *22320:A 9.82786 +4 *1284:19 *4586:DIODE 13.8548 +*END + +*D_NET *1285 0.00162518 +*CONN +*I *4588:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22321:A I *D sky130_fd_sc_hd__einvp_8 +*I *21478:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4588:DIODE 1.06736e-05 +2 *22321:A 1.47608e-05 +3 *21478:Y 0.000287962 +4 *1285:10 0.000313396 +5 *4588:DIODE *2318:46 0.000103983 +6 *4588:DIODE *2344:44 0.00011818 +7 *22321:A *2318:46 6.08467e-05 +8 *22321:A *2344:44 6.08467e-05 +9 *1285:10 *6149:DIODE 3.07848e-05 +10 *1285:10 *1392:23 6.50586e-05 +11 *1285:10 *1912:20 0.000257999 +12 *1285:10 *1926:10 0.000300687 +*RES +1 *21478:Y *1285:10 25.7876 +2 *1285:10 *22321:A 9.97254 +3 *1285:10 *4588:DIODE 10.5271 +*END + +*D_NET *1286 0.00349696 +*CONN +*I *4590:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22322:A I *D sky130_fd_sc_hd__einvp_8 +*I *21479:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4590:DIODE 6.17884e-05 +2 *22322:A 0 +3 *21479:Y 0.000498874 +4 *1286:9 0.000560662 +5 *4590:DIODE *2882:39 0.000401115 +6 *4590:DIODE *3146:5 0.000213539 +7 *4590:DIODE *3402:16 6.92705e-05 +8 *4590:DIODE *3436:5 5.49209e-05 +9 *1286:9 *3802:DIODE 1.12969e-05 +10 *1286:9 *4587:DIODE 0.000104914 +11 *1286:9 *21479:A 9.12416e-06 +12 *1286:9 *1796:8 0.000118854 +13 *1286:9 *1798:10 1.77002e-05 +14 *1286:9 *2385:30 8.42542e-05 +15 *1286:9 *2870:76 0.000110659 +16 *1286:9 *2882:39 0.00060665 +17 *1286:9 *2887:33 9.17656e-06 +18 *1286:9 *2918:19 8.90058e-06 +19 *1286:9 *3402:16 0.000555259 +*RES +1 *21479:Y *1286:9 34.2404 +2 *1286:9 *22322:A 9.24915 +3 *1286:9 *4590:DIODE 13.8548 +*END + +*D_NET *1287 0.00322746 +*CONN +*I *4592:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22323:A I *D sky130_fd_sc_hd__einvp_8 +*I *21480:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4592:DIODE 8.34149e-05 +2 *22323:A 0 +3 *21480:Y 0.000470786 +4 *1287:9 0.000554201 +5 *4592:DIODE *1409:10 0.000386218 +6 *4592:DIODE *1939:22 0.000164829 +7 *4592:DIODE *1939:29 4.69414e-05 +8 *4592:DIODE *3147:15 0.000110297 +9 *1287:9 *1409:10 0.000461917 +10 *1287:9 *1913:5 5.64899e-05 +11 *1287:9 *1915:7 0.000385478 +12 *1287:9 *1939:22 0.000461917 +13 *1287:9 *2470:46 4.49767e-05 +*RES +1 *21480:Y *1287:9 32.3007 +2 *1287:9 *22323:A 9.24915 +3 *1287:9 *4592:DIODE 13.8548 +*END + +*D_NET *1288 0.00293276 +*CONN +*I *4594:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22324:A I *D sky130_fd_sc_hd__einvp_8 +*I *21481:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4594:DIODE 4.43159e-05 +2 *22324:A 0 +3 *21481:Y 0.000652445 +4 *1288:10 0.000696761 +5 *4594:DIODE *2441:11 0.000425982 +6 *4594:DIODE *2450:43 0.000425982 +7 *1288:10 *2446:34 1.93825e-05 +8 *1288:10 *2973:15 0.000563884 +9 *1288:10 *3148:8 0 +10 *1288:10 *3396:6 0.000104011 +*RES +1 *21481:Y *1288:10 30.5031 +2 *1288:10 *22324:A 9.24915 +3 *1288:10 *4594:DIODE 13.8548 +*END + +*D_NET *1289 0.00340949 +*CONN +*I *4596:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22325:A I *D sky130_fd_sc_hd__einvp_8 +*I *21482:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4596:DIODE 0.000391027 +2 *22325:A 0.000651496 +3 *21482:Y 0 +4 *1289:4 0.00104252 +5 *4596:DIODE *1911:33 1.5714e-05 +6 *4596:DIODE *2881:29 3.08958e-05 +7 *4596:DIODE *3115:39 0.000220799 +8 *22325:A *1922:11 8.46228e-05 +9 *22325:A *1923:11 0.000263752 +10 *22325:A *1946:22 0.000615392 +11 *1162:36 *4596:DIODE 9.32704e-05 +*RES +1 *21482:Y *1289:4 9.24915 +2 *1289:4 *22325:A 31.0577 +3 *1289:4 *4596:DIODE 25.3751 +*END + +*D_NET *1290 0.00404226 +*CONN +*I *4598:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22326:A I *D sky130_fd_sc_hd__einvp_8 +*I *21483:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4598:DIODE 8.49583e-05 +2 *22326:A 0 +3 *21483:Y 0.000432445 +4 *1290:10 0.000517404 +5 *4598:DIODE *2458:21 0.000561423 +6 *4598:DIODE *3029:21 6.08467e-05 +7 *4598:DIODE *3146:5 0.000142328 +8 *4598:DIODE *3150:7 0.000200536 +9 *4598:DIODE *3436:18 9.82896e-06 +10 *1290:10 *1418:8 3.0457e-05 +11 *1290:10 *1802:8 0.000253916 +12 *1290:10 *1925:40 0.000687369 +13 *1290:10 *3029:21 5.61206e-05 +14 *1290:10 *3118:16 0 +15 *1290:10 *3130:34 2.02035e-05 +16 *1290:10 *3144:5 0.00098442 +*RES +1 *21483:Y *1290:10 34.9399 +2 *1290:10 *22326:A 9.24915 +3 *1290:10 *4598:DIODE 16.8207 +*END + +*D_NET *1291 0.00122146 +*CONN +*I *4600:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22327:A I *D sky130_fd_sc_hd__einvp_8 +*I *21429:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4600:DIODE 7.20335e-05 +2 *22327:A 0 +3 *21429:Y 0.000392628 +4 *1291:9 0.000464661 +5 *1291:9 *1375:10 0 +6 *1291:9 *1803:13 0.00026818 +7 *1291:9 *2317:13 2.39535e-05 +*RES +1 *21429:Y *1291:9 28.9786 +2 *1291:9 *22327:A 9.24915 +3 *1291:9 *4600:DIODE 11.0817 +*END + +*D_NET *1292 0.00222105 +*CONN +*I *22328:A I *D sky130_fd_sc_hd__einvp_8 +*I *4602:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21484:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22328:A 0.000113668 +2 *4602:DIODE 7.36523e-05 +3 *21484:Y 0.000412394 +4 *1292:6 0.000599714 +5 *4602:DIODE *3153:7 0.000114584 +6 *22328:A *2454:59 0.000322677 +7 *22328:A *3116:39 0.000322677 +8 *1292:6 *1415:11 1.08377e-05 +9 *1292:6 *1899:9 0.000250845 +*RES +1 *21484:Y *1292:6 22.2252 +2 *1292:6 *4602:DIODE 15.0271 +3 *1292:6 *22328:A 18.6623 +*END + +*D_NET *1293 0.00452866 +*CONN +*I *4604:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22329:A I *D sky130_fd_sc_hd__einvp_8 +*I *21485:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4604:DIODE 4.43635e-05 +2 *22329:A 0 +3 *21485:Y 0.000913009 +4 *1293:13 0.000957372 +5 *4604:DIODE *1404:31 0.000324843 +6 *4604:DIODE *3154:7 0.00022297 +7 *1293:13 *22329:TE 0.00018644 +8 *1293:13 *1404:27 0.000519356 +9 *1293:13 *1404:31 0.00108338 +10 *1293:13 *1422:11 2.71885e-05 +11 *1293:13 *1805:15 0.000125461 +12 *1293:13 *2065:27 0 +13 *1293:13 *2454:58 9.84424e-06 +14 *1293:13 *2604:18 4.69495e-06 +15 *1293:13 *3129:26 0 +16 *1293:13 *3251:24 0.000109729 +17 *1293:13 *3401:6 0 +*RES +1 *21485:Y *1293:13 46.9133 +2 *1293:13 *22329:A 9.24915 +3 *1293:13 *4604:DIODE 12.7456 +*END + +*D_NET *1294 0.00671419 +*CONN +*I *4606:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22330:A I *D sky130_fd_sc_hd__einvp_8 +*I *21486:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4606:DIODE 6.02453e-05 +2 *22330:A 0 +3 *21486:Y 0.00192727 +4 *1294:10 6.02453e-05 +5 *1294:8 0.00192727 +6 *4606:DIODE *2454:47 0.000413127 +7 *4606:DIODE *3437:9 0.000413127 +8 *1294:8 *1413:9 0.000694434 +9 *1294:8 *1413:22 0.000864183 +10 *1294:8 *2890:33 8.8816e-05 +11 *1294:8 *3124:28 0.00026547 +*RES +1 *21486:Y *1294:8 48.7418 +2 *1294:8 *1294:10 4.5 +3 *1294:10 *22330:A 9.24915 +4 *1294:10 *4606:DIODE 13.8548 +*END + +*D_NET *1295 0.00403787 +*CONN +*I *22331:A I *D sky130_fd_sc_hd__einvp_8 +*I *4608:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21487:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22331:A 3.51162e-05 +2 *4608:DIODE 0.000294389 +3 *21487:Y 0.000574005 +4 *1295:8 0.000903509 +5 *4608:DIODE *1413:23 3.03184e-05 +6 *4608:DIODE *3156:7 5.93184e-05 +7 *22331:A *2388:29 6.76194e-05 +8 *22331:A *3410:14 2.88523e-05 +9 *1295:8 *1807:10 0.000379992 +10 *1295:8 *2388:29 0.000415728 +11 *1295:8 *3147:15 0.00109976 +12 *1295:8 *3410:14 0.000149258 +*RES +1 *21487:Y *1295:8 34.0406 +2 *1295:8 *4608:DIODE 18.3548 +3 *1295:8 *22331:A 15.1659 +*END + +*D_NET *1296 0.00486586 +*CONN +*I *4610:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22332:A I *D sky130_fd_sc_hd__einvp_8 +*I *21488:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4610:DIODE 4.33811e-05 +2 *22332:A 0 +3 *21488:Y 0.000691524 +4 *1296:11 0.000734905 +5 *4610:DIODE *1402:33 0.000471523 +6 *4610:DIODE *2439:13 8.90486e-05 +7 *4610:DIODE *3157:5 0.0002817 +8 *1296:11 *1402:33 0.000224395 +9 *1296:11 *1414:25 0.00118607 +10 *1296:11 *2388:29 4.60243e-05 +11 *1296:11 *2439:13 9.9028e-05 +12 *1296:11 *3125:26 0.000135295 +13 *1296:11 *3130:35 0.000107832 +14 *1296:11 *3130:45 0.000755129 +15 *1296:11 *3410:14 0 +*RES +1 *21488:Y *1296:11 42.8676 +2 *1296:11 *22332:A 9.24915 +3 *1296:11 *4610:DIODE 14.4094 +*END + +*D_NET *1297 0.00152112 +*CONN +*I *4612:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22333:A I *D sky130_fd_sc_hd__einvp_8 +*I *21489:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4612:DIODE 7.87037e-05 +2 *22333:A 0.000106731 +3 *21489:Y 0.000430359 +4 *1297:8 0.000615794 +5 *4612:DIODE *3158:17 2.61147e-05 +6 *22333:A *3130:45 2.00573e-05 +7 *22333:A *3158:17 9.14992e-05 +8 *1297:8 *22465:B 0 +9 *1297:8 *2897:20 5.49916e-05 +10 *1297:8 *2897:33 0 +11 *1297:8 *3410:14 9.68658e-05 +*RES +1 *21489:Y *1297:8 27.1404 +2 *1297:8 *22333:A 12.6491 +3 *1297:8 *4612:DIODE 10.5271 +*END + +*D_NET *1298 0.00339821 +*CONN +*I *4614:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22334:A I *D sky130_fd_sc_hd__einvp_8 +*I *21490:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4614:DIODE 0.00016363 +2 *22334:A 0 +3 *21490:Y 0.000741222 +4 *1298:11 0.000904852 +5 *4614:DIODE *1414:25 7.34948e-06 +6 *4614:DIODE *3158:17 7.22547e-05 +7 *4614:DIODE *3159:9 0.000226465 +8 *1298:11 *3680:DIODE 8.60694e-05 +9 *1298:11 *21493:A 0.000217937 +10 *1298:11 *22334:TE 6.87416e-05 +11 *1298:11 *22337:A 9.22147e-05 +12 *1298:11 *1301:8 8.69018e-05 +13 *1298:11 *1414:25 0.000317877 +14 *1298:11 *1810:14 2.55536e-05 +15 *1298:11 *2398:8 1.42713e-05 +16 *1298:11 *3117:6 5.34057e-05 +17 *1298:11 *3158:17 0.000319465 +*RES +1 *21490:Y *1298:11 40.6463 +2 *1298:11 *22334:A 9.24915 +3 *1298:11 *4614:DIODE 14.6023 +*END + +*D_NET *1299 0.00273143 +*CONN +*I *22335:A I *D sky130_fd_sc_hd__einvp_8 +*I *4616:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21491:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22335:A 7.22021e-05 +2 *4616:DIODE 0.000249087 +3 *21491:Y 0.000801798 +4 *1299:8 0.00112309 +5 *4616:DIODE *2454:35 0.000436825 +6 *1299:8 *3681:DIODE 4.84271e-05 +7 *1299:8 *2041:19 0 +8 *1299:8 *2044:19 0 +9 *1299:8 *2047:33 0 +10 *1299:8 *2901:20 0 +*RES +1 *21491:Y *1299:8 26.9701 +2 *1299:8 *4616:DIODE 18.3548 +3 *1299:8 *22335:A 15.1659 +*END + +*D_NET *1300 0.00488827 +*CONN +*I *22336:A I *D sky130_fd_sc_hd__einvp_8 +*I *4618:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21492:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22336:A 5.80734e-05 +2 *4618:DIODE 0.000289527 +3 *21492:Y 0.000533021 +4 *1300:8 0.000880621 +5 *4618:DIODE *2381:13 1.67988e-05 +6 *4618:DIODE *2381:17 0.000164829 +7 *4618:DIODE *3161:7 0.000275256 +8 *22336:A *2216:8 6.48631e-05 +9 *22336:A *2388:18 0 +10 *1300:8 *1943:24 2.29454e-05 +11 *1300:8 *1943:26 0.00114005 +12 *1300:8 *2209:10 0.000165521 +13 *1300:8 *2215:8 4.34496e-05 +14 *1300:8 *2216:8 0.00040492 +15 *1300:8 *2388:18 0 +16 *1300:8 *2446:11 0.000145294 +17 *1300:8 *2896:40 0.000683095 +*RES +1 *21492:Y *1300:8 35.5651 +2 *1300:8 *4618:DIODE 18.9094 +3 *1300:8 *22336:A 15.1659 +*END + +*D_NET *1301 0.00141008 +*CONN +*I *22337:A I *D sky130_fd_sc_hd__einvp_8 +*I *4620:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21493:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22337:A 0.000151678 +2 *4620:DIODE 0 +3 *21493:Y 0.00019958 +4 *1301:8 0.000351258 +5 *22337:A *2398:8 0.000228228 +6 *1301:8 *2398:8 0.000235142 +7 *1301:8 *3151:27 6.50727e-05 +8 *1298:11 *22337:A 9.22147e-05 +9 *1298:11 *1301:8 8.69018e-05 +*RES +1 *21493:Y *1301:8 19.2113 +2 *1301:8 *4620:DIODE 13.7491 +3 *1301:8 *22337:A 18.4879 +*END + +*D_NET *1302 0.00477283 +*CONN +*I *4622:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22338:A I *D sky130_fd_sc_hd__einvp_8 +*I *21430:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4622:DIODE 0.000125752 +2 *22338:A 0 +3 *21430:Y 0.0011063 +4 *1302:11 0.000152198 +5 *1302:8 0.00113274 +6 *4622:DIODE *3102:9 0.000195605 +7 *1302:8 *3620:DIODE 0.000175485 +8 *1302:8 *1731:6 0 +9 *1302:8 *1814:9 0 +10 *1302:8 *2522:16 9.60366e-05 +11 *1302:8 *2849:36 5.04829e-06 +12 *1302:8 *2898:41 0.000148129 +13 *1302:8 *2935:14 0 +14 *1302:8 *3176:9 0.00160896 +15 *1302:11 *3102:9 2.65831e-05 +16 *1219:6 *1302:8 0 +*RES +1 *21430:Y *1302:8 47.627 +2 *1302:8 *1302:11 5.2234 +3 *1302:11 *22338:A 9.24915 +4 *1302:11 *4622:DIODE 13.8548 +*END + +*D_NET *1303 0.0037027 +*CONN +*I *4624:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22339:A I *D sky130_fd_sc_hd__einvp_8 +*I *21494:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4624:DIODE 8.34156e-05 +2 *22339:A 0 +3 *21494:Y 0.00104418 +4 *1303:10 0.00112759 +5 *4624:DIODE *3165:7 6.08167e-05 +6 *4624:DIODE *3169:9 0.000436825 +7 *1303:10 *1939:34 0.000949874 +8 *1303:10 *2048:29 0 +9 *1303:10 *3141:36 0 +*RES +1 *21494:Y *1303:10 37.2921 +2 *1303:10 *22339:A 9.24915 +3 *1303:10 *4624:DIODE 13.8548 +*END + +*D_NET *1304 0.00416902 +*CONN +*I *4626:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22340:A I *D sky130_fd_sc_hd__einvp_4 +*I *21495:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4626:DIODE 2.13847e-05 +2 *22340:A 0 +3 *21495:Y 0.000877983 +4 *1304:11 0.000899368 +5 *4626:DIODE *3137:13 0.000220665 +6 *4626:DIODE *3166:5 0.000204502 +7 *1304:11 *22340:TE 0.000278476 +8 *1304:11 *1816:8 7.18273e-05 +9 *1304:11 *2450:27 0.000149018 +10 *1304:11 *3137:13 0.0007828 +11 *1304:11 *3151:10 8.00269e-05 +12 *1304:11 *3166:5 0.000169041 +13 *1304:11 *3422:5 0.000146599 +14 *1304:11 *3446:10 0.000267333 +*RES +1 *21495:Y *1304:11 41.6218 +2 *1304:11 *22340:A 9.24915 +3 *1304:11 *4626:DIODE 11.6364 +*END + +*D_NET *1305 0.00791903 +*CONN +*I *22341:A I *D sky130_fd_sc_hd__einvp_8 +*I *4628:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21496:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22341:A 0.000166551 +2 *4628:DIODE 0 +3 *21496:Y 0.000850235 +4 *1305:20 0.00161827 +5 *1305:16 0.00230195 +6 *22341:A *23550:TE 2.14842e-06 +7 *22341:A *2089:30 0 +8 *22341:A *2389:10 0.000206819 +9 *22341:A *2899:53 0 +10 *1305:16 *6436:DIODE 3.77755e-05 +11 *1305:16 *23565:TE 4.49767e-05 +12 *1305:16 *1428:12 1.42855e-05 +13 *1305:16 *2072:17 9.12416e-06 +14 *1305:16 *2089:19 0.000101503 +15 *1305:16 *3317:23 0.000171273 +16 *1305:20 *6392:DIODE 0.000162739 +17 *1305:20 *1815:11 0.000311533 +18 *1305:20 *2389:10 0.000407438 +19 *1305:20 *3147:25 0.000121377 +20 *1305:20 *3445:7 0.000682844 +21 *1137:71 *1305:16 0.000708183 +*RES +1 *21496:Y *1305:16 42.7552 +2 *1305:16 *1305:20 33.2499 +3 *1305:20 *4628:DIODE 13.7491 +4 *1305:20 *22341:A 18.0727 +*END + +*D_NET *1306 0.00678081 +*CONN +*I *22342:A I *D sky130_fd_sc_hd__einvp_4 +*I *4630:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21497:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22342:A 0.000243982 +2 *4630:DIODE 0 +3 *21497:Y 0.000647768 +4 *1306:18 0.000785785 +5 *1306:5 0.00118957 +6 *22342:A *2464:11 7.18345e-05 +7 *22342:A *3317:17 0.000217798 +8 *1306:5 *3687:DIODE 0.000224256 +9 *1306:5 *3165:7 0.00146166 +10 *1306:5 *3169:9 0.00130591 +11 *1306:5 *3169:15 2.94007e-05 +12 *1306:18 *22564:TE 6.08467e-05 +13 *1306:18 *2131:20 6.6641e-05 +14 *1306:18 *2131:24 0.0001235 +15 *1306:18 *2442:8 5.2852e-05 +16 *1306:18 *2464:11 5.88631e-05 +17 *1306:18 *2465:8 7.58407e-05 +18 *1306:18 *3317:17 0.000162529 +19 *22565:A *1306:18 1.77537e-06 +20 *1203:28 *1306:18 0 +*RES +1 *21497:Y *1306:5 46.5764 +2 *1306:5 *1306:18 28.0773 +3 *1306:18 *4630:DIODE 13.7491 +4 *1306:18 *22342:A 19.2207 +*END + +*D_NET *1307 0.00358313 +*CONN +*I *4632:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22343:A I *D sky130_fd_sc_hd__einvp_8 +*I *21498:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4632:DIODE 9.65815e-05 +2 *22343:A 0.000140443 +3 *21498:Y 0.000521594 +4 *1307:15 0.000758618 +5 *4632:DIODE *3317:23 0.00016491 +6 *22343:A *1815:11 0.000232837 +7 *22343:A *2470:20 8.24277e-06 +8 *22343:A *3141:36 0 +9 *1307:15 *21498:A 0.000163418 +10 *1307:15 *1939:34 0.000432372 +11 *1307:15 *2389:10 0.000254598 +12 *1307:15 *2899:53 0 +13 *1307:15 *3161:15 0.000383714 +14 *1307:15 *3161:17 0.000225011 +15 *1307:15 *3317:23 0.000200794 +*RES +1 *21498:Y *1307:15 34.3318 +2 *1307:15 *22343:A 22.5727 +3 *1307:15 *4632:DIODE 11.0817 +*END + +*D_NET *1308 0.00513719 +*CONN +*I *4634:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22344:A I *D sky130_fd_sc_hd__einvp_4 +*I *21499:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4634:DIODE 0 +2 *22344:A 3.92754e-05 +3 *21499:Y 0.00132164 +4 *1308:10 0.00136092 +5 *22344:A *3170:5 0.000160617 +6 *22344:A *3448:7 6.23875e-05 +7 *1308:10 *1822:10 0.000359798 +8 *1308:10 *2281:7 2.1946e-05 +9 *1308:10 *2469:14 0.000113265 +10 *1308:10 *2469:18 3.6994e-05 +11 *1308:10 *3431:13 0.00166034 +*RES +1 *21499:Y *1308:10 45.4718 +2 *1308:10 *22344:A 11.0817 +3 *1308:10 *4634:DIODE 9.24915 +*END + +*D_NET *1309 0.00239514 +*CONN +*I *4636:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22345:A I *D sky130_fd_sc_hd__einvp_4 +*I *21500:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4636:DIODE 9.04519e-05 +2 *22345:A 0 +3 *21500:Y 0.000537386 +4 *1309:8 0.000627837 +5 *4636:DIODE *2126:26 0.000260374 +6 *4636:DIODE *2134:36 0.000260374 +7 *4636:DIODE *3428:8 4.49767e-05 +8 *1309:8 *21500:A 2.65667e-05 +9 *1309:8 *2341:7 4.46778e-05 +10 *1309:8 *3428:8 0.000466344 +11 *1192:61 *1309:8 3.61534e-05 +*RES +1 *21500:Y *1309:8 26.1368 +2 *1309:8 *22345:A 13.7491 +3 *1309:8 *4636:DIODE 17.6924 +*END + +*D_NET *1310 0.00384114 +*CONN +*I *4638:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22346:A I *D sky130_fd_sc_hd__einvp_4 +*I *21501:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4638:DIODE 5.86677e-05 +2 *22346:A 0 +3 *21501:Y 0.000845701 +4 *1310:11 0.000904369 +5 *4638:DIODE *3149:35 6.21603e-05 +6 *4638:DIODE *3172:5 0.000250483 +7 *1310:11 *2283:17 0.000273774 +8 *1310:11 *2907:37 0.000963533 +9 *1310:11 *3147:34 0.000277371 +10 *1310:11 *3149:35 5.85252e-05 +11 *1310:11 *3154:35 8.14875e-05 +12 *1310:11 *3172:5 6.50727e-05 +*RES +1 *21501:Y *1310:11 37.4665 +2 *1310:11 *22346:A 9.24915 +3 *1310:11 *4638:DIODE 12.191 +*END + +*D_NET *1311 0.00239883 +*CONN +*I *4640:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22347:A I *D sky130_fd_sc_hd__einvp_4 +*I *21502:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4640:DIODE 2.97872e-05 +2 *22347:A 0 +3 *21502:Y 0.000457566 +4 *1311:10 0.000487353 +5 *4640:DIODE *3172:13 0.000271058 +6 *4640:DIODE *3174:7 0.000271058 +7 *1311:10 *3692:DIODE 0.000220799 +8 *1311:10 *2310:33 0 +9 *1311:10 *2454:9 4.81714e-05 +10 *1311:10 *3448:14 7.43316e-05 +11 *1311:10 *3461:6 0.000118379 +12 *1189:11 *1311:10 0.000420326 +*RES +1 *21502:Y *1311:10 32.1613 +2 *1311:10 *22347:A 9.24915 +3 *1311:10 *4640:DIODE 12.191 +*END + +*D_NET *1312 0.00119998 +*CONN +*I *4642:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22348:A I *D sky130_fd_sc_hd__einvp_2 +*I *21503:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4642:DIODE 0 +2 *22348:A 0.000195024 +3 *21503:Y 0.000195411 +4 *1312:10 0.000390435 +5 *1312:10 *1832:11 2.10723e-05 +6 *1312:10 *2312:24 6.50727e-05 +7 *1312:10 *2915:70 0.000263689 +8 *1312:10 *3189:12 0 +9 *1186:25 *1312:10 6.92705e-05 +*RES +1 *21503:Y *1312:10 24.1266 +2 *1312:10 *22348:A 12.2151 +3 *1312:10 *4642:DIODE 9.24915 +*END + +*D_NET *1313 0.00376654 +*CONN +*I *4644:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22349:A I *D sky130_fd_sc_hd__einvp_8 +*I *21431:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4644:DIODE 0.00020022 +2 *22349:A 0 +3 *21431:Y 0.0011407 +4 *1313:11 0.00134092 +5 *1313:11 *3621:DIODE 4.30017e-06 +6 *1313:11 *22477:B 0.000101118 +7 *1313:11 *22529:TE 7.22498e-05 +8 *1313:11 *1825:8 0.000508284 +9 *1313:11 *2325:19 3.24885e-05 +10 *1313:11 *2391:7 6.50727e-05 +11 *1313:11 *2614:17 8.9681e-05 +12 *1313:11 *2626:9 6.04131e-05 +13 *1313:11 *2874:38 0 +14 *1313:11 *2910:6 3.77804e-05 +15 *1313:11 *2910:24 0.000113319 +*RES +1 *21431:Y *1313:11 45.635 +2 *1313:11 *22349:A 9.24915 +3 *1313:11 *4644:DIODE 13.8548 +*END + +*D_NET *1314 0.00186967 +*CONN +*I *4646:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22350:A I *D sky130_fd_sc_hd__einvp_4 +*I *21504:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4646:DIODE 2.70908e-05 +2 *22350:A 0 +3 *21504:Y 0.00030065 +4 *1314:9 0.000327741 +5 *4646:DIODE *2131:34 0.000128091 +6 *1314:9 *2131:34 6.73186e-05 +7 *1314:9 *2461:8 0.000540541 +8 *1314:9 *2808:22 0.000179532 +9 *1192:48 *1314:9 0.000110649 +10 *1202:13 *4646:DIODE 0.000120742 +11 *1202:13 *1314:9 6.73186e-05 +*RES +1 *21504:Y *1314:9 30.2188 +2 *1314:9 *22350:A 9.24915 +3 *1314:9 *4646:DIODE 12.191 +*END + +*D_NET *1315 0.00351018 +*CONN +*I *4648:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22351:A I *D sky130_fd_sc_hd__einvp_4 +*I *21505:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4648:DIODE 2.1535e-05 +2 *22351:A 0 +3 *21505:Y 0.000397903 +4 *1315:10 0.000419438 +5 *4648:DIODE *2134:36 0.000277502 +6 *4648:DIODE *3178:7 0.000277502 +7 *1315:10 *21505:A 0.000423922 +8 *1315:10 *2914:40 9.24241e-05 +9 *1315:10 *2916:33 0.000207177 +10 *1315:10 *2917:12 0 +11 *1315:10 *3250:18 0.00049735 +12 *1182:25 *1315:10 0.000895431 +*RES +1 *21505:Y *1315:10 37.1527 +2 *1315:10 *22351:A 9.24915 +3 *1315:10 *4648:DIODE 12.191 +*END + +*D_NET *1316 0.00269006 +*CONN +*I *22352:A I *D sky130_fd_sc_hd__einvp_4 +*I *4650:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21506:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22352:A 0.000114969 +2 *4650:DIODE 0 +3 *21506:Y 0.000224985 +4 *1316:8 0.000339954 +5 *22352:A *2797:30 0.000215357 +6 *22352:A *3067:8 0.000204632 +7 *1316:8 *21506:A 0.000164829 +8 *1316:8 *2797:30 0.000268744 +9 *1316:8 *3067:8 0.000268744 +10 *1187:9 *1316:8 0.000676557 +11 *1205:21 *1316:8 0.000211288 +*RES +1 *21506:Y *1316:8 26.2818 +2 *1316:8 *4650:DIODE 13.7491 +3 *1316:8 *22352:A 18.0727 +*END + +*D_NET *1317 0.0012173 +*CONN +*I *4652:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22353:A I *D sky130_fd_sc_hd__einvp_4 +*I *21507:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4652:DIODE 0.000105863 +2 *22353:A 3.22803e-05 +3 *21507:Y 0.000170283 +4 *1317:9 0.000308426 +5 *1317:9 *2476:6 0.000272191 +6 *1317:9 *3193:12 0.000268578 +7 *1188:44 *4652:DIODE 4.26566e-05 +8 *1188:44 *22353:A 6.6856e-06 +9 *1188:44 *1317:9 1.03403e-05 +*RES +1 *21507:Y *1317:9 24.1266 +2 *1317:9 *22353:A 10.2378 +3 *1317:9 *4652:DIODE 11.0817 +*END + +*D_NET *1318 0.0016766 +*CONN +*I *4654:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22354:A I *D sky130_fd_sc_hd__einvp_4 +*I *21508:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4654:DIODE 0 +2 *22354:A 0.000186758 +3 *21508:Y 0.000409247 +4 *1318:9 0.000596005 +5 *22354:A *3182:11 3.94667e-05 +6 *1318:9 *2596:18 0.000425817 +7 *1318:9 *2607:22 0 +8 *1318:9 *3182:11 1.93033e-05 +*RES +1 *21508:Y *1318:9 28.1425 +2 *1318:9 *22354:A 12.625 +3 *1318:9 *4654:DIODE 9.24915 +*END + +*D_NET *1319 0.00474264 +*CONN +*I *22355:A I *D sky130_fd_sc_hd__einvp_4 +*I *4656:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21509:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22355:A 0.000106867 +2 *4656:DIODE 0 +3 *21509:Y 0.000283702 +4 *1319:9 0.00179853 +5 *1319:8 0.00197536 +6 *22355:A *3067:15 5.97296e-05 +7 *1319:8 *1983:38 0 +8 *1319:8 *2413:12 1.29348e-05 +9 *1319:8 *2794:40 0 +10 *1319:9 *3067:15 0.000135087 +11 *1182:24 *1319:8 0.000370428 +*RES +1 *21509:Y *1319:8 26.3099 +2 *1319:8 *1319:9 24.5714 +3 *1319:9 *4656:DIODE 9.24915 +4 *1319:9 *22355:A 12.625 +*END + +*D_NET *1320 0.00486386 +*CONN +*I *22356:A I *D sky130_fd_sc_hd__einvp_2 +*I *4658:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21510:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22356:A 9.21805e-05 +2 *4658:DIODE 0 +3 *21510:Y 0.00135035 +4 *1320:9 0.00144254 +5 *1320:9 *2092:57 0.000715555 +6 *1320:9 *2440:6 0 +7 *1320:9 *2634:38 2.77419e-05 +8 *1183:19 *22356:A 4.88955e-05 +9 *1183:19 *1320:9 0.00116245 +10 *1206:37 *22356:A 2.41483e-05 +*RES +1 *21510:Y *1320:9 45.8786 +2 *1320:9 *4658:DIODE 9.24915 +3 *1320:9 *22356:A 11.1059 +*END + +*D_NET *1321 0.00884019 +*CONN +*I *22357:A I *D sky130_fd_sc_hd__einvp_4 +*I *4660:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21511:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22357:A 0 +2 *4660:DIODE 0.000131964 +3 *21511:Y 0.000523666 +4 *1321:16 0.00184221 +5 *1321:10 0.00223391 +6 *4660:DIODE *21505:A 0.000321919 +7 *1321:10 *21511:A 6.22259e-05 +8 *1321:10 *2323:20 0.000111722 +9 *1321:10 *2491:12 0 +10 *1321:10 *3461:6 0 +11 *1321:16 *1827:11 7.99651e-05 +12 *1321:16 *2436:6 0.000306018 +13 *1321:16 *2468:10 1.29348e-05 +14 *1321:16 *3185:6 3.40382e-05 +15 *1137:44 *1321:10 0.000103348 +16 *1182:25 *4660:DIODE 4.80235e-05 +17 *1183:19 *1321:16 0.00246435 +18 *1192:53 *4660:DIODE 0.000563898 +*RES +1 *21511:Y *1321:10 28.4184 +2 *1321:10 *1321:16 41.7743 +3 *1321:16 *4660:DIODE 16.0973 +4 *1321:16 *22357:A 9.24915 +*END + +*D_NET *1322 0.001513 +*CONN +*I *4662:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22358:A I *D sky130_fd_sc_hd__einvp_2 +*I *21512:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4662:DIODE 3.30647e-05 +2 *22358:A 9.92604e-05 +3 *21512:Y 0.000339207 +4 *1322:8 0.000471532 +5 *4662:DIODE *1829:13 6.50727e-05 +6 *22358:A *1829:13 6.08467e-05 +7 *22358:A *3186:7 2.15184e-05 +8 *1322:8 *1829:13 0.000418562 +9 *1322:8 *3470:8 0 +10 *1183:18 *1322:8 3.93117e-06 +11 *1188:44 *22358:A 0 +*RES +1 *21512:Y *1322:8 26.7252 +2 *1322:8 *22358:A 11.1059 +3 *1322:8 *4662:DIODE 9.97254 +*END + +*D_NET *1323 0.0175334 +*CONN +*I *4664:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22359:A I *D sky130_fd_sc_hd__einvp_8 +*I *21513:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4664:DIODE 0.000183373 +2 *22359:A 3.24193e-05 +3 *21513:Y 0.00128811 +4 *1323:19 0.00121702 +5 *1323:17 0.00148315 +6 *1323:13 0.000807813 +7 *1323:10 0.001614 +8 *4664:DIODE *1978:24 6.25697e-05 +9 *22359:A *1978:20 2.0441e-05 +10 *1323:10 *2084:18 0.000992975 +11 *1323:10 *2365:11 0.000197293 +12 *1323:10 *3384:23 0.000133887 +13 *1323:13 *1957:8 0.000356883 +14 *1323:13 *2098:20 0.00230595 +15 *1323:13 *2263:25 0.000150969 +16 *1323:17 *1957:8 0.00120881 +17 *1323:17 *1957:14 6.08467e-05 +18 *1323:17 *2086:40 5.51483e-06 +19 *1323:17 *2098:20 0.00119924 +20 *1323:19 *1957:14 0.00037138 +21 *1323:19 *1978:10 0.000208853 +22 *1323:19 *1978:20 0.00148864 +23 *1323:19 *1978:24 1.41291e-05 +24 *1323:19 *2086:40 0.000799331 +25 *1323:19 *2634:28 0.00011971 +26 *1173:18 *1323:17 0.000268973 +27 *1173:21 *1323:13 0.000941121 +*RES +1 *21513:Y *1323:10 41.1687 +2 *1323:10 *1323:13 25.4274 +3 *1323:13 *1323:17 31.3833 +4 *1323:17 *1323:19 31.2266 +5 *1323:19 *22359:A 10.2378 +6 *1323:19 *4664:DIODE 12.191 +*END + +*D_NET *1324 0.00324038 +*CONN +*I *4666:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22360:A I *D sky130_fd_sc_hd__einvp_8 +*I *21432:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4666:DIODE 9.70201e-05 +2 *22360:A 0.00016705 +3 *21432:Y 0.000831059 +4 *1324:11 0.00109513 +5 *4666:DIODE *3138:17 0.000164843 +6 *22360:A *2545:6 2.72834e-05 +7 *22360:A *3138:17 0.000110701 +8 *1324:11 *21436:A 0 +9 *1324:11 *1441:9 5.56461e-05 +10 *1324:11 *2544:10 0 +11 *1324:11 *2805:12 0 +12 *1324:11 *3114:16 0 +13 *1324:11 *3138:17 0.000691642 +*RES +1 *21432:Y *1324:11 34.9666 +2 *1324:11 *22360:A 22.6077 +3 *1324:11 *4666:DIODE 11.0817 +*END + +*D_NET *1325 0.0101233 +*CONN +*I *4668:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22361:A I *D sky130_fd_sc_hd__einvp_4 +*I *21514:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4668:DIODE 0 +2 *22361:A 9.73784e-05 +3 *21514:Y 0.000317357 +4 *1325:8 0.00310222 +5 *1325:7 0.0033222 +6 *22361:A *2090:21 1.25165e-05 +7 *22361:A *2098:33 2.12377e-05 +8 *22361:A *2270:24 0.000118166 +9 *22361:A *2272:39 8.62625e-06 +10 *1325:7 *3704:DIODE 0.000228593 +11 *1325:7 *3050:23 0.00130518 +12 *1325:8 *23229:A 5.06502e-05 +13 *1325:8 *1601:9 0 +14 *1325:8 *1968:54 4.69495e-06 +15 *1325:8 *1985:67 9.5793e-06 +16 *1325:8 *2090:21 0.00113518 +17 *1325:8 *2098:33 0.000179881 +18 *1325:8 *2268:63 2.30513e-05 +19 *1325:8 *2661:10 0 +20 *1325:8 *2665:12 6.85752e-05 +21 *1325:8 *2798:12 0 +22 *1169:23 *22361:A 0.000118166 +*RES +1 *21514:Y *1325:7 27.783 +2 *1325:7 *1325:8 65.3656 +3 *1325:8 *22361:A 17.1767 +4 *1325:8 *4668:DIODE 13.7491 +*END + +*D_NET *1326 0.0197933 +*CONN +*I *4670:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22362:A I *D sky130_fd_sc_hd__einvp_8 +*I *21515:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4670:DIODE 8.83054e-05 +2 *22362:A 0 +3 *21515:Y 0 +4 *1326:20 0.00179089 +5 *1326:11 0.00394219 +6 *1326:5 0.0022396 +7 *4670:DIODE *1943:48 0.000200742 +8 *4670:DIODE *2294:28 0.000328553 +9 *4670:DIODE *2345:12 0.00016553 +10 *1326:11 *5472:DIODE 0.000217409 +11 *1326:11 *22954:A 0.000180744 +12 *1326:11 *23210:A 0 +13 *1326:11 *23212:A 1.48503e-05 +14 *1326:11 *23468:A 6.22259e-05 +15 *1326:11 *1713:8 0 +16 *1326:11 *1715:8 0.000238873 +17 *1326:11 *1716:9 0 +18 *1326:11 *1965:14 0.000172521 +19 *1326:11 *1995:12 0.000181147 +20 *1326:11 *2128:32 0.00147676 +21 *1326:11 *2243:23 5.46889e-05 +22 *1326:11 *2247:25 0 +23 *1326:11 *2263:25 0.00146774 +24 *1326:11 *2500:34 0.000100673 +25 *1326:11 *2504:42 5.45571e-05 +26 *1326:20 *1951:18 0.000483873 +27 *1326:20 *2123:20 0.000259755 +28 *1326:20 *2335:17 7.40684e-06 +29 *1326:20 *2343:7 1.91391e-05 +30 *1326:20 *2344:7 4.69495e-06 +31 *1326:20 *2499:21 0.0030199 +32 *1326:20 *2908:28 0.00301673 +33 *4260:DIODE *1326:11 0 +34 *1149:31 *1326:11 0 +35 *1151:30 *1326:11 3.83492e-06 +*RES +1 *21515:Y *1326:5 13.7491 +2 *1326:5 *1326:11 44.7429 +3 *1326:11 *1326:20 17.4566 +4 *1326:20 *22362:A 9.24915 +5 *1326:20 *4670:DIODE 14.6023 +*END + +*D_NET *1327 0.00821732 +*CONN +*I *4672:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22363:A I *D sky130_fd_sc_hd__einvp_4 +*I *21516:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4672:DIODE 4.1186e-05 +2 *22363:A 0 +3 *21516:Y 0 +4 *1327:9 0.000143052 +5 *1327:6 0.00283169 +6 *1327:5 0.00272982 +7 *4672:DIODE *1837:8 7.97944e-05 +8 *1327:6 *3595:DIODE 7.86847e-05 +9 *1327:6 *1959:31 0 +10 *1327:6 *2799:12 0 +11 *1327:6 *2909:22 0.0015382 +12 *1327:6 *2921:32 0.000539812 +13 *1327:6 *2926:38 3.77924e-05 +14 *1327:6 *3348:8 0 +15 *1327:9 *1837:8 0.000132235 +16 *1327:9 *3191:7 6.50586e-05 +*RES +1 *21516:Y *1327:5 13.7491 +2 *1327:5 *1327:6 62.4588 +3 *1327:6 *1327:9 7.99641 +4 *1327:9 *22363:A 9.24915 +5 *1327:9 *4672:DIODE 11.0817 +*END + +*D_NET *1328 0.0106372 +*CONN +*I *22364:A I *D sky130_fd_sc_hd__einvp_4 +*I *4674:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21517:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22364:A 0.000114403 +2 *4674:DIODE 0 +3 *21517:Y 0.00155856 +4 *1328:17 0.00135834 +5 *1328:10 0.0028025 +6 *22364:A *2114:22 0.000111708 +7 *22364:A *2500:16 6.50465e-05 +8 *22364:A *2796:42 6.50465e-05 +9 *1328:10 *23105:A 3.20069e-06 +10 *1328:10 *1608:6 0.000143755 +11 *1328:10 *1967:40 0.00210326 +12 *1328:10 *2525:24 0.000402683 +13 *1328:17 *1978:38 0.000406808 +14 *1328:17 *2114:22 0.00132601 +15 *1328:17 *2533:6 0 +16 *1328:17 *2675:16 0.000175892 +*RES +1 *21517:Y *1328:10 49.2175 +2 *1328:10 *1328:17 31.6871 +3 *1328:17 *4674:DIODE 9.24915 +4 *1328:17 *22364:A 20.9439 +*END + +*D_NET *1329 0.028756 +*CONN +*I *4676:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22365:A I *D sky130_fd_sc_hd__einvp_4 +*I *21518:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4676:DIODE 6.23432e-05 +2 *22365:A 0 +3 *21518:Y 0.00114937 +4 *1329:44 0.00134284 +5 *1329:29 0.00182686 +6 *1329:24 0.00173705 +7 *1329:13 0.00147946 +8 *1329:10 0.00143814 +9 *4676:DIODE *1478:9 0.00017197 +10 *4676:DIODE *1965:38 0.000237156 +11 *1329:10 *3708:DIODE 5.48536e-05 +12 *1329:10 *22835:A 0.000313381 +13 *1329:10 *23349:B 1.49589e-05 +14 *1329:10 *1468:8 3.00073e-05 +15 *1329:10 *2080:49 0 +16 *1329:10 *2253:51 8.36916e-05 +17 *1329:10 *2494:33 5.49916e-05 +18 *1329:10 *2632:40 3.94846e-05 +19 *1329:10 *2916:20 0 +20 *1329:10 *2930:9 0.000111722 +21 *1329:13 *1334:9 0.00273638 +22 *1329:13 *2500:17 0.00272776 +23 *1329:24 *1957:25 1.91391e-05 +24 *1329:24 *1965:19 7.09666e-06 +25 *1329:24 *1965:20 0.00075206 +26 *1329:24 *1995:12 0.0017891 +27 *1329:24 *2005:23 3.27593e-05 +28 *1329:24 *2657:8 1.30227e-05 +29 *1329:24 *2926:12 0.000780778 +30 *1329:29 *22492:B 6.08467e-05 +31 *1329:29 *1957:26 0.00387605 +32 *1329:29 *1978:24 1.92336e-05 +33 *1329:29 *1978:29 0.00369367 +34 *1329:29 *2005:26 9.95234e-05 +35 *1329:44 *3506:DIODE 0.00027103 +36 *1329:44 *1978:38 0.00127093 +37 *1329:44 *2005:26 9.15897e-05 +38 *1329:44 *2005:36 4.62668e-05 +39 *1329:44 *2669:6 0 +40 *1329:44 *2927:17 7.02172e-06 +41 *1329:44 *3193:11 0.000114664 +42 *4127:DIODE *1329:10 7.50872e-05 +43 *1141:42 *1329:24 0.000123662 +*RES +1 *21518:Y *1329:10 42.1217 +2 *1329:10 *1329:13 34.0628 +3 *1329:13 *1329:24 49.4891 +4 *1329:24 *1329:29 49.0612 +5 *1329:29 *1329:44 34.5406 +6 *1329:44 *22365:A 9.24915 +7 *1329:44 *4676:DIODE 12.9385 +*END + +*D_NET *1330 0.00988628 +*CONN +*I *4678:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22366:A I *D sky130_fd_sc_hd__einvp_4 +*I *21519:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4678:DIODE 4.3451e-05 +2 *22366:A 0 +3 *21519:Y 0.000700543 +4 *1330:10 4.3451e-05 +5 *1330:8 0.00184106 +6 *1330:7 0.0025416 +7 *4678:DIODE *1987:26 0.000260388 +8 *4678:DIODE *3194:7 0.000260388 +9 *1330:7 *2632:65 0.00113094 +10 *1330:8 *1456:10 0.000253204 +11 *1330:8 *1978:37 0.000117975 +12 *1330:8 *2092:49 0.000377339 +13 *1330:8 *2667:9 0.00150961 +14 *1330:8 *2668:12 0.000806345 +*RES +1 *21519:Y *1330:7 26.1192 +2 *1330:7 *1330:8 51.247 +3 *1330:8 *1330:10 4.5 +4 *1330:10 *22366:A 9.24915 +5 *1330:10 *4678:DIODE 12.191 +*END + +*D_NET *1331 0.0126268 +*CONN +*I *4680:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22367:A I *D sky130_fd_sc_hd__einvp_4 +*I *21520:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4680:DIODE 6.02411e-05 +2 *22367:A 0 +3 *21520:Y 0.000237783 +4 *1331:11 9.45577e-05 +5 *1331:8 0.00193493 +6 *1331:7 0.0021384 +7 *4680:DIODE *1485:14 0.000103249 +8 *1331:7 *2512:25 0.00171864 +9 *1331:8 *1612:10 0.000969401 +10 *1331:8 *1729:11 2.29988e-05 +11 *1331:8 *2423:14 0.00297714 +12 *1331:8 *2520:12 0 +13 *1331:8 *2532:8 0.000397688 +14 *1331:8 *3192:8 0 +15 *1331:11 *1485:14 6.99486e-05 +16 *1149:9 *1331:7 0.00171441 +17 *1224:15 *4680:DIODE 0.000108297 +18 *1224:15 *1331:11 7.90978e-05 +*RES +1 *21520:Y *1331:7 32.7745 +2 *1331:7 *1331:8 59.1368 +3 *1331:8 *1331:11 6.3326 +4 *1331:11 *22367:A 9.24915 +5 *1331:11 *4680:DIODE 12.191 +*END + +*D_NET *1332 0.0121591 +*CONN +*I *4682:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22368:A I *D sky130_fd_sc_hd__einvp_2 +*I *21521:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *4682:DIODE 0 +2 *22368:A 0.000182027 +3 *21521:Y 0 +4 *1332:9 0.000230452 +5 *1332:6 0.00486169 +6 *1332:5 0.00481326 +7 *22368:A *3067:17 1.4164e-05 +8 *1332:6 *3711:DIODE 1.79672e-05 +9 *1332:6 *22245:TE 0.000212932 +10 *1332:6 *2400:6 0.00020809 +11 *1332:6 *2505:8 0.000889427 +12 *1332:6 *2681:8 0 +13 *1332:6 *2796:71 0 +14 *1332:6 *2801:42 0.000316248 +15 *1332:6 *2823:8 0 +16 *1332:6 *3061:13 0 +17 *1332:6 *3061:14 0.000372135 +18 *1332:6 *3224:8 0 +19 *1332:9 *3067:17 1.83795e-06 +20 *4014:DIODE *1332:6 3.34802e-05 +21 *1199:32 *1332:6 5.42014e-06 +*RES +1 *21521:Y *1332:5 13.7491 +2 *1332:5 *1332:6 107.721 +3 *1332:6 *1332:9 5.2234 +4 *1332:9 *22368:A 12.2151 +5 *1332:9 *4682:DIODE 9.24915 +*END + +*D_NET *1333 0.00904959 +*CONN +*I *4684:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22369:A I *D sky130_fd_sc_hd__einvp_8 +*I *21522:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *4684:DIODE 4.62618e-05 +2 *22369:A 0 +3 *21522:Y 0 +4 *1333:9 8.75355e-05 +5 *1333:6 0.0023631 +6 *1333:5 0.00232183 +7 *4684:DIODE *2634:33 0.00040971 +8 *4684:DIODE *2926:38 0.00017517 +9 *4684:DIODE *3198:5 0.000228593 +10 *1333:6 *5358:DIODE 0.000114074 +11 *1333:6 *2084:25 0 +12 *1333:6 *2277:22 9.4901e-06 +13 *1333:6 *2459:12 0 +14 *1333:6 *2659:16 0.000450217 +15 *1333:9 *2634:33 0.000373061 +16 *1333:9 *2926:38 0.000373061 +17 *1141:42 *1333:6 0 +18 *1180:8 *1333:6 0.000267034 +19 *1180:12 *1333:6 0.00177416 +20 *1213:8 *1333:6 5.6293e-05 +*RES +1 *21522:Y *1333:5 13.7491 +2 *1333:5 *1333:6 56.23 +3 *1333:6 *1333:9 8.55102 +4 *1333:9 *22369:A 9.24915 +5 *1333:9 *4684:DIODE 13.8548 +*END + +*D_NET *1334 0.0157979 +*CONN +*I *22370:A I *D sky130_fd_sc_hd__einvp_8 +*I *4686:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21523:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22370:A 2.24983e-05 +2 *4686:DIODE 0.000360678 +3 *21523:Y 0.000544205 +4 *1334:12 0.000575208 +5 *1334:9 0.000928462 +6 *1334:8 0.00128064 +7 *4686:DIODE *1985:57 0.000110306 +8 *4686:DIODE *2269:52 6.78364e-06 +9 *4686:DIODE *3199:7 0.000422368 +10 *22370:A *2625:30 5.41377e-05 +11 *22370:A *2915:42 1.90335e-05 +12 *1334:8 *23092:A 5.28285e-05 +13 *1334:8 *1595:8 5.1001e-05 +14 *1334:8 *2503:26 6.22114e-05 +15 *1334:8 *2655:15 0.000205532 +16 *1334:9 *2261:21 0.00010238 +17 *1334:9 *2500:17 0.00152397 +18 *1334:12 *2625:30 0.000289313 +19 *1334:12 *2915:42 5.00168e-05 +20 *1141:32 *1334:12 0.000148962 +21 *1172:11 *1334:9 0.00625101 +22 *1329:13 *1334:9 0.00273638 +*RES +1 *21523:Y *1334:8 30.4624 +2 *1334:8 *1334:9 67.2758 +3 *1334:9 *1334:12 10.4845 +4 *1334:12 *4686:DIODE 20.0186 +5 *1334:12 *22370:A 14.7506 +*END + +*D_NET *1335 0.00140138 +*CONN +*I *22371:A I *D sky130_fd_sc_hd__einvp_8 +*I *4688:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21433:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22371:A 0 +2 *4688:DIODE 0.000159411 +3 *21433:Y 0.000349372 +4 *1335:10 0.000508784 +5 *1335:10 *2375:8 0 +6 *1335:10 *2395:6 0.000249472 +7 *1335:10 *3059:15 6.92705e-05 +8 *1136:9 *1335:10 6.50727e-05 +*RES +1 *21433:Y *1335:10 28.6943 +2 *1335:10 *4688:DIODE 12.7456 +3 *1335:10 *22371:A 9.24915 +*END + +*D_NET *1336 0.0289862 +*CONN +*I *23473:A I *D sky130_fd_sc_hd__einvp_4 +*I *6252:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21552:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23473:A 9.66303e-05 +2 *6252:DIODE 0 +3 *21552:Y 0 +4 *1336:8 0.000127721 +5 *1336:5 0.0103589 +6 *1336:4 0.0103278 +7 *23473:A *6353:DIODE 3.40268e-05 +8 *23473:A *1999:11 0.000222507 +9 *23473:A *2895:14 0.000256534 +10 *1336:5 *3742:DIODE 6.3866e-05 +11 *1336:5 *3752:DIODE 1.92336e-05 +12 *1336:5 *4945:DIODE 1.03403e-05 +13 *1336:5 *22266:TE 2.65831e-05 +14 *1336:5 *1347:5 0.00697492 +15 *1336:5 *3083:5 0.000170403 +16 *1336:8 *1999:11 7.50872e-05 +17 *1336:8 *2895:14 7.50872e-05 +18 *4478:DIODE *1336:5 0.000120773 +19 *22266:A *1336:5 2.57847e-05 +*RES +1 *21552:Y *1336:4 9.24915 +2 *1336:4 *1336:5 164.886 +3 *1336:5 *1336:8 5.91674 +4 *1336:8 *6252:DIODE 13.7491 +5 *1336:8 *23473:A 18.4879 +*END + +*D_NET *1337 0.00430421 +*CONN +*I *6254:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23474:A I *D sky130_fd_sc_hd__einvp_2 +*I *21323:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6254:DIODE 0 +2 *23474:A 2.99548e-05 +3 *21323:Y 0.000486686 +4 *1337:9 0.000516641 +5 *23474:A *2134:44 0.000217937 +6 *23474:A *2528:25 0.000159635 +7 *1337:9 *2134:44 0.00100391 +8 *1337:9 *2528:25 0.000989708 +9 *1337:9 *2794:52 3.67708e-05 +10 *1337:9 *2813:37 0.000575184 +11 *1337:9 *3353:6 0.000287788 +*RES +1 *21323:Y *1337:9 42.8297 +2 *1337:9 *23474:A 11.6364 +3 *1337:9 *6254:DIODE 9.24915 +*END + +*D_NET *1338 0.00456433 +*CONN +*I *6256:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23475:A I *D sky130_fd_sc_hd__einvp_2 +*I *21324:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6256:DIODE 0.000113862 +2 *23475:A 0 +3 *21324:Y 0.000541774 +4 *1338:13 0.000655636 +5 *6256:DIODE *21545:A 0.000160617 +6 *1338:13 *23475:TE 5.04829e-06 +7 *1338:13 *1967:43 0.000258744 +8 *1338:13 *1968:55 3.56292e-05 +9 *1338:13 *3345:13 2.65667e-05 +10 *1177:25 *1338:13 0.00125206 +11 *1188:25 *6256:DIODE 6.22703e-05 +12 *1188:25 *1338:13 4.93995e-05 +13 *1196:9 *1338:13 0.00124784 +14 *1206:24 *1338:13 8.98279e-05 +15 *1232:10 *6256:DIODE 6.50586e-05 +*RES +1 *21324:Y *1338:13 40.9576 +2 *1338:13 *23475:A 9.24915 +3 *1338:13 *6256:DIODE 12.7456 +*END + +*D_NET *1339 0.000882396 +*CONN +*I *6258:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23476:A I *D sky130_fd_sc_hd__einvp_2 +*I *21325:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6258:DIODE 3.181e-05 +2 *23476:A 2.31818e-05 +3 *21325:Y 0.000294587 +4 *1339:8 0.000349579 +5 *6258:DIODE *3346:7 0.00011818 +6 *23476:A *3346:7 6.50586e-05 +7 *1339:8 *3077:6 0 +8 *1339:8 *3198:32 0 +*RES +1 *21325:Y *1339:8 24.2337 +2 *1339:8 *23476:A 9.97254 +3 *1339:8 *6258:DIODE 10.5271 +*END + +*D_NET *1340 0.00222063 +*CONN +*I *23477:A I *D sky130_fd_sc_hd__einvp_4 +*I *6260:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21326:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23477:A 0.000125465 +2 *6260:DIODE 0 +3 *21326:Y 0.000634831 +4 *1340:11 0.000760296 +5 *23477:A *3347:7 0.000213725 +6 *1340:11 *2400:6 0 +7 *1340:11 *3060:6 0.000193887 +8 *1340:11 *3347:7 3.42853e-05 +9 *1194:11 *1340:11 0.000258142 +*RES +1 *21326:Y *1340:11 32.8847 +2 *1340:11 *6260:DIODE 9.24915 +3 *1340:11 *23477:A 13.0349 +*END + +*D_NET *1341 0.00150887 +*CONN +*I *6262:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23478:A I *D sky130_fd_sc_hd__einvp_8 +*I *21327:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6262:DIODE 0.000121504 +2 *23478:A 0 +3 *21327:Y 0.000466924 +4 *1341:9 0.000588428 +5 *6262:DIODE *1973:28 0.000124869 +6 *1341:9 *1959:31 0 +7 *1341:9 *1973:28 5.07314e-05 +8 *1341:9 *2799:12 6.50206e-05 +9 *1341:9 *2919:64 6.36507e-05 +10 *1341:9 *3348:8 2.77419e-05 +*RES +1 *21327:Y *1341:9 27.5879 +2 *1341:9 *23478:A 9.24915 +3 *1341:9 *6262:DIODE 12.7456 +*END + +*D_NET *1342 0.00524561 +*CONN +*I *6264:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23479:A I *D sky130_fd_sc_hd__einvp_4 +*I *21328:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6264:DIODE 2.92403e-05 +2 *23479:A 0 +3 *21328:Y 0.000932685 +4 *1342:10 0.000961925 +5 *1342:10 *21328:A 6.50586e-05 +6 *1162:18 *1342:10 5.18094e-05 +7 *1169:11 *1342:10 0.000112277 +8 *1184:17 *1342:10 0.00116894 +9 *1187:9 *6264:DIODE 0.000123176 +10 *1205:14 *6264:DIODE 0.000277502 +11 *1205:14 *1342:10 8.12388e-06 +12 *1207:9 *1342:10 0.00151487 +13 *1210:8 *1342:10 0 +*RES +1 *21328:Y *1342:10 48.0998 +2 *1342:10 *23479:A 9.24915 +3 *1342:10 *6264:DIODE 12.191 +*END + +*D_NET *1343 0.00512357 +*CONN +*I *6266:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23480:A I *D sky130_fd_sc_hd__einvp_4 +*I *21329:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6266:DIODE 3.80182e-05 +2 *23480:A 0 +3 *21329:Y 0.000891747 +4 *1343:11 0.000929765 +5 *6266:DIODE *3351:10 4.88955e-05 +6 *1343:11 *1967:43 0.000542896 +7 *1343:11 *1968:55 0.000108088 +8 *1343:11 *3351:10 6.92705e-05 +9 *1162:7 *6266:DIODE 8.90486e-05 +10 *1162:7 *1343:11 0.000508175 +11 *1201:5 *1343:11 0.000307037 +12 *1205:5 *6266:DIODE 0.000268812 +13 *1205:5 *1343:11 0.00132182 +*RES +1 *21329:Y *1343:11 47.7168 +2 *1343:11 *23480:A 9.24915 +3 *1343:11 *6266:DIODE 12.191 +*END + +*D_NET *1344 0.00166395 +*CONN +*I *6268:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23481:A I *D sky130_fd_sc_hd__einvp_4 +*I *21330:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6268:DIODE 3.61537e-05 +2 *23481:A 0 +3 *21330:Y 0.00030892 +4 *1344:9 0.000345074 +5 *6268:DIODE *3352:13 5.69234e-05 +6 *1344:9 *4707:DIODE 8.75195e-05 +7 *1344:9 *1973:47 9.12416e-06 +8 *1344:9 *2115:31 0 +9 *1344:9 *2411:16 0 +10 *1344:9 *2433:14 0.000296714 +11 *1344:9 *3352:13 5.8973e-05 +12 *1188:23 *6268:DIODE 0.000161172 +13 *1188:23 *1344:9 0.000303375 +*RES +1 *21330:Y *1344:9 27.7301 +2 *1344:9 *23481:A 9.24915 +3 *1344:9 *6268:DIODE 11.0817 +*END + +*D_NET *1345 0.00280887 +*CONN +*I *6270:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23482:A I *D sky130_fd_sc_hd__einvp_4 +*I *21331:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6270:DIODE 0.000107596 +2 *23482:A 0 +3 *21331:Y 0.000386368 +4 *1345:10 0.000493964 +5 *6270:DIODE *2123:30 0.000108071 +6 *1345:10 *1981:23 0.000476867 +7 *1345:10 *2137:56 0.000530137 +8 *1345:10 *2813:30 0.000179945 +9 *1185:5 *1345:10 0.000525925 +*RES +1 *21331:Y *1345:10 33.6858 +2 *1345:10 *23482:A 9.24915 +3 *1345:10 *6270:DIODE 12.191 +*END + +*D_NET *1346 0.00142894 +*CONN +*I *23483:A I *D sky130_fd_sc_hd__einvp_2 +*I *6272:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21332:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23483:A 0.00011891 +2 *6272:DIODE 0 +3 *21332:Y 0.000337927 +4 *1346:10 0.000456837 +5 *23483:A *3354:7 0.000128091 +6 *1346:10 *3352:16 0 +7 *1346:10 *3358:14 0.000111915 +8 *1233:15 *1346:10 0.000275256 +*RES +1 *21332:Y *1346:10 25.9297 +2 *1346:10 *6272:DIODE 9.24915 +3 *1346:10 *23483:A 13.1796 +*END + +*D_NET *1347 0.036208 +*CONN +*I *23484:A I *D sky130_fd_sc_hd__einvp_4 +*I *6274:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21562:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23484:A 0.000141075 +2 *6274:DIODE 0 +3 *21562:Y 0 +4 *1347:25 0.00239664 +5 *1347:24 0.00279661 +6 *1347:15 0.00163197 +7 *1347:14 0.0011675 +8 *1347:5 0.00109182 +9 *1347:4 0.00101524 +10 *23484:A *3094:5 4.82966e-05 +11 *23484:A *3094:14 4.7654e-05 +12 *23484:A *3355:7 1.03434e-05 +13 *1347:5 *3752:DIODE 0.000224381 +14 *1347:5 *1367:9 0.00505309 +15 *1347:5 *1367:17 0.000918505 +16 *1347:5 *1452:9 0.000385798 +17 *1347:5 *3083:5 0.000444746 +18 *1347:14 *2009:45 2.01503e-05 +19 *1347:15 *22293:TE 0.000330596 +20 *1347:15 *1367:17 0.0016196 +21 *1347:15 *1452:9 8.14875e-05 +22 *1347:15 *1452:23 0.000476156 +23 *1347:15 *1452:27 0.00180222 +24 *1347:15 *2795:17 0.00304286 +25 *1347:15 *3100:19 0.000176586 +26 *1347:15 *3109:17 1.25841e-05 +27 *1347:15 *3109:19 0.000252073 +28 *1347:15 *3113:15 3.14978e-05 +29 *1347:24 *23534:A 7.08723e-06 +30 *1347:24 *2806:16 0.000165718 +31 *1347:24 *2836:39 5.33819e-05 +32 *1347:24 *2840:8 3.01155e-05 +33 *1347:24 *3411:14 1.15904e-05 +34 *1347:25 *3094:5 2.41483e-05 +35 *1347:25 *3435:15 0.00363637 +36 *22293:A *1347:15 6.50727e-05 +37 *1252:8 *1347:14 2.01503e-05 +38 *1336:5 *1347:5 0.00697492 +*RES +1 *21562:Y *1347:4 9.24915 +2 *1347:4 *1347:5 86.1323 +3 *1347:5 *1347:14 11.0518 +4 *1347:14 *1347:15 65.612 +5 *1347:15 *1347:24 21.0179 +6 *1347:24 *1347:25 40.1003 +7 *1347:25 *6274:DIODE 9.24915 +8 *1347:25 *23484:A 12.4803 +*END + +*D_NET *1348 0.00126882 +*CONN +*I *6276:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23485:A I *D sky130_fd_sc_hd__einvp_2 +*I *21333:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6276:DIODE 0 +2 *23485:A 0.000184832 +3 *21333:Y 0.0001587 +4 *1348:10 0.000343532 +5 *23485:A *23485:TE 0 +6 *1348:10 *2126:26 6.50727e-05 +7 *1348:10 *2134:44 6.92705e-05 +8 *1348:10 *2808:42 4.37999e-05 +9 *1348:10 *2808:46 3.20069e-06 +10 *1348:10 *3078:12 8.98279e-05 +11 *1348:10 *3352:16 0.000266199 +12 *1348:10 *3358:14 0 +13 *1194:11 *23485:A 4.43826e-05 +*RES +1 *21333:Y *1348:10 24.1266 +2 *1348:10 *23485:A 12.2151 +3 *1348:10 *6276:DIODE 9.24915 +*END + +*D_NET *1349 0.00550236 +*CONN +*I *6278:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23486:A I *D sky130_fd_sc_hd__einvp_4 +*I *21334:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6278:DIODE 7.50638e-05 +2 *23486:A 0 +3 *21334:Y 0.000538802 +4 *1349:17 0.000495211 +5 *1349:13 0.00095895 +6 *6278:DIODE *3357:7 0.000334808 +7 *1349:13 *2541:24 0 +8 *1349:13 *3038:20 0.000335971 +9 *1349:17 *21403:A 0.000268798 +10 *1349:17 *23486:TE 0.000122378 +11 *1349:17 *2120:38 1.00981e-05 +12 *1349:17 *3357:7 2.41483e-05 +13 *1349:17 *3358:13 0.00087607 +14 *1160:26 *1349:13 0.000697373 +15 *1178:5 *1349:13 6.50727e-05 +16 *1200:27 *1349:13 0.000699619 +*RES +1 *21334:Y *1349:13 36.5179 +2 *1349:13 *1349:17 14.6126 +3 *1349:17 *23486:A 9.24915 +4 *1349:17 *6278:DIODE 12.7456 +*END + +*D_NET *1350 0.0073802 +*CONN +*I *6280:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23487:A I *D sky130_fd_sc_hd__einvp_4 +*I *21335:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6280:DIODE 8.00743e-05 +2 *23487:A 0.000143935 +3 *21335:Y 0.000792022 +4 *1350:10 0.000535725 +5 *1350:7 0.00110374 +6 *6280:DIODE *2137:76 3.82228e-05 +7 *23487:A *2137:76 5.04829e-06 +8 *1350:7 *1733:7 0.00246081 +9 *1350:7 *1992:18 0.00101903 +10 *1350:7 *2825:21 4.59816e-06 +11 *1350:7 *2825:23 5.13712e-06 +12 *1350:10 *21365:A 5.05252e-05 +13 *1350:10 *2410:6 0.000586849 +14 *1350:10 *2967:24 0.000420464 +15 *1350:10 *3041:18 4.21771e-05 +16 *1185:5 *23487:A 1.40978e-05 +17 *1238:9 *1350:7 7.77573e-05 +*RES +1 *21335:Y *1350:7 46.6395 +2 *1350:7 *1350:10 15.8828 +3 *1350:10 *23487:A 11.9257 +4 *1350:10 *6280:DIODE 10.5271 +*END + +*D_NET *1351 0.0020928 +*CONN +*I *6282:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23488:A I *D sky130_fd_sc_hd__einvp_2 +*I *21336:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6282:DIODE 5.83756e-05 +2 *23488:A 0 +3 *21336:Y 0.000686207 +4 *1351:10 0.000744583 +5 *1351:10 *22397:B 0 +6 *1351:10 *23488:TE 0 +7 *1351:10 *3075:6 0 +8 *1188:9 *6282:DIODE 0.000171288 +9 *1231:19 *1351:10 0.000432344 +*RES +1 *21336:Y *1351:10 31.3308 +2 *1351:10 *23488:A 9.24915 +3 *1351:10 *6282:DIODE 11.0817 +*END + +*D_NET *1352 0.00938015 +*CONN +*I *6284:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23489:A I *D sky130_fd_sc_hd__einvp_4 +*I *21337:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6284:DIODE 8.04057e-05 +2 *23489:A 0 +3 *21337:Y 0.000310242 +4 *1352:20 0.00144687 +5 *1352:11 0.00167671 +6 *6284:DIODE *3360:7 0.000273166 +7 *1352:11 *2804:36 2.55493e-05 +8 *1352:11 *2812:50 9.60366e-05 +9 *1352:20 *4736:DIODE 6.08467e-05 +10 *1352:20 *2524:52 2.33193e-05 +11 *1352:20 *2820:41 2.95757e-05 +12 *1352:20 *3044:20 4.26859e-05 +13 *1352:20 *3075:6 0 +14 *1160:9 *1352:20 0.000743263 +15 *1160:15 *1352:20 6.35286e-05 +16 *1184:11 *1352:11 0.00225187 +17 *1200:13 *1352:11 0.00225608 +*RES +1 *21337:Y *1352:11 49.1525 +2 *1352:11 *1352:20 40.3278 +3 *1352:20 *23489:A 9.24915 +4 *1352:20 *6284:DIODE 12.191 +*END + +*D_NET *1353 0.00494587 +*CONN +*I *6286:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23490:A I *D sky130_fd_sc_hd__einvp_4 +*I *21338:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6286:DIODE 7.75737e-05 +2 *23490:A 0 +3 *21338:Y 0.00121108 +4 *1353:14 0.000549583 +5 *1353:11 0.00168308 +6 *6286:DIODE *3363:5 0.000277502 +7 *1353:11 *3528:DIODE 8.62269e-05 +8 *1353:11 *23485:TE 6.50727e-05 +9 *1353:14 *2410:6 0 +10 *1353:14 *3351:14 0 +11 *4468:DIODE *1353:11 0.000223595 +12 *1194:11 *1353:11 0.000695571 +13 *1204:8 *1353:14 7.65861e-05 +*RES +1 *21338:Y *1353:11 39.6226 +2 *1353:11 *1353:14 15.4675 +3 *1353:14 *23490:A 9.24915 +4 *1353:14 *6286:DIODE 12.191 +*END + +*D_NET *1354 0.000886727 +*CONN +*I *6288:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23491:A I *D sky130_fd_sc_hd__einvp_4 +*I *21339:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6288:DIODE 2.08357e-05 +2 *23491:A 0 +3 *21339:Y 0.000246396 +4 *1354:8 0.000267231 +5 *6288:DIODE *2596:11 0.000167076 +6 *6288:DIODE *3364:5 0.000167076 +7 *1354:8 *2410:6 0 +8 *1354:8 *2496:8 1.81126e-05 +9 *1354:8 *3351:14 0 +*RES +1 *21339:Y *1354:8 24.2337 +2 *1354:8 *23491:A 9.24915 +3 *1354:8 *6288:DIODE 11.0817 +*END + +*D_NET *1355 0.00286843 +*CONN +*I *6290:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23492:A I *D sky130_fd_sc_hd__einvp_2 +*I *21340:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6290:DIODE 3.18068e-05 +2 *23492:A 0 +3 *21340:Y 0.000861419 +4 *1355:10 0.000893225 +5 *6290:DIODE *1741:11 0.00011818 +6 *6290:DIODE *3365:7 0.000171288 +7 *1355:10 *1741:11 0.000263099 +8 *1355:10 *2134:44 0.000529412 +*RES +1 *21340:Y *1355:10 35.7732 +2 *1355:10 *23492:A 9.24915 +3 *1355:10 *6290:DIODE 11.0817 +*END + +*D_NET *1356 0.00091594 +*CONN +*I *6292:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23493:A I *D sky130_fd_sc_hd__einvp_2 +*I *21341:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6292:DIODE 5.82147e-05 +2 *23493:A 3.80166e-05 +3 *21341:Y 0.000275938 +4 *1356:10 0.000372169 +5 *1356:10 *3531:DIODE 0.000144695 +6 *1356:10 *2533:18 2.69064e-05 +7 *1356:10 *3023:18 0 +*RES +1 *21341:Y *1356:10 23.5776 +2 *1356:10 *23493:A 9.97254 +3 *1356:10 *6292:DIODE 10.5271 +*END + +*D_NET *1357 0.00144162 +*CONN +*I *23494:A I *D sky130_fd_sc_hd__einvp_4 +*I *6294:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21342:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23494:A 2.48219e-05 +2 *6294:DIODE 4.50555e-05 +3 *21342:Y 0.000170273 +4 *1357:6 0.000240151 +5 *6294:DIODE *3080:15 0.000314121 +6 *6294:DIODE *3367:5 0.000314121 +7 *23494:A *2823:48 5.41377e-05 +8 *23494:A *3075:6 0 +9 *1357:6 *2823:48 0.000278937 +10 *1357:6 *3075:6 0 +*RES +1 *21342:Y *1357:6 18.9032 +2 *1357:6 *6294:DIODE 17.2456 +3 *1357:6 *23494:A 14.7506 +*END + +*D_NET *1358 0.0102226 +*CONN +*I *23495:A I *D sky130_fd_sc_hd__einvp_4 +*I *6296:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21563:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23495:A 4.95304e-05 +2 *6296:DIODE 0 +3 *21563:Y 0 +4 *1358:8 0.00044918 +5 *1358:5 0.00266492 +6 *1358:4 0.00226527 +7 *23495:A *1463:15 0.000116764 +8 *23495:A *2379:26 1.06618e-05 +9 *23495:A *2829:27 0.000116764 +10 *23495:A *2895:14 4.04861e-05 +11 *1358:5 *3634:DIODE 0.000111708 +12 *1358:5 *3753:DIODE 0.000222149 +13 *1358:5 *3754:DIODE 0.00033061 +14 *1358:5 *3097:13 0.000854165 +15 *1358:5 *3097:15 0.00214058 +16 *1358:8 *2379:26 0.000168388 +17 *1358:8 *2895:14 0.000681416 +*RES +1 *21563:Y *1358:4 9.24915 +2 *1358:4 *1358:5 62.2844 +3 *1358:5 *1358:8 17.5438 +4 *1358:8 *6296:DIODE 13.7491 +5 *1358:8 *23495:A 16.0286 +*END + +*D_NET *1359 0.00581386 +*CONN +*I *23496:A I *D sky130_fd_sc_hd__einvp_4 +*I *6298:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21343:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23496:A 0.000127651 +2 *6298:DIODE 0 +3 *21343:Y 0.00193125 +4 *1359:8 0.0020589 +5 *23496:A *2673:8 0 +6 *23496:A *2825:29 2.6333e-05 +7 *1359:8 *3604:DIODE 3.07726e-05 +8 *1359:8 *6312:DIODE 7.97944e-05 +9 *1359:8 *1366:9 6.98314e-05 +10 *1359:8 *2673:8 0 +11 *1359:8 *2825:29 9.85201e-05 +12 *1359:8 *3024:29 0.00041959 +13 *1359:8 *3377:10 4.6284e-05 +14 *1162:7 *23496:A 6.73351e-05 +15 *1191:13 *1359:8 0.000681478 +16 *1195:5 *1359:8 0.000176125 +*RES +1 *21343:Y *1359:8 47.4961 +2 *1359:8 *6298:DIODE 13.7491 +3 *1359:8 *23496:A 16.9985 +*END + +*D_NET *1360 0.00105208 +*CONN +*I *6300:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23497:A I *D sky130_fd_sc_hd__einvp_4 +*I *21344:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6300:DIODE 0 +2 *23497:A 0.000111667 +3 *21344:Y 0.000226861 +4 *1360:5 0.000338528 +5 *23497:A *3074:10 0 +6 *1233:15 *1360:5 0.000375027 +*RES +1 *21344:Y *1360:5 13.3002 +2 *1360:5 *23497:A 20.4964 +3 *1360:5 *6300:DIODE 9.24915 +*END + +*D_NET *1361 0.000963834 +*CONN +*I *6302:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23498:A I *D sky130_fd_sc_hd__einvp_4 +*I *21345:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6302:DIODE 3.20119e-05 +2 *23498:A 0 +3 *21345:Y 0.000167553 +4 *1361:10 0.000199565 +5 *1361:10 *3076:8 4.70005e-05 +6 *1361:10 *3357:10 0.000122098 +7 *1183:9 *1361:10 0.000163428 +8 *1186:19 *6302:DIODE 6.73351e-05 +9 *1188:15 *6302:DIODE 0.000164843 +*RES +1 *21345:Y *1361:10 22.329 +2 *1361:10 *23498:A 9.24915 +3 *1361:10 *6302:DIODE 11.0817 +*END + +*D_NET *1362 0.00186247 +*CONN +*I *6304:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23499:A I *D sky130_fd_sc_hd__einvp_4 +*I *21346:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6304:DIODE 7.05707e-05 +2 *23499:A 0 +3 *21346:Y 0.000436124 +4 *1362:10 0.000506695 +5 *6304:DIODE *3371:11 9.9028e-05 +6 *1362:10 *3536:DIODE 0.000377134 +7 *1362:10 *2405:8 0 +8 *1362:10 *3359:8 0 +9 *1189:11 *1362:10 0.000372922 +*RES +1 *21346:Y *1362:10 31.6067 +2 *1362:10 *23499:A 9.24915 +3 *1362:10 *6304:DIODE 11.6364 +*END + +*D_NET *1363 0.00326333 +*CONN +*I *6306:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23500:A I *D sky130_fd_sc_hd__einvp_2 +*I *21347:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6306:DIODE 3.75319e-05 +2 *23500:A 0 +3 *21347:Y 0.00096784 +4 *1363:11 0.00100537 +5 *6306:DIODE *3374:7 0.000175485 +6 *1363:11 *3537:DIODE 2.76062e-05 +7 *1363:11 *23500:TE 6.92705e-05 +8 *1363:11 *23501:A 0.000271044 +9 *1363:11 *2134:48 0.000111722 +10 *1363:11 *3369:6 0.000528188 +11 *1363:11 *3374:7 6.92705e-05 +*RES +1 *21347:Y *1363:11 40.6491 +2 *1363:11 *23500:A 9.24915 +3 *1363:11 *6306:DIODE 11.0817 +*END + +*D_NET *1364 0.00166624 +*CONN +*I *23501:A I *D sky130_fd_sc_hd__einvp_4 +*I *6308:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21348:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23501:A 0.000174288 +2 *6308:DIODE 0 +3 *21348:Y 0.000346926 +4 *1364:8 0.000521214 +5 *23501:A *3537:DIODE 7.23987e-05 +6 *23501:A *21347:A 1.09551e-05 +7 *23501:A *2673:8 4.23937e-05 +8 *23501:A *2824:59 3.75217e-05 +9 *23501:A *3369:6 0 +10 *1364:8 *2673:8 0.000115374 +11 *1364:8 *3369:6 0 +12 *1161:13 *1364:8 7.41263e-05 +13 *1363:11 *23501:A 0.000271044 +*RES +1 *21348:Y *1364:8 21.4297 +2 *1364:8 *6308:DIODE 13.7491 +3 *1364:8 *23501:A 20.2109 +*END + +*D_NET *1365 0.00261772 +*CONN +*I *6310:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23502:A I *D sky130_fd_sc_hd__einvp_2 +*I *21349:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6310:DIODE 0.000188724 +2 *23502:A 0 +3 *21349:Y 0.000442037 +4 *1365:10 0.00063076 +5 *6310:DIODE *3089:15 0.00036174 +6 *1365:10 *3539:DIODE 9.90116e-05 +7 *1365:10 *21418:A 0 +8 *1365:10 *23502:TE 0 +9 *1236:15 *1365:10 0.000895445 +*RES +1 *21349:Y *1365:10 33.4155 +2 *1365:10 *23502:A 9.24915 +3 *1365:10 *6310:DIODE 14.964 +*END + +*D_NET *1366 0.00136605 +*CONN +*I *6312:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23503:A I *D sky130_fd_sc_hd__einvp_4 +*I *21350:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6312:DIODE 1.3257e-05 +2 *23503:A 0 +3 *21350:Y 0.000179136 +4 *1366:9 0.000192393 +5 *6312:DIODE *3377:10 0.000159322 +6 *1366:9 *6313:DIODE 3.31882e-05 +7 *1366:9 *1743:14 2.4815e-05 +8 *1366:9 *3022:20 0 +9 *1366:9 *3024:29 0.000315622 +10 *1366:9 *3377:10 0.000171288 +11 *1195:5 *1366:9 0.0001274 +12 *1359:8 *6312:DIODE 7.97944e-05 +13 *1359:8 *1366:9 6.98314e-05 +*RES +1 *21350:Y *1366:9 26.0719 +2 *1366:9 *23503:A 9.24915 +3 *1366:9 *6312:DIODE 11.0817 +*END + +*D_NET *1367 0.0181875 +*CONN +*I *23504:A I *D sky130_fd_sc_hd__einvp_4 +*I *6314:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21564:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23504:A 7.18334e-05 +2 *6314:DIODE 0 +3 *21564:Y 9.12118e-05 +4 *1367:18 0.000610475 +5 *1367:17 0.00216831 +6 *1367:9 0.00217718 +7 *1367:8 0.000638724 +8 *23504:A *2370:6 0 +9 *23504:A *2843:41 5.07314e-05 +10 *23504:A *2906:24 0 +11 *23504:A *3387:7 0.00011818 +12 *1367:8 *2807:12 0 +13 *1367:8 *2828:33 4.90264e-05 +14 *1367:9 *1452:9 0.00391476 +15 *1367:9 *3083:5 0.000498421 +16 *1367:17 *1452:9 2.39581e-05 +17 *1367:17 *2379:26 1.03986e-05 +18 *1367:17 *2895:14 5.41377e-05 +19 *1367:18 *2370:6 0 +20 *1367:18 *2843:41 0 +21 *1367:18 *2844:28 0.000118959 +22 *1347:5 *1367:9 0.00505309 +23 *1347:5 *1367:17 0.000918505 +24 *1347:15 *1367:17 0.0016196 +*RES +1 *21564:Y *1367:8 20.4964 +2 *1367:8 *1367:9 54.5199 +3 *1367:9 *1367:17 42.9551 +4 *1367:17 *1367:18 11.3828 +5 *1367:18 *6314:DIODE 13.7491 +6 *1367:18 *23504:A 16.4439 +*END + +*D_NET *1368 0.0356487 +*CONN +*I *23505:A I *D sky130_fd_sc_hd__einvp_2 +*I *6316:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21565:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23505:A 0.000146524 +2 *6316:DIODE 0 +3 *21565:Y 0.00109227 +4 *1368:34 0.000631289 +5 *1368:31 0.00117958 +6 *1368:23 0.00162848 +7 *1368:9 0.00558408 +8 *1368:7 0.00574268 +9 *23505:A *2865:51 4.37057e-05 +10 *1368:7 *6253:DIODE 0.000171288 +11 *1368:7 *6352:DIODE 0.000163428 +12 *1368:7 *3200:33 0.00238625 +13 *1368:9 *3108:9 0.000340334 +14 *1368:9 *3200:33 1.67988e-05 +15 *1368:9 *3200:37 0.00211446 +16 *1368:9 *3200:39 0.00238409 +17 *1368:23 *23508:A 0.00011818 +18 *1368:23 *23567:A 1.71784e-05 +19 *1368:23 *1371:39 0.000135042 +20 *1368:23 *3083:21 0.00316241 +21 *1368:23 *3108:9 0.000107534 +22 *1368:23 *3200:39 0.000976807 +23 *1368:23 *3447:11 0.00178156 +24 *1368:31 *23508:TE 0.000171288 +25 *1368:31 *3083:21 1.67988e-05 +26 *1368:31 *3093:27 0.000463301 +27 *1368:31 *3382:11 0.00250987 +28 *1368:31 *3382:15 0.00118175 +29 *1368:31 *3383:25 0.00123487 +30 *1368:34 *2366:12 0.000146855 +31 *1368:34 *3097:33 0 +32 *1368:34 *3151:58 0 +*RES +1 *21565:Y *1368:7 42.1637 +2 *1368:7 *1368:9 81.4182 +3 *1368:9 *1368:23 48.4314 +4 *1368:23 *1368:31 46.457 +5 *1368:31 *1368:34 13.8065 +6 *1368:34 *6316:DIODE 9.24915 +7 *1368:34 *23505:A 11.6605 +*END + +*D_NET *1369 0.0215024 +*CONN +*I *23506:A I *D sky130_fd_sc_hd__einvp_4 +*I *6318:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21566:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23506:A 3.6291e-05 +2 *6318:DIODE 0 +3 *21566:Y 6.46676e-05 +4 *1369:33 0.000362299 +5 *1369:31 0.000790897 +6 *1369:15 0.00144364 +7 *1369:8 0.00104342 +8 *23506:A *2157:24 7.97944e-05 +9 *23506:A *3096:17 0.000159322 +10 *1369:8 *2833:16 0.000115746 +11 *1369:8 *2836:8 4.84392e-05 +12 *1369:15 *3637:DIODE 2.16355e-05 +13 *1369:15 *21447:A 0.000109909 +14 *1369:15 *23130:A 0.000108087 +15 *1369:15 *1633:11 0.00014489 +16 *1369:15 *1938:26 0.000313068 +17 *1369:15 *2157:24 0.000691851 +18 *1369:15 *2162:35 0.000365037 +19 *1369:15 *2325:16 0.000997055 +20 *1369:15 *2378:18 3.42037e-06 +21 *1369:15 *2557:9 0.000143984 +22 *1369:15 *2884:28 1.00824e-05 +23 *1369:15 *3096:5 0.003157 +24 *1369:31 *6087:DIODE 4.88955e-05 +25 *1369:31 *21452:A 0.000271058 +26 *1369:31 *23390:A 0.000417189 +27 *1369:31 *1509:9 0.000217951 +28 *1369:31 *1997:35 5.49916e-05 +29 *1369:31 *2157:24 0.000964778 +30 *1369:31 *2873:22 5.84166e-05 +31 *1369:31 *3096:17 0.00289654 +32 *1369:33 *23138:A 0.000328363 +33 *1369:33 *1641:11 0.00238492 +34 *1369:33 *2157:24 0.000233034 +35 *1369:33 *2325:16 0.000141764 +36 *1369:33 *3096:17 0.00327393 +*RES +1 *21566:Y *1369:8 20.4964 +2 *1369:8 *1369:15 48.9411 +3 *1369:15 *1369:31 43.1499 +4 *1369:31 *1369:33 35.1088 +5 *1369:33 *6318:DIODE 9.24915 +6 *1369:33 *23506:A 11.6605 +*END + +*D_NET *1370 0.0182328 +*CONN +*I *6320:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23507:A I *D sky130_fd_sc_hd__einvp_4 +*I *21567:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6320:DIODE 6.73908e-05 +2 *23507:A 0.000206406 +3 *21567:Y 0.000710949 +4 *1370:19 0.00152906 +5 *1370:16 0.0028051 +6 *1370:11 0.002158 +7 *1370:7 0.00131912 +8 *6320:DIODE *3368:13 0.000111722 +9 *23507:A *3764:DIODE 7.09666e-06 +10 *23507:A *2470:58 1.24122e-05 +11 *23507:A *2497:8 3.50232e-05 +12 *23507:A *3101:9 0.000111722 +13 *23507:A *3105:7 4.31703e-05 +14 *23507:A *3188:44 0.00023155 +15 *1370:7 *3757:DIODE 0.000175485 +16 *1370:7 *3094:5 0.000815191 +17 *1370:7 *3152:11 0.000120008 +18 *1370:11 *23534:A 6.50727e-05 +19 *1370:11 *3094:5 0.00209757 +20 *1370:11 *3152:11 0.000106088 +21 *1370:16 *4775:DIODE 6.08467e-05 +22 *1370:16 *6375:DIODE 0.000164829 +23 *1370:16 *3094:5 0.000207743 +24 *1370:16 *3152:12 0 +25 *1370:16 *3188:35 0.00288713 +26 *1370:16 *3328:6 0.000122961 +27 *1370:16 *3423:12 0 +28 *1370:19 *3368:13 0.00206111 +*RES +1 *21567:Y *1370:7 31.0716 +2 *1370:7 *1370:11 22.9317 +3 *1370:11 *1370:16 49.3362 +4 *1370:16 *1370:19 26.8529 +5 *1370:19 *23507:A 24.2659 +6 *1370:19 *6320:DIODE 10.5271 +*END + +*D_NET *1371 0.0337771 +*CONN +*I *23508:A I *D sky130_fd_sc_hd__einvp_4 +*I *6322:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21568:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23508:A 8.58846e-05 +2 *6322:DIODE 0 +3 *21568:Y 0.000360663 +4 *1371:39 0.00177542 +5 *1371:34 0.00238812 +6 *1371:26 0.00135989 +7 *1371:17 0.00262617 +8 *1371:11 0.00257017 +9 *1371:7 0.000965971 +10 *1371:7 *3760:DIODE 0.000271058 +11 *1371:7 *21568:A 0.000167076 +12 *1371:7 *22285:TE 0.00011818 +13 *1371:7 *2318:78 1.4145e-05 +14 *1371:7 *2318:82 0.000440706 +15 *1371:7 *2324:52 0.0007238 +16 *1371:11 *2318:78 0.00358315 +17 *1371:11 *2324:48 0.00110816 +18 *1371:11 *2324:52 6.65413e-05 +19 *1371:11 *2834:31 0.000324166 +20 *1371:17 *3759:DIODE 0.000160018 +21 *1371:17 *1372:7 0.00272839 +22 *1371:17 *2322:20 0.000220531 +23 *1371:17 *2324:48 9.82896e-06 +24 *1371:17 *2834:31 0.000679181 +25 *1371:26 *2007:17 0.000133912 +26 *1371:26 *2845:34 0.000969396 +27 *1371:26 *2962:8 0.000130501 +28 *1371:34 *23396:A 0.000163414 +29 *1371:34 *1441:25 7.64432e-05 +30 *1371:34 *2320:36 0.00010238 +31 *1371:34 *2848:47 0.00247954 +32 *1371:34 *2850:33 0.000672359 +33 *1371:34 *2856:34 7.09666e-06 +34 *1371:34 *2973:21 2.29454e-05 +35 *1371:34 *3450:6 7.4235e-06 +36 *1371:39 *23589:A 6.97183e-05 +37 *1371:39 *2848:47 4.35419e-05 +38 *1371:39 *3176:30 0.000167909 +39 *1371:39 *3450:6 0 +40 *1371:39 *3459:6 0 +41 *4516:DIODE *1371:11 0.00043038 +42 *1169:89 *1371:34 0.00100472 +43 *1256:13 *1371:26 1.67988e-05 +44 *1256:15 *1371:26 0.00173365 +45 *1259:9 *1371:26 0.00016983 +46 *1260:11 *1371:26 0.00237467 +47 *1368:23 *23508:A 0.00011818 +48 *1368:23 *1371:39 0.000135042 +*RES +1 *21568:Y *1371:7 28.7085 +2 *1371:7 *1371:11 41.2336 +3 *1371:11 *1371:17 48.0576 +4 *1371:17 *1371:26 49.7729 +5 *1371:26 *1371:34 46.9595 +6 *1371:34 *1371:39 35.4459 +7 *1371:39 *6322:DIODE 9.24915 +8 *1371:39 *23508:A 11.9257 +*END + +*D_NET *1372 0.0223954 +*CONN +*I *23509:A I *D sky130_fd_sc_hd__einvp_4 +*I *6324:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21569:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23509:A 0.000119878 +2 *6324:DIODE 0 +3 *21569:Y 0.000279773 +4 *1372:25 0.00108649 +5 *1372:21 0.00150908 +6 *1372:13 0.00147912 +7 *1372:11 0.00132796 +8 *1372:7 0.000671081 +9 *23509:A *1388:8 6.48631e-05 +10 *23509:A *2380:8 1.27402e-05 +11 *23509:A *3113:17 0.000111708 +12 *23509:A *3113:28 2.69685e-05 +13 *1372:7 *2322:20 0.000228408 +14 *1372:7 *2324:48 0.00282814 +15 *1372:11 *3643:DIODE 0.000171288 +16 *1372:11 *3768:DIODE 6.50727e-05 +17 *1372:11 *2324:48 0.000296965 +18 *1372:13 *3768:DIODE 4.09471e-05 +19 *1372:13 *4784:DIODE 2.65831e-05 +20 *1372:13 *22419:A_N 4.58003e-05 +21 *1372:13 *22419:B 2.41483e-05 +22 *1372:13 *1382:14 0.00156452 +23 *1372:13 *1927:34 3.98402e-05 +24 *1372:13 *2324:36 0.000625709 +25 *1372:13 *2324:48 0.00249576 +26 *1372:21 *1382:15 0.000502975 +27 *1372:21 *2848:56 0.000353686 +28 *1372:21 *3121:6 0.000147421 +29 *1372:21 *3380:23 0.00246013 +30 *1372:25 *2014:27 0.000205546 +31 *1372:25 *2847:41 8.62625e-06 +32 *1372:25 *2852:34 0 +33 *1372:25 *2864:19 0 +34 *1372:25 *3113:17 0.000629908 +35 *1372:25 *3471:10 4.54859e-05 +36 *22296:A *1372:21 4.07765e-05 +37 *1260:16 *1372:21 0.000129614 +38 *1371:17 *1372:7 0.00272839 +*RES +1 *21569:Y *1372:7 39.9453 +2 *1372:7 *1372:11 10.7304 +3 *1372:11 *1372:13 45.6463 +4 *1372:13 *1372:21 43.3675 +5 *1372:21 *1372:25 23.5373 +6 *1372:25 *6324:DIODE 9.24915 +7 *1372:25 *23509:A 20.9439 +*END + +*D_NET *1373 0.0431558 +*CONN +*I *23510:A I *D sky130_fd_sc_hd__einvp_2 +*I *6326:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21570:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23510:A 3.54647e-05 +2 *6326:DIODE 0 +3 *21570:Y 0.000361384 +4 *1373:25 0.00346036 +5 *1373:23 0.00490948 +6 *1373:20 0.00354558 +7 *1373:14 0.00242239 +8 *23510:A *3111:15 5.56461e-05 +9 *1373:14 *2835:28 0.000114074 +10 *1373:14 *2838:6 2.2224e-05 +11 *1373:14 *3100:16 0.00145697 +12 *1373:14 *3104:6 6.73739e-06 +13 *1373:14 *3138:26 1.41291e-05 +14 *1373:14 *3188:7 0.00129029 +15 *1373:20 *2009:45 9.21921e-05 +16 *1373:20 *2324:48 0.00128777 +17 *1373:23 *22416:B 0.000357898 +18 *1373:23 *1430:13 0.000830277 +19 *1373:25 *6335:DIODE 0.000171273 +20 *1373:25 *22291:TE 0.00037645 +21 *1373:25 *23514:TE 0.000118166 +22 *1373:25 *1430:13 0.0016697 +23 *1373:25 *1452:40 0.000173056 +24 *1373:25 *1767:9 0.00066587 +25 *1373:25 *2333:14 0.000346541 +26 *1373:25 *2762:20 0.0021078 +27 *1373:25 *2851:35 0.00436064 +28 *1373:25 *3093:5 0.000484464 +29 *1373:25 *3093:14 9.79796e-06 +30 *1373:25 *3109:40 0.00365926 +31 *1373:25 *3111:5 0.000595449 +32 *1373:25 *3111:15 0.000203865 +33 *1373:25 *3176:29 0.00353072 +34 *1373:25 *3389:5 0.000113951 +35 *1373:25 *3389:13 0.00385681 +36 *22291:A *1373:25 6.50727e-05 +37 *1249:10 *1373:14 0.000144946 +38 *1253:8 *1373:20 0.00023907 +*RES +1 *21570:Y *1373:14 47.8538 +2 *1373:14 *1373:20 46.0746 +3 *1373:20 *1373:23 25.4274 +4 *1373:23 *1373:25 166.827 +5 *1373:25 *6326:DIODE 9.24915 +6 *1373:25 *23510:A 10.5271 +*END + +*D_NET *1374 0.0188676 +*CONN +*I *23511:A I *D sky130_fd_sc_hd__einvp_2 +*I *6328:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21571:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23511:A 9.09302e-05 +2 *6328:DIODE 0 +3 *21571:Y 0.000168613 +4 *1374:15 0.00480131 +5 *1374:14 0.00512823 +6 *1374:9 0.00196381 +7 *1374:8 0.00171458 +8 *23511:A *1403:11 5.80607e-05 +9 *1374:8 *2836:44 3.31733e-05 +10 *1374:8 *3164:16 6.4802e-05 +11 *1374:8 *3450:6 0 +12 *1374:9 *4563:DIODE 7.34948e-06 +13 *1374:9 *2385:41 0.00189309 +14 *1374:9 *2458:31 0.00010238 +15 *1374:14 *1378:11 0.00012788 +16 *1374:14 *2867:34 6.98546e-05 +17 *1374:14 *3093:30 0 +18 *1374:14 *3381:16 0.00011694 +19 *1374:15 *1403:11 0.00179877 +20 *1374:15 *2951:29 0.000727784 +*RES +1 *21571:Y *1374:8 22.1574 +2 *1374:8 *1374:9 47.8647 +3 *1374:9 *1374:14 18.3065 +4 *1374:14 *1374:15 77.2587 +5 *1374:15 *6328:DIODE 9.24915 +6 *1374:15 *23511:A 11.1059 +*END + +*D_NET *1375 0.0197065 +*CONN +*I *23512:A I *D sky130_fd_sc_hd__einvp_4 +*I *6330:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21553:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23512:A 4.39282e-05 +2 *6330:DIODE 0 +3 *21553:Y 0.00025545 +4 *1375:15 0.00261983 +5 *1375:13 0.00262576 +6 *1375:11 0.00392843 +7 *1375:10 0.00413402 +8 *23512:A *2818:19 0.000116 +9 *23512:A *2838:41 2.38044e-05 +10 *1375:10 *3743:DIODE 3.14978e-05 +11 *1375:10 *2317:13 0 +12 *1375:10 *2837:36 7.34948e-06 +13 *1375:10 *3152:8 2.37478e-05 +14 *1375:11 *3152:11 0.000418697 +15 *1375:15 *23556:A 0.000122378 +16 *1375:15 *2470:61 0.000112976 +17 *1375:15 *2818:19 0.00359889 +18 *1375:15 *2838:30 0.00102821 +19 *1375:15 *2838:41 0.000554699 +20 *4510:DIODE *1375:15 6.08467e-05 +21 *1291:9 *1375:10 0 +*RES +1 *21553:Y *1375:10 24.1266 +2 *1375:10 *1375:11 84.1912 +3 *1375:11 *1375:13 1.39857 +4 *1375:13 *1375:15 81.9728 +5 *1375:15 *6330:DIODE 9.24915 +6 *1375:15 *23512:A 11.1059 +*END + +*D_NET *1376 0.0177777 +*CONN +*I *23513:A I *D sky130_fd_sc_hd__einvp_2 +*I *6332:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21572:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23513:A 1.19501e-05 +2 *6332:DIODE 0 +3 *21572:Y 0.000497869 +4 *1376:9 0.000765012 +5 *1376:8 0.00125093 +6 *23513:A *2386:33 0.000118166 +7 *23513:A *3006:47 0.000102003 +8 *1376:8 *3188:44 9.65622e-05 +9 *1376:8 *3471:10 0 +10 *1376:9 *2384:21 0.00010238 +11 *1376:9 *2386:33 0.00741644 +12 *1376:9 *3006:47 0.00741644 +*RES +1 *21572:Y *1376:8 27.9709 +2 *1376:8 *1376:9 80.0317 +3 *1376:9 *6332:DIODE 9.24915 +4 *1376:9 *23513:A 10.5271 +*END + +*D_NET *1377 0.00126361 +*CONN +*I *23514:A I *D sky130_fd_sc_hd__einvp_8 +*I *6334:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21573:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23514:A 7.57709e-05 +2 *6334:DIODE 0 +3 *21573:Y 0.000360922 +4 *1377:8 0.000436693 +5 *23514:A *1452:40 0.000119171 +6 *23514:A *2773:20 0 +7 *1377:8 *3763:DIODE 6.92705e-05 +8 *1377:8 *21578:A 0 +9 *1377:8 *1452:40 1.07248e-05 +10 *1377:8 *1769:12 0.000118904 +11 *1377:8 *2318:54 6.50586e-05 +12 *1377:8 *2773:20 0 +13 *1377:8 *2845:37 0 +14 *1377:8 *3380:8 7.09666e-06 +*RES +1 *21573:Y *1377:8 21.7028 +2 *1377:8 *6334:DIODE 13.7491 +3 *1377:8 *23514:A 15.9964 +*END + +*D_NET *1378 0.0102861 +*CONN +*I *23515:A I *D sky130_fd_sc_hd__einvp_4 +*I *6336:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21574:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23515:A 0.000146359 +2 *6336:DIODE 0 +3 *21574:Y 0.00175782 +4 *1378:11 0.00135971 +5 *1378:7 0.00297117 +6 *23515:A *2773:15 4.19662e-05 +7 *1378:7 *3101:9 0.0028741 +8 *1378:11 *2013:31 7.09666e-06 +9 *1378:11 *2651:8 0 +10 *1378:11 *2773:15 0.000574612 +11 *1378:11 *2867:34 0.000104347 +12 *1378:11 *3381:16 0.000321005 +13 *1374:14 *1378:11 0.00012788 +*RES +1 *21574:Y *1378:7 44.9757 +2 *1378:7 *1378:11 27.7039 +3 *1378:11 *6336:DIODE 9.24915 +4 *1378:11 *23515:A 12.0704 +*END + +*D_NET *1379 0.0339484 +*CONN +*I *23516:A I *D sky130_fd_sc_hd__einvp_4 +*I *6338:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21575:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23516:A 7.52621e-05 +2 *6338:DIODE 0 +3 *21575:Y 0.000154732 +4 *1379:36 0.000485375 +5 *1379:27 0.00558627 +6 *1379:26 0.00572292 +7 *1379:20 0.00116066 +8 *1379:14 0.000768632 +9 *23516:A *1794:6 1.38653e-05 +10 *23516:A *1900:10 0.00011818 +11 *23516:A *2876:42 0 +12 *23516:A *3394:23 0.00011818 +13 *23516:A *3394:30 0 +14 *1379:14 *22295:TE 9.12416e-06 +15 *1379:14 *1771:8 1.5714e-05 +16 *1379:14 *2020:23 0.000102576 +17 *1379:14 *2329:58 0.000112424 +18 *1379:14 *2343:8 0.000102573 +19 *1379:14 *2929:24 1.96355e-05 +20 *1379:14 *3098:20 2.77419e-05 +21 *1379:14 *3138:47 0 +22 *1379:20 *3765:DIODE 0.000207266 +23 *1379:20 *1452:41 0.00290997 +24 *1379:20 *2851:40 3.40314e-05 +25 *1379:20 *2984:24 0.000101688 +26 *1379:20 *3098:23 0.00351727 +27 *1379:20 *3423:11 1.92336e-05 +28 *1379:26 *23589:TE 9.12416e-06 +29 *1379:26 *2006:13 1.91391e-05 +30 *1379:26 *2329:58 0.0011878 +31 *1379:26 *2343:8 0.000193001 +32 *1379:26 *2497:8 2.33103e-06 +33 *1379:26 *3017:19 0.00234216 +34 *1379:27 *3115:7 0.00831944 +35 *1379:36 *1387:30 2.85711e-05 +36 *1379:36 *1794:6 0.000100237 +37 *1379:36 *1927:33 6.22259e-05 +38 *1379:36 *2176:42 0.000215771 +39 *1379:36 *3131:9 8.53355e-05 +*RES +1 *21575:Y *1379:14 27.7608 +2 *1379:14 *1379:20 48.8995 +3 *1379:20 *1379:26 12.1218 +4 *1379:26 *1379:27 91.1238 +5 *1379:27 *1379:36 22.8732 +6 *1379:36 *6338:DIODE 13.7491 +7 *1379:36 *23516:A 16.4439 +*END + +*D_NET *1380 0.0278234 +*CONN +*I *23517:A I *D sky130_fd_sc_hd__einvp_2 +*I *6340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21576:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23517:A 0.000144729 +2 *6340:DIODE 0 +3 *21576:Y 0.000743758 +4 *1380:34 0.000221545 +5 *1380:29 0.00106125 +6 *1380:25 0.00146443 +7 *1380:22 0.00244997 +8 *1380:7 0.00271373 +9 *23517:A *1907:16 3.6455e-05 +10 *1380:7 *3766:DIODE 3.86121e-05 +11 *1380:7 *2829:21 0.00347346 +12 *1380:7 *3200:50 0.000685711 +13 *1380:7 *3378:16 0.000115848 +14 *1380:22 *21468:A 1.9026e-05 +15 *1380:22 *2582:16 8.62625e-06 +16 *1380:22 *2651:8 1.02986e-05 +17 *1380:22 *2829:21 3.07561e-05 +18 *1380:22 *2918:25 1.41976e-05 +19 *1380:22 *2918:31 1.41689e-05 +20 *1380:22 *3083:24 0 +21 *1380:22 *3378:23 0.00265268 +22 *1380:25 *21468:A 3.07848e-05 +23 *1380:25 *2587:31 6.50727e-05 +24 *1380:25 *2818:11 0.00363204 +25 *1380:25 *2829:9 0.000568539 +26 *1380:25 *2865:24 0.000258222 +27 *1380:25 *2918:25 1.5613e-05 +28 *1380:25 *3097:33 0.00184211 +29 *1380:29 *22441:B 1.92336e-05 +30 *1380:29 *2013:31 0.000112149 +31 *1380:29 *2784:6 0 +32 *1380:29 *2795:6 0.000317914 +33 *1380:29 *2873:18 0.000199333 +34 *1380:29 *3101:19 0.0019628 +35 *1380:29 *3105:15 0.000634174 +36 *1380:29 *3413:9 0.00188219 +37 *1380:34 *1944:17 9.96332e-05 +38 *1380:34 *3109:52 0.000103246 +39 *4560:DIODE *1380:22 0.000181116 +*RES +1 *21576:Y *1380:7 47.1551 +2 *1380:7 *1380:22 45.7908 +3 *1380:22 *1380:25 44.0456 +4 *1380:25 *1380:29 48.7816 +5 *1380:29 *1380:34 11.2472 +6 *1380:34 *6340:DIODE 9.24915 +7 *1380:34 *23517:A 11.6605 +*END + +*D_NET *1381 0.0311912 +*CONN +*I *23518:A I *D sky130_fd_sc_hd__einvp_2 +*I *6342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21577:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23518:A 0.000177467 +2 *6342:DIODE 0 +3 *21577:Y 3.4293e-05 +4 *1381:35 0.00105309 +5 *1381:31 0.00264234 +6 *1381:28 0.00228718 +7 *1381:17 0.00221591 +8 *1381:15 0.00289218 +9 *1381:11 0.00244093 +10 *1381:8 0.00127848 +11 *23518:A *3413:9 4.34469e-05 +12 *1381:8 *3083:20 0.000101148 +13 *1381:8 *3084:12 0.000101148 +14 *1381:11 *1783:8 0.000935664 +15 *1381:11 *2339:8 0.000104638 +16 *1381:11 *3097:24 0.00246286 +17 *1381:15 *4817:DIODE 6.50727e-05 +18 *1381:15 *1388:9 1.71627e-05 +19 *1381:15 *1783:8 0.000178097 +20 *1381:15 *1900:24 0.000497194 +21 *1381:17 *1388:9 0.00521886 +22 *1381:28 *22318:TE 7.09666e-06 +23 *1381:28 *1794:6 5.01835e-05 +24 *1381:28 *1883:22 3.91807e-05 +25 *1381:28 *1937:11 0.00064357 +26 *1381:28 *2175:24 0.00180774 +27 *1381:28 *2339:8 0.000461778 +28 *1381:28 *3029:34 0.00011867 +29 *1381:31 *1904:20 0.00281442 +30 *1381:31 *2866:46 1.00981e-05 +31 *1381:35 *1931:7 0.000122867 +32 *1381:35 *1933:7 0.000270887 +33 *1381:35 *2594:20 1.9101e-05 +34 *1381:35 *3251:32 0 +35 *1381:35 *3413:9 9.19886e-06 +36 *1271:10 *1381:11 6.92705e-05 +*RES +1 *21577:Y *1381:8 20.0811 +2 *1381:8 *1381:11 38.4606 +3 *1381:11 *1381:15 19.7367 +4 *1381:15 *1381:17 57.0157 +5 *1381:17 *1381:28 21.8226 +6 *1381:28 *1381:31 35.7266 +7 *1381:31 *1381:35 22.0045 +8 *1381:35 *6342:DIODE 9.24915 +9 *1381:35 *23518:A 12.625 +*END + +*D_NET *1382 0.0174588 +*CONN +*I *23519:A I *D sky130_fd_sc_hd__einvp_4 +*I *6344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21578:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23519:A 0.000160635 +2 *6344:DIODE 0 +3 *21578:Y 0.00149257 +4 *1382:18 0.000545214 +5 *1382:15 0.00389527 +6 *1382:14 0.00500327 +7 *23519:A *6325:DIODE 9.12416e-06 +8 *23519:A *2015:34 0.000211765 +9 *23519:A *3109:32 0.000160952 +10 *23519:A *3389:10 1.37385e-05 +11 *1382:14 *3768:DIODE 0.000169041 +12 *1382:14 *1885:28 0.000165037 +13 *1382:14 *3084:12 0 +14 *1382:15 *1384:7 0.00113095 +15 *1382:15 *2847:41 1.58551e-05 +16 *1382:15 *2848:56 0.000141262 +17 *1382:15 *3380:23 4.89898e-06 +18 *1382:18 *2015:34 0.000493482 +19 *1382:18 *2940:28 8.75913e-05 +20 *1382:18 *3389:10 3.5534e-06 +21 *1260:16 *1382:15 0.00168711 +22 *1372:13 *1382:14 0.00156452 +23 *1372:21 *1382:15 0.000502975 +*RES +1 *21578:Y *1382:14 49.2794 +2 *1382:14 *1382:15 58.4022 +3 *1382:15 *1382:18 14.637 +4 *1382:18 *6344:DIODE 13.7491 +5 *1382:18 *23519:A 18.4879 +*END + +*D_NET *1383 0.041342 +*CONN +*I *6346:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23520:A I *D sky130_fd_sc_hd__einvp_2 +*I *21579:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6346:DIODE 8.06686e-05 +2 *23520:A 0.000188907 +3 *21579:Y 4.96918e-05 +4 *1383:15 0.00108401 +5 *1383:9 0.0116498 +6 *1383:8 0.0108851 +7 *6346:DIODE *1411:11 2.23259e-05 +8 *23520:A *1410:13 0.000200794 +9 *23520:A *1899:13 0.000107496 +10 *23520:A *1901:18 0.000184508 +11 *23520:A *1909:7 0.000187984 +12 *1383:8 *2846:37 0 +13 *1383:8 *3093:14 7.50872e-05 +14 *1383:9 *1385:11 0.000318478 +15 *1383:9 *1387:34 0.00387956 +16 *1383:9 *1939:22 0.000825246 +17 *1383:9 *3109:47 0.00188797 +18 *1383:9 *3111:5 1.41853e-05 +19 *1383:9 *3111:15 7.6719e-06 +20 *1383:9 *3389:5 0.0056474 +21 *1383:9 *3394:12 0.00360417 +22 *1383:15 *1387:42 8.72256e-06 +23 *1383:15 *1411:11 0.000132248 +24 *1383:15 *1906:9 5.61734e-05 +25 *1383:15 *1923:20 6.22259e-05 +26 *1383:15 *2887:18 1.5714e-05 +27 *1383:15 *2887:33 0.000165775 +28 *1383:15 *3397:10 0 +*RES +1 *21579:Y *1383:8 19.6659 +2 *1383:8 *1383:9 189.289 +3 *1383:9 *1383:15 23.3302 +4 *1383:15 *23520:A 24.5446 +5 *1383:15 *6346:DIODE 10.5271 +*END + +*D_NET *1384 0.0237726 +*CONN +*I *23521:A I *D sky130_fd_sc_hd__einvp_2 +*I *6348:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21580:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23521:A 1.46253e-05 +2 *6348:DIODE 0 +3 *21580:Y 0.00120122 +4 *1384:23 0.000684209 +5 *1384:22 0.0013058 +6 *1384:15 0.00171633 +7 *1384:7 0.00228133 +8 *23521:A *2176:30 0.000100396 +9 *23521:A *3131:9 0.000114594 +10 *1384:7 *3770:DIODE 8.64351e-05 +11 *1384:7 *2581:9 0.000194668 +12 *1384:7 *2847:41 5.04829e-06 +13 *1384:7 *2866:15 0.0017021 +14 *1384:7 *2866:23 2.41445e-05 +15 *1384:7 *3380:23 0.000105969 +16 *1384:7 *3380:31 9.63852e-05 +17 *1384:15 *3790:DIODE 9.40969e-05 +18 *1384:15 *4581:DIODE 1.03403e-05 +19 *1384:15 *21600:A 0.000317707 +20 *1384:15 *1387:27 0.000252056 +21 *1384:15 *1793:11 0.000141022 +22 *1384:15 *2013:20 5.97411e-05 +23 *1384:15 *2175:24 0.000138614 +24 *1384:15 *2866:23 0.000375027 +25 *1384:15 *2871:38 0.000273676 +26 *1384:15 *3380:31 8.15039e-05 +27 *1384:22 *21476:A 1.49589e-05 +28 *1384:22 *1387:27 0.000825799 +29 *1384:22 *1793:11 2.77625e-06 +30 *1384:22 *1910:14 0.00103097 +31 *1384:22 *1911:37 0.000774084 +32 *1384:22 *1942:13 0 +33 *1384:22 *1944:10 1.05272e-06 +34 *1384:22 *2592:20 0 +35 *1384:22 *2593:18 1.05746e-05 +36 *1384:22 *2876:25 8.23984e-05 +37 *1384:23 *22325:TE 0.000214939 +38 *1384:23 *2176:30 0.00102189 +39 *1384:23 *2176:38 0.00222117 +40 *1384:23 *2176:42 0.00015616 +41 *1384:23 *3115:35 0.000167618 +42 *1384:23 *3131:9 0.00435902 +43 *4580:DIODE *1384:22 0.000167625 +44 *1281:9 *1384:22 0.000211478 +45 *1282:8 *1384:22 2.1203e-06 +46 *1382:15 *1384:7 0.00113095 +*RES +1 *21580:Y *1384:7 48.8189 +2 *1384:7 *1384:15 33.662 +3 *1384:15 *1384:22 34.2857 +4 *1384:22 *1384:23 48.4193 +5 *1384:23 *6348:DIODE 9.24915 +6 *1384:23 *23521:A 10.5271 +*END + +*D_NET *1385 0.0398094 +*CONN +*I *23522:A I *D sky130_fd_sc_hd__einvp_2 +*I *6350:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21581:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23522:A 0.000117712 +2 *6350:DIODE 0 +3 *21581:Y 0 +4 *1385:26 0.00187163 +5 *1385:22 0.002608 +6 *1385:11 0.00151916 +7 *1385:10 0.00102182 +8 *1385:5 0.00142219 +9 *1385:4 0.00106545 +10 *23522:A *1409:11 0.000322061 +11 *23522:A *1922:18 5.13902e-05 +12 *23522:A *1929:20 0.000322061 +13 *23522:A *2054:19 0 +14 *23522:A *3404:8 0 +15 *1385:5 *3771:DIODE 0.000164829 +16 *1385:5 *1772:11 0.000111708 +17 *1385:5 *1938:14 0.00553403 +18 *1385:5 *2318:48 0.00761453 +19 *1385:5 *2344:46 0.000895929 +20 *1385:10 *1937:11 0.000348017 +21 *1385:10 *2324:35 0 +22 *1385:10 *3119:18 0 +23 *1385:11 *1387:34 0.00382644 +24 *1385:11 *1939:22 0.00222606 +25 *1385:11 *3109:47 0.00192465 +26 *1385:11 *3111:17 0.000173521 +27 *1385:22 *1922:11 2.35827e-05 +28 *1385:22 *1923:11 7.50411e-05 +29 *1385:22 *2176:30 0.000296969 +30 *1385:22 *2333:14 0.00187982 +31 *1385:22 *2343:8 0.000235134 +32 *1385:22 *3149:29 0.000999922 +33 *1385:22 *3397:10 0.000105313 +34 *1385:22 *3400:8 0.000106696 +35 *1385:26 *1922:18 6.22259e-05 +36 *1385:26 *1924:14 0.00206945 +37 *1385:26 *1939:29 0.000400335 +38 *1385:26 *3147:15 9.5238e-05 +39 *1383:9 *1385:11 0.000318478 +*RES +1 *21581:Y *1385:4 9.24915 +2 *1385:4 *1385:5 85.5777 +3 *1385:5 *1385:10 16.6455 +4 *1385:10 *1385:11 50.6377 +5 *1385:11 *1385:22 22.5439 +6 *1385:22 *1385:26 35.4795 +7 *1385:26 *6350:DIODE 13.7491 +8 *1385:26 *23522:A 19.0776 +*END + +*D_NET *1386 0.0174075 +*CONN +*I *23523:A I *D sky130_fd_sc_hd__einvp_4 +*I *6352:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21554:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23523:A 0.000162161 +2 *6352:DIODE 0.000106968 +3 *21554:Y 0 +4 *1386:8 0.000790492 +5 *1386:5 0.00178957 +6 *1386:4 0.0012682 +7 *23523:A *2556:8 2.46823e-05 +8 *23523:A *3200:33 0.000262509 +9 *1386:5 *3744:DIODE 0.000375169 +10 *1386:5 *1408:15 6.59414e-05 +11 *1386:5 *2805:30 0.000689467 +12 *1386:5 *3098:7 0.00321853 +13 *1386:5 *3176:9 0.00813273 +14 *1386:8 *2556:8 0.000231477 +15 *22279:A *1386:5 0.00011818 +16 *1243:11 *1386:5 7.98171e-06 +17 *1368:7 *6352:DIODE 0.000163428 +*RES +1 *21554:Y *1386:4 9.24915 +2 *1386:4 *1386:5 87.2416 +3 *1386:5 *1386:8 16.298 +4 *1386:8 *6352:DIODE 15.5817 +5 *1386:8 *23523:A 18.1077 +*END + +*D_NET *1387 0.0414891 +*CONN +*I *23524:A I *D sky130_fd_sc_hd__einvp_4 +*I *6354:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21582:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23524:A 8.10383e-06 +2 *6354:DIODE 0 +3 *21582:Y 0.000125544 +4 *1387:42 0.00155144 +5 *1387:34 0.00215111 +6 *1387:30 0.0010385 +7 *1387:27 0.00164043 +8 *1387:13 0.00728813 +9 *1387:12 0.00620397 +10 *23524:A *2318:42 3.14978e-05 +11 *23524:A *2344:30 6.50727e-05 +12 *1387:12 *4531:DIODE 3.66797e-05 +13 *1387:12 *21582:A 1.8254e-05 +14 *1387:12 *1768:10 0.000110649 +15 *1387:12 *2318:54 0.000122378 +16 *1387:12 *2846:20 0 +17 *1387:12 *2850:36 0.000127711 +18 *1387:13 *21587:A 1.86334e-06 +19 *1387:13 *23578:TE 0.000162227 +20 *1387:13 *1916:12 0.00665932 +21 *1387:13 *2004:20 1.65872e-05 +22 *1387:13 *2848:47 0.00233731 +23 *1387:13 *2856:39 0.000134518 +24 *1387:27 *22446:A_N 6.50586e-05 +25 *1387:27 *1911:37 2.80017e-05 +26 *1387:27 *1938:14 0.000205101 +27 *1387:27 *2013:20 0.000176045 +28 *1387:27 *2318:48 0.000307667 +29 *1387:27 *2344:46 1.41853e-05 +30 *1387:27 *2870:34 6.53173e-05 +31 *1387:27 *3119:18 0 +32 *1387:30 *4839:DIODE 0 +33 *1387:30 *1938:11 7.26959e-06 +34 *1387:30 *1952:17 1.42472e-05 +35 *1387:30 *2876:30 7.26959e-06 +36 *1387:30 *2876:42 0.000106487 +37 *1387:34 *1922:11 7.60356e-05 +38 *1387:34 *1923:11 2.05972e-05 +39 *1387:34 *1939:22 3.03484e-05 +40 *1387:34 *3113:52 7.09666e-06 +41 *1387:42 *1924:13 0.00155933 +42 *1387:42 *1947:33 1.99996e-05 +43 *1387:42 *3147:14 8.43701e-05 +44 *1387:42 *3397:10 4.22029e-05 +45 *1379:36 *1387:30 2.85711e-05 +46 *1383:9 *1387:34 0.00387956 +47 *1383:15 *1387:42 8.72256e-06 +48 *1384:15 *1387:27 0.000252056 +49 *1384:22 *1387:27 0.000825799 +50 *1385:11 *1387:34 0.00382644 +*RES +1 *21582:Y *1387:12 22.7684 +2 *1387:12 *1387:13 106.653 +3 *1387:13 *1387:27 47.0191 +4 *1387:27 *1387:30 12.5608 +5 *1387:30 *1387:34 49.2053 +6 *1387:34 *1387:42 40.168 +7 *1387:42 *6354:DIODE 9.24915 +8 *1387:42 *23524:A 9.97254 +*END + +*D_NET *1388 0.0341515 +*CONN +*I *23525:A I *D sky130_fd_sc_hd__einvp_2 +*I *6356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21583:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23525:A 6.4395e-05 +2 *6356:DIODE 0 +3 *21583:Y 0.000457307 +4 *1388:16 0.000142588 +5 *1388:13 0.00815641 +6 *1388:11 0.00813051 +7 *1388:9 0.000840557 +8 *1388:8 0.00124558 +9 *23525:A *1413:9 2.41483e-05 +10 *23525:A *1422:11 6.50727e-05 +11 *23525:A *2065:27 0 +12 *23525:A *3403:6 0 +13 *23525:A *3426:7 0.00011818 +14 *1388:8 *2380:8 7.81902e-05 +15 *1388:8 *2918:34 0 +16 *1388:8 *3113:28 3.88655e-06 +17 *1388:9 *4824:DIODE 0.000113968 +18 *1388:9 *1900:24 0.00330697 +19 *1388:9 *2866:33 0.00067197 +20 *1388:9 *2868:15 0.00031994 +21 *1388:11 *2866:33 9.95922e-06 +22 *1388:13 *4585:DIODE 4.99063e-05 +23 *1388:13 *4862:DIODE 6.90268e-06 +24 *1388:13 *4863:DIODE 6.08467e-05 +25 *1388:13 *21608:A 3.16131e-05 +26 *1388:13 *21610:A 0.000106139 +27 *1388:13 *22318:TE 0.000262495 +28 *1388:13 *1417:8 0.000308818 +29 *1388:13 *1806:7 0.000270186 +30 *1388:13 *1901:10 5.99529e-06 +31 *1388:13 *1904:18 0.000747695 +32 *1388:13 *1904:20 0.000136528 +33 *1388:13 *1923:20 1.75637e-06 +34 *1388:13 *1923:24 0.00153931 +35 *1388:13 *1925:27 0.000387125 +36 *1388:13 *1937:28 0.000461969 +37 *1388:13 *2175:10 0.000137573 +38 *1388:13 *2866:33 3.0279e-05 +39 *1388:13 *2866:46 0.000441662 +40 *1388:13 *2879:41 7.92757e-06 +41 *1388:13 *2881:29 2.54369e-05 +42 *1388:16 *4863:DIODE 0 +43 *1388:16 *3403:6 0 +44 *22318:A *1388:13 2.4072e-05 +45 *22319:A *1388:13 5.67722e-05 +46 *23509:A *1388:8 6.48631e-05 +47 *1381:15 *1388:9 1.71627e-05 +48 *1381:17 *1388:9 0.00521886 +*RES +1 *21583:Y *1388:8 27.5557 +2 *1388:8 *1388:9 59.5114 +3 *1388:9 *1388:11 1.39857 +4 *1388:11 *1388:13 132.164 +5 *1388:13 *1388:16 5.91674 +6 *1388:16 *6356:DIODE 13.7491 +7 *1388:16 *23525:A 16.0286 +*END + +*D_NET *1389 0.0015789 +*CONN +*I *23526:A I *D sky130_fd_sc_hd__einvp_8 +*I *6358:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21584:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23526:A 1.98947e-05 +2 *6358:DIODE 0 +3 *21584:Y 0.000433427 +4 *1389:10 0.000453322 +5 *23526:A *2167:42 2.16355e-05 +6 *23526:A *2169:52 6.08467e-05 +7 *1389:10 *1891:15 0.000328363 +8 *1389:10 *2583:20 0 +9 *1389:10 *2871:16 6.34059e-07 +10 *1389:10 *2871:20 0.000260778 +*RES +1 *21584:Y *1389:10 27.3148 +2 *1389:10 *6358:DIODE 9.24915 +3 *1389:10 *23526:A 9.97254 +*END + +*D_NET *1390 0.0370646 +*CONN +*I *23527:A I *D sky130_fd_sc_hd__einvp_2 +*I *6360:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21585:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23527:A 0.000163561 +2 *6360:DIODE 4.51842e-05 +3 *21585:Y 0.000841234 +4 *1390:26 0.000743027 +5 *1390:23 0.00137837 +6 *1390:21 0.000867119 +7 *1390:19 0.00114338 +8 *1390:18 0.00196158 +9 *6360:DIODE *2318:28 6.08467e-05 +10 *23527:A *6365:DIODE 9.12416e-06 +11 *23527:A *2035:21 0.000183243 +12 *23527:A *2176:10 0.000152445 +13 *1390:18 *22314:TE 6.08467e-05 +14 *1390:18 *1392:13 0.000707855 +15 *1390:18 *1916:12 0.00166039 +16 *1390:18 *2586:12 4.49682e-05 +17 *1390:18 *2587:28 9.07689e-05 +18 *1390:18 *3119:15 8.82188e-05 +19 *1390:19 *2158:20 0.00500769 +20 *1390:19 *3106:27 0.00701947 +21 *1390:19 *3117:17 0.00182636 +22 *1390:19 *3125:23 6.6044e-05 +23 *1390:23 *2085:20 0.00206444 +24 *1390:23 *2137:24 0.00211106 +25 *1390:23 *2157:23 0.00133958 +26 *1390:23 *3106:19 2.7922e-05 +27 *1390:23 *3106:27 3.03541e-05 +28 *1390:23 *3125:23 0.00567411 +29 *1390:26 *2035:21 0.000712958 +30 *1390:26 *2175:10 1.4979e-05 +31 *1390:26 *2176:10 0.000756044 +32 *22314:A *1390:18 0.000211464 +*RES +1 *21585:Y *1390:18 49.3218 +2 *1390:18 *1390:19 78.9225 +3 *1390:19 *1390:21 0.578717 +4 *1390:21 *1390:23 63.3936 +5 *1390:23 *1390:26 20.8658 +6 *1390:26 *6360:DIODE 14.4725 +7 *1390:26 *23527:A 18.0727 +*END + +*D_NET *1391 0.0389917 +*CONN +*I *23528:A I *D sky130_fd_sc_hd__einvp_4 +*I *6362:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21586:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23528:A 6.9762e-05 +2 *6362:DIODE 0 +3 *21586:Y 4.33056e-05 +4 *1391:12 0.000537001 +5 *1391:9 0.00624015 +6 *1391:8 0.00581622 +7 *23528:A *1943:14 0.000171288 +8 *23528:A *2165:17 0.000171288 +9 *1391:8 *2006:13 3.34802e-05 +10 *1391:8 *2497:8 3.00073e-05 +11 *1391:9 *2157:24 0.0132842 +12 *1391:9 *2158:11 0.00253963 +13 *1391:9 *2178:8 0.00935161 +14 *1391:9 *2321:14 0.00011818 +15 *1391:9 *2337:10 0.000166889 +16 *1391:9 *3106:19 0.000233214 +17 *1391:9 *3106:25 0.000107496 +18 *1391:9 *3106:27 1.15389e-05 +19 *1391:12 *2085:25 7.09666e-06 +20 *1391:12 *2160:7 5.9351e-05 +*RES +1 *21586:Y *1391:8 19.6659 +2 *1391:8 *1391:9 185.406 +3 *1391:9 *1391:12 12.5608 +4 *1391:12 *6362:DIODE 13.7491 +5 *1391:12 *23528:A 16.5832 +*END + +*D_NET *1392 0.0366135 +*CONN +*I *23529:A I *D sky130_fd_sc_hd__einvp_2 +*I *6364:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21587:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23529:A 1.05082e-05 +2 *6364:DIODE 0 +3 *21587:Y 0.000385563 +4 *1392:37 0.000440582 +5 *1392:36 0.00135555 +6 *1392:30 0.0017075 +7 *1392:23 0.00165411 +8 *1392:13 0.00306149 +9 *1392:11 0.00257496 +10 *23529:A *1939:30 0.000102628 +11 *23529:A *3131:9 0.000118792 +12 *1392:11 *3777:DIODE 0.000464113 +13 *1392:11 *23578:TE 0.000127271 +14 *1392:11 *1916:12 0.000502967 +15 *1392:11 *2004:20 0.000166629 +16 *1392:13 *21474:A 4.0752e-05 +17 *1392:13 *21585:A 9.97706e-05 +18 *1392:13 *22314:TE 6.08467e-05 +19 *1392:13 *22445:B 1.19856e-05 +20 *1392:13 *1883:20 4.31539e-05 +21 *1392:13 *1916:10 4.95146e-05 +22 *1392:13 *1916:12 0.000739139 +23 *1392:13 *2591:19 4.58003e-05 +24 *1392:13 *2854:36 0.00078483 +25 *1392:23 *5893:DIODE 0.000160617 +26 *1392:23 *6149:DIODE 4.99536e-05 +27 *1392:23 *22445:B 4.89898e-06 +28 *1392:23 *22449:B 1.39864e-05 +29 *1392:23 *1540:5 0.000108054 +30 *1392:23 *1797:8 0.00133952 +31 *1392:23 *1932:25 0.00165047 +32 *1392:23 *1943:8 8.85931e-05 +33 *1392:23 *1946:13 2.97302e-05 +34 *1392:23 *2197:38 4.66492e-05 +35 *1392:23 *2875:38 4.17556e-05 +36 *1392:23 *2875:47 0.00175508 +37 *1392:23 *2879:33 6.3657e-05 +38 *1392:23 *2879:35 3.71935e-06 +39 *1392:30 *4844:DIODE 7.68891e-05 +40 *1392:30 *21480:A 1.72594e-05 +41 *1392:30 *22449:B 6.98337e-06 +42 *1392:30 *22451:B 0.000135973 +43 *1392:30 *22453:B 6.3657e-05 +44 *1392:30 *1799:6 6.11939e-05 +45 *1392:30 *1914:12 0.00065491 +46 *1392:30 *1918:7 6.25237e-05 +47 *1392:30 *1919:22 0.000114584 +48 *1392:30 *1927:16 0.00124491 +49 *1392:30 *1928:17 0.000154145 +50 *1392:30 *2879:33 4.58907e-05 +51 *1392:36 *23521:TE 4.15661e-05 +52 *1392:36 *1907:11 1.54479e-05 +53 *1392:36 *1909:7 5.11322e-06 +54 *1392:36 *1939:29 2.43314e-05 +55 *1392:36 *2028:17 7.09666e-06 +56 *1392:36 *3115:39 0.00125577 +57 *1392:37 *1939:30 0.00412258 +58 *1392:37 *2176:14 1.37669e-05 +59 *1392:37 *2176:30 1.41976e-05 +60 *1392:37 *2343:8 0.000133887 +61 *1392:37 *3131:9 0.00416923 +62 *22314:A *1392:13 0.000211464 +63 *1162:36 *1392:30 0.000216143 +64 *1169:76 *1392:11 0.000452353 +65 *1169:76 *1392:13 0.00261356 +66 *1285:10 *1392:23 6.50586e-05 +67 *1390:18 *1392:13 0.000707855 +*RES +1 *21587:Y *1392:11 29.3234 +2 *1392:11 *1392:13 70.3261 +3 *1392:13 *1392:23 40.896 +4 *1392:23 *1392:30 37.8865 +5 *1392:30 *1392:36 24.8659 +6 *1392:36 *1392:37 45.0917 +7 *1392:37 *6364:DIODE 9.24915 +8 *1392:37 *23529:A 10.5271 +*END + +*D_NET *1393 0.0505896 +*CONN +*I *23530:A I *D sky130_fd_sc_hd__einvp_4 +*I *6366:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21588:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23530:A 7.79737e-05 +2 *6366:DIODE 0 +3 *21588:Y 0.000640191 +4 *1393:44 0.000220779 +5 *1393:41 0.00155216 +6 *1393:40 0.00172631 +7 *1393:32 0.000971873 +8 *1393:28 0.00163311 +9 *1393:17 0.00324213 +10 *1393:16 0.00229424 +11 *1393:13 0.000670503 +12 *23530:A *1936:56 0.000217887 +13 *23530:A *1943:14 6.3657e-05 +14 *23530:A *1943:24 6.96232e-05 +15 *1393:13 *1896:27 0.000394812 +16 *1393:13 *1897:20 0.00027329 +17 *1393:13 *2020:22 0.000481887 +18 *1393:13 *2071:31 0.00194199 +19 *1393:13 *2093:22 0.00119053 +20 *1393:13 *2175:39 0.000167821 +21 *1393:13 *2717:21 0.000373047 +22 *1393:13 *2721:18 0 +23 *1393:13 *3122:15 4.88764e-06 +24 *1393:16 *1654:15 1.22858e-05 +25 *1393:16 *2580:16 3.77568e-05 +26 *1393:17 *1897:13 0.0043841 +27 *1393:17 *2004:10 4.38716e-05 +28 *1393:17 *2032:24 0 +29 *1393:17 *2188:30 0 +30 *1393:17 *2587:27 0.000112244 +31 *1393:17 *2995:72 0.000211478 +32 *1393:28 *2025:7 0.00152024 +33 *1393:28 *2161:12 0.000107496 +34 *1393:28 *2178:13 3.53424e-05 +35 *1393:28 *2332:58 0.000513994 +36 *1393:32 *1908:7 5.88009e-05 +37 *1393:32 *2178:8 0.00365129 +38 *1393:32 *2188:19 5.88009e-05 +39 *1393:32 *2337:10 0.00364706 +40 *1393:40 *1924:7 0.00012774 +41 *1393:40 *1927:24 2.1203e-06 +42 *1393:40 *2160:14 0.00094141 +43 *1393:40 *2188:19 1.75682e-05 +44 *1393:40 *2340:10 0.000944206 +45 *1393:41 *1406:9 0.000401316 +46 *1393:41 *2156:16 1.92172e-05 +47 *1393:41 *2156:25 0.00100524 +48 *1393:41 *2169:11 5.51483e-06 +49 *1393:41 *2173:10 0.00255528 +50 *1393:41 *2183:14 0.00388728 +51 *1393:41 *2336:8 3.29841e-05 +52 *1162:25 *1393:41 0.00787503 +53 *1163:27 *1393:41 2.57847e-05 +54 *1163:32 *23530:A 3.92776e-05 +55 *1163:32 *1393:44 0.000106152 +*RES +1 *21588:Y *1393:13 49.8414 +2 *1393:13 *1393:16 5.29386 +3 *1393:16 *1393:17 62.2844 +4 *1393:17 *1393:28 48.6876 +5 *1393:28 *1393:32 47.1262 +6 *1393:32 *1393:40 27.284 +7 *1393:40 *1393:41 98.8882 +8 *1393:41 *1393:44 7.57775 +9 *1393:44 *6366:DIODE 13.7491 +10 *1393:44 *23530:A 17.1378 +*END + +*D_NET *1394 0.0450427 +*CONN +*I *23531:A I *D sky130_fd_sc_hd__einvp_4 +*I *6368:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21589:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23531:A 1.48087e-05 +2 *6368:DIODE 0 +3 *21589:Y 0.000344732 +4 *1394:27 0.000379099 +5 *1394:21 0.00173291 +6 *1394:20 0.00167074 +7 *1394:17 0.000726908 +8 *1394:9 0.0062606 +9 *1394:8 0.00618054 +10 *23531:A *1985:22 0.000115934 +11 *23531:A *2146:16 9.97706e-05 +12 *1394:8 *1896:31 2.18741e-05 +13 *1394:8 *2858:33 0.00023782 +14 *1394:8 *3450:6 0 +15 *1394:9 *22431:B 1.03403e-05 +16 *1394:9 *23156:A 0.0002817 +17 *1394:9 *23275:A 1.43983e-05 +18 *1394:9 *23275:B 0.00013715 +19 *1394:9 *1659:9 6.50586e-05 +20 *1394:9 *2374:19 0.000665974 +21 *1394:9 *2374:29 5.08817e-05 +22 *1394:9 *2374:31 0.000182184 +23 *1394:9 *2394:17 0.00315063 +24 *1394:9 *2397:33 2.41274e-06 +25 *1394:9 *2717:17 0.00024195 +26 *1394:17 *2366:5 0.00242242 +27 *1394:17 *2374:11 0.000327905 +28 *1394:17 *2374:17 0.00156714 +29 *1394:17 *2875:21 0 +30 *1394:20 *23165:A 6.40861e-05 +31 *1394:20 *1668:18 0.000431451 +32 *1394:20 *2139:7 0.000485421 +33 *1394:21 *5914:DIODE 9.66247e-05 +34 *1394:21 *1980:10 0.00452237 +35 *1394:21 *2150:8 0.00324806 +36 *1394:21 *2152:34 0.00653558 +37 *1394:21 *2365:39 0.000299281 +38 *1394:27 *23305:B 0 +39 *1394:27 *1985:22 0.00033061 +40 *1394:27 *1991:9 6.52259e-05 +41 *1394:27 *2146:16 0.00033061 +42 *1394:27 *2152:23 6.97325e-05 +43 *1394:27 *2209:27 0 +44 *1394:27 *3262:32 0 +45 *4954:DIODE *1394:17 0.000331221 +46 *1147:37 *1394:17 0.000194565 +47 *1153:45 *1394:21 0.000535708 +48 *1157:65 *1394:8 0.000596247 +*RES +1 *21589:Y *1394:8 29.6319 +2 *1394:8 *1394:9 95.5606 +3 *1394:9 *1394:17 45.0341 +4 *1394:17 *1394:20 14.637 +5 *1394:20 *1394:21 96.6698 +6 *1394:21 *1394:27 19.3114 +7 *1394:27 *6368:DIODE 9.24915 +8 *1394:27 *23531:A 10.5271 +*END + +*D_NET *1395 0.0446951 +*CONN +*I *6370:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23532:A I *D sky130_fd_sc_hd__einvp_4 +*I *21590:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6370:DIODE 0.000418603 +2 *23532:A 3.28063e-05 +3 *21590:Y 0.000584523 +4 *1395:15 0.00509848 +5 *1395:14 0.00492452 +6 *1395:9 0.00168469 +7 *1395:7 0.00199177 +8 *6370:DIODE *1967:16 0.000472693 +9 *6370:DIODE *2368:11 3.39773e-05 +10 *6370:DIODE *2368:17 9.82896e-06 +11 *6370:DIODE *3409:19 6.50727e-05 +12 *23532:A *2368:11 1.09551e-05 +13 *1395:7 *3780:DIODE 0.000160617 +14 *1395:7 *21590:A 2.65667e-05 +15 *1395:7 *2000:14 0.000292777 +16 *1395:7 *2015:31 2.41483e-05 +17 *1395:7 *2651:19 0.000317707 +18 *1395:9 *2010:8 0.00798699 +19 *1395:9 *2015:31 0.0116529 +20 *1395:9 *2027:14 0.00109306 +21 *1395:9 *2063:10 0.000253488 +22 *1395:9 *2087:8 9.1829e-05 +23 *1395:9 *2181:49 0.00010238 +24 *1395:9 *2651:19 1.67988e-05 +25 *1395:14 *1671:21 9.34772e-05 +26 *1395:15 *2202:33 0.00017378 +27 *1395:15 *2368:17 0.0034085 +28 *1395:15 *2374:9 1.63804e-05 +29 *1395:15 *2374:11 0.0035841 +30 *22513:A *1395:15 7.16754e-05 +*RES +1 *21590:Y *1395:7 25.5256 +2 *1395:7 *1395:9 126.618 +3 *1395:9 *1395:14 14.5693 +4 *1395:14 *1395:15 82.8047 +5 *1395:15 *23532:A 10.2378 +6 *1395:15 *6370:DIODE 16.6278 +*END + +*D_NET *1396 0.00219898 +*CONN +*I *23533:A I *D sky130_fd_sc_hd__einvp_8 +*I *6372:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21591:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23533:A 0 +2 *6372:DIODE 0.000144298 +3 *21591:Y 0.000347044 +4 *1396:9 0.000491342 +5 *6372:DIODE *1888:23 0.000151436 +6 *1396:9 *1888:23 1.92336e-05 +7 *1396:9 *2004:19 0.000310665 +8 *1396:9 *2852:14 4.02959e-05 +9 *1396:9 *3271:6 0.000483206 +10 *1396:9 *3410:7 0.000211464 +*RES +1 *21591:Y *1396:9 30.4975 +2 *1396:9 *6372:DIODE 13.3002 +3 *1396:9 *23533:A 9.24915 +*END + +*D_NET *1397 0.0445613 +*CONN +*I *23534:A I *D sky130_fd_sc_hd__einvp_2 +*I *6374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21555:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23534:A 9.55267e-05 +2 *6374:DIODE 0 +3 *21555:Y 0.000580403 +4 *1397:9 0.00267597 +5 *1397:8 0.00316085 +6 *23534:A *2836:39 6.87503e-05 +7 *23534:A *3094:5 4.66492e-05 +8 *23534:A *3411:14 2.1203e-06 +9 *23534:A *3435:9 6.08467e-05 +10 *1397:8 *3126:8 0 +11 *1397:9 *4689:DIODE 9.18559e-06 +12 *1397:9 *1419:9 0.0101893 +13 *1397:9 *3094:5 0.0158283 +14 *1397:9 *3152:11 0.00148065 +15 *1397:9 *3435:9 0.0102612 +16 *4456:DIODE *1397:9 2.9373e-05 +17 *1347:24 *23534:A 7.08723e-06 +18 *1370:11 *23534:A 6.50727e-05 +*RES +1 *21555:Y *1397:8 32.1235 +2 *1397:8 *1397:9 220.346 +3 *1397:9 *6374:DIODE 9.24915 +4 *1397:9 *23534:A 21.1127 +*END + +*D_NET *1398 0.0120579 +*CONN +*I *23535:A I *D sky130_fd_sc_hd__einvp_2 +*I *6376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21592:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23535:A 1.19501e-05 +2 *6376:DIODE 0 +3 *21592:Y 0.00163122 +4 *1398:15 0.000565806 +5 *1398:11 0.00218507 +6 *23535:A *2458:17 0.000102003 +7 *23535:A *3436:23 0.000118166 +8 *1398:11 *3782:DIODE 0.000211478 +9 *1398:11 *4868:DIODE 0.000129368 +10 *1398:11 *4876:DIODE 2.41483e-05 +11 *1398:11 *22461:A_N 5.28741e-05 +12 *1398:11 *22465:A_N 2.16355e-05 +13 *1398:11 *2897:27 0.000134732 +14 *1398:11 *3154:7 0.000428682 +15 *1398:11 *3154:16 0.00029936 +16 *1398:15 *2458:17 0.00173425 +17 *1398:15 *2458:21 1.67988e-05 +18 *1398:15 *2475:31 0.000107534 +19 *1398:15 *2893:58 0.000525028 +20 *1398:15 *3106:8 0.000525028 +21 *1398:15 *3132:27 0.00073191 +22 *1398:15 *3436:23 0.00250088 +*RES +1 *21592:Y *1398:11 42.9502 +2 *1398:11 *1398:15 42.2573 +3 *1398:15 *6376:DIODE 9.24915 +4 *1398:15 *23535:A 10.5271 +*END + +*D_NET *1399 0.0143819 +*CONN +*I *6378:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23536:A I *D sky130_fd_sc_hd__einvp_8 +*I *21593:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6378:DIODE 3.27515e-05 +2 *23536:A 0.000210214 +3 *21593:Y 0.000335532 +4 *1399:11 0.00321824 +5 *1399:10 0.00331081 +6 *6378:DIODE *2918:25 6.50727e-05 +7 *23536:A *2818:8 0.000120548 +8 *23536:A *3100:20 0 +9 *23536:A *3385:12 0 +10 *1399:10 *3783:DIODE 0.000164829 +11 *1399:10 *1903:15 0.000151199 +12 *1399:10 *2651:8 3.07553e-05 +13 *1399:10 *3116:13 0.000535757 +14 *1399:11 *2918:25 0.00476749 +15 *1270:11 *1399:10 0.00143869 +*RES +1 *21593:Y *1399:10 37.0246 +2 *1399:10 *1399:11 52.3015 +3 *1399:11 *23536:A 22.5727 +4 *1399:11 *6378:DIODE 9.97254 +*END + +*D_NET *1400 0.00221938 +*CONN +*I *6380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23537:A I *D sky130_fd_sc_hd__einvp_2 +*I *21594:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6380:DIODE 2.37123e-05 +2 *23537:A 0 +3 *21594:Y 0.000516727 +4 *1400:10 0.000540439 +5 *6380:DIODE *2870:87 0.000164829 +6 *6380:DIODE *3414:7 0.000164829 +7 *1400:10 *1414:23 0.000469017 +8 *1400:10 *2381:18 0.000250856 +9 *1400:10 *3123:62 8.897e-05 +*RES +1 *21594:Y *1400:10 28.9786 +2 *1400:10 *23537:A 9.24915 +3 *1400:10 *6380:DIODE 11.0817 +*END + +*D_NET *1401 0.00363164 +*CONN +*I *6382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23538:A I *D sky130_fd_sc_hd__einvp_2 +*I *21595:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6382:DIODE 0 +2 *23538:A 3.5525e-05 +3 *21595:Y 0.000990738 +4 *1401:14 0.00102626 +5 *23538:A *3154:16 5.0715e-05 +6 *23538:A *3154:19 6.08467e-05 +7 *23538:A *3415:7 4.56667e-05 +8 *1401:14 *1404:43 0.000867845 +9 *1401:14 *1811:10 0 +10 *1401:14 *3142:20 0.000493827 +11 *1401:14 *3154:16 4.63742e-05 +12 *1401:14 *3154:19 7.68538e-06 +13 *1401:14 *3424:10 6.1578e-06 +*RES +1 *21595:Y *1401:14 47.9847 +2 *1401:14 *23538:A 11.0817 +3 *1401:14 *6382:DIODE 9.24915 +*END + +*D_NET *1402 0.0408637 +*CONN +*I *6384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23539:A I *D sky130_fd_sc_hd__einvp_2 +*I *21596:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6384:DIODE 2.32667e-05 +2 *23539:A 0 +3 *21596:Y 0.000377872 +4 *1402:45 0.000435955 +5 *1402:33 0.00345138 +6 *1402:31 0.0044411 +7 *1402:26 0.00234964 +8 *1402:13 0.00564722 +9 *1402:11 0.00507785 +10 *6384:DIODE *3157:5 0.000164815 +11 *6384:DIODE *3416:7 0.000148652 +12 *1402:11 *3786:DIODE 0.000417339 +13 *1402:11 *2385:31 0.000872033 +14 *1402:11 *3130:20 0.00127428 +15 *1402:13 *2385:31 2.77625e-06 +16 *1402:13 *2458:31 0.000101365 +17 *1402:13 *3130:23 0.00760859 +18 *1402:26 *4847:DIODE 7.09666e-06 +19 *1402:26 *1403:13 0.00193956 +20 *1402:26 *2046:19 9.12416e-06 +21 *1402:26 *2383:18 5.81618e-05 +22 *1402:26 *2439:13 1.88014e-05 +23 *1402:26 *2454:76 0.00071672 +24 *1402:26 *2951:23 0.000832107 +25 *1402:26 *2951:27 0.000144213 +26 *1402:26 *3029:30 0.000214054 +27 *1402:26 *3121:22 0.000167724 +28 *1402:31 *6386:DIODE 9.65932e-05 +29 *1402:31 *23540:TE 5.07314e-05 +30 *1402:31 *1403:19 9.46038e-05 +31 *1402:31 *2439:13 0.000200887 +32 *1402:31 *3418:10 0.000122378 +33 *1402:33 *3807:DIODE 6.50727e-05 +34 *1402:33 *21617:A 0.000171288 +35 *1402:33 *2438:25 0.000253421 +36 *1402:33 *2439:13 0.00148765 +37 *1402:33 *2897:33 0.000523693 +38 *1402:33 *3157:5 3.83172e-05 +39 *1402:45 *21292:A 4.82966e-05 +40 *1402:45 *2897:33 2.41483e-05 +41 *1402:45 *3157:5 0.000423928 +42 *1402:45 *3416:7 6.50727e-05 +43 *4610:DIODE *1402:33 0.000471523 +44 *1296:11 *1402:33 0.000224395 +*RES +1 *21596:Y *1402:11 30.4205 +2 *1402:11 *1402:13 83.3593 +3 *1402:13 *1402:26 48.7402 +4 *1402:26 *1402:31 27.1544 +5 *1402:31 *1402:33 80.309 +6 *1402:33 *1402:45 11.1162 +7 *1402:45 *23539:A 9.24915 +8 *1402:45 *6384:DIODE 11.0817 +*END + +*D_NET *1403 0.0199754 +*CONN +*I *6386:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23540:A I *D sky130_fd_sc_hd__einvp_4 +*I *21597:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6386:DIODE 3.57588e-05 +2 *23540:A 0 +3 *21597:Y 0.000583321 +4 *1403:19 0.000166326 +5 *1403:13 0.00122057 +6 *1403:11 0.00176162 +7 *1403:8 0.00125493 +8 *6386:DIODE *2439:13 0.000113197 +9 *6386:DIODE *3418:10 6.50586e-05 +10 *1403:8 *2784:6 0.000107824 +11 *1403:8 *3098:50 0.000226086 +12 *1403:8 *3130:20 3.83003e-05 +13 *1403:11 *2951:29 0.00435525 +14 *1403:13 *23511:TE 0.000217937 +15 *1403:13 *1933:10 0.00380698 +16 *1403:13 *2438:25 0.000110257 +17 *1403:13 *2439:13 9.82896e-06 +18 *1403:13 *2951:27 0.000305596 +19 *1403:13 *2951:29 0.000730625 +20 *1403:19 *23540:TE 0.000200704 +21 *1403:19 *2439:13 0.000653452 +22 *1403:19 *3418:10 2.41483e-05 +23 *23511:A *1403:11 5.80607e-05 +24 *1374:15 *1403:11 0.00179877 +25 *1402:26 *1403:13 0.00193956 +26 *1402:31 *6386:DIODE 9.65932e-05 +27 *1402:31 *1403:19 9.46038e-05 +*RES +1 *21597:Y *1403:8 31.2929 +2 *1403:8 *1403:11 47.8888 +3 *1403:11 *1403:13 56.1838 +4 *1403:13 *1403:19 8.39139 +5 *1403:19 *23540:A 9.24915 +6 *1403:19 *6386:DIODE 12.191 +*END + +*D_NET *1404 0.0401017 +*CONN +*I *23541:A I *D sky130_fd_sc_hd__einvp_2 +*I *6388:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21598:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23541:A 6.41269e-05 +2 *6388:DIODE 0 +3 *21598:Y 0.000281066 +4 *1404:43 0.000523111 +5 *1404:31 0.00188501 +6 *1404:29 0.00144906 +7 *1404:27 0.000835566 +8 *1404:26 0.000812532 +9 *1404:24 0.0014052 +10 *1404:13 0.00204712 +11 *1404:10 0.000922985 +12 *23541:A *3154:19 5.07314e-05 +13 *1404:10 *2470:53 0.000829308 +14 *1404:10 *2483:12 4.46342e-05 +15 *1404:10 *2829:6 1.24189e-05 +16 *1404:10 *3133:17 0.00083352 +17 *1404:10 *3394:30 1.79778e-05 +18 *1404:13 *23554:A 0.000213586 +19 *1404:13 *2129:47 0.00143022 +20 *1404:13 *2918:23 0.00193261 +21 *1404:13 *2918:25 3.16435e-05 +22 *1404:13 *3108:15 0.00296909 +23 *1404:24 *22448:B 1.12969e-05 +24 *1404:24 *1913:5 2.00098e-05 +25 *1404:24 *1915:7 2.91863e-05 +26 *1404:24 *1925:33 0.000139993 +27 *1404:24 *1928:28 7.09666e-06 +28 *1404:24 *1941:29 3.20069e-06 +29 *1404:24 *2866:47 0.00118399 +30 *1404:24 *2918:19 0.000466874 +31 *1404:24 *2918:23 0.000411263 +32 *1404:24 *3151:45 0.00117472 +33 *1404:24 *3396:6 1.37385e-05 +34 *1404:27 *4559:DIODE 0.00017407 +35 *1404:27 *1782:9 0.00011818 +36 *1404:27 *1925:39 0.00100981 +37 *1404:27 *2464:23 0.000382623 +38 *1404:27 *3413:21 0.002785 +39 *1404:27 *3413:23 0.000830262 +40 *1404:31 *1810:14 0.000306851 +41 *1404:31 *1813:10 0.000268812 +42 *1404:31 *2464:23 0.00010238 +43 *1404:31 *3154:7 0.00075365 +44 *1404:31 *3154:16 0.0024238 +45 *1404:31 *3413:23 0.00340553 +46 *1404:43 *2457:29 0.00104542 +47 *1404:43 *2464:23 0.00104539 +48 *1404:43 *2900:18 2.86353e-06 +49 *1404:43 *3117:6 2.01503e-05 +50 *1404:43 *3154:16 5.1493e-06 +51 *1404:43 *3154:19 0.000510349 +52 *1404:43 *3424:10 5.59546e-05 +53 *4604:DIODE *1404:31 0.000324843 +54 *1277:11 *1404:10 7.09666e-06 +55 *1293:13 *1404:27 0.000519356 +56 *1293:13 *1404:31 0.00108338 +57 *1401:14 *1404:43 0.000867845 +*RES +1 *21598:Y *1404:10 30.7846 +2 *1404:10 *1404:13 40.9563 +3 *1404:13 *1404:24 48.5552 +4 *1404:24 *1404:26 4.5 +5 *1404:26 *1404:27 50.0831 +6 *1404:27 *1404:29 0.578717 +7 *1404:29 *1404:31 68.9396 +8 *1404:31 *1404:43 31.6002 +9 *1404:43 *6388:DIODE 9.24915 +10 *1404:43 *23541:A 11.1059 +*END + +*D_NET *1405 0.00190029 +*CONN +*I *23542:A I *D sky130_fd_sc_hd__einvp_2 +*I *6390:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21599:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23542:A 9.00867e-05 +2 *6390:DIODE 0 +3 *21599:Y 0.000427712 +4 *1405:9 0.000517799 +5 *23542:A *3420:7 5.07314e-05 +6 *1405:9 *3789:DIODE 9.12416e-06 +7 *1405:9 *2870:92 0.000321547 +8 *1405:9 *3143:45 0.000347131 +9 *1405:9 *3217:8 4.20615e-05 +10 *1405:9 *3420:7 9.40969e-05 +11 *1405:9 *3424:14 0 +*RES +1 *21599:Y *1405:9 29.5276 +2 *1405:9 *6390:DIODE 9.24915 +3 *1405:9 *23542:A 11.1059 +*END + +*D_NET *1406 0.0509538 +*CONN +*I *6392:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23543:A I *D sky130_fd_sc_hd__einvp_2 +*I *21600:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6392:DIODE 0.000100318 +2 *23543:A 0 +3 *21600:Y 0.000153592 +4 *1406:12 0.000925806 +5 *1406:9 0.0154522 +6 *1406:8 0.0147803 +7 *6392:DIODE *2053:19 1.05855e-05 +8 *6392:DIODE *3421:8 9.12416e-06 +9 *6392:DIODE *3445:7 0.000162739 +10 *1406:8 *2017:18 9.0319e-05 +11 *1406:8 *2995:60 0.000234976 +12 *1406:9 *1905:40 0.000217701 +13 *1406:9 *1930:11 0.00335204 +14 *1406:9 *2123:20 0.000383767 +15 *1406:9 *2156:16 6.76778e-05 +16 *1406:9 *2169:11 0.00307766 +17 *1406:9 *2173:10 0.000132381 +18 *1406:9 *2174:19 0.000108607 +19 *1406:9 *2188:20 0.00411128 +20 *1406:9 *2197:35 7.64392e-05 +21 *1406:9 *2227:13 0.000736072 +22 *1406:12 *4889:DIODE 2.92246e-05 +23 *1406:12 *2050:17 0 +24 *1406:12 *2053:19 0.000112281 +25 *1163:23 *1406:9 0.00110257 +26 *1163:27 *1406:9 0.00117516 +27 *1164:43 *1406:9 0.00378685 +28 *1305:20 *6392:DIODE 0.000162739 +29 *1393:41 *1406:9 0.000401316 +*RES +1 *21600:Y *1406:8 22.9879 +2 *1406:8 *1406:9 249.74 +3 *1406:9 *1406:12 19.6201 +4 *1406:12 *23543:A 13.7491 +5 *1406:12 *6392:DIODE 16.9985 +*END + +*D_NET *1407 0.016914 +*CONN +*I *6394:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23544:A I *D sky130_fd_sc_hd__einvp_2 +*I *21601:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6394:DIODE 2.09358e-05 +2 *23544:A 0 +3 *21601:Y 0.000769839 +4 *1407:18 0.00048185 +5 *1407:9 0.00341914 +6 *1407:7 0.00372806 +7 *6394:DIODE *3137:13 7.48797e-05 +8 *6394:DIODE *3422:5 0.000171288 +9 *1407:7 *2450:43 0.000352055 +10 *1407:7 *2450:47 0.000260374 +11 *1407:9 *6430:DIODE 0.000164843 +12 *1407:9 *23562:TE 0.000224381 +13 *1407:9 *2446:34 0.000439074 +14 *1407:9 *2450:35 0.000636603 +15 *1407:9 *2450:37 0.00227215 +16 *1407:9 *2450:43 0.002446 +17 *1407:9 *2451:27 0.000220514 +18 *1407:18 *6430:DIODE 1.67988e-05 +19 *1407:18 *2450:35 0.00063421 +20 *1407:18 *3095:10 0 +21 *1407:18 *3129:30 0 +22 *1407:18 *3442:11 0.000580998 +*RES +1 *21601:Y *1407:7 22.1979 +2 *1407:7 *1407:9 91.6784 +3 *1407:9 *1407:18 23.2178 +4 *1407:18 *23544:A 9.24915 +5 *1407:18 *6394:DIODE 11.0817 +*END + +*D_NET *1408 0.0212314 +*CONN +*I *23545:A I *D sky130_fd_sc_hd__einvp_4 +*I *6396:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21556:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23545:A 0.00010147 +2 *6396:DIODE 0 +3 *21556:Y 0 +4 *1408:15 0.0026921 +5 *1408:14 0.00358905 +6 *1408:5 0.00364941 +7 *1408:4 0.00265099 +8 *23545:A *3098:20 5.56461e-05 +9 *1408:5 *3626:DIODE 0.00011818 +10 *1408:5 *3630:DIODE 2.1801e-05 +11 *1408:5 *3746:DIODE 9.9028e-05 +12 *1408:5 *4667:DIODE 0.00021714 +13 *1408:5 *21436:A 0.000118166 +14 *1408:5 *1836:11 0.000188255 +15 *1408:5 *2548:13 0.000271058 +16 *1408:5 *2923:30 0.000782786 +17 *1408:5 *3114:15 0.000419205 +18 *1408:14 *4752:DIODE 0.000164829 +19 *1408:14 *2372:42 8.62706e-05 +20 *1408:14 *2552:12 0.000213898 +21 *1408:14 *2923:30 0.000324664 +22 *1408:14 *3100:10 7.3242e-05 +23 *1408:15 *3638:DIODE 0.00041102 +24 *1408:15 *4505:DIODE 0.000150632 +25 *1408:15 *22416:A_N 0.000197103 +26 *1408:15 *1755:9 0.000260374 +27 *1408:15 *2842:40 0.00164269 +28 *1408:15 *3098:7 0.00185623 +29 *1408:15 *3098:20 0.000424308 +30 *22279:A *1408:15 5.04829e-06 +31 *1239:11 *1408:5 0.000380889 +32 *1386:5 *1408:15 6.59414e-05 +*RES +1 *21556:Y *1408:4 9.24915 +2 *1408:4 *1408:5 70.6034 +3 *1408:5 *1408:14 30.2827 +4 *1408:14 *1408:15 81.6955 +5 *1408:15 *6396:DIODE 9.24915 +6 *1408:15 *23545:A 12.2392 +*END + +*D_NET *1409 0.0243688 +*CONN +*I *6398:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23546:A I *D sky130_fd_sc_hd__einvp_4 +*I *21602:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6398:DIODE 0.000102577 +2 *23546:A 0 +3 *21602:Y 0.000658287 +4 *1409:16 0.000179964 +5 *1409:11 0.00574926 +6 *1409:10 0.00633016 +7 *6398:DIODE *3424:7 0.000258142 +8 *1409:10 *3792:DIODE 0.000263135 +9 *1409:10 *4593:DIODE 6.36477e-05 +10 *1409:10 *1907:11 2.42671e-05 +11 *1409:10 *1909:7 6.63534e-05 +12 *1409:10 *1939:22 0.000257848 +13 *1409:10 *1939:29 2.10081e-05 +14 *1409:10 *2470:43 0.000901256 +15 *1409:10 *3147:15 4.0752e-05 +16 *1409:10 *3149:18 0.00067013 +17 *1409:11 *1900:10 0.000213725 +18 *1409:11 *1929:20 0.00109314 +19 *1409:11 *1929:24 0.00616769 +20 *1409:16 *2388:29 0.000110696 +21 *1409:16 *3125:26 2.65314e-05 +22 *4592:DIODE *1409:10 0.000386218 +23 *23522:A *1409:11 0.000322061 +24 *1287:9 *1409:10 0.000461917 +*RES +1 *21602:Y *1409:10 44.0923 +2 *1409:10 *1409:11 99.9974 +3 *1409:11 *1409:16 11.2472 +4 *1409:16 *23546:A 9.24915 +5 *1409:16 *6398:DIODE 12.191 +*END + +*D_NET *1410 0.0400589 +*CONN +*I *6400:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23547:A I *D sky130_fd_sc_hd__einvp_2 +*I *21603:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6400:DIODE 1.90458e-05 +2 *23547:A 0 +3 *21603:Y 0 +4 *1410:29 0.000235321 +5 *1410:25 0.00173052 +6 *1410:19 0.00584265 +7 *1410:17 0.00565299 +8 *1410:13 0.00190486 +9 *1410:7 0.00146884 +10 *1410:4 0.00088856 +11 *6400:DIODE *2454:35 0.000152128 +12 *6400:DIODE *3153:29 0.00016763 +13 *1410:7 *1906:10 0.00409829 +14 *1410:7 *1921:8 0.000315492 +15 *1410:7 *1940:25 0.00233866 +16 *1410:13 *22434:A_N 2.57986e-05 +17 *1410:13 *1899:13 1.41853e-05 +18 *1410:13 *1903:7 1.12792e-05 +19 *1410:13 *1918:7 0 +20 *1410:13 *1925:27 2.51591e-05 +21 *1410:13 *1941:26 0.00116467 +22 *1410:13 *3113:52 0 +23 *1410:13 *3133:21 0.00174593 +24 *1410:17 *6347:DIODE 0.000166751 +25 *1410:17 *6410:DIODE 0.000419571 +26 *1410:17 *23552:TE 6.3657e-05 +27 *1410:17 *1415:11 0.00085194 +28 *1410:17 *1899:13 0.00062178 +29 *1410:17 *2341:36 0.000130331 +30 *1410:17 *3040:27 0.000149641 +31 *1410:17 *3133:21 1.92172e-05 +32 *1410:17 *3133:29 0.000562545 +33 *1410:19 *1941:36 0.00024354 +34 *1410:19 *2341:36 0.00010238 +35 *1410:19 *2866:53 0.000741699 +36 *1410:19 *3133:29 7.68538e-06 +37 *1410:19 *3133:31 0.00429607 +38 *1410:25 *3785:DIODE 0.000150632 +39 *1410:25 *1941:36 0.000896502 +40 *1410:25 *2866:53 0.00192213 +41 *1410:29 *23547:TE 6.97621e-06 +42 *1410:29 *1413:37 1.37385e-05 +43 *1410:29 *1941:41 0.000140018 +44 *1410:29 *2053:19 2.5166e-05 +45 *1410:29 *2454:35 0.000261013 +46 *1410:29 *3153:29 0.000261013 +47 *1410:29 *3160:10 2.1203e-06 +48 *23520:A *1410:13 0.000200794 +*RES +1 *21603:Y *1410:4 9.24915 +2 *1410:4 *1410:7 49.5917 +3 *1410:7 *1410:13 28.5669 +4 *1410:13 *1410:17 43.452 +5 *1410:17 *1410:19 75.5949 +6 *1410:19 *1410:25 49.6158 +7 *1410:25 *1410:29 11.3501 +8 *1410:29 *23547:A 9.24915 +9 *1410:29 *6400:DIODE 11.0817 +*END + +*D_NET *1411 0.00800417 +*CONN +*I *23548:A I *D sky130_fd_sc_hd__einvp_8 +*I *6402:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21604:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23548:A 2.51758e-05 +2 *6402:DIODE 0 +3 *21604:Y 0.0003148 +4 *1411:11 0.000810689 +5 *1411:10 0.00110031 +6 *23548:A *1413:9 0.000122378 +7 *1411:10 *1900:10 6.50727e-05 +8 *1411:10 *1929:19 6.51637e-05 +9 *1411:10 *1937:28 4.00504e-05 +10 *1411:10 *1940:25 6.22259e-05 +11 *1411:10 *3111:26 0 +12 *1411:10 *3391:18 7.48897e-05 +13 *1411:11 *1413:9 0.00334038 +14 *1411:11 *1903:8 0.000124975 +15 *1411:11 *1906:10 0.00170349 +16 *6346:DIODE *1411:11 2.23259e-05 +17 *1383:15 *1411:11 0.000132248 +*RES +1 *21604:Y *1411:10 24.9571 +2 *1411:10 *1411:11 40.1003 +3 *1411:11 *6402:DIODE 9.24915 +4 *1411:11 *23548:A 10.5271 +*END + +*D_NET *1412 0.00176149 +*CONN +*I *23549:A I *D sky130_fd_sc_hd__einvp_8 +*I *6404:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21605:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23549:A 8.08978e-05 +2 *6404:DIODE 0 +3 *21605:Y 0.000571713 +4 *1412:11 0.000652611 +5 *23549:A *2973:15 0.000122378 +6 *1412:11 *3795:DIODE 0.000122875 +7 *1412:11 *1933:15 8.72256e-06 +8 *1412:11 *2870:76 1.05272e-06 +9 *1412:11 *2951:23 0 +10 *1412:11 *2973:15 3.6408e-05 +11 *1412:11 *3396:6 0 +12 *1412:11 *3402:23 0.000164829 +*RES +1 *21605:Y *1412:11 28.8687 +2 *1412:11 *6404:DIODE 9.24915 +3 *1412:11 *23549:A 11.9257 +*END + +*D_NET *1413 0.0371018 +*CONN +*I *6406:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23550:A I *D sky130_fd_sc_hd__einvp_4 +*I *21606:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6406:DIODE 3.60379e-05 +2 *23550:A 0 +3 *21606:Y 0.00011991 +4 *1413:37 0.000486195 +5 *1413:23 0.00128631 +6 *1413:22 0.00147002 +7 *1413:9 0.0028249 +8 *1413:8 0.00231095 +9 *6406:DIODE *1940:36 0.000164829 +10 *6406:DIODE *3167:5 0.000271058 +11 *6406:DIODE *3429:9 3.57037e-05 +12 *1413:8 *3111:26 0.000158009 +13 *1413:8 *3113:52 0.000154584 +14 *1413:9 *3676:DIODE 0.000213725 +15 *1413:9 *6403:DIODE 7.48797e-05 +16 *1413:9 *21613:A 0.000169862 +17 *1413:9 *1421:8 0.000706559 +18 *1413:9 *1422:11 0.000789099 +19 *1413:9 *1903:8 0.000415306 +20 *1413:9 *1925:33 0.00171719 +21 *1413:9 *3142:11 0.000372569 +22 *1413:9 *3426:7 0.000561321 +23 *1413:9 *3426:16 0.000181615 +24 *1413:22 *3426:16 0.0026793 +25 *1413:22 *3426:17 2.16355e-05 +26 *1413:23 *22331:TE 5.56461e-05 +27 *1413:23 *1817:11 0.000980597 +28 *1413:23 *3156:7 0.00582748 +29 *1413:23 *3426:17 0.00573448 +30 *1413:37 *22341:TE 0.000110306 +31 *1413:37 *1817:11 7.94022e-05 +32 *1413:37 *1941:41 7.09666e-06 +33 *1413:37 *2053:19 0 +34 *1413:37 *2899:53 0.000514123 +35 *1413:37 *3136:24 0.000157519 +36 *1413:37 *3167:5 0.000440828 +37 *1413:37 *3429:9 2.16355e-05 +38 *1413:37 *3431:13 0.000861518 +39 *4608:DIODE *1413:23 3.03184e-05 +40 *23525:A *1413:9 2.41483e-05 +41 *23548:A *1413:9 0.000122378 +42 *1294:8 *1413:9 0.000694434 +43 *1294:8 *1413:22 0.000864183 +44 *1410:29 *1413:37 1.37385e-05 +45 *1411:11 *1413:9 0.00334038 +*RES +1 *21606:Y *1413:8 21.7421 +2 *1413:8 *1413:9 85.5777 +3 *1413:9 *1413:22 41.1933 +4 *1413:22 *1413:23 73.3765 +5 *1413:23 *1413:37 30.235 +6 *1413:37 *23550:A 9.24915 +7 *1413:37 *6406:DIODE 12.191 +*END + +*D_NET *1414 0.0277709 +*CONN +*I *6408:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23551:A I *D sky130_fd_sc_hd__einvp_4 +*I *21607:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6408:DIODE 6.39712e-05 +2 *23551:A 0 +3 *21607:Y 0.000380307 +4 *1414:36 0.00125086 +5 *1414:25 0.0040715 +6 *1414:23 0.00314479 +7 *1414:20 0.000707969 +8 *1414:7 0.000828104 +9 *6408:DIODE *3157:5 0.000224395 +10 *1414:7 *3797:DIODE 0.000164829 +11 *1414:7 *2385:22 0.00235885 +12 *1414:7 *2434:11 0.000133887 +13 *1414:7 *2865:58 0.000263789 +14 *1414:7 *2885:38 0.00114724 +15 *1414:7 *3130:23 0.000759458 +16 *1414:20 *3801:DIODE 0.000321919 +17 *1414:20 *2434:11 0.000220514 +18 *1414:20 *2865:58 0.00275562 +19 *1414:20 *2885:38 1.67988e-05 +20 *1414:20 *3029:8 5.20878e-05 +21 *1414:20 *3029:21 0.00234589 +22 *1414:20 *3130:35 7.68538e-06 +23 *1414:20 *3405:8 1.84334e-05 +24 *1414:23 *3784:DIODE 0.000270995 +25 *1414:23 *2865:58 0.000678547 +26 *1414:23 *3130:35 0.000236206 +27 *1414:25 *21488:A 1.82679e-05 +28 *1414:25 *3130:35 9.21514e-05 +29 *1414:25 *3130:45 0.00154196 +30 *1414:25 *3158:17 6.1818e-05 +31 *1414:36 *2041:19 9.28915e-06 +32 *1414:36 *2458:17 0.00130741 +33 *1414:36 *2475:31 0.000108607 +34 *1414:36 *3131:18 0 +35 *1414:36 *3133:43 3.12925e-05 +36 *1414:36 *3142:20 0.000173692 +37 *1414:36 *3151:10 0 +38 *1414:36 *3412:11 1.62341e-05 +39 *1414:36 *3430:8 5.22654e-06 +40 *4614:DIODE *1414:25 7.34948e-06 +41 *1296:11 *1414:25 0.00118607 +42 *1298:11 *1414:25 0.000317877 +43 *1400:10 *1414:23 0.000469017 +*RES +1 *21607:Y *1414:7 42.1637 +2 *1414:7 *1414:20 43.0084 +3 *1414:20 *1414:23 14.058 +4 *1414:23 *1414:25 48.9739 +5 *1414:25 *1414:36 41.0961 +6 *1414:36 *23551:A 9.24915 +7 *1414:36 *6408:DIODE 11.6364 +*END + +*D_NET *1415 0.00514478 +*CONN +*I *6410:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23552:A I *D sky130_fd_sc_hd__einvp_8 +*I *21608:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6410:DIODE 6.06281e-05 +2 *23552:A 0 +3 *21608:Y 0.000561244 +4 *1415:11 0.000621872 +5 *6410:DIODE *3133:29 0.000323141 +6 *1415:11 *23552:TE 6.3657e-05 +7 *1415:11 *1899:9 9.14208e-05 +8 *1415:11 *3040:27 0.000137573 +9 *1415:11 *3133:29 0.00200289 +10 *1292:6 *1415:11 1.08377e-05 +11 *1410:17 *6410:DIODE 0.000419571 +12 *1410:17 *1415:11 0.00085194 +*RES +1 *21608:Y *1415:11 46.4714 +2 *1415:11 *23552:A 9.24915 +3 *1415:11 *6410:DIODE 13.8548 +*END + +*D_NET *1416 0.0387758 +*CONN +*I *6412:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23553:A I *D sky130_fd_sc_hd__einvp_2 +*I *21609:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6412:DIODE 2.37123e-05 +2 *23553:A 0 +3 *21609:Y 0 +4 *1416:20 8.10214e-05 +5 *1416:15 0.00762077 +6 *1416:13 0.00854194 +7 *1416:7 0.00140958 +8 *1416:4 0.000431099 +9 *6412:DIODE *2450:19 0.000164829 +10 *6412:DIODE *3432:8 0.000164829 +11 *1416:7 *3799:DIODE 0.000175485 +12 *1416:7 *1418:9 0.00286904 +13 *1416:7 *2468:27 0.000220514 +14 *1416:7 *3127:15 0.000496145 +15 *1416:7 *3418:11 0.00420822 +16 *1416:13 *3029:8 0 +17 *1416:13 *3122:30 0.000220058 +18 *1416:13 *3148:9 0.00230198 +19 *1416:15 *2079:27 0.00017378 +20 *1416:15 *2474:19 0.000152056 +21 *1416:15 *3148:9 0.00232355 +22 *1416:15 *3148:13 0.0069695 +23 *1416:20 *3146:20 0.000115573 +24 *1416:20 *3273:8 0.000112148 +*RES +1 *21609:Y *1416:4 9.24915 +2 *1416:4 *1416:7 49.5917 +3 *1416:7 *1416:13 33.4204 +4 *1416:13 *1416:15 132.996 +5 *1416:15 *1416:20 11.2472 +6 *1416:20 *23553:A 9.24915 +7 *1416:20 *6412:DIODE 11.0817 +*END + +*D_NET *1417 0.00259089 +*CONN +*I *23554:A I *D sky130_fd_sc_hd__einvp_8 +*I *6414:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21610:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23554:A 0.000108921 +2 *6414:DIODE 0 +3 *21610:Y 0.000457763 +4 *1417:8 0.000566684 +5 *23554:A *2470:52 9.70097e-06 +6 *23554:A *2918:25 8.90472e-05 +7 *23554:A *3389:26 5.78953e-05 +8 *1417:8 *1904:20 0.000312951 +9 *1417:8 *1920:7 0 +10 *1417:8 *2470:52 2.00098e-05 +11 *1417:8 *3389:26 0.000424417 +12 *1270:28 *23554:A 4.42742e-06 +13 *1270:28 *1417:8 1.66736e-05 +14 *1388:13 *1417:8 0.000308818 +15 *1404:13 *23554:A 0.000213586 +*RES +1 *21610:Y *1417:8 30.0191 +2 *1417:8 *6414:DIODE 13.7491 +3 *1417:8 *23554:A 17.5531 +*END + +*D_NET *1418 0.0318153 +*CONN +*I *6416:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23555:A I *D sky130_fd_sc_hd__einvp_2 +*I *21611:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6416:DIODE 2.74935e-05 +2 *23555:A 0 +3 *21611:Y 0.000818308 +4 *1418:14 0.000161512 +5 *1418:9 0.00311666 +6 *1418:8 0.00380095 +7 *6416:DIODE *3146:19 7.22498e-05 +8 *6416:DIODE *3157:5 0.000164829 +9 *1418:8 *2984:14 1.18458e-05 +10 *1418:8 *3006:18 0 +11 *1418:8 *3118:16 0 +12 *1418:8 *3130:34 1.66771e-05 +13 *1418:8 *3146:10 0 +14 *1418:9 *4882:DIODE 0.00041971 +15 *1418:9 *22468:B 1.65872e-05 +16 *1418:9 *2125:20 0.000292147 +17 *1418:9 *2461:47 0.000108607 +18 *1418:9 *3127:15 0.00599541 +19 *1418:9 *3418:11 0.000994423 +20 *1418:9 *3418:15 0.00518353 +21 *1418:9 *3427:13 0.000523306 +22 *1418:9 *3427:15 0.000476105 +23 *1418:9 *3430:9 0.0063655 +24 *1418:14 *3251:6 0.000174578 +25 *1418:14 *3434:8 0.000175352 +26 *1290:10 *1418:8 3.0457e-05 +27 *1416:7 *1418:9 0.00286904 +*RES +1 *21611:Y *1418:8 32.5387 +2 *1418:8 *1418:9 172.651 +3 *1418:9 *1418:14 12.9083 +4 *1418:14 *23555:A 9.24915 +5 *1418:14 *6416:DIODE 11.0817 +*END + +*D_NET *1419 0.0253225 +*CONN +*I *23556:A I *D sky130_fd_sc_hd__einvp_4 +*I *6418:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21557:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23556:A 8.14962e-05 +2 *6418:DIODE 0 +3 *21557:Y 0.00016494 +4 *1419:14 0.000135827 +5 *1419:9 0.00679059 +6 *1419:8 0.0069012 +7 *1419:9 *3152:11 0.000861643 +8 *1419:14 *2818:22 7.50872e-05 +9 *1419:14 *3435:8 0 +10 *1375:15 *23556:A 0.000122378 +11 *1397:9 *1419:9 0.0101893 +*RES +1 *21557:Y *1419:8 22.1574 +2 *1419:8 *1419:9 119.963 +3 *1419:9 *1419:14 10.4167 +4 *1419:14 *6418:DIODE 9.24915 +5 *1419:14 *23556:A 11.9257 +*END + +*D_NET *1420 0.00245569 +*CONN +*I *6420:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23557:A I *D sky130_fd_sc_hd__einvp_8 +*I *21612:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6420:DIODE 0 +2 *23557:A 0.000117966 +3 *21612:Y 0.00048778 +4 *1420:9 0.000605746 +5 *23557:A *3402:16 0.000122497 +6 *1420:9 *3802:DIODE 7.58067e-06 +7 *1420:9 *22452:B 0.000141564 +8 *1420:9 *1798:10 0.00020972 +9 *1420:9 *1918:10 0.000409618 +10 *1420:9 *2882:39 4.58897e-06 +11 *1420:9 *3402:16 0.000348632 +*RES +1 *21612:Y *1420:9 32.8609 +2 *1420:9 *23557:A 12.7697 +3 *1420:9 *6420:DIODE 9.24915 +*END + +*D_NET *1421 0.00234323 +*CONN +*I *23558:A I *D sky130_fd_sc_hd__einvp_8 +*I *6422:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21613:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23558:A 0.000213602 +2 *6422:DIODE 0 +3 *21613:Y 0.000463263 +4 *1421:8 0.000676865 +5 *23558:A *6425:DIODE 2.21587e-05 +6 *23558:A *2065:27 0.000198558 +7 *1421:8 *2065:27 6.22259e-05 +8 *1413:9 *1421:8 0.000706559 +*RES +1 *21613:Y *1421:8 22.5445 +2 *1421:8 *6422:DIODE 13.7491 +3 *1421:8 *23558:A 18.4879 +*END + +*D_NET *1422 0.00354804 +*CONN +*I *6424:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23559:A I *D sky130_fd_sc_hd__einvp_8 +*I *21614:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6424:DIODE 8.71632e-05 +2 *23559:A 0 +3 *21614:Y 0.000520223 +4 *1422:11 0.000607386 +5 *6424:DIODE *3437:7 0.000267344 +6 *6424:DIODE *3438:15 0.000375801 +7 *1422:11 *3401:6 9.32331e-05 +8 *1422:11 *3426:7 0.00032189 +9 *1422:11 *3437:7 2.15348e-05 +10 *1422:11 *3438:15 0.00037211 +11 *23525:A *1422:11 6.50727e-05 +12 *1293:13 *1422:11 2.71885e-05 +13 *1413:9 *1422:11 0.000789099 +*RES +1 *21614:Y *1422:11 35.9392 +2 *1422:11 *23559:A 9.24915 +3 *1422:11 *6424:DIODE 14.6023 +*END + +*D_NET *1423 0.0231888 +*CONN +*I *23560:A I *D sky130_fd_sc_hd__einvp_4 +*I *6426:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21615:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23560:A 0.00011684 +2 *6426:DIODE 0 +3 *21615:Y 0.000298629 +4 *1423:14 0.000392509 +5 *1423:9 0.0020628 +6 *1423:8 0.00208576 +7 *23560:A *3436:23 2.85274e-05 +8 *1423:8 *22461:B 0 +9 *1423:8 *2446:14 0 +10 *1423:8 *2891:18 1.9101e-05 +11 *1423:8 *2891:36 1.83423e-05 +12 *1423:8 *3413:31 1.4979e-05 +13 *1423:9 *22460:A_N 6.08467e-05 +14 *1423:9 *1431:9 0.00211189 +15 *1423:9 *1820:15 0.00139432 +16 *1423:9 *3133:37 0.00442644 +17 *1423:9 *3144:5 0.000122239 +18 *1423:9 *3144:13 0.00237398 +19 *1423:9 *3413:31 0.00240269 +20 *1423:9 *3424:11 0.00517614 +21 *1423:14 *3145:16 8.27573e-05 +*RES +1 *21615:Y *1423:8 23.4032 +2 *1423:8 *1423:9 124.4 +3 *1423:9 *1423:14 15.3998 +4 *1423:14 *6426:DIODE 9.24915 +5 *1423:14 *23560:A 11.5158 +*END + +*D_NET *1424 0.00310186 +*CONN +*I *6428:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23561:A I *D sky130_fd_sc_hd__einvp_4 +*I *21616:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6428:DIODE 2.69286e-05 +2 *23561:A 0 +3 *21616:Y 0.000495443 +4 *1424:10 0.000522371 +5 *6428:DIODE *3148:9 0.00027329 +6 *6428:DIODE *3441:5 0.00027329 +7 *1424:10 *2440:15 0.000530123 +8 *1424:10 *2870:89 0.000534349 +9 *1424:10 *3106:8 0 +10 *1424:10 *3129:30 0.000446068 +*RES +1 *21616:Y *1424:10 33.6858 +2 *1424:10 *23561:A 9.24915 +3 *1424:10 *6428:DIODE 12.191 +*END + +*D_NET *1425 0.00307911 +*CONN +*I *23562:A I *D sky130_fd_sc_hd__einvp_8 +*I *6430:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21617:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23562:A 5.6763e-05 +2 *6430:DIODE 0.000260026 +3 *21617:Y 0.000548988 +4 *1425:8 0.000865777 +5 *6430:DIODE *3442:11 0.000222149 +6 *23562:A *3073:6 5.65123e-05 +7 *23562:A *3125:26 2.00098e-05 +8 *1425:8 *2439:13 0.000370676 +9 *1425:8 *3073:6 0.000372256 +10 *1425:8 *3125:26 0.000124315 +11 *1407:9 *6430:DIODE 0.000164843 +12 *1407:18 *6430:DIODE 1.67988e-05 +*RES +1 *21617:Y *1425:8 25.8609 +2 *1425:8 *6430:DIODE 18.3548 +3 *1425:8 *23562:A 15.1659 +*END + +*D_NET *1426 0.00230345 +*CONN +*I *6432:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23563:A I *D sky130_fd_sc_hd__einvp_2 +*I *21289:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6432:DIODE 0 +2 *23563:A 0.000169609 +3 *21289:Y 0.000717195 +4 *1426:9 0.000886804 +5 *23563:A *3443:10 5.67857e-05 +6 *1426:9 *2439:13 5.04926e-05 +7 *1426:9 *2444:8 0 +8 *1426:9 *2893:81 0.000261428 +9 *1426:9 *3159:32 0.000100318 +10 *1426:9 *3406:8 0 +11 *1426:9 *3443:10 6.08167e-05 +*RES +1 *21289:Y *1426:9 32.44 +2 *1426:9 *23563:A 12.2151 +3 *1426:9 *6432:DIODE 9.24915 +*END + +*D_NET *1427 0.0323459 +*CONN +*I *23564:A I *D sky130_fd_sc_hd__einvp_2 +*I *6434:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21290:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23564:A 0.000125097 +2 *6434:DIODE 0 +3 *21290:Y 0.000224315 +4 *1427:18 0.00150442 +5 *1427:9 0.00939547 +6 *1427:8 0.00824046 +7 *23564:A *3456:13 2.44829e-05 +8 *1427:8 *2047:33 0 +9 *1427:8 *2901:32 9.44224e-05 +10 *1427:9 *21295:A 4.0752e-05 +11 *1427:9 *22344:TE 2.16355e-05 +12 *1427:9 *23568:TE 4.95737e-05 +13 *1427:9 *2089:30 1.41291e-05 +14 *1427:9 *2089:34 3.49349e-05 +15 *1427:9 *2464:11 0.000101365 +16 *1427:9 *2901:33 0.00484875 +17 *1427:9 *3154:19 3.95516e-05 +18 *1427:9 *3170:5 0.00629335 +19 *1427:9 *3170:12 2.34052e-05 +20 *1427:9 *3448:7 8.89824e-05 +21 *1427:18 *3161:26 4.25324e-05 +22 *1427:18 *3168:24 6.22063e-05 +23 *1427:18 *3170:12 0.000854804 +24 *1427:18 *3428:8 0 +25 *1427:18 *3448:7 0.000221222 +*RES +1 *21290:Y *1427:8 23.4032 +2 *1427:8 *1427:9 139.929 +3 *1427:9 *1427:18 45.4047 +4 *1427:18 *6434:DIODE 9.24915 +5 *1427:18 *23564:A 11.5158 +*END + +*D_NET *1428 0.00628333 +*CONN +*I *6436:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23565:A I *D sky130_fd_sc_hd__einvp_8 +*I *21291:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6436:DIODE 0.000577634 +2 *23565:A 0.000104631 +3 *21291:Y 0.00113068 +4 *1428:12 0.00181294 +5 *6436:DIODE *2047:33 1.23614e-05 +6 *6436:DIODE *3141:35 1.74249e-05 +7 *6436:DIODE *3317:23 0.000723077 +8 *23565:A *3147:25 0.000234494 +9 *1428:12 *1948:67 7.09666e-06 +10 *1428:12 *2047:29 6.22364e-05 +11 *1428:12 *2047:33 4.07761e-05 +12 *1428:12 *2072:17 2.05342e-06 +13 *1428:12 *2318:18 0.000944095 +14 *1137:71 *23565:A 0.000561763 +15 *1305:16 *6436:DIODE 3.77755e-05 +16 *1305:16 *1428:12 1.42855e-05 +*RES +1 *21291:Y *1428:12 43.2088 +2 *1428:12 *23565:A 20.0186 +3 *1428:12 *6436:DIODE 24.3449 +*END + +*D_NET *1429 0.00451213 +*CONN +*I *6438:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23566:A I *D sky130_fd_sc_hd__einvp_8 +*I *21292:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6438:DIODE 4.71967e-05 +2 *23566:A 0 +3 *21292:Y 0.00116148 +4 *1429:10 0.00120868 +5 *6438:DIODE *2441:11 0.000423922 +6 *6438:DIODE *2450:27 0.000423922 +7 *1429:10 *2439:13 0.00108853 +8 *1429:10 *2473:31 0.0001584 +9 *1429:10 *3131:18 0 +10 *1429:10 *3415:8 0 +*RES +1 *21292:Y *1429:10 39.3711 +2 *1429:10 *23566:A 9.24915 +3 *1429:10 *6438:DIODE 13.8548 +*END + +*D_NET *1430 0.0377585 +*CONN +*I *23567:A I *D sky130_fd_sc_hd__einvp_2 +*I *6440:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21558:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23567:A 2.74009e-05 +2 *6440:DIODE 0 +3 *21558:Y 0.000195456 +4 *1430:25 0.000432739 +5 *1430:24 0.00107505 +6 *1430:13 0.00254297 +7 *1430:11 0.00243235 +8 *1430:7 0.000754541 +9 *23567:A *3083:21 0.000222149 +10 *23567:A *3200:39 6.75138e-05 +11 *1430:7 *2545:9 4.33819e-05 +12 *1430:7 *3059:15 0.000294891 +13 *1430:11 *3627:DIODE 0.000164044 +14 *1430:11 *3629:DIODE 0.000489932 +15 *1430:11 *2331:12 0.00010101 +16 *1430:11 *2545:9 0.00017407 +17 *1430:11 *2830:33 0.000206418 +18 *1430:11 *2830:38 0.000150716 +19 *1430:11 *2832:23 0.000290275 +20 *1430:11 *3059:15 1.6383e-05 +21 *1430:11 *3059:19 0.00183843 +22 *1430:13 *22416:B 0.000353686 +23 *1430:13 *2333:14 0.000220514 +24 *1430:13 *2830:38 0.000684709 +25 *1430:13 *3093:5 0.00947198 +26 *1430:24 *1452:27 0.00110368 +27 *1430:24 *1452:40 2.43314e-05 +28 *1430:24 *2929:24 0 +29 *1430:24 *3109:17 0.00110789 +30 *1430:24 *3138:47 8.7143e-05 +31 *1430:25 *3767:DIODE 0.000222149 +32 *1430:25 *2844:29 0.00286332 +33 *1430:25 *3083:21 0.000695917 +34 *1430:25 *3200:39 0.000193186 +35 *1430:25 *3399:7 0.00271765 +36 *4496:DIODE *1430:11 2.6758e-05 +37 *4496:DIODE *1430:13 0.000375013 +38 *1136:9 *1430:7 0.00122048 +39 *1136:9 *1430:11 0.00155208 +40 *1136:9 *1430:13 3.59302e-05 +41 *1239:19 *1430:11 6.50727e-05 +42 *1242:11 *1430:11 0.000700115 +43 *1368:23 *23567:A 1.71784e-05 +44 *1373:23 *1430:13 0.000830277 +45 *1373:25 *1430:13 0.0016697 +*RES +1 *21558:Y *1430:7 22.7525 +2 *1430:7 *1430:11 45.6704 +3 *1430:11 *1430:13 103.88 +4 *1430:13 *1430:24 40.954 +5 *1430:24 *1430:25 37.8818 +6 *1430:25 *6440:DIODE 9.24915 +7 *1430:25 *23567:A 11.6364 +*END + +*D_NET *1431 0.00741965 +*CONN +*I *6442:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23568:A I *D sky130_fd_sc_hd__einvp_4 +*I *21293:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6442:DIODE 3.63467e-05 +2 *23568:A 0.000121212 +3 *21293:Y 0.000551474 +4 *1431:9 0.00181704 +5 *1431:8 0.00221096 +6 *6442:DIODE *1820:15 2.65831e-05 +7 *23568:A *22344:TE 3.37785e-05 +8 *1431:8 *2048:29 8.69254e-05 +9 *1431:8 *2899:59 3.20069e-06 +10 *1431:8 *3143:45 0 +11 *1431:8 *3153:29 0.000137789 +12 *1431:9 *1820:15 0.000282445 +13 *1423:9 *1431:9 0.00211189 +*RES +1 *21293:Y *1431:8 29.6319 +2 *1431:8 *1431:9 29.5628 +3 *1431:9 *23568:A 20.4964 +4 *1431:9 *6442:DIODE 9.97254 +*END + +*D_NET *1432 0.00202393 +*CONN +*I *6444:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23569:A I *D sky130_fd_sc_hd__einvp_8 +*I *21294:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6444:DIODE 1.49965e-05 +2 *23569:A 0 +3 *21294:Y 0.000358367 +4 *1432:9 0.000373363 +5 *6444:DIODE *2445:21 0.00015511 +6 *6444:DIODE *3449:5 0.000171273 +7 *1432:9 *2445:21 0.000589703 +8 *1432:9 *2452:19 0.000229024 +9 *1432:9 *3117:6 6.28269e-05 +10 *1432:9 *3131:18 0 +11 *1432:9 *3449:5 6.92705e-05 +*RES +1 *21294:Y *1432:9 30.5031 +2 *1432:9 *23569:A 9.24915 +3 *1432:9 *6444:DIODE 11.0817 +*END + +*D_NET *1433 0.00250077 +*CONN +*I *6446:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23570:A I *D sky130_fd_sc_hd__einvp_4 +*I *21295:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6446:DIODE 2.82924e-05 +2 *23570:A 0 +3 *21295:Y 0.000477491 +4 *1433:9 0.000505784 +5 *6446:DIODE *2440:15 0.000381551 +6 *6446:DIODE *3451:5 0.000367354 +7 *1433:9 *2440:15 0.000113968 +8 *1433:9 *2893:64 1.92336e-05 +9 *1433:9 *3145:16 0.000269791 +10 *1433:9 *3251:6 0.000272248 +11 *1433:9 *3451:5 6.50586e-05 +*RES +1 *21295:Y *1433:9 32.5709 +2 *1433:9 *23570:A 9.24915 +3 *1433:9 *6446:DIODE 13.3002 +*END + +*D_NET *1434 0.00525405 +*CONN +*I *6448:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23571:A I *D sky130_fd_sc_hd__einvp_4 +*I *21296:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6448:DIODE 7.49487e-05 +2 *23571:A 0 +3 *21296:Y 0.000608016 +4 *1434:14 0.000682964 +5 *6448:DIODE *3159:20 9.21475e-05 +6 *6448:DIODE *3452:11 0.000250254 +7 *1434:14 *1941:42 0.00147664 +8 *1434:14 *2280:13 0 +9 *1434:14 *2442:8 3.12926e-05 +10 *1434:14 *2442:12 0.000215831 +11 *1434:14 *3149:36 0 +12 *1434:14 *3160:11 0.00148087 +13 *1434:14 *3161:22 0.00034108 +*RES +1 *21296:Y *1434:14 45.9575 +2 *1434:14 *23571:A 9.24915 +3 *1434:14 *6448:DIODE 12.191 +*END + +*D_NET *1435 0.0122186 +*CONN +*I *6450:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23572:A I *D sky130_fd_sc_hd__einvp_4 +*I *21297:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6450:DIODE 4.96987e-05 +2 *23572:A 3.81736e-05 +3 *21297:Y 0.00063485 +4 *1435:11 0.000567419 +5 *1435:10 0.0011144 +6 *6450:DIODE *2126:26 0.000314107 +7 *6450:DIODE *2134:36 0.000220809 +8 *6450:DIODE *3453:12 1.76273e-05 +9 *23572:A *2134:35 7.26959e-06 +10 *23572:A *2452:8 4.99006e-05 +11 *23572:A *3478:35 4.4196e-06 +12 *1435:10 *22565:TE 1.60717e-05 +13 *1435:10 *2132:38 1.85976e-05 +14 *1435:10 *2280:13 0.000672586 +15 *1435:10 *2475:12 0.000121801 +16 *1435:10 *3445:9 0.000312566 +17 *1435:11 *2126:26 0.00407528 +18 *1435:11 *2134:20 1.67988e-05 +19 *1435:11 *2134:35 0.000527957 +20 *1435:11 *2905:46 7.02172e-06 +21 *1435:11 *3167:5 0.00341284 +22 *1435:11 *3453:12 1.84293e-05 +*RES +1 *21297:Y *1435:10 34.7894 +2 *1435:10 *1435:11 44.5371 +3 *1435:11 *23572:A 19.2506 +4 *1435:11 *6450:DIODE 12.7456 +*END + +*D_NET *1436 0.00228175 +*CONN +*I *6452:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23573:A I *D sky130_fd_sc_hd__einvp_4 +*I *21298:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6452:DIODE 2.97872e-05 +2 *23573:A 0 +3 *21298:Y 0.000592859 +4 *1436:10 0.000622646 +5 *6452:DIODE *3441:13 0.000271058 +6 *6452:DIODE *3454:5 0.000271058 +7 *1436:10 *3488:DIODE 9.31952e-05 +8 *1436:10 *21298:A 5.04829e-06 +9 *1436:10 *2062:19 2.1203e-06 +10 *1436:10 *3144:22 0 +11 *1436:10 *3157:5 3.15893e-05 +12 *1436:10 *3426:26 0.000362388 +*RES +1 *21298:Y *1436:10 29.9457 +2 *1436:10 *23573:A 9.24915 +3 *1436:10 *6452:DIODE 12.191 +*END + +*D_NET *1437 0.00256739 +*CONN +*I *6454:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23574:A I *D sky130_fd_sc_hd__einvp_2 +*I *21299:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6454:DIODE 7.39087e-05 +2 *23574:A 0 +3 *21299:Y 0.000421885 +4 *1437:10 0.000495794 +5 *6454:DIODE *2089:40 0.000307037 +6 *6454:DIODE *3455:7 0.000119727 +7 *1437:10 *2080:49 0 +8 *1437:10 *2085:31 0 +9 *1437:10 *2126:26 0.000470557 +10 *1437:10 *2134:36 0.000474769 +11 *1437:10 *3187:10 0.00020371 +12 *1194:16 *1437:10 0 +*RES +1 *21299:Y *1437:10 32.7159 +2 *1437:10 *23574:A 9.24915 +3 *1437:10 *6454:DIODE 12.7456 +*END + +*D_NET *1438 0.00226179 +*CONN +*I *6456:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23575:A I *D sky130_fd_sc_hd__einvp_4 +*I *21300:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6456:DIODE 0.000129614 +2 *23575:A 6.35515e-05 +3 *21300:Y 0.000651373 +4 *1438:9 0.000844538 +5 *6456:DIODE *2440:15 1.19726e-05 +6 *23575:A *2440:15 6.50586e-05 +7 *23575:A *2893:64 5.04829e-06 +8 *23575:A *2893:75 2.69685e-05 +9 *23575:A *3339:23 6.85329e-05 +10 *23575:A *3456:8 4.42742e-06 +11 *1438:9 *23575:TE 2.14842e-06 +12 *1438:9 *2081:27 4.20184e-06 +13 *1438:9 *2893:64 0.000362285 +14 *1438:9 *3153:48 2.20663e-05 +15 *1438:9 *3317:8 0 +16 *1438:9 *3339:23 0 +17 *1438:9 *3456:8 0 +*RES +1 *21300:Y *1438:9 30.9155 +2 *1438:9 *23575:A 20.3893 +3 *1438:9 *6456:DIODE 11.0817 +*END + +*D_NET *1439 0.00276126 +*CONN +*I *6458:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23576:A I *D sky130_fd_sc_hd__einvp_4 +*I *21301:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6458:DIODE 0 +2 *23576:A 6.79211e-05 +3 *21301:Y 0.00061279 +4 *1439:10 0.000680711 +5 *23576:A *3160:19 0.000211478 +6 *23576:A *3457:7 8.39059e-05 +7 *1439:10 *2327:11 0.000209629 +8 *1439:10 *2447:9 0.000319815 +9 *1439:10 *3158:35 0.000575015 +*RES +1 *21301:Y *1439:10 33.5436 +2 *1439:10 *23576:A 12.2151 +3 *1439:10 *6458:DIODE 9.24915 +*END + +*D_NET *1440 0.000943053 +*CONN +*I *6460:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23577:A I *D sky130_fd_sc_hd__einvp_2 +*I *21302:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6460:DIODE 3.82497e-05 +2 *23577:A 6.84685e-05 +3 *21302:Y 0.000162718 +4 *1440:8 0.000269436 +5 *1440:8 *1942:29 0.000114431 +6 *1440:8 *3139:18 0.00028975 +*RES +1 *21302:Y *1440:8 23.8184 +2 *1440:8 *23577:A 10.5271 +3 *1440:8 *6460:DIODE 9.97254 +*END + +*D_NET *1441 0.0383227 +*CONN +*I *23578:A I *D sky130_fd_sc_hd__einvp_2 +*I *6462:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21559:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23578:A 8.95377e-05 +2 *6462:DIODE 0 +3 *21559:Y 0.000567426 +4 *1441:25 0.00237087 +5 *1441:24 0.00271381 +6 *1441:15 0.00271468 +7 *1441:14 0.00235995 +8 *1441:9 0.00446261 +9 *1441:7 0.00495229 +10 *23578:A *3777:DIODE 0 +11 *23578:A *2856:39 3.25584e-05 +12 *1441:7 *1770:8 0.000481241 +13 *1441:7 *1894:17 0.000317693 +14 *1441:7 *2375:7 0.000171288 +15 *1441:9 *3622:DIODE 0.000277502 +16 *1441:9 *4790:DIODE 7.48797e-05 +17 *1441:9 *6069:DIODE 5.75344e-05 +18 *1441:9 *21442:A 0.000217951 +19 *1441:9 *22408:A_N 0.000224381 +20 *1441:9 *22409:B 0.000220183 +21 *1441:9 *22488:A_N 0.000171288 +22 *1441:9 *23381:A 0.000111708 +23 *1441:9 *1500:11 6.50727e-05 +24 *1441:9 *1756:15 0.000249549 +25 *1441:9 *1763:8 4.31539e-05 +26 *1441:9 *1770:8 0.000178097 +27 *1441:9 *1836:11 0.000380131 +28 *1441:9 *2319:14 0.000212637 +29 *1441:9 *2337:38 0.00486785 +30 *1441:9 *2550:9 0.000208218 +31 *1441:9 *2923:24 0.00031994 +32 *1441:14 *4776:DIODE 0.00010901 +33 *1441:14 *2558:12 6.1578e-06 +34 *1441:14 *2559:10 0.000131183 +35 *1441:15 *1905:40 0.000208853 +36 *1441:15 *1905:46 0.000122378 +37 *1441:15 *1905:48 0.00115344 +38 *1441:15 *2342:20 0.000130331 +39 *1441:15 *2842:24 6.08467e-05 +40 *1441:15 *2850:21 1.41976e-05 +41 *1441:15 *3258:7 0.000171288 +42 *1441:24 *1769:11 0.00117604 +43 *1441:24 *1905:40 0.0020309 +44 *1441:24 *2007:17 0 +45 *1441:24 *2841:12 0.000131403 +46 *1441:24 *2850:21 0.000131266 +47 *1441:24 *2850:33 0.000221479 +48 *1441:25 *2848:47 4.89898e-06 +49 *1441:25 *2856:39 2.85274e-05 +50 *1169:89 *1441:25 0.0032444 +51 *1324:11 *1441:9 5.56461e-05 +52 *1371:34 *1441:25 7.64432e-05 +*RES +1 *21559:Y *1441:7 25.5256 +2 *1441:7 *1441:9 132.719 +3 *1441:9 *1441:14 11.6625 +4 *1441:14 *1441:15 58.9568 +5 *1441:15 *1441:24 34.5942 +6 *1441:24 *1441:25 40.1003 +7 *1441:25 *6462:DIODE 9.24915 +8 *1441:25 *23578:A 11.1059 +*END + +*D_NET *1442 0.00156996 +*CONN +*I *6464:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23579:A I *D sky130_fd_sc_hd__einvp_2 +*I *21303:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6464:DIODE 0.000140823 +2 *23579:A 3.02254e-05 +3 *21303:Y 0.000324125 +4 *1442:8 0.000495173 +5 *1442:8 *1449:8 0 +6 *1442:8 *2090:21 0.000434077 +7 *1442:8 *2916:57 6.28245e-05 +8 *1442:8 *3189:12 0 +9 *1189:11 *6464:DIODE 6.85963e-05 +10 *1189:11 *23579:A 1.41181e-05 +*RES +1 *21303:Y *1442:8 27.1404 +2 *1442:8 *23579:A 9.97254 +3 *1442:8 *6464:DIODE 12.7456 +*END + +*D_NET *1443 0.00273898 +*CONN +*I *6466:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23580:A I *D sky130_fd_sc_hd__einvp_4 +*I *21304:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6466:DIODE 4.72645e-05 +2 *23580:A 0 +3 *21304:Y 0.000480562 +4 *1443:10 0.000527826 +5 *6466:DIODE *2085:32 8.39223e-05 +6 *6466:DIODE *3462:5 0.000211492 +7 *1443:10 *1445:8 0.000404561 +8 *1443:10 *2468:10 0.000489936 +9 *1443:10 *3169:30 0.000493412 +*RES +1 *21304:Y *1443:10 32.1613 +2 *1443:10 *23580:A 9.24915 +3 *1443:10 *6466:DIODE 11.6364 +*END + +*D_NET *1444 0.00301904 +*CONN +*I *6468:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23581:A I *D sky130_fd_sc_hd__einvp_2 +*I *21305:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6468:DIODE 0 +2 *23581:A 0.000164818 +3 *21305:Y 0.000859951 +4 *1444:9 0.00102477 +5 *1444:9 *23586:TE 0 +6 *1444:9 *2092:57 0 +7 *1444:9 *2440:6 0.000600879 +8 *1444:9 *2540:24 0 +9 *1444:9 *3190:25 0.00029368 +10 *1194:11 *23581:A 6.08167e-05 +11 *1194:11 *1444:9 1.41291e-05 +*RES +1 *21305:Y *1444:9 37.5652 +2 *1444:9 *23581:A 12.2151 +3 *1444:9 *6468:DIODE 9.24915 +*END + +*D_NET *1445 0.00478992 +*CONN +*I *23582:A I *D sky130_fd_sc_hd__einvp_4 +*I *6470:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21306:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23582:A 0.000137669 +2 *6470:DIODE 0 +3 *21306:Y 0.00109189 +4 *1445:8 0.00122956 +5 *23582:A *2080:49 0.000269064 +6 *23582:A *3171:8 0 +7 *1445:8 *2080:49 0.000617071 +8 *1445:8 *2914:21 8.36631e-05 +9 *1445:8 *3169:27 0.000956452 +10 *1445:8 *3171:8 0 +11 *1443:10 *1445:8 0.000404561 +*RES +1 *21306:Y *1445:8 44.0179 +2 *1445:8 *6470:DIODE 13.7491 +3 *1445:8 *23582:A 18.4879 +*END + +*D_NET *1446 0.00181568 +*CONN +*I *6472:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23583:A I *D sky130_fd_sc_hd__einvp_2 +*I *21307:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6472:DIODE 5.03995e-05 +2 *23583:A 0 +3 *21307:Y 0.000580418 +4 *1446:9 0.000630817 +5 *6472:DIODE *3465:5 0.000165521 +6 *1446:9 *21308:A 0 +7 *1446:9 *3175:6 0 +8 *1446:9 *3189:12 0 +9 *1446:9 *3462:11 0.000324865 +10 *1446:9 *3465:5 6.3657e-05 +*RES +1 *21307:Y *1446:9 32.1557 +2 *1446:9 *23583:A 9.24915 +3 *1446:9 *6472:DIODE 11.0817 +*END + +*D_NET *1447 0.00339229 +*CONN +*I *6474:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23584:A I *D sky130_fd_sc_hd__einvp_2 +*I *21308:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6474:DIODE 5.03687e-05 +2 *23584:A 0 +3 *21308:Y 0.000458077 +4 *1447:10 0.000508446 +5 *6474:DIODE *3465:5 0.000171288 +6 *1447:10 *2126:26 0.00086322 +7 *1447:10 *2134:36 0.00086603 +8 *1447:10 *2352:8 0.000474858 +*RES +1 *21308:Y *1447:10 37.1527 +2 *1447:10 *23584:A 9.24915 +3 *1447:10 *6474:DIODE 11.0817 +*END + +*D_NET *1448 0.00454451 +*CONN +*I *6476:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23585:A I *D sky130_fd_sc_hd__einvp_2 +*I *21309:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6476:DIODE 3.75319e-05 +2 *23585:A 0 +3 *21309:Y 0.000438041 +4 *1448:11 0.000474353 +5 *1448:10 0.000874863 +6 *6476:DIODE *3467:7 0.000175485 +7 *1448:10 *3499:DIODE 0.000316282 +8 *1448:10 *2352:8 0.000191347 +9 *1448:10 *2450:6 0.000706 +10 *1448:10 *2914:41 0.000362931 +11 *1448:10 *3178:12 0.000189392 +12 *1448:11 *23585:TE 0.000122378 +13 *1448:11 *3467:7 6.92705e-05 +14 *1189:11 *1448:11 0.000570047 +15 *1192:53 *1448:10 1.65872e-05 +*RES +1 *21309:Y *1448:10 35.7592 +2 *1448:10 *1448:11 15.1431 +3 *1448:11 *23585:A 9.24915 +4 *1448:11 *6476:DIODE 11.0817 +*END + +*D_NET *1449 0.00676758 +*CONN +*I *6478:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23586:A I *D sky130_fd_sc_hd__einvp_2 +*I *21310:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6478:DIODE 3.12359e-05 +2 *23586:A 0 +3 *21310:Y 0.000374638 +4 *1449:13 0.00134112 +5 *1449:8 0.00168452 +6 *6478:DIODE *1450:9 5.99691e-05 +7 *6478:DIODE *2134:36 0.000164829 +8 *1449:8 *2916:43 0.000128866 +9 *1449:8 *2916:57 0.000490553 +10 *1449:8 *2919:85 5.3018e-05 +11 *1449:8 *3189:12 0.000125644 +12 *1449:13 *6479:DIODE 6.50586e-05 +13 *1449:13 *21308:A 6.08467e-05 +14 *1449:13 *1450:9 0.00011722 +15 *1449:13 *2134:36 0.00145665 +16 *1449:13 *3178:11 0.000613409 +17 *1442:8 *1449:8 0 +*RES +1 *21310:Y *1449:8 30.4624 +2 *1449:8 *1449:13 39.7386 +3 *1449:13 *23586:A 9.24915 +4 *1449:13 *6478:DIODE 11.0817 +*END + +*D_NET *1450 0.00598087 +*CONN +*I *6480:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23587:A I *D sky130_fd_sc_hd__einvp_2 +*I *21311:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6480:DIODE 9.29181e-05 +2 *23587:A 2.06324e-05 +3 *21311:Y 0.000403335 +4 *1450:9 0.00126268 +5 *1450:8 0.00155247 +6 *6480:DIODE *2134:40 7.97944e-05 +7 *23587:A *23587:TE 0 +8 *1450:8 *23586:TE 8.62625e-06 +9 *1450:8 *2092:57 0.000884766 +10 *1450:8 *2540:24 0.000883131 +11 *1450:9 *6481:DIODE 0.000175485 +12 *1450:9 *2094:18 0.000148652 +13 *1450:9 *2134:36 0.000221362 +14 *1450:9 *2134:40 6.98314e-05 +15 *6478:DIODE *1450:9 5.99691e-05 +16 *1449:13 *1450:9 0.00011722 +*RES +1 *21311:Y *1450:8 35.0302 +2 *1450:8 *1450:9 19.0253 +3 *1450:9 *23587:A 9.82786 +4 *1450:9 *6480:DIODE 11.0817 +*END + +*D_NET *1451 0.00123435 +*CONN +*I *6482:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23588:A I *D sky130_fd_sc_hd__einvp_4 +*I *21312:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6482:DIODE 2.32487e-05 +2 *23588:A 0 +3 *21312:Y 0.000193796 +4 *1451:9 0.000217045 +5 *6482:DIODE *2930:54 0.000148666 +6 *6482:DIODE *3470:7 0.000164829 +7 *1451:9 *2092:49 0 +8 *1451:9 *2808:12 0 +9 *1451:9 *2930:54 0.000370829 +10 *1451:9 *3470:7 0.000115934 +*RES +1 *21312:Y *1451:9 24.5474 +2 *1451:9 *23588:A 9.24915 +3 *1451:9 *6482:DIODE 11.0817 +*END + +*D_NET *1452 0.0329224 +*CONN +*I *23589:A I *D sky130_fd_sc_hd__einvp_2 +*I *6484:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21560:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *23589:A 0.000108096 +2 *6484:DIODE 0 +3 *21560:Y 0.000709984 +4 *1452:41 0.00314214 +5 *1452:40 0.00346386 +6 *1452:27 0.000927187 +7 *1452:23 0.0006318 +8 *1452:9 0.000843976 +9 *1452:8 0.00141953 +10 *23589:A *3098:23 8.16599e-05 +11 *23589:A *3115:7 6.92705e-05 +12 *23589:A *3459:6 1.26257e-05 +13 *1452:8 *21568:A 6.16595e-06 +14 *1452:8 *1755:9 0.000176123 +15 *1452:8 *2373:8 0 +16 *1452:8 *2833:29 5.32618e-05 +17 *1452:8 *2836:8 0 +18 *1452:9 *3083:5 0.00446245 +19 *1452:23 *3109:9 0.000536196 +20 *1452:27 *3100:19 0.000322911 +21 *1452:27 *3109:9 0.0025604 +22 *1452:27 *3109:17 0.000226572 +23 *1452:40 *23514:TE 5.0715e-05 +24 *1452:40 *1769:12 0.000150307 +25 *1452:40 *2842:44 1.05272e-06 +26 *1452:40 *2929:24 0 +27 *1452:40 *3093:14 0.000838139 +28 *1452:40 *3113:15 1.0064e-05 +29 *1452:40 *3138:47 0 +30 *1452:41 *3098:23 0.000849684 +31 *4524:DIODE *1452:9 6.99486e-05 +32 *22289:A *1452:9 3.82228e-05 +33 *22293:A *1452:27 6.50727e-05 +34 *23514:A *1452:40 0.000119171 +35 *1347:5 *1452:9 0.000385798 +36 *1347:15 *1452:9 8.14875e-05 +37 *1347:15 *1452:23 0.000476156 +38 *1347:15 *1452:27 0.00180222 +39 *1367:9 *1452:9 0.00391476 +40 *1367:17 *1452:9 2.39581e-05 +41 *1371:39 *23589:A 6.97183e-05 +42 *1373:25 *1452:40 0.000173056 +43 *1377:8 *1452:40 1.07248e-05 +44 *1379:20 *1452:41 0.00290997 +45 *1430:24 *1452:27 0.00110368 +46 *1430:24 *1452:40 2.43314e-05 +*RES +1 *21560:Y *1452:8 33.7845 +2 *1452:8 *1452:9 55.6292 +3 *1452:9 *1452:23 10.0311 +4 *1452:23 *1452:27 49.0371 +5 *1452:27 *1452:40 29.1865 +6 *1452:40 *1452:41 52.8561 +7 *1452:41 *6484:DIODE 9.24915 +8 *1452:41 *23589:A 21.1127 +*END + +*D_NET *1453 0.00326897 +*CONN +*I *6486:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23590:A I *D sky130_fd_sc_hd__einvp_8 +*I *21313:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6486:DIODE 5.78619e-05 +2 *23590:A 0 +3 *21313:Y 0.000754837 +4 *1453:11 0.000812699 +5 *6486:DIODE *1945:34 0.000415232 +6 *6486:DIODE *2307:12 0.000399068 +7 *1453:11 *1945:34 0.00011818 +8 *1453:11 *2080:49 0 +9 *1453:11 *2085:31 2.27645e-05 +10 *1453:11 *2275:10 0.000370801 +11 *1453:11 *2307:12 0.00011818 +12 *1453:11 *2924:52 2.18884e-05 +13 *1453:11 *2924:57 5.08731e-06 +14 *1453:11 *3187:6 3.83737e-05 +15 *5089:DIODE *1453:11 5.03898e-05 +16 *1142:14 *1453:11 7.89118e-05 +17 *1169:42 *1453:11 4.69495e-06 +*RES +1 *21313:Y *1453:11 34.5457 +2 *1453:11 *23590:A 9.24915 +3 *1453:11 *6486:DIODE 13.8548 +*END + +*D_NET *1454 0.00271294 +*CONN +*I *6488:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23591:A I *D sky130_fd_sc_hd__einvp_4 +*I *21314:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6488:DIODE 5.60714e-05 +2 *23591:A 0 +3 *21314:Y 0.000448719 +4 *1454:15 0.00050479 +5 *6488:DIODE *2273:20 7.22498e-05 +6 *1454:15 *2273:20 5.07314e-05 +7 *1454:15 *2633:49 0.000438052 +8 *1454:15 *2633:67 0.000307436 +9 *1454:15 *2636:24 8.35735e-05 +10 *1454:15 *2913:14 0 +11 *1454:15 *2913:18 0 +12 *1454:15 *3198:5 0.000377273 +13 *1454:15 *3198:19 0.000374045 +*RES +1 *21314:Y *1454:15 35.4328 +2 *1454:15 *23591:A 9.24915 +3 *1454:15 *6488:DIODE 11.0817 +*END + +*D_NET *1455 0.00476404 +*CONN +*I *6490:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23592:A I *D sky130_fd_sc_hd__einvp_4 +*I *21315:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6490:DIODE 0.000110883 +2 *23592:A 3.8765e-05 +3 *21315:Y 0.00137548 +4 *1455:9 0.00152512 +5 *6490:DIODE *2137:38 3.01629e-05 +6 *23592:A *2352:8 4.99006e-05 +7 *1455:9 *2137:38 1.9503e-05 +8 *1455:9 *2919:64 9.11053e-05 +9 *1455:9 *3191:8 9.29761e-05 +10 *1185:29 *1455:9 0.00143015 +*RES +1 *21315:Y *1455:9 43.9473 +2 *1455:9 *23592:A 19.2506 +3 *1455:9 *6490:DIODE 11.0817 +*END + +*D_NET *1456 0.00212352 +*CONN +*I *6492:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23593:A I *D sky130_fd_sc_hd__einvp_4 +*I *21316:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6492:DIODE 5.20545e-05 +2 *23593:A 0 +3 *21316:Y 0.000444038 +4 *1456:10 0.000496092 +5 *6492:DIODE *1961:38 0.000253916 +6 *6492:DIODE *1975:28 1.41689e-05 +7 *6492:DIODE *1975:30 0.000113968 +8 *6492:DIODE *2353:16 0.000107496 +9 *1456:10 *3506:DIODE 1.41291e-05 +10 *1456:10 *2005:36 0.000124674 +11 *1456:10 *2668:12 0.000249779 +12 *1330:8 *1456:10 0.000253204 +*RES +1 *21316:Y *1456:10 27.8694 +2 *1456:10 *23593:A 9.24915 +3 *1456:10 *6492:DIODE 12.191 +*END + +*D_NET *1457 0.00124892 +*CONN +*I *6494:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23594:A I *D sky130_fd_sc_hd__einvp_4 +*I *21317:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6494:DIODE 0 +2 *23594:A 9.30797e-05 +3 *21317:Y 0.000413922 +4 *1457:8 0.000507002 +5 *23594:A *2930:37 7.2125e-05 +6 *1457:8 *2087:47 0.00016279 +7 *1142:8 *1457:8 0 +*RES +1 *21317:Y *1457:8 27.1404 +2 *1457:8 *23594:A 11.6605 +3 *1457:8 *6494:DIODE 9.24915 +*END + +*D_NET *1458 0.00244339 +*CONN +*I *6496:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23595:A I *D sky130_fd_sc_hd__einvp_4 +*I *21318:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6496:DIODE 3.80574e-05 +2 *23595:A 0 +3 *21318:Y 0.000478404 +4 *1458:10 0.000516461 +5 *6496:DIODE *2355:7 0.0002646 +6 *1458:10 *5787:DIODE 7.5119e-05 +7 *1458:10 *1487:8 0.000112421 +8 *1458:10 *1983:33 3.4073e-05 +9 *1458:10 *2115:26 0.000324151 +10 *1458:10 *2677:18 0 +11 *1458:10 *2796:50 0 +12 *1169:22 *1458:10 7.13655e-06 +13 *1185:17 *6496:DIODE 0.0002646 +14 *1200:50 *1458:10 0 +15 *1224:19 *1458:10 0.000328363 +*RES +1 *21318:Y *1458:10 31.4673 +2 *1458:10 *23595:A 9.24915 +3 *1458:10 *6496:DIODE 12.191 +*END + +*D_NET *1459 0.0096792 +*CONN +*I *6498:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23596:A I *D sky130_fd_sc_hd__einvp_2 +*I *21319:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6498:DIODE 6.37665e-05 +2 *23596:A 0 +3 *21319:Y 0.000305243 +4 *1459:17 0.000105916 +5 *1459:14 0.000745017 +6 *1459:10 0.00100811 +7 *1459:10 *2101:44 0.000161243 +8 *1459:10 *2585:12 0.000194344 +9 *1459:10 *2797:26 0 +10 *1459:14 *1962:13 4.98826e-05 +11 *1459:14 *2113:17 0.000116868 +12 *1459:14 *2932:32 7.71586e-05 +13 *1178:21 *6498:DIODE 0.000162583 +14 *1178:21 *1459:17 0.00011818 +15 *1190:33 *1459:14 0.00328755 +16 *1198:23 *1459:14 0.00328334 +*RES +1 *21319:Y *1459:10 24.4053 +2 *1459:10 *1459:14 47.1178 +3 *1459:14 *1459:17 5.778 +4 *1459:17 *23596:A 9.24915 +5 *1459:17 *6498:DIODE 11.0817 +*END + +*D_NET *1460 0.00155924 +*CONN +*I *6500:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23597:A I *D sky130_fd_sc_hd__einvp_2 +*I *21320:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6500:DIODE 5.83756e-05 +2 *23597:A 0 +3 *21320:Y 0.000600967 +4 *1460:10 0.000659343 +5 *6500:DIODE *2357:7 0.000171288 +6 *1460:10 *2355:10 0 +7 *1460:10 *3067:15 6.92705e-05 +8 *1460:10 *3349:6 0 +*RES +1 *21320:Y *1460:10 30.7706 +2 *1460:10 *23597:A 9.24915 +3 *1460:10 *6500:DIODE 11.0817 +*END + +*D_NET *1461 0.00291892 +*CONN +*I *6502:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23598:A I *D sky130_fd_sc_hd__einvp_8 +*I *21321:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *6502:DIODE 2.09358e-05 +2 *23598:A 0 +3 *21321:Y 0.000315074 +4 *1461:10 0.00033601 +5 *6502:DIODE *2095:14 7.48797e-05 +6 *6502:DIODE *2100:60 0.000171288 +7 *1461:10 *1845:9 0 +8 *1461:10 *1961:27 0.000117975 +9 *1461:10 *1963:22 0.000786997 +10 *1461:10 *1969:10 0.000782772 +11 *1461:10 *2628:42 0.000312989 +12 *1461:10 *3250:18 0 +*RES +1 *21321:Y *1461:10 33.1368 +2 *1461:10 *23598:A 9.24915 +3 *1461:10 *6502:DIODE 11.0817 +*END + +*D_NET *1462 0.00362063 +*CONN +*I *6504:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23599:A I *D sky130_fd_sc_hd__einvp_8 +*I *21322:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *6504:DIODE 5.68995e-05 +2 *23599:A 0 +3 *21322:Y 0.000722633 +4 *1462:10 0.000779533 +5 *6504:DIODE *1974:12 0.000188077 +6 *6504:DIODE *2098:28 0.000423936 +7 *1462:10 *3512:DIODE 6.08467e-05 +8 *1462:10 *21322:A 0.000118166 +9 *1462:10 *1597:9 0 +10 *1462:10 *2113:8 0.00093565 +11 *1462:10 *2114:12 0.000329416 +12 *1462:10 *2359:6 5.47232e-06 +13 *1462:10 *2917:12 0 +14 *1462:10 *3361:52 0 +*RES +1 *21322:Y *1462:10 38.5378 +2 *1462:10 *23599:A 9.24915 +3 *1462:10 *6504:DIODE 13.8548 +*END + +*D_NET *1463 0.0279893 +*CONN +*I *23600:A I *D sky130_fd_sc_hd__einvp_4 +*I *6506:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21561:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *23600:A 8.76815e-06 +2 *6506:DIODE 0 +3 *21561:Y 0.000366363 +4 *1463:27 0.000137026 +5 *1463:17 0.0041364 +6 *1463:15 0.00551747 +7 *1463:9 0.00360898 +8 *1463:8 0.00246602 +9 *23600:A *2836:39 0.000122378 +10 *23600:A *3368:11 4.82966e-05 +11 *1463:8 *2365:44 0.00012243 +12 *1463:9 *4511:DIODE 1.84293e-05 +13 *1463:9 *22284:TE 0.000217937 +14 *1463:9 *1758:11 0.000245966 +15 *1463:9 *2470:61 0.000506841 +16 *1463:9 *3103:7 0.000122378 +17 *1463:15 *2470:61 0.000118134 +18 *1463:15 *2829:27 0.00162309 +19 *1463:15 *3103:7 4.38712e-05 +20 *1463:17 *2470:61 0.000535823 +21 *1463:17 *2829:27 9.54357e-06 +22 *1463:17 *3368:5 0.00685796 +23 *1463:27 *2836:39 0.000419296 +24 *1463:27 *2840:8 0 +25 *1463:27 *3103:21 7.06457e-06 +26 *1463:27 *3368:5 0.000377259 +27 *1463:27 *3368:11 2.15348e-05 +28 *4514:DIODE *1463:15 6.08467e-05 +29 *22276:A *1463:8 3.90891e-05 +30 *22284:A *1463:9 1.41291e-05 +31 *22284:A *1463:15 9.92045e-05 +32 *23495:A *1463:15 0.000116764 +*RES +1 *21561:Y *1463:8 27.5557 +2 *1463:8 *1463:9 52.8561 +3 *1463:9 *1463:15 44.4406 +4 *1463:15 *1463:17 73.3765 +5 *1463:17 *1463:27 15.5109 +6 *1463:27 *6506:DIODE 9.24915 +7 *1463:27 *23600:A 10.5271 +*END + +*D_NET *1464 0.000512344 +*CONN +*I *5997:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23345:B I *D sky130_fd_sc_hd__nand2_1 +*I *23217:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5997:DIODE 4.70973e-05 +2 *23345:B 8.7834e-05 +3 *23217:X 8.51685e-05 +4 *1464:7 0.0002201 +5 *23345:B *1653:11 2.65904e-05 +6 *23345:B *2140:16 4.55535e-05 +*RES +1 *23217:X *1464:7 11.5158 +2 *1464:7 *23345:B 20.4964 +3 *1464:7 *5997:DIODE 9.97254 +*END + +*D_NET *1465 0.00074994 +*CONN +*I *23346:B I *D sky130_fd_sc_hd__nand2_1 +*I *5999:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23218:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23346:B 3.83858e-05 +2 *5999:DIODE 0.000184293 +3 *23218:X 0 +4 *1465:4 0.000222679 +5 *5999:DIODE *23218:A 9.32704e-05 +6 *5999:DIODE *1593:9 3.03084e-05 +7 *5999:DIODE *2653:8 7.09666e-06 +8 *23346:B *23218:B 2.04792e-05 +9 *23346:B *23346:A 8.67924e-06 +10 *1149:15 *5999:DIODE 0.000118166 +11 *1152:9 *23346:B 2.65831e-05 +*RES +1 *23218:X *1465:4 9.24915 +2 *1465:4 *5999:DIODE 21.7744 +3 *1465:4 *23346:B 10.5513 +*END + +*D_NET *1466 0.00111758 +*CONN +*I *6001:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23347:B I *D sky130_fd_sc_hd__nand2_1 +*I *23219:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6001:DIODE 5.14019e-05 +2 *23347:B 2.3034e-05 +3 *23219:X 8.10695e-05 +4 *1466:5 0.000155505 +5 *6001:DIODE *1980:48 6.19047e-05 +6 *1466:5 *5745:DIODE 6.73022e-05 +7 *1466:5 *1980:48 4.41269e-05 +8 *1148:25 *6001:DIODE 0.000268892 +9 *1148:25 *1466:5 0.000364342 +*RES +1 *23219:X *1466:5 13.3002 +2 *1466:5 *23347:B 9.82786 +3 *1466:5 *6001:DIODE 12.191 +*END + +*D_NET *1467 0.00101552 +*CONN +*I *6003:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23348:B I *D sky130_fd_sc_hd__nand2_1 +*I *23220:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6003:DIODE 0.000123471 +2 *23348:B 0 +3 *23220:X 4.3559e-05 +4 *1467:5 0.00016703 +5 *6003:DIODE *1980:48 8.60967e-05 +6 *1467:5 *1980:48 9.14669e-05 +7 *1148:25 *6003:DIODE 0.000417478 +8 *1148:25 *1467:5 8.64186e-05 +*RES +1 *23220:X *1467:5 11.6364 +2 *1467:5 *23348:B 9.24915 +3 *1467:5 *6003:DIODE 14.4335 +*END + +*D_NET *1468 0.00077897 +*CONN +*I *23349:B I *D sky130_fd_sc_hd__nand2_1 +*I *6005:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23221:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23349:B 5.3525e-05 +2 *6005:DIODE 0 +3 *23221:X 0.000121885 +4 *1468:8 0.00017541 +5 *23349:B *2080:49 1.06618e-05 +6 *23349:B *2255:34 0.00011818 +7 *23349:B *2371:13 0.00011818 +8 *1468:8 *2080:49 1.79807e-05 +9 *1468:8 *2477:17 0.00011818 +10 *1329:10 *23349:B 1.49589e-05 +11 *1329:10 *1468:8 3.00073e-05 +*RES +1 *23221:X *1468:8 16.4439 +2 *1468:8 *6005:DIODE 13.7491 +3 *1468:8 *23349:B 16.0286 +*END + +*D_NET *1469 0.00129556 +*CONN +*I *6007:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23350:B I *D sky130_fd_sc_hd__nand2_1 +*I *23222:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6007:DIODE 2.6453e-05 +2 *23350:B 2.3034e-05 +3 *23222:X 0.000237421 +4 *1469:5 0.000286908 +5 *6007:DIODE *1978:24 6.99486e-05 +6 *6007:DIODE *2515:19 0.000152878 +7 *1469:5 *5751:DIODE 0.000171288 +8 *1469:5 *23350:A 6.92705e-05 +9 *1469:5 *1978:24 0.000197509 +10 *1469:5 *2515:19 6.08467e-05 +*RES +1 *23222:X *1469:5 16.6278 +2 *1469:5 *23350:B 9.82786 +3 *1469:5 *6007:DIODE 11.0817 +*END + +*D_NET *1470 0.00228139 +*CONN +*I *23351:B I *D sky130_fd_sc_hd__nand2_1 +*I *6009:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23223:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23351:B 0 +2 *6009:DIODE 6.50901e-05 +3 *23223:X 0.000507612 +4 *1470:10 0.000572702 +5 *6009:DIODE *1598:14 0.000222149 +6 *6009:DIODE *2256:48 0.000115632 +7 *6009:DIODE *2256:56 0 +8 *6009:DIODE *3250:27 1.92336e-05 +9 *1470:10 *23351:A 3.96828e-05 +10 *1470:10 *2371:10 0 +11 *1470:10 *2625:23 0.000634119 +12 *1175:18 *1470:10 0.000105175 +*RES +1 *23223:X *1470:10 27.7357 +2 *1470:10 *6009:DIODE 12.7697 +3 *1470:10 *23351:B 9.24915 +*END + +*D_NET *1471 0.00108102 +*CONN +*I *6011:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23352:B I *D sky130_fd_sc_hd__nand2_1 +*I *23224:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6011:DIODE 5.20914e-05 +2 *23352:B 0 +3 *23224:X 1.3384e-05 +4 *1471:5 6.54754e-05 +5 *6011:DIODE *23352:A 0.000277488 +6 *6011:DIODE *2631:17 0.000383703 +7 *6011:DIODE *2794:19 4.09471e-05 +8 *1471:5 *2631:17 7.24449e-05 +9 *1471:5 *2794:19 0.000175485 +*RES +1 *23224:X *1471:5 11.0817 +2 *1471:5 *23352:B 9.24915 +3 *1471:5 *6011:DIODE 13.8789 +*END + +*D_NET *1472 0.00159839 +*CONN +*I *23353:B I *D sky130_fd_sc_hd__nand2_1 +*I *6013:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23225:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23353:B 0 +2 *6013:DIODE 0.000121461 +3 *23225:X 0.00013739 +4 *1472:5 0.000258852 +5 *6013:DIODE *23353:A 0.000169041 +6 *6013:DIODE *2659:19 4.09471e-05 +7 *1472:5 *5757:DIODE 0.000171288 +8 *1472:5 *23224:A 0.000118166 +9 *1472:5 *2625:23 5.75508e-05 +10 *1472:5 *2659:19 0.000203739 +11 *1472:5 *2660:15 0.000319954 +*RES +1 *23225:X *1472:5 16.0732 +2 *1472:5 *6013:DIODE 12.7697 +3 *1472:5 *23353:B 9.24915 +*END + +*D_NET *1473 0.00184771 +*CONN +*I *6015:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23354:B I *D sky130_fd_sc_hd__nand2_1 +*I *23226:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6015:DIODE 1.16004e-05 +2 *23354:B 0.000122752 +3 *23226:X 8.80118e-05 +4 *1473:5 0.000222364 +5 *6015:DIODE *1980:56 4.88955e-05 +6 *23354:B *1601:9 0.000155272 +7 *23354:B *2269:52 6.13007e-06 +8 *23354:B *3199:7 1.72399e-05 +9 *1473:5 *1980:56 0.000559403 +10 *1146:23 *6015:DIODE 6.08467e-05 +11 *1146:23 *1473:5 0.000555191 +*RES +1 *23226:X *1473:5 15.5186 +2 *1473:5 *23354:B 21.5319 +3 *1473:5 *6015:DIODE 9.97254 +*END + +*D_NET *1474 0.00182333 +*CONN +*I *6017:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23355:B I *D sky130_fd_sc_hd__nand2_1 +*I *23227:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6017:DIODE 5.09068e-05 +2 *23355:B 0 +3 *23227:X 0.000342371 +4 *1474:10 0.000393278 +5 *6017:DIODE *6016:DIODE 0.000171288 +6 *6017:DIODE *2113:8 0.000271058 +7 *6017:DIODE *2114:12 4.82966e-05 +8 *1474:10 *1995:25 0.000472832 +9 *1474:10 *2262:19 2.73761e-05 +10 *1474:10 *2518:14 4.5926e-05 +*RES +1 *23227:X *1474:10 26.4871 +2 *1474:10 *23355:B 9.24915 +3 *1474:10 *6017:DIODE 12.7697 +*END + +*D_NET *1475 0.000643441 +*CONN +*I *6019:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23356:B I *D sky130_fd_sc_hd__nand2_2 +*I *23228:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6019:DIODE 4.7703e-05 +2 *23356:B 0 +3 *23228:X 9.72162e-05 +4 *1475:8 0.000144919 +5 *6019:DIODE *2497:28 5.481e-05 +6 *6019:DIODE *2529:29 0.000158357 +7 *1475:8 *2704:10 0 +8 *1475:8 *3259:8 0.000140436 +*RES +1 *23228:X *1475:8 20.9116 +2 *1475:8 *23356:B 9.24915 +3 *1475:8 *6019:DIODE 11.0817 +*END + +*D_NET *1476 0.00122919 +*CONN +*I *6021:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23357:B I *D sky130_fd_sc_hd__nand2_1 +*I *23229:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6021:DIODE 6.08626e-05 +2 *23357:B 0 +3 *23229:X 6.72216e-05 +4 *1476:5 0.000128084 +5 *6021:DIODE *23357:A 0.000161243 +6 *6021:DIODE *2500:17 0.000265211 +7 *1476:5 *2500:17 0.000144004 +8 *1172:11 *6021:DIODE 3.83172e-05 +9 *1172:11 *1476:5 0.00036425 +*RES +1 *23229:X *1476:5 13.3002 +2 *1476:5 *23357:B 9.24915 +3 *1476:5 *6021:DIODE 12.7697 +*END + +*D_NET *1477 0.00068266 +*CONN +*I *6023:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23358:B I *D sky130_fd_sc_hd__nand2_1 +*I *23230:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6023:DIODE 0.000109526 +2 *23358:B 2.3034e-05 +3 *23230:X 6.77036e-05 +4 *1477:5 0.000200263 +5 *6023:DIODE *23358:A 0.000228593 +6 *1175:9 *6023:DIODE 2.01874e-05 +7 *1175:9 *1477:5 3.33532e-05 +*RES +1 *23230:X *1477:5 11.0817 +2 *1477:5 *23358:B 9.82786 +3 *1477:5 *6023:DIODE 12.7456 +*END + +*D_NET *1478 0.00257942 +*CONN +*I *23359:B I *D sky130_fd_sc_hd__nand2_1 +*I *6025:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23231:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23359:B 0 +2 *6025:DIODE 0.000115745 +3 *23231:X 0.000543572 +4 *1478:9 0.000659316 +5 *6025:DIODE *23359:A 0.0002817 +6 *6025:DIODE *1965:40 4.09471e-05 +7 *1478:9 *1965:38 0.000650234 +8 *1478:9 *1965:40 0.000115934 +9 *4676:DIODE *1478:9 0.00017197 +*RES +1 *23231:X *1478:9 24.9951 +2 *1478:9 *6025:DIODE 13.8789 +3 *1478:9 *23359:B 9.24915 +*END + +*D_NET *1479 0.00727845 +*CONN +*I *6027:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23360:B I *D sky130_fd_sc_hd__nand2_1 +*I *23232:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6027:DIODE 5.64036e-05 +2 *23360:B 0.000134286 +3 *23232:X 0 +4 *1479:5 0.00177752 +5 *1479:4 0.00158683 +6 *6027:DIODE *2273:32 0.000290735 +7 *6027:DIODE *2803:31 0.000302686 +8 *23360:B *22367:TE 0.000126838 +9 *23360:B *2273:32 5.60587e-05 +10 *23360:B *2675:16 1.59723e-05 +11 *1479:5 *3728:DIODE 0.00012835 +12 *1479:5 *4705:DIODE 1.43983e-05 +13 *1479:5 *4937:DIODE 1.43983e-05 +14 *1479:5 *5771:DIODE 0.000169041 +15 *1479:5 *2273:32 0.00011818 +16 *1479:5 *2803:19 6.50727e-05 +17 *1479:5 *2803:25 0.000471523 +18 *1479:5 *2803:31 0.00192357 +19 *1224:15 *23360:B 2.65831e-05 +*RES +1 *23232:X *1479:4 9.24915 +2 *1479:4 *1479:5 47.8647 +3 *1479:5 *23360:B 22.0503 +4 *1479:5 *6027:DIODE 12.7456 +*END + +*D_NET *1480 0.00575891 +*CONN +*I *23361:B I *D sky130_fd_sc_hd__nand2_1 +*I *6029:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23233:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23361:B 0 +2 *6029:DIODE 0.000134099 +3 *23233:X 0.000854374 +4 *1480:13 0.000988472 +5 *6029:DIODE *23361:A 0.000169041 +6 *6029:DIODE *3198:19 3.07726e-05 +7 *1480:13 *5773:DIODE 0.000175485 +8 *1480:13 *22495:A_N 0.000213725 +9 *1480:13 *2931:65 0.000217951 +10 *1480:13 *2931:77 0.000510762 +11 *1480:13 *3198:19 0.00246422 +*RES +1 *23233:X *1480:13 40.1623 +2 *1480:13 *6029:DIODE 12.7697 +3 *1480:13 *23361:B 9.24915 +*END + +*D_NET *1481 0.00235417 +*CONN +*I *6031:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23362:B I *D sky130_fd_sc_hd__nand2_1 +*I *23234:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6031:DIODE 6.10885e-05 +2 *23362:B 0 +3 *23234:X 0.000365119 +4 *1481:7 0.000426207 +5 *6031:DIODE *2517:35 6.49003e-05 +6 *1481:7 *5775:DIODE 0.000169041 +7 *1481:7 *1609:8 4.58003e-05 +8 *1481:7 *2517:35 0.00122202 +*RES +1 *23234:X *1481:7 23.3071 +2 *1481:7 *23362:B 9.24915 +3 *1481:7 *6031:DIODE 11.0817 +*END + +*D_NET *1482 0.0033349 +*CONN +*I *6033:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23363:B I *D sky130_fd_sc_hd__nand2_1 +*I *23235:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6033:DIODE 3.08456e-05 +2 *23363:B 0 +3 *23235:X 0.000789704 +4 *1482:10 0.00082055 +5 *6033:DIODE *1978:38 0.000161167 +6 *6033:DIODE *2005:36 0.000156955 +7 *1482:10 *2114:22 0.00111582 +8 *1482:10 *2524:28 0.000129292 +9 *1482:10 *2675:16 0.000130568 +*RES +1 *23235:X *1482:10 33.2817 +2 *1482:10 *23363:B 9.24915 +3 *1482:10 *6033:DIODE 11.0817 +*END + +*D_NET *1483 0.00120905 +*CONN +*I *6035:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23364:B I *D sky130_fd_sc_hd__nand2_1 +*I *23236:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6035:DIODE 9.74635e-05 +2 *23364:B 2.3034e-05 +3 *23236:X 0.000144868 +4 *1483:9 0.000265365 +5 *6035:DIODE *23364:A 0.000171273 +6 *6035:DIODE *1611:10 4.66492e-05 +7 *6035:DIODE *2809:11 1.80257e-05 +8 *1483:9 *1611:10 0.000167076 +9 *1483:9 *2809:11 7.47979e-05 +10 *1483:9 *2931:56 9.8511e-05 +11 *1483:9 *3221:10 0.000101987 +*RES +1 *23236:X *1483:9 23.5776 +2 *1483:9 *23364:B 9.82786 +3 *1483:9 *6035:DIODE 12.191 +*END + +*D_NET *1484 0.00149417 +*CONN +*I *23365:B I *D sky130_fd_sc_hd__nand2_1 +*I *6037:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23237:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23365:B 0 +2 *6037:DIODE 0.0001045 +3 *23237:X 0.000145966 +4 *1484:5 0.000250466 +5 *6037:DIODE *23365:A 0.000165459 +6 *6037:DIODE *1612:10 2.57986e-05 +7 *6037:DIODE *1967:40 3.67123e-05 +8 *1484:5 *5781:DIODE 0.000171288 +9 *1484:5 *1612:10 2.99978e-05 +10 *1484:5 *1967:40 0.000563978 +*RES +1 *23237:X *1484:5 15.5186 +2 *1484:5 *6037:DIODE 12.7697 +3 *1484:5 *23365:B 9.24915 +*END + +*D_NET *1485 0.0139989 +*CONN +*I *23366:B I *D sky130_fd_sc_hd__nand2_1 +*I *6039:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23238:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23366:B 0.00012853 +2 *6039:DIODE 0.000267983 +3 *23238:X 0.0014403 +4 *1485:20 0.00131254 +5 *1485:14 0.00235633 +6 *6039:DIODE *1967:43 1.5714e-05 +7 *6039:DIODE *1988:32 0.000593604 +8 *6039:DIODE *2137:50 0.000107496 +9 *6039:DIODE *2798:24 1.91391e-05 +10 *23366:B *2137:50 1.65872e-05 +11 *23366:B *3077:6 3.5534e-06 +12 *23366:B *3199:16 4.61004e-06 +13 *1485:14 *3508:DIODE 0.000110583 +14 *1485:14 *22367:TE 0.000171288 +15 *1485:14 *2532:14 0.000162899 +16 *1485:14 *2633:74 0.000162899 +17 *1485:14 *2812:23 0.000200794 +18 *1485:14 *2812:29 6.50727e-05 +19 *1485:14 *2930:61 2.16355e-05 +20 *1485:20 *2812:43 0.00263015 +21 *1485:20 *3199:16 2.73362e-05 +22 *4466:DIODE *23366:B 0.000128818 +23 *4680:DIODE *1485:14 0.000103249 +24 *1169:11 *1485:20 0.00302921 +25 *1171:9 *6039:DIODE 3.44597e-05 +26 *1181:10 *1485:20 6.75091e-05 +27 *1191:15 *23366:B 6.99486e-05 +28 *1200:38 *6039:DIODE 0.000163604 +29 *1224:15 *1485:14 0.000109458 +30 *1224:19 *1485:14 0.000403642 +31 *1224:23 *23366:B 0 +32 *1224:23 *1485:20 0 +33 *1331:11 *1485:14 6.99486e-05 +*RES +1 *23238:X *1485:14 45.9465 +2 *1485:14 *1485:20 46.0008 +3 *1485:20 *6039:DIODE 22.9581 +4 *1485:20 *23366:B 17.2061 +*END + +*D_NET *1486 0.00124804 +*CONN +*I *6041:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23367:B I *D sky130_fd_sc_hd__nand2_1 +*I *23239:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6041:DIODE 0.00015797 +2 *23367:B 3.48589e-05 +3 *23239:X 0.000132161 +4 *1486:11 0.000324989 +5 *6041:DIODE *2141:20 2.65831e-05 +6 *6041:DIODE *2151:47 7.52574e-06 +7 *6041:DIODE *2558:12 1.57662e-05 +8 *6041:DIODE *3450:20 0.000259567 +9 *23367:B *1614:9 1.91391e-05 +10 *23367:B *2558:12 1.72594e-05 +11 *23367:B *2559:10 5.85325e-05 +12 *1486:11 *23239:B 8.62625e-06 +13 *1486:11 *2145:30 1.83795e-06 +14 *1486:11 *2151:47 1.9101e-05 +15 *1486:11 *2559:10 1.5714e-05 +16 *1486:11 *3450:20 0.000148407 +*RES +1 *23239:X *1486:11 18.4228 +2 *1486:11 *23367:B 18.327 +3 *1486:11 *6041:DIODE 18.991 +*END + +*D_NET *1487 0.0106311 +*CONN +*I *23368:B I *D sky130_fd_sc_hd__nand2_2 +*I *6043:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23240:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23368:B 7.97594e-05 +2 *6043:DIODE 0 +3 *23240:X 0.000319168 +4 *1487:9 0.00263394 +5 *1487:8 0.00287334 +6 *1487:8 *1983:33 0.000406674 +7 *1190:33 *1487:9 0.00408644 +8 *1198:21 *23368:B 0 +9 *1198:23 *23368:B 0.000111722 +10 *1198:23 *1487:9 7.6719e-06 +11 *1200:50 *1487:8 0 +12 *1458:10 *1487:8 0.000112421 +*RES +1 *23240:X *1487:8 26.7252 +2 *1487:8 *1487:9 45.0917 +3 *1487:9 *6043:DIODE 9.24915 +4 *1487:9 *23368:B 11.5158 +*END + +*D_NET *1488 0.00228683 +*CONN +*I *6045:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23369:B I *D sky130_fd_sc_hd__nand2_1 +*I *23241:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6045:DIODE 7.3664e-05 +2 *23369:B 0 +3 *23241:X 0.00018372 +4 *1488:5 0.000257384 +5 *6045:DIODE *23369:A 0.000162583 +6 *6045:DIODE *1973:34 0.000213725 +7 *6045:DIODE *1975:30 3.83336e-05 +8 *1488:5 *5789:DIODE 0.000164829 +9 *1488:5 *1973:34 0.000289209 +10 *1488:5 *1975:30 0.000903386 +*RES +1 *23241:X *1488:5 19.4008 +2 *1488:5 *23369:B 9.24915 +3 *1488:5 *6045:DIODE 12.7697 +*END + +*D_NET *1489 0.000555581 +*CONN +*I *6047:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23370:B I *D sky130_fd_sc_hd__nand2_1 +*I *23242:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6047:DIODE 0.000102584 +2 *23370:B 1.73044e-05 +3 *23242:X 2.22708e-05 +4 *1489:5 0.000142159 +5 *6047:DIODE *23370:A 0.000164843 +6 *6047:DIODE *2618:35 4.56667e-05 +7 *23370:B *2618:35 2.0441e-05 +8 *1489:5 *2618:35 4.03114e-05 +*RES +1 *23242:X *1489:5 9.97254 +2 *1489:5 *23370:B 9.82786 +3 *1489:5 *6047:DIODE 12.191 +*END + +*D_NET *1490 0.00192826 +*CONN +*I *6049:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23371:B I *D sky130_fd_sc_hd__nand2_1 +*I *23243:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6049:DIODE 6.29662e-05 +2 *23371:B 2.18282e-05 +3 *23243:X 0.000422479 +4 *1490:5 0.000507274 +5 *6049:DIODE *23371:A 0.000167076 +6 *6049:DIODE *1618:10 6.73186e-05 +7 *1490:5 *5793:DIODE 0.000166876 +8 *1146:17 *6049:DIODE 4.56667e-05 +9 *1146:17 *1490:5 0.000466778 +*RES +1 *23243:X *1490:5 22.7284 +2 *1490:5 *23371:B 9.82786 +3 *1490:5 *6049:DIODE 12.191 +*END + +*D_NET *1491 0.00166871 +*CONN +*I *6051:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23372:B I *D sky130_fd_sc_hd__nand2_1 +*I *23244:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6051:DIODE 6.29248e-05 +2 *23372:B 2.06324e-05 +3 *23244:X 0.000302022 +4 *1491:5 0.000385579 +5 *6051:DIODE *2804:33 7.22498e-05 +6 *1491:5 *5795:DIODE 0.000171273 +7 *1491:5 *23372:A 0.00011818 +8 *1491:5 *2804:33 0.000317922 +9 *1491:5 *3198:31 0.000217923 +*RES +1 *23244:X *1491:5 19.9554 +2 *1491:5 *23372:B 9.82786 +3 *1491:5 *6051:DIODE 11.0817 +*END + +*D_NET *1492 0.00183229 +*CONN +*I *23373:B I *D sky130_fd_sc_hd__nand2_1 +*I *6053:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23245:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23373:B 0 +2 *6053:DIODE 9.48141e-05 +3 *23245:X 8.81699e-05 +4 *1492:5 0.000182984 +5 *6053:DIODE *23373:A 0.000158371 +6 *6053:DIODE *1987:26 3.83172e-05 +7 *6053:DIODE *3348:13 0.000118166 +8 *1492:5 *5797:DIODE 0.000175485 +9 *1492:5 *1987:26 0.000740334 +10 *1492:5 *3348:13 0.000235648 +*RES +1 *23245:X *1492:5 17.1824 +2 *1492:5 *6053:DIODE 12.7697 +3 *1492:5 *23373:B 9.24915 +*END + +*D_NET *1493 0.00248159 +*CONN +*I *23374:B I *D sky130_fd_sc_hd__nand2_1 +*I *6055:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23246:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23374:B 0 +2 *6055:DIODE 0.000105168 +3 *23246:X 0.000180314 +4 *1493:5 0.000285482 +5 *6055:DIODE *23374:A 0.000171288 +6 *6055:DIODE *1621:15 6.50727e-05 +7 *6055:DIODE *2804:33 3.57037e-05 +8 *1493:5 *5799:DIODE 0.000167076 +9 *1493:5 *1621:15 0.000506292 +10 *1493:5 *2804:33 0.000965198 +*RES +1 *23246:X *1493:5 19.9554 +2 *1493:5 *6055:DIODE 12.7697 +3 *1493:5 *23374:B 9.24915 +*END + +*D_NET *1494 0.00133155 +*CONN +*I *6057:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23375:B I *D sky130_fd_sc_hd__nand2_1 +*I *23247:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6057:DIODE 0.00012069 +2 *23375:B 0 +3 *23247:X 0.000310041 +4 *1494:10 0.000430731 +5 *6057:DIODE *23375:A 0.000169041 +6 *6057:DIODE *1995:32 4.82966e-05 +7 *1494:10 *5801:DIODE 0.000132202 +8 *1494:10 *2362:18 0 +9 *1494:10 *2813:30 0.000120544 +*RES +1 *23247:X *1494:10 23.9928 +2 *1494:10 *23375:B 9.24915 +3 *1494:10 *6057:DIODE 12.7697 +*END + +*D_NET *1495 0.000656573 +*CONN +*I *6059:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23376:B I *D sky130_fd_sc_hd__nand2_2 +*I *23248:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6059:DIODE 9.11252e-05 +2 *23376:B 0 +3 *23248:X 1.65513e-05 +4 *1495:5 0.000107676 +5 *6059:DIODE *23376:A 0.000207911 +6 *6059:DIODE *2152:48 2.1801e-05 +7 *6059:DIODE *2479:17 0.000127604 +8 *1495:5 *2152:48 5.56461e-05 +9 *1495:5 *2479:17 2.82583e-05 +*RES +1 *23248:X *1495:5 10.5271 +2 *1495:5 *23376:B 9.24915 +3 *1495:5 *6059:DIODE 13.3243 +*END + +*D_NET *1496 0.000557342 +*CONN +*I *23377:B I *D sky130_fd_sc_hd__nand2_1 +*I *6061:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23249:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23377:B 0 +2 *6061:DIODE 0.000120387 +3 *23249:X 1.94874e-05 +4 *1496:5 0.000139875 +5 *6061:DIODE *23249:B 4.34786e-05 +6 *6061:DIODE *23377:A 0.000169041 +7 *1496:5 *23249:B 6.50727e-05 +*RES +1 *23249:X *1496:5 9.97254 +2 *1496:5 *6061:DIODE 12.7697 +3 *1496:5 *23377:B 9.24915 +*END + +*D_NET *1497 0.00152817 +*CONN +*I *23378:B I *D sky130_fd_sc_hd__nand2_1 +*I *6063:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23250:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23378:B 0.000115367 +2 *6063:DIODE 0 +3 *23250:X 0.00028849 +4 *1497:9 0.000403857 +5 *23378:B *5808:DIODE 0 +6 *23378:B *23251:A 0 +7 *23378:B *23378:A 0.000228593 +8 *23378:B *23379:B 4.30017e-06 +9 *23378:B *1498:5 1.777e-05 +10 *23378:B *1625:11 6.99486e-05 +11 *1497:9 *23378:A 8.90486e-05 +12 *1497:9 *2638:24 0 +13 *1497:9 *2689:18 0.000286585 +14 *1497:9 *2773:37 2.42138e-05 +*RES +1 *23250:X *1497:9 26.8996 +2 *1497:9 *6063:DIODE 9.24915 +3 *1497:9 *23378:B 13.903 +*END + +*D_NET *1498 0.0019424 +*CONN +*I *6065:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23379:B I *D sky130_fd_sc_hd__nand2_1 +*I *23251:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6065:DIODE 3.10903e-05 +2 *23379:B 0.00028534 +3 *23251:X 0.000365553 +4 *1498:5 0.000681983 +5 *6065:DIODE *2397:48 7.50872e-05 +6 *6065:DIODE *2805:12 7.50872e-05 +7 *23379:B *23379:A 5.19283e-05 +8 *23379:B *1626:15 6.50586e-05 +9 *23379:B *2397:48 3.42931e-05 +10 *23379:B *2534:6 0 +11 *1498:5 *23378:A 0.000185642 +12 *1498:5 *2773:37 6.92705e-05 +13 *23378:B *23379:B 4.30017e-06 +14 *23378:B *1498:5 1.777e-05 +*RES +1 *23251:X *1498:5 15.5186 +2 *1498:5 *23379:B 24.3251 +3 *1498:5 *6065:DIODE 19.6659 +*END + +*D_NET *1499 0.00208387 +*CONN +*I *23380:B I *D sky130_fd_sc_hd__nand2_1 +*I *6067:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23252:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23380:B 0.000164076 +2 *6067:DIODE 0 +3 *23252:X 0.000637823 +4 *1499:11 0.000801899 +5 *23380:B *23380:A 0.000218628 +6 *23380:B *2784:39 1.57593e-05 +7 *1499:11 *23380:A 1.65872e-05 +8 *1499:11 *2365:44 7.50722e-05 +9 *1499:11 *2773:33 0.000137858 +10 *1499:11 *2773:37 1.61631e-05 +*RES +1 *23252:X *1499:11 28.8771 +2 *1499:11 *6067:DIODE 9.24915 +3 *1499:11 *23380:B 13.903 +*END + +*D_NET *1500 0.00195439 +*CONN +*I *23381:B I *D sky130_fd_sc_hd__nand2_1 +*I *6069:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23253:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23381:B 0 +2 *6069:DIODE 0.000135105 +3 *23253:X 0.000402213 +4 *1500:11 0.000537318 +5 *6069:DIODE *23381:A 0.000111708 +6 *1500:11 *1628:9 9.70693e-05 +7 *1500:11 *1629:10 0.000217937 +8 *1500:11 *2550:6 0 +9 *1500:11 *2795:36 3.73573e-05 +10 *1500:11 *2818:28 9.60366e-05 +11 *1500:11 *2835:6 0.000197042 +12 *1441:9 *6069:DIODE 5.75344e-05 +13 *1441:9 *1500:11 6.50727e-05 +*RES +1 *23253:X *1500:11 30.6663 +2 *1500:11 *6069:DIODE 12.7697 +3 *1500:11 *23381:B 9.24915 +*END + +*D_NET *1501 0.00102185 +*CONN +*I *6071:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23382:B I *D sky130_fd_sc_hd__nand2_1 +*I *23254:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6071:DIODE 0 +2 *23382:B 0.000103381 +3 *23254:X 0.000241589 +4 *1501:9 0.000344969 +5 *23382:B *2795:36 8.88534e-05 +6 *1501:9 *2372:42 8.5064e-05 +7 *1501:9 *2552:12 0.000138777 +8 *1501:9 *2795:36 1.92172e-05 +*RES +1 *23254:X *1501:9 25.3723 +2 *1501:9 *23382:B 12.2151 +3 *1501:9 *6071:DIODE 9.24915 +*END + +*D_NET *1502 0.000803976 +*CONN +*I *6073:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23383:B I *D sky130_fd_sc_hd__nand2_1 +*I *23255:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6073:DIODE 1.54967e-05 +2 *23383:B 2.69213e-05 +3 *23255:X 0.000261329 +4 *1502:8 0.000303747 +5 *6073:DIODE *1632:9 2.65831e-05 +6 *6073:DIODE *2795:36 1.19856e-05 +7 *23383:B *1632:9 5.0715e-05 +8 *23383:B *2795:36 2.85139e-05 +9 *1502:8 *6072:DIODE 0 +10 *1502:8 *2554:6 0 +11 *1502:8 *2697:10 7.86847e-05 +12 *1502:8 *2829:30 0 +13 *1502:8 *2838:6 0 +*RES +1 *23255:X *1502:8 24.2337 +2 *1502:8 *23383:B 10.5271 +3 *1502:8 *6073:DIODE 9.97254 +*END + +*D_NET *1503 0.00100321 +*CONN +*I *6075:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23384:B I *D sky130_fd_sc_hd__nand2_1 +*I *23256:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6075:DIODE 0.000121475 +2 *23384:B 0 +3 *23256:X 7.47087e-05 +4 *1503:7 0.000196183 +5 *6075:DIODE *6074:DIODE 0.000113374 +6 *6075:DIODE *23345:A 6.08467e-05 +7 *6075:DIODE *2140:8 6.50586e-05 +8 *6075:DIODE *2886:6 0.000116971 +9 *1503:7 *23256:B 0 +10 *1503:7 *23267:A 0 +11 *1503:7 *23345:A 2.75423e-05 +12 *1503:7 *1592:10 4.81015e-05 +13 *1503:7 *1631:11 7.6719e-06 +14 *1503:7 *2140:16 0.000171273 +*RES +1 *23256:X *1503:7 12.2151 +2 *1503:7 *23384:B 9.24915 +3 *1503:7 *6075:DIODE 21.9432 +*END + +*D_NET *1504 0.00163536 +*CONN +*I *6077:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23385:B I *D sky130_fd_sc_hd__nand2_1 +*I *23257:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6077:DIODE 0.000131759 +2 *23385:B 0 +3 *23257:X 0.00024738 +4 *1504:9 0.00037914 +5 *6077:DIODE *5823:DIODE 8.67924e-06 +6 *6077:DIODE *23385:A 6.50586e-05 +7 *6077:DIODE *1916:32 3.83336e-05 +8 *6077:DIODE *2157:32 6.50727e-05 +9 *6077:DIODE *2851:57 0.000277502 +10 *1504:9 *23258:A 0 +11 *1504:9 *1916:32 6.08467e-05 +12 *1504:9 *2157:32 1.65872e-05 +13 *1504:9 *2162:35 3.5534e-06 +14 *1504:9 *2376:16 0.000341451 +15 *1504:9 *2698:6 0 +*RES +1 *23257:X *1504:9 25.3723 +2 *1504:9 *23385:B 9.24915 +3 *1504:9 *6077:DIODE 14.4335 +*END + +*D_NET *1505 0.00209215 +*CONN +*I *23386:B I *D sky130_fd_sc_hd__nand2_1 +*I *6079:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23258:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23386:B 0 +2 *6079:DIODE 0.000169835 +3 *23258:X 0.000284675 +4 *1505:8 0.000454511 +5 *6079:DIODE *23386:A 6.50586e-05 +6 *6079:DIODE *1633:11 2.16355e-05 +7 *6079:DIODE *1905:48 3.58208e-05 +8 *6079:DIODE *2862:23 0.000316412 +9 *1505:8 *1633:11 0.000265102 +10 *1505:8 *2002:21 0 +11 *1505:8 *2376:16 0.000479099 +12 *1505:8 *2555:6 0 +*RES +1 *23258:X *1505:8 27.5557 +2 *1505:8 *6079:DIODE 14.9881 +3 *1505:8 *23386:B 9.24915 +*END + +*D_NET *1506 0.00159949 +*CONN +*I *6081:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23387:B I *D sky130_fd_sc_hd__nand2_1 +*I *23259:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6081:DIODE 0.000119595 +2 *23387:B 2.1308e-05 +3 *23259:X 0.000248901 +4 *1506:9 0.000389804 +5 *6081:DIODE *6080:DIODE 0.000113968 +6 *6081:DIODE *23387:A 6.08467e-05 +7 *6081:DIODE *1634:11 2.07503e-05 +8 *1506:9 *23134:A 3.39219e-05 +9 *1506:9 *23261:A 7.48633e-05 +10 *1506:9 *1634:11 2.16355e-05 +11 *1506:9 *1636:13 0.000217951 +12 *1506:9 *1999:11 1.83273e-05 +13 *1506:9 *2884:28 1.24723e-05 +14 *1506:9 *3249:7 2.16355e-05 +15 *1506:9 *3249:8 0.000132422 +16 *1506:9 *3252:6 9.10893e-05 +*RES +1 *23259:X *1506:9 26.3478 +2 *1506:9 *23387:B 9.82786 +3 *1506:9 *6081:DIODE 12.191 +*END + +*D_NET *1507 0.000677647 +*CONN +*I *6083:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23388:B I *D sky130_fd_sc_hd__nand2_1 +*I *23260:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6083:DIODE 3.22273e-05 +2 *23388:B 1.26553e-05 +3 *23260:X 9.83637e-05 +4 *1507:8 0.000143246 +5 *6083:DIODE *2156:70 0.000107496 +6 *6083:DIODE *2773:33 3.31745e-05 +7 *23388:B *2156:70 6.50586e-05 +8 *23388:B *2773:33 2.65667e-05 +9 *1507:8 *1999:11 2.61667e-05 +10 *1507:8 *2701:8 0.000132692 +*RES +1 *23260:X *1507:8 20.9116 +2 *1507:8 *23388:B 9.97254 +3 *1507:8 *6083:DIODE 10.5271 +*END + +*D_NET *1508 0.0011151 +*CONN +*I *6085:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23389:B I *D sky130_fd_sc_hd__nand2_1 +*I *23261:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6085:DIODE 4.06498e-05 +2 *23389:B 2.19858e-05 +3 *23261:X 0.000213806 +4 *1508:8 0.000276441 +5 *6085:DIODE *2157:24 0.000110306 +6 *6085:DIODE *3096:17 5.04829e-06 +7 *23389:B *2157:24 6.50586e-05 +8 *1508:8 *23261:B 2.99929e-05 +9 *1508:8 *23389:A 7.75133e-06 +10 *1508:8 *1636:13 6.00416e-05 +11 *1508:8 *2379:26 0.000284017 +*RES +1 *23261:X *1508:8 24.2337 +2 *1508:8 *23389:B 9.97254 +3 *1508:8 *6085:DIODE 10.5271 +*END + +*D_NET *1509 0.00199635 +*CONN +*I *6087:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23390:B I *D sky130_fd_sc_hd__nand2_1 +*I *23262:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6087:DIODE 0.000236463 +2 *23390:B 0 +3 *23262:X 0.000257086 +4 *1509:9 0.00049355 +5 *6087:DIODE *1637:9 1.07248e-05 +6 *6087:DIODE *2157:24 6.50586e-05 +7 *6087:DIODE *2843:16 0 +8 *6087:DIODE *2845:12 1.44997e-05 +9 *6087:DIODE *3096:17 0.000171288 +10 *1509:9 *2157:24 0.000217951 +11 *1509:9 *2560:6 0.00011225 +12 *1509:9 *2845:12 3.99824e-05 +13 *1509:9 *2851:57 0.000110649 +14 *1369:31 *6087:DIODE 4.88955e-05 +15 *1369:31 *1509:9 0.000217951 +*RES +1 *23262:X *1509:9 26.6209 +2 *1509:9 *23390:B 9.24915 +3 *1509:9 *6087:DIODE 23.1257 +*END + +*D_NET *1510 0.00131642 +*CONN +*I *6089:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23391:B I *D sky130_fd_sc_hd__nand2_2 +*I *23263:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6089:DIODE 1.54421e-05 +2 *23391:B 0 +3 *23263:X 0.000353025 +4 *1510:10 0.000368467 +5 *6089:DIODE *23391:A 0.000171288 +6 *6089:DIODE *2166:42 0.000171288 +7 *1510:10 *23263:B 3.61993e-05 +8 *1510:10 *1884:29 6.50586e-05 +9 *1510:10 *2706:8 0.000121559 +10 *1510:10 *2784:21 1.40978e-05 +*RES +1 *23263:X *1510:10 24.4081 +2 *1510:10 *23391:B 9.24915 +3 *1510:10 *6089:DIODE 11.0817 +*END + +*D_NET *1511 0.000881139 +*CONN +*I *6091:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23392:B I *D sky130_fd_sc_hd__nand2_2 +*I *23264:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6091:DIODE 2.39966e-05 +2 *23392:B 0 +3 *23264:X 5.81492e-05 +4 *1511:7 8.21458e-05 +5 *6091:DIODE *2156:68 0.000169041 +6 *6091:DIODE *2168:30 6.49003e-05 +7 *1511:7 *23392:A 6.50727e-05 +8 *1511:7 *2156:68 0.000149035 +9 *1511:7 *2168:30 0.000268798 +*RES +1 *23264:X *1511:7 12.7697 +2 *1511:7 *23392:B 9.24915 +3 *1511:7 *6091:DIODE 11.0817 +*END + +*D_NET *1512 0.00087579 +*CONN +*I *6093:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23393:B I *D sky130_fd_sc_hd__nand2_2 +*I *23265:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6093:DIODE 2.32667e-05 +2 *23393:B 0 +3 *23265:X 0.000159629 +4 *1512:9 0.000182896 +5 *6093:DIODE *2166:42 0.000164815 +6 *6093:DIODE *2940:53 0.000148652 +7 *1512:9 *2166:42 6.50727e-05 +8 *1512:9 *2806:16 4.42345e-05 +9 *1512:9 *2840:8 2.2151e-05 +10 *1512:9 *2940:53 6.50727e-05 +*RES +1 *23265:X *1512:9 21.635 +2 *1512:9 *23393:B 9.24915 +3 *1512:9 *6093:DIODE 11.0817 +*END + +*D_NET *1513 0.00218111 +*CONN +*I *23394:B I *D sky130_fd_sc_hd__nand2_2 +*I *6095:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23266:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23394:B 3.81037e-05 +2 *6095:DIODE 0 +3 *23266:X 0.000778822 +4 *1513:10 0.000816926 +5 *23394:B *23394:A 1.47978e-05 +6 *23394:B *3188:29 0.000114594 +7 *1513:10 *1515:11 0 +8 *1513:10 *2165:36 0.000211478 +9 *1513:10 *2851:50 4.10598e-05 +10 *1513:10 *2918:52 8.62625e-06 +11 *1513:10 *3006:50 0.000107956 +12 *1169:89 *23394:B 4.87439e-05 +*RES +1 *23266:X *1513:10 33.2649 +2 *1513:10 *6095:DIODE 9.24915 +3 *1513:10 *23394:B 11.1059 +*END + +*D_NET *1514 0.000656712 +*CONN +*I *6097:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23395:B I *D sky130_fd_sc_hd__nand2_1 +*I *23267:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6097:DIODE 4.90219e-05 +2 *23395:B 0 +3 *23267:X 5.07946e-06 +4 *1514:5 5.41014e-05 +5 *6097:DIODE *23267:B 3.01683e-06 +6 *6097:DIODE *23345:A 0.000271044 +7 *6097:DIODE *23395:A 0.000115934 +8 *6097:DIODE *2141:46 6.50954e-05 +9 *1514:5 *23345:A 2.41483e-05 +10 *1514:5 *2141:46 6.92705e-05 +*RES +1 *23267:X *1514:5 9.97254 +2 *1514:5 *23395:B 9.24915 +3 *1514:5 *6097:DIODE 12.7697 +*END + +*D_NET *1515 0.0033436 +*CONN +*I *6099:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23396:B I *D sky130_fd_sc_hd__nand2_1 +*I *23268:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6099:DIODE 0.000273856 +2 *23396:B 0 +3 *23268:X 0.000885985 +4 *1515:11 0.00115984 +5 *6099:DIODE *23394:A 8.67924e-06 +6 *6099:DIODE *23396:A 0.000294788 +7 *1515:11 *6094:DIODE 0.000115746 +8 *1515:11 *23268:B 6.08467e-05 +9 *1515:11 *23394:A 6.08467e-05 +10 *1515:11 *1643:13 4.4486e-06 +11 *1515:11 *2012:22 0.000284776 +12 *1515:11 *2841:12 0 +13 *1515:11 *2940:47 6.50727e-05 +14 *1169:89 *6099:DIODE 1.69964e-05 +15 *1169:89 *1515:11 0.000111722 +16 *1513:10 *1515:11 0 +*RES +1 *23268:X *1515:11 37.0316 +2 *1515:11 *23396:B 9.24915 +3 *1515:11 *6099:DIODE 14.6987 +*END + +*D_NET *1516 0.00135713 +*CONN +*I *6101:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23397:B I *D sky130_fd_sc_hd__nand2_1 +*I *23269:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6101:DIODE 7.20908e-05 +2 *23397:B 0 +3 *23269:X 0.000231175 +4 *1516:10 0.000303266 +5 *6101:DIODE *23265:B 8.67924e-06 +6 *6101:DIODE *23397:A 0.000158371 +7 *6101:DIODE *1644:11 2.65831e-05 +8 *6101:DIODE *2161:39 7.6719e-06 +9 *6101:DIODE *2169:64 0.000264871 +10 *1516:10 *2156:68 0.000222149 +11 *1516:10 *2806:16 2.2224e-05 +12 *1516:10 *2840:8 4.00463e-05 +*RES +1 *23269:X *1516:10 22.8836 +2 *1516:10 *23397:B 9.24915 +3 *1516:10 *6101:DIODE 12.7697 +*END + +*D_NET *1517 0.000985416 +*CONN +*I *6103:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23398:B I *D sky130_fd_sc_hd__nand2_1 +*I *23270:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6103:DIODE 5.77633e-05 +2 *23398:B 0 +3 *23270:X 4.57301e-05 +4 *1517:5 0.000103493 +5 *6103:DIODE *23398:A 0.000169041 +6 *6103:DIODE *2150:26 3.34025e-05 +7 *6103:DIODE *2448:13 0.000278771 +8 *1517:5 *2150:26 6.50727e-05 +9 *1517:5 *2150:28 5.73392e-05 +10 *1517:5 *2448:13 1.41853e-05 +11 *1517:5 *2448:17 0.000160617 +*RES +1 *23270:X *1517:5 11.6364 +2 *1517:5 *23398:B 9.24915 +3 *1517:5 *6103:DIODE 12.7697 +*END + +*D_NET *1518 0.000573428 +*CONN +*I *6105:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23399:B I *D sky130_fd_sc_hd__nand2_1 +*I *23271:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6105:DIODE 0 +2 *23399:B 9.76153e-05 +3 *23271:X 5.87678e-05 +4 *1518:8 0.000156383 +5 *23399:B *1997:32 0.000227182 +6 *1518:8 *2709:8 0 +7 *1518:8 *2841:12 3.34802e-05 +*RES +1 *23271:X *1518:8 19.6659 +2 *1518:8 *23399:B 12.625 +3 *1518:8 *6105:DIODE 9.24915 +*END + +*D_NET *1519 0.000573242 +*CONN +*I *6107:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23400:B I *D sky130_fd_sc_hd__nand2_1 +*I *23272:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6107:DIODE 0 +2 *23400:B 5.75898e-05 +3 *23272:X 2.34613e-05 +4 *1519:5 8.10511e-05 +5 *23400:B *23400:A 4.31603e-06 +6 *23400:B *2762:29 0.000222215 +7 *23400:B *3017:29 5.63629e-05 +8 *1519:5 *2762:29 0.000100396 +9 *1519:5 *3017:29 2.78496e-05 +*RES +1 *23272:X *1519:5 10.5271 +2 *1519:5 *23400:B 12.2151 +3 *1519:5 *6107:DIODE 9.24915 +*END + +*D_NET *1520 0.00216847 +*CONN +*I *6109:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23401:B I *D sky130_fd_sc_hd__nand2_1 +*I *23273:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6109:DIODE 7.58451e-05 +2 *23401:B 0 +3 *23273:X 0.000211489 +4 *1520:8 0.000287334 +5 *6109:DIODE *23401:A 6.73186e-05 +6 *6109:DIODE *1651:8 1.67716e-05 +7 *6109:DIODE *2152:34 2.42273e-05 +8 *6109:DIODE *2580:14 1.56631e-05 +9 *6109:DIODE *2995:87 0.000266846 +10 *1520:8 *5671:DIODE 2.13521e-05 +11 *1520:8 *1651:8 2.14842e-06 +12 *1520:8 *2142:50 0.00057454 +13 *1520:8 *2144:10 0.000570328 +14 *1520:8 *2580:14 3.46029e-05 +15 *1267:12 *1520:8 0 +*RES +1 *23273:X *1520:8 23.0963 +2 *1520:8 *23401:B 13.7491 +3 *1520:8 *6109:DIODE 17.6924 +*END + +*D_NET *1521 0.000698865 +*CONN +*I *6111:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23402:B I *D sky130_fd_sc_hd__nand2_1 +*I *23274:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6111:DIODE 4.28793e-05 +2 *23402:B 0 +3 *23274:X 1.78357e-05 +4 *1521:5 6.0715e-05 +5 *6111:DIODE *23274:B 8.67924e-06 +6 *6111:DIODE *23402:A 0.000175485 +7 *6111:DIODE *2178:18 0.000277502 +8 *6111:DIODE *2762:27 3.83336e-05 +9 *1521:5 *2178:18 1.65872e-05 +10 *1521:5 *2762:27 6.08467e-05 +*RES +1 *23274:X *1521:5 9.97254 +2 *1521:5 *23402:B 9.24915 +3 *1521:5 *6111:DIODE 12.7697 +*END + +*D_NET *1522 0.00220409 +*CONN +*I *6113:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23403:B I *D sky130_fd_sc_hd__nand2_1 +*I *23275:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6113:DIODE 2.10155e-05 +2 *23403:B 0 +3 *23275:X 0.000215535 +4 *1522:10 0.00023655 +5 *6113:DIODE *2146:16 0.000169041 +6 *6113:DIODE *2148:20 0.000164829 +7 *1522:10 *2374:19 0.000629893 +8 *1522:10 *2529:6 0 +9 *1522:10 *2581:6 0.00013521 +10 *1522:10 *2751:22 0.000629893 +11 *1522:10 *3051:24 2.1203e-06 +*RES +1 *23275:X *1522:10 28.1509 +2 *1522:10 *23403:B 9.24915 +3 *1522:10 *6113:DIODE 11.0817 +*END + +*D_NET *1523 0.000704988 +*CONN +*I *6115:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23404:B I *D sky130_fd_sc_hd__nand2_1 +*I *23276:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6115:DIODE 3.63121e-05 +2 *23404:B 2.06324e-05 +3 *23276:X 1.71979e-05 +4 *1523:5 7.41423e-05 +5 *6115:DIODE *23404:A 0.000164829 +6 *6115:DIODE *1896:27 0.000266846 +7 *6115:DIODE *1897:13 3.83336e-05 +8 *23404:B *1896:15 0 +9 *1523:5 *1896:27 6.50586e-05 +10 *1523:5 *1897:13 2.16355e-05 +*RES +1 *23276:X *1523:5 9.97254 +2 *1523:5 *23404:B 9.82786 +3 *1523:5 *6115:DIODE 12.191 +*END + +*D_NET *1524 0.00123965 +*CONN +*I *6117:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23405:B I *D sky130_fd_sc_hd__nand2_1 +*I *23277:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6117:DIODE 6.69883e-05 +2 *23405:B 0 +3 *23277:X 9.65587e-05 +4 *1524:9 0.000163547 +5 *6117:DIODE *23405:A 0.000164829 +6 *6117:DIODE *1652:8 6.92705e-05 +7 *6117:DIODE *2071:22 3.83172e-05 +8 *6117:DIODE *2093:16 8.14875e-05 +9 *6117:DIODE *2651:19 0 +10 *1524:9 *1651:8 0.000130547 +11 *1524:9 *1652:8 1.67988e-05 +12 *1524:9 *1654:15 0.000130547 +13 *1524:9 *2071:22 0.000169041 +14 *1524:9 *2651:19 0.000111722 +*RES +1 *23277:X *1524:9 22.7442 +2 *1524:9 *23405:B 9.24915 +3 *1524:9 *6117:DIODE 12.7697 +*END + +*D_NET *1525 0.000811707 +*CONN +*I *6119:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23406:B I *D sky130_fd_sc_hd__nand2_1 +*I *23278:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6119:DIODE 0.000118242 +2 *23406:B 0 +3 *23278:X 7.74212e-05 +4 *1525:5 0.000195664 +5 *6119:DIODE *23406:A 0.000175485 +6 *6119:DIODE *2142:62 3.34025e-05 +7 *1525:5 *2142:62 0.000211492 +*RES +1 *23278:X *1525:5 11.6364 +2 *1525:5 *23406:B 9.24915 +3 *1525:5 *6119:DIODE 12.7697 +*END + +*D_NET *1526 0.000655345 +*CONN +*I *6121:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23407:B I *D sky130_fd_sc_hd__nand2_1 +*I *23279:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6121:DIODE 5.49006e-05 +2 *23407:B 0 +3 *23279:X 1.55766e-05 +4 *1526:5 7.04773e-05 +5 *6121:DIODE *6120:DIODE 0.000167076 +6 *6121:DIODE *2156:48 0.00027754 +7 *6121:DIODE *2168:20 2.39581e-05 +8 *1526:5 *2156:48 1.92336e-05 +9 *1526:5 *2168:20 2.65831e-05 +*RES +1 *23279:X *1526:5 9.97254 +2 *1526:5 *23407:B 9.24915 +3 *1526:5 *6121:DIODE 12.7697 +*END + +*D_NET *1527 0.00131319 +*CONN +*I *6123:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23408:B I *D sky130_fd_sc_hd__nand2_1 +*I *23280:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6123:DIODE 0 +2 *23408:B 1.54601e-05 +3 *23280:X 0.000297728 +4 *1527:10 0.000313188 +5 *23408:B *2157:24 0.000171273 +6 *23408:B *3096:25 0.000171273 +7 *1527:10 *23280:B 3.14978e-05 +8 *1527:10 *23408:A 0 +9 *1527:10 *2166:40 4.69495e-06 +10 *1527:10 *2183:29 0.000275612 +11 *1527:10 *2509:12 0 +12 *1262:26 *1527:10 3.24632e-05 +*RES +1 *23280:X *1527:10 24.9571 +2 *1527:10 *23408:B 11.0817 +3 *1527:10 *6123:DIODE 9.24915 +*END + +*D_NET *1528 0.000638552 +*CONN +*I *6125:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23409:B I *D sky130_fd_sc_hd__nand2_1 +*I *23281:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6125:DIODE 0.000119477 +2 *23409:B 0 +3 *23281:X 3.26892e-05 +4 *1528:5 0.000152166 +5 *6125:DIODE *23409:A 0.000169041 +6 *6125:DIODE *2178:14 3.83336e-05 +7 *6125:DIODE *2178:16 8.67924e-06 +8 *1528:5 *2178:14 0.000118166 +*RES +1 *23281:X *1528:5 10.5271 +2 *1528:5 *23409:B 9.24915 +3 *1528:5 *6125:DIODE 12.7697 +*END + +*D_NET *1529 0.0011114 +*CONN +*I *6127:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23410:B I *D sky130_fd_sc_hd__nand2_1 +*I *23282:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6127:DIODE 0.000234795 +2 *23410:B 0 +3 *23282:X 0.000122506 +4 *1529:6 0.0003573 +5 *6127:DIODE *23410:A 0 +6 *6127:DIODE *2875:21 0 +7 *1529:6 *23282:B 5.22654e-06 +8 *1529:6 *23410:A 0 +9 *1529:6 *1657:9 0.000114074 +10 *1529:6 *2875:21 0 +11 *1153:45 *6127:DIODE 0.000277502 +*RES +1 *23282:X *1529:6 16.8269 +2 *1529:6 *23410:B 13.7491 +3 *1529:6 *6127:DIODE 18.1077 +*END + +*D_NET *1530 0.000715039 +*CONN +*I *6129:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23411:B I *D sky130_fd_sc_hd__nand2_1 +*I *23283:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6129:DIODE 8.73367e-05 +2 *23411:B 1.78668e-05 +3 *23283:X 6.71885e-06 +4 *1530:5 0.000111922 +5 *6129:DIODE *23411:A 0.0002817 +6 *6129:DIODE *1658:5 5.31074e-05 +7 *6129:DIODE *2140:8 4.82966e-05 +8 *23411:B *5873:DIODE 9.51479e-06 +9 *1530:5 *1658:5 6.78596e-05 +10 *1530:5 *2140:8 3.07159e-05 +*RES +1 *23283:X *1530:5 9.97254 +2 *1530:5 *23411:B 9.82786 +3 *1530:5 *6129:DIODE 13.3002 +*END + +*D_NET *1531 0.000703158 +*CONN +*I *23412:B I *D sky130_fd_sc_hd__nand2_1 +*I *6131:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23284:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23412:B 0 +2 *6131:DIODE 8.41476e-05 +3 *23284:X 3.4952e-05 +4 *1531:5 0.0001191 +5 *6131:DIODE *6130:DIODE 6.78549e-05 +6 *6131:DIODE *1888:21 3.89073e-05 +7 *1531:5 *1888:21 0.000171904 +8 *1531:5 *1892:13 1.92172e-05 +9 *1531:5 *2726:9 0.000167076 +*RES +1 *23284:X *1531:5 11.6364 +2 *1531:5 *6131:DIODE 11.6605 +3 *1531:5 *23412:B 9.24915 +*END + +*D_NET *1532 0.000689802 +*CONN +*I *23413:B I *D sky130_fd_sc_hd__nand2_1 +*I *6133:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23285:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23413:B 0 +2 *6133:DIODE 4.53555e-05 +3 *23285:X 1.05964e-05 +4 *1532:5 5.59519e-05 +5 *6133:DIODE *23413:A 0.000175485 +6 *6133:DIODE *1658:5 0.000277502 +7 *6133:DIODE *2140:8 3.83336e-05 +8 *1532:5 *1658:5 2.15184e-05 +9 *1532:5 *2140:8 6.50586e-05 +*RES +1 *23285:X *1532:5 9.97254 +2 *1532:5 *6133:DIODE 12.7697 +3 *1532:5 *23413:B 9.24915 +*END + +*D_NET *1533 0.000501486 +*CONN +*I *6135:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23414:B I *D sky130_fd_sc_hd__nand2_1 +*I *23286:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6135:DIODE 0.00014014 +2 *23414:B 0 +3 *23286:X 2.66727e-05 +4 *1533:5 0.000166813 +5 *6135:DIODE *5879:DIODE 3.01683e-06 +6 *6135:DIODE *23414:A 0.000164843 +*RES +1 *23286:X *1533:5 9.97254 +2 *1533:5 *23414:B 9.24915 +3 *1533:5 *6135:DIODE 12.7697 +*END + +*D_NET *1534 0.000750161 +*CONN +*I *6137:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23415:B I *D sky130_fd_sc_hd__nand2_2 +*I *23287:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6137:DIODE 1.46912e-05 +2 *23415:B 0 +3 *23287:X 7.19137e-05 +4 *1534:7 8.6605e-05 +5 *6137:DIODE *23415:A 0.00017407 +6 *6137:DIODE *2151:38 7.16604e-05 +7 *1534:7 *23415:A 5.3103e-05 +8 *1534:7 *2151:38 0.000278118 +*RES +1 *23287:X *1534:7 12.7697 +2 *1534:7 *23415:B 9.24915 +3 *1534:7 *6137:DIODE 11.0817 +*END + +*D_NET *1535 0.000678385 +*CONN +*I *6139:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23416:B I *D sky130_fd_sc_hd__nand2_1 +*I *23288:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6139:DIODE 0 +2 *23416:B 2.76768e-05 +3 *23288:X 7.07069e-05 +4 *1535:8 9.83837e-05 +5 *23416:B *2734:15 0.000161234 +6 *23416:B *3173:39 0.000161234 +7 *1535:8 *23291:A 4.08482e-05 +8 *1535:8 *23291:B 2.55661e-06 +9 *1535:8 *2736:17 0.000115746 +*RES +1 *23288:X *1535:8 20.4964 +2 *1535:8 *23416:B 11.0817 +3 *1535:8 *6139:DIODE 9.24915 +*END + +*D_NET *1536 0.00157372 +*CONN +*I *6141:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23417:B I *D sky130_fd_sc_hd__nand2_2 +*I *23289:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6141:DIODE 0 +2 *23417:B 6.25875e-05 +3 *23289:X 0.000304738 +4 *1536:5 0.000367325 +5 *23417:B *23417:A 0.00016553 +6 *23417:B *2140:8 0.000162739 +7 *23417:B *2686:10 8.18344e-06 +8 *23417:B *3236:8 4.70559e-05 +9 *1536:5 *2140:8 0.000455564 +*RES +1 *23289:X *1536:5 14.4094 +2 *1536:5 *23417:B 21.0832 +3 *1536:5 *6141:DIODE 9.24915 +*END + +*D_NET *1537 0.00058342 +*CONN +*I *23418:B I *D sky130_fd_sc_hd__nand2_1 +*I *6143:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23290:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23418:B 6.9594e-05 +2 *6143:DIODE 0 +3 *23290:X 0.000149963 +4 *1537:5 0.000219557 +5 *23418:B *1898:15 2.93579e-05 +6 *1537:5 *1665:12 0.000113968 +7 *1537:5 *1898:15 9.80242e-07 +*RES +1 *23290:X *1537:5 11.6364 +2 *1537:5 *6143:DIODE 9.24915 +3 *1537:5 *23418:B 11.4314 +*END + +*D_NET *1538 0.000639284 +*CONN +*I *6145:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23419:B I *D sky130_fd_sc_hd__nand2_1 +*I *23291:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6145:DIODE 5.74996e-05 +2 *23419:B 0 +3 *23291:X 6.52186e-06 +4 *1538:5 6.40215e-05 +5 *6145:DIODE *23419:A 0.000167625 +6 *6145:DIODE *1662:17 0.00027384 +7 *6145:DIODE *1666:10 1.41291e-05 +8 *1538:5 *1662:17 2.41483e-05 +9 *1538:5 *1666:10 3.14978e-05 +*RES +1 *23291:X *1538:5 9.97254 +2 *1538:5 *23419:B 9.24915 +3 *1538:5 *6145:DIODE 12.7697 +*END + +*D_NET *1539 0.00095471 +*CONN +*I *6147:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23420:B I *D sky130_fd_sc_hd__nand2_1 +*I *23292:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6147:DIODE 0.000166201 +2 *23420:B 2.19601e-05 +3 *23292:X 1.39582e-05 +4 *1539:5 0.000202119 +5 *6147:DIODE *23420:A 6.50586e-05 +6 *6147:DIODE *1667:8 5.08751e-05 +7 *6147:DIODE *3173:39 4.33819e-05 +8 *6147:DIODE *3239:43 0.000299192 +9 *23420:B *23292:B 2.53145e-06 +10 *1539:5 *1667:8 6.36477e-05 +11 *1539:5 *3173:39 2.57847e-05 +*RES +1 *23292:X *1539:5 9.97254 +2 *1539:5 *23420:B 9.82786 +3 *1539:5 *6147:DIODE 14.4094 +*END + +*D_NET *1540 0.000979536 +*CONN +*I *6149:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23421:B I *D sky130_fd_sc_hd__nand2_2 +*I *23293:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6149:DIODE 0.000118949 +2 *23421:B 2.02694e-05 +3 *23293:X 7.17869e-05 +4 *1540:5 0.000211005 +5 *6149:DIODE *1943:8 0.000268257 +6 *1540:5 *1943:8 0.000100477 +7 *1285:10 *6149:DIODE 3.07848e-05 +8 *1392:23 *6149:DIODE 4.99536e-05 +9 *1392:23 *1540:5 0.000108054 +*RES +1 *23293:X *1540:5 12.191 +2 *1540:5 *23421:B 9.82786 +3 *1540:5 *6149:DIODE 13.4931 +*END + +*D_NET *1541 0.00117275 +*CONN +*I *23422:B I *D sky130_fd_sc_hd__nand2_1 +*I *6151:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23294:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23422:B 0 +2 *6151:DIODE 0.000162241 +3 *23294:X 0.00029012 +4 *1541:8 0.00045236 +5 *6151:DIODE *1669:6 8.62625e-06 +6 *6151:DIODE *2139:7 9.38345e-06 +7 *6151:DIODE *2146:16 6.50586e-05 +8 *6151:DIODE *2595:14 2.2451e-05 +9 *6151:DIODE *3262:48 1.05272e-06 +10 *1541:8 *2139:7 0 +11 *1541:8 *2595:14 0.000113916 +12 *1541:8 *3262:48 3.83492e-06 +13 *1147:37 *1541:8 4.37048e-05 +*RES +1 *23294:X *1541:8 18.7989 +2 *1541:8 *6151:DIODE 16.9396 +3 *1541:8 *23422:B 13.7491 +*END + +*D_NET *1542 0.000721941 +*CONN +*I *6153:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23423:B I *D sky130_fd_sc_hd__nand2_1 +*I *23295:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6153:DIODE 0.000182041 +2 *23423:B 2.40781e-05 +3 *23295:X 1.81593e-05 +4 *1542:5 0.000224278 +5 *6153:DIODE *5895:DIODE 0 +6 *6153:DIODE *23294:A 0.000135958 +7 *6153:DIODE *23295:B 1.39717e-06 +8 *6153:DIODE *23423:A 3.67528e-06 +9 *6153:DIODE *2879:8 0 +10 *23423:B *23294:A 5.04829e-06 +11 *23423:B *2374:11 6.36477e-05 +12 *1542:5 *2374:11 6.3657e-05 +*RES +1 *23295:X *1542:5 9.97254 +2 *1542:5 *23423:B 9.97254 +3 *1542:5 *6153:DIODE 22.1574 +*END + +*D_NET *1543 0.000821792 +*CONN +*I *6155:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23424:B I *D sky130_fd_sc_hd__nand2_2 +*I *23296:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6155:DIODE 3.88677e-05 +2 *23424:B 1.80086e-05 +3 *23296:X 6.46378e-05 +4 *1543:7 0.000121514 +5 *6155:DIODE *5898:DIODE 9.44106e-05 +6 *6155:DIODE *1943:8 8.70611e-05 +7 *23424:B *23424:A 1.47978e-05 +8 *1543:7 *5898:DIODE 4.57616e-05 +9 *1543:7 *23424:A 6.50586e-05 +10 *1543:7 *1943:8 0.000271674 +*RES +1 *23296:X *1543:7 12.7697 +2 *1543:7 *23424:B 9.82786 +3 *1543:7 *6155:DIODE 11.6364 +*END + +*D_NET *1544 0.000530849 +*CONN +*I *6157:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23425:B I *D sky130_fd_sc_hd__nand2_1 +*I *23297:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6157:DIODE 7.5185e-05 +2 *23425:B 1.93962e-05 +3 *23297:X 2.5719e-05 +4 *1544:5 0.0001203 +5 *6157:DIODE *23425:A 0.000175485 +6 *6157:DIODE *1672:11 6.50586e-05 +7 *6157:DIODE *2151:38 2.82583e-05 +8 *23425:B *5901:DIODE 3.01683e-06 +9 *1544:5 *2151:38 1.84293e-05 +*RES +1 *23297:X *1544:5 9.97254 +2 *1544:5 *23425:B 9.82786 +3 *1544:5 *6157:DIODE 12.191 +*END + +*D_NET *1545 0.0013153 +*CONN +*I *23426:B I *D sky130_fd_sc_hd__nand2_1 +*I *6159:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23298:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23426:B 0.000157624 +2 *6159:DIODE 7.79275e-06 +3 *23298:X 4.89313e-05 +4 *1545:5 0.000214348 +5 *6159:DIODE *2374:11 6.50727e-05 +6 *23426:B *23426:A 7.16754e-05 +7 *23426:B *1673:16 0.0003112 +8 *23426:B *2374:11 2.33978e-05 +9 *1545:5 *1673:16 9.11671e-05 +10 *1545:5 *2374:11 0.000324088 +*RES +1 *23298:X *1545:5 12.7456 +2 *1545:5 *6159:DIODE 9.97254 +3 *1545:5 *23426:B 15.2774 +*END + +*D_NET *1546 0.000793178 +*CONN +*I *6161:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23427:B I *D sky130_fd_sc_hd__nand2_1 +*I *23299:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6161:DIODE 9.6533e-05 +2 *23427:B 2.06324e-05 +3 *23299:X 0.000145245 +4 *1546:5 0.00026241 +5 *6161:DIODE *6160:DIODE 0.000167701 +6 *6161:DIODE *3228:37 2.39581e-05 +7 *1546:5 *3228:37 7.66988e-05 +*RES +1 *23299:X *1546:5 12.7456 +2 *1546:5 *23427:B 9.82786 +3 *1546:5 *6161:DIODE 12.191 +*END + +*D_NET *1547 0.000592756 +*CONN +*I *6163:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23428:B I *D sky130_fd_sc_hd__nand2_2 +*I *23300:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6163:DIODE 4.0312e-05 +2 *23428:B 0 +3 *23300:X 0.000129912 +4 *1547:7 0.000170224 +5 *6163:DIODE *23428:A 0.000171904 +6 *1547:7 *23428:A 5.05502e-05 +7 *1547:7 *2142:56 2.98551e-05 +*RES +1 *23300:X *1547:7 12.7697 +2 *1547:7 *23428:B 9.24915 +3 *1547:7 *6163:DIODE 11.0817 +*END + +*D_NET *1548 0.000691509 +*CONN +*I *6165:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23429:B I *D sky130_fd_sc_hd__nand2_1 +*I *23301:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6165:DIODE 3.36273e-05 +2 *23429:B 1.78668e-05 +3 *23301:X 6.71885e-06 +4 *1548:5 5.82129e-05 +5 *6165:DIODE *23429:A 0.000171904 +6 *6165:DIODE *2397:7 0.000254756 +7 *23429:B *5909:DIODE 9.51479e-06 +8 *1548:5 *2397:7 6.78596e-05 +9 *1157:37 *6165:DIODE 4.03336e-05 +10 *1157:37 *1548:5 3.07159e-05 +*RES +1 *23301:X *1548:5 9.97254 +2 *1548:5 *23429:B 9.82786 +3 *1548:5 *6165:DIODE 12.191 +*END + +*D_NET *1549 0.000663881 +*CONN +*I *6167:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23430:B I *D sky130_fd_sc_hd__nand2_1 +*I *23302:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6167:DIODE 8.25499e-05 +2 *23430:B 0 +3 *23302:X 7.27698e-06 +4 *1549:5 8.98269e-05 +5 *6167:DIODE *2142:40 0.000108281 +6 *6167:DIODE *2177:24 0.000311955 +7 *6167:DIODE *2479:21 9.54357e-06 +8 *1549:5 *2177:24 2.37325e-05 +9 *1549:5 *2479:21 3.07159e-05 +*RES +1 *23302:X *1549:5 9.97254 +2 *1549:5 *23430:B 9.24915 +3 *1549:5 *6167:DIODE 13.3243 +*END + +*D_NET *1550 0.000682454 +*CONN +*I *6169:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23431:B I *D sky130_fd_sc_hd__nand2_1 +*I *23303:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6169:DIODE 4.37516e-05 +2 *23431:B 0 +3 *23303:X 6.38228e-06 +4 *1550:5 5.01339e-05 +5 *6169:DIODE *23431:A 0.000171899 +6 *6169:DIODE *2146:16 4.09471e-05 +7 *6169:DIODE *2147:28 0 +8 *6169:DIODE *2376:13 0.000278114 +9 *1550:5 *2146:16 6.78596e-05 +10 *1550:5 *2376:13 2.33664e-05 +*RES +1 *23303:X *1550:5 9.97254 +2 *1550:5 *23431:B 9.24915 +3 *1550:5 *6169:DIODE 12.7697 +*END + +*D_NET *1551 0.000705316 +*CONN +*I *6171:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23432:B I *D sky130_fd_sc_hd__nand2_1 +*I *23304:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6171:DIODE 0.000178515 +2 *23432:B 4.82393e-05 +3 *23304:X 4.80944e-05 +4 *1551:5 0.000274848 +5 *6171:DIODE *23432:A 1.19721e-05 +6 *6171:DIODE *2208:22 4.92387e-06 +7 *6171:DIODE *2479:33 0.00011818 +8 *23432:B *1679:11 1.35161e-05 +9 *23432:B *2208:22 2.53145e-06 +10 *1551:5 *2208:22 4.49625e-06 +*RES +1 *23304:X *1551:5 9.97254 +2 *1551:5 *23432:B 10.6477 +3 *1551:5 *6171:DIODE 12.191 +*END + +*D_NET *1552 0.000579789 +*CONN +*I *6173:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23433:B I *D sky130_fd_sc_hd__nand2_1 +*I *23305:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6173:DIODE 3.69942e-05 +2 *23433:B 2.3034e-05 +3 *23305:X 9.41102e-06 +4 *1552:5 6.94392e-05 +5 *6173:DIODE *1680:11 5.09367e-05 +6 *6173:DIODE *1980:10 0.0001237 +7 *6173:DIODE *2750:17 0.000171904 +8 *1552:5 *1680:11 6.3657e-05 +9 *1552:5 *1980:10 3.07133e-05 +*RES +1 *23305:X *1552:5 9.97254 +2 *1552:5 *23433:B 9.82786 +3 *1552:5 *6173:DIODE 12.191 +*END + +*D_NET *1553 0.000807794 +*CONN +*I *6175:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23434:B I *D sky130_fd_sc_hd__nand2_1 +*I *23306:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6175:DIODE 3.52208e-05 +2 *23434:B 2.20014e-05 +3 *23306:X 1.39384e-05 +4 *1553:5 7.11606e-05 +5 *6175:DIODE *23434:A 0.000227182 +6 *6175:DIODE *2397:7 0.000309284 +7 *1553:5 *2397:7 6.3657e-05 +8 *1157:27 *6175:DIODE 3.95516e-05 +9 *1157:27 *1553:5 2.57986e-05 +10 *1172:27 *23434:B 0 +*RES +1 *23306:X *1553:5 9.97254 +2 *1553:5 *23434:B 9.82786 +3 *1553:5 *6175:DIODE 12.7456 +*END + +*D_NET *1554 0.00104638 +*CONN +*I *23435:B I *D sky130_fd_sc_hd__nand2_1 +*I *6177:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23307:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23435:B 0 +2 *6177:DIODE 5.31132e-05 +3 *23307:X 5.20216e-05 +4 *1554:5 0.000105135 +5 *6177:DIODE *2011:28 0.000163418 +6 *6177:DIODE *2140:8 6.14884e-05 +7 *1554:5 *2011:28 0.000182244 +8 *1554:5 *2140:8 0.000428965 +*RES +1 *23307:X *1554:5 13.8548 +2 *1554:5 *6177:DIODE 11.6605 +3 *1554:5 *23435:B 9.24915 +*END + +*D_NET *1555 0.00045943 +*CONN +*I *6179:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23436:B I *D sky130_fd_sc_hd__nand2_1 +*I *23308:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6179:DIODE 0 +2 *23436:B 0.00012304 +3 *23308:X 6.98434e-05 +4 *1555:6 0.000192884 +5 *23436:B *5704:DIODE 7.36629e-05 +6 *23436:B *23181:A 0 +7 *23436:B *23436:A 0 +8 *23436:B *2754:10 0 +9 *1555:6 *23436:A 0 +10 *1555:6 *2754:10 0 +*RES +1 *23308:X *1555:6 15.1659 +2 *1555:6 *23436:B 16.9985 +3 *1555:6 *6179:DIODE 13.7491 +*END + +*D_NET *1556 0.000334035 +*CONN +*I *23437:B I *D sky130_fd_sc_hd__nand2_1 +*I *6181:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23309:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23437:B 7.89856e-05 +2 *6181:DIODE 0 +3 *23309:X 2.46564e-05 +4 *1556:5 0.000103642 +5 *23437:B *23309:B 8.67924e-06 +6 *23437:B *2151:38 0.000103943 +7 *1556:5 *2151:38 1.41291e-05 +*RES +1 *23309:X *1556:5 9.97254 +2 *1556:5 *6181:DIODE 9.24915 +3 *1556:5 *23437:B 12.2151 +*END + +*D_NET *1557 0.0022138 +*CONN +*I *6183:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23438:B I *D sky130_fd_sc_hd__nand2_1 +*I *23310:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6183:DIODE 0 +2 *23438:B 6.72973e-05 +3 *23310:X 0.000127085 +4 *1557:5 0.000194383 +5 *23438:B *23438:A 1.47978e-05 +6 *23438:B *2753:9 8.27055e-05 +7 *23438:B *3439:43 0.000209312 +8 *1557:5 *5923:DIODE 0.000271058 +9 *1557:5 *5927:DIODE 0.000114594 +10 *1557:5 *23308:A 1.92336e-05 +11 *1557:5 *2753:9 0.000113197 +12 *1557:5 *3228:37 6.37791e-05 +13 *1557:5 *3439:43 0.000936355 +*RES +1 *23310:X *1557:5 19.4008 +2 *1557:5 *23438:B 12.2151 +3 *1557:5 *6183:DIODE 9.24915 +*END + +*D_NET *1558 0.000521068 +*CONN +*I *6185:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23439:B I *D sky130_fd_sc_hd__nand2_2 +*I *23311:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6185:DIODE 4.89009e-05 +2 *23439:B 0 +3 *23311:X 0.00014164 +4 *1558:7 0.000190541 +5 *6185:DIODE *2142:56 7.48633e-05 +6 *1558:7 *1686:7 3.14978e-05 +7 *1558:7 *2142:56 3.36252e-05 +*RES +1 *23311:X *1558:7 12.7697 +2 *1558:7 *23439:B 9.24915 +3 *1558:7 *6185:DIODE 11.0817 +*END + +*D_NET *1559 0.00089551 +*CONN +*I *6187:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23440:B I *D sky130_fd_sc_hd__nand2_1 +*I *23312:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6187:DIODE 0 +2 *23440:B 0.00020526 +3 *23312:X 6.26408e-05 +4 *1559:5 0.0002679 +5 *23440:B *1687:9 1.07248e-05 +6 *23440:B *2479:33 0.000220809 +7 *23440:B *2896:17 1.08006e-05 +8 *23440:B *3461:53 0 +9 *1559:5 *2479:33 0.000117376 +*RES +1 *23312:X *1559:5 10.5271 +2 *1559:5 *23440:B 22.0531 +3 *1559:5 *6187:DIODE 9.24915 +*END + +*D_NET *1560 0.00085466 +*CONN +*I *23441:B I *D sky130_fd_sc_hd__nand2_1 +*I *6189:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23313:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23441:B 7.40235e-05 +2 *6189:DIODE 0 +3 *23313:X 4.69308e-05 +4 *1560:5 0.000120954 +5 *23441:B *2011:20 5.49784e-05 +6 *23441:B *2140:8 0.000222979 +7 *1560:5 *2011:20 0.000269565 +8 *1560:5 *2140:8 6.52286e-05 +*RES +1 *23313:X *1560:5 12.191 +2 *1560:5 *6189:DIODE 9.24915 +3 *1560:5 *23441:B 12.625 +*END + +*D_NET *1561 0.000482894 +*CONN +*I *23442:B I *D sky130_fd_sc_hd__nand2_1 +*I *6191:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23314:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23442:B 2.19601e-05 +2 *6191:DIODE 5.68697e-05 +3 *23314:X 5.2797e-05 +4 *1561:5 0.000131627 +5 *6191:DIODE *1689:11 6.08467e-05 +6 *6191:DIODE *3406:63 6.22703e-05 +7 *6191:DIODE *3406:65 1.41976e-05 +8 *23442:B *3406:63 2.53145e-06 +9 *1561:5 *3406:63 7.97944e-05 +*RES +1 *23314:X *1561:5 11.0817 +2 *1561:5 *6191:DIODE 11.0817 +3 *1561:5 *23442:B 9.82786 +*END + +*D_NET *1562 0.000520122 +*CONN +*I *6193:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23443:B I *D sky130_fd_sc_hd__nand2_1 +*I *23315:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6193:DIODE 8.5467e-05 +2 *23443:B 1.9352e-05 +3 *23315:X 2.92047e-05 +4 *1562:5 0.000134024 +5 *6193:DIODE *23443:A 0.000175485 +6 *6193:DIODE *1690:12 3.14978e-05 +7 *6193:DIODE *2151:38 9.82896e-06 +8 *23443:B *5937:DIODE 8.67924e-06 +9 *1562:5 *1690:12 2.65831e-05 +*RES +1 *23315:X *1562:5 9.97254 +2 *1562:5 *23443:B 9.82786 +3 *1562:5 *6193:DIODE 12.191 +*END + +*D_NET *1563 0.00111534 +*CONN +*I *6195:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23444:B I *D sky130_fd_sc_hd__nand2_1 +*I *23316:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6195:DIODE 2.21638e-05 +2 *23444:B 2.06324e-05 +3 *23316:X 2.58349e-05 +4 *1563:5 6.86311e-05 +5 *6195:DIODE *23444:A 0.000175485 +6 *6195:DIODE *3228:31 0.000177909 +7 *6195:DIODE *3439:39 4.75147e-05 +8 *1563:5 *5939:DIODE 0.000175485 +9 *1563:5 *3228:31 0.000331221 +10 *1563:5 *3439:39 7.04574e-05 +*RES +1 *23316:X *1563:5 12.7456 +2 *1563:5 *23444:B 9.82786 +3 *1563:5 *6195:DIODE 12.191 +*END + +*D_NET *1564 0.00092561 +*CONN +*I *23445:B I *D sky130_fd_sc_hd__nand2_1 +*I *6197:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23317:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23445:B 0 +2 *6197:DIODE 0.000136972 +3 *23317:X 4.68088e-05 +4 *1564:5 0.000183781 +5 *6197:DIODE *23445:A 0.000161243 +6 *6197:DIODE *3406:63 3.57037e-05 +7 *1564:5 *2092:16 9.58237e-05 +8 *1564:5 *3406:63 0.000265278 +*RES +1 *23317:X *1564:5 12.191 +2 *1564:5 *6197:DIODE 12.7697 +3 *1564:5 *23445:B 9.24915 +*END + +*D_NET *1565 0.000525601 +*CONN +*I *6199:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23446:B I *D sky130_fd_sc_hd__nand2_1 +*I *23318:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6199:DIODE 9.33812e-05 +2 *23446:B 1.9352e-05 +3 *23318:X 2.32695e-05 +4 *1565:5 0.000136003 +5 *6199:DIODE *23446:A 0.000168313 +6 *6199:DIODE *2371:19 4.58907e-05 +7 *23446:B *23318:B 8.67924e-06 +8 *1565:5 *2371:19 3.07133e-05 +*RES +1 *23318:X *1565:5 9.97254 +2 *1565:5 *23446:B 9.82786 +3 *1565:5 *6199:DIODE 12.191 +*END + +*D_NET *1566 0.00300449 +*CONN +*I *23447:B I *D sky130_fd_sc_hd__nand2_1 +*I *6201:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23319:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23447:B 0 +2 *6201:DIODE 6.07621e-05 +3 *23319:X 0.000674807 +4 *1566:10 0.000735569 +5 *6201:DIODE *23321:B 8.67924e-06 +6 *6201:DIODE *23447:A 0.000167701 +7 *6201:DIODE *1980:34 0.000268088 +8 *1566:10 *1567:10 0.000746652 +9 *1566:10 *1568:9 0.000135919 +10 *1566:10 *1695:9 0 +11 *1566:10 *1985:38 5.34175e-05 +12 *1566:10 *2226:33 0.00011557 +13 *1157:27 *6201:DIODE 3.73237e-05 +*RES +1 *23319:X *1566:10 31.2027 +2 *1566:10 *6201:DIODE 12.7697 +3 *1566:10 *23447:B 9.24915 +*END + +*D_NET *1567 0.00310221 +*CONN +*I *6203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23448:B I *D sky130_fd_sc_hd__nand2_1 +*I *23320:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6203:DIODE 0.000169747 +2 *23448:B 4.87266e-05 +3 *23320:X 0.00032656 +4 *1567:10 0.000545034 +5 *6203:DIODE *22511:TE 6.99044e-06 +6 *6203:DIODE *1695:9 9.12416e-06 +7 *6203:DIODE *2367:8 1.05456e-05 +8 *6203:DIODE *2372:7 0.000160617 +9 *23448:B *22511:TE 2.1203e-06 +10 *23448:B *1967:16 6.50727e-05 +11 *23448:B *2367:8 4.87997e-06 +12 *23448:B *2372:7 2.65667e-05 +13 *23448:B *2426:32 7.09666e-06 +14 *1567:10 *22507:TE 0.000277363 +15 *1567:10 *23319:B 6.08467e-05 +16 *1567:10 *23320:A 0.000203595 +17 *1567:10 *1695:9 0.000147421 +18 *1567:10 *1985:38 0.000283252 +19 *1566:10 *1567:10 0.000746652 +*RES +1 *23320:X *1567:10 28.8888 +2 *1567:10 *23448:B 15.2664 +3 *1567:10 *6203:DIODE 17.1084 +*END + +*D_NET *1568 0.00149983 +*CONN +*I *6205:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23449:B I *D sky130_fd_sc_hd__nand2_1 +*I *23321:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6205:DIODE 0.000163736 +2 *23449:B 2.06324e-05 +3 *23321:X 0.000164496 +4 *1568:9 0.000348864 +5 *6205:DIODE *23449:A 0.000114594 +6 *6205:DIODE *1696:8 6.08467e-05 +7 *6205:DIODE *1985:40 5.74349e-05 +8 *6205:DIODE *2365:27 0.000224395 +9 *23449:B *1985:38 0 +10 *1568:9 *1695:9 2.55493e-05 +11 *1568:9 *1985:38 6.3657e-05 +12 *1568:9 *1985:40 5.10906e-05 +13 *1568:9 *2226:33 7.77309e-06 +14 *22507:A *1568:9 6.08467e-05 +15 *1566:10 *1568:9 0.000135919 +*RES +1 *23321:X *1568:9 23.023 +2 *1568:9 *23449:B 9.82786 +3 *1568:9 *6205:DIODE 14.4094 +*END + +*D_NET *1569 0.000687686 +*CONN +*I *6207:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23450:B I *D sky130_fd_sc_hd__nand2_2 +*I *23322:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6207:DIODE 4.38647e-05 +2 *23450:B 0 +3 *23322:X 0.000107044 +4 *1569:7 0.000150908 +5 *6207:DIODE *2146:36 0.000171273 +6 *1569:7 *23450:A 6.08467e-05 +7 *1569:7 *2146:36 0.000153749 +*RES +1 *23322:X *1569:7 12.7697 +2 *1569:7 *23450:B 9.24915 +3 *1569:7 *6207:DIODE 11.0817 +*END + +*D_NET *1570 0.00146962 +*CONN +*I *23451:B I *D sky130_fd_sc_hd__nand2_1 +*I *6209:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23323:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23451:B 0 +2 *6209:DIODE 4.64621e-05 +3 *23323:X 5.52763e-05 +4 *1570:5 0.000101738 +5 *6209:DIODE *23451:A 0.000171273 +6 *6209:DIODE *1980:38 0.000277488 +7 *1570:5 *5953:DIODE 0.000167701 +8 *1570:5 *1980:38 0.00012958 +9 *1157:27 *6209:DIODE 4.09471e-05 +10 *1157:27 *1570:5 0.000479151 +*RES +1 *23323:X *1570:5 14.4094 +2 *1570:5 *6209:DIODE 12.7697 +3 *1570:5 *23451:B 9.24915 +*END + +*D_NET *1571 0.000757148 +*CONN +*I *23452:B I *D sky130_fd_sc_hd__nand2_1 +*I *6211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23324:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23452:B 0 +2 *6211:DIODE 0.000179811 +3 *23324:X 1.24136e-05 +4 *1571:5 0.000192225 +5 *6211:DIODE *23324:A 5.88052e-06 +6 *6211:DIODE *23324:B 0 +7 *6211:DIODE *23452:A 3.20069e-06 +8 *6211:DIODE *1699:6 6.22259e-05 +9 *6211:DIODE *2092:30 6.50586e-05 +10 *6211:DIODE *2771:8 0 +11 *1571:5 *23324:A 0.000118166 +12 *1571:5 *2140:8 0.000118166 +*RES +1 *23324:X *1571:5 10.5271 +2 *1571:5 *6211:DIODE 21.635 +3 *1571:5 *23452:B 9.24915 +*END + +*D_NET *1572 0.000943541 +*CONN +*I *6213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23453:B I *D sky130_fd_sc_hd__nand2_1 +*I *23325:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6213:DIODE 2.71441e-05 +2 *23453:B 2.18282e-05 +3 *23325:X 2.18772e-05 +4 *1572:5 7.08495e-05 +5 *6213:DIODE *23453:A 0.000171288 +6 *6213:DIODE *2140:8 4.56667e-05 +7 *1572:5 *2140:8 0.000101539 +8 *1156:27 *6213:DIODE 0.000259093 +9 *1156:27 *1572:5 0.000224256 +*RES +1 *23325:X *1572:5 11.6364 +2 *1572:5 *23453:B 9.82786 +3 *1572:5 *6213:DIODE 12.191 +*END + +*D_NET *1573 0.00119632 +*CONN +*I *6215:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23454:B I *D sky130_fd_sc_hd__nand2_1 +*I *23326:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6215:DIODE 0.000110898 +2 *23454:B 7.71042e-05 +3 *23326:X 0.000209912 +4 *1573:9 0.000397914 +5 *23454:B *1701:13 4.03114e-05 +6 *1573:9 *5460:DIODE 2.99929e-05 +7 *1573:9 *5959:DIODE 1.91391e-05 +8 *1573:9 *23326:B 6.1096e-05 +9 *1573:9 *1701:13 0.000217951 +10 *1154:28 *6215:DIODE 1.05213e-05 +11 *1154:28 *23454:B 2.14786e-05 +*RES +1 *23326:X *1573:9 22.6077 +2 *1573:9 *23454:B 12.2633 +3 *1573:9 *6215:DIODE 11.0817 +*END + +*D_NET *1574 0.00121477 +*CONN +*I *6217:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23455:B I *D sky130_fd_sc_hd__nand2_1 +*I *23327:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6217:DIODE 5.82835e-05 +2 *23455:B 0 +3 *23327:X 3.21611e-05 +4 *1574:5 9.04446e-05 +5 *6217:DIODE *23455:A 0.000175485 +6 *1574:5 *5961:DIODE 0.000169041 +7 *1574:5 *23327:A 6.50586e-05 +8 *1574:5 *2774:11 0.000277502 +9 *1154:17 *6217:DIODE 0 +10 *1154:28 *6217:DIODE 0.0002817 +11 *1154:28 *1574:5 6.50954e-05 +*RES +1 *23327:X *1574:5 12.7456 +2 *1574:5 *23455:B 9.24915 +3 *1574:5 *6217:DIODE 12.7697 +*END + +*D_NET *1575 0.00138777 +*CONN +*I *6219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23456:B I *D sky130_fd_sc_hd__nand2_1 +*I *23328:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6219:DIODE 3.06791e-05 +2 *23456:B 2.3034e-05 +3 *23328:X 4.97104e-05 +4 *1575:5 0.000103424 +5 *6219:DIODE *23456:A 0.000165521 +6 *6219:DIODE *2092:30 0.000270044 +7 *6219:DIODE *3439:33 4.05287e-05 +8 *1575:5 *2092:30 0.000487686 +9 *1575:5 *3439:33 0.00021714 +*RES +1 *23328:X *1575:5 14.4094 +2 *1575:5 *23456:B 9.82786 +3 *1575:5 *6219:DIODE 12.191 +*END + +*D_NET *1576 0.00166421 +*CONN +*I *6221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23457:B I *D sky130_fd_sc_hd__nand2_1 +*I *23329:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6221:DIODE 5.96599e-05 +2 *23457:B 0 +3 *23329:X 5.35881e-05 +4 *1576:5 0.000113248 +5 *6221:DIODE *23457:A 0.00016553 +6 *6221:DIODE *2371:13 3.66984e-05 +7 *6221:DIODE *2479:39 0.000265917 +8 *1576:5 *23329:B 0.00022067 +9 *1576:5 *2371:13 0.000588835 +10 *1576:5 *2479:39 0.000160067 +*RES +1 *23329:X *1576:5 15.5186 +2 *1576:5 *23457:B 9.24915 +3 *1576:5 *6221:DIODE 12.7697 +*END + +*D_NET *1577 0.00221784 +*CONN +*I *23458:B I *D sky130_fd_sc_hd__nand2_1 +*I *6223:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23330:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23458:B 0 +2 *6223:DIODE 7.21614e-05 +3 *23330:X 0.000335194 +4 *1577:11 0.000407355 +5 *6223:DIODE *1705:5 6.92705e-05 +6 *6223:DIODE *2624:27 8.4101e-05 +7 *1577:11 *5725:DIODE 2.65667e-05 +8 *1577:11 *6225:DIODE 0.00011818 +9 *1577:11 *23202:A 0 +10 *1577:11 *23208:A 3.98345e-05 +11 *1577:11 *23459:A 9.12416e-06 +12 *1577:11 *1705:5 8.41339e-05 +13 *1577:11 *2624:27 6.47054e-05 +14 *1577:11 *2909:9 0.000164829 +15 *1154:17 *6223:DIODE 0.000108249 +16 *1154:17 *1577:11 0.000634132 +*RES +1 *23330:X *1577:11 29.5683 +2 *1577:11 *6223:DIODE 12.7697 +3 *1577:11 *23458:B 9.24915 +*END + +*D_NET *1578 0.0017582 +*CONN +*I *23459:B I *D sky130_fd_sc_hd__nand2_1 +*I *6225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23331:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23459:B 0 +2 *6225:DIODE 7.40008e-05 +3 *23331:X 0.000138915 +4 *1578:5 0.000212916 +5 *6225:DIODE *2624:27 0.000113002 +6 *1578:5 *5969:DIODE 0.000171899 +7 *1578:5 *2624:27 6.51353e-05 +8 *1578:5 *2778:15 9.70595e-05 +9 *1154:17 *6225:DIODE 5.49209e-05 +10 *1154:17 *1578:5 0.000712176 +11 *1577:11 *6225:DIODE 0.00011818 +*RES +1 *23331:X *1578:5 17.1824 +2 *1578:5 *6225:DIODE 12.7697 +3 *1578:5 *23459:B 9.24915 +*END + +*D_NET *1579 0.001902 +*CONN +*I *23460:B I *D sky130_fd_sc_hd__nand2_1 +*I *6227:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23332:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23460:B 0 +2 *6227:DIODE 4.57296e-05 +3 *23332:X 6.24294e-05 +4 *1579:5 0.000108159 +5 *6227:DIODE *2779:21 0.000280289 +6 *6227:DIODE *3461:31 0.000120326 +7 *1579:5 *5971:DIODE 0.000175485 +8 *1579:5 *2779:21 0.000254255 +9 *1579:5 *3461:31 0.000751739 +10 *1174:26 *1579:5 0.000103585 +*RES +1 *23332:X *1579:5 17.1824 +2 *1579:5 *6227:DIODE 12.7697 +3 *1579:5 *23460:B 9.24915 +*END + +*D_NET *1580 0.000898595 +*CONN +*I *6229:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23461:B I *D sky130_fd_sc_hd__nand2_2 +*I *23333:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6229:DIODE 3.91489e-05 +2 *23461:B 0 +3 *23333:X 8.64064e-05 +4 *1580:7 0.000125555 +5 *6229:DIODE *23461:A 4.0752e-05 +6 *6229:DIODE *2147:85 6.50727e-05 +7 *6229:DIODE *2376:13 5.73392e-05 +8 *1580:7 *23461:A 3.21683e-05 +9 *1580:7 *1708:11 7.48797e-05 +10 *1580:7 *2376:13 0.000377273 +*RES +1 *23333:X *1580:7 13.8789 +2 *1580:7 *23461:B 9.24915 +3 *1580:7 *6229:DIODE 11.0817 +*END + +*D_NET *1581 0.000425765 +*CONN +*I *23462:B I *D sky130_fd_sc_hd__nand2_1 +*I *6231:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23334:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23462:B 2.75202e-05 +2 *6231:DIODE 3.3659e-05 +3 *23334:X 2.04227e-05 +4 *1581:5 8.16018e-05 +5 *6231:DIODE *23462:A 6.92705e-05 +6 *6231:DIODE *1709:10 6.50586e-05 +7 *6231:DIODE *1710:21 6.50586e-05 +8 *6231:DIODE *1985:50 3.07133e-05 +9 *23462:B *23462:A 2.53145e-06 +10 *1581:5 *1985:50 2.99287e-05 +*RES +1 *23334:X *1581:5 9.97254 +2 *1581:5 *6231:DIODE 11.0817 +3 *1581:5 *23462:B 9.82786 +*END + +*D_NET *1582 0.00524418 +*CONN +*I *6233:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23463:B I *D sky130_fd_sc_hd__nand2_2 +*I *23335:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6233:DIODE 2.07208e-05 +2 *23463:B 2.3034e-05 +3 *23335:X 0.00032424 +4 *1582:5 0.000367995 +5 *6233:DIODE *1967:40 0.000101955 +6 *6233:DIODE *2100:38 0.000208843 +7 *1582:5 *5977:DIODE 0.00032044 +8 *1582:5 *1710:11 3.56473e-05 +9 *1582:5 *1967:28 0.000920879 +10 *1582:5 *1967:40 5.56461e-05 +11 *1582:5 *2100:38 0.00269423 +12 *1582:5 *2115:22 0.000170548 +*RES +1 *23335:X *1582:5 38.2573 +2 *1582:5 *23463:B 9.82786 +3 *1582:5 *6233:DIODE 11.6364 +*END + +*D_NET *1583 0.000593864 +*CONN +*I *23464:B I *D sky130_fd_sc_hd__nand2_1 +*I *6235:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23336:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23464:B 2.21696e-05 +2 *6235:DIODE 8.03039e-06 +3 *23336:X 2.25004e-05 +4 *1583:5 5.27004e-05 +5 *1152:29 *6235:DIODE 6.64392e-05 +6 *1152:29 *1583:5 0.000264787 +7 *1158:23 *6235:DIODE 2.99287e-05 +8 *1158:23 *1583:5 0.000127309 +*RES +1 *23336:X *1583:5 12.191 +2 *1583:5 *6235:DIODE 9.97254 +3 *1583:5 *23464:B 9.82786 +*END + +*D_NET *1584 0.00165127 +*CONN +*I *6237:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23465:B I *D sky130_fd_sc_hd__nand2_1 +*I *23337:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6237:DIODE 0.000159486 +2 *23465:B 0 +3 *23337:X 0.00029248 +4 *1584:15 0.000451966 +5 *6237:DIODE *23465:A 1.24189e-05 +6 *6237:DIODE *1587:11 0.000270914 +7 *1584:15 *1587:11 6.3657e-05 +8 *1584:15 *1712:8 6.22259e-05 +9 *1584:15 *2256:38 0.000219322 +10 *1172:11 *6237:DIODE 5.23571e-05 +11 *1172:11 *1584:15 6.64392e-05 +*RES +1 *23337:X *1584:15 24.5108 +2 *1584:15 *23465:B 9.24915 +3 *1584:15 *6237:DIODE 22.3612 +*END + +*D_NET *1585 0.00132701 +*CONN +*I *6239:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23466:B I *D sky130_fd_sc_hd__nand2_1 +*I *23338:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6239:DIODE 0.000111616 +2 *23466:B 0 +3 *23338:X 2.97872e-05 +4 *1585:5 0.000141403 +5 *6239:DIODE *1716:9 4.15661e-05 +6 *6239:DIODE *2371:13 5.31074e-05 +7 *6239:DIODE *2625:15 0.000276551 +8 *6239:DIODE *3461:23 0.000111722 +9 *1585:5 *2371:13 0.000271058 +10 *1585:5 *2625:15 0.000271058 +11 *1151:30 *6239:DIODE 1.91391e-05 +*RES +1 *23338:X *1585:5 12.191 +2 *1585:5 *23466:B 9.24915 +3 *1585:5 *6239:DIODE 22.3612 +*END + +*D_NET *1586 0.0017903 +*CONN +*I *6241:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23467:B I *D sky130_fd_sc_hd__nand2_1 +*I *23339:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6241:DIODE 9.60567e-05 +2 *23467:B 0 +3 *23339:X 0.000421618 +4 *1586:10 0.000517674 +5 *6241:DIODE *23467:A 8.41883e-05 +6 *6241:DIODE *2255:26 0.000205565 +7 *1586:10 *1714:9 6.22259e-05 +8 *1586:10 *2494:35 0.000176112 +9 *1586:10 *2628:38 5.1493e-06 +10 *1586:10 *2643:14 1.2657e-05 +11 *1586:10 *3340:13 0.000123874 +12 *1152:20 *1586:10 2.43314e-05 +13 *1152:21 *6241:DIODE 6.08467e-05 +*RES +1 *23339:X *1586:10 26.0719 +2 *1586:10 *23467:B 9.24915 +3 *1586:10 *6241:DIODE 13.686 +*END + +*D_NET *1587 0.00166304 +*CONN +*I *6243:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23468:B I *D sky130_fd_sc_hd__nand2_1 +*I *23340:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6243:DIODE 0.000228309 +2 *23468:B 0 +3 *23340:X 0.000176341 +4 *1587:11 0.00040465 +5 *1587:11 *23465:A 6.50586e-05 +6 *6237:DIODE *1587:11 0.000270914 +7 *1149:31 *6243:DIODE 1.88152e-05 +8 *1172:11 *6243:DIODE 2.77625e-06 +9 *1172:11 *1587:11 0.000263401 +10 *1175:36 *6243:DIODE 0.000169122 +11 *1584:15 *1587:11 6.3657e-05 +*RES +1 *23340:X *1587:11 16.2902 +2 *1587:11 *23468:B 9.24915 +3 *1587:11 *6243:DIODE 13.5895 +*END + +*D_NET *1588 0.00151507 +*CONN +*I *6245:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23469:B I *D sky130_fd_sc_hd__nand2_1 +*I *23341:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6245:DIODE 8.50058e-05 +2 *23469:B 0 +3 *23341:X 9.20622e-05 +4 *1588:5 0.000177068 +5 *6245:DIODE *6244:DIODE 5.3103e-05 +6 *6245:DIODE *2256:46 0.000417325 +7 *6245:DIODE *2477:9 1.777e-05 +8 *1588:5 *5989:DIODE 0.000175485 +9 *1588:5 *6244:DIODE 6.92705e-05 +10 *1588:5 *2256:46 0.000137854 +11 *1588:5 *2477:9 0.0001935 +12 *1175:19 *6245:DIODE 9.66247e-05 +*RES +1 *23341:X *1588:5 14.964 +2 *1588:5 *23469:B 9.24915 +3 *1588:5 *6245:DIODE 14.4335 +*END + +*D_NET *1589 0.00133172 +*CONN +*I *23470:B I *D sky130_fd_sc_hd__nand2_1 +*I *6247:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23342:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23470:B 0 +2 *6247:DIODE 4.98922e-05 +3 *23342:X 7.37292e-05 +4 *1589:5 0.000123621 +5 *6247:DIODE *23470:A 0.000171149 +6 *6247:DIODE *1980:48 0.000277363 +7 *1589:5 *5991:DIODE 0.000175485 +8 *1589:5 *1980:48 0.000254483 +9 *1148:25 *6247:DIODE 2.39581e-05 +10 *1148:25 *1589:5 0.000182038 +*RES +1 *23342:X *1589:5 15.5186 +2 *1589:5 *6247:DIODE 12.7697 +3 *1589:5 *23470:B 9.24915 +*END + +*D_NET *1590 0.000762031 +*CONN +*I *6249:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23471:B I *D sky130_fd_sc_hd__nand2_1 +*I *23343:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6249:DIODE 6.19783e-06 +2 *23471:B 3.85744e-05 +3 *23343:X 0.000141619 +4 *1590:8 0.000186391 +5 *6249:DIODE *23471:A 5.31074e-05 +6 *6249:DIODE *1962:10 6.50727e-05 +7 *23471:B *23471:A 6.92705e-05 +8 *23471:B *1962:10 0.000122207 +9 *23471:B *2107:18 3.77961e-05 +10 *1590:8 *23343:B 1.05272e-06 +11 *1590:8 *2080:49 4.07419e-05 +12 *1590:8 *2916:22 0 +*RES +1 *23343:X *1590:8 20.9116 +2 *1590:8 *23471:B 11.552 +3 *1590:8 *6249:DIODE 9.97254 +*END + +*D_NET *1591 0.00121172 +*CONN +*I *6251:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23472:B I *D sky130_fd_sc_hd__nand2_2 +*I *23344:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6251:DIODE 1.29204e-05 +2 *23472:B 1.93962e-05 +3 *23344:X 4.91454e-05 +4 *1591:5 8.1462e-05 +5 *6251:DIODE *2147:85 7.24449e-05 +6 *6251:DIODE *2376:13 0.000175485 +7 *23472:B *2147:85 3.01683e-06 +8 *1591:5 *2147:85 0.000307916 +9 *1591:5 *2376:13 0.000489932 +*RES +1 *23344:X *1591:5 14.4094 +2 *1591:5 *23472:B 9.82786 +3 *1591:5 *6251:DIODE 11.0817 +*END + +*D_NET *1592 0.00383256 +*CONN +*I *23089:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5612:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23345:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23089:A 0 +2 *5612:DIODE 8.89273e-05 +3 *23345:Y 0.000738288 +4 *1592:10 0.000827215 +5 *5612:DIODE *3201:5 6.50586e-05 +6 *5612:DIODE *3244:8 0.000313357 +7 *1592:10 *5818:DIODE 0.000277502 +8 *1592:10 *5819:DIODE 3.83172e-05 +9 *1592:10 *23256:A 0.0005768 +10 *1592:10 *23256:B 6.50727e-05 +11 *1592:10 *1631:11 0.000317721 +12 *1592:10 *2140:16 9.82896e-06 +13 *1592:10 *2577:12 0 +14 *1592:10 *2694:11 0.000466373 +15 *1503:7 *1592:10 4.81015e-05 +*RES +1 *23345:Y *1592:10 42.1442 +2 *1592:10 *5612:DIODE 12.7456 +3 *1592:10 *23089:A 9.24915 +*END + +*D_NET *1593 0.00180428 +*CONN +*I *5613:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23090:A I *D sky130_fd_sc_hd__inv_2 +*I *23346:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5613:DIODE 0 +2 *23090:A 0.0001033 +3 *23346:Y 0.000469483 +4 *1593:9 0.000572783 +5 *23090:A *2653:8 4.99151e-05 +6 *23090:A *2909:13 3.57548e-05 +7 *23090:A *2921:21 0.000209232 +8 *1593:9 *5998:DIODE 8.63863e-05 +9 *1593:9 *2653:8 6.83123e-05 +10 *1593:9 *2655:15 3.65814e-05 +11 *1593:9 *2909:13 0.000113968 +12 *1593:9 *2921:21 2.82583e-05 +13 *5999:DIODE *1593:9 3.03084e-05 +*RES +1 *23346:Y *1593:9 28.8337 +2 *1593:9 *23090:A 21.6378 +3 *1593:9 *5613:DIODE 9.24915 +*END + +*D_NET *1594 0.00244565 +*CONN +*I *23091:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5614:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23347:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23091:A 1.73795e-05 +2 *5614:DIODE 0 +3 *23347:Y 0.000560956 +4 *1594:9 0.000578336 +5 *23091:A *2255:26 7.90125e-05 +6 *1594:9 *6000:DIODE 6.92514e-05 +7 *1594:9 *2255:26 0.000200292 +8 *1594:9 *2634:22 0.000573403 +9 *1594:9 *2790:8 9.05684e-05 +10 *1152:9 *23091:A 7.20291e-05 +11 *1152:9 *1594:9 0.000204422 +*RES +1 *23347:Y *1594:9 35.6227 +2 *1594:9 *5614:DIODE 9.24915 +3 *1594:9 *23091:A 11.0817 +*END + +*D_NET *1595 0.00274513 +*CONN +*I *5615:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23092:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23348:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5615:DIODE 0 +2 *23092:A 0.000193067 +3 *23348:Y 0.000817966 +4 *1595:8 0.00101103 +5 *23092:A *2916:20 0 +6 *1595:8 *1980:48 0.000619237 +7 *1595:8 *2506:14 0 +8 *1595:8 *2916:20 0 +9 *1334:8 *23092:A 5.28285e-05 +10 *1334:8 *1595:8 5.1001e-05 +*RES +1 *23348:Y *1595:8 28.634 +2 *1595:8 *23092:A 18.0727 +3 *1595:8 *5615:DIODE 13.7491 +*END + +*D_NET *1596 0.00216303 +*CONN +*I *23093:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5616:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23349:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23093:A 1.60672e-05 +2 *5616:DIODE 0 +3 *23349:Y 0.000475315 +4 *1596:9 0.000491382 +5 *23093:A *2919:49 9.97706e-05 +6 *23093:A *3204:9 0.000113968 +7 *1596:9 *2080:49 0.000611963 +8 *1596:9 *2512:12 0.00012791 +9 *1596:9 *2654:8 0.000100751 +10 *1596:9 *2919:49 6.50586e-05 +11 *1596:9 *3204:9 6.08467e-05 +*RES +1 *23349:Y *1596:9 31.6011 +2 *1596:9 *5616:DIODE 9.24915 +3 *1596:9 *23093:A 10.5271 +*END + +*D_NET *1597 0.00422892 +*CONN +*I *5617:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23094:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23350:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5617:DIODE 0 +2 *23094:A 3.80574e-05 +3 *23350:Y 0.000614971 +4 *1597:9 0.000653029 +5 *23094:A *1846:11 0.0002646 +6 *23094:A *1985:57 0.0002646 +7 *1597:9 *1846:11 0.000901889 +8 *1597:9 *1985:57 0.000889938 +9 *1597:9 *2515:16 0.000601841 +10 *1462:10 *1597:9 0 +*RES +1 *23350:Y *1597:9 40.4748 +2 *1597:9 *23094:A 12.191 +3 *1597:9 *5617:DIODE 9.24915 +*END + +*D_NET *1598 0.00377145 +*CONN +*I *5618:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23095:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23351:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5618:DIODE 0.000135071 +2 *23095:A 0 +3 *23351:Y 0.000830883 +4 *1598:14 0.000965954 +5 *5618:DIODE *2632:51 0.000255681 +6 *1598:14 *2256:48 2.1801e-05 +7 *1598:14 *2256:56 0.000520326 +8 *1598:14 *2514:10 7.68607e-05 +9 *1598:14 *2628:42 0.000158102 +10 *1598:14 *2909:18 5.33591e-05 +11 *1598:14 *3250:27 0.000370801 +12 *6009:DIODE *1598:14 0.000222149 +13 *1149:9 *5618:DIODE 0.000160462 +*RES +1 *23351:Y *1598:14 38.4548 +2 *1598:14 *23095:A 9.24915 +3 *1598:14 *5618:DIODE 14.4335 +*END + +*D_NET *1599 0.00207 +*CONN +*I *5619:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23096:A I *D sky130_fd_sc_hd__inv_2 +*I *23352:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5619:DIODE 2.96313e-05 +2 *23096:A 0 +3 *23352:Y 0.000683365 +4 *1599:10 0.000712997 +5 *5619:DIODE *2639:25 6.9815e-05 +6 *5619:DIODE *2648:17 6.08467e-05 +7 *5619:DIODE *3050:23 0.000220183 +8 *1599:10 *1600:9 0.000160707 +9 *1599:10 *2513:17 0.000118166 +10 *1599:10 *2515:16 0 +11 *1599:10 *2659:16 1.42932e-05 +12 *1599:10 *2794:16 0 +*RES +1 *23352:Y *1599:10 31.7404 +2 *1599:10 *23096:A 9.24915 +3 *1599:10 *5619:DIODE 11.6364 +*END + +*D_NET *1600 0.00358302 +*CONN +*I *5620:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23097:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23353:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5620:DIODE 3.72044e-05 +2 *23097:A 0 +3 *23353:Y 0.000583158 +4 *1600:9 0.000620363 +5 *5620:DIODE *2919:60 0.00043038 +6 *5620:DIODE *2931:42 0.000416183 +7 *1600:9 *22839:A 6.8457e-05 +8 *1600:9 *2512:18 4.29653e-05 +9 *1600:9 *2515:16 0 +10 *1600:9 *2648:17 0 +11 *1600:9 *2794:16 0 +12 *1600:9 *2919:60 0.000472818 +13 *1600:9 *2931:42 0.000477015 +14 *1600:9 *3208:8 0.00027377 +15 *1599:10 *1600:9 0.000160707 +*RES +1 *23353:Y *1600:9 36.0379 +2 *1600:9 *23097:A 9.24915 +3 *1600:9 *5620:DIODE 13.8548 +*END + +*D_NET *1601 0.00393101 +*CONN +*I *5621:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23098:A I *D sky130_fd_sc_hd__inv_2 +*I *23354:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5621:DIODE 0 +2 *23098:A 7.00154e-05 +3 *23354:Y 0.000761432 +4 *1601:9 0.000831447 +5 *23098:A *2632:63 0.000256294 +6 *23098:A *2919:63 7.34948e-06 +7 *1601:9 *23229:A 5.16308e-05 +8 *1601:9 *1985:57 0 +9 *1601:9 *1985:67 3.5534e-06 +10 *1601:9 *2268:63 1.48603e-05 +11 *1601:9 *2625:30 0 +12 *1601:9 *2632:63 0.000318872 +13 *1601:9 *2661:10 0.000755659 +14 *1601:9 *2665:12 0.000113586 +15 *1601:9 *2798:12 0.000187176 +16 *23354:B *1601:9 0.000155272 +17 *1149:9 *23098:A 4.60283e-05 +18 *1149:9 *1601:9 0.000357835 +19 *1325:8 *1601:9 0 +*RES +1 *23354:Y *1601:9 41.988 +2 *1601:9 *23098:A 12.625 +3 *1601:9 *5621:DIODE 9.24915 +*END + +*D_NET *1602 0.00369717 +*CONN +*I *5622:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23099:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23355:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5622:DIODE 0 +2 *23099:A 5.26462e-05 +3 *23355:Y 0.000811246 +4 *1602:10 0.000863892 +5 *23099:A *2631:17 0.000267448 +6 *23099:A *2794:19 3.29046e-05 +7 *23099:A *2794:21 0.000220738 +8 *1602:10 *23357:A 7.25424e-05 +9 *1602:10 *2114:12 0.000477044 +10 *1602:10 *2911:30 0.000144169 +11 *1602:10 *2930:24 0.000754544 +*RES +1 *23355:Y *1602:10 38.5294 +2 *1602:10 *23099:A 12.7697 +3 *1602:10 *5622:DIODE 9.24915 +*END + +*D_NET *1603 0.00898612 +*CONN +*I *5623:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23100:A I *D sky130_fd_sc_hd__inv_6 +*I *23356:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5623:DIODE 0 +2 *23100:A 2.67767e-05 +3 *23356:Y 0.000449724 +4 *1603:9 0.000285931 +5 *1603:8 0.000708879 +6 *23100:A *3235:5 0.000217923 +7 *1603:8 *2704:10 0.000697483 +8 *1603:8 *3259:8 0 +9 *1603:9 *3235:5 0.00318575 +10 *1150:23 *23100:A 0.000217923 +11 *1150:23 *1603:9 0.00319572 +*RES +1 *23356:Y *1603:8 31.2929 +2 *1603:8 *1603:9 33.9996 +3 *1603:9 *23100:A 11.6364 +4 *1603:9 *5623:DIODE 9.24915 +*END + +*D_NET *1604 0.00233661 +*CONN +*I *5624:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23101:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23357:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5624:DIODE 9.26949e-05 +2 *23101:A 0 +3 *23357:Y 0.000480389 +4 *1604:8 0.000573084 +5 *5624:DIODE *2632:63 0.000362252 +6 *5624:DIODE *3214:12 0.000169862 +7 *1604:8 *2636:14 0.000136093 +8 *1604:8 *2663:10 5.30923e-05 +9 *1604:8 *2928:24 1.07248e-05 +10 *1604:8 *3212:6 0.000458422 +*RES +1 *23357:Y *1604:8 30.8777 +2 *1604:8 *23101:A 9.24915 +3 *1604:8 *5624:DIODE 13.3002 +*END + +*D_NET *1605 0.00208626 +*CONN +*I *5625:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23102:A I *D sky130_fd_sc_hd__inv_2 +*I *23358:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5625:DIODE 0 +2 *23102:A 6.6467e-05 +3 *23358:Y 0.000670153 +4 *1605:9 0.00073662 +5 *23102:A *22845:A 4.2547e-05 +6 *23102:A *2665:9 6.8457e-05 +7 *23102:A *2931:50 6.08467e-05 +8 *1605:9 *22845:A 0.000166533 +9 *1605:9 *2519:14 0 +10 *1605:9 *2665:9 0 +11 *1605:9 *2808:11 0 +12 *1605:9 *3013:19 0.000158371 +13 *1605:9 *3214:12 8.96998e-05 +14 *3998:DIODE *23102:A 2.65667e-05 +15 *1142:8 *1605:9 0 +*RES +1 *23358:Y *1605:9 31.4645 +2 *1605:9 *23102:A 20.3893 +3 *1605:9 *5625:DIODE 9.24915 +*END + +*D_NET *1606 0.00305867 +*CONN +*I *5626:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23103:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23359:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5626:DIODE 0 +2 *23103:A 0.000221997 +3 *23359:Y 0.000870909 +4 *1606:9 0.00109291 +5 *23103:A *2500:17 0.000266832 +6 *23103:A *2523:18 0 +7 *23103:A *2636:24 1.05272e-06 +8 *23103:A *3216:8 0 +9 *23103:A *3219:8 7.2465e-05 +10 *1606:9 *2094:15 0.000282109 +11 *1606:9 *2500:17 0.000250402 +12 *1606:9 *2521:14 0 +13 *1606:9 *2528:6 0 +*RES +1 *23359:Y *1606:9 36.311 +2 *1606:9 *23103:A 22.6077 +3 *1606:9 *5626:DIODE 9.24915 +*END + +*D_NET *1607 0.00319389 +*CONN +*I *5627:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23104:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23360:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5627:DIODE 5.21701e-05 +2 *23104:A 0 +3 *23360:Y 0.00109497 +4 *1607:10 0.00114714 +5 *5627:DIODE *1973:34 0.000187292 +6 *5627:DIODE *1975:30 0.000431752 +7 *1607:10 *22367:TE 0.000162739 +8 *1607:10 *23361:A 1.19749e-05 +9 *1607:10 *2423:14 0 +10 *1607:10 *2533:6 0 +11 *1607:10 *2585:12 3.49323e-05 +12 *1607:10 *2675:16 0 +13 *1139:9 *1607:10 7.09243e-05 +*RES +1 *23360:Y *1607:10 39.3543 +2 *1607:10 *23104:A 9.24915 +3 *1607:10 *5627:DIODE 13.8548 +*END + +*D_NET *1608 0.00407172 +*CONN +*I *5628:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23105:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23361:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5628:DIODE 0 +2 *23105:A 6.64836e-05 +3 *23361:Y 0.000969029 +4 *1608:6 0.00103551 +5 *23105:A *1967:40 0.00011818 +6 *23105:A *2524:28 1.06805e-05 +7 *23105:A *2525:24 7.51112e-06 +8 *23105:A *3219:7 6.92705e-05 +9 *1608:6 *2524:28 2.00008e-05 +10 *1608:6 *2525:24 0.000153861 +11 *1608:6 *2607:22 0 +12 *1608:6 *2633:73 0.00012791 +13 *1608:6 *2797:22 0.0013116 +14 *1215:6 *1608:6 3.47182e-05 +15 *1328:10 *23105:A 3.20069e-06 +16 *1328:10 *1608:6 0.000143755 +*RES +1 *23361:Y *1608:6 41.742 +2 *1608:6 *23105:A 16.0286 +3 *1608:6 *5628:DIODE 13.7491 +*END + +*D_NET *1609 0.00411191 +*CONN +*I *5629:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23106:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23362:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5629:DIODE 0 +2 *23106:A 6.44575e-05 +3 *23362:Y 0.0012825 +4 *1609:8 0.00134695 +5 *23106:A *1980:66 0.000268812 +6 *23106:A *2527:18 5.41377e-05 +7 *1609:8 *2527:18 0.000352937 +8 *1609:8 *2527:20 0.000412965 +9 *1609:8 *2931:56 0 +10 *1146:23 *23106:A 0.0002646 +11 *1148:24 *23106:A 1.87469e-05 +12 *1481:7 *1609:8 4.58003e-05 +*RES +1 *23362:Y *1609:8 43.4353 +2 *1609:8 *23106:A 17.6924 +3 *1609:8 *5629:DIODE 13.7491 +*END + +*D_NET *1610 0.00364141 +*CONN +*I *5630:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23107:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23363:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5630:DIODE 0 +2 *23107:A 5.41377e-05 +3 *23363:Y 0.000608295 +4 *1610:10 0.000662433 +5 *23107:A *1985:68 0.000260374 +6 *1610:10 *23363:A 9.32983e-05 +7 *1610:10 *1995:31 0.000219477 +8 *1610:10 *2005:36 0.000559658 +9 *1610:10 *2607:25 0.000217937 +10 *1610:10 *2630:16 4.10859e-05 +11 *1610:10 *2630:18 0.000109528 +12 *1610:10 *2632:68 0.000707134 +13 *1141:13 *23107:A 0.000108054 +*RES +1 *23363:Y *1610:10 38.8081 +2 *1610:10 *23107:A 12.191 +3 *1610:10 *5630:DIODE 9.24915 +*END + +*D_NET *1611 0.00258814 +*CONN +*I *5631:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23108:A I *D sky130_fd_sc_hd__inv_2 +*I *23364:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5631:DIODE 0 +2 *23108:A 9.01205e-05 +3 *23364:Y 0.000585592 +4 *1611:10 0.000675712 +5 *23108:A *5370:DIODE 6.50727e-05 +6 *23108:A *2525:15 0.000179013 +7 *23108:A *2525:22 2.15348e-05 +8 *23108:A *2646:14 4.15661e-05 +9 *23108:A *3013:15 0.000107496 +10 *23108:A *3221:10 2.1203e-06 +11 *23108:A *3222:5 6.50586e-05 +12 *1611:10 *2527:18 0.000134157 +13 *1611:10 *2646:14 0.000305998 +14 *1611:10 *2931:56 0.000100972 +15 *6035:DIODE *1611:10 4.66492e-05 +16 *1483:9 *1611:10 0.000167076 +*RES +1 *23364:Y *1611:10 32.4344 +2 *1611:10 *23108:A 21.8066 +3 *1611:10 *5631:DIODE 9.24915 +*END + +*D_NET *1612 0.00369679 +*CONN +*I *5632:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23109:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23365:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5632:DIODE 0 +2 *23109:A 0.000101304 +3 *23365:Y 0.000621506 +4 *1612:10 0.00072281 +5 *23109:A *2477:31 0.000260188 +6 *1612:10 *2532:8 0.000965789 +7 *6037:DIODE *1612:10 2.57986e-05 +8 *1331:8 *1612:10 0.000969401 +9 *1484:5 *1612:10 2.99978e-05 +*RES +1 *23365:Y *1612:10 39.4937 +2 *1612:10 *23109:A 12.191 +3 *1612:10 *5632:DIODE 9.24915 +*END + +*D_NET *1613 0.00539732 +*CONN +*I *23110:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5633:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23366:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23110:A 0 +2 *5633:DIODE 7.21524e-05 +3 *23366:Y 0.000684509 +4 *1613:11 0.000756662 +5 *1613:11 *23366:A 5.86553e-06 +6 *1613:11 *2109:37 0 +7 *1613:11 *2115:26 0.000131045 +8 *1613:11 *2801:48 0.00155458 +9 *1613:11 *3199:16 0.00052994 +10 *1613:11 *3224:7 6.50727e-05 +11 *1200:38 *1613:11 4.19401e-06 +12 *1224:19 *5633:DIODE 0.0002817 +13 *1224:19 *1613:11 0.0013116 +*RES +1 *23366:Y *1613:11 48.9593 +2 *1613:11 *5633:DIODE 12.191 +3 *1613:11 *23110:A 9.24915 +*END + +*D_NET *1614 0.00230861 +*CONN +*I *5634:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23111:A I *D sky130_fd_sc_hd__inv_6 +*I *23367:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5634:DIODE 0 +2 *23111:A 8.90332e-05 +3 *23367:Y 0.000378052 +4 *1614:9 0.000467085 +5 *23111:A *3213:9 0.000214364 +6 *1614:9 *2558:12 0.00044515 +7 *1614:9 *2559:10 0.000169229 +8 *1614:9 *3213:9 0.000526555 +9 *23367:B *1614:9 1.91391e-05 +*RES +1 *23367:Y *1614:9 32.44 +2 *1614:9 *23111:A 12.2151 +3 *1614:9 *5634:DIODE 9.24915 +*END + +*D_NET *1615 0.0054682 +*CONN +*I *23112:A I *D sky130_fd_sc_hd__inv_6 +*I *5635:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23368:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *23112:A 0 +2 *5635:DIODE 3.7569e-05 +3 *23368:Y 0.000626594 +4 *1615:17 0.000104048 +5 *1615:16 0.000693072 +6 *5635:DIODE *2137:50 0.000171456 +7 *1615:16 *23366:A 6.50465e-05 +8 *1615:16 *2109:37 1.94425e-05 +9 *1615:16 *2400:6 0 +10 *1615:16 *2640:20 1.07248e-05 +11 *1615:16 *3060:6 4.34496e-05 +12 *1615:17 *2137:50 0.000143741 +13 *1176:9 *1615:16 0.000404547 +14 *1185:17 *5635:DIODE 0.000383703 +15 *1185:17 *1615:17 0.000366603 +16 *1190:33 *1615:16 0.00120121 +17 *1198:23 *1615:16 0.00119699 +*RES +1 *23368:Y *1615:16 49.2739 +2 *1615:16 *1615:17 4.05102 +3 *1615:17 *5635:DIODE 13.3002 +4 *1615:17 *23112:A 9.24915 +*END + +*D_NET *1616 0.0033953 +*CONN +*I *5636:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23113:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23369:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5636:DIODE 0 +2 *23113:A 2.71084e-05 +3 *23369:Y 0.000649906 +4 *1616:10 0.000677014 +5 *23113:A *1985:68 0.000271044 +6 *1616:10 *1975:30 0.000111722 +7 *1616:10 *2804:30 0.000992563 +8 *1143:13 *23113:A 0.000275256 +9 *1210:8 *1616:10 0.000390692 +*RES +1 *23369:Y *1616:10 38.7997 +2 *1616:10 *23113:A 12.191 +3 *1616:10 *5636:DIODE 9.24915 +*END + +*D_NET *1617 0.00213557 +*CONN +*I *5637:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23114:A I *D sky130_fd_sc_hd__inv_2 +*I *23370:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5637:DIODE 0 +2 *23114:A 2.40619e-05 +3 *23370:Y 0.000436166 +4 *1617:8 0.000460228 +5 *23114:A *2804:23 0.000217937 +6 *23114:A *3047:25 0.000222149 +7 *1617:8 *2103:23 0.000633761 +8 *1617:8 *2679:11 0.000141271 +*RES +1 *23370:Y *1617:8 30.8777 +2 *1617:8 *23114:A 11.6364 +3 *1617:8 *5637:DIODE 9.24915 +*END + +*D_NET *1618 0.00365325 +*CONN +*I *5638:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23115:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23371:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5638:DIODE 0 +2 *23115:A 7.40292e-05 +3 *23371:Y 0.000798193 +4 *1618:10 0.000872222 +5 *23115:A *2811:39 0.0002817 +6 *23115:A *3013:15 7.49459e-05 +7 *1618:10 *22861:A 0 +8 *1618:10 *2682:8 0.00112186 +9 *1618:10 *3232:9 0.000362981 +10 *6049:DIODE *1618:10 6.73186e-05 +*RES +1 *23371:Y *1618:10 41.8458 +2 *1618:10 *23115:A 13.1796 +3 *1618:10 *5638:DIODE 9.24915 +*END + +*D_NET *1619 0.00327759 +*CONN +*I *5639:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23116:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23372:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5639:DIODE 0 +2 *23116:A 0.000100614 +3 *23372:Y 0.00103998 +4 *1619:6 0.0011406 +5 *23116:A *2400:9 0.000271044 +6 *23116:A *2519:19 0.000275256 +7 *23116:A *3199:16 1.32543e-05 +8 *1619:6 *23372:A 5.22654e-06 +9 *1619:6 *2682:8 0 +10 *1619:6 *2707:26 0.00012538 +11 *1619:6 *3199:16 0.000306233 +*RES +1 *23372:Y *1619:6 38.42 +2 *1619:6 *23116:A 18.1077 +3 *1619:6 *5639:DIODE 13.7491 +*END + +*D_NET *1620 0.00208934 +*CONN +*I *5640:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23117:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23373:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5640:DIODE 0.000104373 +2 *23117:A 0.000196659 +3 *23373:Y 0.000281313 +4 *1620:6 0.000582346 +5 *5640:DIODE *2673:17 0.000167076 +6 *23117:A *1975:39 0.000468136 +7 *23117:A *2109:37 7.01586e-06 +8 *23117:A *3199:16 1.12325e-05 +9 *1620:6 *1975:39 8.62625e-06 +10 *1620:6 *2109:37 0.000164483 +11 *1620:6 *3199:16 9.80811e-05 +*RES +1 *23373:Y *1620:6 21.8099 +2 *1620:6 *23117:A 19.7715 +3 *1620:6 *5640:DIODE 15.5817 +*END + +*D_NET *1621 0.00434508 +*CONN +*I *23118:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5641:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23374:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23118:A 0 +2 *5641:DIODE 0.000104208 +3 *23374:Y 0.00096598 +4 *1621:15 0.00107019 +5 *5641:DIODE *1978:46 0.000147308 +6 *1621:15 *1978:46 2.16355e-05 +7 *1621:15 *2535:16 0.00041888 +8 *1621:15 *2536:12 0.00104552 +9 *6055:DIODE *1621:15 6.50727e-05 +10 *1493:5 *1621:15 0.000506292 +*RES +1 *23374:Y *1621:15 47.3411 +2 *1621:15 *5641:DIODE 12.7456 +3 *1621:15 *23118:A 9.24915 +*END + +*D_NET *1622 0.00288654 +*CONN +*I *5642:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23119:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23375:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5642:DIODE 6.956e-05 +2 *23119:A 0 +3 *23375:Y 0.000909685 +4 *1622:8 0.000979245 +5 *5642:DIODE *2684:29 0.000217951 +6 *1622:8 *2362:18 0.000264099 +7 *1622:8 *2813:30 0.000445996 +*RES +1 *23375:Y *1622:8 41.259 +2 *1622:8 *23119:A 9.24915 +3 *1622:8 *5642:DIODE 11.6364 +*END + +*D_NET *1623 0.00270375 +*CONN +*I *5643:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23120:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23376:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5643:DIODE 6.91201e-05 +2 *23120:A 0 +3 *23376:Y 0.000318685 +4 *1623:8 0.000387805 +5 *5643:DIODE *2852:11 0.000178517 +6 *1623:8 *2571:6 0.000690873 +7 *1623:8 *2711:12 0.00064122 +8 *1150:23 *5643:DIODE 0.00041753 +*RES +1 *23376:Y *1623:8 31.2929 +2 *1623:8 *23120:A 9.24915 +3 *1623:8 *5643:DIODE 13.8548 +*END + +*D_NET *1624 0.00107873 +*CONN +*I *5644:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23121:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23377:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5644:DIODE 0 +2 *23121:A 0.000257104 +3 *23377:Y 0.000252253 +4 *1624:9 0.000509358 +5 *1624:9 *5805:DIODE 3.00073e-05 +6 *1624:9 *2830:16 3.00073e-05 +*RES +1 *23377:Y *1624:9 22.6077 +2 *1624:9 *23121:A 13.1796 +3 *1624:9 *5644:DIODE 9.24915 +*END + +*D_NET *1625 0.000700675 +*CONN +*I *23122:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5645:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23378:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23122:A 4.91213e-05 +2 *5645:DIODE 0 +3 *23378:Y 0.000245173 +4 *1625:11 0.000294294 +5 *1625:11 *2638:24 0 +6 *1625:11 *2805:12 4.21376e-05 +7 *23378:B *1625:11 6.99486e-05 +*RES +1 *23378:Y *1625:11 23.4676 +2 *1625:11 *5645:DIODE 9.24915 +3 *1625:11 *23122:A 10.5271 +*END + +*D_NET *1626 0.0030737 +*CONN +*I *5646:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23123:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23379:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5646:DIODE 0.000101608 +2 *23123:A 0 +3 *23379:Y 0.000669582 +4 *1626:15 0.00077119 +5 *5646:DIODE *3237:9 0.000358862 +6 *1626:15 *23379:A 0.000149105 +7 *1626:15 *2544:10 0.000376942 +8 *1626:15 *2638:24 0 +9 *1626:15 *2689:18 0.00017504 +10 *1626:15 *2784:39 0.000131059 +11 *1626:15 *3237:9 0.000275256 +12 *23379:B *1626:15 6.50586e-05 +*RES +1 *23379:Y *1626:15 39.3151 +2 *1626:15 *23123:A 9.24915 +3 *1626:15 *5646:DIODE 13.3002 +*END + +*D_NET *1627 0.00132375 +*CONN +*I *5647:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23124:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23380:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5647:DIODE 0 +2 *23124:A 9.40619e-05 +3 *23380:Y 0.000400077 +4 *1627:9 0.000494139 +5 *23124:A *3237:9 0.000169728 +6 *1627:9 *2365:44 0 +7 *1627:9 *2819:16 0.000112179 +8 *1627:9 *3237:9 5.35658e-05 +*RES +1 *23380:Y *1627:9 26.4843 +2 *1627:9 *23124:A 12.4803 +3 *1627:9 *5647:DIODE 9.24915 +*END + +*D_NET *1628 0.00255329 +*CONN +*I *5648:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23125:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23381:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5648:DIODE 0 +2 *23125:A 0.000120677 +3 *23381:Y 0.000678761 +4 *1628:9 0.000799439 +5 *23125:A *1916:32 0.000277502 +6 *1628:9 *1916:32 2.90905e-05 +7 *1628:9 *2157:32 0.000417478 +8 *1628:9 *2550:6 9.70693e-05 +9 *1628:9 *2831:27 3.61993e-05 +10 *1500:11 *1628:9 9.70693e-05 +*RES +1 *23381:Y *1628:9 31.4758 +2 *1628:9 *23125:A 13.5895 +3 *1628:9 *5648:DIODE 9.24915 +*END + +*D_NET *1629 0.00260368 +*CONN +*I *5649:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23126:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23382:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5649:DIODE 0 +2 *23126:A 0.000123135 +3 *23382:Y 0.00077761 +4 *1629:10 0.000900745 +5 *23126:A *2784:39 9.60581e-05 +6 *23126:A *3242:7 9.26254e-05 +7 *1629:10 *5813:DIODE 9.14669e-05 +8 *1629:10 *2795:36 6.1172e-06 +9 *1629:10 *2831:26 8.86849e-05 +10 *1629:10 *2832:12 0.000209297 +11 *1629:10 *2834:6 0 +12 *1500:11 *1629:10 0.000217937 +*RES +1 *23382:Y *1629:10 32.3091 +2 *1629:10 *23126:A 13.686 +3 *1629:10 *5649:DIODE 9.24915 +*END + +*D_NET *1630 0.00350964 +*CONN +*I *23127:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5650:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23383:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23127:A 2.06324e-05 +2 *5650:DIODE 5.31726e-05 +3 *23383:Y 0.00037809 +4 *1630:11 0.000451895 +5 *5650:DIODE *2156:74 0.000377259 +6 *5650:DIODE *2692:11 0.000158997 +7 *1630:11 *5814:DIODE 7.24449e-05 +8 *1630:11 *23254:B 0.000311235 +9 *1630:11 *1632:9 3.8181e-05 +10 *1630:11 *2156:74 0.000818765 +11 *1630:11 *2373:8 0.000315609 +12 *1630:11 *2692:11 8.66302e-05 +13 *1630:11 *2693:15 5.04829e-06 +14 *1630:11 *2773:33 2.97286e-05 +15 *1630:11 *2795:36 7.63448e-05 +16 *1630:11 *3245:8 0.000315609 +*RES +1 *23383:Y *1630:11 35.6633 +2 *1630:11 *5650:DIODE 13.3002 +3 *1630:11 *23127:A 9.82786 +*END + +*D_NET *1631 0.0030471 +*CONN +*I *5651:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23128:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23384:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5651:DIODE 0 +2 *23128:A 0.000243252 +3 *23384:Y 0.000757114 +4 *1631:11 0.00100037 +5 *1631:11 *23217:A 0.000252327 +6 *1631:11 *23345:A 0.000107496 +7 *1631:11 *1653:11 4.87198e-05 +8 *1631:11 *2139:19 0.000174175 +9 *1631:11 *2590:16 0.000101148 +10 *1631:11 *2602:6 3.71118e-05 +11 *1631:11 *2886:6 0 +12 *1503:7 *1631:11 7.6719e-06 +13 *1592:10 *1631:11 0.000317721 +*RES +1 *23384:Y *1631:11 34.9666 +2 *1631:11 *23128:A 13.1796 +3 *1631:11 *5651:DIODE 9.24915 +*END + +*D_NET *1632 0.00330433 +*CONN +*I *5652:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23129:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23385:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5652:DIODE 0 +2 *23129:A 0.000218804 +3 *23385:Y 0.00125111 +4 *1632:9 0.00146991 +5 *23129:A *2795:36 3.62662e-06 +6 *23129:A *3245:7 5.67722e-05 +7 *1632:9 *5822:DIODE 2.85274e-05 +8 *1632:9 *6076:DIODE 3.00073e-05 +9 *1632:9 *2376:16 7.50872e-05 +10 *1632:9 *2795:36 5.49982e-05 +11 *6073:DIODE *1632:9 2.65831e-05 +12 *23383:B *1632:9 5.0715e-05 +13 *1630:11 *1632:9 3.8181e-05 +*RES +1 *23385:Y *1632:9 37.0274 +2 *1632:9 *23129:A 13.1796 +3 *1632:9 *5652:DIODE 9.24915 +*END + +*D_NET *1633 0.00191466 +*CONN +*I *5653:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23130:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23386:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5653:DIODE 0 +2 *23130:A 7.44267e-05 +3 *23386:Y 0.000313448 +4 *1633:11 0.000387875 +5 *23130:A *1938:26 0.000264614 +6 *1633:11 *1905:48 3.99086e-06 +7 *1633:11 *1938:26 0.000330596 +8 *1633:11 *2555:6 0 +9 *6079:DIODE *1633:11 2.16355e-05 +10 *1369:15 *23130:A 0.000108087 +11 *1369:15 *1633:11 0.00014489 +12 *1505:8 *1633:11 0.000265102 +*RES +1 *23386:Y *1633:11 28.1776 +2 *1633:11 *23130:A 12.7697 +3 *1633:11 *5653:DIODE 9.24915 +*END + +*D_NET *1634 0.00331401 +*CONN +*I *5654:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23131:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23387:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5654:DIODE 0 +2 *23131:A 0.000167437 +3 *23387:Y 0.000937212 +4 *1634:11 0.00110465 +5 *1634:11 *23260:A 4.45999e-05 +6 *1634:11 *23260:B 9.56135e-05 +7 *1634:11 *23261:A 0.000221937 +8 *1634:11 *2379:26 0.000446298 +9 *1634:11 *2700:11 3.99086e-06 +10 *1634:11 *2701:8 0.000249889 +11 *6081:DIODE *1634:11 2.07503e-05 +12 *1506:9 *1634:11 2.16355e-05 +*RES +1 *23387:Y *1634:11 42.313 +2 *1634:11 *23131:A 13.1796 +3 *1634:11 *5654:DIODE 9.24915 +*END + +*D_NET *1635 0.00319234 +*CONN +*I *23132:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5655:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23388:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23132:A 0 +2 *5655:DIODE 9.39188e-05 +3 *23388:Y 0.000427467 +4 *1635:10 0.000521386 +5 *5655:DIODE *2369:5 0.00033061 +6 *1635:10 *23388:A 0.000258838 +7 *1635:10 *2156:70 0.000353389 +8 *1635:10 *2377:8 0 +9 *1635:10 *2557:6 0.000129029 +10 *1635:10 *2773:33 0.0010777 +*RES +1 *23388:Y *1635:10 36.4644 +2 *1635:10 *5655:DIODE 12.7456 +3 *1635:10 *23132:A 9.24915 +*END + +*D_NET *1636 0.00237971 +*CONN +*I *5656:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23133:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23389:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5656:DIODE 0 +2 *23133:A 0.000230272 +3 *23389:Y 0.000672478 +4 *1636:13 0.00090275 +5 *23133:A *3249:7 4.97645e-05 +6 *1636:13 *23261:B 7.50872e-05 +7 *1636:13 *23389:A 7.09666e-06 +8 *1636:13 *1999:11 6.16957e-05 +9 *1636:13 *3249:7 9.74246e-05 +10 *1251:11 *1636:13 5.1493e-06 +11 *1506:9 *1636:13 0.000217951 +12 *1508:8 *1636:13 6.00416e-05 +*RES +1 *23389:Y *1636:13 31.131 +2 *1636:13 *23133:A 13.1796 +3 *1636:13 *5656:DIODE 9.24915 +*END + +*D_NET *1637 0.00418815 +*CONN +*I *5657:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23134:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23390:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5657:DIODE 0 +2 *23134:A 0.000170962 +3 *23390:Y 0.00141718 +4 *1637:9 0.00158814 +5 *23134:A *1999:11 3.57494e-05 +6 *23134:A *2884:28 8.54595e-05 +7 *1637:9 *1916:32 3.21758e-05 +8 *1637:9 *2845:12 3.00073e-05 +9 *1637:9 *2851:57 0.000272978 +10 *1637:9 *3096:16 0.000510857 +11 *6087:DIODE *1637:9 1.07248e-05 +12 *1506:9 *23134:A 3.39219e-05 +*RES +1 *23390:Y *1637:9 40.9096 +2 *1637:9 *23134:A 22.5727 +3 *1637:9 *5657:DIODE 9.24915 +*END + +*D_NET *1638 0.00800749 +*CONN +*I *5658:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23135:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23391:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5658:DIODE 0 +2 *23135:A 0.000144895 +3 *23391:Y 0.000559324 +4 *1638:9 0.0029381 +5 *1638:8 0.00335253 +6 *23135:A *2762:29 0.000105686 +7 *1638:8 *1639:12 0 +8 *1638:8 *1884:29 0.000105837 +9 *1638:8 *2001:25 0 +10 *1638:8 *2167:65 2.67878e-05 +11 *1638:8 *2706:8 0.000113739 +12 *1638:8 *2795:22 5.21758e-06 +13 *1638:9 *2762:29 0.000655371 +*RES +1 *23391:Y *1638:8 30.4624 +2 *1638:8 *1638:9 42.8733 +3 *1638:9 *23135:A 13.1796 +4 *1638:9 *5658:DIODE 9.24915 +*END + +*D_NET *1639 0.00784015 +*CONN +*I *5659:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23136:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23392:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5659:DIODE 0 +2 *23136:A 0.000103578 +3 *23392:Y 0.00143208 +4 *1639:13 0.000422913 +5 *1639:12 0.00175142 +6 *23136:A *1982:14 5.8256e-05 +7 *23136:A *2379:23 0.000112985 +8 *1639:12 *2001:25 0.000205468 +9 *1639:12 *2156:68 4.40667e-05 +10 *1639:12 *2156:70 0.00156652 +11 *1639:12 *2705:17 8.62625e-06 +12 *1639:12 *2706:8 0 +13 *1639:13 *22510:TE 4.84271e-05 +14 *1639:13 *1982:14 0.00177248 +15 *1639:13 *2071:42 8.47539e-05 +16 *1639:13 *2379:23 0.000161254 +17 *4962:DIODE *1639:13 6.73186e-05 +18 *1638:8 *1639:12 0 +*RES +1 *23392:Y *1639:12 46.7417 +2 *1639:12 *1639:13 19.0253 +3 *1639:13 *23136:A 13.1796 +4 *1639:13 *5659:DIODE 9.24915 +*END + +*D_NET *1640 0.00987791 +*CONN +*I *5660:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23137:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23393:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5660:DIODE 0 +2 *23137:A 0.000183979 +3 *23393:Y 0.000867456 +4 *1640:19 0.00221038 +5 *1640:16 0.00289386 +6 *23137:A *3255:7 5.04829e-06 +7 *1640:16 *6092:DIODE 6.92705e-05 +8 *1640:16 *1884:21 0.00151734 +9 *1640:16 *2166:42 0.000949832 +10 *1640:16 *2706:8 0 +11 *1640:16 *2773:28 7.69874e-05 +12 *1640:16 *2918:61 0.00110143 +13 *1640:16 *3258:8 2.33103e-06 +*RES +1 *23393:Y *1640:16 47.7683 +2 *1640:16 *1640:19 32.9536 +3 *1640:19 *23137:A 13.5895 +4 *1640:19 *5660:DIODE 9.24915 +*END + +*D_NET *1641 0.0106908 +*CONN +*I *5661:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23138:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23394:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5661:DIODE 0 +2 *23138:A 2.7458e-05 +3 *23394:Y 0.00116455 +4 *1641:11 0.000245069 +5 *1641:10 0.00138216 +6 *23138:A *2157:24 0.000328363 +7 *1641:10 *2784:14 0.000529922 +8 *1641:10 *2929:24 0.00053352 +9 *1641:10 *3188:29 0.00122918 +10 *1641:11 *2157:24 0.00239685 +11 *1641:11 *2325:16 0.000130331 +12 *1169:89 *1641:10 1.01177e-05 +13 *1369:33 *23138:A 0.000328363 +14 *1369:33 *1641:11 0.00238492 +*RES +1 *23394:Y *1641:10 43.8052 +2 *1641:10 *1641:11 25.6806 +3 *1641:11 *23138:A 12.7456 +4 *1641:11 *5661:DIODE 9.24915 +*END + +*D_NET *1642 0.00421236 +*CONN +*I *5662:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23139:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23395:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5662:DIODE 0 +2 *23139:A 0.000140869 +3 *23395:Y 0.000779714 +4 *1642:9 0.000920582 +5 *23139:A *22905:A 3.64685e-05 +6 *1642:9 *5841:DIODE 2.12377e-05 +7 *1642:9 *22905:A 2.56976e-05 +8 *1642:9 *22927:A 2.22342e-05 +9 *1642:9 *23267:A 9.20398e-05 +10 *1642:9 *2708:17 0 +11 *1642:9 *2849:13 0.00144965 +12 *1642:9 *2861:12 0 +13 *1642:9 *3281:11 0.000723862 +*RES +1 *23395:Y *1642:9 48.9332 +2 *1642:9 *23139:A 12.7697 +3 *1642:9 *5662:DIODE 9.24915 +*END + +*D_NET *1643 0.00467268 +*CONN +*I *5663:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23140:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23396:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5663:DIODE 0 +2 *23140:A 0.000206799 +3 *23396:Y 0.00102098 +4 *1643:13 0.00122778 +5 *23140:A *1905:40 9.14505e-05 +6 *23140:A *1905:46 5.82343e-05 +7 *1643:13 *6094:DIODE 3.34802e-05 +8 *1643:13 *22423:A_N 6.50586e-05 +9 *1643:13 *1905:40 0.00192358 +10 *1643:13 *2841:12 4.08704e-05 +11 *1515:11 *1643:13 4.4486e-06 +*RES +1 *23396:Y *1643:13 44.8454 +2 *1643:13 *23140:A 13.1796 +3 *1643:13 *5663:DIODE 9.24915 +*END + +*D_NET *1644 0.0036256 +*CONN +*I *5664:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23141:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23397:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5664:DIODE 0 +2 *23141:A 0.000132303 +3 *23397:Y 0.000713332 +4 *1644:11 0.000845635 +5 *23141:A *2762:29 0.000115615 +6 *1644:11 *5836:DIODE 0.000277502 +7 *1644:11 *5837:DIODE 4.33655e-05 +8 *1644:11 *23265:A 0.000589703 +9 *1644:11 *1888:26 0.000131069 +10 *1644:11 *2161:39 0.000583258 +11 *1644:11 *2169:64 3.37866e-05 +12 *1644:11 *2710:16 0 +13 *1644:11 *2762:29 4.01575e-05 +14 *1644:11 *2929:24 9.32927e-05 +15 *6101:DIODE *1644:11 2.65831e-05 +*RES +1 *23397:Y *1644:11 39.8186 +2 *1644:11 *23141:A 13.1796 +3 *1644:11 *5664:DIODE 9.24915 +*END + +*D_NET *1645 0.00237245 +*CONN +*I *5665:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23142:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23398:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5665:DIODE 0 +2 *23142:A 4.99703e-05 +3 *23398:Y 0.000313359 +4 *1645:10 0.00036333 +5 *23142:A *2140:8 0.000207266 +6 *23142:A *3206:9 8.39223e-05 +7 *1645:10 *2529:15 6.08467e-05 +8 *1645:10 *2572:6 0.000646876 +9 *1645:10 *2846:20 0.000646876 +*RES +1 *23398:Y *1645:10 31.1858 +2 *1645:10 *23142:A 11.6364 +3 *1645:10 *5665:DIODE 9.24915 +*END + +*D_NET *1646 0.00299733 +*CONN +*I *5666:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23143:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23399:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5666:DIODE 0 +2 *23143:A 6.81551e-05 +3 *23399:Y 0.000603272 +4 *1646:8 0.000671427 +5 *23143:A *2397:33 0.000275256 +6 *23143:A *2712:8 1.36691e-05 +7 *23143:A *3263:6 0 +8 *1646:8 *1997:32 0.000564585 +9 *1646:8 *2368:34 0.000330688 +10 *1646:8 *2712:8 0.000171171 +11 *1646:8 *2840:8 2.80587e-05 +12 *1646:8 *3263:6 0 +13 *1174:33 *23143:A 0.000271044 +*RES +1 *23399:Y *1646:8 31.8166 +2 *1646:8 *23143:A 17.6924 +3 *1646:8 *5666:DIODE 13.7491 +*END + +*D_NET *1647 0.00156637 +*CONN +*I *5667:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23144:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23400:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5667:DIODE 4.27457e-05 +2 *23144:A 8.67757e-05 +3 *23400:Y 0.000175772 +4 *1647:6 0.000305294 +5 *5667:DIODE *2060:24 6.36477e-05 +6 *23144:A *2060:24 0.000315473 +7 *23144:A *2071:39 8.62625e-06 +8 *23144:A *2093:22 0.000319685 +9 *23144:A *2709:8 0 +10 *1647:6 *2012:22 0.000183195 +11 *1647:6 *2071:39 6.51527e-05 +12 *1647:6 *2709:8 0 +13 *1647:6 *2841:12 0 +*RES +1 *23400:Y *1647:6 18.4879 +2 *1647:6 *23144:A 18.2471 +3 *1647:6 *5667:DIODE 14.4725 +*END + +*D_NET *1648 0.00242317 +*CONN +*I *5668:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23145:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23401:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5668:DIODE 0 +2 *23145:A 4.33685e-05 +3 *23401:Y 0.000423078 +4 *1648:10 0.000466447 +5 *23145:A *2139:14 0.000217923 +6 *1648:10 *1650:11 0.00030582 +7 *1648:10 *2152:34 0.000111708 +8 *1648:10 *2580:14 0.000650686 +9 *1648:10 *2581:6 0 +10 *1150:15 *23145:A 0.000169041 +11 *1150:21 *23145:A 3.51034e-05 +*RES +1 *23401:Y *1648:10 31.7404 +2 *1648:10 *23145:A 12.2151 +3 *1648:10 *5668:DIODE 9.24915 +*END + +*D_NET *1649 0.00557989 +*CONN +*I *5669:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23146:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23402:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5669:DIODE 0 +2 *23146:A 0.000101885 +3 *23402:Y 0.00125882 +4 *1649:8 0.00136071 +5 *23146:A *2497:15 0.000103123 +6 *23146:A *2651:25 0.0002646 +7 *23146:A *2846:20 1.71351e-05 +8 *23146:A *2848:22 7.25424e-05 +9 *1649:8 *2762:27 3.83172e-05 +10 *1649:8 *2762:29 0.00011818 +11 *1649:8 *2846:20 0.000287589 +12 *1649:8 *2848:22 0.00112755 +13 *1264:11 *1649:8 0.000829435 +*RES +1 *23402:Y *1649:8 46.3589 +2 *1649:8 *23146:A 18.1077 +3 *1649:8 *5669:DIODE 13.7491 +*END + +*D_NET *1650 0.00272067 +*CONN +*I *5670:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23147:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23403:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5670:DIODE 0 +2 *23147:A 2.98231e-05 +3 *23403:Y 0.000621057 +4 *1650:11 0.000650881 +5 *23147:A *2141:20 0.00027103 +6 *23147:A *2145:16 0.00027103 +7 *1650:11 *2141:20 6.08467e-05 +8 *1650:11 *2145:16 5.08751e-05 +9 *1650:11 *2146:16 0.000171288 +10 *1650:11 *2150:23 2.73208e-05 +11 *1650:11 *2177:30 3.12316e-05 +12 *1650:11 *2529:6 0.000174946 +13 *1650:11 *2580:14 5.45258e-05 +14 *1650:11 *2581:6 0 +15 *1650:11 *2995:86 0 +16 *1650:11 *3124:17 0 +17 *1648:10 *1650:11 0.00030582 +*RES +1 *23403:Y *1650:11 33.4337 +2 *1650:11 *23147:A 12.191 +3 *1650:11 *5670:DIODE 9.24915 +*END + +*D_NET *1651 0.00459924 +*CONN +*I *23148:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5671:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23404:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23148:A 0 +2 *5671:DIODE 0.000144582 +3 *23404:Y 0.00126243 +4 *1651:8 0.00140701 +5 *5671:DIODE *2144:10 6.92705e-05 +6 *1651:8 *4555:DIODE 0.000205448 +7 *1651:8 *5856:DIODE 0 +8 *1651:8 *22432:B 2.17058e-05 +9 *1651:8 *23276:B 0.000155384 +10 *1651:8 *23277:A 7.83506e-06 +11 *1651:8 *23277:B 4.76248e-05 +12 *1651:8 *1654:15 0.000215678 +13 *1651:8 *1897:13 5.97576e-05 +14 *1651:8 *1897:20 0 +15 *1651:8 *2180:53 2.27135e-05 +16 *1651:8 *2580:14 0 +17 *1651:8 *2716:24 0 +18 *1651:8 *2751:22 0.000408228 +19 *1651:8 *3122:15 0.000298181 +20 *1651:8 *3123:8 6.88675e-05 +21 *6109:DIODE *1651:8 1.67716e-05 +22 *1267:12 *5671:DIODE 2.27383e-05 +23 *1267:12 *1651:8 1.09645e-05 +24 *1268:14 *1651:8 0 +25 *1520:8 *5671:DIODE 2.13521e-05 +26 *1520:8 *1651:8 2.14842e-06 +27 *1524:9 *1651:8 0.000130547 +*RES +1 *23404:Y *1651:8 44.8204 +2 *1651:8 *5671:DIODE 16.7198 +3 *1651:8 *23148:A 13.7491 +*END + +*D_NET *1652 0.0048248 +*CONN +*I *5672:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23149:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23405:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5672:DIODE 0.000146751 +2 *23149:A 0.000231775 +3 *23405:Y 0.000506137 +4 *1652:8 0.000884663 +5 *5672:DIODE *22303:TE 1.42111e-05 +6 *5672:DIODE *2397:32 0.000176263 +7 *23149:A *4553:DIODE 2.03363e-06 +8 *23149:A *21463:A 1.05272e-06 +9 *23149:A *2150:23 1.94916e-05 +10 *23149:A *2152:47 0.000164843 +11 *23149:A *2722:10 8.08333e-05 +12 *23149:A *2722:14 7.09666e-06 +13 *23149:A *2859:14 0 +14 *23149:A *3268:11 0.000118166 +15 *1652:8 *2093:16 0.000909802 +16 *1652:8 *2509:20 0.000160019 +17 *1652:8 *2651:19 0.000154145 +18 *1652:8 *2651:23 1.15389e-05 +19 *1652:8 *2722:14 0.000460615 +20 *1652:8 *3123:8 0.000612765 +21 *6117:DIODE *1652:8 6.92705e-05 +22 *1157:61 *23149:A 4.49912e-05 +23 *1157:61 *1652:8 1.00004e-05 +24 *1268:14 *23149:A 2.15348e-05 +25 *1524:9 *1652:8 1.67988e-05 +*RES +1 *23405:Y *1652:8 33.6226 +2 *1652:8 *23149:A 20.3205 +3 *1652:8 *5672:DIODE 16.8839 +*END + +*D_NET *1653 0.00363944 +*CONN +*I *5673:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23150:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23406:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5673:DIODE 0 +2 *23150:A 0.000137885 +3 *23406:Y 0.00132414 +4 *1653:11 0.00146203 +5 *23150:A *2652:11 0.000115615 +6 *1653:11 *5740:DIODE 1.83795e-06 +7 *1653:11 *5863:DIODE 2.39581e-05 +8 *1653:11 *23278:A 5.07314e-05 +9 *1653:11 *2139:14 6.50727e-05 +10 *1653:11 *2139:19 0.000149628 +11 *1653:11 *2140:16 0 +12 *1653:11 *2142:62 0.000148806 +13 *1653:11 *2590:16 4.83622e-05 +14 *1653:11 *2652:11 3.60729e-05 +15 *1653:11 *2886:6 0 +16 *23345:B *1653:11 2.65904e-05 +17 *1631:11 *1653:11 4.87198e-05 +*RES +1 *23406:Y *1653:11 41.6218 +2 *1653:11 *23150:A 13.5895 +3 *1653:11 *5673:DIODE 9.24915 +*END + +*D_NET *1654 0.00545853 +*CONN +*I *5674:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23151:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23407:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5674:DIODE 0 +2 *23151:A 3.80574e-05 +3 *23407:Y 0.00017083 +4 *1654:15 0.00155633 +5 *1654:10 0.0016891 +6 *23151:A *2146:16 0.0002646 +7 *23151:A *2148:20 0.0002646 +8 *1654:10 *1889:24 7.20064e-05 +9 *1654:10 *2168:20 6.50727e-05 +10 *1654:15 *4555:DIODE 0.000200589 +11 *1654:15 *23276:B 0.000226134 +12 *1654:15 *1889:24 1.65976e-05 +13 *1654:15 *2146:16 5.31074e-05 +14 *1654:15 *2148:20 6.50586e-05 +15 *1654:15 *2179:25 2.0123e-05 +16 *1654:15 *2180:53 1.91391e-05 +17 *1654:15 *2181:49 2.0648e-05 +18 *1654:15 *2580:14 0 +19 *1654:15 *2580:16 0.00021903 +20 *1654:15 *2651:19 0.000110675 +21 *1654:15 *3122:15 2.83186e-05 +22 *1393:16 *1654:15 1.22858e-05 +23 *1524:9 *1654:15 0.000130547 +24 *1651:8 *1654:15 0.000215678 +*RES +1 *23407:Y *1654:10 17.1228 +2 *1654:10 *1654:15 39.9214 +3 *1654:15 *23151:A 12.191 +4 *1654:15 *5674:DIODE 9.24915 +*END + +*D_NET *1655 0.00328868 +*CONN +*I *5675:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23152:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23408:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5675:DIODE 0 +2 *23152:A 7.93927e-05 +3 *23408:Y 0.000544923 +4 *1655:8 0.000624316 +5 *23152:A *2156:50 0.000271044 +6 *23152:A *2168:20 0.000120546 +7 *23152:A *2852:14 8.03676e-06 +8 *1655:8 *6122:DIODE 6.50586e-05 +9 *1655:8 *2014:27 0 +10 *1655:8 *2852:14 0.000114148 +11 *1655:8 *2918:44 0.000366603 +12 *1655:8 *3096:25 0.000517249 +13 *1655:8 *3106:35 6.50727e-05 +14 *1262:12 *23152:A 4.49912e-05 +15 *1262:12 *1655:8 0.000467304 +*RES +1 *23408:Y *1655:8 31.262 +2 *1655:8 *23152:A 17.6924 +3 *1655:8 *5675:DIODE 13.7491 +*END + +*D_NET *1656 0.00625261 +*CONN +*I *23153:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5676:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23409:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23153:A 0 +2 *5676:DIODE 3.28516e-05 +3 *23409:Y 0 +4 *1656:9 0.00155786 +5 *1656:5 0.00152501 +6 *5676:DIODE *2142:50 0.000324151 +7 *5676:DIODE *2144:10 0.000324151 +8 *1656:9 *2142:50 0.000309968 +9 *1656:9 *2144:10 0.000319954 +10 *1656:9 *2582:14 0.000160819 +11 *1656:9 *2582:16 0 +12 *1656:9 *2651:8 0.000981323 +13 *1656:9 *2866:6 0.000716532 +*RES +1 *23409:Y *1656:5 13.7491 +2 *1656:5 *1656:9 46.7859 +3 *1656:9 *5676:DIODE 12.7456 +4 *1656:9 *23153:A 9.24915 +*END + +*D_NET *1657 0.00341288 +*CONN +*I *5677:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23154:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23410:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5677:DIODE 0 +2 *23154:A 4.41775e-05 +3 *23410:Y 0.000688889 +4 *1657:9 0.000733067 +5 *23154:A *2011:38 0.000268812 +6 *23154:A *2139:14 0.000113002 +7 *1657:9 *23282:B 1.43055e-05 +8 *1657:9 *23283:A 0 +9 *1657:9 *2011:38 0.000989708 +10 *1657:9 *2139:14 0.000438602 +11 *1657:9 *2186:47 8.24277e-06 +12 *1657:9 *2875:21 0 +13 *1529:6 *1657:9 0.000114074 +*RES +1 *23410:Y *1657:9 41.584 +2 *1657:9 *23154:A 12.191 +3 *1657:9 *5677:DIODE 9.24915 +*END + +*D_NET *1658 0.00616321 +*CONN +*I *23155:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5678:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23411:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23155:A 0 +2 *5678:DIODE 0.000140467 +3 *23411:Y 0.0010735 +4 *1658:10 0.000385308 +5 *1658:5 0.00131834 +6 *5678:DIODE *3275:8 6.50727e-05 +7 *1658:5 *5873:DIODE 0.000164815 +8 *1658:5 *5876:DIODE 5.08751e-05 +9 *1658:5 *5877:DIODE 2.41483e-05 +10 *1658:5 *6132:DIODE 6.75138e-05 +11 *1658:5 *23413:A 2.41483e-05 +12 *1658:5 *1660:10 0.0013943 +13 *1658:5 *2140:8 0.000527931 +14 *1658:5 *2727:13 0.000426168 +15 *1658:10 *2724:10 0 +16 *1658:10 *2868:8 8.06348e-05 +17 *6129:DIODE *1658:5 5.31074e-05 +18 *6133:DIODE *1658:5 0.000277502 +19 *1530:5 *1658:5 6.78596e-05 +20 *1532:5 *1658:5 2.15184e-05 +*RES +1 *23411:Y *1658:5 47.131 +2 *1658:5 *1658:10 14.9845 +3 *1658:10 *5678:DIODE 12.7456 +4 *1658:10 *23155:A 9.24915 +*END + +*D_NET *1659 0.00307689 +*CONN +*I *5679:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23156:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23412:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5679:DIODE 0 +2 *23156:A 4.26576e-05 +3 *23412:Y 0.00113614 +4 *1659:9 0.0011788 +5 *23156:A *2374:19 0.000128091 +6 *1659:9 *1895:18 2.20585e-05 +7 *1659:9 *2374:19 2.33638e-05 +8 *1659:9 *2726:6 0.00019903 +9 *1659:9 *2751:16 0 +10 *1394:9 *23156:A 0.0002817 +11 *1394:9 *1659:9 6.50586e-05 +*RES +1 *23412:Y *1659:9 40.7366 +2 *1659:9 *23156:A 12.7697 +3 *1659:9 *5679:DIODE 9.24915 +*END + +*D_NET *1660 0.00415865 +*CONN +*I *5680:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23157:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23413:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5680:DIODE 0 +2 *23157:A 0.000237208 +3 *23413:Y 0.00102288 +4 *1660:10 0.00126008 +5 *1660:10 *2583:20 0 +6 *1660:10 *2871:16 0.000244182 +7 *1658:5 *1660:10 0.0013943 +*RES +1 *23413:Y *1660:10 38.131 +2 *1660:10 *23157:A 13.1796 +3 *1660:10 *5680:DIODE 9.24915 +*END + +*D_NET *1661 0.00125301 +*CONN +*I *5681:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23158:A I *D sky130_fd_sc_hd__inv_2 +*I *23414:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5681:DIODE 0.000200834 +2 *23158:A 0.000120817 +3 *23414:Y 3.68202e-05 +4 *1661:6 0.000358471 +5 *5681:DIODE *2725:14 3.94907e-05 +6 *23158:A *22903:A 2.65831e-05 +7 *23158:A *2727:12 3.86708e-05 +8 *23158:A *2870:12 0.000216073 +9 *1661:6 *2727:12 1.75625e-05 +10 *1661:6 *2870:12 7.50872e-05 +11 *4063:DIODE *23158:A 9.60216e-05 +12 *21875:A *23158:A 2.65831e-05 +*RES +1 *23414:Y *1661:6 15.1659 +2 *1661:6 *23158:A 18.9595 +3 *1661:6 *5681:DIODE 16.691 +*END + +*D_NET *1662 0.0103633 +*CONN +*I *5682:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23159:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23415:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5682:DIODE 0 +2 *23159:A 0.000117376 +3 *23415:Y 0.00114825 +4 *1662:17 0.0019695 +5 *1662:11 0.00300038 +6 *23159:A *3173:49 0.000229517 +7 *23159:A *3173:51 1.41291e-05 +8 *1662:11 *3228:37 0.000415777 +9 *1662:11 *3291:12 0.000192497 +10 *1662:17 *5883:DIODE 0.00016491 +11 *1662:17 *22909:A 0.000330596 +12 *1662:17 *23288:A 1.41689e-05 +13 *1662:17 *23419:A 0.000135726 +14 *1662:17 *1666:10 3.55134e-05 +15 *1662:17 *3173:49 0.00218899 +16 *1662:17 *3228:37 0.000108027 +17 *6145:DIODE *1662:17 0.00027384 +18 *1538:5 *1662:17 2.41483e-05 +*RES +1 *23415:Y *1662:11 46.4672 +2 *1662:11 *1662:17 44.4527 +3 *1662:17 *23159:A 12.7697 +4 *1662:17 *5682:DIODE 9.24915 +*END + +*D_NET *1663 0.00381925 +*CONN +*I *23160:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5683:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23416:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23160:A 0.000315635 +2 *5683:DIODE 0.000310099 +3 *23416:Y 0.000503824 +4 *1663:9 0.00112956 +5 *5683:DIODE *2730:11 9.068e-05 +6 *23160:A *22909:A 0 +7 *23160:A *2734:14 0.000298126 +8 *23160:A *3283:9 0.000160342 +9 *23160:A *3285:10 0.00041102 +10 *1663:9 *22909:A 2.96516e-05 +11 *1663:9 *2192:25 3.93117e-06 +12 *1663:9 *2730:11 0.000118831 +13 *1663:9 *2736:17 0 +14 *1663:9 *3173:49 0.000122098 +15 la_data_in_mprj[52] *23160:A 0.000164843 +16 *22022:A *23160:A 0.000160617 +*RES +1 *23416:Y *1663:9 28.1481 +2 *1663:9 *5683:DIODE 13.8548 +3 *1663:9 *23160:A 30.9453 +*END + +*D_NET *1664 0.00894676 +*CONN +*I *23161:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5684:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23417:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *23161:A 0 +2 *5684:DIODE 0.000134305 +3 *23417:Y 0.000478479 +4 *1664:15 0.000595911 +5 *1664:11 0.000940085 +6 *5684:DIODE *2849:13 0.00021617 +7 *5684:DIODE *3281:11 0.0002817 +8 *1664:11 *2544:10 0.000229806 +9 *1664:11 *2744:15 0.000540005 +10 *1664:11 *3225:15 0.00113745 +11 *1664:11 *3342:11 0.000218734 +12 *1664:15 *22960:A 0.000164843 +13 *1664:15 *2849:13 0.00217802 +14 *1664:15 *3342:15 0.00158339 +15 *4161:DIODE *1664:11 9.14834e-05 +16 *4256:DIODE *1664:15 0.000156384 +*RES +1 *23417:Y *1664:11 41.197 +2 *1664:11 *1664:15 28.2004 +3 *1664:15 *5684:DIODE 14.964 +4 *1664:15 *23161:A 9.24915 +*END + +*D_NET *1665 0.00506198 +*CONN +*I *5685:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23162:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23418:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5685:DIODE 3.62387e-05 +2 *23162:A 0 +3 *23418:Y 0.00121256 +4 *1665:14 3.62387e-05 +5 *1665:12 0.00121256 +6 *5685:DIODE *2146:16 0.000434578 +7 *5685:DIODE *2148:20 0.00043038 +8 *1665:12 *23290:B 2.65831e-05 +9 *1665:12 *1898:15 1.40978e-05 +10 *1665:12 *1999:8 4.91022e-05 +11 *1665:12 *2003:12 0.000134915 +12 *1665:12 *2003:38 3.06098e-05 +13 *1665:12 *2032:12 0.000370815 +14 *1665:12 *2040:28 0.000519481 +15 *1665:12 *2147:49 7.09666e-06 +16 *1665:12 *2591:16 0.000161503 +17 *1665:12 *2733:17 0.000108698 +18 *1665:12 *2875:21 0.000162556 +19 *1537:5 *1665:12 0.000113968 +*RES +1 *23418:Y *1665:12 46.1449 +2 *1665:12 *1665:14 4.5 +3 *1665:14 *23162:A 9.24915 +4 *1665:14 *5685:DIODE 13.8548 +*END + +*D_NET *1666 0.00169254 +*CONN +*I *5686:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23163:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23419:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5686:DIODE 0.000133779 +2 *23163:A 0.00010729 +3 *23419:Y 0.000272539 +4 *1666:10 0.000513608 +5 *5686:DIODE *2730:11 0.000377259 +6 *23163:A *22910:A 0 +7 *23163:A *2736:17 6.13144e-05 +8 *23163:A *3285:10 3.14978e-05 +9 *1666:10 *22910:A 0 +10 *1666:10 *2736:17 6.92855e-05 +11 *1666:10 *3287:12 8.9771e-06 +12 *3928:DIODE *23163:A 3.58457e-05 +13 *6145:DIODE *1666:10 1.41291e-05 +14 *1538:5 *1666:10 3.14978e-05 +15 *1662:17 *1666:10 3.55134e-05 +*RES +1 *23419:Y *1666:10 20.902 +2 *1666:10 *23163:A 17.135 +3 *1666:10 *5686:DIODE 17.8002 +*END + +*D_NET *1667 0.00343002 +*CONN +*I *5687:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23164:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23420:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5687:DIODE 0.000391021 +2 *23164:A 0.000453979 +3 *23420:Y 0.000357522 +4 *1667:8 0.00120252 +5 *5687:DIODE *5429:DIODE 0.000111722 +6 *5687:DIODE *2730:11 4.00824e-05 +7 *5687:DIODE *3288:9 0.000263126 +8 *23164:A *22912:A 5.36621e-05 +9 *23164:A *2737:8 5.48616e-05 +10 *23164:A *2738:8 9.56378e-05 +11 *1667:8 *22912:A 1.39717e-06 +12 *1667:8 *23292:A 0.000187498 +13 *1667:8 *23292:B 3.46138e-05 +14 *1667:8 *2598:8 0 +15 *1667:8 *2738:8 2.01186e-05 +16 *1667:8 *3173:39 2.32625e-05 +17 la_data_in_mprj[56] *23164:A 1.41307e-05 +18 *4213:DIODE *23164:A 1.03403e-05 +19 *6147:DIODE *1667:8 5.08751e-05 +20 *1539:5 *1667:8 6.36477e-05 +*RES +1 *23420:Y *1667:8 21.4297 +2 *1667:8 *23164:A 24.8967 +3 *1667:8 *5687:DIODE 20.0186 +*END + +*D_NET *1668 0.0129993 +*CONN +*I *5688:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23165:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23421:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5688:DIODE 0.000286338 +2 *23165:A 0.000117619 +3 *23421:Y 0.00126299 +4 *1668:18 0.000997002 +5 *1668:17 0.00185604 +6 *23165:A *2150:8 0.000744674 +7 *23165:A *2152:34 0.000748886 +8 *23165:A *2736:17 2.1309e-05 +9 *1668:17 *23421:A 4.84017e-05 +10 *1668:17 *1926:10 0.000383542 +11 *1668:17 *1935:13 8.04496e-05 +12 *1668:17 *2023:37 6.44644e-05 +13 *1668:17 *2024:29 0.00135212 +14 *1668:17 *2042:19 5.76913e-05 +15 *1668:17 *2162:29 0.000459613 +16 *1668:17 *2164:26 5.76799e-05 +17 *1668:17 *2179:25 0.0015591 +18 *1668:17 *2192:25 0.000124783 +19 *1668:17 *2195:25 0.00128347 +20 *1668:17 *2336:13 8.4653e-05 +21 *1668:17 *2595:14 1.5296e-05 +22 *1668:17 *2736:17 4.15313e-05 +23 *1668:17 *3410:13 6.21462e-05 +24 *1668:18 *2063:29 1.79503e-05 +25 *1668:18 *2139:7 0.000115483 +26 *1668:18 *2736:17 0.000181368 +27 *1153:45 *5688:DIODE 0.000479137 +28 *1394:20 *23165:A 6.40861e-05 +29 *1394:20 *1668:18 0.000431451 +*RES +1 *23421:Y *1668:17 35.9798 +2 *1668:17 *1668:18 15.3277 +3 *1668:18 *23165:A 23.0991 +4 *1668:18 *5688:DIODE 18.9094 +*END + +*D_NET *1669 0.00211681 +*CONN +*I *5689:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23166:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23422:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5689:DIODE 1.70148e-05 +2 *23166:A 0.000160377 +3 *23422:Y 7.31133e-05 +4 *1669:6 0.000250505 +5 *5689:DIODE *2147:42 0.000169862 +6 *23166:A *2147:42 0.000573784 +7 *23166:A *2147:49 2.41483e-05 +8 *23166:A *2397:17 0.000621144 +9 *23166:A *2595:14 1.24189e-05 +10 *1669:6 *2139:7 0 +11 *1669:6 *2595:14 3.59505e-05 +12 *6151:DIODE *1669:6 8.62625e-06 +13 *1157:43 *5689:DIODE 0.000169862 +*RES +1 *23422:Y *1669:6 15.5811 +2 *1669:6 *23166:A 22.1534 +3 *1669:6 *5689:DIODE 15.5817 +*END + +*D_NET *1670 0.00178598 +*CONN +*I *23167:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5690:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23423:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23167:A 3.50469e-05 +2 *5690:DIODE 0.000125777 +3 *23423:Y 0.000100982 +4 *1670:6 0.000261806 +5 *5690:DIODE *23294:A 8.51505e-05 +6 *5690:DIODE *2147:42 0.000207816 +7 *23167:A *2146:16 0.000211464 +8 *23167:A *2148:20 0.000211464 +9 *1670:6 *23294:A 0.00011393 +10 *1147:37 *5690:DIODE 0.000130501 +11 *1147:37 *1670:6 9.70324e-05 +12 *1157:43 *5690:DIODE 0.000205006 +*RES +1 *23423:Y *1670:6 16.4116 +2 *1670:6 *5690:DIODE 18.7989 +3 *1670:6 *23167:A 16.1364 +*END + +*D_NET *1671 0.0124557 +*CONN +*I *5691:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23168:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23424:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5691:DIODE 0 +2 *23168:A 0.000141208 +3 *23424:Y 0.00165085 +4 *1671:21 0.000908726 +5 *1671:17 0.00241837 +6 *23168:A *2397:7 0.000460456 +7 *1671:17 *23424:A 2.14842e-06 +8 *1671:17 *1906:9 0.00015014 +9 *1671:17 *2042:19 0.000266123 +10 *1671:17 *2135:20 0.00231584 +11 *1671:17 *2158:11 0.000110505 +12 *1671:17 *2196:25 0.000267896 +13 *1671:17 *2887:18 0.00227052 +14 *1671:21 *1986:13 5.25367e-05 +15 *1671:21 *2150:7 0 +16 *1671:21 *2397:7 0.00055679 +17 *1671:21 *2742:8 0 +18 *1671:21 *3262:48 1.88218e-05 +19 *1157:41 *1671:21 0.000415936 +20 *1157:43 *23168:A 0.000100215 +21 *1157:43 *1671:21 4.14242e-05 +22 *1169:63 *1671:17 0.000108986 +23 *1171:26 *1671:17 0.000104754 +24 *1395:14 *1671:21 9.34772e-05 +*RES +1 *23424:Y *1671:17 40.2442 +2 *1671:17 *1671:21 24.0209 +3 *1671:21 *23168:A 14.9881 +4 *1671:21 *5691:DIODE 9.24915 +*END + +*D_NET *1672 0.00346443 +*CONN +*I *23169:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5692:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23425:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23169:A 0 +2 *5692:DIODE 0.000141161 +3 *23425:Y 0.0011636 +4 *1672:11 0.00130477 +5 *5692:DIODE *3290:5 6.36477e-05 +6 *1672:11 *5694:DIODE 0.000118166 +7 *1672:11 *22917:A 1.24491e-05 +8 *1672:11 *23297:A 0 +9 *1672:11 *23299:A 0.000139226 +10 *1672:11 *1674:9 9.32983e-05 +11 *1672:11 *2203:24 7.12079e-05 +12 *1672:11 *2603:11 0 +13 *1672:11 *2741:12 0 +14 *1672:11 *2743:8 7.75874e-05 +15 *1672:11 *3294:8 2.64881e-05 +16 *4216:DIODE *1672:11 4.87439e-05 +17 *6157:DIODE *1672:11 6.50586e-05 +18 *1150:9 *1672:11 0.000139024 +*RES +1 *23425:Y *1672:11 45.635 +2 *1672:11 *5692:DIODE 12.7456 +3 *1672:11 *23169:A 9.24915 +*END + +*D_NET *1673 0.00409434 +*CONN +*I *5693:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23170:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23426:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5693:DIODE 0.000103806 +2 *23170:A 0 +3 *23426:Y 0.00112494 +4 *1673:16 0.00122875 +5 *5693:DIODE *2141:20 6.50727e-05 +6 *5693:DIODE *2151:38 3.34025e-05 +7 *5693:DIODE *3291:12 0.000171899 +8 *1673:16 *23298:A 1.61631e-05 +9 *1673:16 *23298:B 4.82966e-05 +10 *1673:16 *2141:19 0.000116986 +11 *1673:16 *2144:9 0.000565337 +12 *1673:16 *2145:11 0.000164903 +13 *1673:16 *2374:11 1.5613e-05 +14 *1673:16 *2739:21 3.68063e-05 +15 *23426:B *1673:16 0.0003112 +16 *1545:5 *1673:16 9.11671e-05 +*RES +1 *23426:Y *1673:16 44.5684 +2 *1673:16 *23170:A 9.24915 +3 *1673:16 *5693:DIODE 12.7456 +*END + +*D_NET *1674 0.00218861 +*CONN +*I *23171:A I *D sky130_fd_sc_hd__inv_2 +*I *5694:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23427:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23171:A 0.000258909 +2 *5694:DIODE 3.67843e-05 +3 *23427:Y 0.000303188 +4 *1674:9 0.000598882 +5 *23171:A *2741:12 0 +6 *23171:A *2743:8 0.000361271 +7 *1674:9 *5435:DIODE 0.000319954 +8 *1674:9 *5696:DIODE 3.34802e-05 +9 *1674:9 *2603:11 0 +10 *3934:DIODE *23171:A 3.61534e-05 +11 *4218:DIODE *23171:A 2.85274e-05 +12 *1672:11 *5694:DIODE 0.000118166 +13 *1672:11 *1674:9 9.32983e-05 +*RES +1 *23427:Y *1674:9 26.7658 +2 *1674:9 *5694:DIODE 10.5271 +3 *1674:9 *23171:A 25.9566 +*END + +*D_NET *1675 0.00940773 +*CONN +*I *5695:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23172:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23428:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5695:DIODE 0 +2 *23172:A 0.000122697 +3 *23428:Y 0.000352628 +4 *1675:9 0.00149238 +5 *1675:8 0.00172231 +6 *23172:A *3305:11 0.000120546 +7 *1675:8 *23300:B 6.46135e-05 +8 *1675:8 *2545:6 0.000146128 +9 *1675:8 *2819:16 0.000707631 +10 *1675:9 *5706:DIODE 0.000266832 +11 *1675:9 *23183:A 0.000530123 +12 *1675:9 *23194:A 0.000275256 +13 *1675:9 *1697:13 0.000412777 +14 *1675:9 *3213:9 0.00253408 +15 *1675:9 *3305:11 0.000404458 +16 *1675:9 *3318:10 0.000255283 +*RES +1 *23428:Y *1675:8 31.2929 +2 *1675:8 *1675:9 52.3015 +3 *1675:9 *23172:A 12.7697 +4 *1675:9 *5695:DIODE 9.24915 +*END + +*D_NET *1676 0.00528118 +*CONN +*I *23173:A I *D sky130_fd_sc_hd__inv_2 +*I *5696:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23429:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23173:A 0 +2 *5696:DIODE 9.8032e-05 +3 *23429:Y 0.00160138 +4 *1676:8 0.00169941 +5 *5696:DIODE *2603:11 5.50291e-05 +6 *5696:DIODE *3317:40 3.67528e-06 +7 *1676:8 *2397:7 0.00126288 +8 *1676:8 *2603:11 0.000355646 +9 *1676:8 *3295:28 2.26985e-05 +10 *1676:8 *3306:34 0.000141764 +11 *1676:8 *3317:40 7.18816e-06 +12 *1674:9 *5696:DIODE 3.34802e-05 +*RES +1 *23429:Y *1676:8 46.0858 +2 *1676:8 *5696:DIODE 16.4116 +3 *1676:8 *23173:A 13.7491 +*END + +*D_NET *1677 0.00277845 +*CONN +*I *5697:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23174:A I *D sky130_fd_sc_hd__inv_2 +*I *23430:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5697:DIODE 0 +2 *23174:A 0.000148935 +3 *23430:Y 0.00118009 +4 *1677:9 0.00132903 +5 *1677:9 *5911:DIODE 0 +6 *1677:9 *6166:DIODE 0.000113195 +7 *1677:9 *2479:32 7.20173e-06 +8 *1677:9 *2746:8 0 +9 *1677:9 *2888:13 0 +*RES +1 *23430:Y *1677:9 39.778 +2 *1677:9 *23174:A 13.0349 +3 *1677:9 *5697:DIODE 9.24915 +*END + +*D_NET *1678 0.00196977 +*CONN +*I *5698:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23175:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23431:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5698:DIODE 0 +2 *23175:A 0.000245042 +3 *23431:Y 0.000708728 +4 *1678:9 0.00095377 +5 *1678:9 *5914:DIODE 6.22259e-05 +6 *1678:9 *2747:8 0 +*RES +1 *23431:Y *1678:9 29.8063 +2 *1678:9 *23175:A 13.1796 +3 *1678:9 *5698:DIODE 9.24915 +*END + +*D_NET *1679 0.00325503 +*CONN +*I *5699:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23176:A I *D sky130_fd_sc_hd__inv_2 +*I *23432:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5699:DIODE 0 +2 *23176:A 0.000109724 +3 *23432:Y 0.00122373 +4 *1679:11 0.00133345 +5 *23176:A *3228:37 9.63981e-05 +6 *1679:11 *5915:DIODE 4.27965e-05 +7 *1679:11 *23177:A 0 +8 *1679:11 *2142:39 9.21618e-06 +9 *1679:11 *2479:32 1.51914e-05 +10 *1679:11 *2748:8 0 +11 *1679:11 *3140:26 0 +12 *1679:11 *3228:37 0.000168258 +13 *1679:11 *3299:6 0.000242744 +14 *23432:B *1679:11 1.35161e-05 +*RES +1 *23432:Y *1679:11 41.6073 +2 *1679:11 *23176:A 12.625 +3 *1679:11 *5699:DIODE 9.24915 +*END + +*D_NET *1680 0.00336685 +*CONN +*I *5700:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23177:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23433:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5700:DIODE 0 +2 *23177:A 7.51423e-05 +3 *23433:Y 0.000910795 +4 *1680:11 0.000985938 +5 *23177:A *2011:30 0.0002817 +6 *23177:A *2140:8 0.000277488 +7 *23177:A *2749:12 0 +8 *1680:11 *23305:B 3.14978e-05 +9 *1680:11 *1980:10 1.777e-05 +10 *1680:11 *2140:8 0.000585366 +11 *1680:11 *2749:12 0 +12 *1680:11 *2750:16 8.65629e-05 +13 *6173:DIODE *1680:11 5.09367e-05 +14 *1552:5 *1680:11 6.3657e-05 +15 *1679:11 *23177:A 0 +*RES +1 *23433:Y *1680:11 35.5212 +2 *1680:11 *23177:A 22.1924 +3 *1680:11 *5700:DIODE 9.24915 +*END + +*D_NET *1681 0.00486383 +*CONN +*I *5701:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23178:A I *D sky130_fd_sc_hd__inv_2 +*I *23434:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5701:DIODE 0 +2 *23178:A 0.000110393 +3 *23434:Y 0.00100429 +4 *1681:9 0.00105769 +5 *1681:8 0.00195159 +6 *23178:A *3228:37 9.56161e-05 +7 *1681:9 *2203:24 0.000108607 +8 *1681:9 *3228:37 0.000256707 +9 *1159:40 *1681:8 0.00027894 +*RES +1 *23434:Y *1681:8 38.3522 +2 *1681:8 *1681:9 15.1431 +3 *1681:9 *23178:A 12.625 +4 *1681:9 *5701:DIODE 9.24915 +*END + +*D_NET *1682 0.00243694 +*CONN +*I *5702:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23179:A I *D sky130_fd_sc_hd__inv_2 +*I *23435:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5702:DIODE 0 +2 *23179:A 0.000197671 +3 *23435:Y 0.000469552 +4 *1682:8 0.000667223 +5 *23179:A *22921:A 0 +6 *23179:A *22922:A 0.000233142 +7 *23179:A *2749:12 0.000266832 +8 *23179:A *3299:6 0 +9 *1682:8 *22922:A 1.07248e-05 +10 *1682:8 *23435:A 2.47779e-05 +11 *1682:8 *2011:28 0.000165459 +12 *1682:8 *2011:30 1.88152e-05 +13 *1682:8 *2749:12 0 +14 *1682:8 *3140:26 0.000149953 +15 *1682:8 *3299:6 0 +16 *1682:8 *3300:6 6.79599e-05 +17 *4082:DIODE *23179:A 0.000164829 +18 *4224:DIODE *23179:A 0 +*RES +1 *23435:Y *1682:8 24.1971 +2 *1682:8 *23179:A 21.0145 +3 *1682:8 *5702:DIODE 13.7491 +*END + +*D_NET *1683 0.0019167 +*CONN +*I *23180:A I *D sky130_fd_sc_hd__clkinv_2 +*I *5703:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23436:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23180:A 0.000119365 +2 *5703:DIODE 3.80166e-05 +3 *23436:Y 0.000570627 +4 *1683:5 0.000728008 +5 *23180:A *5441:DIODE 1.34046e-05 +6 *23180:A *22923:A 1.13066e-05 +7 *23180:A *2752:10 0 +8 *1683:5 *5705:DIODE 7.25028e-05 +9 *1683:5 *23436:A 6.50727e-05 +10 *1683:5 *1685:9 2.60729e-05 +11 *4086:DIODE *1683:5 0.000107496 +12 *4227:DIODE *1683:5 0.000164829 +*RES +1 *23436:Y *1683:5 23.283 +2 *1683:5 *5703:DIODE 9.97254 +3 *1683:5 *23180:A 20.9116 +*END + +*D_NET *1684 0.00266729 +*CONN +*I *5704:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23181:A I *D sky130_fd_sc_hd__inv_2 +*I *23437:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5704:DIODE 0.000220604 +2 *23181:A 0.000207885 +3 *23437:Y 0.000462972 +4 *1684:6 0.00089146 +5 *5704:DIODE *23436:A 2.65831e-05 +6 *23181:A *23436:A 3.31733e-05 +7 *23181:A *2124:9 8.37167e-05 +8 *23181:A *2754:10 0 +9 *23181:A *3303:7 9.63981e-05 +10 *23181:A *3304:11 9.14669e-05 +11 *1684:6 *6178:DIODE 1.04747e-05 +12 *1684:6 *23436:A 0.000247142 +13 *1684:6 *2124:9 0.00022175 +14 *1684:6 *2212:19 0 +15 *23436:B *5704:DIODE 7.36629e-05 +16 *23436:B *23181:A 0 +*RES +1 *23437:Y *1684:6 25.5472 +2 *1684:6 *23181:A 20.0446 +3 *1684:6 *5704:DIODE 18.9094 +*END + +*D_NET *1685 0.00215671 +*CONN +*I *23182:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5705:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23438:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23182:A 0.000165299 +2 *5705:DIODE 0.00029786 +3 *23438:Y 0.000221279 +4 *1685:9 0.000684437 +5 *23182:A *5442:DIODE 4.8382e-05 +6 *23182:A *2753:9 0.000202238 +7 *23182:A *3302:7 5.99621e-05 +8 *23182:A *3304:11 0.000211464 +9 *1685:9 *2124:9 2.55536e-05 +10 *1685:9 *2609:26 1.5714e-05 +11 *1685:9 *2753:9 1.40496e-05 +12 la_data_in_mprj[67] *23182:A 2.20702e-05 +13 *4086:DIODE *23182:A 8.98279e-05 +14 *1683:5 *5705:DIODE 7.25028e-05 +15 *1683:5 *1685:9 2.60729e-05 +*RES +1 *23438:Y *1685:9 22.0531 +2 *1685:9 *5705:DIODE 13.8548 +3 *1685:9 *23182:A 25.1233 +*END + +*D_NET *1686 0.00674993 +*CONN +*I *5706:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23183:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23439:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5706:DIODE 0.000168615 +2 *23183:A 0.000106345 +3 *23439:Y 0.000572742 +4 *1686:8 0.000645676 +5 *1686:7 0.000943458 +6 *23183:A *2935:8 5.05252e-05 +7 *23183:A *3318:10 0.000233743 +8 *1686:7 *5929:DIODE 0.000164815 +9 *1686:7 *2142:56 0.00229068 +10 *1686:8 *2792:8 0 +11 *1686:8 *2935:8 0.000744873 +12 *1558:7 *1686:7 3.14978e-05 +13 *1675:9 *5706:DIODE 0.000266832 +14 *1675:9 *23183:A 0.000530123 +*RES +1 *23439:Y *1686:7 38.3205 +2 *1686:7 *1686:8 13.0438 +3 *1686:8 *23183:A 20.4655 +4 *1686:8 *5706:DIODE 16.691 +*END + +*D_NET *1687 0.00296304 +*CONN +*I *5707:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23184:A I *D sky130_fd_sc_hd__inv_2 +*I *23440:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5707:DIODE 0 +2 *23184:A 1.78729e-05 +3 *23440:Y 0.000580234 +4 *1687:9 0.000598107 +5 *23184:A *2214:22 0.000228593 +6 *23184:A *3439:43 0.000103943 +7 *1687:9 *1688:6 0.000280013 +8 *1687:9 *2092:9 0 +9 *1687:9 *2214:22 0.000518172 +10 *1687:9 *2896:17 0.000128572 +11 *1687:9 *3140:20 0 +12 *1687:9 *3439:39 0.000472665 +13 *1687:9 *3439:43 2.41483e-05 +14 *23440:B *1687:9 1.07248e-05 +*RES +1 *23440:Y *1687:9 36.1773 +2 *1687:9 *23184:A 11.6364 +3 *1687:9 *5707:DIODE 9.24915 +*END + +*D_NET *1688 0.00355038 +*CONN +*I *5708:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23185:A I *D sky130_fd_sc_hd__inv_2 +*I *23441:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5708:DIODE 0.000279369 +2 *23185:A 0.000389652 +3 *23441:Y 0.000241871 +4 *1688:6 0.000910892 +5 *5708:DIODE *5446:DIODE 6.50727e-05 +6 *5708:DIODE *3307:9 0.000277488 +7 *23185:A *2757:10 6.08467e-05 +8 *23185:A *2896:17 0.000212284 +9 *23185:A *3308:7 0.00020979 +10 *1688:6 *2896:17 0.000397413 +11 *4090:DIODE *23185:A 0.000164843 +12 *611:8 *23185:A 6.08467e-05 +13 *1687:9 *1688:6 0.000280013 +*RES +1 *23441:Y *1688:6 21.8099 +2 *1688:6 *23185:A 25.6148 +3 *1688:6 *5708:DIODE 18.3548 +*END + +*D_NET *1689 0.00241701 +*CONN +*I *23186:A I *D sky130_fd_sc_hd__inv_2 +*I *5709:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23442:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23186:A 0.000134151 +2 *5709:DIODE 9.84652e-05 +3 *23442:Y 0.000527395 +4 *1689:11 0.000760011 +5 *23186:A *5447:DIODE 0.000127194 +6 *23186:A *2757:10 0.000249602 +7 *23186:A *2758:8 0 +8 *23186:A *3308:7 0.000175485 +9 *1689:11 *6190:DIODE 1.79807e-05 +10 *1689:11 *2214:19 0 +11 *1689:11 *2757:10 0 +12 *1689:11 *2758:8 0.000249293 +13 *1689:11 *3406:65 1.65872e-05 +14 *6191:DIODE *1689:11 6.08467e-05 +*RES +1 *23442:Y *1689:11 28.3169 +2 *1689:11 *5709:DIODE 10.5271 +3 *1689:11 *23186:A 24.4053 +*END + +*D_NET *1690 0.00441151 +*CONN +*I *5710:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23187:A I *D sky130_fd_sc_hd__inv_2 +*I *23443:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5710:DIODE 0.000369951 +2 *23187:A 0.000176118 +3 *23443:Y 0.000545604 +4 *1690:12 0.00109167 +5 *23187:A *1990:28 0.000240967 +6 *23187:A *2759:8 5.18775e-05 +7 *23187:A *3309:7 0.000147308 +8 *23187:A *3310:7 0.000180483 +9 *1690:12 *5937:DIODE 7.22498e-05 +10 *1690:12 *23315:A 0 +11 *1690:12 *1990:9 0.000447733 +12 *1690:12 *1990:28 0.000105175 +13 *1690:12 *2011:20 6.48631e-05 +14 *1690:12 *2151:38 0.000474878 +15 *1690:12 *2219:19 0 +16 *1690:12 *2759:8 0.000262452 +17 *4092:DIODE *23187:A 0.000122098 +18 *6193:DIODE *1690:12 3.14978e-05 +19 *1562:5 *1690:12 2.65831e-05 +*RES +1 *23443:Y *1690:12 32.4417 +2 *1690:12 *23187:A 22.6783 +3 *1690:12 *5710:DIODE 18.9094 +*END + +*D_NET *1691 0.00298409 +*CONN +*I *23188:A I *D sky130_fd_sc_hd__clkinv_2 +*I *5711:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23444:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23188:A 0.000252269 +2 *5711:DIODE 0.000495291 +3 *23444:Y 0.000327097 +4 *1691:9 0.00107466 +5 *23188:A *5450:DIODE 4.21539e-05 +6 *23188:A *2761:11 0.000246063 +7 *23188:A *3311:7 0.000369279 +8 *1691:9 *5450:DIODE 6.12686e-06 +9 *1691:9 *5712:DIODE 0.000171149 +10 *1691:9 *2763:13 0 +*RES +1 *23444:Y *1691:9 23.7169 +2 *1691:9 *5711:DIODE 16.0732 +3 *1691:9 *23188:A 27.1783 +*END + +*D_NET *1692 0.00310577 +*CONN +*I *5712:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23189:A I *D sky130_fd_sc_hd__clkinv_2 +*I *23445:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5712:DIODE 0.00022818 +2 *23189:A 0.000407011 +3 *23445:Y 0.000155585 +4 *1692:6 0.000790776 +5 *5712:DIODE *5450:DIODE 0.000184854 +6 *5712:DIODE *23190:A 0.000113968 +7 *5712:DIODE *1693:9 4.66492e-05 +8 *23189:A *5451:DIODE 0 +9 *23189:A *23190:A 0.000176956 +10 *23189:A *2763:13 0.000166533 +11 *23189:A *3312:7 0.000143188 +12 *1692:6 *1693:9 4.86182e-05 +13 *1692:6 *2763:13 0.000247465 +14 *616:8 *23189:A 0.00011818 +15 *1154:38 *1692:6 0.000106656 +16 *1691:9 *5712:DIODE 0.000171149 +*RES +1 *23445:Y *1692:6 18.9032 +2 *1692:6 *23189:A 25.1995 +3 *1692:6 *5712:DIODE 21.6824 +*END + +*D_NET *1693 0.00275295 +*CONN +*I *5713:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23190:A I *D sky130_fd_sc_hd__inv_2 +*I *23446:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5713:DIODE 0 +2 *23190:A 0.000261893 +3 *23446:Y 0.000619404 +4 *1693:9 0.000881298 +5 *23190:A *2763:13 7.69423e-05 +6 *23190:A *3312:7 0.000175485 +7 *1693:9 *5450:DIODE 1.75125e-05 +8 *1693:9 *2764:11 0 +9 *1693:9 *3406:60 7.4235e-06 +10 *4094:DIODE *23190:A 0 +11 *5712:DIODE *23190:A 0.000113968 +12 *5712:DIODE *1693:9 4.66492e-05 +13 *23189:A *23190:A 0.000176956 +14 *616:8 *23190:A 8.62625e-06 +15 *1154:38 *1693:9 0.000284508 +16 *1156:33 *1693:9 3.36632e-05 +17 *1159:39 *1693:9 0 +18 *1692:6 *1693:9 4.86182e-05 +*RES +1 *23446:Y *1693:9 31.4645 +2 *1693:9 *23190:A 25.6833 +3 *1693:9 *5713:DIODE 9.24915 +*END + +*D_NET *1694 0.00365498 +*CONN +*I *5714:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23191:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23447:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5714:DIODE 0 +2 *23191:A 3.4224e-05 +3 *23447:Y 0.00110516 +4 *1694:10 0.00113938 +5 *23191:A *2140:8 0.000265296 +6 *23191:A *3239:27 0.000268088 +7 *1694:10 *1980:34 0.000728199 +8 *1694:10 *2766:8 0.00011463 +9 *1694:10 *2767:14 0 +10 *1694:10 *3315:6 0 +*RES +1 *23447:Y *1694:10 38.3957 +2 *1694:10 *23191:A 12.191 +3 *1694:10 *5714:DIODE 9.24915 +*END + +*D_NET *1695 0.00271539 +*CONN +*I *23192:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5715:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23448:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23192:A 0 +2 *5715:DIODE 2.93599e-05 +3 *23448:Y 0.000537796 +4 *1695:9 0.000567156 +5 *5715:DIODE *2142:22 0.000326273 +6 *5715:DIODE *3461:39 0.000326273 +7 *1695:9 *5949:DIODE 2.14679e-05 +8 *1695:9 *23321:B 2.60879e-06 +9 *1695:9 *1991:26 4.42142e-05 +10 *1695:9 *2090:7 0 +11 *1695:9 *2142:22 0.000152878 +12 *1695:9 *2226:33 0 +13 *1695:9 *2367:8 1.28646e-05 +14 *1695:9 *2767:14 0.000285367 +15 *1695:9 *3461:39 0.000164815 +16 *6203:DIODE *1695:9 9.12416e-06 +17 *1149:40 *1695:9 6.22259e-05 +18 *1566:10 *1695:9 0 +19 *1567:10 *1695:9 0.000147421 +20 *1568:9 *1695:9 2.55493e-05 +*RES +1 *23448:Y *1695:9 32.295 +2 *1695:9 *5715:DIODE 12.7456 +3 *1695:9 *23192:A 9.24915 +*END + +*D_NET *1696 0.00186972 +*CONN +*I *5716:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23193:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23449:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5716:DIODE 0 +2 *23193:A 7.32473e-05 +3 *23449:Y 0.00039004 +4 *1696:8 0.000463287 +5 *23193:A *1991:26 0.000216396 +6 *23193:A *2094:5 4.78118e-05 +7 *23193:A *2769:8 0 +8 *23193:A *3461:31 0.000213586 +9 *1696:8 *2094:5 0.000369468 +10 *1696:8 *2365:27 3.50378e-05 +11 *1696:8 *2769:8 0 +12 *6205:DIODE *1696:8 6.08467e-05 +13 *1175:56 *1696:8 0 +*RES +1 *23449:Y *1696:8 22.5333 +2 *1696:8 *23193:A 17.1378 +3 *1696:8 *5716:DIODE 13.7491 +*END + +*D_NET *1697 0.00969832 +*CONN +*I *23194:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5717:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23450:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *23194:A 0.000106471 +2 *5717:DIODE 0 +3 *23450:Y 0.000771607 +4 *1697:13 0.00171948 +5 *1697:12 0.00251101 +6 *1697:9 0.00166961 +7 *1697:9 *5951:DIODE 0.0001656 +8 *1697:9 *2146:36 8.34e-05 +9 *1697:9 *2792:11 9.17114e-05 +10 *1697:12 *2547:8 0 +11 *1697:12 *2690:8 0 +12 *1697:12 *2768:20 0.000294074 +13 *1697:13 *5728:DIODE 0.000311898 +14 *1697:13 *1708:15 0.00119148 +15 *1697:13 *3213:9 9.39502e-05 +16 *1174:37 *1697:9 0 +17 *1675:9 *23194:A 0.000275256 +18 *1675:9 *1697:13 0.000412777 +*RES +1 *23450:Y *1697:9 27.8071 +2 *1697:9 *1697:12 27.5099 +3 *1697:12 *1697:13 41.7641 +4 *1697:13 *5717:DIODE 9.24915 +5 *1697:13 *23194:A 13.1796 +*END + +*D_NET *1698 0.00148056 +*CONN +*I *5718:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23195:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23451:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5718:DIODE 0 +2 *23195:A 9.05629e-05 +3 *23451:Y 0.000246745 +4 *1698:6 0.000337308 +5 *23195:A *1991:26 0.000380157 +6 *23195:A *2255:19 8.03676e-06 +7 *23195:A *3461:31 0.000377259 +8 *1698:6 *2151:37 0 +9 *1698:6 *2255:19 4.0491e-05 +*RES +1 *23451:Y *1698:6 18.4879 +2 *1698:6 *23195:A 18.8017 +3 *1698:6 *5718:DIODE 13.7491 +*END + +*D_NET *1699 0.00325917 +*CONN +*I *5719:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23196:A I *D sky130_fd_sc_hd__inv_2 +*I *23452:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5719:DIODE 0.000308298 +2 *23196:A 0.000376466 +3 *23452:Y 0.000409048 +4 *1699:6 0.00109381 +5 *5719:DIODE *2909:9 0.000543025 +6 *23196:A *5458:DIODE 8.17996e-05 +7 *23196:A *23197:A 2.09635e-05 +8 *23196:A *2769:8 6.92705e-05 +9 *23196:A *3320:7 7.42866e-05 +10 *1699:6 *23197:A 1.77537e-06 +11 *1699:6 *23324:A 2.77115e-05 +12 *1699:6 *2393:70 0 +13 *1699:6 *2771:8 0 +14 *1699:6 *3406:49 5.46889e-05 +15 *1699:6 *3439:38 3.10307e-05 +16 *4244:DIODE *23196:A 2.24412e-05 +17 *6211:DIODE *1699:6 6.22259e-05 +18 *622:10 *23196:A 8.23258e-05 +*RES +1 *23452:Y *1699:6 21.8099 +2 *1699:6 *23196:A 23.5598 +3 *1699:6 *5719:DIODE 19.464 +*END + +*D_NET *1700 0.00272177 +*CONN +*I *5720:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23197:A I *D sky130_fd_sc_hd__inv_2 +*I *23453:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5720:DIODE 0 +2 *23197:A 0.000169473 +3 *23453:Y 0.000670293 +4 *1700:9 0.000839766 +5 *23197:A *5458:DIODE 3.4787e-05 +6 *23197:A *2771:8 0.000202715 +7 *1700:9 *5459:DIODE 1.36007e-05 +8 *1700:9 *2482:40 0 +9 *1700:9 *2770:9 0.000284368 +10 *1700:9 *2771:8 0 +11 *1700:9 *2909:9 0.000468419 +12 *1700:9 *3406:40 4.88764e-06 +13 *23196:A *23197:A 2.09635e-05 +14 *1152:44 *1700:9 1.07248e-05 +15 *1159:22 *1700:9 0 +16 *1699:6 *23197:A 1.77537e-06 +*RES +1 *23453:Y *1700:9 31.4701 +2 *1700:9 *23197:A 22.5727 +3 *1700:9 *5720:DIODE 9.24915 +*END + +*D_NET *1701 0.00182802 +*CONN +*I *5721:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23198:A I *D sky130_fd_sc_hd__clkinv_2 +*I *23454:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5721:DIODE 6.28209e-05 +2 *23198:A 0.000225758 +3 *23454:Y 0.000253373 +4 *1701:13 0.000541952 +5 *5721:DIODE *5958:DIODE 2.45002e-05 +6 *5721:DIODE *2770:9 0 +7 *23198:A *5958:DIODE 1.83204e-05 +8 *23198:A *2770:9 0 +9 *23198:A *2772:8 3.61796e-05 +10 *1701:13 *5958:DIODE 9.07365e-05 +11 *1701:13 *5959:DIODE 1.10793e-05 +12 *1701:13 *23326:B 5.74984e-05 +13 *1701:13 *2909:9 0.000163428 +14 *4246:DIODE *23198:A 1.04352e-05 +15 *23454:B *1701:13 4.03114e-05 +16 *1154:29 *1701:13 7.36794e-05 +17 *1573:9 *1701:13 0.000217951 +*RES +1 *23454:Y *1701:13 29.9102 +2 *1701:13 *23198:A 18.0727 +3 *1701:13 *5721:DIODE 15.1659 +*END + +*D_NET *1702 0.00140865 +*CONN +*I *5722:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23199:A I *D sky130_fd_sc_hd__clkinv_2 +*I *23455:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5722:DIODE 0 +2 *23199:A 0.000286934 +3 *23455:Y 0.000221019 +4 *1702:9 0.000507954 +5 *23199:A *2774:11 0 +6 *23199:A *2909:9 6.50727e-05 +7 *1702:9 *2774:11 6.85778e-05 +8 *1702:9 *2909:9 0.000259093 +*RES +1 *23455:Y *1702:9 22.6077 +2 *1702:9 *23199:A 23.2961 +3 *1702:9 *5722:DIODE 9.24915 +*END + +*D_NET *1703 0.002737 +*CONN +*I *5723:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23200:A I *D sky130_fd_sc_hd__inv_2 +*I *23456:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5723:DIODE 0.000328451 +2 *23200:A 0.000425678 +3 *23456:Y 0.000218482 +4 *1703:6 0.000972612 +5 *5723:DIODE *2909:9 0.000517206 +6 *23200:A *22945:A 5.53437e-05 +7 *23200:A *2622:23 3.33173e-06 +8 *23200:A *2916:5 7.97944e-05 +9 *23200:A *3329:21 1.24189e-05 +10 *1703:6 *5463:DIODE 2.34333e-05 +11 *1703:6 *22945:A 2.1203e-06 +12 *1703:6 *2268:28 3.38973e-05 +13 *1703:6 *2776:13 0 +14 *1703:6 *3329:21 6.42295e-05 +*RES +1 *23456:Y *1703:6 18.4879 +2 *1703:6 *23200:A 22.2871 +3 *1703:6 *5723:DIODE 19.464 +*END + +*D_NET *1704 0.00258412 +*CONN +*I *23201:A I *D sky130_fd_sc_hd__inv_2 +*I *5724:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23457:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23201:A 0 +2 *5724:DIODE 1.81389e-05 +3 *23457:Y 0.000924733 +4 *1704:10 0.000942872 +5 *5724:DIODE *2625:9 0.000171288 +6 *5724:DIODE *3329:21 0.000167076 +7 *1704:10 *5464:DIODE 0 +8 *1704:10 *23456:A 6.22259e-05 +9 *1704:10 *2092:46 2.86353e-06 +10 *1704:10 *2236:19 4.19401e-06 +11 *1704:10 *2371:13 0.000271745 +12 *1704:10 *2776:13 1.89837e-05 +*RES +1 *23457:Y *1704:10 33.8195 +2 *1704:10 *5724:DIODE 11.0817 +3 *1704:10 *23201:A 9.24915 +*END + +*D_NET *1705 0.00136125 +*CONN +*I *23202:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5725:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23458:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23202:A 0.000326905 +2 *5725:DIODE 1.26553e-05 +3 *23458:Y 3.7152e-05 +4 *1705:5 0.000376712 +5 *5725:DIODE *2624:27 6.50586e-05 +6 *23202:A *23203:A 0.000100468 +7 *23202:A *23208:A 0 +8 *1705:5 *2624:27 0.000256861 +9 *6223:DIODE *1705:5 6.92705e-05 +10 *630:12 *23202:A 5.47232e-06 +11 *1577:11 *5725:DIODE 2.65667e-05 +12 *1577:11 *23202:A 0 +13 *1577:11 *1705:5 8.41339e-05 +*RES +1 *23458:Y *1705:5 12.191 +2 *1705:5 *5725:DIODE 9.97254 +3 *1705:5 *23202:A 24.2337 +*END + +*D_NET *1706 0.00224298 +*CONN +*I *5726:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23203:A I *D sky130_fd_sc_hd__inv_2 +*I *23459:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5726:DIODE 0 +2 *23203:A 0.000380772 +3 *23459:Y 0.000346398 +4 *1706:5 0.00072717 +5 *23203:A *2779:10 0 +6 *4254:DIODE *23203:A 0.000104914 +7 *23202:A *23203:A 0.000100468 +8 *1154:17 *1706:5 0.000583257 +*RES +1 *23459:Y *1706:5 15.5186 +2 *1706:5 *23203:A 25.8947 +3 *1706:5 *5726:DIODE 9.24915 +*END + +*D_NET *1707 0.00290464 +*CONN +*I *23204:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5727:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23460:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23204:A 0 +2 *5727:DIODE 0.000199323 +3 *23460:Y 0.000818891 +4 *1707:8 0.00101821 +5 *1707:8 *23329:A 0 +6 *1707:8 *2779:21 0.000527833 +7 *1707:8 *3350:47 0.000218003 +8 *1151:46 *1707:8 0 +9 *1154:17 *5727:DIODE 0.000122378 +*RES +1 *23460:Y *1707:8 28.7705 +2 *1707:8 *5727:DIODE 17.2744 +3 *1707:8 *23204:A 13.7491 +*END + +*D_NET *1708 0.01342 +*CONN +*I *23205:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5728:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23461:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *23205:A 2.06324e-05 +2 *5728:DIODE 7.81402e-05 +3 *23461:Y 0.000973995 +4 *1708:15 0.00260907 +5 *1708:14 0.00358791 +6 *1708:11 0.00205161 +7 *5728:DIODE *3213:9 6.98347e-05 +8 *1708:11 *2146:30 0.000295926 +9 *1708:11 *2376:13 5.51483e-06 +10 *1708:14 *2556:8 0 +11 *1708:14 *2700:8 0 +12 *1708:15 *3213:9 0.00181076 +13 *1708:15 *3225:10 0.000338403 +14 *1580:7 *1708:11 7.48797e-05 +15 *1697:13 *5728:DIODE 0.000311898 +16 *1697:13 *1708:15 0.00119148 +*RES +1 *23461:Y *1708:11 30.1943 +2 *1708:11 *1708:14 27.0946 +3 *1708:14 *1708:15 80.0317 +4 *1708:15 *5728:DIODE 12.7456 +5 *1708:15 *23205:A 9.82786 +*END + +*D_NET *1709 0.000910459 +*CONN +*I *5729:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23206:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23462:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5729:DIODE 0 +2 *23206:A 4.68117e-05 +3 *23462:Y 0.000151147 +4 *1709:10 0.000197958 +5 *23206:A *1980:40 2.41483e-05 +6 *23206:A *1980:46 0.000177585 +7 *1709:10 *1710:18 2.27175e-05 +8 *1709:10 *2637:10 7.09666e-06 +9 *6231:DIODE *1709:10 6.50586e-05 +10 *1148:25 *23206:A 0.000217937 +*RES +1 *23462:Y *1709:10 20.8045 +2 *1709:10 *23206:A 12.2151 +3 *1709:10 *5729:DIODE 9.24915 +*END + +*D_NET *1710 0.0122153 +*CONN +*I *23207:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5730:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23463:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *23207:A 8.55043e-05 +2 *5730:DIODE 0 +3 *23463:Y 0.00143568 +4 *1710:21 0.000295522 +5 *1710:18 0.00126889 +6 *1710:11 0.00249455 +7 *23207:A *1985:40 0.000280289 +8 *23207:A *2084:15 9.0685e-05 +9 *1710:11 *23463:A 8.6297e-06 +10 *1710:11 *1967:28 0.0021799 +11 *1710:11 *1967:39 2.77419e-05 +12 *1710:11 *2087:46 0.000152056 +13 *1710:11 *2253:35 4.99006e-05 +14 *1710:18 *23465:A 8.03676e-06 +15 *1710:18 *1980:46 0.0025452 +16 *1710:18 *2365:11 0.000792743 +17 *1710:18 *2501:34 1.18505e-05 +18 *1710:18 *2637:10 5.11322e-06 +19 *1710:18 *2788:17 9.46346e-05 +20 *1710:18 *2925:17 0 +21 *1710:21 *1985:40 5.65165e-05 +22 *6231:DIODE *1710:21 6.50586e-05 +23 *1157:24 *1710:18 0.000204778 +24 *1175:36 *1710:18 3.60933e-06 +25 *1582:5 *1710:11 3.56473e-05 +26 *1709:10 *1710:18 2.27175e-05 +*RES +1 *23463:Y *1710:11 48.8766 +2 *1710:11 *1710:18 47.0676 +3 *1710:18 *1710:21 7.99641 +4 *1710:21 *5730:DIODE 9.24915 +5 *1710:21 *23207:A 13.1796 +*END + +*D_NET *1711 0.0020573 +*CONN +*I *5731:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23208:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23464:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5731:DIODE 7.47734e-05 +2 *23208:A 0.000358942 +3 *23464:Y 0.000285308 +4 *1711:8 0.000719024 +5 *23208:A *23330:B 0.000147053 +6 *23208:A *23459:A 4.49767e-05 +7 *1711:8 *23330:B 4.45885e-05 +8 *1711:8 *23459:A 0.000121451 +9 *4253:DIODE *23208:A 4.23272e-05 +10 *23202:A *23208:A 0 +11 *1152:29 *1711:8 6.50586e-05 +12 *1154:17 *5731:DIODE 0.000113968 +13 *1577:11 *23208:A 3.98345e-05 +*RES +1 *23464:Y *1711:8 19.2113 +2 *1711:8 *23208:A 21.3947 +3 *1711:8 *5731:DIODE 15.0271 +*END + +*D_NET *1712 0.00249333 +*CONN +*I *5732:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23209:A I *D sky130_fd_sc_hd__inv_2 +*I *23465:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5732:DIODE 0 +2 *23209:A 8.34093e-05 +3 *23465:Y 0.00090583 +4 *1712:8 0.000989239 +5 *23209:A *2624:31 0.000224381 +6 *23209:A *2782:8 0 +7 *23209:A *3334:5 6.05492e-05 +8 *23209:A *3417:35 1.22858e-05 +9 *1712:8 *2242:23 4.69495e-06 +10 *1712:8 *2782:8 0 +11 *1712:8 *2925:17 0 +12 *1712:8 *3406:22 7.08723e-06 +13 *1712:8 *3417:35 2.761e-05 +14 *1172:11 *1712:8 0.000116014 +15 *1584:15 *1712:8 6.22259e-05 +*RES +1 *23465:Y *1712:8 29.732 +2 *1712:8 *23209:A 17.1378 +3 *1712:8 *5732:DIODE 13.7491 +*END + +*D_NET *1713 0.00259858 +*CONN +*I *5733:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23210:A I *D sky130_fd_sc_hd__inv_2 +*I *23466:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5733:DIODE 0 +2 *23210:A 8.09134e-05 +3 *23466:Y 0.000752724 +4 *1713:8 0.000833638 +5 *23210:A *21515:A 7.09666e-06 +6 *23210:A *2786:12 2.07951e-05 +7 *23210:A *2789:18 6.92705e-05 +8 *23210:A *2921:11 6.50727e-05 +9 *1713:8 *23212:A 1.72919e-05 +10 *1713:8 *23338:A 3.20069e-06 +11 *1713:8 *1715:8 0.000131135 +12 *1713:8 *2371:13 0.000423936 +13 *1713:8 *2786:12 0.000193509 +14 *1326:11 *23210:A 0 +15 *1326:11 *1713:8 0 +*RES +1 *23466:Y *1713:8 29.7376 +2 *1713:8 *23210:A 15.8893 +3 *1713:8 *5733:DIODE 13.7491 +*END + +*D_NET *1714 0.00365457 +*CONN +*I *23211:A I *D sky130_fd_sc_hd__inv_2 +*I *5734:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23467:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23211:A 0.000265844 +2 *5734:DIODE 0.000196231 +3 *23467:Y 0.000558852 +4 *1714:9 0.00102093 +5 *5734:DIODE *2909:9 0.000321985 +6 *23211:A *2643:14 0 +7 *23211:A *2787:9 4.64536e-05 +8 *23211:A *2916:5 0.000271058 +9 *23211:A *2920:33 0.000156636 +10 *23211:A *2930:8 0 +11 *1714:9 *2628:38 7.14073e-06 +12 *1714:9 *2643:14 0.000372545 +13 *1714:9 *2787:9 0 +14 *1714:9 *2909:9 0.00036952 +15 *1714:9 *3340:13 5.1493e-06 +16 *1138:22 *1714:9 0 +17 *1586:10 *1714:9 6.22259e-05 +*RES +1 *23467:Y *1714:9 30.3609 +2 *1714:9 *5734:DIODE 12.7456 +3 *1714:9 *23211:A 25.5145 +*END + +*D_NET *1715 0.00366194 +*CONN +*I *5735:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23212:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23468:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5735:DIODE 0.000267486 +2 *23212:A 4.54662e-05 +3 *23468:Y 0.000903338 +4 *1715:8 0.00121629 +5 *5735:DIODE *2624:31 0.000413252 +6 *1715:8 *23338:A 0 +7 *1715:8 *23338:B 1.99996e-05 +8 *1715:8 *2500:34 1.38384e-05 +9 *1149:31 *1715:8 0 +10 *1172:11 *1715:8 0.000380121 +11 *1326:11 *23212:A 1.48503e-05 +12 *1326:11 *1715:8 0.000238873 +13 *1713:8 *23212:A 1.72919e-05 +14 *1713:8 *1715:8 0.000131135 +*RES +1 *23468:Y *1715:8 32.505 +2 *1715:8 *23212:A 14.7506 +3 *1715:8 *5735:DIODE 18.3548 +*END + +*D_NET *1716 0.00334717 +*CONN +*I *23213:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5736:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23469:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23213:A 0 +2 *5736:DIODE 0.00010441 +3 *23469:Y 0.000613966 +4 *1716:9 0.000718375 +5 *5736:DIODE *2624:31 2.41445e-05 +6 *5736:DIODE *2624:35 0.000381471 +7 *5736:DIODE *3338:12 0.000222149 +8 *1716:9 *1717:9 5.40493e-05 +9 *1716:9 *2500:34 1.05272e-06 +10 *1716:9 *2624:31 0.000421818 +11 *1716:9 *2922:25 0.000362411 +12 *1716:9 *3337:14 0.000319815 +13 *1716:9 *3461:23 6.22259e-05 +14 *6239:DIODE *1716:9 4.15661e-05 +15 *1151:30 *1716:9 1.97222e-05 +16 *1326:11 *1716:9 0 +*RES +1 *23469:Y *1716:9 35.0681 +2 *1716:9 *5736:DIODE 14.4335 +3 *1716:9 *23213:A 9.24915 +*END + +*D_NET *1717 0.00352781 +*CONN +*I *5737:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23214:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23470:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5737:DIODE 5.00421e-05 +2 *23214:A 0 +3 *23470:Y 0.000675249 +4 *1717:9 0.000725291 +5 *5737:DIODE *2255:26 0.000189803 +6 *1717:9 *23466:A 2.53092e-05 +7 *1717:9 *2255:26 0.000318628 +8 *1717:9 *2500:34 0 +9 *1717:9 *2505:26 1.91246e-05 +10 *1717:9 *2922:25 5.8518e-05 +11 *1717:9 *2928:8 0 +12 *1717:9 *3461:23 5.88009e-05 +13 *1152:21 *5737:DIODE 0.000413323 +14 *1152:21 *1717:9 0.000734519 +15 *1175:36 *1717:9 0.000205153 +16 *1716:9 *1717:9 5.40493e-05 +*RES +1 *23470:Y *1717:9 38.3957 +2 *1717:9 *23214:A 9.24915 +3 *1717:9 *5737:DIODE 13.8548 +*END + +*D_NET *1718 0.00444551 +*CONN +*I *23215:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5738:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23471:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23215:A 0 +2 *5738:DIODE 1.31129e-05 +3 *23471:Y 0.000648866 +4 *1718:11 0.000661979 +5 *5738:DIODE *1967:40 0.000228593 +6 *5738:DIODE *2922:39 0.000228593 +7 *1718:11 *1959:14 0.000160617 +8 *1718:11 *1967:40 0.000164815 +9 *1718:11 *1972:8 0.000178212 +10 *1718:11 *1974:8 1.41853e-05 +11 *1718:11 *1974:12 0.000793428 +12 *1718:11 *2250:15 0.000598347 +13 *1718:11 *2791:6 0.000601888 +14 *1718:11 *2922:39 0.000152878 +*RES +1 *23471:Y *1718:11 43.0013 +2 *1718:11 *5738:DIODE 11.6364 +3 *1718:11 *23215:A 9.24915 +*END + +*D_NET *1719 0.015711 +*CONN +*I *5739:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23216:A I *D sky130_fd_sc_hd__inv_6 +*I *23472:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5739:DIODE 0 +2 *23216:A 2.51122e-05 +3 *23472:Y 0.000782481 +4 *1719:15 0.000410102 +5 *1719:14 0.00244584 +6 *1719:8 0.00284333 +7 *23216:A *2139:14 9.90116e-05 +8 *23216:A *3235:5 0.000224381 +9 *1719:8 *23472:A 4.61732e-05 +10 *1719:8 *3256:8 0 +11 *1719:14 *23239:A 1.77537e-06 +12 *1719:14 *2559:10 0.000134323 +13 *1719:14 *3206:9 0.00325677 +14 *1719:15 *2139:14 0.000722563 +15 *1719:15 *3235:5 0.00318285 +16 *1150:23 *1719:15 0.00153626 +*RES +1 *23472:Y *1719:8 35.4455 +2 *1719:8 *1719:14 46.7713 +3 *1719:14 *1719:15 34.5542 +4 *1719:15 *23216:A 11.6364 +5 *1719:15 *5739:DIODE 9.24915 +*END + +*D_NET *1720 0.00114698 +*CONN +*I *22244:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4435:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22372:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22244:TE 3.5247e-05 +2 *4435:DIODE 5.72466e-05 +3 *22372:X 0.000217125 +4 *1720:8 0.000309619 +5 *1720:8 *2317:13 0 +6 *1720:8 *2387:8 0.000461289 +7 *1720:8 *2837:26 3.98472e-05 +8 *1720:8 *2837:36 2.47663e-05 +9 *1136:9 *4435:DIODE 1.83795e-06 +*RES +1 *22372:X *1720:8 26.3099 +2 *1720:8 *4435:DIODE 9.97254 +3 *1720:8 *22244:TE 10.2378 +*END + +*D_NET *1721 0.0069744 +*CONN +*I *4437:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22245:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22373:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4437:DIODE 0 +2 *22245:TE 0.000119355 +3 *22373:X 0.000556054 +4 *1721:11 0.00119108 +5 *1721:10 0.00162778 +6 *22245:TE *2505:8 0.000212932 +7 *1721:10 *2103:23 0.000395466 +8 *1721:10 *2413:12 0.000399079 +9 *1721:10 *2803:31 0.000510804 +10 *1201:5 *1721:11 0.00174891 +11 *1332:6 *22245:TE 0.000212932 +*RES +1 *22373:X *1721:10 32.0248 +2 *1721:10 *1721:11 19.0253 +3 *1721:11 *22245:TE 22.5727 +4 *1721:11 *4437:DIODE 9.24915 +*END + +*D_NET *1722 0.00386767 +*CONN +*I *22246:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4439:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22374:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22246:TE 6.25985e-05 +2 *4439:DIODE 4.51842e-05 +3 *22374:X 0.000630333 +4 *1722:10 0.000738116 +5 *22246:TE *2103:23 4.2266e-05 +6 *22246:TE *2530:16 4.79289e-05 +7 *1722:10 *21542:A 6.08467e-05 +8 *1722:10 *2103:23 0.000500372 +9 *1722:10 *2530:16 0.000496947 +10 *1161:31 *4439:DIODE 6.08467e-05 +11 *1161:31 *22246:TE 0.000154145 +12 *1178:21 *1722:10 0.00029294 +13 *1178:25 *1722:10 0.000580998 +14 *1206:29 *22246:TE 0.000154145 +*RES +1 *22374:X *1722:10 34.7559 +2 *1722:10 *4439:DIODE 14.4725 +3 *1722:10 *22246:TE 16.5832 +*END + +*D_NET *1723 0.00463144 +*CONN +*I *22247:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4441:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22375:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22247:TE 0.000112241 +2 *4441:DIODE 0 +3 *22375:X 0.000707368 +4 *1723:11 0.000819609 +5 *22247:TE *2518:11 0.000211464 +6 *1723:11 *4696:DIODE 0.000175485 +7 *1723:11 *2513:26 0 +8 *1723:11 *2518:11 2.39581e-05 +9 *1723:11 *2808:39 0.00151117 +10 *1723:11 *2813:62 0.000160617 +11 *1723:11 *2814:40 0.00011818 +12 *1723:11 *3345:14 0.000503773 +13 *1723:11 *3348:22 0 +14 *1189:11 *1723:11 0.000287573 +*RES +1 *22375:X *1723:11 46.1952 +2 *1723:11 *4441:DIODE 9.24915 +3 *1723:11 *22247:TE 12.625 +*END + +*D_NET *1724 0.00320097 +*CONN +*I *22248:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4443:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22376:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22248:TE 4.17746e-05 +2 *4443:DIODE 0 +3 *22376:X 0.000754432 +4 *1724:8 0.000796206 +5 *22248:TE *2134:44 0.000111722 +6 *22248:TE *2362:18 2.04806e-05 +7 *22248:TE *2528:25 0.000111722 +8 *22248:TE *2813:62 5.41227e-05 +9 *1724:8 *2131:36 0.00120541 +10 *1724:8 *2362:18 3.00073e-05 +11 *1724:8 *2813:62 7.50872e-05 +*RES +1 *22376:X *1724:8 28.0906 +2 *1724:8 *4443:DIODE 13.7491 +3 *1724:8 *22248:TE 16.0286 +*END + +*D_NET *1725 0.00316157 +*CONN +*I *22249:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4445:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22377:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22249:TE 9.59302e-05 +2 *4445:DIODE 4.18856e-05 +3 *22377:X 0.000896455 +4 *1725:8 0.00103427 +5 *4445:DIODE *1973:28 6.50727e-05 +6 *22249:TE *21312:A 0.000156075 +7 *22249:TE *1973:28 0.000277502 +8 *1725:8 *4700:DIODE 0.000164843 +9 *1725:8 *21312:A 7.09666e-06 +10 *1725:8 *23593:TE 2.28975e-05 +11 *1725:8 *1975:24 0.000399543 +12 *1725:8 *2505:16 0 +13 *1725:8 *2808:12 0 +*RES +1 *22377:X *1725:8 29.0576 +2 *1725:8 *4445:DIODE 14.4725 +3 *1725:8 *22249:TE 17.6924 +*END + +*D_NET *1726 0.00124825 +*CONN +*I *22250:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4447:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22378:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22250:TE 6.30422e-05 +2 *4447:DIODE 0 +3 *22378:X 0.000249586 +4 *1726:10 0.000312628 +5 *22250:TE *2820:13 0.000115934 +6 *1726:10 *2103:23 0.000101133 +7 *1726:10 *2413:12 0.000101133 +8 *1726:10 *2505:11 0.000304791 +*RES +1 *22378:X *1726:10 23.5776 +2 *1726:10 *4447:DIODE 9.24915 +3 *1726:10 *22250:TE 11.1059 +*END + +*D_NET *1727 0.00124809 +*CONN +*I *22251:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4449:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22379:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22251:TE 1.55085e-05 +2 *4449:DIODE 0 +3 *22379:X 0.000427497 +4 *1727:9 0.000443006 +5 *22251:TE *2273:20 3.14978e-05 +6 *1727:9 *4705:DIODE 1.49713e-05 +7 *1727:9 *2273:20 0.000197119 +8 *1727:9 *2812:8 1.91246e-05 +9 *1727:9 *3193:12 9.93605e-05 +*RES +1 *22379:X *1727:9 28.9786 +2 *1727:9 *4449:DIODE 9.24915 +3 *1727:9 *22251:TE 9.97254 +*END + +*D_NET *1728 0.00321789 +*CONN +*I *22252:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4451:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22380:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22252:TE 9.42732e-05 +2 *4451:DIODE 0 +3 *22380:X 0.000645214 +4 *1728:10 0.000739487 +5 *1728:10 *2401:12 0.000891001 +6 *1728:10 *2433:14 0.000251855 +7 *1140:8 *1728:10 0.000234011 +8 *1199:13 *1728:10 0.000254551 +9 *1202:9 *22252:TE 0.000107496 +*RES +1 *22380:X *1728:10 37.972 +2 *1728:10 *4451:DIODE 9.24915 +3 *1728:10 *22252:TE 11.5158 +*END + +*D_NET *1729 0.00886828 +*CONN +*I *22253:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4453:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22381:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22253:TE 1.10184e-05 +2 *4453:DIODE 0 +3 *22381:X 0.00193166 +4 *1729:11 0.000441155 +5 *1729:7 0.0023618 +6 *22253:TE *2101:54 6.3657e-05 +7 *22253:TE *2137:48 2.07503e-05 +8 *1729:7 *2803:25 0.000228593 +9 *1729:7 *2803:31 0.0028927 +10 *1729:11 *2101:54 0.000113968 +11 *1729:11 *2137:48 4.58003e-05 +12 *1729:11 *3192:8 0.000236435 +13 *1729:11 *3196:6 0.00049774 +14 *1331:8 *1729:11 2.29988e-05 +*RES +1 *22381:X *1729:7 47.7488 +2 *1729:7 *1729:11 17.9913 +3 *1729:11 *4453:DIODE 9.24915 +4 *1729:11 *22253:TE 9.97254 +*END + +*D_NET *1730 0.00417207 +*CONN +*I *22254:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4455:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22382:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22254:TE 5.69468e-05 +2 *4455:DIODE 0 +3 *22382:X 0.00105933 +4 *1730:14 0.000135547 +5 *1730:13 0.00113793 +6 *22254:TE *4473:DIODE 1.77537e-06 +7 *22254:TE *1739:6 1.32509e-05 +8 *22254:TE *3070:11 0.000113968 +9 *22254:TE *3371:8 2.22198e-05 +10 *1730:13 *3724:DIODE 0.000113968 +11 *1730:13 *4729:DIODE 2.75459e-05 +12 *1730:13 *22384:A_N 0.000200221 +13 *1730:13 *22384:B 6.03667e-05 +14 *1730:13 *1732:9 0 +15 *1730:13 *1739:6 6.26577e-05 +16 *1730:13 *1976:39 4.20184e-06 +17 *1730:13 *1984:37 2.60879e-06 +18 *1730:13 *2132:49 1.96152e-05 +19 *1730:13 *2523:30 0 +20 *1730:13 *2815:22 0 +21 *1730:13 *2815:33 0 +22 *1730:13 *3356:9 6.98314e-05 +23 *1730:14 *1739:6 1.78017e-05 +24 *1730:14 *3371:8 3.59505e-05 +25 *1186:19 *1730:13 0.000623343 +26 *1189:11 *1730:13 0.000266846 +27 *1194:11 *22254:TE 0.000113968 +28 *1220:8 *1730:13 3.5534e-06 +29 *1236:14 *1730:13 8.62625e-06 +*RES +1 *22382:X *1730:13 48.8808 +2 *1730:13 *1730:14 1.832 +3 *1730:14 *4455:DIODE 13.7491 +4 *1730:14 *22254:TE 16.0286 +*END + +*D_NET *1731 0.00169299 +*CONN +*I *22255:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4457:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22383:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22255:TE 7.63441e-05 +2 *4457:DIODE 7.14857e-05 +3 *22383:X 0.000587732 +4 *1731:6 0.000735561 +5 *4457:DIODE *3200:13 0.00011818 +6 *22255:TE *3164:8 0 +7 *22255:TE *3200:9 1.20742e-05 +8 *22255:TE *3200:13 9.16123e-05 +9 *1731:6 *2397:52 0 +10 *1731:6 *2898:41 0 +11 *1731:6 *3164:8 0 +12 *1302:8 *1731:6 0 +*RES +1 *22383:X *1731:6 26.7929 +2 *1731:6 *4457:DIODE 15.0271 +3 *1731:6 *22255:TE 16.0286 +*END + +*D_NET *1732 0.00207157 +*CONN +*I *22256:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4459:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22384:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22256:TE 3.5247e-05 +2 *4459:DIODE 5.23324e-05 +3 *22384:X 0.000678338 +4 *1732:9 0.000765918 +5 *4459:DIODE *2562:9 3.28416e-06 +6 *1732:9 *2412:8 0 +7 *1732:9 *2523:30 0.000323325 +8 *1732:9 *2562:9 1.27226e-05 +9 *1220:8 *1732:9 0.000200407 +10 *1730:13 *1732:9 0 +*RES +1 *22384:X *1732:9 35.3412 +2 *1732:9 *4459:DIODE 9.97254 +3 *1732:9 *22256:TE 10.2378 +*END + +*D_NET *1733 0.0167364 +*CONN +*I *22257:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4461:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22385:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22257:TE 0.000110719 +2 *4461:DIODE 0 +3 *22385:X 2.3034e-05 +4 *1733:13 0.00161632 +5 *1733:12 0.00254861 +6 *1733:7 0.00235717 +7 *1733:5 0.00133719 +8 *22257:TE *2815:22 7.23396e-05 +9 *22257:TE *2822:18 7.23396e-05 +10 *1733:7 *3741:DIODE 0.000268812 +11 *1733:7 *21335:A 0.000104117 +12 *1733:7 *2541:21 0.00153998 +13 *1733:7 *2543:11 6.92705e-05 +14 *1733:12 *6281:DIODE 8.0625e-05 +15 *1733:12 *2119:45 0.000687044 +16 *1733:12 *2121:39 1.22756e-05 +17 *1733:12 *2137:69 1.9101e-05 +18 *1733:12 *2541:24 0.000274038 +19 *1733:12 *3039:20 0 +20 *1733:12 *3358:13 0 +21 *1228:15 *1733:13 0.00040678 +22 *1228:21 *1733:13 1.15389e-05 +23 *1231:19 *22257:TE 9.55447e-05 +24 *1231:19 *1733:13 0.00202936 +25 *1238:7 *1733:7 0.000101123 +26 *1238:9 *1733:7 0.000438281 +27 *1350:7 *1733:7 0.00246081 +*RES +1 *22385:X *1733:5 9.82786 +2 *1733:5 *1733:7 47.3101 +3 *1733:7 *1733:12 36.1624 +4 *1733:12 *1733:13 26.7898 +5 *1733:13 *4461:DIODE 9.24915 +6 *1733:13 *22257:TE 20.9439 +*END + +*D_NET *1734 0.0146061 +*CONN +*I *22258:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4463:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22386:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22258:TE 4.61e-05 +2 *4463:DIODE 0 +3 *22386:X 0 +4 *1734:10 0.000500844 +5 *1734:5 0.00174132 +6 *1734:4 0.00128658 +7 *22258:TE *2137:76 7.79229e-05 +8 *1734:5 *1987:26 0.00048194 +9 *1734:5 *2406:20 0.000110257 +10 *1734:5 *2410:22 0.000136313 +11 *1734:5 *2718:9 0.00284898 +12 *1734:5 *2740:15 0.0047786 +13 *1734:5 *2810:21 0.000167076 +14 *1734:5 *2810:30 0.00053312 +15 *1734:10 *4737:DIODE 0.000112211 +16 *1734:10 *1987:29 0.000929007 +17 *1734:10 *2524:52 0.000855818 +18 *1734:10 *2820:20 0 +*RES +1 *22386:X *1734:4 9.24915 +2 *1734:4 *1734:5 75.0403 +3 *1734:5 *1734:10 28.6878 +4 *1734:10 *4463:DIODE 9.24915 +5 *1734:10 *22258:TE 10.5513 +*END + +*D_NET *1735 0.00174285 +*CONN +*I *22259:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4465:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22387:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22259:TE 2.40228e-05 +2 *4465:DIODE 0 +3 *22387:X 0.000422879 +4 *1735:10 0.000446902 +5 *1735:10 *2123:38 0.000269619 +6 *1735:10 *2523:30 0 +7 *1735:10 *2822:18 0.000132964 +8 *1735:10 *3043:20 5.15415e-05 +9 *1177:23 *22259:TE 4.58003e-05 +10 *1192:22 *1735:10 2.01503e-05 +11 *1193:13 *1735:10 0.000215006 +12 *1199:13 *22259:TE 0.000113968 +*RES +1 *22387:X *1735:10 28.8365 +2 *1735:10 *4465:DIODE 9.24915 +3 *1735:10 *22259:TE 10.5271 +*END + +*D_NET *1736 0.0068079 +*CONN +*I *22260:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4467:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22388:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22260:TE 0.000203741 +2 *4467:DIODE 0 +3 *22388:X 0.000516202 +4 *1736:8 0.000719943 +5 *22260:TE *3198:32 0 +6 *1736:8 *2115:26 0.0025641 +7 *1736:8 *3198:32 0 +8 *1155:9 *1736:8 0.000111178 +9 *1200:38 *22260:TE 1.5714e-05 +10 *1224:19 *1736:8 0.00256831 +11 *1224:23 *22260:TE 4.72899e-05 +12 *1224:23 *1736:8 6.14128e-05 +*RES +1 *22388:X *1736:8 46.8021 +2 *1736:8 *4467:DIODE 13.7491 +3 *1736:8 *22260:TE 18.0727 +*END + +*D_NET *1737 0.00375866 +*CONN +*I *22261:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4469:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22389:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22261:TE 7.21624e-05 +2 *4469:DIODE 0 +3 *22389:X 0.000831341 +4 *1737:11 0.000903503 +5 *1737:11 *2399:18 0.000478224 +6 *1737:11 *2431:12 0 +7 *1737:11 *2813:47 0.000167076 +8 *1194:11 *22261:TE 0.000114518 +9 *1194:11 *1737:11 0.000103324 +10 *1202:9 *1737:11 0.000765643 +11 *1211:9 *1737:11 9.32891e-05 +12 *1212:10 *1737:11 4.97431e-05 +13 *1225:16 *1737:11 0.000179834 +*RES +1 *22389:X *1737:11 41.2038 +2 *1737:11 *4469:DIODE 9.24915 +3 *1737:11 *22261:TE 11.5158 +*END + +*D_NET *1738 0.00332428 +*CONN +*I *22262:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4471:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22390:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22262:TE 9.62134e-05 +2 *4471:DIODE 0 +3 *22390:X 0.00113015 +4 *1738:9 0.00122636 +5 *22262:TE *2280:43 7.34948e-06 +6 *22262:TE *2280:44 1.41976e-05 +7 *22262:TE *3028:25 3.63956e-05 +8 *1738:9 *22390:B 3.77659e-05 +9 *1738:9 *1983:38 9.60216e-05 +10 *1738:9 *2280:43 0.000679822 +11 *1738:9 *2413:10 0 +12 *1738:9 *2814:26 0 +13 *1738:9 *3193:20 0 +*RES +1 *22390:X *1738:9 40.7535 +2 *1738:9 *4471:DIODE 9.24915 +3 *1738:9 *22262:TE 11.8534 +*END + +*D_NET *1739 0.00193607 +*CONN +*I *4473:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22263:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22391:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4473:DIODE 0.000290647 +2 *22263:TE 3.23274e-05 +3 *22391:X 0.000353088 +4 *1739:6 0.000676063 +5 *4473:DIODE *3371:8 0 +6 *22263:TE *3070:11 9.40969e-05 +7 *1739:6 *22384:A_N 0.000174175 +8 *1739:6 *2815:33 0 +9 *1739:6 *3371:8 0 +10 *22254:TE *4473:DIODE 1.77537e-06 +11 *22254:TE *1739:6 1.32509e-05 +12 *1194:11 *22263:TE 0.000220183 +13 *1220:8 *4473:DIODE 0 +14 *1220:8 *1739:6 0 +15 *1730:13 *1739:6 6.26577e-05 +16 *1730:14 *1739:6 1.78017e-05 +*RES +1 *22391:X *1739:6 22.6404 +2 *1739:6 *22263:TE 16.1364 +3 *1739:6 *4473:DIODE 18.7989 +*END + +*D_NET *1740 0.000971045 +*CONN +*I *22264:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4475:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22392:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22264:TE 8.58298e-05 +2 *4475:DIODE 0 +3 *22392:X 0.00031195 +4 *1740:10 0.00039778 +5 *1740:10 *4731:DIODE 0 +6 *1740:10 *6293:DIODE 0 +7 *1740:10 *2533:18 0 +8 *22264:A *1740:10 0 +9 *1199:9 *22264:TE 0.000175485 +*RES +1 *22392:X *1740:10 24.2659 +2 *1740:10 *4475:DIODE 9.24915 +3 *1740:10 *22264:TE 12.0704 +*END + +*D_NET *1741 0.00818999 +*CONN +*I *22265:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4477:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22393:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22265:TE 6.8517e-05 +2 *4477:DIODE 0 +3 *22393:X 0.00143783 +4 *1741:11 0.00104573 +5 *1741:7 0.00241504 +6 *1741:11 *2538:26 4.97938e-05 +7 *1741:11 *3365:7 0.000169038 +8 *6290:DIODE *1741:11 0.00011818 +9 *1194:11 *22265:TE 0.00011818 +10 *1194:11 *1741:11 5.00593e-05 +11 *1233:15 *1741:7 0.00245452 +12 *1355:10 *1741:11 0.000263099 +*RES +1 *22393:X *1741:7 39.9843 +2 *1741:7 *1741:11 26.5835 +3 *1741:11 *4477:DIODE 9.24915 +4 *1741:11 *22265:TE 11.5158 +*END + +*D_NET *1742 0.00104212 +*CONN +*I *22266:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4479:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22394:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22266:TE 8.23791e-05 +2 *4479:DIODE 0 +3 *22394:X 0.000362255 +4 *1742:6 0.000444634 +5 *1742:6 *2545:6 0.000126271 +6 *1336:5 *22266:TE 2.65831e-05 +*RES +1 *22394:X *1742:6 22.2252 +2 *1742:6 *4479:DIODE 13.7491 +3 *1742:6 *22266:TE 15.8893 +*END + +*D_NET *1743 0.0121829 +*CONN +*I *22267:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4481:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22395:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22267:TE 6.0595e-05 +2 *4481:DIODE 0 +3 *22395:X 0.000551691 +4 *1743:14 0.000737894 +5 *1743:13 0.0012486 +6 *1743:10 0.00112299 +7 *22267:TE *3022:20 0 +8 *22267:TE *3023:18 0 +9 *1743:10 *2416:8 5.01737e-05 +10 *1743:14 *6313:DIODE 5.27538e-05 +11 *1743:14 *21350:A 3.20069e-06 +12 *1743:14 *3022:20 0 +13 *1743:14 *3023:18 0 +14 *1160:9 *1743:13 0.00398284 +15 *1190:8 *1743:14 5.79544e-05 +16 *1191:13 *1743:14 9.24241e-05 +17 *1192:11 *22267:TE 0.000224395 +18 *1200:5 *1743:13 0.000800603 +19 *1200:11 *1743:13 0.000824193 +20 *1200:13 *1743:10 0.00172353 +21 *1200:13 *1743:13 6.50727e-05 +22 *1222:10 *1743:10 0.000334808 +23 *1231:25 *22267:TE 0.000224395 +24 *1366:9 *1743:14 2.4815e-05 +*RES +1 *22395:X *1743:10 37.7213 +2 *1743:10 *1743:13 47.3733 +3 *1743:13 *1743:14 15.9506 +4 *1743:14 *4481:DIODE 13.7491 +5 *1743:14 *22267:TE 17.1378 +*END + +*D_NET *1744 0.00276974 +*CONN +*I *22268:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4483:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22396:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22268:TE 6.27166e-05 +2 *4483:DIODE 0 +3 *22396:X 0.000449727 +4 *1744:9 0.000512444 +5 *22268:TE *2134:44 5.0715e-05 +6 *1744:9 *3523:DIODE 0.000175485 +7 *1744:9 *2134:44 0.000173166 +8 *1744:9 *2410:6 0.000669437 +9 *1744:9 *3352:16 0.000676048 +*RES +1 *22396:X *1744:9 36.7319 +2 *1744:9 *4483:DIODE 9.24915 +3 *1744:9 *22268:TE 11.1059 +*END + +*D_NET *1745 0.0117558 +*CONN +*I *22269:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4485:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22397:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22269:TE 0.000146183 +2 *4485:DIODE 0 +3 *22397:X 0 +4 *1745:10 0.000530764 +5 *1745:5 0.00111556 +6 *1745:4 0.000730978 +7 *22269:TE *21543:A 6.50586e-05 +8 *1745:5 *21407:A 0.000167692 +9 *1745:5 *2826:20 0.000236284 +10 *1745:10 *3081:6 0.000714664 +11 *1161:15 *1745:5 0.00493899 +12 *1168:23 *1745:5 0.000137341 +13 *1182:7 *1745:5 0.00269863 +14 *1183:9 *1745:5 0.000194807 +15 *1234:18 *22269:TE 7.8874e-05 +*RES +1 *22397:X *1745:4 9.24915 +2 *1745:4 *1745:5 52.8561 +3 *1745:5 *1745:10 21.6286 +4 *1745:10 *4485:DIODE 9.24915 +5 *1745:10 *22269:TE 13.1796 +*END + +*D_NET *1746 0.0107542 +*CONN +*I *22270:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4487:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22398:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22270:TE 0.000169512 +2 *4487:DIODE 0 +3 *22398:X 0 +4 *1746:8 0.000501863 +5 *1746:5 0.00210424 +6 *1746:4 0.00177189 +7 *22270:TE *3367:8 0 +8 *1746:5 *4742:DIODE 0.000217923 +9 *1746:5 *2823:48 0.000312075 +10 *1746:5 *3370:5 0.00559643 +11 *1746:8 *3603:DIODE 2.65904e-05 +12 *1746:8 *3023:18 5.3697e-05 +13 *1189:10 *22270:TE 0 +14 *1189:10 *1746:8 0 +15 *1234:18 *22270:TE 0 +16 *1234:18 *1746:8 0 +*RES +1 *22398:X *1746:4 9.24915 +2 *1746:4 *1746:5 60.066 +3 *1746:5 *1746:8 12.976 +4 *1746:8 *4487:DIODE 13.7491 +5 *1746:8 *22270:TE 18.0727 +*END + +*D_NET *1747 0.00167453 +*CONN +*I *22271:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4489:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22399:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22271:TE 6.54639e-05 +2 *4489:DIODE 0 +3 *22399:X 0.000558537 +4 *1747:9 0.000624001 +5 *22271:TE *3370:11 5.56461e-05 +6 *1747:9 *4745:DIODE 3.04483e-05 +7 *1747:9 *1991:39 3.5534e-06 +8 *1747:9 *3023:18 0.000161578 +9 *1747:9 *3370:5 1.43983e-05 +10 *1747:9 *3370:11 2.72092e-05 +11 *1188:8 *1747:9 0.000133691 +12 *1235:9 *1747:9 0 +*RES +1 *22399:X *1747:9 32.4344 +2 *1747:9 *4489:DIODE 9.24915 +3 *1747:9 *22271:TE 11.5158 +*END + +*D_NET *1748 0.00091459 +*CONN +*I *22272:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4491:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22400:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22272:TE 3.5247e-05 +2 *4491:DIODE 5.23324e-05 +3 *22400:X 0.000368073 +4 *1748:8 0.000455653 +5 *1748:8 *3030:20 0 +6 *1236:15 *4491:DIODE 3.28416e-06 +*RES +1 *22400:X *1748:8 26.3099 +2 *1748:8 *4491:DIODE 9.97254 +3 *1748:8 *22272:TE 10.2378 +*END + +*D_NET *1749 0.00432981 +*CONN +*I *22273:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4493:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22401:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22273:TE 0.000107281 +2 *4493:DIODE 0 +3 *22401:X 0.000649471 +4 *1749:9 0.00120382 +5 *1749:8 0.00174601 +6 *1749:8 *2673:8 0 +7 *1749:8 *2826:33 0.000130777 +8 *1749:8 *3370:12 0.000492446 +9 *1749:8 *3375:6 0 +*RES +1 *22401:X *1749:8 36.276 +2 *1749:8 *1749:9 15.6977 +3 *1749:9 *4493:DIODE 9.24915 +4 *1749:9 *22273:TE 12.0704 +*END + +*D_NET *1750 0.00466297 +*CONN +*I *22274:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4495:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22402:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22274:TE 8.13154e-05 +2 *4495:DIODE 0 +3 *22402:X 0.00166513 +4 *1750:12 0.000120194 +5 *1750:11 0.001704 +6 *22274:TE *2957:25 0.000250829 +7 *22274:TE *3031:30 0.000107279 +8 *1750:11 *1996:55 3.34802e-05 +9 *1750:11 *2480:9 0.000595653 +10 *1750:11 *3025:18 0 +11 *1750:12 *2957:25 7.50872e-05 +12 *1750:12 *3031:30 3.00073e-05 +*RES +1 *22402:X *1750:11 49.8464 +2 *1750:11 *1750:12 1.41674 +3 *1750:12 *4495:DIODE 13.7491 +4 *1750:12 *22274:TE 18.0727 +*END + +*D_NET *1751 0.00170496 +*CONN +*I *22275:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4497:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22403:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22275:TE 0.00018305 +2 *4497:DIODE 0 +3 *22403:X 0.000463699 +4 *1751:6 0.000646749 +5 *22275:TE *2828:33 0 +6 *22275:TE *2832:12 0 +7 *22275:TE *2832:28 2.77564e-05 +8 *1751:6 *22403:B 7.41354e-05 +9 *1751:6 *2330:33 1.19737e-05 +10 *1751:6 *2828:18 0 +11 *1751:6 *2828:33 0 +12 *1751:6 *2832:12 0 +13 *1751:6 *2834:24 0.000297594 +*RES +1 *22403:X *1751:6 23.8862 +2 *1751:6 *4497:DIODE 13.7491 +3 *1751:6 *22275:TE 18.0727 +*END + +*D_NET *1752 0.00157469 +*CONN +*I *22276:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4499:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22404:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22276:TE 9.01206e-05 +2 *4499:DIODE 0 +3 *22404:X 0.000557259 +4 *1752:9 0.000647379 +5 *22276:TE *3094:5 0.000228593 +6 *1752:9 *4755:DIODE 3.60268e-05 +7 *1752:9 *2331:15 5.22654e-06 +8 *1752:9 *3094:5 1.00846e-05 +9 *1752:9 *3102:14 0 +*RES +1 *22404:X *1752:9 30.7706 +2 *1752:9 *4499:DIODE 9.24915 +3 *1752:9 *22276:TE 12.625 +*END + +*D_NET *1753 0.000745147 +*CONN +*I *22277:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4501:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22405:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22277:TE 6.73615e-05 +2 *4501:DIODE 0 +3 *22405:X 0.000146921 +4 *1753:8 0.000214282 +5 *22277:TE *1938:36 2.65667e-05 +6 *1753:8 *4835:DIODE 7.86847e-05 +7 *1753:8 *1894:12 1.61918e-05 +8 *1753:8 *2874:12 0 +9 *1258:16 *1753:8 0.000195139 +*RES +1 *22405:X *1753:8 22.9879 +2 *1753:8 *4501:DIODE 9.24915 +3 *1753:8 *22277:TE 10.9612 +*END + +*D_NET *1754 0.0014461 +*CONN +*I *22278:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4503:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22406:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22278:TE 3.5247e-05 +2 *4503:DIODE 4.41691e-05 +3 *22406:X 0.000496459 +4 *1754:9 0.000575875 +5 *4503:DIODE *3200:17 1.31657e-05 +6 *1754:9 *4759:DIODE 3.48436e-05 +7 *1754:9 *2333:17 3.5534e-06 +8 *1754:9 *2832:28 0 +9 *1754:9 *3200:17 2.20556e-05 +10 *1242:11 *1754:9 0.000220733 +*RES +1 *22406:X *1754:9 29.667 +2 *1754:9 *4503:DIODE 9.97254 +3 *1754:9 *22278:TE 10.2378 +*END + +*D_NET *1755 0.00228194 +*CONN +*I *4505:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22279:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22407:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4505:DIODE 2.19902e-05 +2 *22279:TE 0 +3 *22407:X 0.000509197 +4 *1755:9 0.000531187 +5 *4505:DIODE *3098:7 0.000164829 +6 *1755:9 *2335:47 6.1096e-05 +7 *1755:9 *2373:8 0.000146135 +8 *1755:9 *2833:29 0 +9 *1755:9 *3098:7 0.000260374 +10 *1408:15 *4505:DIODE 0.000150632 +11 *1408:15 *1755:9 0.000260374 +12 *1452:8 *1755:9 0.000176123 +*RES +1 *22407:X *1755:9 33.4043 +2 *1755:9 *22279:TE 9.24915 +3 *1755:9 *4505:DIODE 11.0817 +*END + +*D_NET *1756 0.00408495 +*CONN +*I *22280:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4507:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22408:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22280:TE 1.81917e-05 +2 *4507:DIODE 2.06445e-05 +3 *22408:X 0.0011331 +4 *1756:15 0.00117193 +5 *4507:DIODE *22360:TE 0.000115934 +6 *4507:DIODE *3138:17 4.81015e-05 +7 *22280:TE *22360:TE 0 +8 *22280:TE *3138:17 1.09551e-05 +9 *1756:15 *4922:DIODE 6.08467e-05 +10 *1756:15 *22360:TE 0.00015511 +11 *1756:15 *22488:A_N 7.24449e-05 +12 *1756:15 *1836:11 0.000144669 +13 *1756:15 *2368:38 0 +14 *1756:15 *2923:24 0.000208315 +15 *1756:15 *3099:8 1.77537e-06 +16 *1756:15 *3138:17 2.41483e-05 +17 *1756:15 *3138:21 0.00064924 +18 *1441:9 *1756:15 0.000249549 +*RES +1 *22408:X *1756:15 48.3278 +2 *1756:15 *4507:DIODE 10.5271 +3 *1756:15 *22280:TE 9.82786 +*END + +*D_NET *1757 0.00333013 +*CONN +*I *22281:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4509:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22409:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22281:TE 3.93497e-05 +2 *4509:DIODE 4.3163e-05 +3 *22409:X 0.00104418 +4 *1757:9 0.00112669 +5 *4509:DIODE *2318:90 1.84293e-05 +6 *1757:9 *2318:82 0.000625682 +7 *1757:9 *2318:90 0.000126758 +8 *1757:9 *2807:12 0 +9 *1239:19 *1757:9 0.00024029 +10 *1243:11 *1757:9 6.55811e-05 +11 *1245:8 *1757:9 0 +*RES +1 *22409:X *1757:9 38.68 +2 *1757:9 *4509:DIODE 9.97254 +3 *1757:9 *22281:TE 10.2378 +*END + +*D_NET *1758 0.00421866 +*CONN +*I *22282:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4511:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22410:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22282:TE 3.8149e-05 +2 *4511:DIODE 4.3163e-05 +3 *22410:X 0.00120728 +4 *1758:11 0.00128859 +5 *1758:11 *22284:TE 5.04829e-06 +6 *1758:11 *2470:61 0.000120571 +7 *1758:11 *2807:12 0.000175227 +8 *1758:11 *3200:17 0.000634119 +9 *22282:A *1758:11 7.14746e-05 +10 *1246:6 *1758:11 0.000370636 +11 *1463:9 *4511:DIODE 1.84293e-05 +12 *1463:9 *1758:11 0.000245966 +*RES +1 *22410:X *1758:11 43.2856 +2 *1758:11 *4511:DIODE 9.97254 +3 *1758:11 *22282:TE 10.2378 +*END + +*D_NET *1759 0.00132883 +*CONN +*I *4513:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22283:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22411:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4513:DIODE 5.36454e-05 +2 *22283:TE 0 +3 *22411:X 0.000407231 +4 *1759:9 0.000460876 +5 *4513:DIODE *3102:7 9.48415e-05 +6 *4513:DIODE *3102:9 2.98284e-05 +7 *1759:9 *2387:8 0 +8 *1759:9 *3102:7 0.000224395 +9 *1136:8 *1759:9 5.80086e-05 +10 *1247:9 *1759:9 0 +*RES +1 *22411:X *1759:9 29.5276 +2 *1759:9 *22283:TE 9.24915 +3 *1759:9 *4513:DIODE 11.0817 +*END + +*D_NET *1760 0.00360618 +*CONN +*I *4515:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22284:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22412:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4515:DIODE 0.000211156 +2 *22284:TE 7.30089e-05 +3 *22412:X 0.000663054 +4 *1760:12 0.000947219 +5 *4515:DIODE *2372:42 0 +6 *4515:DIODE *2372:46 5.03201e-05 +7 *4515:DIODE *2807:12 5.57434e-05 +8 *4515:DIODE *3101:9 2.32834e-05 +9 *1760:12 *21443:A 7.09666e-06 +10 *1760:12 *21444:A 6.08467e-05 +11 *1760:12 *22410:A_N 1.09551e-05 +12 *1760:12 *2372:42 0 +13 *1760:12 *2807:12 0.000208593 +14 *1760:12 *2836:17 7.23987e-05 +15 *1760:12 *3097:10 0.000163912 +16 *1760:12 *3200:17 0.000835607 +17 *1463:9 *22284:TE 0.000217937 +18 *1758:11 *22284:TE 5.04829e-06 +*RES +1 *22412:X *1760:12 35.4793 +2 *1760:12 *22284:TE 16.1364 +3 *1760:12 *4515:DIODE 18.2442 +*END + +*D_NET *1761 0.00163326 +*CONN +*I *22285:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4517:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22413:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22285:TE 7.08262e-05 +2 *4517:DIODE 0 +3 *22413:X 0.000474705 +4 *1761:8 0.000545531 +5 *22285:TE *2002:21 1.37385e-05 +6 *22285:TE *2318:78 2.41483e-05 +7 *22285:TE *2318:82 6.50727e-05 +8 *1761:8 *1905:48 0.000169041 +9 *1761:8 *2002:21 0.000152017 +10 *1761:8 *2376:16 0 +11 *1371:7 *22285:TE 0.00011818 +*RES +1 *22413:X *1761:8 23.6425 +2 *1761:8 *4517:DIODE 13.7491 +3 *1761:8 *22285:TE 16.0286 +*END + +*D_NET *1762 0.00138218 +*CONN +*I *22286:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4519:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22414:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22286:TE 7.16622e-05 +2 *4519:DIODE 0 +3 *22414:X 0.000220574 +4 *1762:6 0.000292236 +5 *22286:TE *2361:10 3.64734e-05 +6 *22286:TE *2951:32 4.77858e-05 +7 *22286:TE *3101:9 8.82627e-05 +8 *22286:TE *3105:7 0.00021217 +9 *1762:6 *2361:10 0.00010872 +10 *1762:6 *2951:32 0.000304292 +11 *1762:6 *3006:50 0 +*RES +1 *22414:X *1762:6 19.7337 +2 *1762:6 *4519:DIODE 13.7491 +3 *1762:6 *22286:TE 17.1378 +*END + +*D_NET *1763 0.00185937 +*CONN +*I *22287:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4521:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22415:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22287:TE 5.78649e-05 +2 *4521:DIODE 0 +3 *22415:X 0.000362881 +4 *1763:8 0.000420746 +5 *22287:TE *1998:13 6.4084e-05 +6 *22287:TE *2009:45 6.97325e-05 +7 *22287:TE *2839:36 0.000164829 +8 *1763:8 *1998:13 0.000233563 +9 *1763:8 *2009:45 0.000233563 +10 *1763:8 *2337:38 4.41269e-05 +11 *1251:11 *22287:TE 0.000164829 +12 *1441:9 *1763:8 4.31539e-05 +*RES +1 *22415:X *1763:8 21.9843 +2 *1763:8 *4521:DIODE 13.7491 +3 *1763:8 *22287:TE 16.9985 +*END + +*D_NET *1764 0.00167123 +*CONN +*I *4523:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22288:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22416:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4523:DIODE 3.15725e-05 +2 *22288:TE 0 +3 *22416:X 0.000295513 +4 *1764:9 0.000327085 +5 *4523:DIODE *3200:37 0.000148666 +6 *4523:DIODE *3343:7 6.73186e-05 +7 *1764:9 *1765:10 9.32891e-05 +8 *1764:9 *2906:24 0.000143262 +9 *1764:9 *3110:12 0.000472869 +10 *1764:9 *3200:37 6.50727e-05 +11 *1764:9 *3343:7 2.65831e-05 +*RES +1 *22416:X *1764:9 28.2791 +2 *1764:9 *22288:TE 9.24915 +3 *1764:9 *4523:DIODE 11.0817 +*END + +*D_NET *1765 0.00245235 +*CONN +*I *4525:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22289:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22417:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4525:DIODE 0 +2 *22289:TE 0.000193672 +3 *22417:X 0.000521538 +4 *1765:10 0.00071521 +5 *22289:TE *3107:6 0 +6 *22289:TE *3109:9 0.000207266 +7 *22289:TE *3110:12 1.67271e-05 +8 *1765:10 *2324:48 0.000185447 +9 *1765:10 *2906:24 0.000401818 +10 *1765:10 *3110:12 0.000117384 +11 *1764:9 *1765:10 9.32891e-05 +*RES +1 *22417:X *1765:10 30.9155 +2 *1765:10 *22289:TE 21.6378 +3 *1765:10 *4525:DIODE 9.24915 +*END + +*D_NET *1766 0.00138874 +*CONN +*I *4527:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22290:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22418:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4527:DIODE 0.000122298 +2 *22290:TE 1.26553e-05 +3 *22418:X 0.000450004 +4 *1766:6 0.000584957 +5 *4527:DIODE *2009:45 0 +6 *4527:DIODE *2318:75 5.50218e-05 +7 *4527:DIODE *3107:6 0 +8 *22290:TE *2318:75 2.65667e-05 +9 *22290:TE *3188:20 6.50586e-05 +10 *1766:6 *4783:DIODE 7.21753e-05 +11 *1766:6 *2009:45 0 +12 *1766:6 *2845:33 0 +13 *1766:6 *3107:6 0 +*RES +1 *22418:X *1766:6 22.6404 +2 *1766:6 *22290:TE 14.4725 +3 *1766:6 *4527:DIODE 16.4116 +*END + +*D_NET *1767 0.00306335 +*CONN +*I *4529:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22291:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22419:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4529:DIODE 0 +2 *22291:TE 0.000253882 +3 *22419:X 0.000645494 +4 *1767:9 0.000899375 +5 *22291:TE *2841:12 4.31921e-05 +6 *22291:TE *2951:32 1.25326e-05 +7 *22291:TE *3111:5 6.08467e-05 +8 *1767:9 *4785:DIODE 0 +9 *1767:9 *2324:47 8.89319e-06 +10 *1767:9 *2846:37 0 +11 *1767:9 *3084:12 9.68179e-05 +12 *1373:25 *22291:TE 0.00037645 +13 *1373:25 *1767:9 0.00066587 +*RES +1 *22419:X *1767:9 30.7818 +2 *1767:9 *22291:TE 23.4704 +3 *1767:9 *4529:DIODE 9.24915 +*END + +*D_NET *1768 0.00226244 +*CONN +*I *4531:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22292:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22420:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4531:DIODE 6.46377e-05 +2 *22292:TE 1.26553e-05 +3 *22420:X 0.000529827 +4 *1768:10 0.00060712 +5 *4531:DIODE *3772:DIODE 0.000169041 +6 *4531:DIODE *21582:A 1.8254e-05 +7 *4531:DIODE *2318:54 1.53438e-05 +8 *4531:DIODE *3380:20 5.04829e-06 +9 *22292:TE *3380:20 2.65667e-05 +10 *1768:10 *22420:B 3.37152e-05 +11 *1768:10 *1949:29 0.000103943 +12 *1768:10 *2846:20 9.41864e-05 +13 *1768:10 *2850:36 1.95554e-05 +14 *1768:10 *2984:24 0 +15 *1260:16 *4531:DIODE 0.000350159 +16 *1260:16 *22292:TE 6.50586e-05 +17 *1387:12 *4531:DIODE 3.66797e-05 +18 *1387:12 *1768:10 0.000110649 +*RES +1 *22420:X *1768:10 29.5276 +2 *1768:10 *22292:TE 9.97254 +3 *1768:10 *4531:DIODE 13.3002 +*END + +*D_NET *1769 0.0074319 +*CONN +*I *22293:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4533:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22421:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22293:TE 9.66763e-05 +2 *4533:DIODE 4.18965e-05 +3 *22421:X 0.000255478 +4 *1769:12 0.00090889 +5 *1769:11 0.0010258 +6 *4533:DIODE *3113:17 6.50586e-05 +7 *22293:TE *2773:20 0 +8 *22293:TE *2806:16 1.62341e-05 +9 *22293:TE *3109:19 0.000330596 +10 *22293:TE *3176:24 8.62625e-06 +11 *1769:11 *1905:40 0.000372509 +12 *1769:11 *2850:33 0.00177457 +13 *1769:12 *2773:20 0 +14 *1769:12 *2806:16 0.000320585 +15 *1769:12 *3176:24 5.65463e-05 +16 *1769:12 *3380:8 0.000382595 +17 *1347:15 *22293:TE 0.000330596 +18 *1377:8 *1769:12 0.000118904 +19 *1441:24 *1769:11 0.00117604 +20 *1452:40 *1769:12 0.000150307 +*RES +1 *22421:X *1769:11 34.6312 +2 *1769:11 *1769:12 19.6878 +3 *1769:12 *4533:DIODE 14.4725 +4 *1769:12 *22293:TE 18.6623 +*END + +*D_NET *1770 0.00230694 +*CONN +*I *22294:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4535:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22422:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22294:TE 8.16162e-05 +2 *4535:DIODE 0 +3 *22422:X 0.000590721 +4 *1770:8 0.000672337 +5 *22294:TE *2650:6 0.000117105 +6 *22294:TE *2935:8 0.000122331 +7 *1770:8 *2650:6 3.34802e-05 +8 *1770:8 *2935:8 3.00073e-05 +9 *1441:7 *1770:8 0.000481241 +10 *1441:9 *1770:8 0.000178097 +*RES +1 *22422:X *1770:8 24.7629 +2 *1770:8 *4535:DIODE 13.7491 +3 *1770:8 *22294:TE 18.0727 +*END + +*D_NET *1771 0.00241761 +*CONN +*I *4537:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22295:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22423:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4537:DIODE 0 +2 *22295:TE 0.000256553 +3 *22423:X 0.000380078 +4 *1771:8 0.000636631 +5 *22295:TE *3765:DIODE 4.04218e-05 +6 *22295:TE *2020:23 1.30304e-05 +7 *22295:TE *3115:7 0.000207266 +8 *22295:TE *3138:47 1.05746e-05 +9 *1771:8 *2020:23 0.000134989 +10 *1771:8 *2839:52 0.000157541 +11 *1771:8 *2929:24 0.000555684 +12 *1379:14 *22295:TE 9.12416e-06 +13 *1379:14 *1771:8 1.5714e-05 +*RES +1 *22423:X *1771:8 29.6319 +2 *1771:8 *22295:TE 23.6653 +3 *1771:8 *4537:DIODE 9.24915 +*END + +*D_NET *1772 0.00283028 +*CONN +*I *22296:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4539:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22424:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22296:TE 0.000119226 +2 *4539:DIODE 0 +3 *22424:X 0.000621671 +4 *1772:11 0.000740897 +5 *22296:TE *2344:46 0.000209232 +6 *1772:11 *3771:DIODE 6.24819e-05 +7 *1772:11 *1886:23 0.000111708 +8 *1772:11 *2344:46 1.55995e-05 +9 *1772:11 *2497:8 0.000401565 +10 *1772:11 *2578:6 0.000397967 +11 *1772:11 *2848:56 3.82228e-05 +12 *1385:5 *1772:11 0.000111708 +*RES +1 *22424:X *1772:11 32.7481 +2 *1772:11 *4539:DIODE 9.24915 +3 *1772:11 *22296:TE 12.625 +*END + +*D_NET *1773 0.00213966 +*CONN +*I *22297:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4541:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22425:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22297:TE 0.00013224 +2 *4541:DIODE 0 +3 *22425:X 0.000568382 +4 *1773:10 0.000700622 +5 *22297:TE *2165:28 0.000265211 +6 *1773:10 *4797:DIODE 6.96979e-05 +7 *1773:10 *1891:15 1.55025e-05 +8 *1773:10 *1891:20 1.7883e-05 +9 *1773:10 *2011:43 0.000193255 +10 *1773:10 *3117:24 0.00017687 +*RES +1 *22425:X *1773:10 30.9099 +2 *1773:10 *4541:DIODE 9.24915 +3 *1773:10 *22297:TE 13.1796 +*END + +*D_NET *1774 0.00163679 +*CONN +*I *22298:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4543:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22426:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22298:TE 8.49003e-05 +2 *4543:DIODE 0 +3 *22426:X 0.000340857 +4 *1774:6 0.000425758 +5 *22298:TE *2651:8 1.25173e-05 +6 *22298:TE *2867:12 6.98648e-06 +7 *22298:TE *3096:36 5.22654e-06 +8 *22298:TE *3106:27 0.000207266 +9 *22298:TE *3119:9 0.000207266 +10 *1774:6 *4799:DIODE 2.95809e-05 +11 *1774:6 *1887:20 8.5809e-05 +12 *1774:6 *1891:20 3.5534e-06 +13 *1774:6 *2170:23 3.59283e-05 +14 *1774:6 *2651:8 0.000122042 +15 *1774:6 *2867:12 2.30796e-05 +16 *1774:6 *3096:36 4.60197e-05 +*RES +1 *22426:X *1774:6 22.2252 +2 *1774:6 *4543:DIODE 13.7491 +3 *1774:6 *22298:TE 17.1378 +*END + +*D_NET *1775 0.0015558 +*CONN +*I *22299:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4545:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22427:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22299:TE 0.000102511 +2 *4545:DIODE 0 +3 *22427:X 0.000405174 +4 *1775:10 0.000507685 +5 *22299:TE *3120:7 0.000162583 +6 *1775:10 *2156:50 6.92705e-05 +7 *1775:10 *2165:35 1.07248e-05 +8 *1775:10 *2170:31 0 +9 *1775:10 *3121:6 0.000249629 +10 *1775:10 *3328:6 4.82242e-05 +*RES +1 *22427:X *1775:10 27.0333 +2 *1775:10 *4545:DIODE 9.24915 +3 *1775:10 *22299:TE 12.0704 +*END + +*D_NET *1776 0.00129248 +*CONN +*I *22300:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4547:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22428:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22300:TE 5.93404e-05 +2 *4547:DIODE 0 +3 *22428:X 0.000254026 +4 *1776:10 0.000313367 +5 *22300:TE *2161:26 6.92705e-05 +6 *22300:TE *2173:46 5.04829e-06 +7 *1776:10 *2003:55 1.73636e-05 +8 *1776:10 *2173:55 0.000178107 +9 *1776:10 *2856:13 0.000164815 +10 *1776:10 *3206:6 0.000231144 +*RES +1 *22428:X *1776:10 24.8205 +2 *1776:10 *4547:DIODE 9.24915 +3 *1776:10 *22300:TE 10.9612 +*END + +*D_NET *1777 0.000707152 +*CONN +*I *4549:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22301:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22429:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4549:DIODE 7.58735e-05 +2 *22301:TE 6.64079e-05 +3 *22429:X 3.87334e-05 +4 *1777:6 0.000181015 +5 *4549:DIODE *1997:30 5.07314e-05 +6 *22301:TE *1997:30 6.08467e-05 +7 *22301:TE *2847:14 6.99053e-05 +8 *22301:TE *2857:6 1.26257e-05 +9 *22301:TE *3122:7 6.50727e-05 +10 *1777:6 *2847:14 6.79599e-05 +11 *1777:6 *2857:6 1.79807e-05 +*RES +1 *22429:X *1777:6 15.1659 +2 *1777:6 *22301:TE 15.8893 +3 *1777:6 *4549:DIODE 15.0271 +*END + +*D_NET *1778 0.00119821 +*CONN +*I *4551:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22302:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22430:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4551:DIODE 1.49965e-05 +2 *22302:TE 0 +3 *22430:X 0.000104738 +4 *1778:9 0.000119735 +5 *4551:DIODE *2651:25 0.00015511 +6 *4551:DIODE *3123:8 0.000171273 +7 *1778:9 *4807:DIODE 0.000139226 +8 *1778:9 *2651:25 0.000222149 +9 *1778:9 *3123:8 0.000217951 +10 *1778:9 *3450:6 5.30356e-05 +*RES +1 *22430:X *1778:9 23.2989 +2 *1778:9 *22302:TE 9.24915 +3 *1778:9 *4551:DIODE 11.0817 +*END + +*D_NET *1779 0.00316532 +*CONN +*I *4553:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22303:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22431:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4553:DIODE 0.000346706 +2 *22303:TE 0.000141103 +3 *22431:X 0.000175081 +4 *1779:6 0.00066289 +5 *4553:DIODE *2147:65 0.000476976 +6 *4553:DIODE *2150:23 5.05252e-05 +7 *4553:DIODE *2174:25 4.42985e-05 +8 *4553:DIODE *2509:20 5.65354e-05 +9 *4553:DIODE *2529:15 0.000160617 +10 *4553:DIODE *2859:14 5.97608e-05 +11 *22303:TE *2147:65 7.28277e-05 +12 *22303:TE *2397:19 0.000211478 +13 *22303:TE *2397:32 6.1478e-06 +14 *22303:TE *2721:18 1.49935e-05 +15 *1779:6 *4809:DIODE 9.96332e-05 +16 *1779:6 *2147:65 4.15661e-05 +17 *1779:6 *2721:18 1.59022e-05 +18 *5672:DIODE *22303:TE 1.42111e-05 +19 *23149:A *4553:DIODE 2.03363e-06 +20 *1157:47 *22303:TE 0.000111708 +21 *1157:61 *4553:DIODE 0.000377422 +22 *1268:14 *1779:6 2.29011e-05 +*RES +1 *22431:X *1779:6 17.4498 +2 *1779:6 *22303:TE 18.3341 +3 *1779:6 *4553:DIODE 26.2665 +*END + +*D_NET *1780 0.000971529 +*CONN +*I *22304:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4555:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22432:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22304:TE 0 +2 *4555:DIODE 0.000150947 +3 *22432:X 1.7978e-05 +4 *1780:5 0.000168925 +5 *1780:5 *2001:8 0.000111708 +6 *1780:5 *2009:42 0.000115934 +7 *1651:8 *4555:DIODE 0.000205448 +8 *1654:15 *4555:DIODE 0.000200589 +*RES +1 *22432:X *1780:5 10.5271 +2 *1780:5 *4555:DIODE 22.5727 +3 *1780:5 *22304:TE 9.24915 +*END + +*D_NET *1781 0.0016861 +*CONN +*I *22305:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4557:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22433:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22305:TE 0.000205627 +2 *4557:DIODE 5.38534e-05 +3 *22433:X 0.000206003 +4 *1781:6 0.000465484 +5 *22305:TE *2886:28 5.19205e-05 +6 *22305:TE *3059:7 4.58003e-05 +7 *1781:6 *4813:DIODE 0.000266696 +8 *22305:A *22305:TE 0 +9 *1269:15 *22305:TE 8.62625e-06 +10 *1269:15 *1781:6 0.00038209 +*RES +1 *22433:X *1781:6 21.8099 +2 *1781:6 *4557:DIODE 14.4725 +3 *1781:6 *22305:TE 19.3507 +*END + +*D_NET *1782 0.00221506 +*CONN +*I *4559:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22306:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22434:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4559:DIODE 1.11628e-05 +2 *22306:TE 0 +3 *22434:X 0.000415447 +4 *1782:9 0.000426609 +5 *4559:DIODE *1925:39 0.000157907 +6 *1782:9 *4815:DIODE 0.000208322 +7 *1782:9 *1901:18 2.68948e-05 +8 *1782:9 *1907:11 0.000411635 +9 *1782:9 *1925:39 0.000164829 +10 *1782:9 *2454:76 2.60747e-05 +11 *1782:9 *3413:21 7.39264e-05 +12 *1404:27 *4559:DIODE 0.00017407 +13 *1404:27 *1782:9 0.00011818 +*RES +1 *22434:X *1782:9 30.6368 +2 *1782:9 *22306:TE 9.24915 +3 *1782:9 *4559:DIODE 11.0817 +*END + +*D_NET *1783 0.00338568 +*CONN +*I *22307:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4561:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22435:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22307:TE 3.72816e-05 +2 *4561:DIODE 8.48985e-05 +3 *22435:X 0.000680806 +4 *1783:8 0.000802986 +5 *4561:DIODE *3435:16 0 +6 *22307:TE *3450:6 2.90291e-05 +7 *1783:8 *3655:DIODE 0.000171288 +8 *1783:8 *22535:TE 0.000162583 +9 *1783:8 *2483:11 1.91246e-05 +10 *1783:8 *3116:11 0.00011383 +11 *1783:8 *3450:6 0.000170097 +12 *1381:11 *1783:8 0.000935664 +13 *1381:15 *1783:8 0.000178097 +*RES +1 *22435:X *1783:8 37.0213 +2 *1783:8 *4561:DIODE 15.4224 +3 *1783:8 *22307:TE 14.9583 +*END + +*D_NET *1784 0.00142931 +*CONN +*I *22308:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4563:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22436:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22308:TE 3.8149e-05 +2 *4563:DIODE 4.89615e-05 +3 *22436:X 0.000420371 +4 *1784:9 0.000507482 +5 *4563:DIODE *2385:41 6.11359e-06 +6 *1784:9 *4819:DIODE 7.86847e-05 +7 *1784:9 *2385:41 1.53125e-05 +8 *1784:9 *2867:18 3.18045e-06 +9 *1784:9 *2867:34 0.000166828 +10 *1784:9 *3093:30 0.000136879 +11 *1374:9 *4563:DIODE 7.34948e-06 +*RES +1 *22436:X *1784:9 28.4184 +2 *1784:9 *4563:DIODE 9.97254 +3 *1784:9 *22308:TE 10.2378 +*END + +*D_NET *1785 0.00223552 +*CONN +*I *4565:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22309:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22437:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4565:DIODE 3.33757e-05 +2 *22309:TE 1.68076e-05 +3 *22437:X 0.00053027 +4 *1785:8 0.000580453 +5 *4565:DIODE *21469:A 0.000164815 +6 *4565:DIODE *2795:15 1.92336e-05 +7 *4565:DIODE *3113:29 0.000199527 +8 *22309:TE *2795:15 5.0715e-05 +9 *22309:TE *3113:29 0.000118166 +10 *1785:8 *1902:19 0.000370496 +11 *1785:8 *2866:33 0.000109868 +12 *1785:8 *3096:40 4.17888e-05 +*RES +1 *22437:X *1785:8 30.4624 +2 *1785:8 *22309:TE 10.5271 +3 *1785:8 *4565:DIODE 11.6364 +*END + +*D_NET *1786 0.00271105 +*CONN +*I *22310:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4567:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22438:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22310:TE 3.5247e-05 +2 *4567:DIODE 4.41691e-05 +3 *22438:X 0.000899971 +4 *1786:11 0.000979388 +5 *4567:DIODE *2862:17 1.31657e-05 +6 *1786:11 *3656:DIODE 4.81015e-05 +7 *1786:11 *4822:DIODE 2.65831e-05 +8 *1786:11 *2862:17 4.01443e-05 +9 *1786:11 *2940:22 3.53938e-05 +10 *1786:11 *3083:24 0.000221919 +11 *1786:11 *3103:32 0.000112148 +12 *1786:11 *3381:11 0.000160617 +13 *1272:10 *1786:11 9.41997e-05 +*RES +1 *22438:X *1786:11 34.4176 +2 *1786:11 *4567:DIODE 9.97254 +3 *1786:11 *22310:TE 10.2378 +*END + +*D_NET *1787 0.00119377 +*CONN +*I *4569:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22311:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22439:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4569:DIODE 1.89438e-05 +2 *22311:TE 9.36721e-06 +3 *22439:X 0.000186145 +4 *1787:8 0.000214456 +5 *4569:DIODE *3116:23 0.000111722 +6 *22311:TE *3116:23 6.50586e-05 +7 *1787:8 *22439:B 0.000154901 +8 *1787:8 *2868:21 0.000270588 +9 *1787:8 *3380:32 0 +10 *1270:15 *4569:DIODE 9.75243e-05 +11 *1270:15 *22311:TE 6.50586e-05 +*RES +1 *22439:X *1787:8 23.8184 +2 *1787:8 *22311:TE 9.97254 +3 *1787:8 *4569:DIODE 10.5271 +*END + +*D_NET *1788 0.00110786 +*CONN +*I *4571:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22312:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22440:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4571:DIODE 1.09091e-05 +2 *22312:TE 3.51473e-05 +3 *22440:X 0.000188538 +4 *1788:8 0.000234595 +5 *4571:DIODE *3116:25 5.08751e-05 +6 *4571:DIODE *3133:9 2.65831e-05 +7 *22312:TE *3116:25 0.000107496 +8 *22312:TE *3133:9 3.82228e-05 +9 *1788:8 *4827:DIODE 1.3023e-05 +10 *1788:8 *22440:B 6.56617e-05 +11 *1788:8 *1883:22 6.59314e-05 +12 *1788:8 *3029:34 0.000270877 +*RES +1 *22440:X *1788:8 23.8184 +2 *1788:8 *22312:TE 10.5271 +3 *1788:8 *4571:DIODE 9.97254 +*END + +*D_NET *1789 0.00112894 +*CONN +*I *22313:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4573:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22441:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22313:TE 8.00361e-05 +2 *4573:DIODE 4.40609e-05 +3 *22441:X 0.00021174 +4 *1789:6 0.000335837 +5 *22313:TE *1907:16 0.000110306 +6 *22313:TE *1907:22 4.90621e-05 +7 *22313:TE *2862:15 5.51483e-06 +8 *22313:TE *2862:17 5.04829e-06 +9 *22313:TE *2873:18 4.54607e-05 +10 *1789:6 *22441:B 4.15439e-05 +11 *1789:6 *1907:22 6.51637e-05 +12 *1789:6 *2818:8 4.91576e-05 +13 *1789:6 *2873:18 8.60109e-05 +*RES +1 *22441:X *1789:6 18.4879 +2 *1789:6 *4573:DIODE 14.4725 +3 *1789:6 *22313:TE 16.5832 +*END + +*D_NET *1790 0.00272598 +*CONN +*I *22314:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4575:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22442:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22314:TE 0.000153822 +2 *4575:DIODE 0 +3 *22442:X 0.000141862 +4 *1790:8 0.000295684 +5 *22314:TE *2011:43 0.000187582 +6 *22314:TE *3096:40 0.00020023 +7 *1790:8 *1949:16 0.00072322 +8 *1790:8 *2011:43 8.75195e-05 +9 *1790:8 *2160:22 0.000727432 +10 *1790:8 *3096:40 8.6931e-05 +11 *1390:18 *22314:TE 6.08467e-05 +12 *1392:13 *22314:TE 6.08467e-05 +*RES +1 *22442:X *1790:8 23.5144 +2 *1790:8 *4575:DIODE 13.7491 +3 *1790:8 *22314:TE 18.7961 +*END + +*D_NET *1791 0.00157339 +*CONN +*I *22315:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4577:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22443:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22315:TE 7.53406e-05 +2 *4577:DIODE 0 +3 *22443:X 0.000386359 +4 *1791:9 0.0004617 +5 *22315:TE *3394:35 0.000115934 +6 *1791:9 *3110:18 0.00039114 +7 *1791:9 *3121:22 0.000132815 +8 *1791:9 *3394:35 1.00981e-05 +*RES +1 *22443:X *1791:9 27.4486 +2 *1791:9 *4577:DIODE 9.24915 +3 *1791:9 *22315:TE 11.5158 +*END + +*D_NET *1792 0.00264467 +*CONN +*I *4579:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22316:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22444:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4579:DIODE 0 +2 *22316:TE 0.000177685 +3 *22444:X 0.00103995 +4 *1792:11 0.00121764 +5 *22316:TE *3138:9 6.08167e-05 +6 *1792:11 *4901:DIODE 4.69326e-06 +7 *1792:11 *2391:8 0 +8 *1792:11 *2614:17 0 +9 *1792:11 *2861:39 1.47102e-05 +10 *1792:11 *3138:9 0.000129171 +*RES +1 *22444:X *1792:11 38.2886 +2 *1792:11 *22316:TE 12.625 +3 *1792:11 *4579:DIODE 9.24915 +*END + +*D_NET *1793 0.00204581 +*CONN +*I *22317:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4581:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22445:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22317:TE 3.8149e-05 +2 *4581:DIODE 5.38744e-05 +3 *22445:X 0.000714153 +4 *1793:11 0.000806176 +5 *1793:11 *1916:12 0.000171273 +6 *1793:11 *1937:11 1.47102e-05 +7 *1793:11 *2129:33 7.50722e-05 +8 *1281:9 *1793:11 1.82679e-05 +9 *1384:15 *4581:DIODE 1.03403e-05 +10 *1384:15 *1793:11 0.000141022 +11 *1384:22 *1793:11 2.77625e-06 +*RES +1 *22445:X *1793:11 29.9863 +2 *1793:11 *4581:DIODE 9.97254 +3 *1793:11 *22317:TE 10.2378 +*END + +*D_NET *1794 0.00288961 +*CONN +*I *22318:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4583:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22446:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22318:TE 0.000159227 +2 *4583:DIODE 0.000218172 +3 *22446:X 0.000600134 +4 *1794:6 0.000977533 +5 *4583:DIODE *2866:46 0.000161217 +6 *22318:TE *1904:26 2.348e-05 +7 *22318:TE *2866:46 0.000233733 +8 *1794:6 *4839:DIODE 2.7973e-05 +9 *1794:6 *1927:33 3.72902e-05 +10 *1794:6 *2851:20 7.79877e-06 +11 *1794:6 *3119:18 0 +12 *1794:6 *3394:23 9.17849e-06 +13 *23516:A *1794:6 1.38653e-05 +14 *1379:36 *1794:6 0.000100237 +15 *1381:28 *22318:TE 7.09666e-06 +16 *1381:28 *1794:6 5.01835e-05 +17 *1388:13 *22318:TE 0.000262495 +*RES +1 *22446:X *1794:6 25.1319 +2 *1794:6 *4583:DIODE 17.2456 +3 *1794:6 *22318:TE 19.1017 +*END + +*D_NET *1795 0.00243225 +*CONN +*I *4585:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22319:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22447:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4585:DIODE 4.97004e-05 +2 *22319:TE 0 +3 *22447:X 0.000449953 +4 *1795:8 0.000499654 +5 *4585:DIODE *1904:20 0.00021435 +6 *1795:8 *1941:7 0.000586767 +7 *1795:8 *1944:17 0.000581922 +8 *1388:13 *4585:DIODE 4.99063e-05 +*RES +1 *22447:X *1795:8 31.2929 +2 *1795:8 *22319:TE 9.24915 +3 *1795:8 *4585:DIODE 11.6364 +*END + +*D_NET *1796 0.00115072 +*CONN +*I *4587:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22320:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22448:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4587:DIODE 6.76845e-05 +2 *22320:TE 0 +3 *22448:X 0.000202864 +4 *1796:8 0.000270549 +5 *4587:DIODE *3802:DIODE 9.57899e-05 +6 *1796:8 *3802:DIODE 2.77564e-05 +7 *1796:8 *2887:33 9.32704e-05 +8 *1796:8 *3413:9 0.000169041 +9 *1286:9 *4587:DIODE 0.000104914 +10 *1286:9 *1796:8 0.000118854 +*RES +1 *22448:X *1796:8 18.2442 +2 *1796:8 *22320:TE 13.7491 +3 *1796:8 *4587:DIODE 15.9964 +*END + +*D_NET *1797 0.00441547 +*CONN +*I *22321:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4589:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22449:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22321:TE 0.00019288 +2 *4589:DIODE 0 +3 *22449:X 0.00103448 +4 *1797:8 0.00122736 +5 *22321:TE *1938:11 2.77419e-05 +6 *22321:TE *2022:28 2.3091e-05 +7 *22321:TE *2318:46 6.08467e-05 +8 *22321:TE *2344:44 2.16355e-05 +9 *22321:TE *2875:47 0.000161304 +10 *1797:8 *1932:25 7.09666e-06 +11 *1797:8 *1938:11 0.000175366 +12 *1797:8 *1941:7 8.17829e-06 +13 *1797:8 *2875:47 0.000135973 +14 *1392:23 *1797:8 0.00133952 +*RES +1 *22449:X *1797:8 32.8005 +2 *1797:8 *4589:DIODE 13.7491 +3 *1797:8 *22321:TE 18.3808 +*END + +*D_NET *1798 0.00129238 +*CONN +*I *22322:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4591:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22450:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22322:TE 6.60556e-05 +2 *4591:DIODE 0 +3 *22450:X 0.000307959 +4 *1798:10 0.000374014 +5 *22322:TE *2882:39 2.98395e-05 +6 *22322:TE *3402:16 0.000118166 +7 *1798:10 *3802:DIODE 9.80784e-05 +8 *1798:10 *1920:28 4.33819e-05 +9 *1798:10 *1920:30 1.61631e-05 +10 *1798:10 *2882:39 3.5534e-06 +11 *1284:19 *1798:10 7.74853e-06 +12 *1286:9 *1798:10 1.77002e-05 +13 *1420:9 *1798:10 0.00020972 +*RES +1 *22450:X *1798:10 24.8205 +2 *1798:10 *4591:DIODE 9.24915 +3 *1798:10 *22322:TE 11.5158 +*END + +*D_NET *1799 0.0014178 +*CONN +*I *22323:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4593:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22451:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22323:TE 4.13461e-05 +2 *4593:DIODE 4.27457e-05 +3 *22451:X 0.000397753 +4 *1799:6 0.000481845 +5 *22323:TE *2383:14 4.49912e-05 +6 *1799:6 *1915:7 3.33271e-05 +7 *1799:6 *1918:7 0 +8 *1799:6 *2383:14 0.000235956 +9 *1799:6 *3149:18 1.49935e-05 +10 *1392:30 *1799:6 6.11939e-05 +11 *1409:10 *4593:DIODE 6.36477e-05 +*RES +1 *22451:X *1799:6 21.8099 +2 *1799:6 *4593:DIODE 14.4725 +3 *1799:6 *22323:TE 14.7506 +*END + +*D_NET *1800 0.00259314 +*CONN +*I *22324:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4595:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22452:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22324:TE 0.000134493 +2 *4595:DIODE 0 +3 *22452:X 0.000437154 +4 *1800:8 0.000571647 +5 *22324:TE *2918:12 3.10531e-05 +6 *22324:TE *3111:36 0.000165881 +7 *1800:8 *2386:31 0.000156334 +8 *1800:8 *2440:26 0.00012611 +9 *1800:8 *2918:12 1.23381e-05 +10 *1800:8 *2918:19 9.39114e-06 +11 *1800:8 *3006:33 0.000224381 +12 *1800:8 *3111:36 0.000463698 +13 *1800:8 *3397:10 0.000260661 +*RES +1 *22452:X *1800:8 27.9372 +2 *1800:8 *4595:DIODE 13.7491 +3 *1800:8 *22324:TE 17.2421 +*END + +*D_NET *1801 0.00231958 +*CONN +*I *22325:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4597:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22453:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22325:TE 0.000104731 +2 *4597:DIODE 0.000170606 +3 *22453:X 0.000334592 +4 *1801:8 0.00060993 +5 *4597:DIODE *2176:30 1.5962e-05 +6 *4597:DIODE *3115:24 6.64392e-05 +7 *4597:DIODE *3115:35 0.000161234 +8 *22325:TE *1925:27 5.17042e-05 +9 *22325:TE *2176:30 0.000214939 +10 *1801:8 *1924:7 0 +11 *1801:8 *1925:27 0.00021046 +12 *1801:8 *1946:22 0.000164044 +13 *1384:23 *22325:TE 0.000214939 +*RES +1 *22453:X *1801:8 20.3205 +2 *1801:8 *4597:DIODE 16.691 +3 *1801:8 *22325:TE 17.5832 +*END + +*D_NET *1802 0.0018769 +*CONN +*I *22326:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4599:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22454:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22326:TE 6.18888e-05 +2 *4599:DIODE 0 +3 *22454:X 0.000469624 +4 *1802:8 0.000531513 +5 *22326:TE *2458:21 2.41483e-05 +6 *22326:TE *2458:30 6.50727e-05 +7 *22326:TE *3029:24 3.60933e-06 +8 *22326:TE *3146:5 0.00011818 +9 *22326:TE *3398:12 3.92776e-05 +10 *1802:8 *1925:39 8.62625e-06 +11 *1802:8 *3118:16 0 +12 *1802:8 *3144:5 6.9815e-05 +13 *1802:8 *3398:12 0.000231234 +14 *1290:10 *1802:8 0.000253916 +*RES +1 *22454:X *1802:8 23.0935 +2 *1802:8 *4599:DIODE 13.7491 +3 *1802:8 *22326:TE 16.0286 +*END + +*D_NET *1803 0.00270753 +*CONN +*I *22327:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4601:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22455:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22327:TE 0 +2 *4601:DIODE 2.62091e-05 +3 *22455:X 0.000650224 +4 *1803:13 0.000676433 +5 *1803:13 *2317:13 0.000852572 +6 *1803:13 *2602:6 0.000233911 +7 *1291:9 *1803:13 0.00026818 +*RES +1 *22455:X *1803:13 38.717 +2 *1803:13 *4601:DIODE 9.97254 +3 *1803:13 *22327:TE 9.24915 +*END + +*D_NET *1804 0.00245477 +*CONN +*I *22328:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4603:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22456:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22328:TE 7.37557e-05 +2 *4603:DIODE 0 +3 *22456:X 0.000590079 +4 *1804:9 0.000663834 +5 *22328:TE *1931:16 9.95922e-06 +6 *22328:TE *1931:23 0.000169041 +7 *22328:TE *1941:26 4.31703e-05 +8 *1804:9 *1913:5 0.000375214 +9 *1804:9 *1931:16 0.000141245 +10 *1804:9 *1931:23 9.82896e-06 +11 *1804:9 *2470:46 0.000378639 +*RES +1 *22456:X *1804:9 31.3308 +2 *1804:9 *4603:DIODE 9.24915 +3 *1804:9 *22328:TE 12.0704 +*END + +*D_NET *1805 0.00588201 +*CONN +*I *22329:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4605:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22457:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22329:TE 7.45169e-05 +2 *4605:DIODE 0 +3 *22457:X 0.00102766 +4 *1805:15 0.000282728 +5 *1805:12 0.00123587 +6 *22329:TE *3251:24 5.04829e-06 +7 *1805:12 *4860:DIODE 7.48797e-05 +8 *1805:12 *23525:TE 4.76283e-05 +9 *1805:12 *1929:24 0.000989859 +10 *1805:12 *2605:20 7.57672e-05 +11 *1805:12 *3017:10 0.000268057 +12 *1805:12 *3120:28 0.000286583 +13 *1805:12 *3284:13 0.000929718 +14 *1805:12 *3284:25 0.000271784 +15 *1293:13 *22329:TE 0.00018644 +16 *1293:13 *1805:15 0.000125461 +*RES +1 *22457:X *1805:12 46.6886 +2 *1805:12 *1805:15 7.99641 +3 *1805:15 *4605:DIODE 9.24915 +4 *1805:15 *22329:TE 12.0704 +*END + +*D_NET *1806 0.00454543 +*CONN +*I *22330:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4607:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22458:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22330:TE 2.49638e-05 +2 *4607:DIODE 0 +3 *22458:X 0.00125147 +4 *1806:11 0.0005725 +5 *1806:7 0.00179901 +6 *22330:TE *2454:47 0.000111722 +7 *22330:TE *3153:9 3.8122e-05 +8 *1806:7 *4862:DIODE 4.5332e-05 +9 *1806:7 *2052:29 0.000116445 +10 *1806:11 *2384:6 0 +11 *1806:11 *2454:47 0.000167076 +12 *1806:11 *3153:9 6.99486e-05 +13 *1806:11 *3407:6 7.86526e-05 +14 *1388:13 *1806:7 0.000270186 +*RES +1 *22458:X *1806:7 42.2027 +2 *1806:7 *1806:11 15.6391 +3 *1806:11 *4607:DIODE 9.24915 +4 *1806:11 *22330:TE 10.5271 +*END + +*D_NET *1807 0.00323726 +*CONN +*I *22331:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4609:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22459:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22331:TE 7.47756e-05 +2 *4609:DIODE 0 +3 *22459:X 0.00116032 +4 *1807:10 0.0012351 +5 *1807:10 *2052:29 7.13655e-06 +6 *1807:10 *3125:26 0 +7 *1807:10 *3147:15 0.000324293 +8 *1295:8 *1807:10 0.000379992 +9 *1413:23 *22331:TE 5.56461e-05 +*RES +1 *22459:X *1807:10 38.68 +2 *1807:10 *4609:DIODE 9.24915 +3 *1807:10 *22331:TE 11.5158 +*END + +*D_NET *1808 0.00272272 +*CONN +*I *22332:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4611:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22460:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22332:TE 0.000236163 +2 *4611:DIODE 0 +3 *22460:X 0.000643918 +4 *1808:8 0.000880081 +5 *22332:TE *2389:16 6.72104e-05 +6 *22332:TE *2892:35 0 +7 *1808:8 *4867:DIODE 6.78596e-05 +8 *1808:8 *1809:8 0 +9 *1808:8 *2389:16 0.00014069 +10 *1808:8 *2892:35 0 +11 *1808:8 *3073:6 4.59164e-06 +12 *1808:8 *3144:5 0.000682205 +*RES +1 *22460:X *1808:8 29.6038 +2 *1808:8 *4611:DIODE 13.7491 +3 *1808:8 *22332:TE 18.0727 +*END + +*D_NET *1809 0.000850624 +*CONN +*I *22333:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4613:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22461:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22333:TE 6.45644e-05 +2 *4613:DIODE 0 +3 *22461:X 0.000228468 +4 *1809:8 0.000293032 +5 *22333:TE *3130:45 0.00013538 +6 *1809:8 *2892:35 2.50282e-05 +7 *1809:8 *3073:6 0 +8 *1809:8 *3133:36 0.000104151 +9 *1808:8 *1809:8 0 +*RES +1 *22461:X *1809:8 22.9879 +2 *1809:8 *4613:DIODE 9.24915 +3 *1809:8 *22333:TE 11.5158 +*END + +*D_NET *1810 0.00255251 +*CONN +*I *22334:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4615:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22462:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22334:TE 0.000153982 +2 *4615:DIODE 0 +3 *22462:X 0.000585828 +4 *1810:14 0.00073981 +5 *22334:TE *3117:6 0.000210539 +6 *1810:14 *4871:DIODE 0 +7 *1810:14 *2398:8 0.000173463 +8 *1810:14 *3106:8 0 +9 *1810:14 *3117:6 6.87762e-05 +10 *1810:14 *3151:27 0.000218971 +11 *1298:11 *22334:TE 6.87416e-05 +12 *1298:11 *1810:14 2.55536e-05 +13 *1404:31 *1810:14 0.000306851 +*RES +1 *22462:X *1810:14 34.6517 +2 *1810:14 *4615:DIODE 13.7491 +3 *1810:14 *22334:TE 18.0727 +*END + +*D_NET *1811 0.00177133 +*CONN +*I *22335:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4617:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22463:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22335:TE 7.05235e-05 +2 *4617:DIODE 0 +3 *22463:X 0.000750317 +4 *1811:10 0.00082084 +5 *22335:TE *2454:41 0.000129646 +6 *1811:10 *3142:20 0 +7 *1811:10 *3424:10 0 +8 *1401:14 *1811:10 0 +*RES +1 *22463:X *1811:10 30.3609 +2 *1811:10 *4617:DIODE 9.24915 +3 *1811:10 *22335:TE 11.5158 +*END + +*D_NET *1812 0.00188825 +*CONN +*I *22336:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4619:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22464:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22336:TE 8.72747e-05 +2 *4619:DIODE 7.36442e-05 +3 *22464:X 0.000480394 +4 *1812:6 0.000641313 +5 *4619:DIODE *2381:17 0.000114594 +6 *22336:TE *2171:26 0.000217887 +7 *22336:TE *2381:17 0.000217887 +8 *1812:6 *4875:DIODE 3.28899e-05 +9 *1812:6 *1930:17 3.5534e-06 +10 *1812:6 *2320:25 1.66626e-05 +11 *1812:6 *2386:9 0 +12 *1812:6 *2896:40 2.14842e-06 +13 *1165:20 *1812:6 0 +*RES +1 *22464:X *1812:6 22.2252 +2 *1812:6 *4619:DIODE 15.0271 +3 *1812:6 *22336:TE 17.1378 +*END + +*D_NET *1813 0.00148297 +*CONN +*I *22337:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4621:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22465:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22337:TE 6.93254e-05 +2 *4621:DIODE 0 +3 *22465:X 0.000396588 +4 *1813:10 0.000465913 +5 *22337:TE *3158:17 0.000122378 +6 *1813:10 *2893:58 0 +7 *1813:10 *2897:33 1.83828e-05 +8 *1813:10 *3131:14 0.000141573 +9 *1404:31 *1813:10 0.000268812 +*RES +1 *22465:X *1813:10 25.9297 +2 *1813:10 *4621:DIODE 9.24915 +3 *1813:10 *22337:TE 11.5158 +*END + +*D_NET *1814 0.00158754 +*CONN +*I *22338:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4623:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22466:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22338:TE 3.5247e-05 +2 *4623:DIODE 5.56756e-05 +3 *22466:X 0.000359283 +4 *1814:9 0.000450205 +5 *4623:DIODE *3102:9 5.20546e-06 +6 *1814:9 *4879:DIODE 7.86847e-05 +7 *1814:9 *22499:B 0.000148129 +8 *1814:9 *2327:21 0.000144531 +9 *1814:9 *2396:6 0.000151094 +10 *1814:9 *2522:16 0 +11 *1814:9 *2935:14 7.09685e-05 +12 *1814:9 *2935:27 8.33115e-05 +13 *1814:9 *3102:9 5.20546e-06 +14 *1302:8 *1814:9 0 +*RES +1 *22466:X *1814:9 30.3553 +2 *1814:9 *4623:DIODE 9.97254 +3 *1814:9 *22338:TE 10.2378 +*END + +*D_NET *1815 0.00248144 +*CONN +*I *22339:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4625:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22467:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22339:TE 0 +2 *4625:DIODE 0.000127926 +3 *22467:X 0.000578124 +4 *1815:11 0.00070605 +5 *4625:DIODE *2089:30 2.00098e-05 +6 *4625:DIODE *3141:36 5.11322e-06 +7 *1815:11 *1939:34 0.00021569 +8 *1815:11 *2089:26 0.000113424 +9 *1815:11 *2389:10 5.25645e-05 +10 *1815:11 *2470:20 0 +11 *1815:11 *3169:7 0.000118166 +12 *22343:A *1815:11 0.000232837 +13 *1305:20 *1815:11 0.000311533 +*RES +1 *22467:X *1815:11 33.9939 +2 *1815:11 *4625:DIODE 20.4964 +3 *1815:11 *22339:TE 9.24915 +*END + +*D_NET *1816 0.00597983 +*CONN +*I *22340:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4627:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22468:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22340:TE 9.81617e-05 +2 *4627:DIODE 0.000198204 +3 *22468:X 0.00133153 +4 *1816:8 0.0016279 +5 *4627:DIODE *3422:5 0.000319954 +6 *22340:TE *3133:43 1.49589e-05 +7 *22340:TE *3137:13 6.49003e-05 +8 *22340:TE *3422:5 0.000423936 +9 *1816:8 *3133:43 0.00020684 +10 *1816:8 *3151:10 0 +11 *1816:8 *3418:15 0.00134314 +12 *1304:11 *22340:TE 0.000278476 +13 *1304:11 *1816:8 7.18273e-05 +*RES +1 *22468:X *1816:8 39.7204 +2 *1816:8 *4627:DIODE 17.2456 +3 *1816:8 *22340:TE 19.3563 +*END + +*D_NET *1817 0.00283716 +*CONN +*I *22341:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4629:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22469:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22341:TE 8.06838e-05 +2 *4629:DIODE 0 +3 *22469:X 0.000750149 +4 *1817:11 0.000830833 +5 *1817:11 *1941:36 2.41274e-06 +6 *1817:11 *3431:13 2.77625e-06 +7 *1413:23 *1817:11 0.000980597 +8 *1413:37 *22341:TE 0.000110306 +9 *1413:37 *1817:11 7.94022e-05 +*RES +1 *22469:X *1817:11 31.6501 +2 *1817:11 *4629:DIODE 9.24915 +3 *1817:11 *22341:TE 11.5158 +*END + +*D_NET *1818 0.00983926 +*CONN +*I *22342:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4631:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22470:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22342:TE 0.000107091 +2 *4631:DIODE 0 +3 *22470:X 0.00195916 +4 *1818:11 0.000922732 +5 *1818:8 0.0027748 +6 *22342:TE *3437:27 9.95922e-06 +7 *22342:TE *3438:37 2.24708e-05 +8 *1818:8 *2341:18 0.00281216 +9 *1818:8 *3156:12 8.51886e-05 +10 *1818:8 *3295:21 0 +11 *1818:11 *3153:44 0.00112208 +12 *1818:11 *3438:37 2.36261e-05 +*RES +1 *22470:X *1818:8 49.1599 +2 *1818:8 *1818:11 18.5339 +3 *1818:11 *4631:DIODE 9.24915 +4 *1818:11 *22342:TE 11.5158 +*END + +*D_NET *1819 0.00325399 +*CONN +*I *22343:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4633:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22471:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22343:TE 7.4286e-05 +2 *4633:DIODE 0 +3 *22471:X 0.00112574 +4 *1819:9 0.00120002 +5 *22343:TE *2089:26 3.22909e-05 +6 *1819:9 *2053:19 0.000779069 +7 *1819:9 *2089:26 2.74647e-05 +8 *1819:9 *3136:24 0 +9 *1819:9 *3184:6 0 +10 *22520:A *1819:9 1.51173e-05 +*RES +1 *22471:X *1819:9 40.745 +2 *1819:9 *4633:DIODE 9.24915 +3 *1819:9 *22343:TE 11.5158 +*END + +*D_NET *1820 0.00585359 +*CONN +*I *4635:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22344:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22472:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4635:DIODE 6.27485e-05 +2 *22344:TE 0.000136788 +3 *22472:X 0.000547772 +4 *1820:15 0.000634901 +5 *1820:14 0.000983136 +6 *4635:DIODE *3154:31 6.65341e-05 +7 *22344:TE *2066:17 0.000148866 +8 *22344:TE *3448:7 2.65667e-05 +9 *1820:14 *4890:DIODE 4.88955e-05 +10 *1820:14 *2454:33 0.000122378 +11 *1820:14 *2904:31 6.92705e-05 +12 *1820:14 *2904:36 0.00016777 +13 *1820:14 *3429:10 0.000494992 +14 *1820:15 *3144:13 6.50727e-05 +15 *1820:15 *3154:31 0.000519132 +16 *6442:DIODE *1820:15 2.65831e-05 +17 *23568:A *22344:TE 3.37785e-05 +18 *1423:9 *1820:15 0.00139432 +19 *1427:9 *22344:TE 2.16355e-05 +20 *1431:9 *1820:15 0.000282445 +*RES +1 *22472:X *1820:14 32.1068 +2 *1820:14 *1820:15 22.9075 +3 *1820:15 *22344:TE 22.0503 +4 *1820:15 *4635:DIODE 11.0817 +*END + +*D_NET *1821 0.012896 +*CONN +*I *22345:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4637:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22473:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22345:TE 4.39698e-05 +2 *4637:DIODE 0 +3 *22473:X 0 +4 *1821:16 0.000599849 +5 *1821:5 0.00350743 +6 *1821:4 0.00295155 +7 *22345:TE *2134:36 7.48797e-05 +8 *22345:TE *2905:54 0.000171288 +9 *1821:5 *3445:13 0.000368396 +10 *1821:16 *2131:24 0.000523773 +11 *1821:16 *2312:21 9.96222e-05 +12 *1821:16 *2338:7 5.04584e-05 +13 *1821:16 *3165:16 0.000158841 +14 *1821:16 *3406:8 0 +15 *1821:16 *3417:14 0.000150714 +16 *1179:51 *1821:5 0.00396758 +17 *1202:13 *1821:16 0.000227628 +*RES +1 *22473:X *1821:4 9.24915 +2 *1821:4 *1821:5 52.3015 +3 *1821:5 *1821:16 35.6839 +4 *1821:16 *4637:DIODE 9.24915 +5 *1821:16 *22345:TE 11.6605 +*END + +*D_NET *1822 0.00371353 +*CONN +*I *22346:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4639:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22474:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22346:TE 8.94796e-05 +2 *4639:DIODE 0 +3 *22474:X 0.000547612 +4 *1822:10 0.000637092 +5 *22346:TE *3154:31 7.78069e-05 +6 *1822:10 *4894:DIODE 5.31074e-05 +7 *1822:10 *22474:B 6.50586e-05 +8 *1822:10 *2281:7 0.0001627 +9 *1822:10 *2907:31 0.000175485 +10 *1822:10 *3153:37 0.000587851 +11 *1822:10 *3155:30 0.000774107 +12 *1822:10 *3438:37 0.000183434 +13 *1308:10 *1822:10 0.000359798 +*RES +1 *22474:X *1822:10 42.6988 +2 *1822:10 *4639:DIODE 9.24915 +3 *1822:10 *22346:TE 12.0704 +*END + +*D_NET *1823 0.00528255 +*CONN +*I *22347:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4641:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22475:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22347:TE 6.73001e-05 +2 *4641:DIODE 0 +3 *22475:X 0.000833096 +4 *1823:8 0.000900396 +5 *22347:TE *2502:12 0 +6 *22347:TE *3168:27 4.87439e-05 +7 *22347:TE *3172:5 0.000114594 +8 *22347:TE *3478:45 1.35264e-05 +9 *1823:8 *4896:DIODE 0.000169122 +10 *1823:8 *2454:9 0.00237597 +11 *1823:8 *2502:12 0 +12 *1823:8 *2624:36 2.94331e-05 +13 *1823:8 *3028:28 0 +14 *1823:8 *3167:11 0.000443728 +15 *1823:8 *3478:45 3.20069e-06 +16 *1189:11 *1823:8 0.000283434 +*RES +1 *22475:X *1823:8 48.7362 +2 *1823:8 *4641:DIODE 13.7491 +3 *1823:8 *22347:TE 16.0286 +*END + +*D_NET *1824 0.00245005 +*CONN +*I *22348:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4643:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22476:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22348:TE 0.000107281 +2 *4643:DIODE 0 +3 *22476:X 0.000724378 +4 *1824:9 0.000831658 +5 *1824:9 *21302:A 0 +6 *1824:9 *2909:40 0 +7 *1824:9 *3139:18 0.000739317 +8 *1824:9 *3191:8 0 +9 *1177:44 *1824:9 1.74249e-05 +10 *1193:27 *1824:9 2.99929e-05 +*RES +1 *22476:X *1824:9 35.3412 +2 *1824:9 *4643:DIODE 9.24915 +3 *1824:9 *22348:TE 12.0704 +*END + +*D_NET *1825 0.00143432 +*CONN +*I *22349:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4645:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22477:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22349:TE 0 +2 *4645:DIODE 6.77822e-05 +3 *22477:X 0.000141093 +4 *1825:8 0.000208875 +5 *1825:8 *2614:17 0.000508284 +6 *1313:11 *1825:8 0.000508284 +*RES +1 *22477:X *1825:8 27.1404 +2 *1825:8 *4645:DIODE 10.5271 +3 *1825:8 *22349:TE 9.24915 +*END + +*D_NET *1826 0.00340833 +*CONN +*I *22350:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4647:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22478:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22350:TE 7.47743e-05 +2 *4647:DIODE 0 +3 *22478:X 0.00103863 +4 *1826:8 0.00111341 +5 *22350:TE *2131:34 2.85274e-05 +6 *22350:TE *2808:22 0 +7 *1826:8 *2087:47 0 +8 *1826:8 *2808:22 0 +9 *1826:8 *2914:46 7.13416e-05 +10 *1826:8 *2921:57 2.33334e-05 +11 *1183:19 *1826:8 0.00088674 +12 *1202:13 *22350:TE 0.00011818 +13 *1203:20 *22350:TE 1.25173e-05 +14 *1203:20 *1826:8 4.08704e-05 +*RES +1 *22478:X *1826:8 34.729 +2 *1826:8 *4647:DIODE 13.7491 +3 *1826:8 *22350:TE 16.0286 +*END + +*D_NET *1827 0.00495039 +*CONN +*I *22351:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4649:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22479:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22351:TE 2.76686e-05 +2 *4649:DIODE 0 +3 *22479:X 0.00100852 +4 *1827:11 0.00103618 +5 *22351:TE *2134:36 0.000122378 +6 *1827:11 *2134:36 0.000769883 +7 *1827:11 *2313:21 0.000599849 +8 *1827:11 *2468:10 0.000759185 +9 *1827:11 *3185:6 0.000546766 +10 *1321:16 *1827:11 7.99651e-05 +*RES +1 *22479:X *1827:11 47.8506 +2 *1827:11 *4649:DIODE 9.24915 +3 *1827:11 *22351:TE 10.5271 +*END + +*D_NET *1828 0.00899377 +*CONN +*I *4651:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22352:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22480:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4651:DIODE 4.85518e-05 +2 *22352:TE 0 +3 *22480:X 0.000337791 +4 *1828:16 0.00170454 +5 *1828:10 0.00199378 +6 *1828:10 *4906:DIODE 0.000171273 +7 *1828:10 *2123:25 0 +8 *1828:10 *2476:6 0.000136823 +9 *1828:16 *2573:12 0 +10 *1828:16 *2585:12 0 +11 *1165:9 *1828:10 0.000110257 +12 *1176:15 *1828:10 0.00215489 +13 *1177:33 *4651:DIODE 0.000175485 +14 *1178:25 *1828:16 0.00068535 +15 *1178:27 *1828:16 0.00033682 +16 *1199:35 *4651:DIODE 0.000158231 +17 *1199:37 *4651:DIODE 1.00981e-05 +18 *1200:50 *1828:16 9.75356e-05 +19 *1205:23 *1828:10 0.000872351 +*RES +1 *22480:X *1828:10 43.8192 +2 *1828:10 *1828:16 37.8893 +3 *1828:16 *22352:TE 9.24915 +4 *1828:16 *4651:DIODE 12.0704 +*END + +*D_NET *1829 0.00827178 +*CONN +*I *22353:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4653:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22481:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22353:TE 4.4393e-05 +2 *4653:DIODE 0 +3 *22481:X 0.000341251 +4 *1829:13 0.00105989 +5 *1829:9 0.00135675 +6 *1829:9 *2316:34 0.000100643 +7 *1829:13 *22482:B 8.69672e-05 +8 *1829:13 *2351:6 0.00021657 +9 *1829:13 *2636:24 7.34493e-05 +10 *1829:13 *3186:7 0.00135406 +11 *4662:DIODE *1829:13 6.50727e-05 +12 *22358:A *1829:13 6.08467e-05 +13 *1178:27 *1829:9 0.00217576 +14 *1178:45 *1829:9 3.01683e-06 +15 *1188:44 *22353:TE 2.65831e-05 +16 *1188:44 *1829:13 0.000132414 +17 *1192:48 *1829:9 0.000755543 +18 *1322:8 *1829:13 0.000418562 +*RES +1 *22481:X *1829:9 37.79 +2 *1829:9 *1829:13 35.4599 +3 *1829:13 *4653:DIODE 9.24915 +4 *1829:13 *22353:TE 10.5513 +*END + +*D_NET *1830 0.00575951 +*CONN +*I *22354:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4655:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22482:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22354:TE 6.93254e-05 +2 *4655:DIODE 0 +3 *22482:X 0.000662267 +4 *1830:10 6.93254e-05 +5 *1830:8 0.000662267 +6 *22354:TE *3181:7 0.000122378 +7 *1830:8 *1831:8 0.00166205 +8 *1830:8 *2476:6 0.000147102 +9 *1830:8 *3180:8 0.000305765 +10 *1177:33 *1830:8 0.00197425 +11 *1199:37 *1830:8 8.47748e-05 +*RES +1 *22482:X *1830:8 46.7909 +2 *1830:8 *1830:10 4.5 +3 *1830:10 *4655:DIODE 9.24915 +4 *1830:10 *22354:TE 11.5158 +*END + +*D_NET *1831 0.0083012 +*CONN +*I *22355:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4657:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22483:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22355:TE 0.000154158 +2 *4657:DIODE 0 +3 *22483:X 0.000866423 +4 *1831:11 0.00112228 +5 *1831:8 0.00183454 +6 *22355:TE *3182:11 3.61993e-05 +7 *1831:8 *4910:DIODE 0.000417478 +8 *1831:8 *2462:6 0.000300327 +9 *1831:8 *3180:8 0 +10 *1831:11 *3181:7 0.000262231 +11 *1831:11 *3182:11 0.000831631 +12 *1177:33 *1831:8 0.000134715 +13 *1199:37 *1831:8 0.000679165 +14 *1830:8 *1831:8 0.00166205 +*RES +1 *22483:X *1831:8 49.2824 +2 *1831:8 *1831:11 21.3069 +3 *1831:11 *4657:DIODE 9.24915 +4 *1831:11 *22355:TE 12.0704 +*END + +*D_NET *1832 0.0111895 +*CONN +*I *4659:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22356:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22484:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4659:DIODE 2.92857e-05 +2 *22356:TE 0 +3 *22484:X 0.00133403 +4 *1832:26 0.000249827 +5 *1832:21 0.00131765 +6 *1832:20 0.00118134 +7 *1832:11 0.00141826 +8 *1832:11 *2625:30 0.000693723 +9 *1832:11 *2915:70 0 +10 *1832:11 *2919:73 8.92089e-05 +11 *1832:11 *3189:12 0 +12 *1832:20 *2352:8 2.46491e-05 +13 *1832:20 *2450:6 3.81606e-05 +14 *1832:21 *2914:41 0.000627139 +15 *1832:26 *2551:12 0.000340289 +16 *1832:26 *3470:8 0.000131203 +17 *1161:31 *4659:DIODE 0.000162583 +18 *1178:45 *1832:11 6.08467e-05 +19 *1182:25 *1832:21 0.000657709 +20 *1192:51 *1832:21 0.000796985 +21 *1192:53 *1832:11 0.000907677 +22 *1192:53 *1832:21 6.36477e-05 +23 *1196:33 *1832:21 0.00040657 +24 *1203:11 *1832:21 0.000479276 +25 *1206:37 *4659:DIODE 0.000158371 +26 *1312:10 *1832:11 2.10723e-05 +*RES +1 *22484:X *1832:11 45.9053 +2 *1832:11 *1832:20 10.9053 +3 *1832:20 *1832:21 45.6463 +4 *1832:21 *1832:26 15.815 +5 *1832:26 *22356:TE 9.24915 +6 *1832:26 *4659:DIODE 11.0817 +*END + +*D_NET *1833 0.00401687 +*CONN +*I *22357:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4661:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22485:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22357:TE 2.8242e-05 +2 *4661:DIODE 0 +3 *22485:X 0.00052181 +4 *1833:11 0.000550052 +5 *1833:11 *1946:80 0.000706077 +6 *1833:11 *2323:30 0.000710303 +7 *1833:11 *2371:10 0.000653189 +8 *1833:11 *2494:16 0.000651755 +9 *1182:25 *22357:TE 2.44829e-05 +10 *1182:25 *1833:11 1.03403e-05 +11 *1192:53 *22357:TE 9.97706e-05 +12 *1192:53 *1833:11 6.08467e-05 +*RES +1 *22485:X *1833:11 40.3648 +2 *1833:11 *4661:DIODE 9.24915 +3 *1833:11 *22357:TE 10.5271 +*END + +*D_NET *1834 0.00998735 +*CONN +*I *22358:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4663:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22486:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22358:TE 0 +2 *4663:DIODE 9.25027e-05 +3 *22486:X 0.00122009 +4 *1834:25 0.00111209 +5 *1834:11 0.00223968 +6 *4663:DIODE *3183:8 0 +7 *1834:11 *2087:47 4.00438e-05 +8 *1834:25 *22558:TE 0.000118181 +9 *1834:25 *2313:28 9.82896e-06 +10 *1834:25 *2440:6 0 +11 *1834:25 *2461:8 0.000344975 +12 *1834:25 *2808:22 0 +13 *1176:23 *1834:11 0.000402765 +14 *1178:45 *1834:11 0.00164326 +15 *1186:21 *1834:25 0.000858265 +16 *1188:44 *1834:25 0.000769547 +17 *1188:47 *1834:25 6.99486e-05 +18 *1192:48 *1834:25 5.80177e-05 +19 *1196:34 *4663:DIODE 0.000110477 +20 *1205:23 *1834:11 0.000897677 +*RES +1 *22486:X *1834:11 48.0096 +2 *1834:11 *1834:25 40.7554 +3 *1834:25 *4663:DIODE 20.4964 +4 *1834:25 *22358:TE 9.24915 +*END + +*D_NET *1835 0.00818919 +*CONN +*I *22359:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4665:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22487:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22359:TE 0.000269787 +2 *4665:DIODE 0 +3 *22487:X 0.00188564 +4 *1835:8 0.000539856 +5 *1835:7 0.00215571 +6 *22359:TE *23471:A 0 +7 *22359:TE *1959:25 6.08467e-05 +8 *22359:TE *1978:20 6.50727e-05 +9 *22359:TE *2080:49 0 +10 *22359:TE *2916:22 6.6057e-05 +11 *1835:7 *1967:40 0.00306764 +12 *1835:8 *2080:49 0 +13 *1835:8 *2916:22 7.8573e-05 +*RES +1 *22487:X *1835:7 47.1942 +2 *1835:7 *1835:8 5.15401 +3 *1835:8 *4665:DIODE 13.7491 +4 *1835:8 *22359:TE 19.2846 +*END + +*D_NET *1836 0.00439107 +*CONN +*I *22360:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4667:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22488:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22360:TE 0.000439476 +2 *4667:DIODE 6.73661e-05 +3 *22488:X 0.000839587 +4 *1836:11 0.00134643 +5 *22360:TE *2830:16 0 +6 *22360:TE *3138:17 9.40769e-05 +7 *4507:DIODE *22360:TE 0.000115934 +8 *22280:TE *22360:TE 0 +9 *1239:11 *4667:DIODE 0.000212208 +10 *1239:11 *1836:11 0.00019069 +11 *1408:5 *4667:DIODE 0.00021714 +12 *1408:5 *1836:11 0.000188255 +13 *1441:9 *1836:11 0.000380131 +14 *1756:15 *22360:TE 0.00015511 +15 *1756:15 *1836:11 0.000144669 +*RES +1 *22488:X *1836:11 38.4363 +2 *1836:11 *4667:DIODE 14.4094 +3 *1836:11 *22360:TE 26.6453 +*END + +*D_NET *1837 0.00507072 +*CONN +*I *22361:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4669:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22489:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22361:TE 0.000146003 +2 *4669:DIODE 0 +3 *22489:X 0.00200183 +4 *1837:8 0.00214784 +5 *22361:TE *2625:30 7.94597e-05 +6 *22361:TE *2915:42 0.000203676 +7 *1837:8 *4924:DIODE 0.000171273 +8 *1837:8 *22363:TE 2.63704e-05 +9 *1837:8 *2625:30 2.00098e-05 +10 *1837:8 *2915:42 6.22259e-05 +11 *4672:DIODE *1837:8 7.97944e-05 +12 *1327:9 *1837:8 0.000132235 +*RES +1 *22489:X *1837:8 43.6195 +2 *1837:8 *4669:DIODE 13.7491 +3 *1837:8 *22361:TE 18.0727 +*END + +*D_NET *1838 0.00293261 +*CONN +*I *22362:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4671:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22490:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22362:TE 7.08839e-05 +2 *4671:DIODE 0 +3 *22490:X 0.000255425 +4 *1838:7 0.000326309 +5 *22362:TE *1943:48 4.31703e-05 +6 *22362:TE *2294:28 0.000169041 +7 *1838:7 *4926:DIODE 0 +8 *1838:7 *2294:26 9.95922e-06 +9 *1838:7 *2294:28 0.000142781 +10 *1838:7 *2444:7 0.000203585 +11 *22547:A *22362:TE 0 +12 *22547:A *1838:7 0.00031989 +13 *1164:15 *22362:TE 7.6719e-06 +14 *1164:15 *1838:7 0.00138389 +*RES +1 *22490:X *1838:7 25.3809 +2 *1838:7 *4671:DIODE 9.24915 +3 *1838:7 *22362:TE 12.0704 +*END + +*D_NET *1839 0.00502834 +*CONN +*I *22363:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4673:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22491:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22363:TE 9.17685e-05 +2 *4673:DIODE 0 +3 *22491:X 0.00027182 +4 *1839:10 0.000363588 +5 *1839:10 *22491:A_N 0.000164829 +6 *1839:10 *2084:25 0 +7 *1839:10 *2633:49 0.00208469 +8 *1839:10 *2926:38 0.00193183 +9 *1141:42 *1839:10 9.34404e-05 +10 *1837:8 *22363:TE 2.63704e-05 +*RES +1 *22491:X *1839:10 42.4341 +2 *1839:10 *4673:DIODE 9.24915 +3 *1839:10 *22363:TE 11.5158 +*END + +*D_NET *1840 0.0146849 +*CONN +*I *4675:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22364:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22492:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4675:DIODE 2.114e-05 +2 *22364:TE 0 +3 *22492:X 8.69102e-05 +4 *1840:15 0.000265491 +5 *1840:11 0.0012105 +6 *1840:10 0.00105305 +7 *4675:DIODE *1962:10 4.66876e-05 +8 *4675:DIODE *2114:22 0.000159322 +9 *1840:10 *1974:24 8.92069e-05 +10 *1840:11 *5777:DIODE 0.000271058 +11 *1840:11 *1962:10 0.0061541 +12 *1840:11 *1974:24 6.50727e-05 +13 *1840:11 *1974:28 0.000429151 +14 *1840:11 *2114:20 0.00282548 +15 *1840:11 *2114:22 0.000449399 +16 *1840:15 *1962:10 9.40705e-05 +17 *1840:15 *2114:22 0.00106913 +18 *1840:15 *3192:7 0.000272789 +19 *1213:27 *1840:10 0.000122378 +*RES +1 *22492:X *1840:10 20.5286 +2 *1840:10 *1840:11 67.2758 +3 *1840:11 *1840:15 12.8041 +4 *1840:15 *22364:TE 9.24915 +5 *1840:15 *4675:DIODE 11.0817 +*END + +*D_NET *1841 0.00287553 +*CONN +*I *22365:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4677:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22493:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22365:TE 0.000100459 +2 *4677:DIODE 0 +3 *22493:X 0.000920652 +4 *1841:9 0.00102111 +5 *22365:TE *1965:38 8.8573e-05 +6 *1841:9 *5769:DIODE 6.99486e-05 +7 *1841:9 *22493:A_N 0 +8 *1841:9 *23231:B 3.79043e-05 +9 *1841:9 *1965:38 0.000636884 +10 *1841:9 *2505:16 0 +*RES +1 *22493:X *1841:9 33.1452 +2 *1841:9 *4677:DIODE 9.24915 +3 *1841:9 *22365:TE 11.5158 +*END + +*D_NET *1842 0.00109023 +*CONN +*I *22366:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4679:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22494:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22366:TE 7.34281e-05 +2 *4679:DIODE 0 +3 *22494:X 0.000326181 +4 *1842:10 0.000399609 +5 *22366:TE *3348:11 0.000122378 +6 *1842:10 *23588:TE 3.14978e-05 +7 *1842:10 *2092:49 0 +8 *1842:10 *2095:18 0.000137134 +9 *1842:10 *2808:12 0 +*RES +1 *22494:X *1842:10 23.7169 +2 *1842:10 *4679:DIODE 9.24915 +3 *1842:10 *22366:TE 11.5158 +*END + +*D_NET *1843 0.00492849 +*CONN +*I *22367:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4681:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22495:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22367:TE 0.000275912 +2 *4681:DIODE 0.000204011 +3 *22495:X 0.000743821 +4 *1843:8 0.00122374 +5 *4681:DIODE *2273:32 0.000512898 +6 *22367:TE *21538:A 2.53145e-06 +7 *22367:TE *23238:A 1.65872e-05 +8 *22367:TE *23238:B 6.61986e-05 +9 *22367:TE *23360:A 0.000114594 +10 *22367:TE *2273:32 2.55661e-06 +11 *22367:TE *2675:16 0.000145145 +12 *1843:8 *2633:67 0.000756938 +13 *1843:8 *2675:16 8.08437e-05 +14 *1843:8 *2797:22 1.42869e-06 +15 *1843:8 *3198:19 3.57037e-05 +16 *1843:8 *3198:27 0.000171288 +17 *23360:B *22367:TE 0.000126838 +18 *1224:15 *22367:TE 0.000113431 +19 *1485:14 *22367:TE 0.000171288 +20 *1607:10 *22367:TE 0.000162739 +*RES +1 *22495:X *1843:8 26.842 +2 *1843:8 *4681:DIODE 19.464 +3 *1843:8 *22367:TE 23.6992 +*END + +*D_NET *1844 0.0020043 +*CONN +*I *22368:TE I *D sky130_fd_sc_hd__einvp_2 +*I *4683:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22496:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22368:TE 6.54639e-05 +2 *4683:DIODE 0 +3 *22496:X 0.000732566 +4 *1844:9 0.00079803 +5 *22368:TE *3067:15 5.56461e-05 +6 *1844:9 *4939:DIODE 0.000240406 +7 *1844:9 *2932:47 0 +8 *1844:9 *3067:15 6.05996e-05 +9 *1844:9 *3349:6 0 +10 *1210:8 *1844:9 5.15886e-05 +*RES +1 *22496:X *1844:9 33.683 +2 *1844:9 *4683:DIODE 9.24915 +3 *1844:9 *22368:TE 11.5158 +*END + +*D_NET *1845 0.00481027 +*CONN +*I *22369:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4685:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22497:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22369:TE 1.78551e-05 +2 *4685:DIODE 0 +3 *22497:X 0.000590719 +4 *1845:9 0.000608574 +5 *22369:TE *2634:33 0.000152878 +6 *22369:TE *2926:38 0.000169041 +7 *1845:9 *22491:A_N 0.000213739 +8 *1845:9 *2628:42 0 +9 *1845:9 *2634:33 0.00155363 +10 *1845:9 *2926:38 0.0013399 +11 *1845:9 *3250:18 0.000163933 +12 *1461:10 *1845:9 0 +*RES +1 *22497:X *1845:9 43.9473 +2 *1845:9 *4685:DIODE 9.24915 +3 *1845:9 *22369:TE 11.0817 +*END + +*D_NET *1846 0.00559686 +*CONN +*I *22370:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4687:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22498:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *22370:TE 8.76815e-06 +2 *4687:DIODE 0 +3 *22498:X 0.000506156 +4 *1846:11 0.000514924 +5 *22370:TE *1985:57 4.82966e-05 +6 *22370:TE *2269:52 0.000122378 +7 *1846:11 *1985:57 0.000515603 +8 *1846:11 *2269:52 0.00245794 +9 *1846:11 *2909:18 0.000110473 +10 *1846:11 *2934:19 4.31539e-05 +11 *1846:11 *3207:8 6.50586e-05 +12 *1846:11 *3250:18 3.76125e-05 +13 *23094:A *1846:11 0.0002646 +14 *1597:9 *1846:11 0.000901889 +*RES +1 *22498:X *1846:11 48.5642 +2 *1846:11 *4687:DIODE 9.24915 +3 *1846:11 *22370:TE 10.5271 +*END + +*D_NET *1847 0.0015596 +*CONN +*I *4689:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22371:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22499:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *4689:DIODE 0.000123564 +2 *22371:TE 2.52739e-05 +3 *22499:X 0.000273654 +4 *1847:6 0.000422492 +5 *4689:DIODE *2375:8 0 +6 *4689:DIODE *2396:6 0.000149628 +7 *22371:TE *3200:9 2.65667e-05 +8 *1847:6 *2375:8 0 +9 *1847:6 *2396:6 0.000529234 +10 *1397:9 *4689:DIODE 9.18559e-06 +*RES +1 *22499:X *1847:6 23.0557 +2 *1847:6 *22371:TE 14.4725 +3 *1847:6 *4689:DIODE 17.135 +*END + +*D_NET *1848 0.0109097 +*CONN +*I *22501:A I *D sky130_fd_sc_hd__buf_12 +*I *4946:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22500:HI O *D mprj2_logic_high +*CAP +1 *22501:A 0.000276389 +2 *4946:DIODE 0 +3 *22500:HI 0.000910444 +4 *1848:18 0.000359671 +5 *1848:13 0.00146889 +6 *1848:12 0.00229606 +7 *1848:12 *2368:38 0.000406634 +8 *1848:12 *2547:8 8.03333e-05 +9 *1848:12 *2690:8 0 +10 *1848:13 *1982:14 0.00509327 +11 *1848:13 *2923:9 0 +12 *1848:18 *1982:17 1.79807e-05 +13 *1848:18 *2935:8 0 +14 *1848:18 *2966:18 0 +15 *1173:35 *1848:12 0 +*RES +1 *22500:HI *1848:12 27.8554 +2 *1848:12 *1848:13 55.0746 +3 *1848:13 *1848:18 10.832 +4 *1848:18 *4946:DIODE 9.24915 +5 *1848:18 *22501:A 15.2533 +*END + +*D_NET *1849 0.00918342 +*CONN +*I *4947:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22502:A I *D sky130_fd_sc_hd__buf_6 +*I *23078:mprj2_vdd_logic1 O *D mgmt_protect_hv +*CAP +1 *4947:DIODE 0 +2 *22502:A 0.000176709 +3 *23078:mprj2_vdd_logic1 0.000885708 +4 *1849:13 0.000396185 +5 *1849:12 0.00110518 +6 *22502:A *2120:38 4.00504e-05 +7 *22502:A *2804:36 2.0123e-05 +8 *1849:12 *1995:41 0.000261991 +9 *1849:12 *2413:32 0.000328026 +10 *1849:12 *2427:12 0.00184694 +11 *1849:12 *2696:19 0.000898306 +12 *1849:12 *2965:15 0.000357806 +13 *1184:11 *1849:13 0.00145966 +14 *1200:25 *22502:A 3.23075e-05 +15 *1200:25 *1849:13 5.47093e-05 +16 *1200:27 *1849:13 0.00131971 +*RES +1 *23078:mprj2_vdd_logic1 *1849:12 41.2024 +2 *1849:12 *1849:13 16.2523 +3 *1849:13 *22502:A 22.5238 +4 *1849:13 *4947:DIODE 9.24915 +*END + +*D_NET *1850 0.00349494 +*CONN +*I *6510:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23602:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23603:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6510:DIODE 5.76423e-05 +2 *23602:A 0 +3 *23603:Y 0.000468933 +4 *1850:11 0.000526576 +5 *6510:DIODE *1866:39 0.000636366 +6 *6510:DIODE *3179:9 0.000622168 +7 *1850:11 *6571:DIODE 1.47102e-05 +8 *1850:11 *22819:A 0 +9 *1850:11 *23074:A 0.000194338 +10 *1850:11 *23649:A 4.3116e-06 +11 *1850:11 *1866:39 6.08467e-05 +12 *1850:11 *2493:8 0.000133777 +13 *1850:11 *2980:21 0.000133668 +14 *1850:11 *2988:129 0.000351426 +15 *1850:11 *3179:9 6.50586e-05 +16 *1850:11 *3180:17 1.5714e-05 +17 *1850:11 *3468:12 0.000116986 +18 la_oenb_core[87] *1850:11 9.24241e-05 +*RES +1 *23603:Y *1850:11 32.3301 +2 *1850:11 *23602:A 9.24915 +3 *1850:11 *6510:DIODE 16.0732 +*END + +*D_NET *1851 0.00534741 +*CONN +*I *6513:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23604:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23636:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6513:DIODE 0.00021833 +2 *23604:A 2.06324e-05 +3 *23636:Y 0.000745033 +4 *1851:15 0.00129511 +5 *1851:11 0.00180118 +6 *6513:DIODE *2348:9 0.00025296 +7 *1851:11 *5331:DIODE 0 +8 *1851:11 *6545:DIODE 3.04585e-05 +9 *1851:11 *1880:9 0.000197841 +10 *1851:11 *2414:6 0.000136248 +11 *1851:11 *2471:8 0 +12 *1851:11 *2482:8 0 +13 *1851:11 *3464:23 2.01828e-05 +14 *1851:11 *3478:162 0 +15 *1851:15 *1880:9 0.000387469 +16 *1851:15 *2348:9 0.000127986 +17 *1851:15 *2399:14 0.000113968 +*RES +1 *23636:Y *1851:11 34.3968 +2 *1851:11 *1851:15 17.6629 +3 *1851:15 *23604:A 9.82786 +4 *1851:15 *6513:DIODE 15.5186 +*END + +*D_NET *1852 0.00678246 +*CONN +*I *6514:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23605:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23637:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6514:DIODE 1.70727e-05 +2 *23605:A 0 +3 *23637:Y 0.000973516 +4 *1852:17 0.000482409 +5 *1852:13 0.00143885 +6 *6514:DIODE *1866:39 0.000228593 +7 *6514:DIODE *2428:5 9.65932e-05 +8 *1852:13 *5101:DIODE 2.41483e-05 +9 *1852:13 *5103:DIODE 0.000152878 +10 *1852:13 *6547:DIODE 0.000409746 +11 *1852:13 *22584:A 6.50727e-05 +12 *1852:13 *2353:27 6.49003e-05 +13 *1852:13 *2355:19 0.000311249 +14 *1852:13 *2980:21 0.000426072 +15 *1852:13 *3478:343 0.000632154 +16 *1852:17 *6569:DIODE 3.59283e-05 +17 *1852:17 *22829:A 1.1833e-05 +18 *1852:17 *1866:39 0.000629922 +19 *1852:17 *2428:5 0.000274495 +20 *1852:17 *3065:29 0.00018153 +21 *1852:17 *3197:6 6.87871e-05 +22 la_oenb_core[94] *1852:13 6.50586e-05 +23 la_oenb_core[95] *1852:13 6.92705e-05 +24 *3836:DIODE *1852:13 0.000122378 +*RES +1 *23637:Y *1852:13 40.7559 +2 *1852:13 *1852:17 20.6306 +3 *1852:17 *23605:A 9.24915 +4 *1852:17 *6514:DIODE 11.6364 +*END + +*D_NET *1853 0.00427841 +*CONN +*I *6515:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23606:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23638:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6515:DIODE 0.000116403 +2 *23606:A 0 +3 *23638:Y 0.000622194 +4 *1853:9 0.000738597 +5 *6515:DIODE *2348:9 0.000617005 +6 *6515:DIODE *2401:7 0.000160617 +7 *6515:DIODE *2432:8 0.000301544 +8 *1853:9 *6549:DIODE 3.65909e-05 +9 *1853:9 *22831:A 3.79662e-05 +10 *1853:9 *23638:B 0 +11 *1853:9 *2348:9 0.000689459 +12 *1853:9 *2432:8 0.000693657 +13 *1853:9 *3199:16 0.000195801 +14 *1853:9 *3478:383 6.85778e-05 +*RES +1 *23638:Y *1853:9 38.6716 +2 *1853:9 *23606:A 9.24915 +3 *1853:9 *6515:DIODE 16.0732 +*END + +*D_NET *1854 0.00398241 +*CONN +*I *6516:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23607:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23639:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6516:DIODE 0.000164592 +2 *23607:A 0 +3 *23639:Y 0.000831831 +4 *1854:11 0.000996423 +5 *6516:DIODE *1866:39 0.000634748 +6 *6516:DIODE *2402:7 1.43983e-05 +7 *1854:11 *6551:DIODE 0.000160617 +8 *1854:11 *22710:A 2.68362e-05 +9 *1854:11 *22965:A 0 +10 *1854:11 *1866:39 0.000322687 +11 *1854:11 *2429:14 0 +12 *1854:11 *2980:57 0.000162302 +13 *1854:11 *3077:20 0 +14 *1854:11 *3250:6 0 +15 *1854:11 *3349:23 0.000667973 +16 *1854:11 *3478:400 0 +17 *1854:11 *3478:413 0 +*RES +1 *23639:Y *1854:11 40.6491 +2 *1854:11 *23607:A 9.24915 +3 *1854:11 *6516:DIODE 16.0732 +*END + +*D_NET *1855 0.00259123 +*CONN +*I *6517:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23608:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23640:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6517:DIODE 0.000100936 +2 *23608:A 0 +3 *23640:Y 0.000329681 +4 *1855:10 0.000430617 +5 *6517:DIODE *2540:15 0.000570356 +6 *6517:DIODE *3069:19 0.000235208 +7 *1855:10 *22711:A 1.81814e-05 +8 *1855:10 *22966:A 0 +9 *1855:10 *23644:A 0.000235309 +10 *1855:10 *2982:60 4.40531e-05 +11 *1855:10 *3066:22 3.83522e-05 +12 *1855:10 *3068:8 2.22177e-05 +13 *1855:10 *3349:23 0.00041599 +14 *1855:10 *3478:422 0.000134364 +15 *1855:10 *3478:436 1.5962e-05 +*RES +1 *23640:Y *1855:10 29.2545 +2 *1855:10 *23608:A 9.24915 +3 *1855:10 *6517:DIODE 15.5186 +*END + +*D_NET *1856 0.00443889 +*CONN +*I *6518:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23609:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23641:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6518:DIODE 0.000149443 +2 *23609:A 0 +3 *23641:Y 0.00076063 +4 *1856:9 0.000910074 +5 *6518:DIODE *2405:7 0.000171288 +6 *6518:DIODE *2640:15 6.92705e-05 +7 *6518:DIODE *2640:17 0.000220542 +8 *6518:DIODE *3079:11 0.000100239 +9 *1856:9 *22969:A 0 +10 *1856:9 *23642:A 4.61732e-05 +11 *1856:9 *1863:60 5.16817e-05 +12 *1856:9 *2410:6 0.000222077 +13 *1856:9 *2496:8 0.00108501 +14 *1856:9 *2640:17 0.000420883 +15 *1856:9 *3079:11 0.000231581 +16 *1856:9 *3352:16 0 +*RES +1 *23641:Y *1856:9 48.6432 +2 *1856:9 *23609:A 9.24915 +3 *1856:9 *6518:DIODE 16.0732 +*END + +*D_NET *1857 0.00318548 +*CONN +*I *6519:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23610:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23642:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6519:DIODE 0.000184786 +2 *23610:A 0 +3 *23642:Y 0.000381893 +4 *1857:11 0.000566679 +5 *6519:DIODE *1866:39 0.000625696 +6 *6519:DIODE *2406:7 1.43983e-05 +7 *1857:11 *23643:A 0.000120343 +8 *1857:11 *23643:B 3.14978e-05 +9 *1857:11 *1858:9 0.000485083 +10 *1857:11 *1859:19 3.21413e-05 +11 *1857:11 *1866:39 0.000260374 +12 *1857:11 *3078:12 1.10937e-05 +13 *1857:11 *3078:21 0.000160617 +14 *1857:11 *3353:15 0.000310877 +*RES +1 *23642:Y *1857:11 32.8847 +2 *1857:11 *23610:A 9.24915 +3 *1857:11 *6519:DIODE 16.0732 +*END + +*D_NET *1858 0.00395401 +*CONN +*I *6520:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23611:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23643:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6520:DIODE 0.000226139 +2 *23611:A 0 +3 *23643:Y 0.000929407 +4 *1858:9 0.00115555 +5 *6520:DIODE *2662:9 0.000266918 +6 *1858:9 *2507:8 0 +7 *1858:9 *2662:9 0.000634801 +8 *1858:9 *3353:15 9.60479e-05 +9 *1858:9 *3358:14 0.000160069 +10 *1857:11 *1858:9 0.000485083 +*RES +1 *23643:Y *1858:9 47.5452 +2 *1858:9 *23611:A 9.24915 +3 *1858:9 *6520:DIODE 16.0732 +*END + +*D_NET *1859 0.0103824 +*CONN +*I *6521:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23612:A I *D sky130_fd_sc_hd__inv_6 +*I *23644:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6521:DIODE 0.000159664 +2 *23612:A 0 +3 *23644:Y 0.000876352 +4 *1859:23 0.000807518 +5 *1859:19 0.00183388 +6 *1859:16 0.00206238 +7 *6521:DIODE *2662:9 0.000209595 +8 *1859:16 *22713:A 1.5714e-05 +9 *1859:16 *23644:A 3.64318e-05 +10 *1859:16 *1871:11 0 +11 *1859:16 *2981:43 0.0002891 +12 *1859:16 *3349:23 0.00011818 +13 *1859:16 *3478:436 7.68538e-06 +14 *1859:16 *3478:446 2.65667e-05 +15 *1859:19 *5232:DIODE 6.92705e-05 +16 *1859:19 *22971:A 0.00020468 +17 *1859:19 *23643:B 0.000268798 +18 *1859:19 *3076:13 0.000897677 +19 *1859:19 *3078:21 0.000375058 +20 *1859:19 *3478:458 0.000111708 +21 *1859:19 *3478:506 0.0002646 +22 *1859:23 *22719:A 3.74738e-05 +23 *1859:23 *1866:45 0.000146609 +24 *1859:23 *2551:6 0 +25 *1859:23 *2662:9 0.000156384 +26 *1859:23 *3075:6 0.000237795 +27 la_oenb_core[108] *1859:19 0.000122378 +28 la_oenb_core[109] *1859:19 0.000171273 +29 la_oenb_core[110] *1859:19 0.000175485 +30 la_oenb_core[111] *1859:19 0.000175485 +31 *3818:DIODE *1859:16 6.2595e-05 +32 *3851:DIODE *1859:19 6.92705e-05 +33 *3862:DIODE *1859:19 0.000115934 +34 *3884:DIODE *1859:19 0.000122378 +35 *3895:DIODE *1859:19 0.000122378 +36 *1857:11 *1859:19 3.21413e-05 +*RES +1 *23644:Y *1859:16 30.0441 +2 *1859:16 *1859:19 44.6003 +3 *1859:19 *1859:23 22.8406 +4 *1859:23 *23612:A 9.24915 +5 *1859:23 *6521:DIODE 14.4094 +*END + +*D_NET *1860 0.00335933 +*CONN +*I *6522:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23613:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23645:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6522:DIODE 5.66766e-05 +2 *23613:A 0 +3 *23645:Y 0.000460053 +4 *1860:8 0.00051673 +5 *6522:DIODE *2281:20 0.000636366 +6 *6522:DIODE *2573:9 0.000640564 +7 *1860:8 *23645:A 0.00011439 +8 *1860:8 *2348:8 0.000669304 +9 *1860:8 *2403:8 7.69735e-05 +10 *1860:8 *3068:8 0.000188273 +*RES +1 *23645:Y *1860:8 34.1997 +2 *1860:8 *23613:A 9.24915 +3 *1860:8 *6522:DIODE 16.0732 +*END + +*D_NET *1861 0.00295494 +*CONN +*I *23614:A I *D sky130_fd_sc_hd__clkinv_8 +*I *6523:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23646:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *23614:A 0 +2 *6523:DIODE 0.000208096 +3 *23646:Y 0.000785761 +4 *1861:12 0.000993857 +5 *6523:DIODE *2496:8 0 +6 *6523:DIODE *2662:9 0.000175485 +7 *6523:DIODE *3351:14 0 +8 *1861:12 *6565:DIODE 4.55535e-05 +9 *1861:12 *22712:A 0 +10 *1861:12 *22968:A 0 +11 *1861:12 *2496:8 0 +12 *1861:12 *2985:52 2.99644e-05 +13 *1861:12 *3069:37 1.37687e-05 +14 *1861:12 *3077:21 0.000678803 +15 *1861:12 *3351:14 0 +16 *1861:12 *3478:469 2.36494e-05 +*RES +1 *23646:Y *1861:12 41.2663 +2 *1861:12 *6523:DIODE 18.2442 +3 *1861:12 *23614:A 13.7491 +*END + +*D_NET *1862 0.00474734 +*CONN +*I *6524:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23615:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23647:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6524:DIODE 0.000168555 +2 *23615:A 0 +3 *23647:Y 0.000848163 +4 *1862:16 0.000168555 +5 *1862:14 0.000848163 +6 *6524:DIODE *1866:39 0.00064281 +7 *1862:14 *5331:DIODE 6.08467e-05 +8 *1862:14 *6545:DIODE 6.92705e-05 +9 *1862:14 *6546:DIODE 0.00036002 +10 *1862:14 *6603:DIODE 0.000131075 +11 *1862:14 *23067:A 9.66809e-05 +12 *1862:14 *23068:A 1.44467e-05 +13 *1862:14 *23069:A 0 +14 *1862:14 *23665:A 2.58521e-05 +15 *1862:14 *23665:B 6.50586e-05 +16 *1862:14 *1865:11 0.000357979 +17 *1862:14 *1877:49 1.55025e-05 +18 *1862:14 *2980:21 1.65872e-05 +19 *1862:14 *2983:99 6.78364e-06 +20 *1862:14 *2983:101 0.000351208 +21 *1862:14 *2988:120 0.000111708 +22 *1862:14 *3460:6 9.12416e-06 +23 *1862:14 *3462:14 0.000125035 +24 *1862:14 *3463:10 0 +25 *1862:14 *3478:162 0.000253916 +*RES +1 *23647:Y *1862:14 48.6478 +2 *1862:14 *1862:16 4.5 +3 *1862:16 *23615:A 9.24915 +4 *1862:16 *6524:DIODE 16.0732 +*END + +*D_NET *1863 0.0179737 +*CONN +*I *6525:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23616:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23648:Y O *D sky130_fd_sc_hd__nand2_4 +*CAP +1 *6525:DIODE 0.00017906 +2 *23616:A 0 +3 *23648:Y 0.0012668 +4 *1863:61 0.000483772 +5 *1863:60 0.00222517 +6 *1863:53 0.00275357 +7 *1863:17 0.00209992 +8 *6525:DIODE *2412:7 6.50727e-05 +9 *6525:DIODE *2540:15 0.000634105 +10 *1863:17 *22586:A 7.92757e-06 +11 *1863:17 *22589:A 6.08467e-05 +12 *1863:17 *22829:A 2.60202e-05 +13 *1863:17 *22831:A 6.50727e-05 +14 *1863:17 *2506:10 0.000132414 +15 *1863:17 *2978:31 5.13902e-05 +16 *1863:17 *2985:45 0.000333586 +17 *1863:53 *22964:A 0.000114584 +18 *1863:53 *2985:45 0.000382436 +19 *1863:53 *2985:52 0.000299064 +20 *1863:53 *3361:8 0.00174439 +21 *1863:60 *22711:A 2.34061e-05 +22 *1863:60 *22712:A 1.43848e-05 +23 *1863:60 *22969:A 0.000487583 +24 *1863:60 *23642:A 0.000127179 +25 *1863:60 *2496:8 0 +26 *1863:60 *2985:52 4.51248e-05 +27 *1863:60 *3069:37 0.000634675 +28 *1863:61 *2540:15 0.000863181 +29 la_data_in_core[100] *1863:17 0.000164829 +30 la_data_in_core[101] *1863:53 0.000164829 +31 la_data_in_core[102] *1863:53 6.50727e-05 +32 la_data_in_core[103] *1863:53 0.000158371 +33 la_data_in_core[104] *1863:53 6.3657e-05 +34 la_data_in_core[105] *1863:60 0.000122378 +35 la_data_in_core[107] *1863:60 6.92705e-05 +36 la_data_in_core[97] *1863:17 3.20069e-06 +37 la_data_in_core[98] *1863:17 0.000158371 +38 la_data_in_core[99] *1863:17 0.00011818 +39 la_oenb_core[102] *1863:53 0.000213676 +40 la_oenb_core[104] *1863:60 0.000207816 +41 la_oenb_core[107] *1863:60 0.000118166 +42 la_oenb_core[96] *1863:17 0.000474797 +43 la_oenb_core[99] *1863:17 0.000171288 +44 *3838:DIODE *1863:17 1.47102e-05 +45 *21619:A *1863:60 1.43983e-05 +46 *21653:A *1863:17 0.00027329 +47 *22062:A *1863:17 1.41976e-05 +48 *22062:A *1863:53 4.56831e-05 +49 *22173:A *1863:53 2.65831e-05 +50 *22211:A *1863:53 2.65831e-05 +51 *22222:A *1863:53 2.57986e-05 +52 *265:8 *1863:53 5.93184e-05 +53 *265:8 *1863:60 6.90342e-06 +54 *267:8 *1863:60 1.98996e-05 +55 *1856:9 *1863:60 5.16817e-05 +*RES +1 *23648:Y *1863:17 48.5138 +2 *1863:17 *1863:53 41.113 +3 *1863:53 *1863:60 44.5417 +4 *1863:60 *1863:61 9.59705 +5 *1863:61 *23616:A 9.24915 +6 *1863:61 *6525:DIODE 16.0732 +*END + +*D_NET *1864 0.0129581 +*CONN +*I *6526:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23617:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23649:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6526:DIODE 7.14022e-05 +2 *23617:A 0 +3 *23649:Y 0.00158549 +4 *1864:39 0.000364206 +5 *1864:33 0.00123445 +6 *1864:21 0.00252713 +7 *6526:DIODE *1866:39 0.000530123 +8 *6526:DIODE *2428:5 0.000221446 +9 *1864:21 *6605:DIODE 4.0752e-05 +10 *1864:21 *23075:A 3.57159e-05 +11 *1864:21 *23076:A 7.14746e-05 +12 *1864:21 *23649:B 6.22539e-05 +13 *1864:21 *1878:9 0.000674324 +14 *1864:21 *1881:8 0.000483474 +15 *1864:21 *2980:21 0.000186657 +16 *1864:21 *3478:255 0.000260388 +17 *1864:33 *22582:A 0.000105589 +18 *1864:33 *22823:A 0.000113374 +19 *1864:33 *1879:9 0.000305935 +20 *1864:33 *1881:8 0.00119042 +21 *1864:33 *2351:12 0 +22 *1864:33 *2499:10 2.33334e-05 +23 *1864:33 *2980:21 0.00119042 +24 *1864:33 *3193:21 0.000213725 +25 *1864:39 *1866:39 0.000470585 +26 *1864:39 *2353:27 0.000374983 +27 *1864:39 *2428:5 0.00020205 +28 *1864:39 *3196:15 0.000371385 +29 la_oenb_core[92] *1864:33 4.70559e-05 +*RES +1 *23649:Y *1864:21 47.589 +2 *1864:21 *1864:33 46.1254 +3 *1864:33 *1864:39 21.8057 +4 *1864:39 *23617:A 9.24915 +5 *1864:39 *6526:DIODE 14.964 +*END + +*D_NET *1865 0.00605335 +*CONN +*I *6527:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23618:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23650:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6527:DIODE 0.00020205 +2 *23618:A 0 +3 *23650:Y 0.0013848 +4 *1865:14 0.00042104 +5 *1865:11 0.00160379 +6 *6527:DIODE *3185:15 0.00062559 +7 *1865:11 *5582:DIODE 0.000118166 +8 *1865:11 *23650:A 3.3239e-06 +9 *1865:11 *1876:15 0.000472818 +10 *1865:11 *1877:18 8.62625e-06 +11 *1865:11 *1877:49 0.000463438 +12 *1865:11 *1942:25 8.58125e-05 +13 *1865:11 *3458:6 1.88579e-05 +14 *1865:14 *22812:A 8.23875e-05 +15 *1865:14 *23636:B 4.15661e-05 +16 *1865:14 *3178:12 4.02788e-05 +17 *1865:14 *3478:554 0.000122834 +18 *1862:14 *1865:11 0.000357979 +*RES +1 *23650:Y *1865:11 46.9341 +2 *1865:11 *1865:14 9.23876 +3 *1865:14 *23618:A 9.24915 +4 *1865:14 *6527:DIODE 16.0732 +*END + +*D_NET *1866 0.0705751 +*CONN +*I *6528:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23619:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23651:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *6528:DIODE 0.000155914 +2 *23619:A 0 +3 *23651:Y 0.000257593 +4 *1866:45 0.0002419 +5 *1866:39 0.0115086 +6 *1866:38 0.0125059 +7 *1866:23 0.00165772 +8 *1866:22 0.00103854 +9 *1866:16 0.00260224 +10 *1866:10 0.00239571 +11 *6528:DIODE *2416:7 0.000169041 +12 *6528:DIODE *2607:9 0.000252977 +13 *1866:10 *22784:A 0 +14 *1866:10 *23039:A 0 +15 *1866:10 *2978:19 0.000113968 +16 *1866:10 *3273:8 5.39131e-05 +17 *1866:16 *22789:A 8.5985e-05 +18 *1866:16 *2983:79 0.00346034 +19 *1866:16 *3153:48 1.07248e-05 +20 *1866:16 *3440:45 8.23875e-05 +21 *1866:22 *23046:A 1.9946e-05 +22 *1866:22 *3002:29 0.00123924 +23 *1866:22 *3437:28 2.1203e-06 +24 *1866:22 *3440:45 6.50586e-05 +25 *1866:22 *3440:55 0.000140246 +26 *1866:23 *2983:88 0.00175535 +27 *1866:23 *2989:51 0.00452647 +28 *1866:23 *2998:142 0.00248378 +29 *1866:23 *3002:29 1.92172e-05 +30 *1866:38 *22798:A 4.00504e-05 +31 *1866:38 *23658:A 5.01835e-05 +32 *1866:38 *1873:9 3.25549e-05 +33 *1866:38 *2981:31 0.000716429 +34 *1866:38 *2983:91 4.89898e-06 +35 *1866:38 *3002:29 7.92757e-06 +36 *1866:38 *3002:39 0.000771963 +37 *1866:38 *3005:55 0.000265094 +38 *1866:38 *3166:23 3.03857e-05 +39 *1866:38 *3439:8 0 +40 *1866:38 *3478:45 8.35315e-05 +41 *1866:38 *3478:49 0.000164439 +42 *1866:38 *3478:597 6.74182e-05 +43 *1866:39 *6535:DIODE 0.000636352 +44 *1866:39 *6572:DIODE 0.000377273 +45 *1866:39 *23630:A 0.00011818 +46 *1866:39 *1873:9 0.000315555 +47 *1866:39 *2428:5 0.0016947 +48 *1866:39 *2429:13 0.00255322 +49 *1866:39 *2472:9 0.00221702 +50 *1866:39 *3179:9 0.00138487 +51 *1866:39 *3189:15 0.00683234 +52 *1866:45 *2607:9 2.16355e-05 +53 *1866:45 *3075:6 0.000143068 +54 la_oenb_core[55] *1866:10 8.62625e-06 +55 *4430:DIODE *1866:38 3.20069e-06 +56 *6510:DIODE *1866:39 0.000636366 +57 *6514:DIODE *1866:39 0.000228593 +58 *6516:DIODE *1866:39 0.000634748 +59 *6519:DIODE *1866:39 0.000625696 +60 *6524:DIODE *1866:39 0.00064281 +61 *6526:DIODE *1866:39 0.000530123 +62 *1850:11 *1866:39 6.08467e-05 +63 *1852:17 *1866:39 0.000629922 +64 *1854:11 *1866:39 0.000322687 +65 *1857:11 *1866:39 0.000260374 +66 *1859:23 *1866:45 0.000146609 +67 *1864:39 *1866:39 0.000470585 +*RES +1 *23651:Y *1866:10 23.0201 +2 *1866:10 *1866:16 48.1592 +3 *1866:16 *1866:22 23.896 +4 *1866:22 *1866:23 49.5285 +5 *1866:23 *1866:38 34.2824 +6 *1866:38 *1866:39 340.141 +7 *1866:39 *1866:45 12.3859 +8 *1866:45 *23619:A 9.24915 +9 *1866:45 *6528:DIODE 15.5186 +*END + +*D_NET *1867 0.190993 +*CONN +*I *6529:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23620:A I *D sky130_fd_sc_hd__inv_6 +*I *23652:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *6529:DIODE 0.000156505 +2 *23620:A 0 +3 *23652:Y 0.000259215 +4 *1867:17 0.000936981 +5 *1867:11 0.00924978 +6 *1867:10 0.00872852 +7 *6529:DIODE *2281:28 0.000454422 +8 *1867:10 *2983:14 0.000371672 +9 *1867:10 *2986:13 6.92705e-05 +10 *1867:11 *1868:11 0.0857139 +11 *1867:11 *1869:11 0.0832615 +12 *1867:17 *22979:A 0 +13 *1867:17 *22980:A 0.00021046 +14 *1867:17 *1868:17 0.000193109 +15 *1867:17 *2281:28 0.000115934 +16 *1867:17 *3364:8 0 +17 *1867:17 *3365:8 0.00072719 +18 la_data_in_core[118] *1867:17 4.3116e-06 +19 la_oenb_core[117] *1867:17 0.000170909 +20 la_oenb_core[3] *1867:10 0.000369573 +*RES +1 *23652:Y *1867:10 26.6181 +2 *1867:10 *1867:11 919.702 +3 *1867:11 *1867:17 35.3641 +4 *1867:17 *23620:A 9.24915 +5 *1867:17 *6529:DIODE 14.4094 +*END + +*D_NET *1868 0.187139 +*CONN +*I *6530:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23621:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23653:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *6530:DIODE 0.000227928 +2 *23621:A 0 +3 *23653:Y 0.000361542 +4 *1868:17 0.000671889 +5 *1868:11 0.00991011 +6 *1868:10 0.00982769 +7 *6530:DIODE *2418:20 6.08467e-05 +8 *6530:DIODE *2662:9 0.000254475 +9 *1868:10 *2986:13 0.000165521 +10 *1868:10 *2991:23 6.87678e-05 +11 *1868:10 *3008:29 1.13071e-05 +12 *1868:11 *1869:11 0.000639911 +13 *1868:11 *1875:9 0.00352834 +14 *1868:11 *2976:9 0.0730248 +15 *1868:17 *22980:A 0.000221185 +16 *1868:17 *2618:6 0.000962197 +17 *1868:17 *2662:9 2.16355e-05 +18 *1868:17 *3365:8 0.000558629 +19 la_data_in_core[118] *1868:17 0.000251276 +20 la_oenb_core[1] *1868:10 0.000334377 +21 *299:12 *1868:10 0.000129557 +22 *1867:11 *1868:11 0.0857139 +23 *1867:17 *1868:17 0.000193109 +*RES +1 *23653:Y *1868:10 28.1425 +2 *1868:10 *1868:11 936.894 +3 *1868:11 *1868:17 31.4875 +4 *1868:17 *23621:A 9.24915 +5 *1868:17 *6530:DIODE 16.0732 +*END + +*D_NET *1869 0.173336 +*CONN +*I *6531:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23622:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23654:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *6531:DIODE 0.000223748 +2 *23622:A 0 +3 *23654:Y 0.00075195 +4 *1869:16 0.000728252 +5 *1869:11 0.0126721 +6 *1869:10 0.0129196 +7 *6531:DIODE *2607:9 0.00026397 +8 *1869:10 *22744:A 3.09467e-05 +9 *1869:10 *23653:A 7.2458e-05 +10 *1869:10 *23653:B 1.00004e-05 +11 *1869:10 *2488:133 6.22259e-05 +12 *1869:10 *3411:23 0.000161243 +13 *1869:11 *2974:9 0.0605338 +14 *1869:11 *2976:9 5.8256e-05 +15 *1869:16 *22721:A 0 +16 *1869:16 *2585:6 0.000513823 +17 la_data_in_core[1] *1869:10 0 +18 la_oenb_core[114] *1869:16 9.72087e-05 +19 *3929:DIODE *1869:16 7.73065e-05 +20 *276:5 *1869:16 0.000109496 +21 *299:12 *1869:10 0.000147931 +22 *1867:11 *1869:11 0.0832615 +23 *1868:11 *1869:11 0.000639911 +*RES +1 *23654:Y *1869:10 33.5408 +2 *1869:10 *1869:11 911.937 +3 *1869:11 *1869:16 24.9506 +4 *1869:16 *23622:A 9.24915 +5 *1869:16 *6531:DIODE 16.0732 +*END + +*D_NET *1870 0.201315 +*CONN +*I *6532:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23623:A I *D sky130_fd_sc_hd__inv_6 +*I *23655:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *6532:DIODE 0.00026956 +2 *23623:A 0 +3 *23655:Y 0.000328716 +4 *1870:17 0.00105704 +5 *1870:11 0.0100504 +6 *1870:10 0.00959161 +7 *1870:10 *1874:8 1.27831e-05 +8 *1870:10 *2986:13 0.000224381 +9 *1870:10 *2991:23 9.63981e-05 +10 *1870:11 *1872:9 0.0929844 +11 *1870:11 *1875:9 0.005364 +12 *1870:11 *2979:9 0.0806398 +13 *1870:17 *23625:A 3.84001e-05 +14 *1870:17 *1872:12 0.00028481 +15 *1870:17 *3372:18 0 +16 *1870:17 *3476:10 0 +17 la_data_in_core[0] *1870:10 0.000177109 +18 la_data_in_core[124] *1870:17 0.000195124 +19 la_oenb_core[123] *1870:17 0 +20 mprj_dat_o_user[31] *1870:10 0 +*RES +1 *23655:Y *1870:10 26.6209 +2 *1870:10 *1870:11 998.455 +3 *1870:11 *1870:17 31.075 +4 *1870:17 *23623:A 9.24915 +5 *1870:17 *6532:DIODE 14.4094 +*END + +*D_NET *1871 0.2133 +*CONN +*I *6533:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23624:A I *D sky130_fd_sc_hd__inv_6 +*I *23656:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *6533:DIODE 0.000232471 +2 *23624:A 0 +3 *23656:Y 0.000756423 +4 *1871:17 0.000998801 +5 *1871:11 0.0542695 +6 *1871:10 0.0542596 +7 *1871:10 *2449:9 2.16355e-05 +8 *1871:10 *2457:44 0 +9 *1871:10 *2991:23 3.90306e-05 +10 *1871:10 *2999:9 0.000645741 +11 *1871:11 *2364:15 0.0898798 +12 *1871:11 *2978:41 0 +13 *1871:11 *2988:33 0.00571949 +14 *1871:11 *3001:87 0.00506552 +15 *1871:17 *5504:DIODE 0.000146645 +16 *1871:17 *22730:A 0 +17 *1871:17 *2684:8 0.000553947 +18 *1871:17 *3369:6 0.000353839 +19 la_data_in_core[123] *1871:17 0 +20 la_oenb_core[122] *1871:17 0.000240414 +21 mprj_dat_o_user[30] *1871:10 6.19798e-05 +22 *4028:DIODE *1871:17 0 +23 *4332:DIODE *1871:10 1.41429e-05 +24 *1023:5 *1871:10 4.12884e-05 +25 *1859:16 *1871:11 0 +*RES +1 *23656:Y *1871:10 34.83 +2 *1871:10 *1871:11 138.038 +3 *1871:11 *1871:17 35.9622 +4 *1871:17 *23624:A 9.24915 +5 *1871:17 *6533:DIODE 14.4094 +*END + +*D_NET *1872 0.20795 +*CONN +*I *6534:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23625:A I *D sky130_fd_sc_hd__inv_6 +*I *23657:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *6534:DIODE 0 +2 *23625:A 0.000175327 +3 *23657:Y 0.000399761 +4 *1872:12 0.000608481 +5 *1872:9 0.0561521 +6 *1872:8 0.0561188 +7 *23625:A *5609:DIODE 0.000113968 +8 *23625:A *23087:A 3.75382e-05 +9 *23625:A *3089:16 0 +10 *23625:A *3476:10 1.09738e-05 +11 *1872:8 *23655:B 0 +12 *1872:8 *2998:56 1.91246e-05 +13 *1872:9 *1875:9 1.58551e-05 +14 *1872:9 *2979:9 0.000178097 +15 *1872:12 *22732:A 4.46284e-05 +16 *1872:12 *3089:16 0 +17 la_data_in_core[124] *1872:12 0.000170592 +18 mprj_dat_o_user[31] *1872:8 0 +19 *4040:DIODE *1872:12 0.000169078 +20 *4333:DIODE *1872:8 0.000335009 +21 *287:5 *1872:12 0 +22 *1024:5 *1872:8 9.34404e-05 +23 *1870:11 *1872:9 0.0929844 +24 *1870:17 *23625:A 3.84001e-05 +25 *1870:17 *1872:12 0.00028481 +*RES +1 *23657:Y *1872:8 27.1404 +2 *1872:8 *1872:9 1004 +3 *1872:9 *1872:12 19.2048 +4 *1872:12 *23625:A 18.6864 +5 *1872:12 *6534:DIODE 13.7491 +*END + +*D_NET *1873 0.00331951 +*CONN +*I *6535:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23626:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23658:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6535:DIODE 5.89188e-05 +2 *23626:A 0 +3 *23658:Y 0.000412675 +4 *1873:9 0.000471594 +5 *6535:DIODE *2472:9 0.000620189 +6 *1873:9 *23054:A 0.000322399 +7 *1873:9 *23658:A 5.6437e-05 +8 *1873:9 *2472:9 0.000318338 +9 *1873:9 *3446:14 3.6666e-05 +10 *1873:9 *3478:45 3.78289e-05 +11 *1866:38 *1873:9 3.25549e-05 +12 *1866:39 *6535:DIODE 0.000636352 +13 *1866:39 *1873:9 0.000315555 +*RES +1 *23658:Y *1873:9 31.0521 +2 *1873:9 *23626:A 9.24915 +3 *1873:9 *6535:DIODE 16.0732 +*END + +*D_NET *1874 0.111249 +*CONN +*I *6536:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23627:A I *D sky130_fd_sc_hd__inv_6 +*I *23659:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *6536:DIODE 6.06306e-05 +2 *23627:A 2.38827e-05 +3 *23659:Y 0.00117664 +4 *1874:14 0.00107288 +5 *1874:9 0.0280182 +6 *1874:8 0.0282065 +7 *6536:DIODE *2486:7 0.000158371 +8 *23627:A *2424:7 6.64392e-05 +9 *23627:A *2486:7 0.000114584 +10 *1874:8 *22705:A 0.000525155 +11 *1874:8 *23655:B 0 +12 *1874:8 *2488:93 0 +13 *1874:8 *3059:26 8.30815e-05 +14 *1874:9 *1942:22 0.000954593 +15 *1874:9 *1944:22 0.00656079 +16 *1874:9 *2488:31 0.0395728 +17 *1874:9 *2981:9 1.96574e-05 +18 *1874:9 *2985:9 0.00276934 +19 *1874:14 *22733:A 6.47151e-05 +20 *1874:14 *2718:6 0.000133481 +21 *1874:14 *3090:8 0 +22 *1874:14 *3090:12 7.08387e-05 +23 *1874:14 *3092:8 0.000962386 +24 la_data_in_core[0] *1874:8 0.000148606 +25 la_data_in_core[125] *1874:14 0 +26 la_oenb_core[125] *1874:14 0.000158398 +27 *260:8 *1874:8 0.000131038 +28 *288:8 *1874:14 0.000182668 +29 *1870:10 *1874:8 1.27831e-05 +*RES +1 *23659:Y *1874:8 44.581 +2 *1874:8 *1874:9 1008.99 +3 *1874:9 *1874:14 43.2217 +4 *1874:14 *23627:A 10.5271 +5 *1874:14 *6536:DIODE 11.0817 +*END + +*D_NET *1875 0.182385 +*CONN +*I *6537:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23628:A I *D sky130_fd_sc_hd__inv_6 +*I *23660:Y O *D sky130_fd_sc_hd__nand2_8 +*CAP +1 *6537:DIODE 0.000231288 +2 *23628:A 0 +3 *23660:Y 0.000475001 +4 *1875:14 0.000660124 +5 *1875:9 0.0119933 +6 *1875:8 0.0120394 +7 *6537:DIODE *2422:17 2.41274e-06 +8 *6537:DIODE *2425:10 0.000161234 +9 *1875:8 *22755:A 0.000384855 +10 *1875:8 *23011:A 0 +11 *1875:8 *23660:B 5.30873e-05 +12 *1875:8 *2488:47 9.12416e-06 +13 *1875:9 *2936:9 0.0634448 +14 *1875:9 *2976:9 0.00385775 +15 *1875:9 *2979:9 0.0787055 +16 *1875:14 *22988:A 0.000430146 +17 *1875:14 *23085:A 0.000238537 +18 *1875:14 *2707:8 0.000161669 +19 *1875:14 *3053:15 0.000133143 +20 *1875:14 *3474:10 0.000127164 +21 la_data_in_core[125] *1875:14 8.68133e-05 +22 la_oenb_core[124] *1875:14 5.89338e-05 +23 la_oenb_core[2] *1875:8 0.00022285 +24 *310:7 *1875:8 0 +25 *1868:11 *1875:9 0.00352834 +26 *1870:11 *1875:9 0.005364 +27 *1872:9 *1875:9 1.58551e-05 +*RES +1 *23660:Y *1875:8 29.6319 +2 *1875:8 *1875:9 985.145 +3 *1875:9 *1875:14 25.7811 +4 *1875:14 *23628:A 9.24915 +5 *1875:14 *6537:DIODE 14.4094 +*END + +*D_NET *1876 0.0186338 +*CONN +*I *6538:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23629:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23661:Y O *D sky130_fd_sc_hd__nand2_4 +*CAP +1 *6538:DIODE 0.000196643 +2 *23629:A 0 +3 *23661:Y 0.00143346 +4 *1876:25 0.000854248 +5 *1876:15 0.00127751 +6 *1876:14 0.000868883 +7 *1876:11 0.00168244 +8 *6538:DIODE *2348:9 0.000628348 +9 *1876:11 *22802:A 5.04829e-06 +10 *1876:11 *2349:17 0.000180711 +11 *1876:11 *2985:45 3.55644e-05 +12 *1876:11 *3166:23 0.00050186 +13 *1876:11 *3439:7 2.16355e-05 +14 *1876:11 *3439:8 0.000120114 +15 *1876:14 *23057:A 0 +16 *1876:14 *3171:8 6.25785e-05 +17 *1876:15 *6573:DIODE 0.000111722 +18 *1876:15 *1877:18 0.00128157 +19 *1876:15 *1877:49 0.000472818 +20 *1876:15 *2983:93 1.67988e-05 +21 *1876:15 *2989:63 0.0045263 +22 *1876:15 *3002:41 0.00314401 +23 *1876:25 *22809:A 7.26859e-05 +24 *1876:25 *2348:9 0.000171288 +25 *1876:25 *2437:8 0 +26 *1876:25 *3457:14 1.60998e-05 +27 la_data_in_core[70] *1876:11 0.000118792 +28 la_data_in_core[71] *1876:11 0.000115934 +29 la_data_in_core[72] *1876:11 0.000122378 +30 *3808:DIODE *1876:11 1.00846e-05 +31 *3808:DIODE *1876:14 0 +32 *3809:DIODE *1876:14 6.87503e-05 +33 *4430:DIODE *1876:11 0 +34 *22241:A *1876:11 2.41274e-06 +35 *356:8 *1876:11 4.02438e-05 +36 *1865:11 *1876:15 0.000472818 +*RES +1 *23661:Y *1876:11 47.21 +2 *1876:11 *1876:14 9.65401 +3 *1876:14 *1876:15 55.6292 +4 *1876:15 *1876:25 22.7039 +5 *1876:25 *23629:A 9.24915 +6 *1876:25 *6538:DIODE 16.0732 +*END + +*D_NET *1877 0.0125549 +*CONN +*I *6539:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23630:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23662:Y O *D sky130_fd_sc_hd__nand2_4 +*CAP +1 *6539:DIODE 7.58735e-05 +2 *23630:A 0.000197937 +3 *23662:Y 0.000972446 +4 *1877:58 0.000790207 +5 *1877:49 0.00151896 +6 *1877:18 0.00197501 +7 *6539:DIODE *3185:15 5.07314e-05 +8 *23630:A *2490:9 0 +9 *23630:A *3177:6 0.000220983 +10 *1877:18 *23662:B 0.000103047 +11 *1877:18 *1942:25 2.21116e-05 +12 *1877:18 *2404:8 2.1971e-05 +13 *1877:18 *3458:6 3.81715e-05 +14 *1877:49 *5330:DIODE 6.36477e-05 +15 *1877:49 *5582:DIODE 0.000122378 +16 *1877:49 *1880:8 2.17775e-05 +17 *1877:49 *2983:93 0.00154475 +18 *1877:49 *2983:99 4.89597e-05 +19 *1877:49 *2983:101 0.00125146 +20 *1877:49 *3460:6 2.82106e-05 +21 *1877:58 *5587:DIODE 6.50586e-05 +22 *1877:58 *2983:101 0.000985966 +23 *1877:58 *3177:6 7.51301e-05 +24 *1862:14 *1877:49 1.55025e-05 +25 *1865:11 *1877:18 8.62625e-06 +26 *1865:11 *1877:49 0.000463438 +27 *1866:39 *23630:A 0.00011818 +28 *1876:15 *1877:18 0.00128157 +29 *1876:15 *1877:49 0.000472818 +*RES +1 *23662:Y *1877:18 45.4356 +2 *1877:18 *1877:49 48.8131 +3 *1877:49 *1877:58 18.895 +4 *1877:58 *23630:A 19.3507 +5 *1877:58 *6539:DIODE 15.0271 +*END + +*D_NET *1878 0.0102051 +*CONN +*I *6540:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23631:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23663:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6540:DIODE 4.48097e-05 +2 *23631:A 0 +3 *23663:Y 0.000651305 +4 *1878:15 0.000479031 +5 *1878:9 0.00108553 +6 *6540:DIODE *2429:5 0.000158371 +7 *6540:DIODE *2540:17 0.000209232 +8 *1878:9 *5340:DIODE 5.31074e-05 +9 *1878:9 *6599:DIODE 4.80093e-05 +10 *1878:9 *22819:A 0 +11 *1878:9 *1879:9 0.00199076 +12 *1878:9 *2983:109 0.000162991 +13 *1878:9 *3003:22 2.15812e-05 +14 *1878:9 *3189:21 0.000377259 +15 *1878:9 *3478:250 0.000504318 +16 *1878:15 *2499:10 3.52038e-05 +17 *1878:15 *2540:17 0.00175736 +18 *1878:15 *2607:17 0.00170927 +19 *3827:DIODE *1878:9 0.000242615 +20 *1864:21 *1878:9 0.000674324 +*RES +1 *23663:Y *1878:9 48.3926 +2 *1878:9 *1878:15 32.3488 +3 *1878:15 *23631:A 9.24915 +4 *1878:15 *6540:DIODE 11.6364 +*END + +*D_NET *1879 0.00879382 +*CONN +*I *6541:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23632:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23664:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6541:DIODE 1.80429e-05 +2 *23632:A 0 +3 *23664:Y 9.40979e-05 +4 *1879:9 0.0007152 +5 *1879:8 0.000791255 +6 *6541:DIODE *5344:DIODE 0.000224381 +7 *6541:DIODE *2983:109 0.000208218 +8 *1879:8 *6601:DIODE 4.4178e-05 +9 *1879:8 *23075:A 5.33266e-05 +10 *1879:8 *3180:8 1.91246e-05 +11 *1879:9 *5344:DIODE 5.31074e-05 +12 *1879:9 *2983:109 0.00396494 +13 *1879:9 *3193:21 0.000311249 +14 *1864:33 *1879:9 0.000305935 +15 *1878:9 *1879:9 0.00199076 +*RES +1 *23664:Y *1879:8 20.9116 +2 *1879:8 *1879:9 43.4279 +3 *1879:9 *23632:A 9.24915 +4 *1879:9 *6541:DIODE 11.6364 +*END + +*D_NET *1880 0.00495141 +*CONN +*I *23633:A I *D sky130_fd_sc_hd__clkinv_8 +*I *6542:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23665:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *23633:A 0 +2 *6542:DIODE 8.37978e-05 +3 *23665:Y 0.000685437 +4 *1880:9 0.000457125 +5 *1880:8 0.00105876 +6 *6542:DIODE *2411:8 0 +7 *6542:DIODE *2489:8 0.000115585 +8 *1880:8 *6603:DIODE 2.52921e-05 +9 *1880:8 *23636:B 1.96933e-05 +10 *1880:8 *23665:A 1.22858e-05 +11 *1880:8 *3178:12 0 +12 *1880:8 *3460:6 0 +13 *1880:8 *3478:554 2.26244e-05 +14 *1880:9 *2348:9 0.00186372 +15 *1851:11 *1880:9 0.000197841 +16 *1851:15 *1880:9 0.000387469 +17 *1877:49 *1880:8 2.17775e-05 +*RES +1 *23665:Y *1880:8 31.2929 +2 *1880:8 *1880:9 20.1345 +3 *1880:9 *6542:DIODE 20.4964 +4 *1880:9 *23633:A 9.24915 +*END + +*D_NET *1881 0.0116409 +*CONN +*I *6543:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23634:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23666:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6543:DIODE 0.000100225 +2 *23634:A 0 +3 *23666:Y 0.000780505 +4 *1881:24 0.00148668 +5 *1881:8 0.00216696 +6 *6543:DIODE *2348:9 0.000623463 +7 *6543:DIODE *2432:8 0.000169041 +8 *6543:DIODE *3065:15 0.000317693 +9 *1881:8 *5342:DIODE 6.3657e-05 +10 *1881:8 *6547:DIODE 6.08467e-05 +11 *1881:8 *6605:DIODE 0.000381471 +12 *1881:8 *22580:A 0.000164829 +13 *1881:8 *22582:A 6.50727e-05 +14 *1881:8 *22823:A 6.50586e-05 +15 *1881:8 *2501:8 7.08005e-05 +16 *1881:8 *2975:52 0.000183545 +17 *1881:8 *2980:21 0.000360957 +18 *1881:8 *3191:21 0.0010376 +19 *1881:24 *2503:6 0 +20 *1881:24 *2983:109 0.00168703 +21 *1881:24 *3349:15 0.000120719 +22 la_oenb_core[92] *1881:8 6.08467e-05 +23 *1864:21 *1881:8 0.000483474 +24 *1864:33 *1881:8 0.00119042 +*RES +1 *23666:Y *1881:8 47.9141 +2 *1881:8 *1881:24 46.5151 +3 *1881:24 *23634:A 9.24915 +4 *1881:24 *6543:DIODE 16.0732 +*END + +*D_NET *1882 0.0030634 +*CONN +*I *6544:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23635:A I *D sky130_fd_sc_hd__clkinv_8 +*I *23667:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6544:DIODE 9.45197e-05 +2 *23635:A 0 +3 *23667:Y 0.000641222 +4 *1882:9 0.000735742 +5 *6544:DIODE *2348:9 0.000623449 +6 *6544:DIODE *2433:10 0.000167076 +7 *6544:DIODE *3065:9 0.000184705 +8 *1882:9 *6572:DIODE 8.38865e-05 +9 *1882:9 *6607:DIODE 3.24554e-05 +10 *1882:9 *23603:B 9.47506e-05 +11 *1882:9 *2348:9 0.000277502 +12 *1882:9 *2492:8 0 +13 *1882:9 *3065:9 0.000128091 +14 *1882:9 *3187:21 0 +*RES +1 *23667:Y *1882:9 34.2348 +2 *1882:9 *23635:A 9.24915 +3 *1882:9 *6544:DIODE 16.0732 +*END + +*D_NET *1883 0.0698139 +*CONN +*I *5084:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22572:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[0] O *D mprj_logic_high +*CAP +1 *5084:DIODE 0 +2 *22572:TE 0.000104545 +3 *22570:HI[0] 0.00144475 +4 *1883:39 0.00536978 +5 *1883:37 0.00528826 +6 *1883:35 0.00304739 +7 *1883:33 0.00304739 +8 *1883:31 0.000944362 +9 *1883:30 0.00108239 +10 *1883:27 0.000529337 +11 *1883:22 0.00276662 +12 *1883:20 0.00384309 +13 *1883:20 *1884:12 5.23478e-05 +14 *1883:20 *1894:7 0.000740013 +15 *1883:20 *1916:12 0.000107496 +16 *1883:20 *2013:20 0.00110753 +17 *1883:20 *2163:40 0.000248909 +18 *1883:20 *2332:58 3.52699e-05 +19 *1883:20 *2870:32 0.000222174 +20 *1883:20 *2870:34 0.00023928 +21 *1883:20 *3029:34 0.000383393 +22 *1883:22 *4827:DIODE 1.91391e-05 +23 *1883:22 *22440:B 8.44094e-05 +24 *1883:22 *2851:28 0.000100741 +25 *1883:22 *2870:34 0.000259515 +26 *1883:22 *3029:34 0.000225102 +27 *1883:22 *3099:12 0.0018754 +28 *1883:22 *3382:16 0 +29 *1883:27 *2441:11 0.00366431 +30 *1883:27 *2450:49 0.00366851 +31 *1883:30 *3093:30 2.84561e-05 +32 *1883:30 *3093:32 5.01835e-05 +33 *1883:30 *3381:16 0 +34 *1883:31 *2383:19 0.00923207 +35 *1883:31 *2452:19 1.41689e-05 +36 *1883:31 *2474:45 0.000149641 +37 *1883:31 *3104:9 0.00928293 +38 *1883:35 *23659:A 0.000223615 +39 *1883:35 *2445:27 0.000143044 +40 *1883:35 *2452:19 0.00536178 +41 *1883:35 *2474:54 0.000330558 +42 *1883:35 *2999:9 0.000366417 +43 *1883:35 *3104:9 0.00354937 +44 *1883:39 *2474:54 0.000431923 +45 *1381:28 *1883:22 3.91807e-05 +46 *1392:13 *1883:20 4.31539e-05 +47 *1788:8 *1883:22 6.59314e-05 +*RES +1 *22570:HI[0] *1883:20 42.4723 +2 *1883:20 *1883:22 55.6072 +3 *1883:22 *1883:27 48.5456 +4 *1883:27 *1883:30 7.57775 +5 *1883:30 *1883:31 99.9974 +6 *1883:31 *1883:33 0.578717 +7 *1883:33 *1883:35 126.896 +8 *1883:35 *1883:37 0.578717 +9 *1883:37 *1883:39 121.904 +10 *1883:39 *22572:TE 11.6364 +11 *1883:39 *5084:DIODE 9.24915 +*END + +*D_NET *1884 0.0393406 +*CONN +*I *4783:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22418:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[100] O *D mprj_logic_high +*CAP +1 *4783:DIODE 6.76586e-05 +2 *22418:B 0 +3 *22570:HI[100] 0.000695363 +4 *1884:30 0.000597728 +5 *1884:29 0.000895026 +6 *1884:21 0.00249221 +7 *1884:13 0.00425801 +8 *1884:12 0.00282613 +9 *4783:DIODE *2009:45 0 +10 *4783:DIODE *2845:22 0 +11 *4783:DIODE *2845:33 0 +12 *1884:12 *23526:TE 4.20184e-06 +13 *1884:12 *1885:15 0.000455565 +14 *1884:12 *1894:7 1.5605e-06 +15 *1884:12 *2159:40 0.000373726 +16 *1884:12 *2163:40 0.00151418 +17 *1884:12 *2167:39 1.64739e-05 +18 *1884:12 *2587:27 5.57333e-05 +19 *1884:13 *4799:DIODE 6.50586e-05 +20 *1884:13 *23280:A 0.000106215 +21 *1884:13 *1887:21 0.00652169 +22 *1884:13 *2172:20 0.0048671 +23 *1884:13 *2172:24 0.000860981 +24 *1884:13 *2587:27 1.92172e-05 +25 *1884:13 *2722:15 6.50727e-05 +26 *1884:13 *2940:47 0.00277108 +27 *1884:21 *6092:DIODE 0.000169041 +28 *1884:21 *23391:A 0.000527891 +29 *1884:21 *2166:42 3.21548e-05 +30 *1884:21 *2918:61 1.67988e-05 +31 *1884:21 *2940:47 0.000543262 +32 *1884:21 *2940:53 0.000220053 +33 *1884:29 *23263:B 7.97944e-05 +34 *1884:29 *2784:21 9.82896e-06 +35 *1884:29 *2784:23 0.00281951 +36 *1884:29 *2795:22 3.53784e-05 +37 *1884:29 *2795:23 0.00292151 +38 *1884:30 *2009:45 0 +39 *1884:30 *2560:6 0.00062263 +40 *1884:30 *2702:8 0 +41 *1884:30 *2845:12 0 +42 *1510:10 *1884:29 6.50586e-05 +43 *1638:8 *1884:29 0.000105837 +44 *1640:16 *1884:21 0.00151734 +45 *1766:6 *4783:DIODE 7.21753e-05 +46 *1883:20 *1884:12 5.23478e-05 +*RES +1 *22570:HI[100] *1884:12 13.6185 +2 *1884:12 *1884:13 108.594 +3 *1884:13 *1884:21 49.4682 +4 *1884:21 *1884:29 48.083 +5 *1884:29 *1884:30 13.4591 +6 *1884:30 *22418:B 13.7491 +7 *1884:30 *4783:DIODE 15.5811 +*END + +*D_NET *1885 0.0286598 +*CONN +*I *4785:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22419:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[101] O *D mprj_logic_high +*CAP +1 *4785:DIODE 8.50995e-05 +2 *22419:B 5.89276e-05 +3 *22570:HI[101] 0.00248091 +4 *1885:28 0.000771572 +5 *1885:25 0.00135807 +6 *1885:19 0.00130561 +7 *1885:15 0.003056 +8 *4785:DIODE *2324:47 7.72394e-06 +9 *4785:DIODE *2846:37 3.74491e-05 +10 *22419:B *1927:34 0.000111708 +11 *22419:B *2324:47 1.5714e-05 +12 *22419:B *2324:48 6.50586e-05 +13 *1885:15 *23526:TE 4.69495e-06 +14 *1885:15 *1886:19 0.00020744 +15 *1885:15 *2155:51 0.000127007 +16 *1885:15 *2156:42 0.00305199 +17 *1885:15 *2158:37 0.00066513 +18 *1885:15 *2159:40 0.000324248 +19 *1885:15 *2587:27 3.93117e-06 +20 *1885:19 *2161:20 0.00383748 +21 *1885:19 *2173:46 0.00383948 +22 *1885:19 *2865:18 2.90821e-05 +23 *1885:19 *2867:12 8.86331e-05 +24 *1885:25 *2157:24 0.00252619 +25 *1885:25 *2448:8 0 +26 *1885:25 *2856:34 0.000527871 +27 *1885:25 *3096:25 0.00253038 +28 *1885:28 *2324:47 2.57465e-06 +29 *1885:28 *2337:32 0.000109262 +30 *1885:28 *2846:20 0.000239698 +31 *1885:28 *2846:37 2.56442e-05 +32 *1885:28 *2848:26 0.000271981 +33 *1885:28 *2848:47 0.000248542 +34 *1885:28 *3084:12 0 +35 *1372:13 *22419:B 2.41483e-05 +36 *1382:14 *1885:28 0.000165037 +37 *1767:9 *4785:DIODE 0 +38 *1884:12 *1885:15 0.000455565 +*RES +1 *22570:HI[101] *1885:15 48.5802 +2 *1885:15 *1885:19 48.6507 +3 *1885:19 *1885:25 47.3119 +4 *1885:25 *1885:28 21.4887 +5 *1885:28 *22419:B 16.1386 +6 *1885:28 *4785:DIODE 15.7888 +*END + +*D_NET *1886 0.0236311 +*CONN +*I *22420:B I *D sky130_fd_sc_hd__and2b_1 +*I *4787:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[102] O *D mprj_logic_high +*CAP +1 *22420:B 0.00017339 +2 *4787:DIODE 0.000111713 +3 *22570:HI[102] 0.00079574 +4 *1886:27 0.00120175 +5 *1886:23 0.0031501 +6 *1886:22 0.00327427 +7 *1886:19 0.00183655 +8 *4787:DIODE *1905:40 6.99486e-05 +9 *22420:B *2846:20 0 +10 *22420:B *2984:24 6.84443e-05 +11 *1886:19 *1887:13 0.000882306 +12 *1886:19 *1887:17 0.0018293 +13 *1886:19 *2017:13 1.9101e-05 +14 *1886:19 *2017:18 1.10848e-05 +15 *1886:19 *2129:33 0.000104754 +16 *1886:19 *2153:43 5.01404e-05 +17 *1886:19 *2155:51 0.000697375 +18 *1886:19 *2168:20 0.0018335 +19 *1886:19 *2995:60 5.01835e-05 +20 *1886:22 *2011:43 0.000113145 +21 *1886:22 *2394:18 0 +22 *1886:22 *3096:40 0.000379274 +23 *1886:23 *1905:40 0.00603699 +24 *1886:27 *1905:40 0.000589196 +25 *1768:10 *22420:B 3.37152e-05 +26 *1772:11 *1886:23 0.000111708 +27 *1885:15 *1886:19 0.00020744 +*RES +1 *22570:HI[102] *1886:19 35.9306 +2 *1886:19 *1886:22 25.4336 +3 *1886:22 *1886:23 66.1666 +4 *1886:23 *1886:27 15.7218 +5 *1886:27 *4787:DIODE 11.0817 +6 *1886:27 *22420:B 22.1574 +*END + +*D_NET *1887 0.0274385 +*CONN +*I *22421:B I *D sky130_fd_sc_hd__and2b_1 +*I *4789:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[103] O *D mprj_logic_high +*CAP +1 *22421:B 0.000163815 +2 *4789:DIODE 0 +3 *22570:HI[103] 0.000438871 +4 *1887:24 0.000526995 +5 *1887:21 0.00438851 +6 *1887:20 0.00423505 +7 *1887:17 0.000751661 +8 *1887:13 0.000980811 +9 *22421:B *2962:8 0 +10 *22421:B *3084:12 0.00018928 +11 *1887:13 *1888:18 0.000274822 +12 *1887:13 *2129:33 0.000116288 +13 *1887:13 *2153:43 0.000493052 +14 *1887:13 *2168:19 2.77564e-05 +15 *1887:13 *2995:60 0.000103562 +16 *1887:13 *3029:42 4.23622e-05 +17 *1887:13 *3123:30 9.17656e-06 +18 *1887:17 *2156:42 0.00364637 +19 *1887:17 *2168:20 0.00076275 +20 *1887:20 *1891:20 2.34457e-05 +21 *1887:20 *2651:8 0.00026067 +22 *1887:20 *2866:6 1.42932e-05 +23 *1887:24 *2962:8 0 +24 *1887:24 *3084:12 0.000497451 +25 *1263:9 *1887:24 0.000172416 +26 *1774:6 *1887:20 8.5809e-05 +27 *1884:13 *1887:21 0.00652169 +28 *1886:19 *1887:13 0.000882306 +29 *1886:19 *1887:17 0.0018293 +*RES +1 *22570:HI[103] *1887:13 12.3395 +2 *1887:13 *1887:17 44.6003 +3 *1887:17 *1887:20 10.0693 +4 *1887:20 *1887:21 71.1581 +5 *1887:21 *1887:24 14.637 +6 *1887:24 *4789:DIODE 13.7491 +7 *1887:24 *22421:B 17.6574 +*END + +*D_NET *1888 0.0311536 +*CONN +*I *22423:B I *D sky130_fd_sc_hd__and2b_1 +*I *4793:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[104] O *D mprj_logic_high +*CAP +1 *22423:B 0.000201254 +2 *4793:DIODE 4.18965e-05 +3 *22570:HI[104] 0.00090027 +4 *1888:26 0.00111797 +5 *1888:23 0.00264828 +6 *1888:21 0.00212094 +7 *1888:18 0.00124775 +8 *4793:DIODE *1949:32 6.50586e-05 +9 *22423:B *2020:23 6.12082e-05 +10 *22423:B *2929:24 0.000180838 +11 *1888:18 *1889:16 0.000100789 +12 *1888:18 *1890:16 0.000139134 +13 *1888:18 *1891:15 0.000184414 +14 *1888:18 *1971:22 0.00136145 +15 *1888:18 *2153:43 0.000891333 +16 *1888:18 *2178:14 0.00203523 +17 *1888:18 *2185:16 0.00203944 +18 *1888:18 *2366:12 0.000120624 +19 *1888:18 *2587:27 1.36898e-05 +20 *1888:21 *6130:DIODE 0.000277488 +21 *1888:21 *1892:13 0.00138186 +22 *1888:21 *1895:28 0.00028256 +23 *1888:21 *1982:14 0.000146599 +24 *1888:23 *5854:DIODE 1.03403e-05 +25 *1888:23 *1895:28 7.02172e-06 +26 *1888:23 *1982:14 0.00865363 +27 *1888:23 *2071:42 0.00018546 +28 *1888:23 *2715:9 9.68546e-05 +29 *1888:23 *3084:24 0.000278768 +30 *1888:23 *3410:7 0.00220087 +31 *1888:26 *2020:23 0.00034932 +32 *1888:26 *2568:6 0.000314322 +33 *1888:26 *2710:16 0 +34 *1888:26 *2929:24 0.000709566 +35 *6131:DIODE *1888:21 3.89073e-05 +36 *6372:DIODE *1888:23 0.000151436 +37 *1396:9 *1888:23 1.92336e-05 +38 *1531:5 *1888:21 0.000171904 +39 *1644:11 *1888:26 0.000131069 +40 *1887:13 *1888:18 0.000274822 +*RES +1 *22570:HI[104] *1888:18 47.9727 +2 *1888:18 *1888:21 19.604 +3 *1888:21 *1888:23 93.8968 +4 *1888:23 *1888:26 29.5861 +5 *1888:26 *4793:DIODE 14.4725 +6 *1888:26 *22423:B 18.3902 +*END + +*D_NET *1889 0.0177613 +*CONN +*I *22424:B I *D sky130_fd_sc_hd__and2b_1 +*I *4795:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[105] O *D mprj_logic_high +*CAP +1 *22424:B 0.000123911 +2 *4795:DIODE 6.67041e-06 +3 *22570:HI[105] 0.00144545 +4 *1889:29 0.000607936 +5 *1889:24 0.00250383 +6 *1889:16 0.00347192 +7 *4795:DIODE *3776:DIODE 6.50586e-05 +8 *4795:DIODE *2340:18 6.92705e-05 +9 *22424:B *1916:18 4.83226e-05 +10 *22424:B *2864:19 0.000138635 +11 *1889:16 *1890:16 0.000565161 +12 *1889:16 *1891:15 4.51619e-05 +13 *1889:16 *1893:15 4.04447e-05 +14 *1889:16 *1971:22 0.00124802 +15 *1889:16 *2105:23 0.00212629 +16 *1889:16 *2178:14 0.000312987 +17 *1889:16 *2751:16 8.06332e-05 +18 *1889:16 *2854:20 8.40944e-05 +19 *1889:16 *3117:25 0.000823008 +20 *1889:24 *1893:19 0.000466373 +21 *1889:24 *1893:21 0.000297902 +22 *1889:24 *2166:40 1.86242e-05 +23 *1889:24 *2183:29 0.000167797 +24 *1889:24 *2580:16 0 +25 *1889:24 *2721:18 0 +26 *1889:24 *3095:24 7.30895e-05 +27 *1889:29 *3776:DIODE 0.000107496 +28 *1889:29 *1949:16 0.000104284 +29 *1889:29 *2183:29 0.000296467 +30 *1889:29 *2340:18 0.00121876 +31 *1889:29 *2847:35 0.000199616 +32 *1889:29 *3095:24 0 +33 *4980:DIODE *1889:29 9.14505e-05 +34 *1261:13 *1889:24 0.000723206 +35 *1654:10 *1889:24 7.20064e-05 +36 *1654:15 *1889:24 1.65976e-05 +37 *1888:18 *1889:16 0.000100789 +*RES +1 *22570:HI[105] *1889:16 48.4561 +2 *1889:16 *1889:24 45.3344 +3 *1889:24 *1889:29 24.1714 +4 *1889:29 *4795:DIODE 9.97254 +5 *1889:29 *22424:B 21.3269 +*END + +*D_NET *1890 0.00774946 +*CONN +*I *4797:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22425:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[106] O *D mprj_logic_high +*CAP +1 *4797:DIODE 4.53568e-05 +2 *22425:B 0 +3 *22570:HI[106] 0.0019487 +4 *1890:16 0.00199406 +5 *4797:DIODE *2011:43 4.69189e-06 +6 *4797:DIODE *3117:24 8.77775e-05 +7 *1890:16 *3774:DIODE 0.000256861 +8 *1890:16 *1891:15 9.79841e-05 +9 *1890:16 *1893:15 0.000202036 +10 *1890:16 *1893:19 0.000114594 +11 *1890:16 *1971:22 2.87885e-05 +12 *1890:16 *2011:43 1.75265e-05 +13 *1890:16 *2164:26 0.00150272 +14 *1890:16 *2587:27 5.41988e-05 +15 *1890:16 *2853:27 0.000171288 +16 *1890:16 *2853:33 0.000361096 +17 *1890:16 *3117:24 8.77951e-05 +18 *1773:10 *4797:DIODE 6.96979e-05 +19 *1888:18 *1890:16 0.000139134 +20 *1889:16 *1890:16 0.000565161 +*RES +1 *22570:HI[106] *1890:16 39.6697 +2 *1890:16 *22425:B 13.7491 +3 *1890:16 *4797:DIODE 15.5811 +*END + +*D_NET *1891 0.0117218 +*CONN +*I *4799:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22426:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[107] O *D mprj_logic_high +*CAP +1 *4799:DIODE 0.000105563 +2 *22426:B 0 +3 *22570:HI[107] 0.00121843 +4 *1891:20 0.000649088 +5 *1891:15 0.00176195 +6 *4799:DIODE *2867:12 8.5809e-05 +7 *1891:15 *1892:13 9.79841e-05 +8 *1891:15 *1893:15 0.00193866 +9 *1891:15 *1893:19 9.54357e-06 +10 *1891:15 *2017:13 2.55661e-06 +11 *1891:15 *2154:18 0.00140087 +12 *1891:15 *2164:26 0.00140087 +13 *1891:15 *2587:27 0 +14 *1891:15 *2870:23 7.08433e-05 +15 *1891:20 *1893:19 0.00132666 +16 *1891:20 *2105:24 0.000274077 +17 *1891:20 *2866:6 0.000233914 +18 *1891:20 *2867:8 2.43203e-05 +19 *1891:20 *2867:12 0.000309685 +20 *1389:10 *1891:15 0.000328363 +21 *1773:10 *1891:15 1.55025e-05 +22 *1773:10 *1891:20 1.7883e-05 +23 *1774:6 *4799:DIODE 2.95809e-05 +24 *1774:6 *1891:20 3.5534e-06 +25 *1884:13 *4799:DIODE 6.50586e-05 +26 *1887:20 *1891:20 2.34457e-05 +27 *1888:18 *1891:15 0.000184414 +28 *1889:16 *1891:15 4.51619e-05 +29 *1890:16 *1891:15 9.79841e-05 +*RES +1 *22570:HI[107] *1891:15 38.05 +2 *1891:15 *1891:20 26.734 +3 *1891:20 *22426:B 13.7491 +4 *1891:20 *4799:DIODE 16.3045 +*END + +*D_NET *1892 0.0191262 +*CONN +*I *22427:B I *D sky130_fd_sc_hd__and2b_1 +*I *4801:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[108] O *D mprj_logic_high +*CAP +1 *22427:B 0.000187084 +2 *4801:DIODE 0 +3 *22570:HI[108] 0.000854047 +4 *1892:26 0.00162929 +5 *1892:21 0.00244297 +6 *1892:13 0.0018548 +7 *22427:B *3328:6 6.52505e-05 +8 *1892:13 *23284:B 0.000316153 +9 *1892:13 *1893:15 0.000150832 +10 *1892:13 *1982:14 0.00334557 +11 *1892:13 *2012:18 0.00132271 +12 *1892:13 *2154:18 0.00153314 +13 *1892:13 *2188:30 0.00153422 +14 *1892:13 *2587:27 2.40904e-05 +15 *1892:13 *2726:9 2.16718e-05 +16 *1892:13 *2870:23 1.06377e-05 +17 *1892:21 *5869:DIODE 0.000169041 +18 *1892:21 *2178:18 5.01458e-05 +19 *1892:21 *2185:18 0.000148666 +20 *1892:21 *2582:16 3.77659e-05 +21 *1892:21 *2651:8 9.24241e-05 +22 *1892:26 *2178:18 0.000294007 +23 *1892:26 *2509:20 0.000107496 +24 *1892:26 *2762:27 0.00137987 +25 *1892:26 *3328:6 5.5258e-05 +26 *1531:5 *1892:13 1.92172e-05 +27 *1888:21 *1892:13 0.00138186 +28 *1891:15 *1892:13 9.79841e-05 +*RES +1 *22570:HI[108] *1892:13 48.3148 +2 *1892:13 *1892:21 25.1673 +3 *1892:21 *1892:26 42.4093 +4 *1892:26 *4801:DIODE 13.7491 +5 *1892:26 *22427:B 17.6574 +*END + +*D_NET *1893 0.0225338 +*CONN +*I *22428:B I *D sky130_fd_sc_hd__and2b_1 +*I *4803:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[109] O *D mprj_logic_high +*CAP +1 *22428:B 6.27668e-05 +2 *4803:DIODE 0.000112592 +3 *22570:HI[109] 0.00109742 +4 *1893:21 0.000796123 +5 *1893:19 0.00104376 +6 *1893:15 0.00152041 +7 *4803:DIODE *3017:22 0.00012791 +8 *22428:B *22428:A_N 1.24189e-05 +9 *22428:B *2856:13 6.08467e-05 +10 *22428:B *3017:22 4.15661e-05 +11 *1893:15 *3774:DIODE 0.000115632 +12 *1893:15 *1895:18 9.38585e-05 +13 *1893:15 *2012:18 0.00110881 +14 *1893:15 *2017:13 0.000430138 +15 *1893:15 *2853:27 7.48797e-05 +16 *1893:15 *2853:33 0.000163912 +17 *1893:15 *2870:23 0.000230304 +18 *1893:15 *3062:40 0.0012724 +19 *1893:19 *2105:24 4.91225e-06 +20 *1893:21 *2105:24 6.04131e-05 +21 *1893:21 *3040:31 0.00456439 +22 *1261:7 *4803:DIODE 0.000115934 +23 *1261:7 *1893:21 5.31074e-05 +24 *1261:13 *1893:21 0.00433019 +25 *1262:7 *4803:DIODE 0.000107496 +26 *1262:7 *22428:B 6.08467e-05 +27 *1262:7 *1893:21 6.50727e-05 +28 *1262:12 *1893:21 0.000127366 +29 *1264:11 *4803:DIODE 0.00013132 +30 *1889:16 *1893:15 4.04447e-05 +31 *1889:24 *1893:19 0.000466373 +32 *1889:24 *1893:21 0.000297902 +33 *1890:16 *1893:15 0.000202036 +34 *1890:16 *1893:19 0.000114594 +35 *1891:15 *1893:15 0.00193866 +36 *1891:15 *1893:19 9.54357e-06 +37 *1891:20 *1893:19 0.00132666 +38 *1892:13 *1893:15 0.000150832 +*RES +1 *22570:HI[109] *1893:15 41.3584 +2 *1893:15 *1893:19 16.2764 +3 *1893:19 *1893:21 56.1838 +4 *1893:21 *4803:DIODE 22.1896 +5 *1893:21 *22428:B 19.974 +*END + +*D_NET *1894 0.0598325 +*CONN +*I *4949:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22503:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[10] O *D mprj_logic_high +*CAP +1 *4949:DIODE 0 +2 *22503:TE 7.69184e-05 +3 *22570:HI[10] 0.0143567 +4 *1894:17 0.00156795 +5 *1894:12 0.00190186 +6 *1894:7 0.0147675 +7 *1894:7 *2162:29 3.92733e-05 +8 *1894:7 *2163:40 0.000101708 +9 *1894:7 *2166:40 0.000182409 +10 *1894:7 *2167:65 0.00388899 +11 *1894:7 *2325:16 0 +12 *1894:7 *2332:58 0.00107214 +13 *1894:7 *2332:72 0.00549708 +14 *1894:7 *2332:80 0.00765748 +15 *1894:7 *2831:26 0.000157517 +16 *1894:7 *3125:22 0.000696297 +17 *1894:12 *2395:6 0 +18 *1894:12 *2874:12 0 +19 *1894:17 *3749:DIODE 0.000115599 +20 *1894:17 *2910:24 5.07314e-05 +21 *1144:13 *22503:TE 0.000224381 +22 *1144:13 *1894:17 0.000459423 +23 *1155:23 *1894:7 0.00576124 +24 *1258:16 *1894:12 0.000181809 +25 *1441:7 *1894:17 0.000317693 +26 *1753:8 *1894:12 1.61918e-05 +27 *1883:20 *1894:7 0.000740013 +28 *1884:12 *1894:7 1.5605e-06 +*RES +1 *22570:HI[10] *1894:7 49.3528 +2 *1894:7 *1894:12 18.6287 +3 *1894:12 *1894:17 39.0393 +4 *1894:17 *22503:TE 12.2151 +5 *1894:17 *4949:DIODE 9.24915 +*END + +*D_NET *1895 0.0219398 +*CONN +*I *22429:B I *D sky130_fd_sc_hd__and2b_1 +*I *4805:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[110] O *D mprj_logic_high +*CAP +1 *22429:B 0.00014645 +2 *4805:DIODE 4.18965e-05 +3 *22570:HI[110] 0.00208103 +4 *1895:29 0.00284174 +5 *1895:28 0.00439385 +6 *1895:18 0.00382148 +7 *4805:DIODE *2060:24 6.50586e-05 +8 *22429:B *2071:31 4.17751e-05 +9 *22429:B *2847:14 2.64881e-05 +10 *22429:B *2855:12 4.95872e-05 +11 *1895:18 *1896:15 0.00234731 +12 *1895:18 *1897:12 1.5714e-05 +13 *1895:18 *1898:15 0.00047235 +14 *1895:18 *2020:22 0.00047923 +15 *1895:18 *2586:12 6.74744e-06 +16 *1895:18 *2587:27 1.42932e-05 +17 *1895:18 *2751:16 0 +18 *1895:18 *2870:23 8.92739e-06 +19 *1895:18 *3062:40 0.00149643 +20 *1895:28 *5858:DIODE 2.12995e-05 +21 *1895:28 *6130:DIODE 7.48633e-05 +22 *1895:28 *1896:27 8.62625e-06 +23 *1895:28 *2721:18 0 +24 *1895:28 *3122:15 0.000170122 +25 *1895:28 *3410:7 0.000171886 +26 *1895:29 *3778:DIODE 8.64351e-05 +27 *1895:29 *1896:27 0.000975824 +28 *1895:29 *2060:24 0.00166722 +29 *1895:29 *2093:22 7.6719e-06 +30 *1659:9 *1895:18 2.20585e-05 +31 *1888:21 *1895:28 0.00028256 +32 *1888:23 *1895:28 7.02172e-06 +33 *1893:15 *1895:18 9.38585e-05 +*RES +1 *22570:HI[110] *1895:18 48.0548 +2 *1895:18 *1895:28 37.8147 +3 *1895:28 *1895:29 45.6463 +4 *1895:29 *4805:DIODE 9.97254 +5 *1895:29 *22429:B 22.1574 +*END + +*D_NET *1896 0.0173441 +*CONN +*I *22430:B I *D sky130_fd_sc_hd__and2b_1 +*I *4807:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[111] O *D mprj_logic_high +*CAP +1 *22430:B 0 +2 *4807:DIODE 0.000192379 +3 *22570:HI[111] 0.000704304 +4 *1896:31 0.00090158 +5 *1896:27 0.00158992 +6 *1896:15 0.00209676 +7 *1896:12 0.00192034 +8 *4807:DIODE *2858:10 0 +9 *4807:DIODE *3450:6 3.6482e-05 +10 *1896:12 *1897:12 0.000443503 +11 *1896:12 *1898:15 0.000527528 +12 *1896:12 *2017:13 0.000210702 +13 *1896:12 *2020:22 4.31479e-05 +14 *1896:12 *2180:53 9.18353e-05 +15 *1896:12 *2875:21 0.00016989 +16 *1896:12 *2995:72 1.24189e-05 +17 *1896:15 *23404:A 0.000220183 +18 *1896:15 *1897:13 0.00161302 +19 *1896:15 *2587:27 0.000413266 +20 *1896:27 *5858:DIODE 7.3604e-05 +21 *1896:27 *23276:B 2.60422e-05 +22 *1896:27 *23404:A 1.15389e-05 +23 *1896:27 *1897:13 7.93041e-06 +24 *1896:27 *1897:20 1.75155e-06 +25 *1896:27 *2093:22 0.000937882 +26 *1896:27 *2717:21 0.000381559 +27 *1896:27 *2721:18 0 +28 *1896:31 *2010:20 0.000110701 +29 *1896:31 *2448:8 0 +30 *1896:31 *2858:33 0.000110217 +31 *1896:31 *3450:6 0.000276031 +32 *6115:DIODE *1896:27 0.000266846 +33 *23404:B *1896:15 0 +34 *1157:65 *1896:31 0 +35 *1393:13 *1896:27 0.000394812 +36 *1394:8 *1896:31 2.18741e-05 +37 *1523:5 *1896:27 6.50586e-05 +38 *1778:9 *4807:DIODE 0.000139226 +39 *1895:18 *1896:15 0.00234731 +40 *1895:28 *1896:27 8.62625e-06 +41 *1895:29 *1896:27 0.000975824 +*RES +1 *22570:HI[111] *1896:12 14.4053 +2 *1896:12 *1896:15 48.998 +3 *1896:15 *1896:27 46.6297 +4 *1896:27 *1896:31 21.7314 +5 *1896:31 *4807:DIODE 23.4032 +6 *1896:31 *22430:B 9.24915 +*END + +*D_NET *1897 0.0162771 +*CONN +*I *22431:B I *D sky130_fd_sc_hd__and2b_1 +*I *4809:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[112] O *D mprj_logic_high +*CAP +1 *22431:B 4.8251e-05 +2 *4809:DIODE 0.00013736 +3 *22570:HI[112] 0.000634637 +4 *1897:20 0.00124084 +5 *1897:13 0.00179828 +6 *1897:12 0.00137769 +7 *4809:DIODE *2148:26 6.50727e-05 +8 *4809:DIODE *2376:13 6.08467e-05 +9 *4809:DIODE *2721:18 0.000140069 +10 *22431:B *2374:29 4.0744e-05 +11 *1897:12 *1898:15 0.000174086 +12 *1897:12 *2002:16 0.000370819 +13 *1897:12 *2002:20 0.000788333 +14 *1897:12 *2180:53 0.000371751 +15 *1897:12 *2586:12 0 +16 *1897:12 *2870:23 0.000221585 +17 *1897:12 *2875:21 0.000177048 +18 *1897:13 *23276:B 6.35515e-05 +19 *1897:13 *23404:A 0.000421676 +20 *1897:13 *2587:27 0.000164815 +21 *1897:20 *5858:DIODE 2.41483e-05 +22 *1897:20 *22432:B 0 +23 *1897:20 *2717:21 2.15348e-05 +24 *1897:20 *2721:18 0.000964939 +25 *1897:20 *3122:15 0 +26 *6115:DIODE *1897:13 3.83336e-05 +27 *1268:14 *4809:DIODE 0 +28 *1268:14 *1897:20 0 +29 *1393:13 *1897:20 0.00027329 +30 *1393:17 *1897:13 0.0043841 +31 *1394:9 *22431:B 1.03403e-05 +32 *1523:5 *1897:13 2.16355e-05 +33 *1651:8 *1897:13 5.97576e-05 +34 *1651:8 *1897:20 0 +35 *1779:6 *4809:DIODE 9.96332e-05 +36 *1895:18 *1897:12 1.5714e-05 +37 *1896:12 *1897:12 0.000443503 +38 *1896:15 *1897:13 0.00161302 +39 *1896:27 *1897:13 7.93041e-06 +40 *1896:27 *1897:20 1.75155e-06 +*RES +1 *22570:HI[112] *1897:12 15.6182 +2 *1897:12 *1897:13 50.6377 +3 *1897:13 *1897:20 29.3668 +4 *1897:20 *4809:DIODE 17.5503 +5 *1897:20 *22431:B 15.0513 +*END + +*D_NET *1898 0.0125681 +*CONN +*I *4811:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22432:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[113] O *D mprj_logic_high +*CAP +1 *4811:DIODE 0 +2 *22432:B 0.000377925 +3 *22570:HI[113] 0.00222472 +4 *1898:17 0.00109281 +5 *1898:15 0.00293961 +6 *22432:B *2003:50 0.000457669 +7 *22432:B *3122:15 1.75682e-05 +8 *1898:15 *6142:DIODE 2.65667e-05 +9 *1898:15 *1997:24 2.35405e-05 +10 *1898:15 *2003:38 0.00151457 +11 *1898:15 *2003:50 0.000144196 +12 *1898:15 *2020:22 0.000474491 +13 *1898:15 *2180:53 5.82658e-05 +14 *1898:15 *2586:12 4.22196e-05 +15 *1898:15 *2870:23 3.88655e-06 +16 *1898:17 *2003:50 0.00192991 +17 *23418:B *1898:15 2.93579e-05 +18 *1537:5 *1898:15 9.80242e-07 +19 *1651:8 *22432:B 2.17058e-05 +20 *1665:12 *1898:15 1.40978e-05 +21 *1895:18 *1898:15 0.00047235 +22 *1896:12 *1898:15 0.000527528 +23 *1897:12 *1898:15 0.000174086 +24 *1897:20 *22432:B 0 +*RES +1 *22570:HI[113] *1898:15 39.7384 +2 *1898:15 *1898:17 21.2437 +3 *1898:17 *22432:B 26.9024 +4 *1898:17 *4811:DIODE 9.24915 +*END + +*D_NET *1899 0.00802398 +*CONN +*I *4815:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22434:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[114] O *D mprj_logic_high +*CAP +1 *4815:DIODE 0.000177714 +2 *22434:B 0 +3 *22570:HI[114] 0.0022612 +4 *1899:13 0.000728198 +5 *1899:9 0.00281168 +6 *4815:DIODE *1901:18 0.000221357 +7 *4815:DIODE *1907:11 8.6297e-06 +8 *1899:9 *1901:10 0.000266656 +9 *1899:9 *1927:16 9.34912e-05 +10 *1899:9 *2603:20 0 +11 *1899:13 *1901:18 8.56804e-05 +12 *1899:13 *1907:11 4.31485e-06 +13 *1899:13 *3040:27 7.10185e-05 +14 *23520:A *1899:13 0.000107496 +15 *1292:6 *1899:9 0.000250845 +16 *1410:13 *1899:13 1.41853e-05 +17 *1410:17 *1899:13 0.00062178 +18 *1415:11 *1899:9 9.14208e-05 +19 *1782:9 *4815:DIODE 0.000208322 +*RES +1 *22570:HI[114] *1899:9 46.2206 +2 *1899:9 *1899:13 14.8198 +3 *1899:13 *22434:B 13.7491 +4 *1899:13 *4815:DIODE 18.9032 +*END + +*D_NET *1900 0.0313022 +*CONN +*I *22435:B I *D sky130_fd_sc_hd__and2b_1 +*I *4817:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[115] O *D mprj_logic_high +*CAP +1 *22435:B 0 +2 *4817:DIODE 0.00028184 +3 *22570:HI[115] 0.00148779 +4 *1900:24 0.00198207 +5 *1900:19 0.00319287 +6 *1900:10 0.00351212 +7 *1900:9 0.00350727 +8 *4817:DIODE *2578:6 4.8451e-05 +9 *4817:DIODE *2864:19 2.41274e-06 +10 *4817:DIODE *3188:42 2.1203e-06 +11 *4817:DIODE *3471:10 0 +12 *1900:9 *1904:15 0 +13 *1900:9 *1907:7 0.000197228 +14 *1900:9 *1907:11 0.000817305 +15 *1900:9 *1924:13 1.56988e-05 +16 *1900:9 *2030:17 6.79721e-05 +17 *1900:9 *2085:20 1.27831e-06 +18 *1900:9 *2165:17 0.000641454 +19 *1900:9 *2169:22 5.26361e-07 +20 *1900:9 *2329:55 0.000119808 +21 *1900:10 *3794:DIODE 0.000145411 +22 *1900:10 *23516:TE 0.000419696 +23 *1900:10 *1923:20 0.00156137 +24 *1900:10 *1926:10 0.000674485 +25 *1900:10 *1929:20 0.00256332 +26 *1900:10 *2877:43 0.000260325 +27 *1900:10 *3113:29 5.00593e-05 +28 *1900:10 *3113:47 0.000148077 +29 *1900:10 *3389:22 0.00028953 +30 *1900:10 *3389:25 0.00264072 +31 *1900:10 *3391:7 0.000793577 +32 *1900:10 *3394:23 0.000185017 +33 *1900:19 *2394:18 4.42987e-06 +34 *1900:19 *2795:15 0.00113523 +35 *1900:19 *2866:33 3.00073e-05 +36 *1900:19 *3096:40 0 +37 *1900:19 *3389:22 9.36879e-05 +38 *1900:24 *2864:19 4.02438e-05 +39 *1900:24 *2864:25 4.26431e-05 +40 *1900:24 *2864:35 7.99301e-05 +41 *23516:A *1900:10 0.00011818 +42 *1381:15 *4817:DIODE 6.50727e-05 +43 *1381:15 *1900:24 0.000497194 +44 *1388:9 *1900:24 0.00330697 +45 *1409:11 *1900:10 0.000213725 +46 *1411:10 *1900:10 6.50727e-05 +*RES +1 *22570:HI[115] *1900:9 37.9156 +2 *1900:9 *1900:10 85.855 +3 *1900:10 *1900:19 34.5956 +4 *1900:19 *1900:24 49.9867 +5 *1900:24 *4817:DIODE 24.1266 +6 *1900:24 *22435:B 9.24915 +*END + +*D_NET *1901 0.0281191 +*CONN +*I *22436:B I *D sky130_fd_sc_hd__and2b_1 +*I *4819:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[116] O *D mprj_logic_high +*CAP +1 *22436:B 2.18282e-05 +2 *4819:DIODE 7.75767e-05 +3 *22570:HI[116] 0.00204761 +4 *1901:28 0.00305468 +5 *1901:22 0.00579695 +6 *1901:18 0.00429203 +7 *1901:10 0.00349797 +8 *4819:DIODE *3093:30 7.50872e-05 +9 *1901:10 *21608:A 0.000101873 +10 *1901:10 *1902:12 0.000148488 +11 *1901:10 *1904:15 0 +12 *1901:10 *1923:20 0.000669986 +13 *1901:10 *2169:11 0.000133562 +14 *1901:10 *2197:31 3.3151e-05 +15 *1901:10 *2881:29 0.00051577 +16 *1901:18 *1907:11 4.64205e-05 +17 *1901:18 *1909:7 6.01422e-05 +18 *1901:18 *2175:24 5.45571e-05 +19 *1901:18 *2454:76 0.000157304 +20 *1901:18 *2863:42 0.000205101 +21 *1901:18 *3040:27 5.34805e-06 +22 *1901:18 *3116:39 9.80784e-05 +23 *1901:18 *3151:45 0.00228259 +24 *1901:22 *3121:18 0.000101365 +25 *1901:22 *3151:45 0.00191913 +26 *1901:28 *2865:34 7.36794e-05 +27 *1901:28 *2865:51 0.001182 +28 *1901:28 *3151:45 0.000514243 +29 *1901:28 *3151:55 4.70494e-05 +30 *4815:DIODE *1901:18 0.000221357 +31 *23520:A *1901:18 0.000184508 +32 *1272:10 *4819:DIODE 1.43848e-05 +33 *1272:10 *1901:28 2.137e-05 +34 *1388:13 *1901:10 5.99529e-06 +35 *1782:9 *1901:18 2.68948e-05 +36 *1784:9 *4819:DIODE 7.86847e-05 +37 *1899:9 *1901:10 0.000266656 +38 *1899:13 *1901:18 8.56804e-05 +*RES +1 *22570:HI[116] *1901:10 47.3705 +2 *1901:10 *1901:18 49.6868 +3 *1901:18 *1901:22 48.7207 +4 *1901:22 *1901:28 49.7214 +5 *1901:28 *4819:DIODE 20.3893 +6 *1901:28 *22436:B 9.82786 +*END + +*D_NET *1902 0.034601 +*CONN +*I *22437:B I *D sky130_fd_sc_hd__and2b_1 +*I *4821:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[117] O *D mprj_logic_high +*CAP +1 *22437:B 0 +2 *4821:DIODE 0.000236429 +3 *22570:HI[117] 0.00342568 +4 *1902:19 0.00234848 +5 *1902:12 0.00553773 +6 *4821:DIODE *2394:18 0 +7 *4821:DIODE *3096:40 9.66634e-05 +8 *1902:12 *1904:15 0.0013361 +9 *1902:12 *1935:24 0.00324848 +10 *1902:12 *2169:11 0.000440243 +11 *1902:12 *2175:24 0.00271645 +12 *1902:12 *2197:31 0.000104216 +13 *1902:12 *3141:15 0.00580654 +14 *1902:19 *23516:TE 3.74542e-05 +15 *1902:19 *1927:33 0.0015168 +16 *1902:19 *1942:17 0.000184252 +17 *1902:19 *2333:14 0.00529296 +18 *1902:19 *2343:8 0.00155536 +19 *1902:19 *2851:8 4.22029e-05 +20 *1902:19 *2866:33 0 +21 *1902:19 *3096:40 0.000154715 +22 *1283:6 *1902:19 1.27831e-06 +23 *1785:8 *1902:19 0.000370496 +24 *1901:10 *1902:12 0.000148488 +*RES +1 *22570:HI[117] *1902:12 47.5023 +2 *1902:12 *1902:19 27.8917 +3 *1902:19 *4821:DIODE 18.9032 +4 *1902:19 *22437:B 13.7491 +*END + +*D_NET *1903 0.0251799 +*CONN +*I *4823:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22438:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[118] O *D mprj_logic_high +*CAP +1 *4823:DIODE 6.02471e-05 +2 *22438:B 0 +3 *22570:HI[118] 0.0023326 +4 *1903:15 0.000484102 +5 *1903:8 0.00690461 +6 *1903:7 0.00881336 +7 *4823:DIODE *2651:8 2.07943e-05 +8 *4823:DIODE *2867:34 2.75568e-05 +9 *1903:7 *1911:33 1.5714e-05 +10 *1903:7 *1912:20 2.16573e-05 +11 *1903:7 *1918:7 0 +12 *1903:7 *1921:7 0.000266048 +13 *1903:7 *1925:27 0 +14 *1903:7 *2155:23 0 +15 *1903:7 *2176:30 1.49935e-05 +16 *1903:7 *2600:26 0 +17 *1903:7 *2881:20 7.12632e-06 +18 *1903:7 *2881:29 0 +19 *1903:8 *3793:DIODE 0.000193289 +20 *1903:8 *22439:B 6.08467e-05 +21 *1903:8 *1904:26 0.00308591 +22 *1903:8 *1906:10 0.00073753 +23 *1903:8 *2876:42 5.07314e-05 +24 *1903:8 *3382:15 0.000912918 +25 *1903:15 *2013:31 0 +26 *1903:15 *2651:8 4.20184e-06 +27 *1903:15 *2829:20 1.2639e-05 +28 *1903:15 *2867:12 0.000445401 +29 *1903:15 *2867:34 4.8247e-06 +30 *1399:10 *1903:15 0.000151199 +31 *1410:13 *1903:7 1.12792e-05 +32 *1411:11 *1903:8 0.000124975 +33 *1413:9 *1903:8 0.000415306 +*RES +1 *22570:HI[118] *1903:7 44.2421 +2 *1903:7 *1903:8 106.653 +3 *1903:8 *1903:15 15.1256 +4 *1903:15 *22438:B 13.7491 +5 *1903:15 *4823:DIODE 15.5811 +*END + +*D_NET *1904 0.0247049 +*CONN +*I *4825:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22439:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[119] O *D mprj_logic_high +*CAP +1 *4825:DIODE 0 +2 *22439:B 0.000127477 +3 *22570:HI[119] 0.00180413 +4 *1904:26 0.000747651 +5 *1904:20 0.00167087 +6 *1904:18 0.00144151 +7 *1904:15 0.00219494 +8 *22439:B *2868:21 9.83674e-06 +9 *22439:B *3380:32 4.41655e-05 +10 *22439:B *3382:15 6.08467e-05 +11 *1904:15 *1907:11 0 +12 *1904:15 *1924:13 2.78668e-05 +13 *1904:15 *1930:11 4.51812e-05 +14 *1904:15 *1948:43 5.53437e-05 +15 *1904:15 *2030:17 1.40614e-05 +16 *1904:15 *2085:20 5.23191e-05 +17 *1904:15 *2157:17 0.000136357 +18 *1904:15 *2165:17 0 +19 *1904:15 *3143:17 6.74182e-05 +20 *1904:18 *2881:29 0.00175026 +21 *1904:20 *3796:DIODE 0.000251108 +22 *1904:20 *3800:DIODE 0.000307037 +23 *1904:20 *21610:A 0.000504412 +24 *1904:20 *1925:27 0.000197023 +25 *1904:20 *1929:14 0.000406745 +26 *1904:20 *2866:46 0.000219844 +27 *1904:20 *2879:41 0.000708096 +28 *1904:26 *1906:10 0.000505511 +29 *1904:26 *2483:12 0.000194765 +30 *1904:26 *3119:18 0 +31 *1904:26 *3382:15 0.00126965 +32 *1904:26 *3383:25 0.000611234 +33 *4585:DIODE *1904:20 0.00021435 +34 *22318:A *1904:20 0.000167692 +35 *22318:TE *1904:26 2.348e-05 +36 *22319:A *1904:20 0.000224381 +37 *1381:31 *1904:20 0.00281442 +38 *1388:13 *1904:18 0.000747695 +39 *1388:13 *1904:20 0.000136528 +40 *1417:8 *1904:20 0.000312951 +41 *1787:8 *22439:B 0.000154901 +42 *1900:9 *1904:15 0 +43 *1901:10 *1904:15 0 +44 *1902:12 *1904:15 0.0013361 +45 *1903:8 *22439:B 6.08467e-05 +46 *1903:8 *1904:26 0.00308591 +*RES +1 *22570:HI[119] *1904:15 41.8971 +2 *1904:15 *1904:18 21.1232 +3 *1904:18 *1904:20 51.7469 +4 *1904:20 *1904:26 47.7384 +5 *1904:26 *22439:B 22.4655 +6 *1904:26 *4825:DIODE 9.24915 +*END + +*D_NET *1905 0.0655148 +*CONN +*I *22514:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4971:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[11] O *D mprj_logic_high +*CAP +1 *22514:TE 0 +2 *4971:DIODE 7.24466e-05 +3 *22570:HI[11] 0.00176308 +4 *1905:55 0.00203263 +5 *1905:48 0.00773092 +6 *1905:46 0.00582912 +7 *1905:40 0.00335594 +8 *1905:39 0.00384356 +9 *1905:31 0.00230908 +10 *4971:DIODE *2396:6 0 +11 *4971:DIODE *2898:12 5.88662e-05 +12 *1905:31 *1909:5 6.67835e-06 +13 *1905:31 *1910:13 0.000183366 +14 *1905:31 *1911:18 5.25531e-05 +15 *1905:31 *1919:22 0.000197026 +16 *1905:31 *1924:7 3.57291e-06 +17 *1905:31 *1925:18 3.63738e-05 +18 *1905:31 *2135:20 0.000206449 +19 *1905:31 *2156:25 5.88009e-05 +20 *1905:31 *2164:26 0.00197153 +21 *1905:31 *2166:10 0.00031128 +22 *1905:31 *2167:24 6.2622e-06 +23 *1905:31 *2169:22 0.000319185 +24 *1905:31 *2188:19 1.9101e-05 +25 *1905:31 *2196:25 6.17209e-06 +26 *1905:31 *2325:16 0.000158469 +27 *1905:31 *2887:18 0.000211993 +28 *1905:31 *3410:13 0.00198724 +29 *1905:39 *1927:24 0.00148681 +30 *1905:39 *1934:7 8.25452e-06 +31 *1905:39 *1942:13 6.22114e-05 +32 *1905:39 *2163:25 0.000750563 +33 *1905:39 *2163:32 0.000261009 +34 *1905:39 *2168:8 0.000150611 +35 *1905:39 *2183:14 0.000188963 +36 *1905:39 *2183:23 5.4134e-05 +37 *1905:39 *2336:8 0.00109 +38 *1905:40 *4794:DIODE 1.19856e-05 +39 *1905:40 *22421:A_N 4.26431e-05 +40 *1905:40 *22423:A_N 6.50586e-05 +41 *1905:40 *22424:A_N 0.000111708 +42 *1905:40 *2183:24 0.00787445 +43 *1905:40 *2188:20 0.00239336 +44 *1905:40 *2337:26 0.000499213 +45 *1905:40 *2850:33 2.59398e-05 +46 *1905:48 *4763:DIODE 6.08467e-05 +47 *1905:48 *4772:DIODE 9.19886e-06 +48 *1905:48 *21445:A 0.000122378 +49 *1905:48 *22413:B 1.03403e-05 +50 *1905:48 *23386:A 6.50586e-05 +51 *1905:48 *2336:17 0.000202055 +52 *1905:48 *2555:9 2.63143e-05 +53 *1905:48 *2835:9 0.000183129 +54 *1905:48 *2835:15 6.75302e-05 +55 *1905:48 *2835:28 0.00102249 +56 *1905:48 *2839:11 9.18559e-06 +57 *1905:48 *2842:24 0.00016804 +58 *1905:48 *2862:23 0.000379505 +59 *1905:48 *3258:7 7.48797e-05 +60 *1905:55 *4791:DIODE 1.03403e-05 +61 *1905:55 *2396:6 0 +62 *1905:55 *2898:12 9.634e-05 +63 *4787:DIODE *1905:40 6.99486e-05 +64 *6079:DIODE *1905:48 3.58208e-05 +65 *23140:A *1905:40 9.14505e-05 +66 *23140:A *1905:46 5.82343e-05 +67 *1169:88 *1905:40 0.00151416 +68 *1171:26 *1905:31 0.000165759 +69 *1249:10 *1905:48 0.000434578 +70 *1406:9 *1905:40 0.000217701 +71 *1441:15 *1905:40 0.000208853 +72 *1441:15 *1905:46 0.000122378 +73 *1441:15 *1905:48 0.00115344 +74 *1441:24 *1905:40 0.0020309 +75 *1633:11 *1905:48 3.99086e-06 +76 *1643:13 *1905:40 0.00192358 +77 *1761:8 *1905:48 0.000169041 +78 *1769:11 *1905:40 0.000372509 +79 *1886:23 *1905:40 0.00603699 +80 *1886:27 *1905:40 0.000589196 +*RES +1 *22570:HI[11] *1905:31 43.0545 +2 *1905:31 *1905:39 36.8373 +3 *1905:39 *1905:40 178.197 +4 *1905:40 *1905:46 2.84536 +5 *1905:46 *1905:48 140.206 +6 *1905:48 *1905:55 49.9191 +7 *1905:55 *4971:DIODE 15.9964 +8 *1905:55 *22514:TE 13.7491 +*END + +*D_NET *1906 0.0176643 +*CONN +*I *4827:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22440:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[120] O *D mprj_logic_high +*CAP +1 *4827:DIODE 5.39662e-06 +2 *22440:B 3.66258e-05 +3 *22570:HI[120] 0.0018875 +4 *1906:18 0.0001331 +5 *1906:10 0.00171514 +6 *1906:9 0.00351157 +7 *22440:B *3029:34 9.83674e-06 +8 *1906:9 *22521:TE 0.000100106 +9 *1906:9 *1911:18 0.000634353 +10 *1906:9 *1913:5 0.000346225 +11 *1906:9 *1932:47 5.26361e-07 +12 *1906:9 *1947:33 1.10752e-05 +13 *1906:9 *2158:11 0.000113916 +14 *1906:9 *2196:25 1.67521e-05 +15 *1906:9 *2887:18 1.9101e-05 +16 *1906:9 *2887:33 0.000200613 +17 *1906:10 *3793:DIODE 0.00045001 +18 *1906:10 *22456:B 6.53173e-05 +19 *1906:10 *1921:8 0.000193916 +20 *1906:10 *2876:42 0.00011818 +21 *1906:10 *3383:25 0.000217951 +22 *1906:18 *2483:11 0.000103712 +23 *1906:18 *2870:34 6.34564e-05 +24 *1906:18 *3108:9 0.000254613 +25 *1906:18 *3162:52 2.19138e-05 +26 *1383:15 *1906:9 5.61734e-05 +27 *1410:7 *1906:10 0.00409829 +28 *1411:11 *1906:10 0.00170349 +29 *1671:17 *1906:9 0.00015014 +30 *1788:8 *4827:DIODE 1.3023e-05 +31 *1788:8 *22440:B 6.56617e-05 +32 *1883:22 *4827:DIODE 1.91391e-05 +33 *1883:22 *22440:B 8.44094e-05 +34 *1903:8 *1906:10 0.00073753 +35 *1904:26 *1906:10 0.000505511 +*RES +1 *22570:HI[120] *1906:9 43.7291 +2 *1906:9 *1906:10 74.4857 +3 *1906:10 *1906:18 12.8025 +4 *1906:18 *22440:B 15.3735 +5 *1906:18 *4827:DIODE 14.1278 +*END + +*D_NET *1907 0.0186081 +*CONN +*I *4829:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22441:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[121] O *D mprj_logic_high +*CAP +1 *4829:DIODE 0 +2 *22441:B 0.000270731 +3 *22570:HI[121] 0.000870179 +4 *1907:22 0.000397972 +5 *1907:16 0.00208702 +6 *1907:14 0.00281889 +7 *1907:11 0.00253936 +8 *1907:7 0.00255043 +9 *22441:B *2818:8 0 +10 *22441:B *2873:18 8.85887e-05 +11 *22441:B *3100:20 6.21167e-05 +12 *1907:7 *1909:7 0 +13 *1907:7 *2155:13 1.05272e-06 +14 *1907:7 *2156:16 2.33103e-06 +15 *1907:7 *2169:22 0.000106957 +16 *1907:7 *2319:13 1.30978e-05 +17 *1907:11 *1909:7 0 +18 *1907:11 *1925:33 4.09243e-05 +19 *1907:11 *1939:29 0.000171941 +20 *1907:11 *2175:24 0 +21 *1907:11 *2320:35 6.3786e-05 +22 *1907:11 *3040:27 7.69987e-05 +23 *1907:11 *3127:11 8.6297e-06 +24 *1907:11 *3143:17 0 +25 *1907:11 *3396:6 0.000544754 +26 *1907:11 *3413:21 6.74182e-05 +27 *1907:14 *22450:A_N 3.41459e-05 +28 *1907:14 *22450:B 5.20546e-06 +29 *1907:16 *6341:DIODE 0.000171288 +30 *1907:16 *22450:A_N 1.43983e-05 +31 *1907:16 *1920:19 0.000438062 +32 *1907:16 *2862:15 0.000941817 +33 *1907:16 *3392:7 0.000377134 +34 *1907:22 *2818:8 0.000122834 +35 *1907:22 *2873:18 5.95048e-05 +36 *4572:DIODE *1907:16 0.000171288 +37 *4586:DIODE *1907:14 0.00015511 +38 *4815:DIODE *1907:11 8.6297e-06 +39 *22313:TE *1907:16 0.000110306 +40 *22313:TE *1907:22 4.90621e-05 +41 *23517:A *1907:16 3.6455e-05 +42 *1277:11 *1907:16 0.000266846 +43 *1284:19 *1907:14 0.000913637 +44 *1284:19 *1907:16 0.000306677 +45 *1380:29 *22441:B 1.92336e-05 +46 *1392:36 *1907:11 1.54479e-05 +47 *1409:10 *1907:11 2.42671e-05 +48 *1782:9 *1907:11 0.000411635 +49 *1789:6 *22441:B 4.15439e-05 +50 *1789:6 *1907:22 6.51637e-05 +51 *1899:13 *1907:11 4.31485e-06 +52 *1900:9 *1907:7 0.000197228 +53 *1900:9 *1907:11 0.000817305 +54 *1901:18 *1907:11 4.64205e-05 +55 *1904:15 *1907:11 0 +*RES +1 *22570:HI[121] *1907:7 15.5597 +2 *1907:7 *1907:11 44.1199 +3 *1907:11 *1907:14 14.8778 +4 *1907:14 *1907:16 62.2844 +5 *1907:16 *1907:22 12.3859 +6 *1907:22 *22441:B 23.4354 +7 *1907:22 *4829:DIODE 9.24915 +*END + +*D_NET *1908 0.0148236 +*CONN +*I *22442:B I *D sky130_fd_sc_hd__and2b_1 +*I *4831:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[122] O *D mprj_logic_high +*CAP +1 *22442:B 0 +2 *4831:DIODE 9.51983e-05 +3 *22570:HI[122] 0.000389986 +4 *1908:14 0.000343654 +5 *1908:8 0.000940643 +6 *1908:7 0.00108217 +7 *4831:DIODE *1949:16 6.50727e-05 +8 *4831:DIODE *2160:22 6.92705e-05 +9 *4831:DIODE *2583:20 1.66923e-05 +10 *4831:DIODE *2871:38 1.66923e-05 +11 *1908:7 *1931:7 5.12666e-05 +12 *1908:7 *1933:7 0.00037176 +13 *1908:8 *2160:14 0.00424357 +14 *1908:8 *2340:10 0.00424131 +15 *1908:14 *1949:16 0.00140866 +16 *1908:14 *2013:20 4.15661e-05 +17 *1908:14 *2160:14 0.000863181 +18 *1908:14 *2160:22 0.000479106 +19 *1908:14 *3029:34 4.49767e-05 +20 *1393:32 *1908:7 5.88009e-05 +*RES +1 *22570:HI[122] *1908:7 13.0982 +2 *1908:7 *1908:8 47.8647 +3 *1908:8 *1908:14 25.6992 +4 *1908:14 *4831:DIODE 20.8045 +5 *1908:14 *22442:B 9.24915 +*END + +*D_NET *1909 0.0143353 +*CONN +*I *4833:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22443:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[123] O *D mprj_logic_high +*CAP +1 *4833:DIODE 0 +2 *22443:B 9.04006e-05 +3 *22570:HI[123] 0.000117258 +4 *1909:20 0.000559852 +5 *1909:7 0.00398952 +6 *1909:5 0.00363732 +7 *22443:B *2383:18 0.000131559 +8 *22443:B *2450:47 6.08467e-05 +9 *22443:B *3110:18 0.000127946 +10 *1909:7 *21481:A 6.29351e-05 +11 *1909:7 *23521:TE 1.24189e-05 +12 *1909:7 *1910:13 0 +13 *1909:7 *1926:19 0.000176749 +14 *1909:7 *1939:29 0 +15 *1909:7 *2028:17 2.12087e-05 +16 *1909:7 *2155:13 0.000201204 +17 *1909:7 *2156:16 1.91246e-05 +18 *1909:7 *2169:22 1.85274e-05 +19 *1909:7 *2175:24 0.000129353 +20 *1909:7 *2182:16 9.59822e-06 +21 *1909:7 *2197:31 0.000167017 +22 *1909:7 *2319:13 8.90266e-05 +23 *1909:7 *2439:22 2.55661e-06 +24 *1909:7 *2454:76 0.000965395 +25 *1909:7 *2599:18 1.91391e-05 +26 *1909:7 *2863:24 4.69495e-06 +27 *1909:7 *2863:41 7.68432e-05 +28 *1909:7 *2929:9 0 +29 *1909:7 *3116:39 0.000101619 +30 *1909:7 *3284:30 0.000526653 +31 *1909:7 *3400:8 0.000198415 +32 *1909:7 *3400:13 0.000598302 +33 *1909:20 *21601:A 2.55432e-05 +34 *1909:20 *2450:47 0.000197295 +35 *1909:20 *2872:31 3.5534e-06 +36 *1909:20 *2872:38 2.51488e-05 +37 *1909:20 *2918:12 0 +38 *1909:20 *3095:17 0.000665873 +39 *1909:20 *3121:22 3.55859e-05 +40 *1909:20 *3122:19 0.00067007 +41 *23520:A *1909:7 0.000187984 +42 *1279:5 *22443:B 6.08467e-05 +43 *1279:5 *1909:20 0.000207266 +44 *1279:11 *1909:20 2.33103e-06 +45 *1392:36 *1909:7 5.11322e-06 +46 *1409:10 *1909:7 6.63534e-05 +47 *1901:18 *1909:7 6.01422e-05 +48 *1905:31 *1909:5 6.67835e-06 +49 *1907:7 *1909:7 0 +50 *1907:11 *1909:7 0 +*RES +1 *22570:HI[123] *1909:5 1.20912 +2 *1909:5 *1909:7 75.9545 +3 *1909:7 *1909:20 29.2015 +4 *1909:20 *22443:B 21.635 +5 *1909:20 *4833:DIODE 9.24915 +*END + +*D_NET *1910 0.0167377 +*CONN +*I *4837:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22445:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[124] O *D mprj_logic_high +*CAP +1 *4837:DIODE 0 +2 *22445:B 0.000214499 +3 *22570:HI[124] 0.00183924 +4 *1910:14 0.00102776 +5 *1910:13 0.0026525 +6 *22445:B *1916:10 6.36477e-05 +7 *22445:B *1943:7 1.26168e-05 +8 *22445:B *1950:14 0 +9 *22445:B *1952:17 0 +10 *22445:B *2875:38 0.000111722 +11 *22445:B *3119:18 0.000166156 +12 *1910:13 *23424:A 4.67545e-05 +13 *1910:13 *1911:18 1.91746e-05 +14 *1910:13 *1921:7 5.01835e-05 +15 *1910:13 *1922:11 5.46889e-05 +16 *1910:13 *2155:23 0.00116199 +17 *1910:13 *2328:30 0.00169578 +18 *1910:13 *2330:30 0.000139016 +19 *1910:13 *2881:20 0.000129091 +20 *1910:14 *4852:DIODE 0.00040737 +21 *1910:14 *23421:A 0.000404561 +22 *1910:14 *1911:37 0.000412749 +23 *1910:14 *1925:18 0.000106633 +24 *1910:14 *1938:11 0.00155714 +25 *1910:14 *1944:10 0.000511482 +26 *1910:14 *1946:19 0.0013068 +27 *1910:14 *1946:22 0.00115298 +28 *1910:14 *1948:32 0.000149946 +29 *1910:14 *3251:35 0.000111997 +30 *1384:22 *1910:14 0.00103097 +31 *1392:13 *22445:B 1.19856e-05 +32 *1392:23 *22445:B 4.89898e-06 +33 *1905:31 *1910:13 0.000183366 +34 *1909:7 *1910:13 0 +*RES +1 *22570:HI[124] *1910:13 34.8854 +2 *1910:13 *1910:14 48.9739 +3 *1910:14 *22445:B 24.2687 +4 *1910:14 *4837:DIODE 9.24915 +*END + +*D_NET *1911 0.0170524 +*CONN +*I *4839:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22446:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[125] O *D mprj_logic_high +*CAP +1 *4839:DIODE 0.000116153 +2 *22446:B 0 +3 *22570:HI[125] 0.00113505 +4 *1911:37 0.00181414 +5 *1911:33 0.00232539 +6 *1911:18 0.00176245 +7 *4839:DIODE *1952:17 4.6012e-05 +8 *4839:DIODE *3119:18 0 +9 *1911:18 *1913:5 0.000637764 +10 *1911:18 *2178:8 0.000250244 +11 *1911:18 *2196:25 0.000312627 +12 *1911:18 *2318:42 0.000549467 +13 *1911:18 *2337:10 0.000247443 +14 *1911:18 *2344:30 0.000256037 +15 *1911:18 *2344:44 0.000286064 +16 *1911:18 *2383:14 0.000161262 +17 *1911:33 *1926:10 0.000132548 +18 *1911:33 *1935:13 0.000126505 +19 *1911:33 *2324:24 0.002043 +20 *1911:33 *2344:44 0.00204581 +21 *1911:37 *23421:A 6.50727e-05 +22 *1911:37 *1944:10 0.000508686 +23 *1911:37 *1952:17 0.000138635 +24 *4596:DIODE *1911:33 1.5714e-05 +25 *4984:DIODE *1911:18 0.000111708 +26 *1384:22 *1911:37 0.000774084 +27 *1387:27 *1911:37 2.80017e-05 +28 *1387:30 *4839:DIODE 0 +29 *1794:6 *4839:DIODE 2.7973e-05 +30 *1903:7 *1911:33 1.5714e-05 +31 *1905:31 *1911:18 5.25531e-05 +32 *1906:9 *1911:18 0.000634353 +33 *1910:13 *1911:18 1.91746e-05 +34 *1910:14 *1911:37 0.000412749 +*RES +1 *22570:HI[125] *1911:18 47.7344 +2 *1911:18 *1911:33 46.5989 +3 *1911:33 *1911:37 33.8129 +4 *1911:37 *22446:B 13.7491 +5 *1911:37 *4839:DIODE 16.3139 +*END + +*D_NET *1912 0.0117883 +*CONN +*I *4841:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22447:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[126] O *D mprj_logic_high +*CAP +1 *4841:DIODE 0.000107643 +2 *22447:B 0 +3 *22570:HI[126] 0.00292056 +4 *1912:23 0.000161333 +5 *1912:20 0.00297424 +6 *4841:DIODE *1940:19 7.3747e-05 +7 *4841:DIODE *1944:17 7.94462e-05 +8 *4841:DIODE *3115:24 6.08467e-05 +9 *1912:20 *3668:DIODE 0.000108217 +10 *1912:20 *1926:10 0.000177057 +11 *1912:20 *1933:7 7.42758e-05 +12 *1912:20 *2085:20 0.00114987 +13 *1912:20 *2155:23 6.16595e-06 +14 *1912:20 *2192:25 7.92119e-05 +15 *1912:20 *2318:46 0.00113881 +16 *1912:20 *2332:48 4.73072e-05 +17 *1912:20 *2595:20 5.4678e-05 +18 *1912:20 *2598:20 0.000882724 +19 *1912:20 *2879:33 5.60804e-05 +20 *1912:23 *1940:19 8.76793e-05 +21 *1912:23 *1944:17 8.69059e-05 +22 *1169:63 *1912:20 2.1203e-06 +23 *1171:26 *1912:20 0.00117974 +24 *1285:10 *1912:20 0.000257999 +25 *1903:7 *1912:20 2.16573e-05 +*RES +1 *22570:HI[126] *1912:20 48.5371 +2 *1912:20 *1912:23 6.332 +3 *1912:23 *22447:B 13.7491 +4 *1912:23 *4841:DIODE 16.3045 +*END + +*D_NET *1913 0.00741992 +*CONN +*I *22448:B I *D sky130_fd_sc_hd__and2b_1 +*I *4843:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[127] O *D mprj_logic_high +*CAP +1 *22448:B 0.000201646 +2 *4843:DIODE 4.18856e-05 +3 *22570:HI[127] 0.00214761 +4 *1913:5 0.00239115 +5 *4843:DIODE *2866:47 6.50727e-05 +6 *22448:B *1928:28 3.83492e-06 +7 *22448:B *2887:33 3.40894e-05 +8 *22448:B *3121:18 2.32756e-05 +9 *1913:5 *1914:12 3.3239e-06 +10 *1913:5 *1932:47 0.000151032 +11 *1913:5 *2200:25 3.02981e-05 +12 *1913:5 *2470:46 0.000126192 +13 *1913:5 *2739:24 0.000481667 +14 *1913:5 *2887:33 2.5014e-05 +15 *1913:5 *3111:26 0.000246827 +16 *1287:9 *1913:5 5.64899e-05 +17 *1404:24 *22448:B 1.12969e-05 +18 *1404:24 *1913:5 2.00098e-05 +19 *1804:9 *1913:5 0.000375214 +20 *1906:9 *1913:5 0.000346225 +21 *1911:18 *1913:5 0.000637764 +*RES +1 *22570:HI[127] *1913:5 47.6319 +2 *1913:5 *4843:DIODE 14.4725 +3 *1913:5 *22448:B 17.6574 +*END + +*D_NET *1914 0.00650314 +*CONN +*I *4845:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22449:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[128] O *D mprj_logic_high +*CAP +1 *4845:DIODE 8.13058e-05 +2 *22449:B 1.35898e-05 +3 *22570:HI[128] 0.00107447 +4 *1914:12 0.00116937 +5 *4845:DIODE *1928:17 7.94607e-05 +6 *4845:DIODE *2879:41 2.51591e-05 +7 *22449:B *1943:8 6.08467e-05 +8 *1914:12 *23296:B 1.47978e-05 +9 *1914:12 *1915:7 0.000671977 +10 *1914:12 *1927:16 2.59398e-05 +11 *1914:12 *1928:17 0.000154145 +12 *1914:12 *1932:47 0.000227096 +13 *1914:12 *1943:8 0.00195547 +14 *1914:12 *2160:13 0.000234573 +15 *1914:12 *2739:24 3.57363e-05 +16 *1392:23 *22449:B 1.39864e-05 +17 *1392:30 *22449:B 6.98337e-06 +18 *1392:30 *1914:12 0.00065491 +19 *1913:5 *1914:12 3.3239e-06 +*RES +1 *22570:HI[128] *1914:12 43.2579 +2 *1914:12 *22449:B 9.97254 +3 *1914:12 *4845:DIODE 20.0811 +*END + +*D_NET *1915 0.00879483 +*CONN +*I *4847:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22450:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[129] O *D mprj_logic_high +*CAP +1 *4847:DIODE 0.000160625 +2 *22450:B 2.52522e-05 +3 *22570:HI[129] 0.000104392 +4 *1915:7 0.00291074 +5 *1915:5 0.00282926 +6 *4847:DIODE *2880:43 9.71543e-06 +7 *4847:DIODE *3121:22 7.60356e-05 +8 *4847:DIODE *3130:23 0.000110306 +9 *1915:7 *3670:DIODE 1.5714e-05 +10 *1915:7 *21480:A 1.88878e-05 +11 *1915:7 *22456:B 8.22553e-05 +12 *1915:7 *1917:7 1.53397e-05 +13 *1915:7 *1927:16 7.22263e-05 +14 *1915:7 *1928:28 3.29488e-05 +15 *1915:7 *1932:47 5.26361e-07 +16 *1915:7 *2157:23 4.15661e-05 +17 *1915:7 *2200:25 2.78668e-05 +18 *1915:7 *2383:14 0.000463973 +19 *1915:7 *2383:18 0 +20 *1915:7 *2470:46 0.000208326 +21 *1915:7 *2598:20 0.000162847 +22 *1915:7 *2878:25 7.22263e-05 +23 *1915:7 *2880:20 7.44425e-06 +24 *1915:7 *2880:43 1.84491e-05 +25 *1915:7 *3121:18 3.54812e-05 +26 *1915:7 *3121:22 9.93089e-05 +27 *1284:19 *22450:B 6.08467e-05 +28 *1287:9 *1915:7 0.000385478 +29 *1402:26 *4847:DIODE 7.09666e-06 +30 *1404:24 *1915:7 2.91863e-05 +31 *1799:6 *1915:7 3.33271e-05 +32 *1907:14 *22450:B 5.20546e-06 +33 *1914:12 *1915:7 0.000671977 +*RES +1 *22570:HI[129] *1915:5 1.20912 +2 *1915:5 *1915:7 56.0224 +3 *1915:7 *22450:B 14.4725 +4 *1915:7 *4847:DIODE 16.8591 +*END + +*D_NET *1916 0.0588706 +*CONN +*I *4993:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22525:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[12] O *D mprj_logic_high +*CAP +1 *4993:DIODE 0 +2 *22525:TE 6.56341e-05 +3 *22570:HI[12] 0.000807483 +4 *1916:36 0.00146068 +5 *1916:32 0.00694956 +6 *1916:30 0.00651215 +7 *1916:23 0.00103693 +8 *1916:18 0.00218707 +9 *1916:12 0.00363044 +10 *1916:10 0.00233014 +11 *1916:10 *1937:11 3.35033e-05 +12 *1916:10 *1952:17 1.07303e-05 +13 *1916:10 *2163:32 0.000511134 +14 *1916:10 *2875:28 2.35827e-05 +15 *1916:10 *2875:38 1.37385e-05 +16 *1916:10 *3117:17 2.81552e-05 +17 *1916:10 *3119:18 1.40614e-05 +18 *1916:12 *21474:A 0.000113968 +19 *1916:12 *21585:A 4.81015e-05 +20 *1916:12 *2004:20 0.000213725 +21 *1916:12 *2591:19 0.000113968 +22 *1916:12 *2854:36 0.000343544 +23 *1916:18 *2578:6 0.00055766 +24 *1916:18 *2864:12 5.55076e-05 +25 *1916:18 *2864:19 5.17604e-05 +26 *1916:18 *2918:44 0.00136424 +27 *1916:18 *3096:25 0.000541803 +28 *1916:23 *2003:55 0.000107063 +29 *1916:23 *3206:6 4.10998e-05 +30 *1916:30 *23266:B 0.00030253 +31 *1916:30 *2165:36 0.00392056 +32 *1916:30 *2165:42 1.15389e-05 +33 *1916:30 *2706:15 4.82037e-05 +34 *1916:30 *2918:61 0.000523371 +35 *1916:32 *3756:DIODE 0.000309968 +36 *1916:32 *5823:DIODE 6.9815e-05 +37 *1916:32 *23258:A 6.50727e-05 +38 *1916:32 *23261:B 0.000113968 +39 *1916:32 *23385:A 6.50586e-05 +40 *1916:32 *2157:32 0.000322924 +41 *1916:32 *2165:42 0.00589218 +42 *1916:32 *2706:15 0.000396335 +43 *1916:32 *2818:28 0.00128383 +44 *1916:32 *2831:27 0.00107787 +45 *1916:32 *2851:57 0.00346507 +46 *1916:32 *3241:7 0.000111722 +47 *1916:36 *4900:DIODE 0.000366603 +48 *1916:36 *2910:9 0.000107496 +49 *6077:DIODE *1916:32 3.83336e-05 +50 *22299:A *1916:30 2.63704e-05 +51 *22424:B *1916:18 4.83226e-05 +52 *22445:B *1916:10 6.36477e-05 +53 *23125:A *1916:32 0.000277502 +54 *1155:23 *1916:32 0.000267774 +55 *1258:16 *22525:TE 9.9028e-05 +56 *1258:16 *1916:36 0.000192266 +57 *1263:9 *1916:30 0.000223626 +58 *1387:13 *1916:12 0.00665932 +59 *1390:18 *1916:12 0.00166039 +60 *1392:11 *1916:12 0.000502967 +61 *1392:13 *1916:10 4.95146e-05 +62 *1392:13 *1916:12 0.000739139 +63 *1504:9 *1916:32 6.08467e-05 +64 *1628:9 *1916:32 2.90905e-05 +65 *1637:9 *1916:32 3.21758e-05 +66 *1793:11 *1916:12 0.000171273 +67 *1883:20 *1916:12 0.000107496 +*RES +1 *22570:HI[12] *1916:10 22.0142 +2 *1916:10 *1916:12 83.3593 +3 *1916:12 *1916:18 49.2516 +4 *1916:18 *1916:23 11.2472 +5 *1916:23 *1916:30 45.8633 +6 *1916:30 *1916:32 179.028 +7 *1916:32 *1916:36 34.301 +8 *1916:36 *22525:TE 11.6364 +9 *1916:36 *4993:DIODE 9.24915 +*END + +*D_NET *1917 0.00299024 +*CONN +*I *22451:B I *D sky130_fd_sc_hd__and2b_1 +*I *4849:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[130] O *D mprj_logic_high +*CAP +1 *22451:B 0.000134795 +2 *4849:DIODE 0 +3 *22570:HI[130] 0.000809503 +4 *1917:7 0.000944299 +5 *22451:B *21480:A 2.92771e-05 +6 *22451:B *2598:20 0.0001495 +7 *1917:7 *1918:7 4.52304e-05 +8 *1917:7 *2158:19 0.000162209 +9 *1917:7 *2598:20 0.000509182 +10 *1162:36 *22451:B 9.17656e-06 +11 *1162:36 *1917:7 4.57563e-05 +12 *1392:30 *22451:B 0.000135973 +13 *1915:7 *1917:7 1.53397e-05 +*RES +1 *22570:HI[130] *1917:7 15.7063 +2 *1917:7 *4849:DIODE 13.7491 +3 *1917:7 *22451:B 17.6574 +*END + +*D_NET *1918 0.0101454 +*CONN +*I *22452:B I *D sky130_fd_sc_hd__and2b_1 +*I *4851:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[131] O *D mprj_logic_high +*CAP +1 *22452:B 0.000331227 +2 *4851:DIODE 0 +3 *22570:HI[131] 9.70621e-05 +4 *1918:10 0.000601297 +5 *1918:7 0.00328604 +6 *1918:5 0.00311303 +7 *22452:B *22452:A_N 1.66007e-05 +8 *22452:B *2882:39 0 +9 *22452:B *3121:22 2.73309e-05 +10 *1918:5 *2155:23 3.49522e-06 +11 *1918:7 *1925:27 3.68784e-05 +12 *1918:7 *2155:23 0.000224957 +13 *1918:7 *2156:25 6.74182e-05 +14 *1918:7 *2158:19 5.09056e-05 +15 *1918:7 *2383:14 0.000157657 +16 *1918:7 *2383:18 0.000138465 +17 *1918:7 *2881:29 0.000750231 +18 *1918:7 *2929:21 0.000168995 +19 *1918:7 *3149:18 1.8172e-05 +20 *1162:36 *1918:7 0.000396709 +21 *1284:19 *1918:7 0 +22 *1392:30 *1918:7 6.25237e-05 +23 *1410:13 *1918:7 0 +24 *1420:9 *22452:B 0.000141564 +25 *1420:9 *1918:10 0.000409618 +26 *1799:6 *1918:7 0 +27 *1903:7 *1918:7 0 +28 *1917:7 *1918:7 4.52304e-05 +*RES +1 *22570:HI[131] *1918:5 1.20912 +2 *1918:5 *1918:7 61.0054 +3 *1918:7 *1918:10 9.10562 +4 *1918:10 *4851:DIODE 9.24915 +5 *1918:10 *22452:B 24.7544 +*END + +*D_NET *1919 0.00344209 +*CONN +*I *4853:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22453:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[132] O *D mprj_logic_high +*CAP +1 *4853:DIODE 0 +2 *22453:B 0.000205139 +3 *22570:HI[132] 0.00102049 +4 *1919:22 0.00122563 +5 *22453:B *4844:DIODE 6.64392e-05 +6 *22453:B *1925:27 2.14842e-06 +7 *22453:B *1928:17 4.49767e-05 +8 *22453:B *1929:14 3.43356e-05 +9 *1919:22 *4844:DIODE 9.90819e-05 +10 *1919:22 *1925:18 0 +11 *1919:22 *1927:24 3.83986e-05 +12 *1919:22 *1928:17 0.000329666 +13 *1919:22 *1929:14 5.26361e-07 +14 *1392:30 *22453:B 6.3657e-05 +15 *1392:30 *1919:22 0.000114584 +16 *1905:31 *1919:22 0.000197026 +*RES +1 *22570:HI[132] *1919:22 24.6109 +2 *1919:22 *22453:B 22.4655 +3 *1919:22 *4853:DIODE 9.24915 +*END + +*D_NET *1920 0.0194946 +*CONN +*I *4855:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22454:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[133] O *D mprj_logic_high +*CAP +1 *4855:DIODE 0.000109751 +2 *22454:B 0 +3 *22570:HI[133] 7.81491e-05 +4 *1920:30 0.00126359 +5 *1920:28 0.00229101 +6 *1920:19 0.00286772 +7 *1920:7 0.00440914 +8 *1920:5 0.00275675 +9 *4855:DIODE *2385:22 0 +10 *4855:DIODE *2885:38 0 +11 *4855:DIODE *3144:5 5.31074e-05 +12 *1920:7 *5892:DIODE 3.37785e-05 +13 *1920:7 *21477:A 0 +14 *1920:7 *1927:33 6.98595e-05 +15 *1920:7 *1935:13 0 +16 *1920:7 *1939:7 0 +17 *1920:7 *2155:32 0 +18 *1920:7 *2155:41 9.94884e-06 +19 *1920:7 *2163:25 0.000110188 +20 *1920:7 *2197:35 0.00013115 +21 *1920:7 *2736:20 0.000154892 +22 *1920:7 *3145:11 0 +23 *1920:7 *3389:26 0 +24 *1920:19 *6341:DIODE 5.07314e-05 +25 *1920:19 *2023:37 1.91391e-05 +26 *1920:19 *3105:18 0 +27 *1920:19 *3108:18 3.88655e-06 +28 *1920:19 *3123:50 5.01835e-05 +29 *1920:19 *3389:26 8.24055e-05 +30 *1920:28 *3802:DIODE 3.3239e-06 +31 *1920:28 *21612:A 1.61631e-05 +32 *1920:28 *2887:33 1.57066e-05 +33 *1920:28 *3121:18 1.49935e-05 +34 *1920:28 *3121:22 1.61409e-05 +35 *1920:28 *3413:9 0.00152887 +36 *1920:30 *21612:A 1.92172e-05 +37 *1920:30 *3135:17 0.000244161 +38 *1920:30 *3144:5 0.00209744 +39 *4586:DIODE *1920:30 5.43595e-05 +40 *1169:63 *1920:7 7.60356e-05 +41 *1270:28 *1920:7 0.000196505 +42 *1284:19 *1920:28 4.33819e-05 +43 *1284:19 *1920:30 0.000125339 +44 *1417:8 *1920:7 0 +45 *1798:10 *1920:28 4.33819e-05 +46 *1798:10 *1920:30 1.61631e-05 +47 *1907:16 *1920:19 0.000438062 +*RES +1 *22570:HI[133] *1920:5 1.20912 +2 *1920:5 *1920:7 49.0486 +3 *1920:7 *1920:19 42.9461 +4 *1920:19 *1920:28 30.3261 +5 *1920:28 *1920:30 32.3358 +6 *1920:30 *22454:B 9.24915 +7 *1920:30 *4855:DIODE 20.8045 +*END + +*D_NET *1921 0.00701502 +*CONN +*I *22456:B I *D sky130_fd_sc_hd__and2b_1 +*I *4859:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[134] O *D mprj_logic_high +*CAP +1 *22456:B 0.000170992 +2 *4859:DIODE 0 +3 *22570:HI[134] 0.0020106 +4 *1921:8 0.000671644 +5 *1921:7 0.00251126 +6 *22456:B *2470:46 8.22553e-05 +7 *1921:7 *1922:11 0.000229757 +8 *1921:7 *2176:30 5.35941e-05 +9 *1921:7 *2875:59 0.000292585 +10 *1921:7 *2881:20 1.91246e-05 +11 *1921:7 *3113:52 0 +12 *1410:7 *1921:8 0.000315492 +13 *1903:7 *1921:7 0.000266048 +14 *1906:10 *22456:B 6.53173e-05 +15 *1906:10 *1921:8 0.000193916 +16 *1910:13 *1921:7 5.01835e-05 +17 *1915:7 *22456:B 8.22553e-05 +*RES +1 *22570:HI[134] *1921:7 42.1658 +2 *1921:7 *1921:8 8.48785 +3 *1921:8 *4859:DIODE 9.24915 +4 *1921:8 *22456:B 21.9137 +*END + +*D_NET *1922 0.0178704 +*CONN +*I *4861:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22457:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[135] O *D mprj_logic_high +*CAP +1 *4861:DIODE 3.87342e-05 +2 *22457:B 0.000100899 +3 *22570:HI[135] 0.00169365 +4 *1922:18 0.000987703 +5 *1922:12 0.0013861 +6 *1922:11 0.00223167 +7 *4861:DIODE *1924:14 5.31074e-05 +8 *22457:B *2889:18 2.80646e-05 +9 *1922:11 *1923:11 0.000110765 +10 *1922:11 *1932:31 0.000305156 +11 *1922:11 *2875:59 0.00029601 +12 *1922:11 *3113:52 1.27402e-05 +13 *1922:12 *1924:13 0.00231938 +14 *1922:12 *1929:20 0.0044213 +15 *1922:12 *3284:25 0.00204879 +16 *1922:18 *1924:14 0.00125406 +17 *1922:18 *3404:8 0 +18 *22325:A *1922:11 8.46228e-05 +19 *23522:A *1922:18 5.13902e-05 +20 *1385:22 *1922:11 2.35827e-05 +21 *1385:26 *1922:18 6.22259e-05 +22 *1387:34 *1922:11 7.60356e-05 +23 *1910:13 *1922:11 5.46889e-05 +24 *1921:7 *1922:11 0.000229757 +*RES +1 *22570:HI[135] *1922:11 37.2561 +2 *1922:11 *1922:12 48.4193 +3 *1922:12 *1922:18 24.7265 +4 *1922:18 *22457:B 20.0811 +5 *1922:18 *4861:DIODE 9.97254 +*END + +*D_NET *1923 0.0154226 +*CONN +*I *4863:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22458:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[136] O *D mprj_logic_high +*CAP +1 *4863:DIODE 9.73277e-05 +2 *22458:B 0 +3 *22570:HI[136] 0.00171396 +4 *1923:24 0.00102527 +5 *1923:20 0.00288513 +6 *1923:11 0.00367114 +7 *4863:DIODE *4862:DIODE 1.19856e-05 +8 *4863:DIODE *2065:27 8.60109e-05 +9 *1923:11 *1924:7 4.42143e-05 +10 *1923:11 *1925:18 0.000128201 +11 *1923:11 *1932:31 9.36144e-05 +12 *1923:11 *2176:30 7.22263e-05 +13 *1923:11 *3111:26 0.000222147 +14 *1923:11 *3113:52 9.80784e-05 +15 *1923:11 *3149:18 2.74004e-05 +16 *1923:20 *2881:29 0.000228118 +17 *1923:20 *3397:10 0 +18 *1923:24 *4862:DIODE 7.48633e-05 +19 *1923:24 *21484:A 0.000333397 +20 *1923:24 *2339:8 0.000144814 +21 *1923:24 *2889:21 9.9028e-05 +22 *22325:A *1923:11 0.000263752 +23 *1383:15 *1923:20 6.22259e-05 +24 *1385:22 *1923:11 7.50411e-05 +25 *1387:34 *1923:11 2.05972e-05 +26 *1388:13 *4863:DIODE 6.08467e-05 +27 *1388:13 *1923:20 1.75637e-06 +28 *1388:13 *1923:24 0.00153931 +29 *1388:16 *4863:DIODE 0 +30 *1900:10 *1923:20 0.00156137 +31 *1901:10 *1923:20 0.000669986 +32 *1922:11 *1923:11 0.000110765 +*RES +1 *22570:HI[136] *1923:11 38.5018 +2 *1923:11 *1923:20 43.2107 +3 *1923:20 *1923:24 37.9059 +4 *1923:24 *22458:B 9.24915 +5 *1923:24 *4863:DIODE 20.8045 +*END + +*D_NET *1924 0.0245132 +*CONN +*I *4865:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22459:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[137] O *D mprj_logic_high +*CAP +1 *4865:DIODE 8.82812e-05 +2 *22459:B 0 +3 *22570:HI[137] 0.0016188 +4 *1924:14 0.00170605 +5 *1924:13 0.00217707 +6 *1924:7 0.00217809 +7 *4865:DIODE *2381:18 2.02004e-05 +8 *4865:DIODE *3051:6 9.0819e-05 +9 *4865:DIODE *3147:15 5.16917e-05 +10 *1924:7 *1925:18 0.000782251 +11 *1924:7 *1925:27 0 +12 *1924:7 *1927:24 3.21192e-05 +13 *1924:7 *1935:24 1.05272e-06 +14 *1924:7 *2188:19 6.74605e-06 +15 *1924:7 *3111:26 2.15056e-05 +16 *1924:7 *3149:18 6.24189e-05 +17 *1924:13 *3794:DIODE 6.3657e-05 +18 *1924:13 *1929:20 0.000110625 +19 *1924:13 *3113:51 0.000256037 +20 *1924:13 *3147:14 0.000207266 +21 *1924:13 *3284:25 0.000513023 +22 *1924:14 *3147:15 0.00705106 +23 *4861:DIODE *1924:14 5.31074e-05 +24 *1385:26 *1924:14 0.00206945 +25 *1387:42 *1924:13 0.00155933 +26 *1393:40 *1924:7 0.00012774 +27 *1801:8 *1924:7 0 +28 *1900:9 *1924:13 1.56988e-05 +29 *1904:15 *1924:13 2.78668e-05 +30 *1905:31 *1924:7 3.57291e-06 +31 *1922:12 *1924:13 0.00231938 +32 *1922:18 *1924:14 0.00125406 +33 *1923:11 *1924:7 4.42143e-05 +*RES +1 *22570:HI[137] *1924:7 35.937 +2 *1924:7 *1924:13 40.81 +3 *1924:13 *1924:14 76.1495 +4 *1924:14 *22459:B 9.24915 +5 *1924:14 *4865:DIODE 20.8045 +*END + +*D_NET *1925 0.0280481 +*CONN +*I *4867:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22460:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[138] O *D mprj_logic_high +*CAP +1 *4867:DIODE 0.000159379 +2 *22460:B 2.18282e-05 +3 *22570:HI[138] 0.00112955 +4 *1925:40 0.00343576 +5 *1925:39 0.0044207 +6 *1925:33 0.00279093 +7 *1925:27 0.00299464 +8 *1925:18 0.0024994 +9 *4867:DIODE *2446:14 0 +10 *4867:DIODE *2891:36 3.00073e-05 +11 *4867:DIODE *3144:5 3.93258e-05 +12 *1925:18 *4852:DIODE 6.3657e-05 +13 *1925:18 *1948:32 0.000229093 +14 *1925:18 *2178:8 0.000110306 +15 *1925:18 *2337:10 0.000107496 +16 *1925:27 *3796:DIODE 0.000265306 +17 *1925:27 *1929:14 0 +18 *1925:27 *1935:24 5.1493e-06 +19 *1925:27 *1937:11 0 +20 *1925:27 *1937:28 0.000210077 +21 *1925:27 *2879:41 0.000411032 +22 *1925:27 *3115:24 4.69495e-06 +23 *1925:27 *3391:18 0.000177136 +24 *1925:33 *1931:23 3.88873e-05 +25 *1925:33 *1941:29 2.17791e-05 +26 *1925:33 *3396:6 0.00041792 +27 *1925:39 *3118:16 0 +28 *1925:39 *3251:32 0.00018354 +29 *1925:39 *3398:8 0.000212334 +30 *1925:39 *3398:12 6.39754e-06 +31 *1925:40 *3135:17 0.00012309 +32 *1925:40 *3144:5 0.00198023 +33 *4559:DIODE *1925:39 0.000157907 +34 *22325:TE *1925:27 5.17042e-05 +35 *22453:B *1925:27 2.14842e-06 +36 *1290:10 *1925:40 0.000687369 +37 *1388:13 *1925:27 0.000387125 +38 *1404:24 *1925:33 0.000139993 +39 *1404:27 *1925:39 0.00100981 +40 *1410:13 *1925:27 2.51591e-05 +41 *1413:9 *1925:33 0.00171719 +42 *1782:9 *1925:39 0.000164829 +43 *1801:8 *1925:27 0.00021046 +44 *1802:8 *1925:39 8.62625e-06 +45 *1808:8 *4867:DIODE 6.78596e-05 +46 *1903:7 *1925:27 0 +47 *1904:20 *1925:27 0.000197023 +48 *1905:31 *1925:18 3.63738e-05 +49 *1907:11 *1925:33 4.09243e-05 +50 *1910:14 *1925:18 0.000106633 +51 *1918:7 *1925:27 3.68784e-05 +52 *1919:22 *1925:18 0 +53 *1923:11 *1925:18 0.000128201 +54 *1924:7 *1925:18 0.000782251 +55 *1924:7 *1925:27 0 +*RES +1 *22570:HI[138] *1925:18 43.2195 +2 *1925:18 *1925:27 47.8052 +3 *1925:27 *1925:33 39.8234 +4 *1925:33 *1925:39 42.053 +5 *1925:39 *1925:40 56.1838 +6 *1925:40 *22460:B 9.82786 +7 *1925:40 *4867:DIODE 21.4985 +*END + +*D_NET *1926 0.0345024 +*CONN +*I *4869:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22461:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[139] O *D mprj_logic_high +*CAP +1 *4869:DIODE 3.60482e-05 +2 *22461:B 0.000165161 +3 *22570:HI[139] 0.00166042 +4 *1926:26 0.00193706 +5 *1926:20 0.00532276 +6 *1926:19 0.00371468 +7 *1926:14 0.000894608 +8 *1926:10 0.00242726 +9 *4869:DIODE *2866:47 6.08467e-05 +10 *22461:B *2446:14 0 +11 *22461:B *3133:36 0.000190621 +12 *1926:10 *23421:A 4.56325e-05 +13 *1926:10 *1933:7 0 +14 *1926:10 *1935:13 0.000157859 +15 *1926:10 *2155:32 0.000121857 +16 *1926:10 *3391:7 0.000678697 +17 *1926:14 *1928:21 0.000505404 +18 *1926:14 *1941:16 4.15661e-05 +19 *1926:14 *3040:27 0.000110257 +20 *1926:14 *3116:31 0.00286677 +21 *1926:14 *3133:21 0.00286958 +22 *1926:14 *3251:32 0.000172405 +23 *1926:19 *2863:41 0.00018029 +24 *1926:20 *1931:23 3.58208e-05 +25 *1926:20 *2129:56 0.00034756 +26 *1926:20 *2454:59 0.00440982 +27 *1926:20 *3437:7 3.04105e-05 +28 *1926:20 *3438:15 0.000905192 +29 *1926:26 *2866:47 0.00270601 +30 *1926:26 *3405:8 6.27098e-05 +31 *1285:10 *1926:10 0.000300687 +32 *1423:8 *22461:B 0 +33 *1668:17 *1926:10 0.000383542 +34 *1900:10 *1926:10 0.000674485 +35 *1909:7 *1926:19 0.000176749 +36 *1911:33 *1926:10 0.000132548 +37 *1912:20 *1926:10 0.000177057 +*RES +1 *22570:HI[139] *1926:10 48.6462 +2 *1926:10 *1926:14 46.8335 +3 *1926:14 *1926:19 12.9083 +4 *1926:19 *1926:20 63.9482 +5 *1926:20 *1926:26 39.9795 +6 *1926:26 *22461:B 22.1574 +7 *1926:26 *4869:DIODE 9.97254 +*END + +*D_NET *1927 0.0736431 +*CONN +*I *4999:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22528:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[13] O *D mprj_logic_high +*CAP +1 *4999:DIODE 0 +2 *22528:TE 0.00018745 +3 *22570:HI[13] 0.00225391 +4 *1927:40 0.00283073 +5 *1927:39 0.0029782 +6 *1927:34 0.011403 +7 *1927:33 0.0126091 +8 *1927:24 0.00199274 +9 *1927:16 0.00270569 +10 *22528:TE *2839:34 0.000106165 +11 *22528:TE *2839:36 0.000220183 +12 *1927:16 *2154:18 0 +13 *1927:16 *2156:16 0.00109469 +14 *1927:16 *2173:20 6.65178e-05 +15 *1927:16 *2174:19 0.000729173 +16 *1927:16 *2326:8 0.000112118 +17 *1927:16 *2335:34 0.00216927 +18 *1927:16 *2598:20 7.67208e-05 +19 *1927:24 *2156:25 0.000166951 +20 *1927:24 *2183:14 0.00168636 +21 *1927:24 *2336:8 1.15389e-05 +22 *1927:33 *5892:DIODE 9.59057e-05 +23 *1927:33 *1939:7 0.000228881 +24 *1927:33 *2333:14 0.000273629 +25 *1927:33 *2343:8 0.00235407 +26 *1927:33 *2736:20 0.000187677 +27 *1927:33 *2851:20 3.74542e-05 +28 *1927:33 *3119:18 4.39753e-05 +29 *1927:34 *2324:36 0.0110927 +30 *1927:34 *2324:48 0.000103217 +31 *1927:34 *2329:58 0.000209987 +32 *1927:34 *2344:46 6.41157e-05 +33 *1927:34 *2344:58 0.00623372 +34 *1927:39 *4781:DIODE 1.26168e-05 +35 *1927:39 *2561:6 0 +36 *1927:39 *2843:16 0 +37 *1927:39 *2844:10 2.45002e-05 +38 *1927:39 *2844:19 6.37958e-05 +39 *1927:39 *2844:28 2.34697e-05 +40 *1927:40 *2839:36 0.00435917 +41 *22419:B *1927:34 0.000111708 +42 *1372:13 *1927:34 3.98402e-05 +43 *1379:36 *1927:33 6.22259e-05 +44 *1392:30 *1927:16 0.00124491 +45 *1393:40 *1927:24 2.1203e-06 +46 *1794:6 *1927:33 3.72902e-05 +47 *1899:9 *1927:16 9.34912e-05 +48 *1902:19 *1927:33 0.0015168 +49 *1905:39 *1927:24 0.00148681 +50 *1914:12 *1927:16 2.59398e-05 +51 *1915:7 *1927:16 7.22263e-05 +52 *1919:22 *1927:24 3.83986e-05 +53 *1920:7 *1927:33 6.98595e-05 +54 *1924:7 *1927:24 3.21192e-05 +*RES +1 *22570:HI[13] *1927:16 45.7755 +2 *1927:16 *1927:24 36.0183 +3 *1927:24 *1927:33 33.5284 +4 *1927:33 *1927:34 195.944 +5 *1927:34 *1927:39 15.815 +6 *1927:39 *1927:40 47.3101 +7 *1927:40 *22528:TE 13.1796 +8 *1927:40 *4999:DIODE 9.24915 +*END + +*D_NET *1928 0.038816 +*CONN +*I *4871:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22462:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[140] O *D mprj_logic_high +*CAP +1 *4871:DIODE 0.000106149 +2 *22462:B 0 +3 *22570:HI[140] 0.000985487 +4 *1928:31 0.000120254 +5 *1928:28 0.00356037 +6 *1928:21 0.00488143 +7 *1928:17 0.00232065 +8 *4871:DIODE *2398:8 0 +9 *4871:DIODE *3106:8 9.2874e-05 +10 *4871:DIODE *3154:16 1.43983e-05 +11 *1928:17 *1929:14 0.00067708 +12 *1928:17 *2879:41 3.9718e-05 +13 *1928:21 *21610:A 3.44695e-05 +14 *1928:21 *1931:7 0 +15 *1928:21 *1937:11 1.59968e-05 +16 *1928:21 *1946:19 1.24189e-05 +17 *1928:21 *2129:47 0 +18 *1928:21 *2870:67 4.20184e-06 +19 *1928:21 *2875:56 0.000149783 +20 *1928:21 *2879:41 3.58315e-06 +21 *1928:21 *2883:22 8.04307e-05 +22 *1928:21 *2883:33 0.000646884 +23 *1928:21 *3251:32 0.000109839 +24 *1928:21 *3391:18 0 +25 *1928:28 *1941:30 0.000202746 +26 *1928:28 *2385:15 0.000267774 +27 *1928:28 *2863:42 0.000369284 +28 *1928:28 *2866:47 0.00203238 +29 *1928:28 *2870:67 0.000590952 +30 *1928:28 *2897:20 1.79334e-05 +31 *1928:28 *3073:33 0.00730645 +32 *1928:28 *3121:18 8.46605e-05 +33 *1928:28 *3151:45 0.00227232 +34 *1928:28 *3251:24 0.000362172 +35 *1928:28 *3251:32 0.000440111 +36 *1928:28 *3433:15 0.00135552 +37 *1928:28 *3433:19 0.00832685 +38 *1928:31 *3106:8 1.91391e-05 +39 *4845:DIODE *1928:17 7.94607e-05 +40 *22448:B *1928:28 3.83492e-06 +41 *22453:B *1928:17 4.49767e-05 +42 *1392:30 *1928:17 0.000154145 +43 *1404:24 *1928:28 7.09666e-06 +44 *1810:14 *4871:DIODE 0 +45 *1914:12 *1928:17 0.000154145 +46 *1915:7 *1928:28 3.29488e-05 +47 *1919:22 *1928:17 0.000329666 +48 *1926:14 *1928:21 0.000505404 +*RES +1 *22570:HI[140] *1928:17 32.8043 +2 *1928:17 *1928:21 34.7768 +3 *1928:21 *1928:28 48.1228 +4 *1928:28 *1928:31 3.7474 +5 *1928:31 *22462:B 13.7491 +6 *1928:31 *4871:DIODE 16.3045 +*END + +*D_NET *1929 0.0329794 +*CONN +*I *4873:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22463:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[141] O *D mprj_logic_high +*CAP +1 *4873:DIODE 0.000126421 +2 *22463:B 0 +3 *22570:HI[141] 0.00210255 +4 *1929:27 0.00030729 +5 *1929:24 0.00209801 +6 *1929:22 0.00194018 +7 *1929:20 0.000783571 +8 *1929:19 0.00087107 +9 *1929:14 0.00221308 +10 *4873:DIODE *3156:7 1.43983e-05 +11 *4873:DIODE *3424:10 7.8671e-05 +12 *1929:14 *1931:7 0 +13 *1929:14 *1937:11 1.91391e-05 +14 *1929:14 *2332:48 8.64066e-05 +15 *1929:14 *2877:43 0.000199316 +16 *1929:14 *2879:33 0 +17 *1929:14 *2879:41 0.000555901 +18 *1929:14 *3115:24 5.39635e-06 +19 *1929:19 *1935:24 4.69495e-06 +20 *1929:19 *3111:26 0 +21 *1929:19 *3391:18 3.55731e-06 +22 *1929:20 *3794:DIODE 0.000117376 +23 *1929:20 *6351:DIODE 4.17341e-05 +24 *1929:20 *2029:34 6.50727e-05 +25 *1929:20 *3284:25 0.000214133 +26 *1929:24 *4860:DIODE 0.00043038 +27 *1929:24 *6351:DIODE 5.39767e-05 +28 *1929:24 *2031:27 0.000118134 +29 *1929:24 *2031:33 0.000672731 +30 *1929:24 *3284:13 0.00290715 +31 *1929:24 *3284:25 6.69003e-05 +32 *1929:27 *2894:26 2.55661e-06 +33 *1929:27 *3424:10 2.77564e-05 +34 *22453:B *1929:14 3.43356e-05 +35 *23522:A *1929:20 0.000322061 +36 *1409:11 *1929:20 0.00109314 +37 *1409:11 *1929:24 0.00616769 +38 *1411:10 *1929:19 6.51637e-05 +39 *1805:12 *1929:24 0.000989859 +40 *1900:10 *1929:20 0.00256332 +41 *1904:20 *1929:14 0.000406745 +42 *1919:22 *1929:14 5.26361e-07 +43 *1922:12 *1929:20 0.0044213 +44 *1924:13 *1929:20 0.000110625 +45 *1925:27 *1929:14 0 +46 *1928:17 *1929:14 0.00067708 +*RES +1 *22570:HI[141] *1929:14 45.6478 +2 *1929:14 *1929:19 11.2472 +3 *1929:19 *1929:20 59.2341 +4 *1929:20 *1929:22 0.578717 +5 *1929:22 *1929:24 99.7201 +6 *1929:24 *1929:27 7.993 +7 *1929:27 *22463:B 13.7491 +8 *1929:27 *4873:DIODE 16.3045 +*END + +*D_NET *1930 0.0270941 +*CONN +*I *4875:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22464:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[142] O *D mprj_logic_high +*CAP +1 *4875:DIODE 8.23609e-05 +2 *22464:B 0 +3 *22570:HI[142] 0.000633739 +4 *1930:17 0.000429997 +5 *1930:14 0.00202235 +6 *1930:13 0.00167472 +7 *1930:11 0.000738397 +8 *1930:7 0.00137214 +9 *4875:DIODE *3410:14 0 +10 *1930:7 *1931:7 8.74261e-05 +11 *1930:7 *2332:48 1.9537e-05 +12 *1930:7 *2879:33 0.000463817 +13 *1930:11 *2156:16 0.000408934 +14 *1930:11 *2165:17 4.86396e-05 +15 *1930:11 *2183:14 3.29867e-05 +16 *1930:11 *2197:35 0.00286827 +17 *1930:14 *1936:43 0.00259976 +18 *1930:14 *1949:10 0.000799931 +19 *1930:14 *2135:20 0.00010238 +20 *1930:14 *2188:8 0.00612768 +21 *1930:14 *2196:8 0.00170287 +22 *1930:14 *2336:8 0.000819207 +23 *1930:14 *2340:10 0.000514873 +24 *1930:17 *2209:7 0.000110435 +25 *1406:9 *1930:11 0.00335204 +26 *1812:6 *4875:DIODE 3.28899e-05 +27 *1812:6 *1930:17 3.5534e-06 +28 *1904:15 *1930:11 4.51812e-05 +*RES +1 *22570:HI[142] *1930:7 17.2507 +2 *1930:7 *1930:11 45.8748 +3 *1930:11 *1930:13 4.5 +4 *1930:13 *1930:14 103.325 +5 *1930:14 *1930:17 11.315 +6 *1930:17 *22464:B 13.7491 +7 *1930:17 *4875:DIODE 15.5811 +*END + +*D_NET *1931 0.0352335 +*CONN +*I *22465:B I *D sky130_fd_sc_hd__and2b_1 +*I *4877:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[143] O *D mprj_logic_high +*CAP +1 *22465:B 0.000231723 +2 *4877:DIODE 0 +3 *22570:HI[143] 0.00217482 +4 *1931:35 0.000500284 +5 *1931:32 0.00356288 +6 *1931:30 0.00572549 +7 *1931:23 0.00279034 +8 *1931:16 0.00119902 +9 *1931:7 0.00301466 +10 *22465:B *2897:20 6.75845e-06 +11 *22465:B *3131:14 0 +12 *1931:7 *1933:7 0 +13 *1931:7 *1946:19 8.03676e-06 +14 *1931:7 *1947:33 4.69495e-06 +15 *1931:7 *2188:19 0.000231148 +16 *1931:7 *2594:20 1.5714e-05 +17 *1931:7 *2879:33 0 +18 *1931:7 *3251:32 0.000343269 +19 *1931:16 *1941:16 0.000763317 +20 *1931:16 *2129:55 0.000410727 +21 *1931:16 *2470:52 0.00143217 +22 *1931:16 *2918:19 0.000344317 +23 *1931:16 *2918:23 0.000984254 +24 *1931:16 *3133:21 5.99856e-05 +25 *1931:23 *1941:26 3.93822e-05 +26 *1931:23 *1941:29 6.3609e-05 +27 *1931:23 *2454:59 0.000395909 +28 *1931:23 *2918:19 0.000122378 +29 *1931:23 *3111:34 4.0752e-05 +30 *1931:23 *3116:39 0.000391697 +31 *1931:30 *2341:36 0.000137573 +32 *1931:30 *3133:29 0.00254115 +33 *1931:30 *3134:17 0.000130331 +34 *1931:30 *3431:11 0.00160553 +35 *1931:32 *3431:11 3.66984e-05 +36 *1931:32 *3431:13 0.00525142 +37 *1931:35 *2866:52 0 +38 *1931:35 *3131:14 0 +39 *22328:TE *1931:16 9.95922e-06 +40 *22328:TE *1931:23 0.000169041 +41 *1270:28 *1931:16 7.09666e-06 +42 *1297:8 *22465:B 0 +43 *1381:35 *1931:7 0.000122867 +44 *1804:9 *1931:16 0.000141245 +45 *1804:9 *1931:23 9.82896e-06 +46 *1908:7 *1931:7 5.12666e-05 +47 *1925:33 *1931:23 3.88873e-05 +48 *1926:20 *1931:23 3.58208e-05 +49 *1928:21 *1931:7 0 +50 *1929:14 *1931:7 0 +51 *1930:7 *1931:7 8.74261e-05 +*RES +1 *22570:HI[143] *1931:7 45.9031 +2 *1931:7 *1931:16 43.7732 +3 *1931:16 *1931:23 21.2596 +4 *1931:23 *1931:30 45.8633 +5 *1931:30 *1931:32 58.4022 +6 *1931:32 *1931:35 9.23876 +7 *1931:35 *4877:DIODE 13.7491 +8 *1931:35 *22465:B 18.5612 +*END + +*D_NET *1932 0.0543081 +*CONN +*I *22467:B I *D sky130_fd_sc_hd__and2b_1 +*I *4881:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[144] O *D mprj_logic_high +*CAP +1 *22467:B 0.000213528 +2 *4881:DIODE 0 +3 *22570:HI[144] 0.000733074 +4 *1932:71 0.00120511 +5 *1932:68 0.00383175 +6 *1932:67 0.0032023 +7 *1932:61 0.00107917 +8 *1932:47 0.00153523 +9 *1932:31 0.00163448 +10 *1932:25 0.00263735 +11 *1932:15 0.00255413 +12 *22467:B *21498:A 0 +13 *22467:B *2249:7 1.12969e-05 +14 *22467:B *2389:10 3.13383e-05 +15 *1932:15 *1937:11 0.000460985 +16 *1932:15 *1943:7 0.000195148 +17 *1932:15 *1950:14 6.02171e-05 +18 *1932:15 *1952:17 0.000158451 +19 *1932:15 *2156:26 0.00055815 +20 *1932:15 *2156:41 0.000445426 +21 *1932:15 *2163:32 8.57315e-06 +22 *1932:15 *2168:16 7.09666e-06 +23 *1932:15 *3119:15 0.000147606 +24 *1932:25 *1938:11 0.000459309 +25 *1932:25 *1941:7 0.000282565 +26 *1932:25 *2022:28 2.36659e-05 +27 *1932:25 *2153:34 0 +28 *1932:31 *1936:21 0.00185061 +29 *1932:31 *2155:32 0.000475513 +30 *1932:31 *2159:30 0.000144814 +31 *1932:31 *2166:10 7.8138e-05 +32 *1932:31 *2167:32 0.000767485 +33 *1932:31 *2169:35 6.47059e-05 +34 *1932:31 *2169:44 0.000316779 +35 *1932:31 *2181:36 4.11567e-05 +36 *1932:47 *1936:37 0.000349885 +37 *1932:47 *2178:8 0.00114737 +38 *1932:47 *2332:34 0.000358807 +39 *1932:47 *2337:10 0.00114737 +40 *1932:47 *2739:24 0.000136357 +41 *1932:61 *1936:37 0.00067049 +42 *1932:61 *2101:25 1.05272e-06 +43 *1932:61 *2156:16 4.75388e-05 +44 *1932:61 *2157:17 0.000122671 +45 *1932:61 *2158:11 9.84424e-06 +46 *1932:61 *2166:10 0.00118444 +47 *1932:61 *2169:22 0.000624038 +48 *1932:61 *2181:20 0.000422621 +49 *1932:61 *2181:36 0.000365008 +50 *1932:67 *2163:9 1.38326e-05 +51 *1932:67 *2164:26 3.79856e-05 +52 *1932:67 *2165:10 0.000120433 +53 *1932:67 *2166:9 2.33103e-06 +54 *1932:67 *2172:14 0.00113712 +55 *1932:67 *3120:21 0.00113292 +56 *1932:68 *2180:38 0.00124109 +57 *1932:68 *2181:12 0.000164389 +58 *1932:68 *2181:20 0.000856293 +59 *1932:68 *2209:27 0.000113487 +60 *1932:68 *2235:35 0.000454452 +61 *1932:68 *2248:18 0.00016553 +62 *1932:68 *2332:34 0.000227173 +63 *1932:71 *2249:7 0.000354956 +64 *1932:71 *2250:7 0.000397125 +65 *1932:71 *2389:10 2.88632e-05 +66 *1932:71 *2615:30 7.61983e-05 +67 *1169:43 *1932:61 0.000167701 +68 *1169:43 *1932:68 0.0131371 +69 *1169:63 *1932:61 6.91789e-06 +70 *1169:66 *1932:15 1.2693e-05 +71 *1171:26 *1932:25 0.000221384 +72 *1392:23 *1932:25 0.00165047 +73 *1797:8 *1932:25 7.09666e-06 +74 *1906:9 *1932:47 5.26361e-07 +75 *1913:5 *1932:47 0.000151032 +76 *1914:12 *1932:47 0.000227096 +77 *1915:7 *1932:47 5.26361e-07 +78 *1922:11 *1932:31 0.000305156 +79 *1923:11 *1932:31 9.36144e-05 +*RES +1 *22570:HI[144] *1932:15 35.6467 +2 *1932:15 *1932:25 44.7403 +3 *1932:25 *1932:31 45.0963 +4 *1932:31 *1932:47 40.7981 +5 *1932:47 *1932:61 41.903 +6 *1932:61 *1932:67 26.1088 +7 *1932:67 *1932:68 141.593 +8 *1932:68 *1932:71 27.5099 +9 *1932:71 *4881:DIODE 13.7491 +10 *1932:71 *22467:B 17.6574 +*END + +*D_NET *1933 0.0429161 +*CONN +*I *22468:B I *D sky130_fd_sc_hd__and2b_1 +*I *4883:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[145] O *D mprj_logic_high +*CAP +1 *22468:B 0.000208356 +2 *4883:DIODE 0.000175398 +3 *22570:HI[145] 0.000116732 +4 *1933:19 0.000451127 +5 *1933:16 0.00674714 +6 *1933:15 0.00676177 +7 *1933:10 0.00245483 +8 *1933:7 0.00606033 +9 *1933:5 0.00380423 +10 *4883:DIODE *3416:7 0.000258142 +11 *22468:B *4882:DIODE 0.000167076 +12 *22468:B *2900:18 0.00016968 +13 *22468:B *3117:6 2.91899e-05 +14 *22468:B *3427:13 0.000213725 +15 *1933:7 *21477:A 7.01586e-06 +16 *1933:7 *1947:33 4.69495e-06 +17 *1933:7 *2188:19 2.55661e-05 +18 *1933:7 *2332:48 0.000309671 +19 *1933:7 *2873:8 0 +20 *1933:7 *3116:31 7.60356e-05 +21 *1933:7 *3393:10 0 +22 *1933:15 *3396:6 1.05855e-05 +23 *1933:16 *2384:21 0.000224455 +24 *1933:16 *2386:25 0.00086774 +25 *1933:16 *2386:31 1.61631e-05 +26 *1933:16 *2440:15 0.00912137 +27 *1933:19 *2900:18 5.88009e-05 +28 *1933:19 *3117:6 1.05934e-05 +29 *1284:11 *1933:7 1.64462e-05 +30 *1381:35 *1933:7 0.000270887 +31 *1403:13 *1933:10 0.00380698 +32 *1412:11 *1933:15 8.72256e-06 +33 *1418:9 *22468:B 1.65872e-05 +34 *1908:7 *1933:7 0.00037176 +35 *1912:20 *1933:7 7.42758e-05 +36 *1926:10 *1933:7 0 +37 *1931:7 *1933:7 0 +*RES +1 *22570:HI[145] *1933:5 1.20912 +2 *1933:5 *1933:7 67.6495 +3 *1933:7 *1933:10 46.2641 +4 *1933:10 *1933:15 10.4167 +5 *1933:15 *1933:16 119.409 +6 *1933:16 *1933:19 5.91674 +7 *1933:19 *4883:DIODE 16.691 +8 *1933:19 *22468:B 20.0446 +*END + +*D_NET *1934 0.0520917 +*CONN +*I *22469:B I *D sky130_fd_sc_hd__and2b_1 +*I *4885:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[146] O *D mprj_logic_high +*CAP +1 *22469:B 0 +2 *4885:DIODE 0.000132814 +3 *22570:HI[146] 0.00185095 +4 *1934:11 0.00583842 +5 *1934:7 0.00755656 +6 *4885:DIODE *3143:35 9.15252e-05 +7 *4885:DIODE *3426:22 9.12416e-06 +8 *1934:7 *4840:DIODE 0.000145337 +9 *1934:7 *1940:19 0.000276689 +10 *1934:7 *1942:13 7.74294e-05 +11 *1934:7 *1942:17 0.000709357 +12 *1934:7 *2153:34 2.94004e-05 +13 *1934:7 *2183:23 1.5714e-05 +14 *1934:7 *2877:25 5.0084e-05 +15 *1934:7 *2877:43 0.000268637 +16 *1934:7 *3109:52 4.60221e-06 +17 *1934:11 *1937:28 0.0040812 +18 *1934:11 *1940:35 0.00366967 +19 *1934:11 *2064:23 0.000538752 +20 *1934:11 *2605:20 5.60804e-05 +21 *1934:11 *3142:11 0.017358 +22 *1934:11 *3142:20 0.00253584 +23 *1934:11 *3143:17 0.00558531 +24 *1934:11 *3273:23 0.00118279 +25 *1934:11 *3426:22 1.91246e-05 +26 *1905:39 *1934:7 8.25452e-06 +*RES +1 *22570:HI[146] *1934:7 41.6575 +2 *1934:7 *1934:11 32.4046 +3 *1934:11 *4885:DIODE 16.3045 +4 *1934:11 *22469:B 13.7491 +*END + +*D_NET *1935 0.0611887 +*CONN +*I *4887:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22470:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[147] O *D mprj_logic_high +*CAP +1 *4887:DIODE 3.27515e-05 +2 *22470:B 0.000200494 +3 *22570:HI[147] 0.00159611 +4 *1935:36 0.0018607 +5 *1935:24 0.00640641 +6 *1935:13 0.00637506 +7 *4887:DIODE *3169:9 6.50727e-05 +8 *22470:B *3145:16 0 +9 *1935:13 *23421:A 0.000195896 +10 *1935:13 *2155:32 4.24878e-05 +11 *1935:13 *2155:41 0.000467478 +12 *1935:13 *2163:25 8.22001e-05 +13 *1935:13 *2197:35 1.15048e-05 +14 *1935:13 *2336:13 8.80635e-05 +15 *1935:13 *3145:11 0.000127341 +16 *1935:24 *3794:DIODE 2.79507e-05 +17 *1935:24 *2031:27 0.00891068 +18 *1935:24 *2052:29 0.00384796 +19 *1935:24 *2175:24 0.00264731 +20 *1935:24 *2339:8 0.00100239 +21 *1935:24 *2888:20 0.000467414 +22 *1935:24 *3113:51 0.00126634 +23 *1935:24 *3141:15 0.00170839 +24 *1935:24 *3141:35 1.58253e-05 +25 *1935:24 *3143:17 0.00434843 +26 *1935:24 *3273:23 0.00355798 +27 *1935:24 *3391:7 0.00121399 +28 *1935:24 *3391:18 3.29488e-05 +29 *1935:36 *1937:28 0.00499848 +30 *1935:36 *2047:33 0.000143456 +31 *1935:36 *2089:26 0 +32 *1935:36 *2126:25 2.7805e-05 +33 *1935:36 *2339:8 0.00504833 +34 *1935:36 *3169:9 0.000727457 +35 *1935:36 *3273:23 1.98294e-05 +36 *1668:17 *1935:13 8.04496e-05 +37 *1902:12 *1935:24 0.00324848 +38 *1911:33 *1935:13 0.000126505 +39 *1920:7 *1935:13 0 +40 *1924:7 *1935:24 1.05272e-06 +41 *1925:27 *1935:24 5.1493e-06 +42 *1926:10 *1935:13 0.000157859 +43 *1929:19 *1935:24 4.69495e-06 +*RES +1 *22570:HI[147] *1935:13 37.5736 +2 *1935:13 *1935:24 47.9279 +3 *1935:24 *1935:36 33.6075 +4 *1935:36 *22470:B 22.1574 +5 *1935:36 *4887:DIODE 9.97254 +*END + +*D_NET *1936 0.0421615 +*CONN +*I *22471:B I *D sky130_fd_sc_hd__and2b_1 +*I *4889:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[148] O *D mprj_logic_high +*CAP +1 *22471:B 0 +2 *4889:DIODE 0.00011926 +3 *22570:HI[148] 0.000475983 +4 *1936:70 0.000642668 +5 *1936:64 0.00252111 +6 *1936:56 0.0027963 +7 *1936:43 0.00136486 +8 *1936:37 0.00227008 +9 *1936:21 0.0021798 +10 *4889:DIODE *2053:19 7.99378e-05 +11 *4889:DIODE *2446:7 6.36477e-05 +12 *1936:21 *2155:32 0.000693951 +13 *1936:21 *2159:30 0.000162671 +14 *1936:21 *2166:10 6.47059e-05 +15 *1936:21 *2169:35 0.00139754 +16 *1936:21 *2332:48 3.93117e-06 +17 *1936:37 *2154:18 0.00012478 +18 *1936:37 *2155:13 0.000381566 +19 *1936:37 *2159:30 0.00106189 +20 *1936:37 *2167:24 0.00102399 +21 *1936:37 *2173:20 0 +22 *1936:37 *2181:20 0.000503784 +23 *1936:37 *2192:25 8.8395e-05 +24 *1936:37 *2196:25 0.00134044 +25 *1936:37 *2332:34 0.000386404 +26 *1936:43 *2065:27 0.000108938 +27 *1936:43 *2196:8 0.00260399 +28 *1936:43 *3120:27 0.000320826 +29 *1936:56 *23530:TE 0.000215771 +30 *1936:56 *1943:14 0.00114501 +31 *1936:56 *1943:24 0.000831107 +32 *1936:56 *2183:8 0.000746854 +33 *1936:56 *2197:13 6.74117e-05 +34 *1936:56 *2209:10 0.00190525 +35 *1936:64 *4991:DIODE 1.41291e-05 +36 *1936:64 *1946:40 1.61516e-05 +37 *1936:64 *2200:9 0.000216515 +38 *1936:64 *2215:8 8.67835e-05 +39 *1936:64 *2320:26 0.00155993 +40 *1936:64 *2388:18 2.41916e-05 +41 *1936:70 *4991:DIODE 0.000169041 +42 *1936:70 *22471:A_N 0.000162663 +43 *1936:70 *1946:40 0.00121216 +44 *1936:70 *1948:67 0.000629863 +45 *1936:70 *2238:8 0.000213037 +46 *1936:70 *2318:18 0.0027026 +47 *1936:70 *2329:37 0.000415855 +48 *1936:70 *2330:28 0.000159297 +49 *1936:70 *2388:18 0.000834465 +50 *4990:DIODE *1936:64 0.000323366 +51 *23530:A *1936:56 0.000217887 +52 *1169:63 *1936:37 1.07269e-05 +53 *1406:12 *4889:DIODE 2.92246e-05 +54 *1930:14 *1936:43 0.00259976 +55 *1932:31 *1936:21 0.00185061 +56 *1932:47 *1936:37 0.000349885 +57 *1932:61 *1936:37 0.00067049 +*RES +1 *22570:HI[148] *1936:21 48.3828 +2 *1936:21 *1936:37 41.5816 +3 *1936:37 *1936:43 44.2686 +4 *1936:43 *1936:56 49.5526 +5 *1936:56 *1936:64 44.2902 +6 *1936:64 *1936:70 43.4761 +7 *1936:70 *4889:DIODE 20.8045 +8 *1936:70 *22471:B 9.24915 +*END + +*D_NET *1937 0.0632109 +*CONN +*I *4891:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22472:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[149] O *D mprj_logic_high +*CAP +1 *4891:DIODE 3.30647e-05 +2 *22472:B 0.000259813 +3 *22570:HI[149] 0.00329871 +4 *1937:33 0.00052072 +5 *1937:28 0.00506848 +6 *1937:11 0.00813935 +7 *4891:DIODE *1940:36 6.50727e-05 +8 *22472:B *1940:36 0.000113968 +9 *22472:B *2454:33 4.50601e-05 +10 *22472:B *3153:37 7.14746e-05 +11 *22472:B *3426:26 0 +12 *22472:B *3429:10 0.00017393 +13 *1937:11 *2129:33 0 +14 *1937:11 *2129:37 0 +15 *1937:11 *2163:32 0.000176857 +16 *1937:11 *2175:24 0.00136963 +17 *1937:11 *2339:8 0.00421223 +18 *1937:11 *2879:41 5.49916e-05 +19 *1937:11 *3100:20 0 +20 *1937:11 *3113:47 1.05746e-05 +21 *1937:11 *3119:18 0 +22 *1937:11 *3141:15 0.00010045 +23 *1937:11 *3385:12 0 +24 *1937:11 *3389:22 0 +25 *1937:11 *3391:18 0 +26 *1937:28 *2064:23 0.000562795 +27 *1937:28 *2175:10 0.0052152 +28 *1937:28 *2339:8 0.00165956 +29 *1937:28 *2605:20 6.03237e-05 +30 *1937:28 *2879:41 0.000108388 +31 *1937:28 *3111:26 0 +32 *1937:28 *3142:20 0.00267142 +33 *1937:28 *3143:17 0.00298246 +34 *1937:28 *3143:35 0.00896682 +35 *1937:28 *3273:23 0.00592968 +36 *1937:33 *3426:26 0 +37 *1169:66 *1937:11 1.21887e-05 +38 *1381:28 *1937:11 0.00064357 +39 *1385:10 *1937:11 0.000348017 +40 *1388:13 *1937:28 0.000461969 +41 *1411:10 *1937:28 4.00504e-05 +42 *1793:11 *1937:11 1.47102e-05 +43 *1916:10 *1937:11 3.35033e-05 +44 *1925:27 *1937:11 0 +45 *1925:27 *1937:28 0.000210077 +46 *1928:21 *1937:11 1.59968e-05 +47 *1929:14 *1937:11 1.91391e-05 +48 *1932:15 *1937:11 0.000460985 +49 *1934:11 *1937:28 0.0040812 +50 *1935:36 *1937:28 0.00499848 +*RES +1 *22570:HI[149] *1937:11 48.853 +2 *1937:11 *1937:28 49.8535 +3 *1937:28 *1937:33 12.3999 +4 *1937:33 *22472:B 24.3223 +5 *1937:33 *4891:DIODE 9.97254 +*END + +*D_NET *1938 0.0781263 +*CONN +*I *5001:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22529:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[14] O *D mprj_logic_high +*CAP +1 *5001:DIODE 0 +2 *22529:TE 0.000139777 +3 *22570:HI[14] 0.00131973 +4 *1938:36 0.00156418 +5 *1938:26 0.0153615 +6 *1938:25 0.0163243 +7 *1938:19 0.0030315 +8 *1938:14 0.00142139 +9 *1938:13 0.000777076 +10 *1938:11 0.00131973 +11 *1938:11 *1946:13 0.000110505 +12 *1938:11 *1948:14 0.00114249 +13 *1938:11 *1948:32 1.41976e-05 +14 *1938:11 *1952:17 0.000155855 +15 *1938:11 *2022:28 0.000216272 +16 *1938:11 *2592:20 0 +17 *1938:11 *2876:30 0 +18 *1938:14 *2318:48 0.000195004 +19 *1938:14 *2344:46 0.00618561 +20 *1938:19 *2581:6 0.000778116 +21 *1938:19 *2582:16 0 +22 *1938:19 *2940:28 0.000246039 +23 *1938:25 *2855:33 5.49269e-05 +24 *1938:25 *2918:44 8.98169e-05 +25 *1938:25 *3120:7 0.000863818 +26 *1938:25 *3120:14 0.000740086 +27 *1938:26 *6068:DIODE 6.08467e-05 +28 *1938:26 *21441:A 7.48633e-05 +29 *1938:26 *2157:24 0.0135426 +30 *1938:26 *2321:20 0.00037651 +31 *1938:26 *3096:5 0.00108279 +32 *1938:36 *22444:B 4.65396e-05 +33 *1938:36 *2874:12 0.000298473 +34 *1938:36 *2874:21 4.73625e-05 +35 *1938:36 *2910:6 9.24241e-05 +36 *1938:36 *2910:24 0.000265636 +37 *1938:36 *3096:5 0.000363651 +38 *22277:TE *1938:36 2.65667e-05 +39 *22321:TE *1938:11 2.77419e-05 +40 *23130:A *1938:26 0.000264614 +41 *1160:61 *1938:19 0.000270498 +42 *1262:26 *1938:25 0.000579032 +43 *1313:11 *22529:TE 7.22498e-05 +44 *1369:15 *1938:26 0.000313068 +45 *1385:5 *1938:14 0.00553403 +46 *1387:27 *1938:14 0.000205101 +47 *1387:30 *1938:11 7.26959e-06 +48 *1633:11 *1938:26 0.000330596 +49 *1797:8 *1938:11 0.000175366 +50 *1910:14 *1938:11 0.00155714 +51 *1932:25 *1938:11 0.000459309 +*RES +1 *22570:HI[14] *1938:11 48.4187 +2 *1938:11 *1938:13 4.5 +3 *1938:13 *1938:14 67.8304 +4 *1938:14 *1938:19 27.8573 +5 *1938:19 *1938:25 49.8202 +6 *1938:25 *1938:26 237.262 +7 *1938:26 *1938:36 44.8782 +8 *1938:36 *22529:TE 13.1796 +9 *1938:36 *5001:DIODE 9.24915 +*END + +*D_NET *1939 0.0565585 +*CONN +*I *4893:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22473:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[150] O *D mprj_logic_high +*CAP +1 *4893:DIODE 9.42284e-05 +2 *22473:B 7.83227e-05 +3 *22570:HI[150] 0.00126296 +4 *1939:41 0.000352995 +5 *1939:38 0.00339223 +6 *1939:36 0.00323482 +7 *1939:34 0.00371539 +8 *1939:32 0.00371539 +9 *1939:30 0.000760889 +10 *1939:29 0.00101546 +11 *1939:22 0.0010494 +12 *1939:7 0.00203475 +13 *4893:DIODE *2295:11 0 +14 *4893:DIODE *2905:46 0 +15 *22473:B *3445:13 0.000218638 +16 *1939:7 *2022:28 0.00126591 +17 *1939:7 *2736:20 5.1188e-05 +18 *1939:22 *3792:DIODE 0.000127828 +19 *1939:22 *21602:A 2.05223e-05 +20 *1939:22 *3111:17 0.00213276 +21 *1939:22 *3149:18 6.45953e-05 +22 *1939:29 *2028:17 1.80225e-05 +23 *1939:29 *3147:15 0.000307037 +24 *1939:30 *23527:TE 0.000207883 +25 *1939:30 *2176:10 0.00033536 +26 *1939:30 *2176:14 0.00295966 +27 *1939:30 *3131:9 0.000626933 +28 *1939:30 *3145:11 0.00012309 +29 *1939:30 *3405:7 0.000520928 +30 *1939:34 *3684:DIODE 0.000319829 +31 *1939:34 *21498:A 0.000214355 +32 *1939:34 *22520:TE 0.000116764 +33 *1939:34 *2171:26 0.00361263 +34 *1939:34 *2171:28 0.0034839 +35 *1939:34 *2343:8 0.000581644 +36 *1939:34 *2381:9 0.000140585 +37 *1939:34 *3131:9 0.00431687 +38 *1939:34 *3161:15 9.91895e-05 +39 *1939:34 *3161:17 0.0012277 +40 *1939:34 *3405:7 9.94284e-06 +41 *1939:38 *2343:8 0.00012309 +42 *1939:38 *3161:17 0.000926229 +43 *1939:41 *2293:20 8.62625e-06 +44 *1939:41 *2295:11 0 +45 *1939:41 *2304:10 0 +46 *4592:DIODE *1939:22 0.000164829 +47 *4592:DIODE *1939:29 4.69414e-05 +48 *5032:DIODE *1939:38 0.000126194 +49 *23529:A *1939:30 0.000102628 +50 *1183:31 *1939:38 0.000880899 +51 *1287:9 *1939:22 0.000461917 +52 *1303:10 *1939:34 0.000949874 +53 *1307:15 *1939:34 0.000432372 +54 *1383:9 *1939:22 0.000825246 +55 *1385:11 *1939:22 0.00222606 +56 *1385:26 *1939:29 0.000400335 +57 *1387:34 *1939:22 3.03484e-05 +58 *1392:36 *1939:29 2.43314e-05 +59 *1392:37 *1939:30 0.00412258 +60 *1409:10 *1939:22 0.000257848 +61 *1409:10 *1939:29 2.10081e-05 +62 *1815:11 *1939:34 0.00021569 +63 *1907:11 *1939:29 0.000171941 +64 *1909:7 *1939:29 0 +65 *1920:7 *1939:7 0 +66 *1927:33 *1939:7 0.000228881 +*RES +1 *22570:HI[150] *1939:7 33.0303 +2 *1939:7 *1939:22 46.2491 +3 *1939:22 *1939:29 18.0685 +4 *1939:29 *1939:30 61.1752 +5 *1939:30 *1939:32 0.578717 +6 *1939:32 *1939:34 145.752 +7 *1939:34 *1939:36 0.578717 +8 *1939:36 *1939:38 52.5788 +9 *1939:38 *1939:41 7.57775 +10 *1939:41 *22473:B 16.1364 +11 *1939:41 *4893:DIODE 15.5811 +*END + +*D_NET *1940 0.0627941 +*CONN +*I *22474:B I *D sky130_fd_sc_hd__and2b_1 +*I *4895:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[151] O *D mprj_logic_high +*CAP +1 *22474:B 0.000221292 +2 *4895:DIODE 0 +3 *22570:HI[151] 0.00192077 +4 *1940:36 0.000788182 +5 *1940:35 0.00594257 +6 *1940:25 0.00692576 +7 *1940:19 0.00347084 +8 *22474:B *21499:A 6.50586e-05 +9 *22474:B *2454:21 5.31074e-05 +10 *22474:B *2621:26 3.60933e-06 +11 *22474:B *2907:31 2.41483e-05 +12 *22474:B *3145:16 0 +13 *22474:B *3153:37 0.000118166 +14 *1940:19 *4840:DIODE 0.000115581 +15 *1940:19 *1941:7 0.000107195 +16 *1940:19 *1944:17 8.74802e-05 +17 *1940:19 *1947:22 4.56657e-05 +18 *1940:19 *2163:25 4.49767e-05 +19 *1940:19 *2877:25 5.49916e-05 +20 *1940:19 *2877:43 0.00027698 +21 *1940:19 *3109:52 0.000428491 +22 *1940:25 *3391:18 0.000116176 +23 *1940:35 *2041:19 6.7785e-05 +24 *1940:35 *2058:17 0.000170023 +25 *1940:35 *2341:36 0.0114508 +26 *1940:35 *2341:45 0.000879756 +27 *1940:35 *2597:17 0.000175733 +28 *1940:35 *2863:24 6.03237e-05 +29 *1940:35 *2894:23 0.000114382 +30 *1940:35 *2901:20 0.000110846 +31 *1940:35 *3040:27 0.00120763 +32 *1940:35 *3141:36 5.1805e-05 +33 *1940:35 *3142:11 0.0146725 +34 *1940:35 *3143:35 0.00291886 +35 *1940:35 *3273:23 1.99863e-05 +36 *1940:36 *3483:DIODE 0.000254867 +37 *1940:36 *21499:A 0.000269508 +38 *1940:36 *2454:21 0.000317663 +39 *1940:36 *2899:59 6.92705e-05 +40 *1940:36 *3167:5 0.000899648 +41 *1940:36 *3429:9 0.00135407 +42 *4841:DIODE *1940:19 7.3747e-05 +43 *4891:DIODE *1940:36 6.50727e-05 +44 *6406:DIODE *1940:36 0.000164829 +45 *22472:B *1940:36 0.000113968 +46 *1410:7 *1940:25 0.00233866 +47 *1411:10 *1940:25 6.22259e-05 +48 *1822:10 *22474:B 6.50586e-05 +49 *1912:23 *1940:19 8.76793e-05 +50 *1934:7 *1940:19 0.000276689 +51 *1934:11 *1940:35 0.00366967 +*RES +1 *22570:HI[151] *1940:19 44.8772 +2 *1940:19 *1940:25 36.0042 +3 *1940:25 *1940:35 39.5762 +4 *1940:35 *1940:36 30.1174 +5 *1940:36 *4895:DIODE 9.24915 +6 *1940:36 *22474:B 23.7435 +*END + +*D_NET *1941 0.0742487 +*CONN +*I *22475:B I *D sky130_fd_sc_hd__and2b_1 +*I *4897:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[152] O *D mprj_logic_high +*CAP +1 *22475:B 0 +2 *4897:DIODE 7.9666e-05 +3 *22570:HI[152] 0.00194721 +4 *1941:56 0.000345974 +5 *1941:54 0.000981676 +6 *1941:42 0.00284408 +7 *1941:41 0.00243822 +8 *1941:36 0.00151949 +9 *1941:35 0.00150841 +10 *1941:30 0.000788467 +11 *1941:29 0.000714576 +12 *1941:26 0.0013859 +13 *1941:16 0.00168914 +14 *1941:7 0.00247497 +15 *4897:DIODE *2126:26 6.50727e-05 +16 *4897:DIODE *2134:36 6.92705e-05 +17 *4897:DIODE *3165:16 0.000114239 +18 *4897:DIODE *3417:14 4.02362e-05 +19 *1941:7 *1944:17 0.000153614 +20 *1941:7 *1947:22 0.000232147 +21 *1941:7 *2022:28 0 +22 *1941:7 *2163:25 1.24189e-05 +23 *1941:7 *2875:47 0.000459721 +24 *1941:7 *3389:26 0 +25 *1941:16 *2470:53 0.000472693 +26 *1941:16 *3116:31 0.000413252 +27 *1941:16 *3133:17 0.00117675 +28 *1941:16 *3133:21 0.00112626 +29 *1941:16 *3251:32 4.49767e-05 +30 *1941:26 *3111:26 0.00141714 +31 *1941:26 *3116:39 0.000160711 +32 *1941:26 *3133:21 0.000306907 +33 *1941:26 *3397:10 0.00012774 +34 *1941:30 *2866:47 0.00550992 +35 *1941:30 *3151:45 0.00550992 +36 *1941:30 *3433:19 0.000267774 +37 *1941:35 *2385:12 0 +38 *1941:35 *3217:22 5.4678e-05 +39 *1941:35 *3405:8 0.00030113 +40 *1941:36 *3785:DIODE 0.000164829 +41 *1941:36 *2866:53 0.00358227 +42 *1941:36 *3133:31 0.00115107 +43 *1941:36 *3431:13 0.00942584 +44 *1941:41 *3136:24 0.000111894 +45 *1941:41 *3160:10 2.95626e-05 +46 *1941:41 *3421:8 0 +47 *1941:42 *21501:A 0.000319815 +48 *1941:42 *2907:37 0.00420447 +49 *1941:42 *3155:19 0.00507449 +50 *1941:42 *3160:11 0.00101025 +51 *1941:54 *22551:TE 2.36813e-05 +52 *1941:54 *2305:8 1.92172e-05 +53 *1941:54 *2310:26 0.000288874 +54 *1941:54 *2454:13 9.58056e-05 +55 *1941:54 *2454:18 0.000720238 +56 *1941:54 *2488:8 2.12286e-05 +57 *1941:54 *3160:11 0.000794203 +58 *1941:54 *3160:16 2.95757e-05 +59 *1941:54 *3167:11 0.00160232 +60 *1941:54 *3168:17 0.000360145 +61 *1941:54 *3350:6 2.7426e-05 +62 *1941:54 *3437:27 0.000217923 +63 *1941:56 *4896:DIODE 6.23875e-05 +64 *1941:56 *2454:13 0.00150253 +65 *1941:56 *3167:11 0.000334086 +66 *22328:TE *1941:26 4.31703e-05 +67 *1171:26 *1941:7 0.000225837 +68 *1404:24 *1941:29 3.20069e-06 +69 *1410:13 *1941:26 0.00116467 +70 *1410:19 *1941:36 0.00024354 +71 *1410:25 *1941:36 0.000896502 +72 *1410:29 *1941:41 0.000140018 +73 *1413:37 *1941:41 7.09666e-06 +74 *1434:14 *1941:42 0.00147664 +75 *1795:8 *1941:7 0.000586767 +76 *1797:8 *1941:7 8.17829e-06 +77 *1817:11 *1941:36 2.41274e-06 +78 *1925:33 *1941:29 2.17791e-05 +79 *1926:14 *1941:16 4.15661e-05 +80 *1928:28 *1941:30 0.000202746 +81 *1931:16 *1941:16 0.000763317 +82 *1931:23 *1941:26 3.93822e-05 +83 *1931:23 *1941:29 6.3609e-05 +84 *1932:25 *1941:7 0.000282565 +85 *1940:19 *1941:7 0.000107195 +*RES +1 *22570:HI[152] *1941:7 47.1488 +2 *1941:7 *1941:16 36.4296 +3 *1941:16 *1941:26 46.3093 +4 *1941:26 *1941:29 8.82351 +5 *1941:29 *1941:30 58.9568 +6 *1941:30 *1941:35 15.3998 +7 *1941:35 *1941:36 102.216 +8 *1941:36 *1941:41 15.815 +9 *1941:41 *1941:42 100.829 +10 *1941:42 *1941:54 47.5362 +11 *1941:54 *1941:56 16.2523 +12 *1941:56 *4897:DIODE 21.2198 +13 *1941:56 *22475:B 9.24915 +*END + +*D_NET *1942 0.106202 +*CONN +*I *22476:B I *D sky130_fd_sc_hd__and2b_1 +*I *4899:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[153] O *D mprj_logic_high +*CAP +1 *22476:B 0.000442217 +2 *4899:DIODE 9.8398e-05 +3 *22570:HI[153] 0.00146659 +4 *1942:29 0.00192149 +5 *1942:25 0.00386772 +6 *1942:24 0.00248684 +7 *1942:22 0.0047262 +8 *1942:21 0.0047262 +9 *1942:19 0.00278253 +10 *1942:17 0.00401528 +11 *1942:13 0.00269934 +12 *22476:B *22476:A_N 0.000158357 +13 *22476:B *1946:80 3.58044e-05 +14 *22476:B *1950:26 0.000614353 +15 *22476:B *2123:20 6.92578e-05 +16 *22476:B *2314:25 7.22263e-05 +17 *22476:B *2909:31 5.08751e-05 +18 *22476:B *2909:40 5.31074e-05 +19 *1942:13 *1944:10 0.000229092 +20 *1942:13 *1945:20 8.87683e-07 +21 *1942:13 *2153:34 8.89586e-06 +22 *1942:13 *2324:35 1.5714e-05 +23 *1942:17 *23516:TE 9.82202e-06 +24 *1942:17 *2324:35 1.28646e-05 +25 *1942:17 *2851:8 0.000167176 +26 *1942:17 *3109:52 0 +27 *1942:19 *1944:19 0.000797367 +28 *1942:19 *2474:45 2.33103e-06 +29 *1942:19 *2851:8 0 +30 *1942:19 *2906:12 2.14624e-05 +31 *1942:19 *2940:16 0 +32 *1942:19 *2995:50 5.11322e-06 +33 *1942:19 *3104:19 6.93735e-05 +34 *1942:19 *3109:52 0.00050418 +35 *1942:22 *1944:22 0.0406816 +36 *1942:22 *2338:14 0.0065291 +37 *1942:22 *2436:9 0 +38 *1942:22 *2488:31 4.0752e-05 +39 *1942:22 *2974:9 0 +40 *1942:22 *2978:9 0.0212443 +41 *1942:25 *23065:A 0 +42 *1942:25 *2426:14 0 +43 *1942:25 *2440:14 8.6931e-05 +44 *1942:25 *2461:24 7.22951e-05 +45 *1942:25 *2490:20 0.000192686 +46 *1942:25 *3139:14 0.0004381 +47 *1942:25 *3139:18 8.62625e-06 +48 *1942:25 *3191:8 0 +49 *1942:25 *3457:14 0 +50 *1942:25 *3458:6 0.000417931 +51 *1942:29 *2472:6 0 +52 *1942:29 *3139:18 0.00029171 +53 *1942:29 *3458:6 0.000694082 +54 la_data_in_core[21] *1942:19 0.000385363 +55 la_oenb_core[78] *1942:25 0.000471996 +56 *3815:DIODE *1942:25 0 +57 *22555:A *1942:29 1.67271e-05 +58 *363:5 *1942:25 0 +59 *1137:32 *4899:DIODE 0.000175485 +60 *1137:32 *22476:B 7.22263e-05 +61 *1137:32 *1942:29 2.55314e-05 +62 *1179:40 *1942:29 1.5714e-05 +63 *1384:22 *1942:13 0 +64 *1440:8 *1942:29 0.000114431 +65 *1865:11 *1942:25 8.58125e-05 +66 *1874:9 *1942:22 0.000954593 +67 *1877:18 *1942:25 2.21116e-05 +68 *1902:19 *1942:17 0.000184252 +69 *1905:39 *1942:13 6.22114e-05 +70 *1934:7 *1942:13 7.74294e-05 +71 *1934:7 *1942:17 0.000709357 +*RES +1 *22570:HI[153] *1942:13 26.2708 +2 *1942:13 *1942:17 27.6509 +3 *1942:17 *1942:19 59.0513 +4 *1942:19 *1942:21 4.5 +5 *1942:21 *1942:22 463.817 +6 *1942:22 *1942:24 4.5 +7 *1942:24 *1942:25 59.0513 +8 *1942:25 *1942:29 33.9408 +9 *1942:29 *4899:DIODE 15.5817 +10 *1942:29 *22476:B 26.9769 +*END + +*D_NET *1943 0.0903197 +*CONN +*I *22478:B I *D sky130_fd_sc_hd__and2b_1 +*I *4903:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[154] O *D mprj_logic_high +*CAP +1 *22478:B 0.000221328 +2 *4903:DIODE 0 +3 *22570:HI[154] 0.000786388 +4 *1943:59 0.000494571 +5 *1943:56 0.00101951 +6 *1943:54 0.000830557 +7 *1943:48 0.00126193 +8 *1943:47 0.00126682 +9 *1943:42 0.000564526 +10 *1943:36 0.00364619 +11 *1943:35 0.00336808 +12 *1943:26 0.00172505 +13 *1943:24 0.00284479 +14 *1943:14 0.00318642 +15 *1943:13 0.00193671 +16 *1943:8 0.00529556 +17 *1943:7 0.00601468 +18 *22478:B *2457:13 0.000178 +19 *22478:B *2911:30 0 +20 *22478:B *2915:56 4.88764e-06 +21 *1943:7 *1950:14 2.01145e-05 +22 *1943:7 *1952:17 7.30884e-05 +23 *1943:7 *2158:31 0.000225459 +24 *1943:7 *2168:16 0.000143173 +25 *1943:7 *3119:18 3.59784e-05 +26 *1943:8 *5893:DIODE 3.24105e-05 +27 *1943:8 *5898:DIODE 1.35648e-05 +28 *1943:8 *23296:B 6.53173e-05 +29 *1943:8 *1946:13 0.0010986 +30 *1943:8 *1947:22 6.2497e-05 +31 *1943:8 *1951:10 0.00010238 +32 *1943:8 *2165:17 0.000429969 +33 *1943:8 *2197:31 0.00069313 +34 *1943:8 *2197:38 1.03403e-05 +35 *1943:8 *2875:47 0.000130755 +36 *1943:13 *2155:13 7.09666e-06 +37 *1943:13 *2183:13 9.34612e-05 +38 *1943:14 *23528:TE 9.9028e-05 +39 *1943:14 *2034:20 0.000280039 +40 *1943:14 *2160:13 0.000733874 +41 *1943:14 *2165:10 0.000100184 +42 *1943:14 *2165:17 0.000406425 +43 *1943:14 *2183:8 1.35342e-05 +44 *1943:24 *21492:A 0.000111722 +45 *1943:24 *2209:10 0.00027256 +46 *1943:26 *22549:TE 0.000103294 +47 *1943:26 *2260:8 0.00255002 +48 *1943:26 *2324:16 9.68627e-06 +49 *1943:26 *2446:7 0.00191587 +50 *1943:26 *2446:11 0.000318535 +51 *1943:26 *2896:31 0.000413203 +52 *1943:26 *2896:40 0.000813359 +53 *1943:35 *2282:8 0.000515081 +54 *1943:35 *2285:10 6.22114e-05 +55 *1943:35 *2286:7 2.10081e-05 +56 *1943:35 *2324:16 6.0269e-05 +57 *1943:35 *2446:5 9.95922e-06 +58 *1943:36 *23079:A 5.0715e-05 +59 *1943:36 *23601:A 5.39627e-05 +60 *1943:36 *23601:B 6.73186e-05 +61 *1943:36 *2294:26 0.000123868 +62 *1943:36 *2488:7 2.57847e-05 +63 *1943:36 *3478:15 7.49016e-05 +64 *1943:42 *2306:8 0.00330725 +65 *1943:42 *2316:13 1.6692e-05 +66 *1943:47 *2338:7 2.08881e-05 +67 *1943:47 *2339:7 0.00010817 +68 *1943:48 *2294:28 0.000150271 +69 *1943:48 *2345:12 0.00128693 +70 *1943:48 *2345:34 0.000838624 +71 *1943:48 *2350:13 0.000154145 +72 *1943:54 *2345:34 0.000283559 +73 *1943:56 *2314:25 0.00201446 +74 *1943:56 *2316:34 0.00026786 +75 *1943:56 *2345:34 4.54766e-05 +76 *1943:56 *2345:36 0.00430945 +77 *1943:59 *2457:13 3.94849e-05 +78 *1943:59 *2518:14 3.9703e-05 +79 *1943:59 *2911:30 0 +80 *4670:DIODE *1943:48 0.000200742 +81 *6149:DIODE *1943:8 0.000268257 +82 *6155:DIODE *1943:8 8.70611e-05 +83 *22362:TE *1943:48 4.31703e-05 +84 *22445:B *1943:7 1.26168e-05 +85 *22449:B *1943:8 6.08467e-05 +86 *22540:A *1943:54 0.000167076 +87 *22549:A *1943:35 0.000318385 +88 *23528:A *1943:14 0.000171288 +89 *23530:A *1943:14 6.3657e-05 +90 *23530:A *1943:24 6.96232e-05 +91 *1160:55 *1943:26 0.000671884 +92 *1161:43 *22478:B 6.40381e-05 +93 *1164:15 *1943:48 0.00334114 +94 *1164:26 *1943:42 8.3506e-05 +95 *1164:33 *1943:35 6.08467e-05 +96 *1164:33 *1943:36 0.00302937 +97 *1164:35 *1943:26 0.00980497 +98 *1164:35 *1943:35 0.000106585 +99 *1178:45 *1943:56 0.00180863 +100 *1178:49 *1943:54 1.86405e-05 +101 *1178:49 *1943:56 0.000720112 +102 *1179:28 *22478:B 6.14756e-06 +103 *1179:28 *1943:59 5.36085e-05 +104 *1187:9 *1943:42 0.00330023 +105 *1206:41 *22478:B 6.40381e-05 +106 *1300:8 *1943:24 2.29454e-05 +107 *1300:8 *1943:26 0.00114005 +108 *1392:23 *1943:8 8.85931e-05 +109 *1540:5 *1943:8 0.000100477 +110 *1543:7 *1943:8 0.000271674 +111 *1914:12 *1943:8 0.00195547 +112 *1932:15 *1943:7 0.000195148 +113 *1936:56 *1943:14 0.00114501 +114 *1936:56 *1943:24 0.000831107 +*RES +1 *22570:HI[154] *1943:7 20.988 +2 *1943:7 *1943:8 85.5777 +3 *1943:8 *1943:13 10.832 +4 *1943:13 *1943:14 56.7384 +5 *1943:14 *1943:24 33.6861 +6 *1943:24 *1943:26 121.072 +7 *1943:26 *1943:35 18.3741 +8 *1943:35 *1943:36 52.8561 +9 *1943:36 *1943:42 47.05 +10 *1943:42 *1943:47 11.2472 +11 *1943:47 *1943:48 56.7384 +12 *1943:48 *1943:54 4.50917 +13 *1943:54 *1943:56 60.066 +14 *1943:56 *1943:59 10.4845 +15 *1943:59 *4903:DIODE 13.7491 +16 *1943:59 *22478:B 19.1136 +*END + +*D_NET *1944 0.106292 +*CONN +*I *22479:B I *D sky130_fd_sc_hd__and2b_1 +*I *4905:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[155] O *D mprj_logic_high +*CAP +1 *22479:B 0 +2 *4905:DIODE 9.66134e-05 +3 *22570:HI[155] 0.00120569 +4 *1944:28 0.000212055 +5 *1944:25 0.00278692 +6 *1944:24 0.00267148 +7 *1944:22 0.00265713 +8 *1944:21 0.00265713 +9 *1944:19 0.00245415 +10 *1944:17 0.0039247 +11 *1944:10 0.00267623 +12 *4905:DIODE *22550:TE 6.50586e-05 +13 *4905:DIODE *2312:24 6.08467e-05 +14 *4905:DIODE *3187:10 0.000123997 +15 *1944:10 *1945:20 1.47879e-05 +16 *1944:10 *2876:25 0 +17 *1944:17 *1947:22 7.19237e-05 +18 *1944:17 *3109:52 0.00102298 +19 *1944:17 *3389:26 0 +20 *1944:17 *3433:15 5.61242e-05 +21 *1944:19 *22746:A 0.000212357 +22 *1944:19 *2862:8 0 +23 *1944:19 *2862:12 0.000319168 +24 *1944:19 *3109:52 0.000215977 +25 *1944:22 *2488:31 0.0262428 +26 *1944:25 *22804:A 5.98742e-05 +27 *1944:25 *23059:A 3.56234e-05 +28 *1944:25 *2371:6 0 +29 *1944:25 *2468:10 4.9932e-05 +30 *1944:25 *2473:12 0.00206943 +31 *1944:25 *2508:16 0.000223181 +32 *1944:25 *2914:21 4.27119e-05 +33 *1944:25 *3169:30 0.00195458 +34 *1944:25 *3169:32 0.000358143 +35 *1944:25 *3452:16 8.90339e-05 +36 *1944:28 *22550:TE 9.32983e-05 +37 *1944:28 *2312:24 0.000440512 +38 la_data_in_core[74] *1944:25 0.00038169 +39 la_oenb_core[73] *1944:25 0 +40 *4841:DIODE *1944:17 7.94462e-05 +41 *301:7 *1944:19 0.000113822 +42 *1186:34 *4905:DIODE 0.000124169 +43 *1186:34 *1944:28 0.000128636 +44 *1380:34 *1944:17 9.96332e-05 +45 *1384:22 *1944:10 1.05272e-06 +46 *1795:8 *1944:17 0.000581922 +47 *1874:9 *1944:22 0.00656079 +48 *1910:14 *1944:10 0.000511482 +49 *1911:37 *1944:10 0.000508686 +50 *1912:23 *1944:17 8.69059e-05 +51 *1940:19 *1944:17 8.74802e-05 +52 *1941:7 *1944:17 0.000153614 +53 *1942:13 *1944:10 0.000229092 +54 *1942:19 *1944:19 0.000797367 +55 *1942:22 *1944:22 0.0406816 +*RES +1 *22570:HI[155] *1944:10 34.1096 +2 *1944:10 *1944:17 37.3116 +3 *1944:17 *1944:19 53.5309 +4 *1944:19 *1944:21 4.5 +5 *1944:21 *1944:22 424.995 +6 *1944:22 *1944:24 4.5 +7 *1944:24 *1944:25 79.0689 +8 *1944:25 *1944:28 9.66022 +9 *1944:28 *4905:DIODE 21.635 +10 *1944:28 *22479:B 9.24915 +*END + +*D_NET *1945 0.0847759 +*CONN +*I *4907:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22480:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[156] O *D mprj_logic_high +*CAP +1 *4907:DIODE 3.60482e-05 +2 *22480:B 0.000175551 +3 *22570:HI[156] 0.000369306 +4 *1945:42 0.0019654 +5 *1945:40 0.00276989 +6 *1945:34 0.00178312 +7 *1945:33 0.000819332 +8 *1945:28 0.00286665 +9 *1945:27 0.00339216 +10 *1945:22 0.00359378 +11 *1945:20 0.00338529 +12 *4907:DIODE *2311:34 6.08467e-05 +13 *22480:B *2351:6 0.000180601 +14 *22480:B *2913:18 0 +15 *1945:20 *2153:26 0.000954176 +16 *1945:20 *2165:18 0.000119836 +17 *1945:20 *2166:10 0.000310039 +18 *1945:20 *2166:24 0.000469909 +19 *1945:20 *2876:25 4.75721e-06 +20 *1945:22 *2101:28 0.00117538 +21 *1945:22 *2153:26 0.000347031 +22 *1945:22 *2163:10 0.00673733 +23 *1945:22 *2166:10 0.00706662 +24 *1945:22 *2167:24 0.000144814 +25 *1945:22 *2186:47 0.000253436 +26 *1945:22 *2212:19 0.000435591 +27 *1945:22 *2235:35 0.000144636 +28 *1945:22 *2243:23 0.000393819 +29 *1945:22 *2325:10 0.0150947 +30 *1945:27 *2266:7 6.7295e-05 +31 *1945:28 *2250:8 0.00154168 +32 *1945:28 *2307:8 0.000908824 +33 *1945:28 *2307:12 1.50167e-05 +34 *1945:28 *2311:8 0.00556723 +35 *1945:28 *2311:20 0.00170212 +36 *1945:28 *2336:8 0.00455949 +37 *1945:33 *2506:14 4.99006e-05 +38 *1945:34 *23590:TE 6.08467e-05 +39 *1945:34 *2307:12 0.0018966 +40 *1945:34 *2311:20 0.00126619 +41 *1945:34 *2311:24 0.000480724 +42 *1945:34 *2311:26 0.0027334 +43 *1945:34 *2350:13 0.000111722 +44 *1945:40 *22552:TE 4.17467e-05 +45 *1945:40 *2306:22 0.000360353 +46 *1945:40 *2311:26 0.000283627 +47 *1945:42 *2311:34 0.00175313 +48 *6486:DIODE *1945:34 0.000415232 +49 *22552:A *1945:40 0.000382292 +50 *1162:25 *1945:28 0.000430676 +51 *1167:9 *1945:28 0.00029687 +52 *1169:43 *1945:22 0.0034213 +53 *1185:28 *1945:40 0.000576866 +54 *1190:43 *1945:40 0.000180927 +55 *1190:43 *1945:42 0.000487964 +56 *1453:11 *1945:34 0.00011818 +57 *1942:13 *1945:20 8.87683e-07 +58 *1944:10 *1945:20 1.47879e-05 +*RES +1 *22570:HI[156] *1945:20 22.75 +2 *1945:20 *1945:22 243.64 +3 *1945:22 *1945:27 19.137 +4 *1945:27 *1945:28 154.903 +5 *1945:28 *1945:33 10.0015 +6 *1945:33 *1945:34 56.7384 +7 *1945:34 *1945:40 30.8528 +8 *1945:40 *1945:42 30.9493 +9 *1945:42 *22480:B 22.1574 +10 *1945:42 *4907:DIODE 9.97254 +*END + +*D_NET *1946 0.0903667 +*CONN +*I *22481:B I *D sky130_fd_sc_hd__and2b_1 +*I *4909:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[157] O *D mprj_logic_high +*CAP +1 *22481:B 0.000188695 +2 *4909:DIODE 0.000137543 +3 *22570:HI[157] 0.00117287 +4 *1946:80 0.00161189 +5 *1946:78 0.00152693 +6 *1946:74 0.000681841 +7 *1946:66 0.00115495 +8 *1946:58 0.00154136 +9 *1946:48 0.00209934 +10 *1946:46 0.00160344 +11 *1946:40 0.0035019 +12 *1946:38 0.00455853 +13 *1946:24 0.00203927 +14 *1946:22 0.00158798 +15 *1946:19 0.00118318 +16 *1946:13 0.00141964 +17 *4909:DIODE *2345:44 0.000105847 +18 *4909:DIODE *2345:48 0.000258128 +19 *4909:DIODE *2457:7 0.000171288 +20 *22481:B *2087:47 3.31165e-05 +21 *22481:B *2316:34 0.000171288 +22 *22481:B *2914:46 0 +23 *1946:13 *1947:22 0.000967194 +24 *1946:13 *2022:28 0.000115313 +25 *1946:13 *2875:47 3.53886e-05 +26 *1946:13 *2876:25 0.000224304 +27 *1946:19 *1948:32 0.00131101 +28 *1946:22 *3672:DIODE 0.000118166 +29 *1946:22 *21480:A 6.3657e-05 +30 *1946:22 *21482:A 6.08467e-05 +31 *1946:22 *23424:A 0.000315617 +32 *1946:22 *1948:32 3.9181e-05 +33 *1946:22 *1948:43 0.000263686 +34 *1946:22 *2182:16 0.000626187 +35 *1946:22 *2881:23 0.000125396 +36 *1946:24 *1948:43 0.000402006 +37 *1946:24 *1950:14 0.000330788 +38 *1946:24 *2182:10 0.005584 +39 *1946:24 *2182:16 7.68538e-06 +40 *1946:24 *2197:18 5.96576e-05 +41 *1946:24 *2197:20 0.00505048 +42 *1946:24 *2200:16 6.88403e-05 +43 *1946:24 *2320:26 6.6641e-05 +44 *1946:38 *22523:TE 0.00026085 +45 *1946:38 *2197:18 0.000322982 +46 *1946:38 *2204:8 0.000571292 +47 *1946:38 *2320:26 0.00075934 +48 *1946:38 *2385:9 0.0012804 +49 *1946:40 *22471:A_N 1.15389e-05 +50 *1946:40 *1948:67 0.00014489 +51 *1946:40 *1950:22 0.000173271 +52 *1946:40 *2388:18 0.0029147 +53 *1946:46 *22471:A_N 0.000260325 +54 *1946:46 *22527:TE 4.48986e-05 +55 *1946:46 *2238:8 0.000126194 +56 *1946:46 *2318:18 9.54357e-06 +57 *1946:48 *22560:TE 6.78549e-05 +58 *1946:48 *2271:8 0.000654721 +59 *1946:48 *2318:14 9.68627e-06 +60 *1946:48 *2318:18 0.00216509 +61 *1946:48 *2330:28 0.000530428 +62 *1946:48 *2389:7 0.000266707 +63 *1946:58 *22560:TE 2.36781e-05 +64 *1946:58 *2285:10 8.0084e-05 +65 *1946:58 *2285:16 0.000613938 +66 *1946:58 *2289:17 0.00112566 +67 *1946:58 *2295:11 0.000239273 +68 *1946:58 *2302:8 0.000259566 +69 *1946:58 *2318:14 0.0020121 +70 *1946:58 *2318:16 1.09551e-05 +71 *1946:58 *2458:7 2.15184e-05 +72 *1946:66 *5037:DIODE 9.32983e-05 +73 *1946:66 *2285:16 0.000360779 +74 *1946:66 *2300:16 0.00016573 +75 *1946:66 *2302:8 0.00222467 +76 *1946:66 *2309:17 0.00146961 +77 *1946:66 *2309:26 4.81015e-05 +78 *1946:66 *2316:19 0.00127797 +79 *1946:66 *2318:13 0.000675087 +80 *1946:66 *2463:8 0 +81 *1946:74 *22546:TE 6.64392e-05 +82 *1946:74 *2300:16 1.5962e-05 +83 *1946:74 *2309:26 0.000518552 +84 *1946:74 *2316:19 0.00119317 +85 *1946:74 *2316:20 0.000914693 +86 *1946:74 *2323:20 0.000118515 +87 *1946:74 *2443:7 0.000127809 +88 *1946:78 *2316:20 0.000576818 +89 *1946:78 *2323:20 0.00151667 +90 *1946:80 *22476:A_N 0.000370801 +91 *1946:80 *22559:TE 0.000118166 +92 *1946:80 *1948:97 0.00239466 +93 *1946:80 *2309:26 1.41853e-05 +94 *1946:80 *2314:26 0.000918126 +95 *1946:80 *2316:20 0.0033816 +96 *1946:80 *2316:33 0.000427719 +97 *1946:80 *2323:20 0.00011581 +98 *1946:80 *2323:30 0.00181769 +99 *1946:80 *2345:44 2.18145e-05 +100 *1946:80 *2457:7 0.000187123 +101 *1946:80 *2909:31 6.50727e-05 +102 *1946:80 *2909:40 6.50586e-05 +103 *1946:80 *2920:51 0.000171288 +104 *1946:80 *2920:62 0.000217937 +105 *4986:DIODE *1946:38 0.000143702 +106 *4988:DIODE *1946:38 5.15213e-05 +107 *4990:DIODE *1946:40 5.90902e-05 +108 *22325:A *1946:22 0.000615392 +109 *22476:B *1946:80 3.58044e-05 +110 *22527:A *1946:48 0.000218562 +111 *22546:A *1946:78 0.000228593 +112 *22559:A *4909:DIODE 0.000171273 +113 *22560:A *1946:58 0.000207266 +114 *22569:A *1946:58 0.000212189 +115 *1137:21 *4909:DIODE 0.000201309 +116 *1137:21 *1946:80 0.0029481 +117 *1162:36 *1946:22 0.000158357 +118 *1178:27 *22481:B 1.41291e-05 +119 *1178:45 *22481:B 5.56461e-05 +120 *1193:21 *1946:80 3.07561e-05 +121 *1392:23 *1946:13 2.97302e-05 +122 *1801:8 *1946:22 0.000164044 +123 *1833:11 *1946:80 0.000706077 +124 *1910:14 *1946:19 0.0013068 +125 *1910:14 *1946:22 0.00115298 +126 *1928:21 *1946:19 1.24189e-05 +127 *1931:7 *1946:19 8.03676e-06 +128 *1936:64 *1946:40 1.61516e-05 +129 *1936:70 *1946:40 0.00121216 +130 *1938:11 *1946:13 0.000110505 +131 *1943:8 *1946:13 0.0010986 +*RES +1 *22570:HI[157] *1946:13 44.6053 +2 *1946:13 *1946:19 24.59 +3 *1946:19 *1946:22 34.4337 +4 *1946:22 *1946:24 62.839 +5 *1946:24 *1946:38 48.2987 +6 *1946:38 *1946:40 55.6292 +7 *1946:40 *1946:46 9.09068 +8 *1946:46 *1946:48 55.0746 +9 *1946:48 *1946:58 48.4101 +10 *1946:58 *1946:66 48.3134 +11 *1946:66 *1946:74 33.7809 +12 *1946:74 *1946:78 17.9402 +13 *1946:78 *1946:80 96.1152 +14 *1946:80 *4909:DIODE 17.2065 +15 *1946:80 *22481:B 23.1595 +*END + +*D_NET *1947 0.112538 +*CONN +*I *4911:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22482:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[158] O *D mprj_logic_high +*CAP +1 *4911:DIODE 0 +2 *22482:B 5.53224e-05 +3 *22570:HI[158] 0.00164873 +4 *1947:50 0.000522596 +5 *1947:44 0.00134347 +6 *1947:42 0.00100034 +7 *1947:36 0.0236295 +8 *1947:35 0.0235054 +9 *1947:33 0.00201674 +10 *1947:22 0.00366546 +11 *22482:B *2351:6 8.69672e-05 +12 *1947:22 *1948:14 3.86791e-05 +13 *1947:22 *2322:20 0.00142886 +14 *1947:22 *2328:30 6.15557e-05 +15 *1947:22 *2332:48 0.00129269 +16 *1947:22 *2592:20 0.000536149 +17 *1947:22 *2875:47 0.00101669 +18 *1947:33 *22521:TE 1.48605e-05 +19 *1947:33 *2318:42 0.00271897 +20 *1947:36 *22555:TE 2.23259e-05 +21 *1947:36 *1948:84 1.67988e-05 +22 *1947:36 *1948:86 0.00891451 +23 *1947:36 *1952:32 0.0011503 +24 *1947:36 *1952:43 0.000141764 +25 *1947:36 *2315:38 8.59222e-05 +26 *1947:36 *2315:44 1.80257e-05 +27 *1947:36 *2324:20 0.0209513 +28 *1947:36 *2329:16 0.000101365 +29 *1947:36 *2329:55 0.000152056 +30 *1947:36 *2344:18 0.00640731 +31 *1947:36 *2344:24 1.15389e-05 +32 *1947:36 *2453:9 2.32834e-05 +33 *1947:42 *2315:44 0.000268798 +34 *1947:44 *22483:A_N 4.30017e-06 +35 *1947:44 *22561:TE 7.09148e-05 +36 *1947:44 *2315:44 0.000437629 +37 *1947:44 *2915:56 0.0017251 +38 *1947:44 *2916:43 2.23259e-05 +39 *1947:50 *2353:18 8.6931e-05 +40 *1947:50 *3065:6 1.48215e-05 +41 *1947:50 *3183:7 0.000111708 +42 *22561:A *1947:44 9.90116e-05 +43 *1161:41 *1947:50 0.00048737 +44 *1177:33 *1947:42 2.41483e-05 +45 *1177:33 *1947:44 0.00478028 +46 *1179:41 *1947:36 0.000250526 +47 *1199:41 *1947:44 6.73799e-05 +48 *1387:42 *1947:33 1.99996e-05 +49 *1829:13 *22482:B 8.69672e-05 +50 *1906:9 *1947:33 1.10752e-05 +51 *1931:7 *1947:33 4.69495e-06 +52 *1933:7 *1947:33 4.69495e-06 +53 *1940:19 *1947:22 4.56657e-05 +54 *1941:7 *1947:22 0.000232147 +55 *1943:8 *1947:22 6.2497e-05 +56 *1944:17 *1947:22 7.19237e-05 +57 *1946:13 *1947:22 0.000967194 +*RES +1 *22570:HI[158] *1947:22 48.4949 +2 *1947:22 *1947:33 46.3994 +3 *1947:33 *1947:35 4.5 +4 *1947:35 *1947:36 416.676 +5 *1947:36 *1947:42 4.50917 +6 *1947:42 *1947:44 52.3015 +7 *1947:44 *1947:50 17.656 +8 *1947:50 *22482:B 20.0811 +9 *1947:50 *4911:DIODE 9.24915 +*END + +*D_NET *1948 0.10988 +*CONN +*I *4913:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22483:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[159] O *D mprj_logic_high +*CAP +1 *4913:DIODE 0.000186543 +2 *22483:B 0 +3 *22570:HI[159] 0.00164246 +4 *1948:107 0.00226348 +5 *1948:97 0.00283825 +6 *1948:86 0.00173947 +7 *1948:84 0.00155143 +8 *1948:80 0.00128376 +9 *1948:68 0.00494214 +10 *1948:67 0.00460859 +11 *1948:54 0.0016244 +12 *1948:44 0.00219712 +13 *1948:43 0.0013832 +14 *1948:32 0.002391 +15 *1948:14 0.00359991 +16 *4913:DIODE *2351:6 0 +17 *4913:DIODE *2913:42 0.00011666 +18 *1948:14 *1951:10 0.00012681 +19 *1948:14 *1952:17 0 +20 *1948:14 *2592:20 0.000210627 +21 *1948:32 *3668:DIODE 6.08467e-05 +22 *1948:32 *3670:DIODE 6.08467e-05 +23 *1948:32 *4852:DIODE 0.000238215 +24 *1948:32 *5892:DIODE 6.50727e-05 +25 *1948:32 *21480:A 2.57986e-05 +26 *1948:32 *2881:23 0.000197646 +27 *1948:43 *3670:DIODE 3.45034e-05 +28 *1948:43 *23424:A 0.000318408 +29 *1948:43 *23524:TE 4.49767e-05 +30 *1948:43 *2030:17 0.000135973 +31 *1948:43 *2200:16 0.000467282 +32 *1948:43 *2200:25 0.000774027 +33 *1948:44 *2318:28 0.00935453 +34 *1948:44 *2322:20 0.000614316 +35 *1948:44 *2344:30 0.00935174 +36 *1948:54 *3481:DIODE 0.000317894 +37 *1948:54 *2318:26 0.00139856 +38 *1948:54 *2328:30 0.000159297 +39 *1948:54 *2344:30 0.000682209 +40 *1948:54 *2386:9 0.000476966 +41 *1948:54 *2896:43 0.000114594 +42 *1948:67 *2047:29 1.99996e-05 +43 *1948:67 *2227:13 1.82632e-05 +44 *1948:67 *2388:18 0.000316233 +45 *1948:68 *2318:18 0.0069583 +46 *1948:68 *2320:25 0.000436961 +47 *1948:80 *5094:DIODE 1.84293e-05 +48 *1948:80 *22577:TE 3.41459e-05 +49 *1948:80 *2280:7 0.000128702 +50 *1948:80 *2290:8 0.000132295 +51 *1948:80 *2291:22 0.000212198 +52 *1948:80 *2329:16 9.17814e-05 +53 *1948:80 *2344:18 0.00143949 +54 *1948:80 *2475:12 0.000202287 +55 *1948:84 *2289:24 0.000229449 +56 *1948:84 *2291:22 5.86422e-05 +57 *1948:84 *2300:13 0.00316463 +58 *1948:84 *2344:18 0.00417772 +59 *1948:86 *1952:43 0.000130331 +60 *1948:86 *2315:14 7.54269e-06 +61 *1948:86 *2315:26 0.000136878 +62 *1948:86 *2315:30 2.12616e-05 +63 *1948:86 *2344:17 0.00323276 +64 *1948:97 *2309:26 0.000954996 +65 *1948:97 *2316:33 7.09666e-06 +66 *1948:97 *2628:42 0 +67 *1948:97 *2909:40 8.98279e-05 +68 *1948:97 *3139:18 0 +69 *1948:97 *3250:18 0.000177497 +70 *1948:107 *2911:30 7.08723e-06 +71 *1948:107 *2913:42 0.000102734 +72 *1948:107 *2915:56 0.00295084 +73 *5058:DIODE *4913:DIODE 8.62625e-06 +74 *22577:A *1948:84 0.000217151 +75 *1179:28 *1948:107 0.00303838 +76 *1179:40 *1948:107 0.00238971 +77 *1179:41 *1948:86 0.00503435 +78 *1179:50 *1948:86 8.76513e-05 +79 *1183:19 *4913:DIODE 6.50727e-05 +80 *1184:28 *1948:107 2.56868e-05 +81 *1193:21 *1948:97 6.50586e-05 +82 *1193:27 *1948:97 7.14746e-05 +83 *1206:41 *4913:DIODE 6.50727e-05 +84 *1428:12 *1948:67 7.09666e-06 +85 *1904:15 *1948:43 5.53437e-05 +86 *1910:14 *1948:32 0.000149946 +87 *1925:18 *1948:32 0.000229093 +88 *1936:70 *1948:67 0.000629863 +89 *1938:11 *1948:14 0.00114249 +90 *1938:11 *1948:32 1.41976e-05 +91 *1946:19 *1948:32 0.00131101 +92 *1946:22 *1948:32 3.9181e-05 +93 *1946:22 *1948:43 0.000263686 +94 *1946:24 *1948:43 0.000402006 +95 *1946:40 *1948:67 0.00014489 +96 *1946:80 *1948:97 0.00239466 +97 *1947:22 *1948:14 3.86791e-05 +98 *1947:36 *1948:84 1.67988e-05 +99 *1947:36 *1948:86 0.00891451 +*RES +1 *22570:HI[159] *1948:14 39.1183 +2 *1948:14 *1948:32 49.3098 +3 *1948:32 *1948:43 28.7989 +4 *1948:43 *1948:44 101.107 +5 *1948:44 *1948:54 47.2699 +6 *1948:54 *1948:67 30.0513 +7 *1948:67 *1948:68 75.5949 +8 *1948:68 *1948:80 35.0523 +9 *1948:80 *1948:84 46.7796 +10 *1948:84 *1948:86 96.6698 +11 *1948:86 *1948:97 49.0056 +12 *1948:97 *1948:107 43.9183 +13 *1948:107 *22483:B 13.7491 +14 *1948:107 *4913:DIODE 18.4541 +*END + +*D_NET *1949 0.0511402 +*CONN +*I *5003:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22530:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[15] O *D mprj_logic_high +*CAP +1 *5003:DIODE 0 +2 *22530:TE 0.000164538 +3 *22570:HI[15] 0.000748882 +4 *1949:34 0.0012866 +5 *1949:32 0.00225424 +6 *1949:29 0.00147178 +7 *1949:16 0.00631089 +8 *1949:14 0.00599311 +9 *1949:12 0.00125653 +10 *1949:10 0.00198358 +11 *22530:TE *2340:36 0.000247431 +12 *1949:10 *2154:18 6.25781e-05 +13 *1949:10 *2173:20 0.000389036 +14 *1949:10 *2188:8 0.000397126 +15 *1949:10 *2196:8 0.00170137 +16 *1949:10 *2340:10 1.65872e-05 +17 *1949:12 *2156:26 0.00409774 +18 *1949:12 *2158:19 8.51503e-05 +19 *1949:12 *2160:14 0.00654399 +20 *1949:12 *2168:8 5.96438e-05 +21 *1949:12 *2188:8 0.00182842 +22 *1949:12 *2340:10 5.51483e-06 +23 *1949:12 *3119:15 9.6057e-05 +24 *1949:16 *22519:TE 9.14669e-05 +25 *1949:16 *2160:14 3.64198e-05 +26 *1949:16 *2160:22 0.000890058 +27 *1949:16 *2340:18 4.89898e-06 +28 *1949:16 *2847:23 2.41916e-05 +29 *1949:16 *2847:35 0.00334518 +30 *1949:16 *3119:15 8.42293e-05 +31 *1949:29 *22420:A_N 5.0715e-05 +32 *1949:29 *2337:32 0.000154145 +33 *1949:29 *2340:28 2.91262e-05 +34 *1949:29 *2847:23 9.40969e-05 +35 *1949:29 *2848:26 5.88009e-05 +36 *1949:32 *2340:28 0.00305857 +37 *1949:34 *2340:28 3.57037e-05 +38 *1949:34 *2340:36 0.00185136 +39 *4793:DIODE *1949:32 6.50586e-05 +40 *4831:DIODE *1949:16 6.50727e-05 +41 *4980:DIODE *1949:16 0.000375027 +42 *1160:61 *1949:16 0.000557411 +43 *1171:32 *1949:34 0.000127812 +44 *1768:10 *1949:29 0.000103943 +45 *1790:8 *1949:16 0.00072322 +46 *1889:29 *1949:16 0.000104284 +47 *1908:14 *1949:16 0.00140866 +48 *1930:14 *1949:10 0.000799931 +*RES +1 *22570:HI[15] *1949:10 33.6721 +2 *1949:10 *1949:12 76.9814 +3 *1949:12 *1949:14 0.578717 +4 *1949:14 *1949:16 104.712 +5 *1949:16 *1949:29 18.9769 +6 *1949:29 *1949:32 34.0237 +7 *1949:32 *1949:34 20.6891 +8 *1949:34 *22530:TE 12.625 +9 *1949:34 *5003:DIODE 9.24915 +*END + +*D_NET *1950 0.116319 +*CONN +*I *4915:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22484:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[160] O *D mprj_logic_high +*CAP +1 *4915:DIODE 7.91072e-06 +2 *22484:B 5.16542e-05 +3 *22570:HI[160] 0.00515908 +4 *1950:26 0.00652224 +5 *1950:22 0.0125615 +6 *1950:14 0.0112579 +7 *4915:DIODE *2625:30 1.91391e-05 +8 *4915:DIODE *2915:42 4.31485e-06 +9 *22484:B *2625:30 8.20454e-05 +10 *22484:B *2915:42 3.14313e-05 +11 *1950:14 *1951:10 0.00894852 +12 *1950:14 *1951:18 4.46167e-05 +13 *1950:14 *1952:17 0.000321964 +14 *1950:14 *2155:41 0.000148322 +15 *1950:14 *2168:16 0.000449511 +16 *1950:14 *2182:10 0.000115848 +17 *1950:14 *2197:20 0.000330788 +18 *1950:14 *2200:16 0.000304111 +19 *1950:14 *2320:36 6.28948e-05 +20 *1950:14 *2330:28 0.00609491 +21 *1950:14 *2330:30 0.00671656 +22 *1950:22 *1951:18 0.00352128 +23 *1950:22 *2047:29 0.0004829 +24 *1950:22 *2048:28 0.00476733 +25 *1950:22 *2206:32 0.00330834 +26 *1950:22 *2271:8 0.000141764 +27 *1950:22 *2320:19 8.41266e-06 +28 *1950:22 *2330:20 0.00084589 +29 *1950:22 *2330:28 0.0150962 +30 *1950:22 *2388:18 0.000159297 +31 *1950:22 *2620:19 6.21462e-05 +32 *1950:26 *1951:18 0.00706411 +33 *1950:26 *1966:16 0.0111937 +34 *1950:26 *2123:20 0 +35 *1950:26 *2309:25 8.43855e-05 +36 *1950:26 *2320:19 0.00452083 +37 *1950:26 *2323:20 0.00010238 +38 *1950:26 *2330:20 0.0045104 +39 *1950:26 *2333:13 1.58634e-05 +40 *22445:B *1950:14 0 +41 *22476:B *1950:26 0.000614353 +42 *1932:15 *1950:14 6.02171e-05 +43 *1943:7 *1950:14 2.01145e-05 +44 *1946:24 *1950:14 0.000330788 +45 *1946:40 *1950:22 0.000173271 +*RES +1 *22570:HI[160] *1950:14 39.2575 +2 *1950:14 *1950:22 25.5145 +3 *1950:22 *1950:26 27.8573 +4 *1950:26 *22484:B 15.3735 +5 *1950:26 *4915:DIODE 14.1278 +*END + +*D_NET *1951 0.0982915 +*CONN +*I *4917:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22485:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[161] O *D mprj_logic_high +*CAP +1 *4917:DIODE 5.48315e-05 +2 *22485:B 0.000121689 +3 *22570:HI[161] 0.00473255 +4 *1951:18 0.00995325 +5 *1951:10 0.0145093 +6 *4917:DIODE *2080:49 1.37086e-05 +7 *4917:DIODE *2916:22 9.39891e-06 +8 *22485:B *4916:DIODE 9.7112e-06 +9 *22485:B *2916:22 2.25844e-05 +10 *22485:B *2920:62 3.72306e-06 +11 *1951:10 *1952:17 5.3414e-05 +12 *1951:10 *2030:16 0.000429013 +13 *1951:10 *2155:41 0.000588503 +14 *1951:10 *2183:8 0.00012601 +15 *1951:10 *2320:36 0.00645933 +16 *1951:18 *1955:35 0.000114642 +17 *1951:18 *2047:29 0.0004829 +18 *1951:18 *2048:28 0.00496937 +19 *1951:18 *2123:20 0.000144857 +20 *1951:18 *2206:32 0.00330619 +21 *1951:18 *2294:28 0.000108607 +22 *1951:18 *2314:14 0.000118134 +23 *1951:18 *2320:19 0.00453334 +24 *1951:18 *2335:17 0.00174657 +25 *1951:18 *2446:7 0.000538339 +26 *1951:18 *2446:11 0.000159297 +27 *1951:18 *2620:19 6.21462e-05 +28 *1951:18 *2635:17 0.000773767 +29 *1951:18 *2896:40 0.000173271 +30 *1160:55 *1951:10 0.00203027 +31 *1160:55 *1951:18 0.0216513 +32 *1326:20 *1951:18 0.000483873 +33 *1943:8 *1951:10 0.00010238 +34 *1948:14 *1951:10 0.00012681 +35 *1950:14 *1951:10 0.00894852 +36 *1950:14 *1951:18 4.46167e-05 +37 *1950:22 *1951:18 0.00352128 +38 *1950:26 *1951:18 0.00706411 +*RES +1 *22570:HI[161] *1951:10 37.5257 +2 *1951:10 *1951:18 45.3569 +3 *1951:18 *22485:B 16.1063 +4 *1951:18 *4917:DIODE 14.9583 +*END + +*D_NET *1952 0.114848 +*CONN +*I *4919:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22486:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[162] O *D mprj_logic_high +*CAP +1 *4919:DIODE 0 +2 *22486:B 0.000104387 +3 *22570:HI[162] 0.00116796 +4 *1952:43 0.0058806 +5 *1952:32 0.0138772 +6 *1952:17 0.00926894 +7 *22486:B *2090:21 8.85729e-06 +8 *22486:B *2345:44 9.96342e-05 +9 *22486:B *2919:85 0 +10 *1952:17 *2155:41 0.000128436 +11 *1952:17 *2156:26 1.37531e-05 +12 *1952:17 *2158:31 0.000212027 +13 *1952:17 *2163:32 0.000117326 +14 *1952:17 *2168:8 0.000111722 +15 *1952:17 *3117:17 2.46823e-05 +16 *1952:32 *2033:23 0.000120592 +17 *1952:32 *2044:19 0.000170023 +18 *1952:32 *2322:18 0.00822025 +19 *1952:32 *2322:20 0.00727298 +20 *1952:32 *2324:20 0.00118498 +21 *1952:32 *2329:27 0.00641862 +22 *1952:32 *2329:55 0.00582924 +23 *1952:32 *2329:58 0.00688093 +24 *1952:32 *2603:20 0.000182281 +25 *1952:32 *2606:29 6.21462e-05 +26 *1952:32 *2890:22 6.21462e-05 +27 *1952:32 *3017:19 0.0088938 +28 *1952:32 *3145:11 0.00312266 +29 *1952:32 *3145:15 0.00351499 +30 *1952:43 *1966:16 0 +31 *1952:43 *2090:21 2.91426e-05 +32 *1952:43 *2309:25 1.13068e-05 +33 *1952:43 *2315:26 0.000108464 +34 *1952:43 *2322:18 1.42649e-05 +35 *1952:43 *2329:16 0.0060797 +36 *1952:43 *2333:13 6.29708e-05 +37 *1952:43 *2344:17 0.000117719 +38 *1952:43 *2625:30 7.60356e-05 +39 *1952:43 *2912:30 0.00353109 +40 *1952:43 *2915:42 1.30227e-05 +41 *1952:43 *2915:70 1.49935e-05 +42 *1952:43 *2919:85 0 +43 *4839:DIODE *1952:17 4.6012e-05 +44 *22445:B *1952:17 0 +45 *1164:9 *1952:43 0.00747028 +46 *1168:36 *1952:32 3.98711e-05 +47 *1168:36 *1952:43 0.00784757 +48 *1177:44 *1952:43 0.00374769 +49 *1184:28 *1952:43 0.000337732 +50 *1387:30 *1952:17 1.42472e-05 +51 *1911:37 *1952:17 0.000138635 +52 *1916:10 *1952:17 1.07303e-05 +53 *1932:15 *1952:17 0.000158451 +54 *1938:11 *1952:17 0.000155855 +55 *1943:7 *1952:17 7.30884e-05 +56 *1947:36 *1952:32 0.0011503 +57 *1947:36 *1952:43 0.000141764 +58 *1948:14 *1952:17 0 +59 *1948:86 *1952:43 0.000130331 +60 *1950:14 *1952:17 0.000321964 +61 *1951:10 *1952:17 5.3414e-05 +*RES +1 *22570:HI[162] *1952:17 40.2796 +2 *1952:17 *1952:32 43.8006 +3 *1952:32 *1952:43 40.6035 +4 *1952:43 *22486:B 16.4116 +5 *1952:43 *4919:DIODE 13.7491 +*END + +*D_NET *1953 0.0689707 +*CONN +*I *22487:B I *D sky130_fd_sc_hd__and2b_1 +*I *4921:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[163] O *D mprj_logic_high +*CAP +1 *22487:B 0 +2 *4921:DIODE 0.000178907 +3 *22570:HI[163] 0.000495063 +4 *1953:17 0.000799985 +5 *1953:14 0.00400696 +6 *1953:13 0.00388094 +7 *4921:DIODE *1985:50 6.3657e-05 +8 *4921:DIODE *2084:18 6.78549e-05 +9 *4921:DIODE *2790:14 7.09666e-06 +10 *4921:DIODE *2922:25 0 +11 *1953:13 *1959:13 0.000110505 +12 *1953:13 *1970:29 0.00108058 +13 *1953:13 *1974:8 0.000740337 +14 *1953:13 *1997:8 6.46796e-05 +15 *1953:13 *2009:29 7.09666e-06 +16 *1953:13 *2032:8 0.00196753 +17 *1953:13 *2040:13 2.06791e-05 +18 *1953:13 *2150:7 2.06791e-05 +19 *1953:14 *1958:8 0.0283854 +20 *1953:14 *1966:13 0.000588906 +21 *1953:14 *1978:10 0.0195778 +22 *1953:14 *2000:8 0.00523606 +23 *1953:14 *2007:14 0.00154909 +24 *1953:14 *2279:28 0.000120873 +*RES +1 *22570:HI[163] *1953:13 40.1909 +2 *1953:13 *1953:14 310.192 +3 *1953:14 *1953:17 15.4675 +4 *1953:17 *4921:DIODE 17.5503 +5 *1953:17 *22487:B 13.7491 +*END + +*D_NET *1954 0.0561838 +*CONN +*I *22489:B I *D sky130_fd_sc_hd__and2b_1 +*I *4925:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[164] O *D mprj_logic_high +*CAP +1 *22489:B 0.00019703 +2 *4925:DIODE 0 +3 *22570:HI[164] 0.000498057 +4 *1954:31 0.000351736 +5 *1954:28 0.00097388 +6 *1954:25 0.00194579 +7 *1954:10 0.00422443 +8 *1954:9 0.00359587 +9 *22489:B *2917:12 0 +10 *22489:B *2926:21 0 +11 *22489:B *3250:18 0 +12 *1954:9 *1960:7 0 +13 *1954:9 *2014:13 8.98169e-05 +14 *1954:9 *2024:29 0.000154321 +15 *1954:9 *2026:13 1.12533e-05 +16 *1954:9 *2081:20 0 +17 *1954:10 *1955:8 0.000206762 +18 *1954:10 *1960:8 0.000368649 +19 *1954:10 *1987:8 0.00532986 +20 *1954:10 *1995:12 0.0216307 +21 *1954:10 *2007:13 0.00194817 +22 *1954:10 *2014:8 0.00115858 +23 *1954:10 *2078:8 0.000256055 +24 *1954:10 *2098:14 0.000985839 +25 *1954:10 *2112:12 0.00096109 +26 *1954:10 *2128:32 0.00066617 +27 *1954:10 *2132:25 0.00169132 +28 *1954:10 *2367:9 0.00039653 +29 *1954:25 *1959:14 0.000294093 +30 *1954:25 *1977:42 0.00131028 +31 *1954:25 *2095:8 0.000296903 +32 *1954:25 *2112:23 0.000183616 +33 *1954:25 *2115:25 0.000301911 +34 *1954:25 *2250:15 0.000457163 +35 *1954:25 *2274:24 0.00131028 +36 *1954:25 *2920:40 0.000262746 +37 *1954:25 *3028:28 2.05866e-05 +38 *1954:25 *3461:6 0 +39 *1954:28 *1987:26 0.00168178 +40 *1954:28 *2925:37 4.50487e-05 +41 *1954:31 *2917:12 0 +42 *1954:31 *2926:12 0 +43 *1954:31 *2926:21 0 +44 *1142:11 *1954:28 0.00237755 +*RES +1 *22570:HI[164] *1954:9 14.6615 +2 *1954:9 *1954:10 235.875 +3 *1954:10 *1954:25 46.4035 +4 *1954:25 *1954:28 46.8187 +5 *1954:28 *1954:31 7.1625 +6 *1954:31 *4925:DIODE 13.7491 +7 *1954:31 *22489:B 17.6574 +*END + +*D_NET *1955 0.0711746 +*CONN +*I *4927:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22490:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[165] O *D mprj_logic_high +*CAP +1 *4927:DIODE 0.000119193 +2 *22490:B 0 +3 *22570:HI[165] 0.000632742 +4 *1955:35 0.00171652 +5 *1955:28 0.00675531 +6 *1955:8 0.00646692 +7 *1955:7 0.00194168 +8 *4927:DIODE *2300:16 6.08467e-05 +9 *4927:DIODE *2328:18 2.52575e-05 +10 *4927:DIODE *2329:16 7.94462e-05 +11 *1955:7 *1970:29 2.16422e-05 +12 *1955:7 *2013:7 0 +13 *1955:7 *2027:13 0 +14 *1955:7 *2087:21 4.69495e-06 +15 *1955:7 *2141:7 0 +16 *1955:7 *2148:13 0 +17 *1955:8 *1960:8 0.000609154 +18 *1955:8 *1983:8 0.000111446 +19 *1955:8 *2009:19 0.0020457 +20 *1955:8 *2014:8 0.00304878 +21 *1955:8 *2065:13 0.000372922 +22 *1955:8 *2087:22 0.00461992 +23 *1955:8 *2367:9 0.00726847 +24 *1955:8 *3408:19 0.000124641 +25 *1955:28 *1964:7 3.64559e-05 +26 *1955:28 *1965:14 0.000347576 +27 *1955:28 *1966:13 0.000695861 +28 *1955:28 *1995:12 0.000480446 +29 *1955:28 *1998:10 0.0067247 +30 *1955:28 *2029:30 0.000198458 +31 *1955:28 *2069:29 0.000221202 +32 *1955:28 *2078:29 0.00143352 +33 *1955:28 *2081:20 0.000530142 +34 *1955:28 *2091:10 0.00635498 +35 *1955:28 *2128:32 0.00583169 +36 *1955:28 *2136:37 0.000159964 +37 *1955:28 *2146:9 1.23967e-05 +38 *1955:28 *2224:23 0.000121829 +39 *1955:28 *2246:25 4.45853e-05 +40 *1955:28 *2254:35 0.000440963 +41 *1955:28 *2263:19 0.000118217 +42 *1955:28 *2263:25 0.00618714 +43 *1955:28 *2269:29 0.000300318 +44 *1955:28 *3262:26 3.85301e-05 +45 *1955:35 *2111:39 0.00290029 +46 *1955:35 *2328:18 1.05746e-05 +47 *1955:35 *2329:16 1.91391e-05 +48 *1955:35 *2335:17 3.55117e-05 +49 *1955:35 *2504:42 4.8968e-05 +50 *1955:35 *2925:17 0.000829677 +51 *1173:18 *1955:28 0.000704752 +52 *1951:18 *1955:35 0.000114642 +53 *1954:10 *1955:8 0.000206762 +*RES +1 *22570:HI[165] *1955:7 15.1744 +2 *1955:7 *1955:8 108.871 +3 *1955:8 *1955:28 45.737 +4 *1955:28 *1955:35 10.9687 +5 *1955:35 *22490:B 13.7491 +6 *1955:35 *4927:DIODE 16.3045 +*END + +*D_NET *1956 0.0790037 +*CONN +*I *22491:B I *D sky130_fd_sc_hd__and2b_1 +*I *4929:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[166] O *D mprj_logic_high +*CAP +1 *22491:B 0 +2 *4929:DIODE 8.07241e-05 +3 *22570:HI[166] 0.000212838 +4 *1956:14 0.00089015 +5 *1956:13 0.00156424 +6 *1956:8 0.00483493 +7 *1956:7 0.00429296 +8 *4929:DIODE *2628:42 0 +9 *4929:DIODE *3250:18 3.59505e-05 +10 *1956:7 *1962:9 4.65845e-05 +11 *1956:7 *1965:13 0 +12 *1956:7 *1986:13 3.0987e-05 +13 *1956:8 *1958:8 0.00306639 +14 *1956:8 *1959:14 5.59375e-05 +15 *1956:8 *1972:8 0.0129017 +16 *1956:8 *1999:8 0.0121659 +17 *1956:8 *2095:8 0.0318699 +18 *1956:8 *2254:41 0.000992415 +19 *1956:13 *2502:12 0 +20 *1956:13 *2624:36 0.000954878 +21 *1956:14 *22491:A_N 0.000228593 +22 *1956:14 *2633:49 0.00413166 +23 *1956:14 *2634:31 0.000647032 +*RES +1 *22570:HI[166] *1956:7 8.53039 +2 *1956:7 *1956:8 352.342 +3 *1956:8 *1956:13 28.2726 +4 *1956:13 *1956:14 44.5371 +5 *1956:14 *4929:DIODE 20.0811 +6 *1956:14 *22491:B 9.24915 +*END + +*D_NET *1957 0.0493949 +*CONN +*I *22492:B I *D sky130_fd_sc_hd__and2b_1 +*I *4931:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[167] O *D mprj_logic_high +*CAP +1 *22492:B 0.00019222 +2 *4931:DIODE 0 +3 *22570:HI[167] 0.000317435 +4 *1957:26 0.00258435 +5 *1957:25 0.00284923 +6 *1957:14 0.00262436 +7 *1957:13 0.00220325 +8 *1957:8 0.00172725 +9 *1957:7 0.00200869 +10 *22492:B *4933:DIODE 0 +11 *22492:B *22493:A_N 4.76283e-05 +12 *22492:B *2808:12 0.000185525 +13 *1957:7 *2152:7 6.07485e-05 +14 *1957:7 *2256:25 9.80736e-05 +15 *1957:8 *1978:10 0.00253121 +16 *1957:8 *1981:14 0.0028157 +17 *1957:8 *2048:19 0.000158655 +18 *1957:8 *2086:40 0.00435531 +19 *1957:8 *2109:14 0.00855896 +20 *1957:8 *2263:25 0.000117604 +21 *1957:13 *2100:49 7.36707e-05 +22 *1957:13 *2920:36 7.02602e-05 +23 *1957:14 *1965:14 4.0752e-05 +24 *1957:14 *2098:20 0.00482984 +25 *1957:14 *2634:28 0.000309819 +26 *1957:25 *1965:19 7.09666e-06 +27 *1957:25 *2005:23 1.5714e-05 +28 *1957:25 *2279:39 0.00216245 +29 *1957:25 *2926:12 5.57532e-05 +30 *1173:18 *1957:8 0.000102519 +31 *1173:18 *1957:25 0.00224681 +32 *1173:21 *1957:8 9.00459e-05 +33 *1323:13 *1957:8 0.000356883 +34 *1323:17 *1957:8 0.00120881 +35 *1323:17 *1957:14 6.08467e-05 +36 *1323:19 *1957:14 0.00037138 +37 *1329:24 *1957:25 1.91391e-05 +38 *1329:29 *22492:B 6.08467e-05 +39 *1329:29 *1957:26 0.00387605 +*RES +1 *22570:HI[167] *1957:7 11.0219 +2 *1957:7 *1957:8 139.929 +3 *1957:8 *1957:13 10.4167 +4 *1957:13 *1957:14 53.4107 +5 *1957:14 *1957:25 20.2818 +6 *1957:25 *1957:26 42.3187 +7 *1957:26 *4931:DIODE 9.24915 +8 *1957:26 *22492:B 22.8808 +*END + +*D_NET *1958 0.0960484 +*CONN +*I *4933:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22493:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[168] O *D mprj_logic_high +*CAP +1 *4933:DIODE 9.86576e-05 +2 *22493:B 0 +3 *22570:HI[168] 0.000254406 +4 *1958:8 0.00557443 +5 *1958:7 0.00573018 +6 *4933:DIODE *1972:19 5.36085e-05 +7 *4933:DIODE *2005:26 5.31074e-05 +8 *4933:DIODE *2005:35 8.62625e-06 +9 *4933:DIODE *2505:16 0 +10 *4933:DIODE *2927:17 6.50586e-05 +11 *1958:7 *1970:16 0 +12 *1958:7 *2073:22 0 +13 *1958:7 *2879:8 5.19343e-05 +14 *1958:8 *1959:25 0.00130965 +15 *1958:8 *1972:8 0.0229018 +16 *1958:8 *1978:10 0.000865325 +17 *1958:8 *1978:20 5.60475e-05 +18 *1958:8 *1978:24 0.00402577 +19 *1958:8 *1978:29 1.41689e-05 +20 *1958:8 *1987:25 0.000160617 +21 *1958:8 *1999:8 0.0139807 +22 *1958:8 *2000:8 1.41853e-05 +23 *1958:8 *2005:26 0.00408831 +24 *1958:8 *2009:32 0.00385753 +25 *1958:8 *2009:34 0.000146234 +26 *1958:8 *2048:19 0.000207363 +27 *1958:8 *2254:41 0.000325993 +28 *1958:8 *2279:28 0.000388665 +29 *1958:8 *2927:17 0.000364342 +30 *22492:B *4933:DIODE 0 +31 *1953:14 *1958:8 0.0283854 +32 *1956:8 *1958:8 0.00306639 +*RES +1 *22570:HI[168] *1958:7 9.36089 +2 *1958:7 *1958:8 485.447 +3 *1958:8 *22493:B 9.24915 +4 *1958:8 *4933:DIODE 20.8045 +*END + +*D_NET *1959 0.0944308 +*CONN +*I *4935:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22494:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[169] O *D mprj_logic_high +*CAP +1 *4935:DIODE 0.000160735 +2 *22494:B 8.74236e-05 +3 *22570:HI[169] 0.000382448 +4 *1959:32 0.00226833 +5 *1959:31 0.00273413 +6 *1959:26 0.00351786 +7 *1959:25 0.00319036 +8 *1959:14 0.00447888 +9 *1959:13 0.00432243 +10 *1959:10 0.000662443 +11 *1959:7 0.000814885 +12 *4935:DIODE *3348:11 4.03381e-05 +13 *22494:B *2627:14 4.96202e-06 +14 *22494:B *2925:43 6.51527e-05 +15 *1959:7 *1970:16 1.21051e-05 +16 *1959:7 *1988:7 1.23307e-05 +17 *1959:7 *2598:8 8.02435e-05 +18 *1959:7 *2879:8 0 +19 *1959:10 *2013:8 0.00383378 +20 *1959:10 *2017:8 0.00382679 +21 *1959:10 *2091:10 0.000108607 +22 *1959:13 *2009:29 2.50875e-05 +23 *1959:13 *2109:13 0 +24 *1959:14 *1972:8 0.000107268 +25 *1959:14 *1974:8 0.030542 +26 *1959:14 *1984:8 0.00413625 +27 *1959:14 *2032:8 0.0113664 +28 *1959:14 *2088:24 0.000144814 +29 *1959:14 *2095:8 0.0059902 +30 *1959:14 *2109:32 0.000499631 +31 *1959:14 *2279:28 0.000425988 +32 *1959:25 *1978:10 1.61631e-05 +33 *1959:25 *1978:20 0.000539385 +34 *1959:25 *2080:49 0 +35 *1959:25 *2086:61 9.96222e-05 +36 *1959:25 *2250:15 4.78996e-05 +37 *1959:25 *2491:12 2.46988e-05 +38 *1959:26 *1974:12 0.00462825 +39 *1959:26 *2109:32 0.00010238 +40 *1959:31 *2799:12 1.18458e-05 +41 *1959:31 *3348:8 0 +42 *1959:32 *3348:11 5.03285e-05 +43 *22359:TE *1959:25 6.08467e-05 +44 *1142:8 *22494:B 0 +45 *1142:11 *1959:32 0.00307667 +46 *1327:6 *1959:31 0 +47 *1341:9 *1959:31 0 +48 *1718:11 *1959:14 0.000160617 +49 *1953:13 *1959:13 0.000110505 +50 *1954:25 *1959:14 0.000294093 +51 *1956:8 *1959:14 5.59375e-05 +52 *1958:8 *1959:25 0.00130965 +*RES +1 *22570:HI[169] *1959:7 11.4372 +2 *1959:7 *1959:10 46.2641 +3 *1959:10 *1959:13 8.82351 +4 *1959:13 *1959:14 334.595 +5 *1959:14 *1959:25 36.9436 +6 *1959:25 *1959:26 50.0831 +7 *1959:26 *1959:31 22.4591 +8 *1959:31 *1959:32 35.6634 +9 *1959:32 *22494:B 20.0811 +10 *1959:32 *4935:DIODE 11.6364 +*END + +*D_NET *1960 0.0127167 +*CONN +*I *5005:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22531:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[16] O *D mprj_logic_high +*CAP +1 *5005:DIODE 0 +2 *22531:TE 2.43545e-05 +3 *22570:HI[16] 0.000553014 +4 *1960:13 0.000338986 +5 *1960:8 0.00116326 +6 *1960:7 0.00140164 +7 *22531:TE *2080:10 0.000220809 +8 *22531:TE *2374:9 0.000218017 +9 *1960:7 *1963:13 1.48873e-05 +10 *1960:7 *2014:13 0 +11 *1960:7 *2081:20 1.74961e-05 +12 *1960:7 *2092:9 0 +13 *1960:8 *1995:12 0.00165429 +14 *1960:8 *2014:8 0.000322 +15 *1960:8 *2015:21 0.00154254 +16 *1960:8 *2029:30 0.000127723 +17 *1960:8 *2087:22 0.00398158 +18 *1960:13 *1967:9 1.13071e-05 +19 *1960:13 *2009:19 5.88009e-05 +20 *1960:13 *2080:10 8.81822e-05 +21 *1954:9 *1960:7 0 +22 *1954:10 *1960:8 0.000368649 +23 *1955:8 *1960:8 0.000609154 +*RES +1 *22570:HI[16] *1960:7 14.3439 +2 *1960:7 *1960:8 62.839 +3 *1960:8 *1960:13 14.9845 +4 *1960:13 *22531:TE 11.6364 +5 *1960:13 *5005:DIODE 9.24915 +*END + +*D_NET *1961 0.0603377 +*CONN +*I *4937:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22495:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[170] O *D mprj_logic_high +*CAP +1 *4937:DIODE 0.00015227 +2 *22495:B 0 +3 *22570:HI[170] 0.000666508 +4 *1961:39 0.000648566 +5 *1961:38 0.00133028 +6 *1961:28 0.00171063 +7 *1961:27 0.000990705 +8 *1961:24 0.000640428 +9 *1961:16 0.00169195 +10 *1961:15 0.00116558 +11 *1961:13 0.000666508 +12 *4937:DIODE *2814:6 1.20973e-05 +13 *1961:13 *1962:10 0.000649206 +14 *1961:13 *1973:10 0.00331443 +15 *1961:13 *1976:17 5.48689e-06 +16 *1961:13 *1977:41 0 +17 *1961:13 *1987:7 1.47879e-05 +18 *1961:13 *2012:10 0.00121182 +19 *1961:13 *2070:16 0.000611154 +20 *1961:13 *2256:25 4.19401e-06 +21 *1961:16 *1963:14 0.0106567 +22 *1961:16 *1973:18 2.41483e-05 +23 *1961:16 *1975:10 0.0106123 +24 *1961:16 *1989:56 0.00123868 +25 *1961:24 *5992:DIODE 0.000423922 +26 *1961:24 *22497:A_N 4.66492e-05 +27 *1961:24 *1975:15 0.000127931 +28 *1961:24 *1975:16 0.00303145 +29 *1961:24 *2112:24 0.000824599 +30 *1961:24 *2250:15 5.21758e-06 +31 *1961:24 *2491:12 5.18246e-05 +32 *1961:24 *2933:46 0.000632154 +33 *1961:27 *22497:B 3.83003e-05 +34 *1961:28 *4941:DIODE 6.50727e-05 +35 *1961:28 *1963:22 0.000118166 +36 *1961:28 *1969:10 0.00546862 +37 *1961:28 *1973:27 0.000942845 +38 *1961:28 *1975:24 0.00424566 +39 *1961:28 *2099:18 0.000495233 +40 *1961:38 *23593:TE 4.88955e-05 +41 *1961:38 *1973:34 0.00292945 +42 *1961:38 *1975:28 8.73435e-05 +43 *1961:38 *1975:30 0.00140699 +44 *1961:38 *2099:18 1.61631e-05 +45 *1961:38 *2353:16 0.000128309 +46 *1961:39 *2814:6 0.000156558 +47 *1961:39 *2931:56 0.000641605 +48 *1961:39 *3194:8 0 +49 *6492:DIODE *1961:38 0.000253916 +50 *1461:10 *1961:27 0.000117975 +51 *1479:5 *4937:DIODE 1.43983e-05 +*RES +1 *22570:HI[170] *1961:13 48.7771 +2 *1961:13 *1961:15 4.5 +3 *1961:15 *1961:16 116.081 +4 *1961:16 *1961:24 49.4682 +5 *1961:24 *1961:27 7.1625 +6 *1961:27 *1961:28 72.2673 +7 *1961:28 *1961:38 49.2541 +8 *1961:38 *1961:39 13.8743 +9 *1961:39 *22495:B 13.7491 +10 *1961:39 *4937:DIODE 16.3045 +*END + +*D_NET *1962 0.112003 +*CONN +*I *4939:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22496:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[171] O *D mprj_logic_high +*CAP +1 *4939:DIODE 0.000199771 +2 *22496:B 6.33825e-05 +3 *22570:HI[171] 0.000120156 +4 *1962:15 0.000343586 +5 *1962:13 0.00169686 +6 *1962:12 0.00161643 +7 *1962:10 0.0161384 +8 *1962:9 0.0162586 +9 *4939:DIODE *2932:47 0 +10 *22496:B *2932:47 4.20184e-06 +11 *1962:10 *3507:DIODE 7.48797e-05 +12 *1962:10 *23471:A 0.00013243 +13 *1962:10 *23599:TE 1.65872e-05 +14 *1962:10 *1963:13 0.00267364 +15 *1962:10 *1970:16 0.000258838 +16 *1962:10 *1970:29 0.000148592 +17 *1962:10 *1973:14 0.00238865 +18 *1962:10 *1973:18 0.000291174 +19 *1962:10 *1974:8 0.00107004 +20 *1962:10 *1974:24 0.000537862 +21 *1962:10 *1977:41 0.000460416 +22 *1962:10 *1981:22 0.000627898 +23 *1962:10 *2003:8 0.00602064 +24 *1962:10 *2003:12 1.37669e-05 +25 *1962:10 *2012:10 0.0202412 +26 *1962:10 *2015:20 0.000163901 +27 *1962:10 *2026:19 0.00331226 +28 *1962:10 *2070:16 0.000858716 +29 *1962:10 *2070:34 0.000189942 +30 *1962:10 *2081:20 0.000144814 +31 *1962:10 *2088:24 0.000119327 +32 *1962:10 *2098:28 0.00348359 +33 *1962:10 *2106:8 0.0149089 +34 *1962:10 *2107:10 0.000486227 +35 *1962:10 *2107:18 0.000690878 +36 *1962:10 *2110:34 0.00152651 +37 *1962:10 *2112:23 1.41689e-05 +38 *1962:10 *2114:22 0.000177089 +39 *1962:10 *2508:19 0.000570342 +40 *1962:10 *2933:27 0.00104832 +41 *1962:10 *3192:7 0.000665804 +42 *1962:10 *3461:12 0.000926287 +43 *1962:13 *2113:17 0.000687348 +44 *1962:13 *2356:11 4.5539e-05 +45 *1962:13 *2932:32 0 +46 *1962:13 *2932:47 0 +47 *4675:DIODE *1962:10 4.66876e-05 +48 *6249:DIODE *1962:10 6.50727e-05 +49 *23471:B *1962:10 0.000122207 +50 *1162:18 *1962:13 5.88009e-05 +51 *1206:24 *4939:DIODE 7.39899e-05 +52 *1210:8 *4939:DIODE 3.3171e-06 +53 *1210:8 *1962:13 0.00248758 +54 *1213:19 *1962:10 0.00049286 +55 *1459:14 *1962:13 4.98826e-05 +56 *1840:11 *1962:10 0.0061541 +57 *1840:15 *1962:10 9.40705e-05 +58 *1844:9 *4939:DIODE 0.000240406 +59 *1956:7 *1962:9 4.65845e-05 +60 *1961:13 *1962:10 0.000649206 +*RES +1 *22570:HI[171] *1962:9 6.91823 +2 *1962:9 *1962:10 560.873 +3 *1962:10 *1962:12 4.5 +4 *1962:12 *1962:13 49.3784 +5 *1962:13 *1962:15 1.29461 +6 *1962:15 *22496:B 14.8606 +7 *1962:15 *4939:DIODE 19.1108 +*END + +*D_NET *1963 0.0540953 +*CONN +*I *4941:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22497:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[172] O *D mprj_logic_high +*CAP +1 *4941:DIODE 6.19783e-06 +2 *22497:B 0.00017283 +3 *22570:HI[172] 0.000678777 +4 *1963:22 0.000646741 +5 *1963:14 0.00272139 +6 *1963:13 0.00293246 +7 *4941:DIODE *1973:27 5.31074e-05 +8 *22497:B *3250:18 0 +9 *1963:13 *1974:8 0.00114831 +10 *1963:13 *2014:8 1.90827e-05 +11 *1963:13 *2017:7 6.38699e-05 +12 *1963:13 *2020:9 5.26361e-07 +13 *1963:13 *2092:9 0 +14 *1963:14 *1969:10 0.0202543 +15 *1963:14 *1973:18 9.82896e-06 +16 *1963:14 *1975:10 0.00431086 +17 *1963:14 *1989:52 0.00139537 +18 *1963:14 *1989:56 0.00114414 +19 *1963:22 *23343:A 0.00031994 +20 *1963:22 *23343:B 6.92705e-05 +21 *1963:22 *1969:10 0.000106685 +22 *1963:22 *1973:18 0.0021861 +23 *1963:22 *1973:27 0.000902821 +24 *1963:22 *2933:27 0.00059883 +25 *1461:10 *1963:22 0.000786997 +26 *1960:7 *1963:13 1.48873e-05 +27 *1961:16 *1963:14 0.0106567 +28 *1961:27 *22497:B 3.83003e-05 +29 *1961:28 *4941:DIODE 6.50727e-05 +30 *1961:28 *1963:22 0.000118166 +31 *1962:10 *1963:13 0.00267364 +*RES +1 *22570:HI[172] *1963:13 47.6794 +2 *1963:13 *1963:14 219.792 +3 *1963:14 *1963:22 35.1812 +4 *1963:22 *22497:B 21.3269 +5 *1963:22 *4941:DIODE 9.97254 +*END + +*D_NET *1964 0.0283352 +*CONN +*I *22498:B I *D sky130_fd_sc_hd__and2b_1 +*I *4943:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[173] O *D mprj_logic_high +*CAP +1 *22498:B 0 +2 *4943:DIODE 0.000107742 +3 *22570:HI[173] 0.000902158 +4 *1964:16 0.00194588 +5 *1964:14 0.00446281 +6 *1964:8 0.00354696 +7 *1964:7 0.00182445 +8 *4943:DIODE *2628:42 5.08992e-05 +9 *4943:DIODE *2909:18 2.99217e-05 +10 *1964:7 *1976:17 7.42363e-05 +11 *1964:7 *2069:29 1.74454e-05 +12 *1964:7 *2070:16 0 +13 *1964:7 *2102:19 3.13066e-05 +14 *1964:7 *2115:19 0 +15 *1964:7 *2146:9 0 +16 *1964:7 *2254:35 3.30161e-05 +17 *1964:8 *5974:DIODE 6.50586e-05 +18 *1964:8 *22505:TE 0.000278114 +19 *1964:8 *2086:28 0.00358692 +20 *1964:8 *2100:28 0.000305584 +21 *1964:8 *2128:22 0.000680722 +22 *1964:8 *2136:37 0.000120587 +23 *1964:8 *2269:36 0.00209447 +24 *1964:14 *2100:28 2.39581e-05 +25 *1964:14 *2100:38 0.00367422 +26 *1964:14 *2100:49 1.43895e-05 +27 *1964:14 *2115:22 0.000283504 +28 *1964:14 *2922:25 2.65831e-05 +29 *1964:14 *2922:31 0.000150117 +30 *1964:16 *2269:51 0.000260374 +31 *1964:16 *2922:31 0.000117376 +32 *1964:16 *2922:39 0.00188463 +33 *1964:16 *2922:41 0.00119029 +34 *1964:16 *2934:13 0.000366403 +35 *1964:16 *2934:19 0.000148666 +36 *1955:28 *1964:7 3.64559e-05 +*RES +1 *22570:HI[173] *1964:7 20.5727 +2 *1964:7 *1964:8 49.5285 +3 *1964:8 *1964:14 47.3583 +4 *1964:14 *1964:16 56.1838 +5 *1964:16 *4943:DIODE 20.9116 +6 *1964:16 *22498:B 9.24915 +*END + +*D_NET *1965 0.109824 +*CONN +*I *22373:B I *D sky130_fd_sc_hd__and2b_1 +*I *4693:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[174] O *D mprj_logic_high +*CAP +1 *22373:B 9.59328e-05 +2 *4693:DIODE 0 +3 *22570:HI[174] 0.000651625 +4 *1965:43 0.00088386 +5 *1965:40 0.00204488 +6 *1965:38 0.00249977 +7 *1965:20 0.00424945 +8 *1965:19 0.00305155 +9 *1965:14 0.00414562 +10 *1965:13 0.00475233 +11 *22373:B *1983:33 0.000188582 +12 *22373:B *2800:27 0.000199307 +13 *1965:13 *1995:11 0.00012774 +14 *1965:13 *2007:14 0.00154538 +15 *1965:13 *2009:32 0.00154259 +16 *1965:13 *2015:30 7.09666e-06 +17 *1965:13 *2144:9 0 +18 *1965:14 *1994:8 0.018145 +19 *1965:14 *1995:12 0.035585 +20 *1965:14 *2000:14 0.000110306 +21 *1965:14 *2014:14 0.00148886 +22 *1965:14 *2027:14 1.41291e-05 +23 *1965:14 *2029:30 0.000104403 +24 *1965:14 *2048:19 3.79014e-05 +25 *1965:14 *2098:20 0.0129439 +26 *1965:14 *2110:21 0.000768957 +27 *1965:14 *2263:25 0.000257329 +28 *1965:19 *2657:8 7.75133e-06 +29 *1965:19 *2926:12 1.37385e-05 +30 *1965:20 *5761:DIODE 0.000115632 +31 *1965:20 *1995:12 1.53573e-05 +32 *1965:20 *1995:18 0.000928119 +33 *1965:20 *1995:25 5.65919e-05 +34 *1965:38 *4932:DIODE 5.31074e-05 +35 *1965:38 *5769:DIODE 0.00015511 +36 *1965:38 *23231:A 3.01723e-05 +37 *1965:38 *23231:B 0.000104389 +38 *1965:38 *1978:37 0.00102471 +39 *1965:38 *1995:25 0.000515729 +40 *1965:38 *2928:27 0.000716748 +41 *1965:40 *23359:A 0.000477015 +42 *1965:40 *1995:32 0.00120318 +43 *1965:40 *2113:14 0.00455724 +44 *1965:43 *1983:33 0.000180613 +45 *1965:43 *2677:14 0 +46 *1965:43 *2800:12 0.000630963 +47 *1965:43 *2800:27 0.0004763 +48 *4676:DIODE *1965:38 0.000237156 +49 *6025:DIODE *1965:40 4.09471e-05 +50 *22365:TE *1965:38 8.8573e-05 +51 *1173:21 *1965:14 2.38154e-05 +52 *1326:11 *1965:14 0.000172521 +53 *1329:24 *1965:19 7.09666e-06 +54 *1329:24 *1965:20 0.00075206 +55 *1478:9 *1965:38 0.000650234 +56 *1478:9 *1965:40 0.000115934 +57 *1841:9 *1965:38 0.000636884 +58 *1955:28 *1965:14 0.000347576 +59 *1956:7 *1965:13 0 +60 *1957:14 *1965:14 4.0752e-05 +61 *1957:25 *1965:19 7.09666e-06 +*RES +1 *22570:HI[174] *1965:13 39.076 +2 *1965:13 *1965:14 388.391 +3 *1965:14 *1965:19 10.0015 +4 *1965:19 *1965:20 49.8058 +5 *1965:20 *1965:38 43.4882 +6 *1965:38 *1965:40 57.8476 +7 *1965:40 *1965:43 26.2641 +8 *1965:43 *4693:DIODE 13.7491 +9 *1965:43 *22373:B 17.6574 +*END + +*D_NET *1966 0.0712651 +*CONN +*I *4695:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22374:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[175] O *D mprj_logic_high +*CAP +1 *4695:DIODE 1.44731e-05 +2 *22374:B 0.000142662 +3 *22570:HI[175] 0.00322909 +4 *1966:16 0.00890483 +5 *1966:13 0.0119768 +6 *4695:DIODE *2796:71 2.60879e-06 +7 *22374:B *4694:DIODE 0 +8 *22374:B *1983:33 0 +9 *22374:B *2796:71 5.18974e-05 +10 *1966:13 *1978:10 0.000632689 +11 *1966:13 *2037:7 4.06651e-05 +12 *1966:13 *2048:19 0.00152268 +13 *1966:13 *2069:29 0.00115332 +14 *1966:13 *2078:29 0.00327338 +15 *1966:13 *2081:20 0.000553415 +16 *1966:13 *2111:39 0.000677923 +17 *1966:13 *2114:7 4.06651e-05 +18 *1966:13 *2254:41 0.00055976 +19 *1966:13 *2279:28 0.00201414 +20 *1966:13 *2493:30 0.00299125 +21 *1966:16 *2123:20 0 +22 *1966:16 *2316:19 0.00010238 +23 *1966:16 *2333:13 6.62145e-05 +24 *1165:9 *1966:16 0 +25 *1173:18 *1966:13 0.000214912 +26 *1184:28 *1966:16 0.0206209 +27 *1950:26 *1966:16 0.0111937 +28 *1952:43 *1966:16 0 +29 *1953:14 *1966:13 0.000588906 +30 *1955:28 *1966:13 0.000695861 +*RES +1 *22570:HI[175] *1966:13 24.7434 +2 *1966:13 *1966:16 34.206 +3 *1966:16 *22374:B 16.9368 +4 *1966:16 *4695:DIODE 14.1278 +*END + +*D_NET *1967 0.100886 +*CONN +*I *22375:B I *D sky130_fd_sc_hd__and2b_1 +*I *4697:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[176] O *D mprj_logic_high +*CAP +1 *22375:B 0.000165535 +2 *4697:DIODE 0.000101086 +3 *22570:HI[176] 0.000618435 +4 *1967:43 0.00313043 +5 *1967:42 0.00286381 +6 *1967:40 0.00695407 +7 *1967:39 0.00722424 +8 *1967:28 0.00238714 +9 *1967:27 0.00243574 +10 *1967:16 0.00415111 +11 *1967:15 0.00437163 +12 *1967:9 0.00115772 +13 *4697:DIODE *2528:25 0.000171288 +14 *22375:B *2662:12 0 +15 *22375:B *2798:42 7.34452e-05 +16 *1967:9 *1994:16 4.46798e-05 +17 *1967:9 *2009:19 6.22114e-05 +18 *1967:9 *2080:10 0 +19 *1967:9 *2141:7 0.000191106 +20 *1967:9 *2147:28 0 +21 *1967:15 *1983:8 0.00332581 +22 *1967:15 *1983:19 0.000106696 +23 *1967:15 *2030:16 0.00012309 +24 *1967:15 *2124:9 0 +25 *1967:15 *2367:9 1.65872e-05 +26 *1967:15 *2378:9 0.00337524 +27 *1967:15 *3409:19 2.1203e-06 +28 *1967:16 *4959:DIODE 0.000165521 +29 *1967:16 *4965:DIODE 0.000217937 +30 *1967:16 *4977:DIODE 6.36477e-05 +31 *1967:16 *6202:DIODE 0.000329185 +32 *1967:16 *6371:DIODE 0.000382301 +33 *1967:16 *22511:TE 0.000303361 +34 *1967:16 *2049:8 0.000318408 +35 *1967:16 *2100:26 0.000522908 +36 *1967:16 *2138:8 0.000419041 +37 *1967:16 *2151:24 0.000637058 +38 *1967:16 *2368:11 0.00157077 +39 *1967:16 *2372:7 1.53708e-05 +40 *1967:16 *2372:11 0.00142268 +41 *1967:27 *2087:38 0.00034965 +42 *1967:27 *2120:10 0.000104544 +43 *1967:27 *2122:13 1.18638e-05 +44 *1967:27 *2142:13 1.4774e-05 +45 *1967:27 *2256:25 1.05412e-05 +46 *1967:27 *2378:8 7.22263e-05 +47 *1967:28 *5977:DIODE 0.000419531 +48 *1967:28 *2087:46 0.000519795 +49 *1967:28 *2100:28 0.00812832 +50 *1967:28 *2100:38 6.34221e-05 +51 *1967:28 *2115:19 0.000354418 +52 *1967:39 *6232:DIODE 0.000225118 +53 *1967:39 *23463:A 3.77568e-05 +54 *1967:39 *1992:12 0.000242003 +55 *1967:39 *2087:46 1.60286e-05 +56 *1967:39 *2253:35 2.52699e-05 +57 *1967:40 *3707:DIODE 0.000271058 +58 *1967:40 *5781:DIODE 7.65976e-05 +59 *1967:40 *6036:DIODE 6.08467e-05 +60 *1967:40 *23237:A 2.07365e-05 +61 *1967:40 *23365:A 0.000314126 +62 *1967:40 *2100:38 0.000114898 +63 *1967:40 *2100:49 0.00115468 +64 *1967:40 *2269:58 6.50727e-05 +65 *1967:40 *2797:11 0.00813121 +66 *1967:40 *2922:39 0.000391384 +67 *1967:40 *2922:41 0.00937432 +68 *1967:40 *3219:7 0.00121808 +69 *1967:43 *22376:B 4.67664e-05 +70 *1967:43 *1968:55 0 +71 *1967:43 *2005:45 0.000167381 +72 *1967:43 *2278:19 8.51012e-06 +73 *1967:43 *2662:12 0 +74 *1967:43 *2683:6 0.00153341 +75 *1967:43 *2798:24 0.00148994 +76 *1967:43 *2798:42 5.29437e-05 +77 *4958:DIODE *1967:16 0.000362266 +78 *5738:DIODE *1967:40 0.000228593 +79 *6037:DIODE *1967:40 3.67123e-05 +80 *6039:DIODE *1967:43 1.5714e-05 +81 *6233:DIODE *1967:40 0.000101955 +82 *6370:DIODE *1967:16 0.000472693 +83 *22511:A *1967:16 0.00021217 +84 *22517:A *1967:28 0.000214369 +85 *23105:A *1967:40 0.00011818 +86 *23448:B *1967:16 6.50727e-05 +87 *1158:29 *1967:28 0.0045129 +88 *1190:32 *1967:43 0.000140018 +89 *1328:10 *1967:40 0.00210326 +90 *1338:13 *1967:43 0.000258744 +91 *1343:11 *1967:43 0.000542896 +92 *1484:5 *1967:40 0.000563978 +93 *1582:5 *1967:28 0.000920879 +94 *1582:5 *1967:40 5.56461e-05 +95 *1710:11 *1967:28 0.0021799 +96 *1710:11 *1967:39 2.77419e-05 +97 *1718:11 *1967:40 0.000164815 +98 *1835:7 *1967:40 0.00306764 +99 *1960:13 *1967:9 1.13071e-05 +*RES +1 *22570:HI[176] *1967:9 17.153 +2 *1967:9 *1967:15 48.8504 +3 *1967:15 *1967:16 118.299 +4 *1967:16 *1967:27 19.4951 +5 *1967:27 *1967:28 117.19 +6 *1967:28 *1967:39 19.3422 +7 *1967:39 *1967:40 265.269 +8 *1967:40 *1967:42 4.5 +9 *1967:42 *1967:43 81.5604 +10 *1967:43 *4697:DIODE 15.5817 +11 *1967:43 *22375:B 17.6574 +*END + +*D_NET *1968 0.133538 +*CONN +*I *22376:B I *D sky130_fd_sc_hd__and2b_1 +*I *4699:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[177] O *D mprj_logic_high +*CAP +1 *22376:B 0.000149826 +2 *4699:DIODE 0 +3 *22570:HI[177] 6.25164e-05 +4 *1968:55 0.00249644 +5 *1968:54 0.00530209 +6 *1968:43 0.00957942 +7 *1968:36 0.0116092 +8 *1968:10 0.00636981 +9 *1968:9 0.00144708 +10 *22376:B *2662:12 6.73572e-05 +11 *1968:10 *1977:32 0.000547047 +12 *1968:10 *2180:42 0.000550338 +13 *1968:10 *2180:44 0.0010948 +14 *1968:36 *1970:57 0.000542479 +15 *1968:36 *1977:32 6.21462e-05 +16 *1968:36 *1989:52 0.0024746 +17 *1968:36 *2008:45 0.000250909 +18 *1968:36 *2021:34 0.000358807 +19 *1968:36 *2026:37 0.000269528 +20 *1968:36 *2029:30 3.41215e-05 +21 *1968:36 *2055:17 3.955e-05 +22 *1968:36 *2096:24 0.00221685 +23 *1968:36 *2119:25 0.000264199 +24 *1968:36 *2186:47 1.98647e-05 +25 *1968:36 *2187:23 0.000248301 +26 *1968:36 *2195:25 0 +27 *1968:36 *2206:32 0.000180512 +28 *1968:36 *2209:27 0.000240212 +29 *1968:43 *1970:57 0.0115992 +30 *1968:43 *1977:42 2.96839e-05 +31 *1968:43 *2096:24 0 +32 *1968:43 *2130:19 0.022539 +33 *1968:43 *2226:33 0.00338824 +34 *1968:43 *2253:28 0.000531047 +35 *1968:43 *2256:25 0.000475575 +36 *1968:43 *2274:24 0.0180737 +37 *1968:43 *2625:30 5.40742e-06 +38 *1968:43 *2921:36 2.69639e-06 +39 *1968:54 *1973:28 0.000318338 +40 *1968:54 *1977:42 0.000992369 +41 *1968:54 *2098:33 1.9101e-05 +42 *1968:54 *2130:25 0.0163085 +43 *1968:54 *2274:32 0.0114218 +44 *1968:55 *6042:DIODE 5.79657e-05 +45 *1968:55 *2278:19 1.51692e-05 +46 *1968:55 *2536:12 0 +47 *1968:55 *2662:12 0.000468723 +48 *1968:55 *2683:6 0.000140889 +49 *1968:55 *3066:10 0.000297858 +50 *1968:55 *3345:13 0.000146645 +51 *1206:24 *1968:55 3.24632e-05 +52 *1325:8 *1968:54 4.69495e-06 +53 *1338:13 *1968:55 3.56292e-05 +54 *1343:11 *1968:55 0.000108088 +55 *1967:43 *22376:B 4.67664e-05 +56 *1967:43 *1968:55 0 +*RES +1 *22570:HI[177] *1968:9 6.08773 +2 *1968:9 *1968:10 50.0831 +3 *1968:10 *1968:36 27.3444 +4 *1968:36 *1968:43 46.0893 +5 *1968:43 *1968:54 41.1562 +6 *1968:54 *1968:55 56.0224 +7 *1968:55 *4699:DIODE 13.7491 +8 *1968:55 *22376:B 18.5612 +*END + +*D_NET *1969 0.0552439 +*CONN +*I *22377:B I *D sky130_fd_sc_hd__and2b_1 +*I *4701:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[178] O *D mprj_logic_high +*CAP +1 *22377:B 0 +2 *4701:DIODE 7.89925e-05 +3 *22570:HI[178] 5.16139e-05 +4 *1969:10 0.00676443 +5 *1969:9 0.00673706 +6 *4701:DIODE *2087:47 8.6931e-05 +7 *4701:DIODE *2518:14 0 +8 *1969:9 *2092:9 3.72306e-06 +9 *1969:10 *23343:A 1.65872e-05 +10 *1969:10 *1975:10 0.000366828 +11 *1969:10 *1977:32 0.000913041 +12 *1969:10 *1977:42 0.0012445 +13 *1969:10 *1989:52 0.000309263 +14 *1969:10 *2008:14 0.000486358 +15 *1969:10 *2099:18 0.00953023 +16 *1969:10 *2274:24 0.000335454 +17 *1969:10 *2933:27 0.00170647 +18 *1461:10 *1969:10 0.000782772 +19 *1961:28 *1969:10 0.00546862 +20 *1963:14 *1969:10 0.0202543 +21 *1963:22 *1969:10 0.000106685 +*RES +1 *22570:HI[178] *1969:9 5.52592 +2 *1969:9 *1969:10 337.922 +3 *1969:10 *4701:DIODE 20.0811 +4 *1969:10 *22377:B 9.24915 +*END + +*D_NET *1970 0.114864 +*CONN +*I *22378:B I *D sky130_fd_sc_hd__and2b_1 +*I *4703:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[179] O *D mprj_logic_high +*CAP +1 *22378:B 0.000135484 +2 *4703:DIODE 0 +3 *22570:HI[179] 0.000449463 +4 *1970:73 0.00817931 +5 *1970:57 0.0128928 +6 *1970:33 0.00600334 +7 *1970:29 0.00204958 +8 *1970:16 0.00134466 +9 *22378:B *2794:24 0.00019398 +10 *22378:B *2800:12 3.82559e-05 +11 *1970:16 *1986:13 6.85742e-05 +12 *1970:16 *1997:8 1.37669e-05 +13 *1970:16 *1997:10 9.95922e-06 +14 *1970:16 *1997:12 0.000111802 +15 *1970:16 *2003:12 0.00171905 +16 *1970:16 *2012:10 0.000634402 +17 *1970:16 *2032:8 0.000164044 +18 *1970:16 *2150:7 2.20663e-05 +19 *1970:29 *1974:7 4.88764e-06 +20 *1970:29 *1980:9 3.74542e-05 +21 *1970:29 *1989:52 0.000509749 +22 *1970:29 *1997:8 0.00117816 +23 *1970:29 *2002:16 0.00273239 +24 *1970:29 *2003:8 0.000181147 +25 *1970:29 *2026:37 0.00218944 +26 *1970:29 *2032:8 3.93284e-05 +27 *1970:33 *2008:14 0.000525662 +28 *1970:33 *2008:45 1.44021e-05 +29 *1970:33 *2021:16 0.00130748 +30 *1970:33 *2052:29 0.000111473 +31 *1970:33 *2072:17 0.000111473 +32 *1970:57 *1977:32 0.00983115 +33 *1970:57 *1977:41 5.26361e-07 +34 *1970:57 *1989:52 0.000250143 +35 *1970:57 *2002:13 1.14427e-05 +36 *1970:57 *2036:19 0.000619145 +37 *1970:57 *2045:17 0.000113478 +38 *1970:57 *2088:24 0.000831174 +39 *1970:57 *2110:21 0.000328877 +40 *1970:57 *2140:7 4.04993e-05 +41 *1970:57 *2151:11 0.000116001 +42 *1970:57 *2190:33 3.51771e-05 +43 *1970:57 *2234:25 0.000295004 +44 *1970:57 *2254:35 0.000280516 +45 *1970:57 *2274:24 0.00213853 +46 *1970:57 *2382:40 0.000312672 +47 *1970:57 *2890:22 0.000127931 +48 *1970:73 *1973:10 0.00014298 +49 *1970:73 *1973:14 0.000824658 +50 *1970:73 *1973:18 0.000150839 +51 *1970:73 *1975:10 0.0011284 +52 *1970:73 *1981:22 0.00182387 +53 *1970:73 *1984:33 2.1549e-05 +54 *1970:73 *1989:56 0.0232868 +55 *1970:73 *2036:19 2.82027e-05 +56 *1970:73 *2070:34 0.000246663 +57 *1970:73 *2088:24 0.000418953 +58 *1970:73 *2110:34 0.00102858 +59 *1970:73 *2253:28 0.00150033 +60 *1970:73 *2267:25 0.0119908 +61 *1970:73 *2279:28 8.32734e-06 +62 *1970:73 *2794:24 6.01588e-05 +63 *1970:73 *2800:12 1.37274e-05 +64 *1970:73 *2924:19 0.000219495 +65 *1953:13 *1970:29 0.00108058 +66 *1955:7 *1970:29 2.16422e-05 +67 *1958:7 *1970:16 0 +68 *1959:7 *1970:16 1.21051e-05 +69 *1962:10 *1970:16 0.000258838 +70 *1962:10 *1970:29 0.000148592 +71 *1968:36 *1970:57 0.000542479 +72 *1968:43 *1970:57 0.0115992 +*RES +1 *22570:HI[179] *1970:16 37.8923 +2 *1970:16 *1970:29 36.8017 +3 *1970:29 *1970:33 49.9703 +4 *1970:33 *1970:57 39.0592 +5 *1970:57 *1970:73 45.4237 +6 *1970:73 *4703:DIODE 13.7491 +7 *1970:73 *22378:B 17.6574 +*END + +*D_NET *1971 0.0709952 +*CONN +*I *22532:TE I *D sky130_fd_sc_hd__einvp_4 +*I *5007:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[17] O *D mprj_logic_high +*CAP +1 *22532:TE 0 +2 *5007:DIODE 2.70614e-05 +3 *22570:HI[17] 0.0019241 +4 *1971:29 2.70614e-05 +5 *1971:27 0.00835497 +6 *1971:22 0.0102791 +7 *1971:22 *1982:13 0.000841682 +8 *1971:22 *2003:12 2.41483e-05 +9 *1971:22 *2012:10 0.000358977 +10 *1971:22 *2012:18 0.000381634 +11 *1971:22 *2025:7 0.000224691 +12 *1971:22 *2153:43 0.000773658 +13 *1971:22 *2164:26 0.000319745 +14 *1971:22 *2591:16 7.56142e-05 +15 *1971:22 *2870:32 0.000157415 +16 *1971:27 *2153:43 0.0267356 +17 *1971:27 *2154:25 0.00238737 +18 *1971:27 *2155:51 0.000450169 +19 *1971:27 *2164:26 0.00965604 +20 *1971:27 *2164:47 0.005332 +21 *1971:27 *2961:54 1.1573e-05 +22 *1170:8 *5007:DIODE 1.43848e-05 +23 *1888:18 *1971:22 0.00136145 +24 *1889:16 *1971:22 0.00124802 +25 *1890:16 *1971:22 2.87885e-05 +*RES +1 *22570:HI[17] *1971:22 38.6707 +2 *1971:22 *1971:27 47.8174 +3 *1971:27 *1971:29 4.5 +4 *1971:29 *5007:DIODE 9.97254 +5 *1971:29 *22532:TE 9.24915 +*END + +*D_NET *1972 0.0620829 +*CONN +*I *4705:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22379:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[180] O *D mprj_logic_high +*CAP +1 *4705:DIODE 0.000128166 +2 *22379:B 0 +3 *22570:HI[180] 0.000255417 +4 *1972:23 0.000799263 +5 *1972:22 0.00289677 +6 *1972:19 0.00247545 +7 *1972:8 0.00722371 +8 *1972:7 0.00722935 +9 *4705:DIODE *2812:8 4.6012e-05 +10 *1972:7 *1979:19 3.2647e-05 +11 *1972:8 *2048:19 0.000196622 +12 *1972:8 *2254:41 0.000459538 +13 *1972:8 *2279:28 0.00036442 +14 *1972:19 *2109:36 0.000661856 +15 *1972:19 *2279:39 0.000249285 +16 *1972:19 *2922:47 1.5714e-05 +17 *1972:22 *1974:28 0.000916099 +18 *1972:22 *2114:20 0.000145188 +19 *1972:23 *22379:A_N 2.74379e-05 +20 *1972:23 *2801:18 0.000158114 +21 *1972:23 *2812:8 0.00091319 +22 *4933:DIODE *1972:19 5.36085e-05 +23 *1213:27 *1972:22 0.000716762 +24 *1479:5 *4705:DIODE 1.43983e-05 +25 *1718:11 *1972:8 0.000178212 +26 *1727:9 *4705:DIODE 1.49713e-05 +27 *1956:8 *1972:8 0.0129017 +28 *1958:8 *1972:8 0.0229018 +29 *1959:14 *1972:8 0.000107268 +*RES +1 *22570:HI[180] *1972:7 8.94564 +2 *1972:7 *1972:8 249.186 +3 *1972:8 *1972:19 18.2166 +4 *1972:19 *1972:22 41.8272 +5 *1972:22 *1972:23 18.4421 +6 *1972:23 *22379:B 13.7491 +7 *1972:23 *4705:DIODE 16.3045 +*END + +*D_NET *1973 0.0938017 +*CONN +*I *4707:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22380:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[181] O *D mprj_logic_high +*CAP +1 *4707:DIODE 7.35769e-05 +2 *22380:B 4.96211e-05 +3 *22570:HI[181] 9.05007e-05 +4 *1973:47 0.00177433 +5 *1973:46 0.00188332 +6 *1973:40 0.00405754 +7 *1973:39 0.00396472 +8 *1973:34 0.00309361 +9 *1973:33 0.00302717 +10 *1973:28 0.00251152 +11 *1973:27 0.00374981 +12 *1973:18 0.00285225 +13 *1973:16 0.00156406 +14 *1973:14 0.000721032 +15 *1973:12 0.000721032 +16 *1973:10 0.00274143 +17 *1973:9 0.0028089 +18 *4707:DIODE *2115:31 0 +19 *1973:9 *2021:12 6.67835e-06 +20 *1973:9 *2021:51 4.31312e-06 +21 *1973:10 *1975:10 0.0139059 +22 *1973:10 *2008:14 0.00165813 +23 *1973:10 *2012:10 0.00253497 +24 *1973:10 *2020:10 0.00601254 +25 *1973:10 *2070:16 9.54837e-05 +26 *1973:10 *2070:34 0.0023039 +27 *1973:10 *2110:34 2.62595e-05 +28 *1973:14 *1975:10 0.00242978 +29 *1973:14 *2110:34 9.16123e-05 +30 *1973:18 *1975:10 0.00255551 +31 *1973:18 *2112:23 0.00100102 +32 *1973:18 *2791:12 0.000678803 +33 *1973:18 *2933:27 3.33861e-05 +34 *1973:18 *3461:12 8.29362e-05 +35 *1973:27 *3511:DIODE 0.000113968 +36 *1973:27 *1975:21 0.000121386 +37 *1973:28 *21312:A 0.000187861 +38 *1973:28 *1975:16 6.08467e-05 +39 *1973:28 *2274:24 0.000311261 +40 *1973:28 *2505:11 8.99933e-05 +41 *1973:28 *2922:47 0.0002646 +42 *1973:28 *3139:23 2.93863e-05 +43 *1973:33 *2517:32 4.39573e-05 +44 *1973:33 *2913:14 0.000110645 +45 *1973:34 *5789:DIODE 0.000266846 +46 *1973:34 *23241:A 2.16355e-05 +47 *1973:34 *1975:30 0.000739572 +48 *1973:34 *2532:13 0.00167634 +49 *1973:39 *2275:30 0.000150215 +50 *1973:39 *3224:8 0 +51 *1973:40 *2005:45 0.000826003 +52 *1973:40 *2114:22 6.24437e-05 +53 *1973:40 *2823:9 0.000699079 +54 *1973:46 *2673:17 0.000321723 +55 *1973:46 *2823:9 0 +56 *1973:47 *2115:31 0 +57 *1973:47 *2433:14 0.000940297 +58 *1973:47 *2821:12 0.00115081 +59 *4444:DIODE *1973:28 0.000108266 +60 *4445:DIODE *1973:28 6.50727e-05 +61 *4941:DIODE *1973:27 5.31074e-05 +62 *5627:DIODE *1973:34 0.000187292 +63 *6045:DIODE *1973:34 0.000213725 +64 *6262:DIODE *1973:28 0.000124869 +65 *22249:TE *1973:28 0.000277502 +66 *1141:42 *1973:27 0.000160678 +67 *1177:25 *22380:B 6.78549e-05 +68 *1196:9 *22380:B 6.78549e-05 +69 *1198:10 *1973:47 0.000185793 +70 *1206:15 *1973:47 8.16637e-05 +71 *1213:27 *1973:28 5.07314e-05 +72 *1341:9 *1973:28 5.07314e-05 +73 *1344:9 *4707:DIODE 8.75195e-05 +74 *1344:9 *1973:47 9.12416e-06 +75 *1488:5 *1973:34 0.000289209 +76 *1961:13 *1973:10 0.00331443 +77 *1961:16 *1973:18 2.41483e-05 +78 *1961:28 *1973:27 0.000942845 +79 *1961:38 *1973:34 0.00292945 +80 *1962:10 *1973:14 0.00238865 +81 *1962:10 *1973:18 0.000291174 +82 *1963:14 *1973:18 9.82896e-06 +83 *1963:22 *1973:18 0.0021861 +84 *1963:22 *1973:27 0.000902821 +85 *1968:54 *1973:28 0.000318338 +86 *1970:73 *1973:10 0.00014298 +87 *1970:73 *1973:14 0.000824658 +88 *1970:73 *1973:18 0.000150839 +*RES +1 *22570:HI[181] *1973:9 6.08773 +2 *1973:9 *1973:10 197.33 +3 *1973:10 *1973:12 0.578717 +4 *1973:12 *1973:14 58.4022 +5 *1973:14 *1973:16 0.578717 +6 *1973:16 *1973:18 53.1334 +7 *1973:18 *1973:27 47.0713 +8 *1973:27 *1973:28 58.4022 +9 *1973:28 *1973:33 11.2472 +10 *1973:33 *1973:34 86.687 +11 *1973:34 *1973:39 12.0778 +12 *1973:39 *1973:40 59.7887 +13 *1973:40 *1973:46 10.9021 +14 *1973:46 *1973:47 47.0945 +15 *1973:47 *22380:B 15.2053 +16 *1973:47 *4707:DIODE 15.5811 +*END + +*D_NET *1974 0.0895627 +*CONN +*I *22381:B I *D sky130_fd_sc_hd__and2b_1 +*I *4709:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[182] O *D mprj_logic_high +*CAP +1 *22381:B 0.000173822 +2 *4709:DIODE 0 +3 *22570:HI[182] 0.000144953 +4 *1974:29 0.000875968 +5 *1974:28 0.00117565 +6 *1974:24 0.000676129 +7 *1974:12 0.00218504 +8 *1974:10 0.00200328 +9 *1974:8 0.00439669 +10 *1974:7 0.00452078 +11 *22381:B *22379:A_N 0 +12 *22381:B *2562:16 4.37401e-05 +13 *1974:7 *1980:9 0 +14 *1974:7 *2065:13 1.45802e-05 +15 *1974:8 *1981:22 0.00107118 +16 *1974:8 *1997:8 0.0043047 +17 *1974:8 *2002:16 0.000181147 +18 *1974:8 *2003:8 1.41689e-05 +19 *1974:8 *2015:20 0.000899989 +20 *1974:8 *2026:19 0.0013356 +21 *1974:8 *2032:8 1.15389e-05 +22 *1974:8 *2106:8 0.00194429 +23 *1974:8 *2107:10 0.0115183 +24 *1974:8 *3262:26 0.000107152 +25 *1974:10 *2107:18 9.95922e-06 +26 *1974:12 *23599:TE 5.47093e-05 +27 *1974:12 *2098:28 0.000287303 +28 *1974:12 *2107:10 1.67988e-05 +29 *1974:12 *2107:18 0.00300508 +30 *1974:24 *2922:47 1.13607e-05 +31 *1974:28 *2114:20 0.00185514 +32 *1974:29 *22379:A_N 0 +33 *1974:29 *2266:23 0 +34 *1974:29 *2562:16 7.18329e-05 +35 *1974:29 *2670:6 0.000475636 +36 *1974:29 *2801:18 0 +37 *6504:DIODE *1974:12 0.000188077 +38 *1142:8 *1974:24 2.00098e-05 +39 *1213:19 *1974:12 0.00386372 +40 *1213:19 *1974:24 0.000329117 +41 *1213:27 *1974:24 2.66278e-05 +42 *1213:27 *1974:28 0.000769855 +43 *1718:11 *1974:8 1.41853e-05 +44 *1718:11 *1974:12 0.000793428 +45 *1840:10 *1974:24 8.92069e-05 +46 *1840:11 *1974:24 6.50727e-05 +47 *1840:11 *1974:28 0.000429151 +48 *1953:13 *1974:8 0.000740337 +49 *1959:14 *1974:8 0.030542 +50 *1959:26 *1974:12 0.00462825 +51 *1962:10 *1974:8 0.00107004 +52 *1962:10 *1974:24 0.000537862 +53 *1963:13 *1974:8 0.00114831 +54 *1970:29 *1974:7 4.88764e-06 +55 *1972:22 *1974:28 0.000916099 +*RES +1 *22570:HI[182] *1974:7 6.86938 +2 *1974:7 *1974:8 343.468 +3 *1974:8 *1974:10 0.578717 +4 *1974:10 *1974:12 97.779 +5 *1974:12 *1974:24 18.567 +6 *1974:24 *1974:28 36.2812 +7 *1974:28 *1974:29 15.9506 +8 *1974:29 *4709:DIODE 13.7491 +9 *1974:29 *22381:B 17.6574 +*END + +*D_NET *1975 0.102467 +*CONN +*I *4711:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22382:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[183] O *D mprj_logic_high +*CAP +1 *4711:DIODE 7.62714e-06 +2 *22382:B 8.57714e-05 +3 *22570:HI[183] 9.67346e-05 +4 *1975:50 0.000988874 +5 *1975:49 0.00234371 +6 *1975:45 0.00164341 +7 *1975:40 0.00265368 +8 *1975:39 0.00270592 +9 *1975:30 0.00318684 +10 *1975:28 0.00339078 +11 *1975:24 0.00107835 +12 *1975:21 0.000744236 +13 *1975:16 0.00344112 +14 *1975:15 0.00344357 +15 *1975:10 0.00292538 +16 *1975:9 0.00290241 +17 *4711:DIODE *2821:39 5.08751e-05 +18 *22382:B *2406:8 0.000114364 +19 *22382:B *2817:34 2.53651e-05 +20 *1975:10 *1977:32 0.000558082 +21 *1975:10 *2008:14 0.000636239 +22 *1975:10 *2081:20 0.000364215 +23 *1975:15 *2250:15 5.43067e-05 +24 *1975:15 *2491:12 2.55661e-06 +25 *1975:16 *23478:TE 1.58551e-05 +26 *1975:16 *2099:18 0.000135825 +27 *1975:16 *2112:24 0.00053947 +28 *1975:16 *3139:23 2.38934e-06 +29 *1975:21 *2909:22 1.99347e-05 +30 *1975:24 *4700:DIODE 0.000423936 +31 *1975:24 *2799:41 0.00174021 +32 *1975:24 *2921:35 0.000421376 +33 *1975:28 *2353:16 0.000158371 +34 *1975:30 *5789:DIODE 4.82966e-05 +35 *1975:30 *23241:A 6.08467e-05 +36 *1975:30 *23369:A 0.000281975 +37 *1975:30 *2673:17 0.000239388 +38 *1975:30 *3218:5 0.00464905 +39 *1975:39 *2109:37 4.42142e-05 +40 *1975:39 *2673:17 0.000888986 +41 *1975:40 *2413:15 0.000183261 +42 *1975:40 *2413:32 6.50727e-05 +43 *1975:40 *2673:17 0.00413737 +44 *1975:45 *2673:16 1.76791e-05 +45 *1975:45 *2740:20 0.000540926 +46 *1975:45 *2817:24 0.000594364 +47 *1975:49 *21394:A 2.6333e-05 +48 *1975:49 *2519:22 0 +49 *1975:49 *2673:16 0.000487276 +50 *1975:49 *2817:24 7.00019e-05 +51 *1975:49 *2817:28 0.00185445 +52 *1975:49 *2823:12 7.89777e-05 +53 *1975:49 *3034:6 0.000286862 +54 *1975:50 *3522:DIODE 0.000167076 +55 *1975:50 *4739:DIODE 6.08467e-05 +56 *1975:50 *2821:39 0.000213725 +57 *5627:DIODE *1975:30 0.000431752 +58 *6045:DIODE *1975:30 3.83336e-05 +59 *6492:DIODE *1975:28 1.41689e-05 +60 *6492:DIODE *1975:30 0.000113968 +61 *23117:A *1975:39 0.000468136 +62 *1195:28 *1975:49 3.77568e-05 +63 *1232:11 *4711:DIODE 6.50727e-05 +64 *1232:11 *1975:50 0.00284484 +65 *1488:5 *1975:30 0.000903386 +66 *1616:10 *1975:30 0.000111722 +67 *1620:6 *1975:39 8.62625e-06 +68 *1725:8 *1975:24 0.000399543 +69 *1961:16 *1975:10 0.0106123 +70 *1961:24 *1975:15 0.000127931 +71 *1961:24 *1975:16 0.00303145 +72 *1961:28 *1975:24 0.00424566 +73 *1961:38 *1975:28 8.73435e-05 +74 *1961:38 *1975:30 0.00140699 +75 *1963:14 *1975:10 0.00431086 +76 *1969:10 *1975:10 0.000366828 +77 *1970:73 *1975:10 0.0011284 +78 *1973:10 *1975:10 0.0139059 +79 *1973:14 *1975:10 0.00242978 +80 *1973:18 *1975:10 0.00255551 +81 *1973:27 *1975:21 0.000121386 +82 *1973:28 *1975:16 6.08467e-05 +83 *1973:34 *1975:30 0.000739572 +*RES +1 *22570:HI[183] *1975:9 6.08773 +2 *1975:9 *1975:10 240.312 +3 *1975:10 *1975:15 12.0778 +4 *1975:15 *1975:16 59.5114 +5 *1975:16 *1975:21 11.6625 +6 *1975:21 *1975:24 47.1895 +7 *1975:24 *1975:28 7.40275 +8 *1975:28 *1975:30 105.543 +9 *1975:30 *1975:39 20.5872 +10 *1975:39 *1975:40 47.8647 +11 *1975:40 *1975:45 15.5775 +12 *1975:45 *1975:49 49.3106 +13 *1975:49 *1975:50 30.672 +14 *1975:50 *22382:B 20.4964 +15 *1975:50 *4711:DIODE 9.97254 +*END + +*D_NET *1976 0.104814 +*CONN +*I *22384:B I *D sky130_fd_sc_hd__and2b_1 +*I *4715:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[184] O *D mprj_logic_high +*CAP +1 *22384:B 0.000109236 +2 *4715:DIODE 0 +3 *22570:HI[184] 0.000731333 +4 *1976:39 0.00521718 +5 *1976:28 0.0109415 +6 *1976:17 0.00656485 +7 *22384:B *2523:30 9.17326e-05 +8 *1976:17 *1987:7 6.00516e-05 +9 *1976:17 *2078:29 2.93435e-05 +10 *1976:17 *2098:14 0.0006213 +11 *1976:17 *2112:10 0.000274447 +12 *1976:17 *2128:32 1.27831e-06 +13 *1976:17 *2269:29 2.81147e-06 +14 *1976:28 *1992:12 0.00159479 +15 *1976:28 *2086:39 0.00012309 +16 *1976:28 *2112:12 0.000716713 +17 *1976:28 *2120:10 0.0172671 +18 *1976:28 *2120:25 0.0167104 +19 *1976:28 *2128:32 0.0178665 +20 *1976:28 *2128:40 0.0168835 +21 *1976:39 *1979:31 0.000102893 +22 *1976:39 *1984:34 1.84122e-06 +23 *1976:39 *1992:12 0.00309303 +24 *1976:39 *2128:40 0.000774562 +25 *1976:39 *2132:49 3.51785e-06 +26 *1976:39 *2400:9 0.000370171 +27 *1976:39 *2401:15 0.000340318 +28 *1976:39 *2432:19 0.000285645 +29 *1976:39 *2521:34 0 +30 *1976:39 *2523:30 1.05746e-05 +31 *1976:39 *2531:20 0.00136364 +32 *1976:39 *2824:32 0.00180264 +33 *1976:39 *3039:13 8.32723e-05 +34 *1976:39 *3042:15 8.30949e-05 +35 *1976:39 *3043:15 0.000372715 +36 *1173:18 *1976:39 0.000174968 +37 *1730:13 *22384:B 6.03667e-05 +38 *1730:13 *1976:39 4.20184e-06 +39 *1961:13 *1976:17 5.48689e-06 +40 *1964:7 *1976:17 7.42363e-05 +*RES +1 *22570:HI[184] *1976:17 31.1496 +2 *1976:17 *1976:28 48.0242 +3 *1976:28 *1976:39 25.0749 +4 *1976:39 *4715:DIODE 13.7491 +5 *1976:39 *22384:B 17.6574 +*END + +*D_NET *1977 0.132589 +*CONN +*I *22385:B I *D sky130_fd_sc_hd__and2b_1 +*I *4717:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[185] O *D mprj_logic_high +*CAP +1 *22385:B 0.000182281 +2 *4717:DIODE 0 +3 *22570:HI[185] 0.00912723 +4 *1977:45 0.000514629 +5 *1977:42 0.00872164 +6 *1977:41 0.00871097 +7 *1977:32 0.0094489 +8 *22385:B *2543:8 0.00020588 +9 *22385:B *2543:11 2.16355e-05 +10 *22385:B *2809:14 4.80461e-05 +11 *1977:32 *1989:52 0.0101541 +12 *1977:32 *2002:13 2.18251e-05 +13 *1977:32 *2008:13 0.00104647 +14 *1977:32 *2008:45 0.00964041 +15 *1977:32 *2021:12 2.7379e-05 +16 *1977:32 *2021:34 0.000140289 +17 *1977:32 *2026:37 7.60515e-05 +18 *1977:32 *2028:17 6.21462e-05 +19 *1977:32 *2033:23 4.10313e-05 +20 *1977:32 *2034:20 6.21462e-05 +21 *1977:32 *2041:19 0.00054759 +22 *1977:32 *2045:17 0.000170023 +23 *1977:32 *2046:19 0.000277899 +24 *1977:32 *2047:29 0.000116084 +25 *1977:32 *2052:29 0.000763344 +26 *1977:32 *2054:19 6.21462e-05 +27 *1977:32 *2055:17 8.0705e-05 +28 *1977:32 *2056:28 6.21462e-05 +29 *1977:32 *2058:17 0.000116084 +30 *1977:32 *2064:23 0.000223961 +31 *1977:32 *2068:23 6.21462e-05 +32 *1977:32 *2072:17 0.000763344 +33 *1977:32 *2074:25 6.21462e-05 +34 *1977:32 *2075:27 0.000140574 +35 *1977:32 *2076:19 0.000116084 +36 *1977:32 *2079:20 0.000123741 +37 *1977:32 *2085:20 6.21462e-05 +38 *1977:32 *2089:19 6.21462e-05 +39 *1977:32 *2097:13 0.000116084 +40 *1977:32 *2117:13 0.000172456 +41 *1977:32 *2119:25 6.21462e-05 +42 *1977:32 *2123:19 6.21462e-05 +43 *1977:32 *2125:19 9.40804e-05 +44 *1977:32 *2133:28 0.000260621 +45 *1977:32 *2134:19 0.000170023 +46 *1977:32 *2137:23 0.000238487 +47 *1977:32 *2180:38 6.07885e-05 +48 *1977:32 *2190:33 0.000302856 +49 *1977:32 *2274:24 0.00261273 +50 *1977:41 *2036:19 3.57373e-05 +51 *1977:41 *2070:34 0.000453412 +52 *1977:41 *2113:7 1.06507e-05 +53 *1977:41 *2140:7 3.10264e-05 +54 *1977:41 *2404:47 5.63958e-06 +55 *1977:42 *1984:33 0.000907095 +56 *1977:42 *1989:52 6.22732e-06 +57 *1977:42 *1989:56 0.0319579 +58 *1977:42 *1989:63 0.00131638 +59 *1977:42 *2070:34 0.00647535 +60 *1977:42 *2130:25 0.000134777 +61 *1977:42 *2274:24 0.00355474 +62 *1977:42 *2274:32 0.00471876 +63 *1977:45 *2543:8 0.00064904 +64 *1977:45 *2809:14 0.000165138 +65 *1954:25 *1977:42 0.00131028 +66 *1961:13 *1977:41 0 +67 *1962:10 *1977:41 0.000460416 +68 *1968:10 *1977:32 0.000547047 +69 *1968:36 *1977:32 6.21462e-05 +70 *1968:43 *1977:42 2.96839e-05 +71 *1968:54 *1977:42 0.000992369 +72 *1969:10 *1977:32 0.000913041 +73 *1969:10 *1977:42 0.0012445 +74 *1970:57 *1977:32 0.00983115 +75 *1970:57 *1977:41 5.26361e-07 +76 *1975:10 *1977:32 0.000558082 +*RES +1 *22570:HI[185] *1977:32 47.7748 +2 *1977:32 *1977:41 22.4388 +3 *1977:41 *1977:42 47.4738 +4 *1977:42 *1977:45 15.3745 +5 *1977:45 *4717:DIODE 13.7491 +6 *1977:45 *22385:B 18.9354 +*END + +*D_NET *1978 0.0882498 +*CONN +*I *22386:B I *D sky130_fd_sc_hd__and2b_1 +*I *4719:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[186] O *D mprj_logic_high +*CAP +1 *22386:B 0.000128036 +2 *4719:DIODE 2.59228e-05 +3 *22570:HI[186] 0.000372875 +4 *1978:49 0.000524015 +5 *1978:46 0.00157181 +6 *1978:38 0.0043223 +7 *1978:37 0.00333989 +8 *1978:29 0.000772793 +9 *1978:24 0.00147632 +10 *1978:20 0.00117205 +11 *1978:10 0.00306951 +12 *1978:9 0.0031932 +13 *4719:DIODE *2707:23 6.50727e-05 +14 *22386:B *2428:14 6.88728e-05 +15 *22386:B *2810:12 3.91205e-05 +16 *1978:9 *1981:7 0.000255925 +17 *1978:9 *2100:10 0 +18 *1978:10 *1981:14 0.00280442 +19 *1978:10 *1987:25 0.000164829 +20 *1978:10 *2007:14 0.00709332 +21 *1978:10 *2086:40 0.00519201 +22 *1978:10 *2109:14 0.000128746 +23 *1978:10 *2279:28 0.000111125 +24 *1978:24 *3718:DIODE 7.45288e-05 +25 *1978:24 *5750:DIODE 1.43848e-05 +26 *1978:24 *5751:DIODE 0.000271058 +27 *1978:24 *21528:A 6.50727e-05 +28 *1978:24 *23350:A 6.50727e-05 +29 *1978:24 *2515:19 3.37866e-05 +30 *1978:29 *2005:26 0.00364281 +31 *1978:29 *2922:47 0.00013521 +32 *1978:37 *1995:25 0.00103315 +33 *1978:37 *2668:12 0.000117975 +34 *1978:38 *3719:DIODE 0.000210197 +35 *1978:38 *21529:A 6.50586e-05 +36 *1978:38 *2005:36 0.00258415 +37 *1978:38 *3193:11 0.00196556 +38 *1978:46 *5801:DIODE 0.000197119 +39 *1978:46 *23247:A 1.00981e-05 +40 *1978:46 *2005:36 0.00220233 +41 *1978:46 *2817:19 0.00185782 +42 *1978:49 *22504:TE 5.09601e-05 +43 *1978:49 *2005:45 0.000115588 +44 *1978:49 *2428:14 0.000208663 +45 *1978:49 *2810:12 0.000153619 +46 *1978:49 *3052:8 3.42931e-05 +47 *4664:DIODE *1978:24 6.25697e-05 +48 *5641:DIODE *1978:46 0.000147308 +49 *6007:DIODE *1978:24 6.99486e-05 +50 *6033:DIODE *1978:38 0.000161167 +51 *22359:A *1978:20 2.0441e-05 +52 *22359:TE *1978:20 6.50727e-05 +53 *1142:8 *1978:29 0 +54 *1323:19 *1978:10 0.000208853 +55 *1323:19 *1978:20 0.00148864 +56 *1323:19 *1978:24 1.41291e-05 +57 *1328:17 *1978:38 0.000406808 +58 *1329:29 *1978:24 1.92336e-05 +59 *1329:29 *1978:29 0.00369367 +60 *1329:44 *1978:38 0.00127093 +61 *1330:8 *1978:37 0.000117975 +62 *1469:5 *1978:24 0.000197509 +63 *1621:15 *1978:46 2.16355e-05 +64 *1953:14 *1978:10 0.0195778 +65 *1957:8 *1978:10 0.00253121 +66 *1958:8 *1978:10 0.000865325 +67 *1958:8 *1978:20 5.60475e-05 +68 *1958:8 *1978:24 0.00402577 +69 *1958:8 *1978:29 1.41689e-05 +70 *1959:25 *1978:10 1.61631e-05 +71 *1959:25 *1978:20 0.000539385 +72 *1965:38 *1978:37 0.00102471 +73 *1966:13 *1978:10 0.000632689 +*RES +1 *22570:HI[186] *1978:9 11.486 +2 *1978:9 *1978:10 238.648 +3 *1978:10 *1978:20 18.1572 +4 *1978:20 *1978:24 44.0066 +5 *1978:24 *1978:29 47.678 +6 *1978:29 *1978:37 27.4234 +7 *1978:37 *1978:38 94.7287 +8 *1978:38 *1978:46 46.5505 +9 *1978:46 *1978:49 16.7133 +10 *1978:49 *4719:DIODE 14.4725 +11 *1978:49 *22386:B 17.2421 +*END + +*D_NET *1979 0.117136 +*CONN +*I *4721:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22387:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[187] O *D mprj_logic_high +*CAP +1 *4721:DIODE 0.000111495 +2 *22387:B 0 +3 *22570:HI[187] 0.00352241 +4 *1979:31 0.00473685 +5 *1979:26 0.0105919 +6 *1979:19 0.00948897 +7 *4721:DIODE *2523:30 8.74104e-05 +8 *1979:19 *1984:7 2.59758e-05 +9 *1979:19 *1992:10 0 +10 *1979:19 *1992:12 0.000285292 +11 *1979:19 *1996:20 0.00354274 +12 *1979:19 *2078:29 0 +13 *1979:19 *2081:27 0.00147592 +14 *1979:19 *2087:46 0.00225692 +15 *1979:19 *2098:11 0 +16 *1979:19 *2115:19 0.000805894 +17 *1979:19 *2136:17 0.00336041 +18 *1979:19 *2256:25 0 +19 *1979:19 *3350:47 8.80857e-05 +20 *1979:19 *3362:26 1.48618e-05 +21 *1979:19 *3395:26 0.00249736 +22 *1979:26 *1986:20 0.000813134 +23 *1979:26 *1986:30 0.00372952 +24 *1979:26 *2048:28 0.001451 +25 *1979:26 *2136:37 0.0178626 +26 *1979:26 *2264:16 0.00194541 +27 *1979:31 *1986:30 2.96033e-05 +28 *1979:31 *2136:48 0.0137132 +29 *1979:31 *2523:30 0.00115233 +30 *1979:31 *2801:48 0.000108607 +31 *1979:31 *2822:15 0.00037328 +32 *1979:31 *2965:15 3.1594e-05 +33 *1979:31 *3038:19 5.51377e-06 +34 *1979:31 *3041:17 1.79535e-05 +35 *1979:31 *3043:20 0 +36 *1155:9 *1979:26 0.0247624 +37 *1155:9 *1979:31 0.00804774 +38 *1171:9 *1979:31 0 +39 *1193:13 *4721:DIODE 6.3657e-05 +40 *1193:13 *1979:31 0 +41 *1972:7 *1979:19 3.2647e-05 +42 *1976:39 *1979:31 0.000102893 +*RES +1 *22570:HI[187] *1979:19 42.8181 +2 *1979:19 *1979:26 37.5201 +3 *1979:26 *1979:31 43.9393 +4 *1979:31 *22387:B 13.7491 +5 *1979:31 *4721:DIODE 16.3045 +*END + +*D_NET *1980 0.100387 +*CONN +*I *4723:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22388:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[188] O *D mprj_logic_high +*CAP +1 *4723:DIODE 5.43384e-05 +2 *22388:B 0 +3 *22570:HI[188] 0.0014385 +4 *1980:67 0.00161194 +5 *1980:66 0.00218691 +6 *1980:62 0.00103509 +7 *1980:56 0.00105426 +8 *1980:54 0.000669349 +9 *1980:52 0.00116662 +10 *1980:50 0.0011851 +11 *1980:48 0.00267143 +12 *1980:46 0.00298499 +13 *1980:40 0.00105658 +14 *1980:38 0.00102599 +15 *1980:34 0.00197372 +16 *1980:26 0.00175468 +17 *1980:22 0.000680386 +18 *1980:19 0.000981951 +19 *1980:10 0.000926036 +20 *1980:9 0.0019597 +21 *4723:DIODE *2413:12 4.12533e-05 +22 *4723:DIODE *2532:14 3.60268e-05 +23 *1980:9 *1986:13 0 +24 *1980:9 *1995:11 0 +25 *1980:9 *2015:30 0 +26 *1980:9 *2042:19 0 +27 *1980:9 *2065:13 0 +28 *1980:9 *2109:13 6.81208e-05 +29 *1980:9 *2141:19 0 +30 *1980:9 *2603:11 0 +31 *1980:10 *23305:A 0.000175485 +32 *1980:10 *23305:B 6.50586e-05 +33 *1980:10 *2365:39 0.000275145 +34 *1980:10 *2750:17 2.39581e-05 +35 *1980:19 *5918:DIODE 0.000315549 +36 *1980:19 *2037:8 0.00103389 +37 *1980:19 *2124:9 0.000167017 +38 *1980:19 *2750:17 0.000116 +39 *1980:19 *3395:42 1.91391e-05 +40 *1980:22 *22534:TE 0.000255881 +41 *1980:22 *2397:7 0.0043242 +42 *1980:22 *3395:42 0.00020476 +43 *1980:26 *2397:5 3.41459e-05 +44 *1980:26 *2397:7 0.000229545 +45 *1980:34 *5945:DIODE 7.02649e-05 +46 *1980:34 *5946:DIODE 0.000314062 +47 *1980:34 *5948:DIODE 0.000118166 +48 *1980:34 *5953:DIODE 7.68538e-06 +49 *1980:34 *23321:B 0.000118166 +50 *1980:34 *23323:A 5.23312e-05 +51 *1980:34 *23447:A 0.000102817 +52 *1980:34 *2415:27 1.41291e-05 +53 *1980:34 *2766:11 0.000215846 +54 *1980:34 *3306:34 0.00017378 +55 *1980:38 *5953:DIODE 0.000216954 +56 *1980:38 *23451:A 1.41853e-05 +57 *1980:40 *23451:A 0.000222149 +58 *1980:40 *2365:11 3.8122e-05 +59 *1980:40 *2365:13 0.00686628 +60 *1980:40 *3306:34 0.000320482 +61 *1980:46 *2365:11 9.82896e-06 +62 *1980:46 *2637:16 0.000687244 +63 *1980:46 *2788:17 9.68716e-06 +64 *1980:48 *5745:DIODE 0.000267448 +65 *1980:48 *5990:DIODE 5.28741e-05 +66 *1980:48 *5991:DIODE 2.82583e-05 +67 *1980:48 *23219:A 3.07133e-05 +68 *1980:48 *23220:A 6.50727e-05 +69 *1980:48 *23220:B 2.97176e-05 +70 *1980:48 *23470:A 0.000120742 +71 *1980:48 *2251:28 2.29454e-05 +72 *1980:48 *2506:27 0.000609995 +73 *1980:48 *2788:17 0.000211464 +74 *1980:52 *5758:DIODE 1.84293e-05 +75 *1980:52 *2661:11 1.19721e-05 +76 *1980:52 *3139:34 0.000515311 +77 *1980:54 *23226:A 9.95922e-06 +78 *1980:56 *5759:DIODE 0.000213739 +79 *1980:56 *23226:B 6.33269e-05 +80 *1980:56 *2636:20 0.00312028 +81 *1980:56 *2661:11 5.75672e-05 +82 *1980:56 *2798:15 0.00396718 +83 *1980:62 *2798:15 0.00067541 +84 *1980:62 *3220:8 0.00105477 +85 *1980:66 *2798:15 0.00390509 +86 *1980:67 *2103:23 0 +87 *1980:67 *2273:35 0.000893843 +88 *1980:67 *2274:33 0.00130426 +89 *1980:67 *2413:12 5.77841e-05 +90 *1980:67 *2532:14 0.000356397 +91 *6001:DIODE *1980:48 6.19047e-05 +92 *6003:DIODE *1980:48 8.60967e-05 +93 *6015:DIODE *1980:56 4.88955e-05 +94 *6173:DIODE *1980:10 0.0001237 +95 *6201:DIODE *1980:34 0.000268088 +96 *6209:DIODE *1980:38 0.000277488 +97 *6247:DIODE *1980:48 0.000277363 +98 *22534:A *1980:34 0.000161509 +99 *23106:A *1980:66 0.000268812 +100 *23206:A *1980:40 2.41483e-05 +101 *23206:A *1980:46 0.000177585 +102 *1141:21 *1980:56 0.000422557 +103 *1146:21 *1980:66 0.00132823 +104 *1146:23 *1980:48 0.000263142 +105 *1146:23 *1980:52 0.00482918 +106 *1146:23 *1980:56 0.000390924 +107 *1146:23 *1980:62 0.00270237 +108 *1146:23 *1980:66 0.000947488 +109 *1148:25 *1980:40 7.68538e-06 +110 *1148:25 *1980:46 0.000796531 +111 *1148:25 *1980:48 0.000246617 +112 *1153:45 *1980:10 0.00534192 +113 *1153:45 *1980:19 4.5066e-05 +114 *1157:24 *1980:46 0.000125608 +115 *1157:27 *1980:22 0.00178358 +116 *1157:27 *1980:26 0.000583802 +117 *1157:27 *1980:34 0.000747118 +118 *1157:27 *1980:40 1.63804e-05 +119 *1172:27 *1980:19 0.000738235 +120 *1175:43 *1980:40 0.00119129 +121 *1175:45 *1980:40 0.00548451 +122 *1394:21 *1980:10 0.00452237 +123 *1466:5 *1980:48 4.41269e-05 +124 *1467:5 *1980:48 9.14669e-05 +125 *1473:5 *1980:56 0.000559403 +126 *1552:5 *1980:10 3.07133e-05 +127 *1570:5 *1980:38 0.00012958 +128 *1589:5 *1980:48 0.000254483 +129 *1595:8 *1980:48 0.000619237 +130 *1680:11 *1980:10 1.777e-05 +131 *1694:10 *1980:34 0.000728199 +132 *1710:18 *1980:46 0.0025452 +133 *1970:29 *1980:9 3.74542e-05 +134 *1974:7 *1980:9 0 +*RES +1 *22570:HI[188] *1980:9 30.441 +2 *1980:9 *1980:10 57.293 +3 *1980:10 *1980:19 27.3762 +4 *1980:19 *1980:22 47.3342 +5 *1980:22 *1980:26 7.25807 +6 *1980:26 *1980:34 49.7455 +7 *1980:34 *1980:38 9.62117 +8 *1980:38 *1980:40 75.5949 +9 *1980:40 *1980:46 30.0209 +10 *1980:46 *1980:48 74.763 +11 *1980:48 *1980:50 0.988641 +12 *1980:50 *1980:52 52.0242 +13 *1980:52 *1980:54 0.578717 +14 *1980:54 *1980:56 52.3015 +15 *1980:56 *1980:62 30.4429 +16 *1980:62 *1980:66 47.6506 +17 *1980:66 *1980:67 44.1877 +18 *1980:67 *22388:B 13.7491 +19 *1980:67 *4723:DIODE 15.5811 +*END + +*D_NET *1981 0.116122 +*CONN +*I *22389:B I *D sky130_fd_sc_hd__and2b_1 +*I *4725:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[189] O *D mprj_logic_high +*CAP +1 *22389:B 0.000107672 +2 *4725:DIODE 5.2316e-05 +3 *22570:HI[189] 0.000540236 +4 *1981:23 0.00232357 +5 *1981:22 0.0103346 +6 *1981:19 0.00840565 +7 *1981:14 0.00086486 +8 *1981:8 0.00188 +9 *1981:7 0.00179004 +10 *4725:DIODE *3067:17 3.28898e-06 +11 *22389:B *2362:18 9.02771e-05 +12 *22389:B *3353:6 8.85089e-05 +13 *1981:7 *2100:10 0 +14 *1981:7 *2116:8 9.99516e-05 +15 *1981:8 *1996:20 0.00010238 +16 *1981:8 *2069:8 0.00426749 +17 *1981:8 *2078:8 0.000138824 +18 *1981:8 *2098:11 0.00240891 +19 *1981:8 *2102:8 0.000195798 +20 *1981:8 *2120:10 0.000110257 +21 *1981:8 *2132:8 0.00529997 +22 *1981:8 *2151:14 0.00180203 +23 *1981:8 *2151:24 0.000298691 +24 *1981:8 *2367:9 0.00499436 +25 *1981:8 *2378:9 7.8459e-05 +26 *1981:14 *2099:7 0 +27 *1981:14 *2112:10 0.00026636 +28 *1981:22 *1989:56 0.000271342 +29 *1981:22 *1989:63 0.000900088 +30 *1981:22 *2088:24 0.00128007 +31 *1981:22 *2107:10 0.000990777 +32 *1981:22 *2109:32 0.0127757 +33 *1981:22 *2109:36 0.0140178 +34 *1981:22 *2110:34 6.82912e-05 +35 *1981:22 *2254:41 2.52738e-05 +36 *1981:22 *2267:25 0.0235962 +37 *1981:22 *2279:28 0.00331597 +38 *1981:22 *2279:39 0.000199819 +39 *1981:23 *2362:18 0.00121769 +40 *1981:23 *2813:30 0.000447477 +41 *1981:23 *3353:6 0.00039211 +42 *1173:18 *1981:22 0 +43 *1190:32 *1981:23 0.000202878 +44 *1345:10 *1981:23 0.000476867 +45 *1957:8 *1981:14 0.0028157 +46 *1962:10 *1981:22 0.000627898 +47 *1970:73 *1981:22 0.00182387 +48 *1974:8 *1981:22 0.00107118 +49 *1978:9 *1981:7 0.000255925 +50 *1978:10 *1981:14 0.00280442 +*RES +1 *22570:HI[189] *1981:7 16.0049 +2 *1981:7 *1981:8 112.753 +3 *1981:8 *1981:14 45.7959 +4 *1981:14 *1981:19 11.5694 +5 *1981:19 *1981:22 49.7717 +6 *1981:22 *1981:23 62.6664 +7 *1981:23 *4725:DIODE 14.4725 +8 *1981:23 *22389:B 17.6574 +*END + +*D_NET *1982 0.0679758 +*CONN +*I *5009:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22533:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[18] O *D mprj_logic_high +*CAP +1 *5009:DIODE 8.01885e-05 +2 *22533:TE 0 +3 *22570:HI[18] 0.000578411 +4 *1982:17 0.000253099 +5 *1982:14 0.0211971 +6 *1982:13 0.0216026 +7 *5009:DIODE *2396:6 0 +8 *5009:DIODE *2935:8 0.000139869 +9 *1982:13 *2003:12 0.00177076 +10 *1982:13 *2012:10 0.000629024 +11 *1982:13 *2025:7 0.00035964 +12 *1982:13 *2083:18 0 +13 *1982:13 *2101:20 2.06195e-05 +14 *1982:13 *2591:16 7.83506e-06 +15 *1982:13 *2875:21 2.0075e-05 +16 *1982:14 *2071:42 0.000132699 +17 *1982:14 *2185:16 6.8941e-05 +18 *1982:14 *2188:30 0.000343986 +19 *1982:14 *2379:23 0.000106002 +20 *1982:14 *2869:27 0.000398075 +21 *1982:14 *2870:23 2.02114e-05 +22 *1982:17 *2935:8 0.000317254 +23 *23136:A *1982:14 5.8256e-05 +24 *1639:13 *1982:14 0.00177248 +25 *1848:13 *1982:14 0.00509327 +26 *1848:18 *1982:17 1.79807e-05 +27 *1888:21 *1982:14 0.000146599 +28 *1888:23 *1982:14 0.00865363 +29 *1892:13 *1982:14 0.00334557 +30 *1971:22 *1982:13 0.000841682 +*RES +1 *22570:HI[18] *1982:13 44.6165 +2 *1982:13 *1982:14 345.132 +3 *1982:14 *1982:17 10.0693 +4 *1982:17 *22533:TE 13.7491 +5 *1982:17 *5009:DIODE 16.4116 +*END + +*D_NET *1983 0.115504 +*CONN +*I *4727:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22390:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[190] O *D mprj_logic_high +*CAP +1 *4727:DIODE 0 +2 *22390:B 0.000125946 +3 *22570:HI[190] 0.000647057 +4 *1983:38 0.00164624 +5 *1983:33 0.00318137 +6 *1983:30 0.00598971 +7 *1983:26 0.0140803 +8 *1983:19 0.0104757 +9 *1983:8 0.00123653 +10 *1983:7 0.00115958 +11 *22390:B *2507:9 3.28898e-06 +12 *22390:B *3193:20 0 +13 *1983:7 *2060:7 9.49894e-05 +14 *1983:7 *2148:13 0 +15 *1983:8 *1988:8 0.000731727 +16 *1983:8 *2009:19 0.00205782 +17 *1983:8 *2023:19 0.000133887 +18 *1983:8 *2065:13 0.000990834 +19 *1983:8 *2367:9 0.0011844 +20 *1983:8 *2378:9 0.000199619 +21 *1983:19 *2035:20 6.03122e-05 +22 *1983:19 *2043:23 0.000843658 +23 *1983:19 *2199:22 2.95956e-05 +24 *1983:19 *2212:19 0.000239465 +25 *1983:19 *3409:19 0.00149734 +26 *1983:26 *2096:24 0.0255004 +27 *1983:26 *2117:14 0 +28 *1983:26 *2190:33 0.000695861 +29 *1983:26 *2195:25 0.000812643 +30 *1983:26 *2202:33 0 +31 *1983:26 *2217:26 0 +32 *1983:26 *2246:25 0 +33 *1983:26 *2253:28 0 +34 *1983:26 *2254:35 0 +35 *1983:26 *2265:27 0.00155587 +36 *1983:26 *2266:23 0.00251498 +37 *1983:26 *2276:18 0.000105994 +38 *1983:26 *2277:22 0.0187124 +39 *1983:26 *2903:28 0.000309691 +40 *1983:30 *2130:25 0 +41 *1983:30 *2265:27 1.65456e-05 +42 *1983:30 *2266:23 0.00272071 +43 *1983:30 *2277:31 0.0111003 +44 *1983:33 *21328:A 7.50793e-05 +45 *1983:33 *2677:14 0 +46 *1983:33 *2677:18 0 +47 *1983:33 *2794:40 0 +48 *1983:33 *2796:71 0 +49 *1983:33 *2800:27 2.33339e-05 +50 *1983:33 *2812:23 8.43846e-05 +51 *1983:38 *2413:12 0 +52 *1983:38 *2507:9 4.69326e-06 +53 *1983:38 *2794:40 0.000116806 +54 *1983:38 *2814:26 4.12119e-05 +55 *1983:38 *3193:20 0 +56 *22373:B *1983:33 0.000188582 +57 *22374:B *1983:33 0 +58 *1169:22 *1983:33 1.5714e-05 +59 *1200:50 *1983:33 0 +60 *1319:8 *1983:38 0 +61 *1458:10 *1983:33 3.4073e-05 +62 *1487:8 *1983:33 0.000406674 +63 *1738:9 *22390:B 3.77659e-05 +64 *1738:9 *1983:38 9.60216e-05 +65 *1955:8 *1983:8 0.000111446 +66 *1965:43 *1983:33 0.000180613 +67 *1967:15 *1983:8 0.00332581 +68 *1967:15 *1983:19 0.000106696 +*RES +1 *22570:HI[190] *1983:7 16.0049 +2 *1983:7 *1983:8 48.9739 +3 *1983:8 *1983:19 14.9456 +4 *1983:19 *1983:26 48.7675 +5 *1983:26 *1983:30 18.755 +6 *1983:30 *1983:33 35.785 +7 *1983:33 *1983:38 37.7158 +8 *1983:38 *22390:B 20.8045 +9 *1983:38 *4727:DIODE 9.24915 +*END + +*D_NET *1984 0.0777558 +*CONN +*I *22391:B I *D sky130_fd_sc_hd__and2b_1 +*I *4729:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[191] O *D mprj_logic_high +*CAP +1 *22391:B 0 +2 *4729:DIODE 5.80315e-05 +3 *22570:HI[191] 0.000171927 +4 *1984:37 6.84639e-05 +5 *1984:34 0.0185724 +6 *1984:33 0.0213819 +7 *1984:8 0.00335467 +8 *1984:7 0.00070661 +9 *4729:DIODE *2815:22 2.75459e-05 +10 *1984:7 *1992:10 2.41128e-05 +11 *1984:8 *2095:8 0.00414185 +12 *1984:33 *2005:10 3.74542e-05 +13 *1984:33 *2070:34 2.05082e-05 +14 *1984:33 *2081:27 0.000490271 +15 *1984:33 *2088:24 0.000499703 +16 *1984:33 *2114:7 1.17108e-05 +17 *1984:33 *2239:13 0.000281308 +18 *1984:33 *2241:38 0.000244155 +19 *1984:33 *2242:23 0.0010552 +20 *1984:33 *2254:41 1.60286e-05 +21 *1984:33 *2274:24 0.000898189 +22 *1984:33 *2279:28 0.000535801 +23 *1984:33 *2460:33 0.00224035 +24 *1984:33 *2905:20 0.00143258 +25 *1984:33 *3350:18 0.00063608 +26 *1984:33 *3350:47 0.000237486 +27 *1984:34 *2078:29 5.30445e-05 +28 *1984:34 *2091:17 0.00302503 +29 *1984:34 *2108:24 0.0015033 +30 *1984:34 *2118:20 0.00852887 +31 *1984:34 *2129:56 0 +32 *1984:34 *2131:24 0.000111178 +33 *1984:34 *2131:28 0.000322894 +34 *1984:34 *2132:49 0 +35 *1984:34 *2339:8 0.000341623 +36 *1984:34 *2531:20 7.24524e-06 +37 *1984:34 *2908:28 2.18346e-05 +38 *1984:37 *2815:22 4.75721e-06 +39 *1171:9 *1984:33 0.00100957 +40 *1202:13 *1984:34 0.000456781 +41 *1203:23 *1984:34 0.000102438 +42 *1730:13 *4729:DIODE 2.75459e-05 +43 *1730:13 *1984:37 2.60879e-06 +44 *1959:14 *1984:8 0.00413625 +45 *1970:73 *1984:33 2.1549e-05 +46 *1976:39 *1984:34 1.84122e-06 +47 *1977:42 *1984:33 0.000907095 +48 *1979:19 *1984:7 2.59758e-05 +*RES +1 *22570:HI[191] *1984:7 7.69988 +2 *1984:7 *1984:8 45.6463 +3 *1984:8 *1984:33 23.8195 +4 *1984:33 *1984:34 56.6144 +5 *1984:34 *1984:37 3.7474 +6 *1984:37 *4729:DIODE 15.5811 +7 *1984:37 *22391:B 13.7491 +*END + +*D_NET *1985 0.114797 +*CONN +*I *4731:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22392:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[192] O *D mprj_logic_high +*CAP +1 *4731:DIODE 0.00012883 +2 *22392:B 0 +3 *22570:HI[192] 0.00133428 +4 *1985:83 0.00117674 +5 *1985:68 0.00838864 +6 *1985:67 0.00763559 +7 *1985:57 0.00109757 +8 *1985:50 0.00224899 +9 *1985:49 0.00155163 +10 *1985:40 0.00182218 +11 *1985:38 0.00282971 +12 *1985:24 0.00182015 +13 *1985:22 0.00204154 +14 *4731:DIODE *6293:DIODE 0 +15 *1985:22 *23531:TE 0.000114584 +16 *1985:22 *1991:9 0 +17 *1985:22 *2043:23 5.24339e-05 +18 *1985:22 *2088:24 4.00776e-05 +19 *1985:22 *2146:16 0.000825264 +20 *1985:22 *2376:11 1.74855e-05 +21 *1985:22 *2376:13 0.00159213 +22 *1985:24 *22515:TE 0.000262495 +23 *1985:24 *22518:TE 0.000216401 +24 *1985:24 *2146:16 0.00136674 +25 *1985:24 *2149:8 0.00155771 +26 *1985:24 *2376:5 0.00495205 +27 *1985:24 *2376:11 0.000216273 +28 *1985:24 *3262:27 1.00846e-05 +29 *1985:24 *3362:32 0.00010238 +30 *1985:38 *22507:TE 0.000273166 +31 *1985:38 *23319:B 6.08467e-05 +32 *1985:38 *23320:A 5.55534e-05 +33 *1985:38 *2038:11 0.000892294 +34 *1985:38 *2070:8 0.000253543 +35 *1985:38 *2225:20 0.000111802 +36 *1985:38 *2376:5 2.29454e-05 +37 *1985:40 *23449:A 0.000111802 +38 *1985:40 *2070:8 0.00222921 +39 *1985:40 *2084:15 0.000295395 +40 *1985:40 *2118:10 0.000319761 +41 *1985:40 *2122:13 0.000370742 +42 *1985:40 *2252:27 0.000394803 +43 *1985:40 *2256:26 0.0042694 +44 *1985:40 *2365:27 0.00129658 +45 *1985:40 *3362:26 0.000211854 +46 *1985:49 *23334:B 0 +47 *1985:49 *2084:15 7.58963e-05 +48 *1985:50 *3703:DIODE 0.000383717 +49 *1985:50 *1996:32 0.000150423 +50 *1985:50 *2084:18 0.00117842 +51 *1985:50 *2084:22 0.00037321 +52 *1985:50 *2252:27 0.000250918 +53 *1985:50 *2269:36 0.00150592 +54 *1985:50 *2269:40 0.00161794 +55 *1985:50 *2269:52 0.0025934 +56 *1985:50 *2643:17 0.0030204 +57 *1985:50 *2643:19 4.73598e-05 +58 *1985:50 *2654:14 0.00317989 +59 *1985:50 *2790:20 0.00275334 +60 *1985:57 *2084:22 0.00214498 +61 *1985:57 *2269:52 0.000244147 +62 *1985:57 *2625:30 7.46011e-05 +63 *1985:57 *3207:8 0.000217937 +64 *1985:67 *2625:30 0 +65 *1985:68 *23354:A 0.000315527 +66 *1985:68 *2269:52 0.00817658 +67 *1985:68 *2416:23 0 +68 *1985:68 *2429:29 0.00360244 +69 *1985:68 *2431:15 0 +70 *1985:68 *2433:17 0.00480454 +71 *1985:68 *2531:20 0.000292005 +72 *1985:68 *2801:15 0.00497861 +73 *1985:68 *3199:7 0.000339965 +74 *1985:68 *3221:10 2.65831e-05 +75 *1985:83 *2130:29 1.02993e-05 +76 *1985:83 *2407:17 3.65817e-05 +77 *1985:83 *2538:26 0.00411535 +78 *1985:83 *2816:27 0.000306281 +79 *1985:83 *2824:32 0 +80 *1985:83 *3018:18 0.00327966 +81 *4686:DIODE *1985:57 0.000110306 +82 *4921:DIODE *1985:50 6.3657e-05 +83 *4952:DIODE *1985:50 6.50586e-05 +84 *6205:DIODE *1985:40 5.74349e-05 +85 *6231:DIODE *1985:50 3.07133e-05 +86 *22370:TE *1985:57 4.82966e-05 +87 *22507:A *1985:40 6.08467e-05 +88 *22515:A *1985:24 1.39864e-05 +89 *22515:A *1985:38 0.000195949 +90 *23094:A *1985:57 0.0002646 +91 *23107:A *1985:68 0.000260374 +92 *23113:A *1985:68 0.000271044 +93 *23207:A *1985:40 0.000280289 +94 *23449:B *1985:38 0 +95 *23531:A *1985:22 0.000115934 +96 *1141:13 *1985:68 0.000351168 +97 *1141:32 *1985:57 0.000984559 +98 *1143:13 *1985:68 0.00179925 +99 *1143:17 *1985:68 0.00204177 +100 *1146:41 *1985:50 0.000158451 +101 *1147:13 *1985:50 0.00010238 +102 *1156:33 *1985:38 0.00041238 +103 *1175:56 *1985:40 8.90486e-05 +104 *1192:11 *4731:DIODE 6.3657e-05 +105 *1325:8 *1985:67 9.5793e-06 +106 *1394:27 *1985:22 0.00033061 +107 *1566:10 *1985:38 5.34175e-05 +108 *1567:10 *1985:38 0.000283252 +109 *1568:9 *1985:38 6.3657e-05 +110 *1568:9 *1985:40 5.10906e-05 +111 *1581:5 *1985:50 2.99287e-05 +112 *1597:9 *1985:57 0.000889938 +113 *1601:9 *1985:57 0 +114 *1601:9 *1985:67 3.5534e-06 +115 *1710:21 *1985:40 5.65165e-05 +116 *1740:10 *4731:DIODE 0 +117 *1846:11 *1985:57 0.000515603 +*RES +1 *22570:HI[192] *1985:22 46.02 +2 *1985:22 *1985:24 58.9568 +3 *1985:24 *1985:38 43.8619 +4 *1985:38 *1985:40 93.3422 +5 *1985:40 *1985:49 11.6136 +6 *1985:49 *1985:50 118.577 +7 *1985:50 *1985:57 47.8253 +8 *1985:57 *1985:67 10.7898 +9 *1985:67 *1985:68 288.563 +10 *1985:68 *1985:83 22.1225 +11 *1985:83 *22392:B 13.7491 +12 *1985:83 *4731:DIODE 16.3045 +*END + +*D_NET *1986 0.164308 +*CONN +*I *22393:B I *D sky130_fd_sc_hd__and2b_1 +*I *4733:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[193] O *D mprj_logic_high +*CAP +1 *22393:B 0.000115125 +2 *4733:DIODE 7.73919e-05 +3 *22570:HI[193] 0.00115229 +4 *1986:31 0.00139386 +5 *1986:30 0.00873067 +6 *1986:20 0.0153772 +7 *1986:19 0.0102852 +8 *1986:13 0.00358965 +9 *22393:B *2405:8 8.96665e-05 +10 *22393:B *2416:8 5.77197e-05 +11 *1986:13 *1988:8 0.00187711 +12 *1986:13 *2065:13 0.000166937 +13 *1986:13 *2087:8 0.000223237 +14 *1986:13 *2087:21 0.000306513 +15 *1986:13 *2150:7 8.39232e-05 +16 *1986:13 *2603:11 0.000129828 +17 *1986:19 *2035:20 1.73965e-05 +18 *1986:19 *2096:24 0.000601604 +19 *1986:19 *2199:22 0.00422303 +20 *1986:19 *2207:22 0.000142251 +21 *1986:19 *2209:27 0.000315626 +22 *1986:19 *2372:29 0.0012202 +23 *1986:19 *2394:7 0.00017378 +24 *1986:19 *2605:20 0.00214662 +25 *1986:19 *3051:24 0.000207156 +26 *1986:19 *3362:32 0.000304751 +27 *1986:20 *2022:22 0.0182246 +28 *1986:20 *2048:28 0.0034285 +29 *1986:20 *2117:14 0.0388839 +30 *1986:20 *2117:21 0.00115086 +31 *1986:20 *2136:37 0.0178692 +32 *1986:30 *2117:21 0.00811997 +33 *1986:30 *2136:48 0.0172001 +34 *1986:30 *2406:20 0 +35 *1986:30 *2410:22 0 +36 *1986:30 *2803:31 0.000118134 +37 *1986:31 *2405:8 0.000766073 +38 *1986:31 *2416:8 0.000467315 +39 *1155:9 *1986:20 1.53451e-05 +40 *1188:9 *4733:DIODE 4.87439e-05 +41 *1238:13 *1986:30 0.000282387 +42 *1671:21 *1986:13 5.25367e-05 +43 *1956:7 *1986:13 3.0987e-05 +44 *1970:16 *1986:13 6.85742e-05 +45 *1979:26 *1986:20 0.000813134 +46 *1979:26 *1986:30 0.00372952 +47 *1979:31 *1986:30 2.96033e-05 +48 *1980:9 *1986:13 0 +*RES +1 *22570:HI[193] *1986:13 49.3699 +2 *1986:13 *1986:19 11.5058 +3 *1986:19 *1986:20 57.2645 +4 *1986:20 *1986:30 34.8877 +5 *1986:30 *1986:31 35.2598 +6 *1986:31 *4733:DIODE 15.0271 +7 *1986:31 *22393:B 17.6574 +*END + +*D_NET *1987 0.0865078 +*CONN +*I *4737:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22395:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[194] O *D mprj_logic_high +*CAP +1 *4737:DIODE 0.000231903 +2 *22395:B 0 +3 *22570:HI[194] 0.000492797 +4 *1987:29 0.000662659 +5 *1987:26 0.00682505 +6 *1987:25 0.00718562 +7 *1987:16 0.00122686 +8 *1987:13 0.000592458 +9 *1987:8 0.000773884 +10 *1987:7 0.00110977 +11 *4737:DIODE *3725:DIODE 2.16355e-05 +12 *4737:DIODE *2524:52 5.5524e-05 +13 *4737:DIODE *3010:20 6.09999e-05 +14 *1987:7 *1994:7 3.14276e-05 +15 *1987:7 *2078:29 8.08437e-05 +16 *1987:7 *2263:19 0.000184252 +17 *1987:8 *2086:39 0.00160858 +18 *1987:8 *2098:14 0.00112421 +19 *1987:8 *2112:12 0.00115634 +20 *1987:8 *2128:32 0.000378065 +21 *1987:13 *2924:19 0.000132548 +22 *1987:16 *1992:12 0.000420172 +23 *1987:16 *2005:12 0.00416308 +24 *1987:16 *2099:8 0.00415605 +25 *1987:25 *2095:13 3.3239e-06 +26 *1987:25 *2099:17 0.000605082 +27 *1987:25 *2100:49 0.000138 +28 *1987:25 *2106:13 6.9799e-05 +29 *1987:25 *2634:28 0.000853776 +30 *1987:25 *2925:36 6.14894e-05 +31 *1987:25 *3028:28 0.000354938 +32 *1987:26 *5797:DIODE 4.33819e-05 +33 *1987:26 *23373:A 0.000364012 +34 *1987:26 *2410:22 0.000252021 +35 *1987:26 *2523:21 0.0051644 +36 *1987:26 *2533:15 0.0134536 +37 *1987:26 *2633:73 0.000417596 +38 *1987:26 *2718:9 0.000217491 +39 *1987:26 *2740:15 0.00482806 +40 *1987:26 *2801:21 0.000413252 +41 *1987:26 *2801:36 0.0028053 +42 *1987:26 *2810:21 0.000430366 +43 *1987:26 *2810:30 0.000544526 +44 *1987:26 *2925:37 0 +45 *1987:26 *3194:7 0.0011499 +46 *1987:26 *3348:11 0.00390833 +47 *1987:26 *3348:13 0.000609796 +48 *1987:29 *2525:36 0.000237324 +49 *4678:DIODE *1987:26 0.000260388 +50 *6053:DIODE *1987:26 3.83172e-05 +51 *1142:11 *1987:26 0.000581963 +52 *1180:13 *1987:26 0.00627189 +53 *1184:10 *4737:DIODE 7.50872e-05 +54 *1195:17 *4737:DIODE 4.19401e-06 +55 *1222:10 *4737:DIODE 0 +56 *1492:5 *1987:26 0.000740334 +57 *1734:5 *1987:26 0.00048194 +58 *1734:10 *4737:DIODE 0.000112211 +59 *1734:10 *1987:29 0.000929007 +60 *1954:10 *1987:8 0.00532986 +61 *1954:28 *1987:26 0.00168178 +62 *1958:8 *1987:25 0.000160617 +63 *1961:13 *1987:7 1.47879e-05 +64 *1976:17 *1987:7 6.00516e-05 +65 *1978:10 *1987:25 0.000164829 +*RES +1 *22570:HI[194] *1987:7 14.3439 +2 *1987:7 *1987:8 57.8476 +3 *1987:8 *1987:13 12.0778 +4 *1987:13 *1987:16 49.5917 +5 *1987:16 *1987:25 40.1745 +6 *1987:25 *1987:26 336.813 +7 *1987:26 *1987:29 21.2811 +8 *1987:29 *22395:B 13.7491 +9 *1987:29 *4737:DIODE 19.6266 +*END + +*D_NET *1988 0.141414 +*CONN +*I *4739:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22396:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[195] O *D mprj_logic_high +*CAP +1 *4739:DIODE 9.68048e-05 +2 *22396:B 0 +3 *22570:HI[195] 0.000525464 +4 *1988:39 0.000891497 +5 *1988:32 0.0138552 +6 *1988:31 0.0132263 +7 *1988:26 0.0025977 +8 *1988:25 0.00370528 +9 *1988:8 0.00189541 +10 *1988:7 0.00114751 +11 *4739:DIODE *2410:6 9.60216e-05 +12 *4739:DIODE *3041:18 3.95141e-05 +13 *1988:7 *2040:13 6.98546e-05 +14 *1988:7 *2145:11 0 +15 *1988:7 *2598:8 0.000484619 +16 *1988:8 *2030:16 0.000144814 +17 *1988:8 *2040:14 6.50727e-05 +18 *1988:8 *2065:13 0.000554842 +19 *1988:8 *2087:8 0.000331601 +20 *1988:8 *2378:9 0.00521729 +21 *1988:25 *1989:52 0.000262424 +22 *1988:25 *2023:19 0.000185751 +23 *1988:25 *2027:13 0 +24 *1988:25 *2068:23 7.29127e-05 +25 *1988:25 *2119:25 3.20069e-06 +26 *1988:25 *2133:28 0.00160676 +27 *1988:25 *2201:23 6.21462e-05 +28 *1988:25 *2202:33 0.000472654 +29 *1988:25 *2206:32 0.000631037 +30 *1988:25 *2367:23 0.00017686 +31 *1988:25 *2888:20 4.54419e-05 +32 *1988:25 *2889:18 0.000277741 +33 *1988:25 *3317:31 5.76799e-05 +34 *1988:26 *2119:26 0.00553365 +35 *1988:26 *2153:26 0.0189314 +36 *1988:26 *2184:8 0.00262065 +37 *1988:26 *2240:28 0.000204778 +38 *1988:26 *2241:28 0.000162703 +39 *1988:26 *2266:8 0.00369154 +40 *1988:31 *2290:8 0.000205202 +41 *1988:31 *2291:22 0 +42 *1988:32 *2119:34 0.00193458 +43 *1988:32 *2119:42 0.00312444 +44 *1988:32 *2121:27 0.0217441 +45 *1988:32 *2121:39 0.0189741 +46 *1988:32 *2137:40 0.000118134 +47 *1988:32 *2137:69 0.000430983 +48 *1988:32 *2239:13 0.002639 +49 *1988:32 *2244:19 0.00150086 +50 *1988:32 *2925:17 6.03122e-05 +51 *1988:39 *2137:69 4.12833e-05 +52 *1988:39 *2410:6 0.000680309 +53 *1988:39 *3041:18 0.00101761 +54 *6039:DIODE *1988:32 0.000593604 +55 *1171:9 *1988:32 0.00360294 +56 *1195:28 *1988:32 0.00191304 +57 *1200:38 *1988:32 0.000135599 +58 *1231:18 *1988:39 7.14746e-05 +59 *1959:7 *1988:7 1.23307e-05 +60 *1975:50 *4739:DIODE 6.08467e-05 +61 *1983:8 *1988:8 0.000731727 +62 *1986:13 *1988:8 0.00187711 +*RES +1 *22570:HI[195] *1988:7 16.4202 +2 *1988:7 *1988:8 56.1838 +3 *1988:8 *1988:25 24.1315 +4 *1988:25 *1988:26 205.927 +5 *1988:26 *1988:31 11.9847 +6 *1988:31 *1988:32 55.6582 +7 *1988:32 *1988:39 28.7358 +8 *1988:39 *22396:B 13.7491 +9 *1988:39 *4739:DIODE 16.3045 +*END + +*D_NET *1989 0.160422 +*CONN +*I *4741:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22397:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[196] O *D mprj_logic_high +*CAP +1 *4741:DIODE 9.41103e-05 +2 *22397:B 0.000208511 +3 *22570:HI[196] 0.00994941 +4 *1989:68 0.00293135 +5 *1989:67 0.00307315 +6 *1989:63 0.00462562 +7 *1989:56 0.0119626 +8 *1989:52 0.0177308 +9 *22397:B *3074:10 0 +10 *1989:52 *2002:13 3.54934e-05 +11 *1989:52 *2002:16 0.000881736 +12 *1989:52 *2008:13 0.00105188 +13 *1989:52 *2008:14 0.000296747 +14 *1989:52 *2008:45 0.00230058 +15 *1989:52 *2021:34 0.000710157 +16 *1989:52 *2022:22 0.000112579 +17 *1989:52 *2026:13 0.00375569 +18 *1989:52 *2026:37 0.00220976 +19 *1989:52 *2031:27 6.21462e-05 +20 *1989:52 *2036:19 0.000143466 +21 *1989:52 *2041:19 0.000556482 +22 *1989:52 *2044:19 0.000588731 +23 *1989:52 *2047:29 0.000124976 +24 *1989:52 *2050:17 0.000495041 +25 *1989:52 *2053:18 5.38874e-05 +26 *1989:52 *2055:17 0.000234845 +27 *1989:52 *2056:28 6.98716e-05 +28 *1989:52 *2062:19 0.000496101 +29 *1989:52 *2064:23 1.28434e-05 +30 *1989:52 *2066:16 6.03122e-05 +31 *1989:52 *2067:17 0.000319028 +32 *1989:52 *2068:23 6.01748e-05 +33 *1989:52 *2074:25 6.98716e-05 +34 *1989:52 *2081:20 0.000375465 +35 *1989:52 *2089:19 6.98716e-05 +36 *1989:52 *2110:21 0.00134542 +37 *1989:52 *2117:13 0.00125396 +38 *1989:52 *2119:25 2.95956e-05 +39 *1989:52 *2126:25 0.000175718 +40 *1989:52 *2130:19 0.000110597 +41 *1989:52 *2131:20 0.000434539 +42 *1989:52 *2133:28 0.00089318 +43 *1989:52 *2134:19 0.000178914 +44 *1989:52 *2137:23 0.00155826 +45 *1989:52 *2145:11 3.89157e-05 +46 *1989:52 *2180:38 1.05313e-05 +47 *1989:52 *2180:44 0.000122627 +48 *1989:52 *2190:33 0.00100607 +49 *1989:52 *2207:22 0.000281795 +50 *1989:52 *2226:33 1.57386e-05 +51 *1989:52 *2256:25 0.000919367 +52 *1989:52 *2274:24 8.18393e-05 +53 *1989:52 *2404:21 4.54378e-05 +54 *1989:52 *2612:17 0.000234988 +55 *1989:52 *2888:20 1.88878e-05 +56 *1989:52 *3408:19 0.000275465 +57 *1989:56 *2036:19 1.20352e-05 +58 *1989:63 *2130:25 0 +59 *1989:63 *2346:12 0.00137148 +60 *1989:63 *2409:12 0.00173074 +61 *1989:63 *2684:25 0.000430116 +62 *1989:63 *2696:22 0.000270852 +63 *1989:63 *2823:12 0.000288764 +64 *1989:63 *2965:15 0.000151239 +65 *1989:67 *2409:12 0.00023171 +66 *1989:67 *2427:12 1.29348e-05 +67 *1989:67 *2823:12 0.000793862 +68 *1169:10 *1989:63 0.000303528 +69 *1173:18 *1989:63 0 +70 *1193:20 *1989:67 0.000122083 +71 *1199:13 *4741:DIODE 0.000167701 +72 *1199:13 *1989:68 0.00430317 +73 *1223:10 *22397:B 0 +74 *1351:10 *22397:B 0 +75 *1961:16 *1989:56 0.00123868 +76 *1963:14 *1989:52 0.00139537 +77 *1963:14 *1989:56 0.00114414 +78 *1968:36 *1989:52 0.0024746 +79 *1969:10 *1989:52 0.000309263 +80 *1970:29 *1989:52 0.000509749 +81 *1970:57 *1989:52 0.000250143 +82 *1970:73 *1989:56 0.0232868 +83 *1977:32 *1989:52 0.0101541 +84 *1977:42 *1989:52 6.22732e-06 +85 *1977:42 *1989:56 0.0319579 +86 *1977:42 *1989:63 0.00131638 +87 *1981:22 *1989:56 0.000271342 +88 *1981:22 *1989:63 0.000900088 +89 *1988:25 *1989:52 0.000262424 +*RES +1 *22570:HI[196] *1989:52 46.7163 +2 *1989:52 *1989:56 42.9709 +3 *1989:56 *1989:63 45.7871 +4 *1989:63 *1989:67 19.8277 +5 *1989:67 *1989:68 46.7555 +6 *1989:68 *22397:B 22.1574 +7 *1989:68 *4741:DIODE 11.0817 +*END + +*D_NET *1990 0.107983 +*CONN +*I *4743:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22398:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[197] O *D mprj_logic_high +*CAP +1 *4743:DIODE 1.37063e-05 +2 *22398:B 0.00016347 +3 *22570:HI[197] 0.00215662 +4 *1990:46 0.00347649 +5 *1990:40 0.0176292 +6 *1990:28 0.0235982 +7 *1990:9 0.011425 +8 *4743:DIODE *3074:10 0 +9 *22398:B *3074:10 0 +10 *22398:B *3370:5 2.99287e-05 +11 *1990:9 *22515:TE 6.40861e-05 +12 *1990:9 *22534:TE 0 +13 *1990:9 *23442:A 0 +14 *1990:9 *1993:5 0 +15 *1990:9 *2023:19 1.18195e-05 +16 *1990:9 *2092:16 1.94327e-05 +17 *1990:9 *2116:8 0 +18 *1990:9 *2217:26 0 +19 *1990:9 *2218:20 0.000200435 +20 *1990:9 *2220:19 0 +21 *1990:9 *3239:35 0.000100741 +22 *1990:28 *5448:DIODE 0.000158633 +23 *1990:28 *2090:20 0.00192329 +24 *1990:28 *2092:16 6.09999e-05 +25 *1990:28 *2616:13 0.000179593 +26 *1990:28 *2617:20 0.000135039 +27 *1990:28 *2621:17 0.000910145 +28 *1990:28 *2634:15 0.00948634 +29 *1990:28 *2636:11 0.00179218 +30 *1990:28 *2758:8 0 +31 *1990:28 *2894:23 0.00137398 +32 *1990:28 *2899:21 0.000294165 +33 *1990:28 *2901:20 1.58463e-05 +34 *1990:28 *2904:22 0.00016043 +35 *1990:28 *2905:13 0.000611374 +36 *1990:28 *2908:21 0.00988101 +37 *1990:28 *2912:21 0.00363622 +38 *1990:28 *2961:30 0 +39 *1990:40 *2090:20 0.000453886 +40 *1990:40 *2636:11 0.00107502 +41 *1990:40 *2822:15 0 +42 *1990:40 *2930:23 0.00118778 +43 *1990:40 *2961:15 0.00199915 +44 *1990:40 *2961:30 0 +45 *1990:40 *3011:20 0.000330219 +46 *1990:40 *3038:19 4.20312e-06 +47 *1990:40 *3041:17 1.15244e-05 +48 *1990:46 *2122:51 0.00596581 +49 *1990:46 *2407:17 0 +50 *1990:46 *2521:34 0 +51 *1990:46 *3010:20 0.00286134 +52 *4092:DIODE *1990:28 1.27046e-05 +53 *23187:A *1990:28 0.000240967 +54 *1150:9 *1990:28 0.000593334 +55 *1150:9 *1990:40 0.00318571 +56 *1690:12 *1990:9 0.000447733 +57 *1690:12 *1990:28 0.000105175 +*RES +1 *22570:HI[197] *1990:9 42.2458 +2 *1990:9 *1990:28 49.1438 +3 *1990:28 *1990:40 41.545 +4 *1990:40 *1990:46 19.315 +5 *1990:46 *22398:B 16.9932 +6 *1990:46 *4743:DIODE 14.1278 +*END + +*D_NET *1991 0.142289 +*CONN +*I *4745:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22399:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[198] O *D mprj_logic_high +*CAP +1 *4745:DIODE 0.000105061 +2 *22399:B 0 +3 *22570:HI[198] 0.00168057 +4 *1991:39 0.00240753 +5 *1991:30 0.014332 +6 *1991:29 0.0123228 +7 *1991:26 0.000786168 +8 *1991:14 0.00523143 +9 *1991:12 0.00513036 +10 *1991:9 0.00207243 +11 *4745:DIODE *3023:18 8.12681e-05 +12 *1991:9 *1997:7 0 +13 *1991:9 *2015:20 0 +14 *1991:9 *2043:23 0 +15 *1991:9 *2209:27 0 +16 *1991:12 *2142:28 0.00127808 +17 *1991:12 *2208:22 0.00284445 +18 *1991:12 *3373:36 0.000118134 +19 *1991:14 *6186:DIODE 1.92336e-05 +20 *1991:14 *23312:B 0.000307663 +21 *1991:14 *2142:26 1.41689e-05 +22 *1991:14 *2142:28 0.000370963 +23 *1991:14 *2217:26 0.000639151 +24 *1991:14 *3350:52 0.00020476 +25 *1991:14 *3461:39 0.00466151 +26 *1991:14 *3461:53 0.00048124 +27 *1991:26 *2090:7 0 +28 *1991:26 *2142:22 0.000222215 +29 *1991:26 *2151:37 0.000749318 +30 *1991:26 *2255:25 0.000524226 +31 *1991:26 *2479:33 0.00078782 +32 *1991:26 *3461:31 0.00104589 +33 *1991:26 *3461:37 4.05287e-05 +34 *1991:26 *3461:39 6.50727e-05 +35 *1991:29 *2122:13 0.000252224 +36 *1991:30 *23336:B 0.000361745 +37 *1991:30 *2122:24 0.000116952 +38 *1991:30 *2140:8 0.000330805 +39 *1991:30 *2232:19 0.001651 +40 *1991:30 *2241:38 5.76913e-05 +41 *1991:30 *2255:26 0.000709603 +42 *1991:30 *2360:33 0.00176505 +43 *1991:30 *2405:23 0.000108607 +44 *1991:30 *2477:17 0.000118134 +45 *1991:30 *2479:45 0.00960414 +46 *1991:30 *2482:40 0.00255572 +47 *1991:30 *2922:25 0.00207226 +48 *1991:30 *3239:21 0.000475856 +49 *1991:30 *3295:21 0.000326803 +50 *1991:30 *3350:47 0.00197317 +51 *1991:30 *3428:29 2.81887e-05 +52 *1991:39 *1995:57 5.93953e-05 +53 *1991:39 *2412:25 0.000110153 +54 *1991:39 *2414:18 0 +55 *1991:39 *2417:18 0.000781142 +56 *1991:39 *2824:32 0.00379963 +57 *1991:39 *3020:11 0.000234187 +58 *1991:39 *3022:14 0 +59 *1991:39 *3023:18 6.42339e-05 +60 *23193:A *1991:26 0.000216396 +61 *23195:A *1991:26 0.000380157 +62 *1138:34 *1991:30 0.00469123 +63 *1152:44 *1991:29 1.09024e-05 +64 *1156:25 *1991:30 0.000102939 +65 *1158:23 *1991:30 0.000131164 +66 *1159:9 *1991:30 0.0501242 +67 *1159:18 *1991:30 0.000280374 +68 *1161:15 *4745:DIODE 6.50727e-05 +69 *1164:9 *1991:39 6.21462e-05 +70 *1394:27 *1991:9 6.52259e-05 +71 *1695:9 *1991:26 4.42142e-05 +72 *1747:9 *4745:DIODE 3.04483e-05 +73 *1747:9 *1991:39 3.5534e-06 +74 *1985:22 *1991:9 0 +*RES +1 *22570:HI[198] *1991:9 33.9096 +2 *1991:9 *1991:12 31.8053 +3 *1991:12 *1991:14 82.8047 +4 *1991:14 *1991:26 47.5848 +5 *1991:26 *1991:29 9.56093 +6 *1991:29 *1991:30 74.2071 +7 *1991:30 *1991:39 16.7858 +8 *1991:39 *22399:B 13.7491 +9 *1991:39 *4745:DIODE 16.3045 +*END + +*D_NET *1992 0.120001 +*CONN +*I *22400:B I *D sky130_fd_sc_hd__and2b_1 +*I *4747:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[199] O *D mprj_logic_high +*CAP +1 *22400:B 0.000169167 +2 *4747:DIODE 8.1605e-05 +3 *22570:HI[199] 0.000822056 +4 *1992:21 0.00108025 +5 *1992:18 0.00433787 +6 *1992:17 0.00430235 +7 *1992:12 0.0135725 +8 *1992:10 0.0136006 +9 *22400:B *4746:DIODE 2.85274e-05 +10 *22400:B *3030:20 0 +11 *1992:10 *1998:9 7.99273e-05 +12 *1992:10 *2007:13 0 +13 *1992:10 *2087:38 6.98716e-05 +14 *1992:10 *2120:10 9.29815e-06 +15 *1992:12 *6232:DIODE 1.35382e-05 +16 *1992:12 *1996:32 0 +17 *1992:12 *2005:10 0.000262483 +18 *1992:12 *2005:12 0.000446753 +19 *1992:12 *2016:8 0.000142453 +20 *1992:12 *2087:38 0.00108417 +21 *1992:12 *2087:46 0.00393865 +22 *1992:12 *2099:8 0.000984487 +23 *1992:12 *2114:8 0.000810968 +24 *1992:12 *2115:19 0.000936983 +25 *1992:12 *2120:10 0.0208858 +26 *1992:12 *2120:25 0.0183714 +27 *1992:12 *2146:10 0.00010512 +28 *1992:12 *2151:14 0.000101365 +29 *1992:12 *2240:28 0.000517414 +30 *1992:12 *2498:36 1.57481e-05 +31 *1992:12 *2499:21 1.57481e-05 +32 *1992:12 *2526:15 0.00115108 +33 *1992:12 *2816:13 0.00159893 +34 *1992:12 *2816:27 0.00545761 +35 *1992:12 *2824:32 0.000479651 +36 *1992:12 *2925:17 0.000379823 +37 *1992:12 *3395:26 0.000643235 +38 *1992:17 *1996:45 0.00172195 +39 *1992:17 *2802:20 0.000750671 +40 *1992:18 *2136:48 0.000941315 +41 *1992:18 *2696:11 0.00102054 +42 *1992:18 *2707:17 0.01096 +43 *1992:18 *2825:23 0.000230568 +44 *1992:21 *6299:DIODE 0 +45 *1992:21 *2957:25 0 +46 *1992:21 *2958:22 0 +47 *1992:21 *3027:22 0 +48 *1992:21 *3030:20 0 +49 *1992:21 *3031:30 0.000474312 +50 *1163:11 *1992:21 1.91391e-05 +51 *1238:9 *1992:18 0 +52 *1238:13 *1992:18 0.000706184 +53 *1350:7 *1992:18 0.00101903 +54 *1967:39 *1992:12 0.000242003 +55 *1976:28 *1992:12 0.00159479 +56 *1976:39 *1992:12 0.00309303 +57 *1979:19 *1992:10 0 +58 *1979:19 *1992:12 0.000285292 +59 *1984:7 *1992:10 2.41128e-05 +60 *1987:16 *1992:12 0.000420172 +*RES +1 *22570:HI[199] *1992:10 16.9934 +2 *1992:10 *1992:12 56.4614 +3 *1992:12 *1992:17 40.2218 +4 *1992:17 *1992:18 157.676 +5 *1992:18 *1992:21 26.2641 +6 *1992:21 *4747:DIODE 15.5817 +7 *1992:21 *22400:B 17.6896 +*END + +*D_NET *1993 0.00362618 +*CONN +*I *5011:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22534:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[19] O *D mprj_logic_high +*CAP +1 *5011:DIODE 0.000113559 +2 *22534:TE 0.000102397 +3 *22570:HI[19] 0.00111957 +4 *1993:5 0.00133553 +5 *22534:TE *2220:19 7.72394e-06 +6 *22534:TE *2365:39 1.5714e-05 +7 *1993:5 *1996:20 8.00569e-05 +8 *1993:5 *2220:19 0.000189933 +9 *1157:27 *5011:DIODE 0.000154145 +10 *1157:27 *22534:TE 0.000251669 +11 *1980:22 *22534:TE 0.000255881 +12 *1990:9 *22534:TE 0 +13 *1990:9 *1993:5 0 +*RES +1 *22570:HI[19] *1993:5 21.0557 +2 *1993:5 *22534:TE 17.6924 +3 *1993:5 *5011:DIODE 15.5817 +*END + +*D_NET *1994 0.129344 +*CONN +*I *22536:TE I *D sky130_fd_sc_hd__einvp_4 +*I *5015:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[1] O *D mprj_logic_high +*CAP +1 *22536:TE 0.000136288 +2 *5015:DIODE 0 +3 *22570:HI[1] 0.000437107 +4 *1994:36 0.000361081 +5 *1994:30 0.0111361 +6 *1994:16 0.0153825 +7 *1994:8 0.00706152 +8 *1994:7 0.00302742 +9 *1994:7 *2110:21 7.50611e-05 +10 *1994:7 *2254:35 8.05301e-05 +11 *1994:7 *2263:19 5.44785e-05 +12 *1994:8 *2014:14 0.00538238 +13 *1994:8 *2091:10 0.000130331 +14 *1994:16 *23418:A 0.00036775 +15 *1994:16 *2002:16 0.0125219 +16 *1994:16 *2002:20 0.000921362 +17 *1994:16 *2003:38 0.000559117 +18 *1994:16 *2080:10 0.000227733 +19 *1994:30 *2002:20 0.0202144 +20 *1994:30 *3122:7 0.000110257 +21 *1994:36 *2565:12 0.00103694 +22 *1994:36 *2577:12 0.00104162 +23 *1173:21 *1994:8 0.00547401 +24 *1173:29 *1994:16 0.0125259 +25 *1173:35 *1994:16 0.000937353 +26 *1173:35 *1994:30 0.0119194 +27 *1965:14 *1994:8 0.018145 +28 *1967:9 *1994:16 4.46798e-05 +29 *1987:7 *1994:7 3.14276e-05 +*RES +1 *22570:HI[1] *1994:7 12.6829 +2 *1994:7 *1994:8 198.717 +3 *1994:8 *1994:16 34.1011 +4 *1994:16 *1994:30 49.8334 +5 *1994:30 *1994:36 25.5809 +6 *1994:36 *5015:DIODE 9.24915 +7 *1994:36 *22536:TE 12.625 +*END + +*D_NET *1995 0.142641 +*CONN +*I *22401:B I *D sky130_fd_sc_hd__and2b_1 +*I *4749:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[200] O *D mprj_logic_high +*CAP +1 *22401:B 0.000159177 +2 *4749:DIODE 0 +3 *22570:HI[200] 0.000495648 +4 *1995:57 0.00647486 +5 *1995:41 0.00758865 +6 *1995:32 0.00631947 +7 *1995:31 0.00515338 +8 *1995:28 0.00152997 +9 *1995:25 0.00231752 +10 *1995:18 0.00162702 +11 *1995:12 0.00525864 +12 *1995:11 0.00502169 +13 *22401:B *2673:8 0 +14 *1995:11 *2000:13 0.00011393 +15 *1995:11 *2015:30 1.04743e-05 +16 *1995:11 *2040:13 0 +17 *1995:11 *2042:19 7.55126e-05 +18 *1995:12 *2005:18 0.00423782 +19 *1995:12 *2015:21 0.00487593 +20 *1995:12 *2027:14 2.15184e-05 +21 *1995:12 *2029:30 0.000113311 +22 *1995:12 *2086:61 0.00168226 +23 *1995:12 *2102:20 3.71876e-05 +24 *1995:12 *2112:12 3.61749e-05 +25 *1995:12 *2263:25 0.000142453 +26 *1995:18 *23227:A 3.63091e-05 +27 *1995:18 *2005:18 1.92172e-05 +28 *1995:25 *5761:DIODE 0.000275256 +29 *1995:25 *23231:A 6.50727e-05 +30 *1995:25 *2540:30 0.000205581 +31 *1995:25 *2667:9 0.000160617 +32 *1995:25 *2668:12 0.000204386 +33 *1995:28 *2005:36 0.00388914 +34 *1995:31 *2630:18 0.00022306 +35 *1995:32 *23375:A 0.000428134 +36 *1995:32 *2113:14 0.000263035 +37 *1995:32 *2400:9 0 +38 *1995:32 *2432:19 9.52942e-05 +39 *1995:32 *2537:21 0.000650769 +40 *1995:32 *2740:21 0.000485219 +41 *1995:41 *2413:32 0.000522894 +42 *1995:41 *2427:12 6.04912e-06 +43 *1995:41 *2696:19 0.000894709 +44 *1995:41 *2965:18 0.000103276 +45 *1995:57 *3553:DIODE 0 +46 *1995:57 *2130:29 0 +47 *1995:57 *2409:6 3.57315e-05 +48 *1995:57 *2427:12 0.000934197 +49 *1995:57 *2539:22 5.60804e-05 +50 *1995:57 *2811:49 0 +51 *1995:57 *2811:72 0 +52 *1995:57 *2824:32 3.57218e-06 +53 *1995:57 *2965:18 0 +54 *1995:57 *3020:18 3.53091e-06 +55 *1995:57 *3038:20 0 +56 *6057:DIODE *1995:32 4.82966e-05 +57 *1164:9 *1995:57 0.012612 +58 *1171:8 *1995:41 3.91685e-05 +59 *1192:22 *1995:57 0.000124174 +60 *1199:9 *1995:57 0.00034756 +61 *1199:13 *1995:57 0.000165394 +62 *1231:19 *1995:57 0.000236712 +63 *1326:11 *1995:12 0.000181147 +64 *1329:24 *1995:12 0.0017891 +65 *1474:10 *1995:25 0.000472832 +66 *1610:10 *1995:31 0.000219477 +67 *1849:12 *1995:41 0.000261991 +68 *1954:10 *1995:12 0.0216307 +69 *1955:28 *1995:12 0.000480446 +70 *1960:8 *1995:12 0.00165429 +71 *1965:13 *1995:11 0.00012774 +72 *1965:14 *1995:12 0.035585 +73 *1965:20 *1995:12 1.53573e-05 +74 *1965:20 *1995:18 0.000928119 +75 *1965:20 *1995:25 5.65919e-05 +76 *1965:38 *1995:25 0.000515729 +77 *1965:40 *1995:32 0.00120318 +78 *1978:37 *1995:25 0.00103315 +79 *1980:9 *1995:11 0 +80 *1991:39 *1995:57 5.93953e-05 +*RES +1 *22570:HI[200] *1995:11 14.4172 +2 *1995:11 *1995:12 408.357 +3 *1995:12 *1995:18 24.6196 +4 *1995:18 *1995:25 42.3317 +5 *1995:25 *1995:28 46.8187 +6 *1995:28 *1995:31 8.82351 +7 *1995:31 *1995:32 125.509 +8 *1995:32 *1995:41 44.865 +9 *1995:41 *1995:57 43.2441 +10 *1995:57 *4749:DIODE 13.7491 +11 *1995:57 *22401:B 16.8269 +*END + +*D_NET *1996 0.13131 +*CONN +*I *4751:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22402:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[201] O *D mprj_logic_high +*CAP +1 *4751:DIODE 0.000278335 +2 *22402:B 0 +3 *22570:HI[201] 0.00447217 +4 *1996:55 0.000550462 +5 *1996:52 0.00172686 +6 *1996:50 0.0017856 +7 *1996:45 0.00210281 +8 *1996:32 0.0113622 +9 *1996:20 0.0140624 +10 *4751:DIODE *3605:DIODE 0 +11 *4751:DIODE *3022:20 0 +12 *4751:DIODE *3024:29 0 +13 *4751:DIODE *3025:18 0 +14 *4751:DIODE *3377:10 3.5516e-05 +15 *1996:20 *1999:7 3.65586e-05 +16 *1996:20 *2029:30 0.00188117 +17 *1996:20 *2030:16 0.00385917 +18 *1996:20 *2059:20 1.83857e-05 +19 *1996:20 *2087:38 0.00014263 +20 *1996:20 *2091:10 3.74181e-06 +21 *1996:20 *2118:10 0 +22 *1996:20 *2136:17 0.00257377 +23 *1996:20 *2219:19 0.000149783 +24 *1996:20 *2220:19 0.000119808 +25 *1996:20 *2224:23 0.00151636 +26 *1996:20 *2228:29 0.00234657 +27 *1996:20 *2252:27 0.000382466 +28 *1996:20 *2426:32 1.57119e-05 +29 *1996:20 *2903:28 0.000171494 +30 *1996:20 *3262:26 0 +31 *1996:20 *3362:32 0.0064399 +32 *1996:32 *5975:DIODE 0.000111618 +33 *1996:32 *23334:B 0.000230954 +34 *1996:32 *2087:46 0.00364027 +35 *1996:32 *2115:22 0.00137078 +36 *1996:32 *2136:17 2.56038e-06 +37 *1996:32 *2136:37 0.00543196 +38 *1996:32 *2247:25 0.00221735 +39 *1996:32 *2252:27 0.00213324 +40 *1996:32 *2269:36 0.000106261 +41 *1996:32 *2269:40 0.000425291 +42 *1996:32 *2521:34 0.00428017 +43 *1996:32 *2526:15 0.000361055 +44 *1996:32 *2643:17 0.000101365 +45 *1996:32 *3362:26 0.00172077 +46 *1996:45 *2115:31 2.81678e-06 +47 *1996:45 *2521:34 0.00298288 +48 *1996:45 *2802:20 8.37048e-05 +49 *1996:45 *2815:12 0.00224447 +50 *1996:45 *2824:22 5.60804e-05 +51 *1996:50 *2673:11 7.15081e-05 +52 *1996:50 *2809:29 0.000871133 +53 *1996:50 *2812:45 3.99086e-06 +54 *1996:50 *2815:15 0.00038249 +55 *1996:52 *2136:48 0.00042863 +56 *1996:52 *2412:11 0.00754386 +57 *1996:52 *2480:9 0 +58 *1996:52 *2673:11 0.0111228 +59 *1996:52 *2815:15 0.000685495 +60 *1996:55 *3024:29 0 +61 *1996:55 *3025:18 0 +62 *1996:55 *3377:10 3.67528e-06 +63 *1146:34 *1996:32 0.000195128 +64 *1147:13 *1996:32 0.0198483 +65 *1147:13 *1996:45 0.000919766 +66 *1197:5 *4751:DIODE 6.50727e-05 +67 *1750:11 *1996:55 3.34802e-05 +68 *1979:19 *1996:20 0.00354274 +69 *1981:8 *1996:20 0.00010238 +70 *1985:50 *1996:32 0.000150423 +71 *1992:12 *1996:32 0 +72 *1992:17 *1996:45 0.00172195 +73 *1993:5 *1996:20 8.00569e-05 +*RES +1 *22570:HI[201] *1996:20 45.1451 +2 *1996:20 *1996:32 48.0957 +3 *1996:32 *1996:45 47.9171 +4 *1996:45 *1996:50 17.4488 +5 *1996:50 *1996:52 119.963 +6 *1996:52 *1996:55 11.315 +7 *1996:55 *22402:B 13.7491 +8 *1996:55 *4751:DIODE 19.6266 +*END + +*D_NET *1997 0.0648782 +*CONN +*I *6253:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23473:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[202] O *D mprj_logic_high +*CAP +1 *6253:DIODE 1.54421e-05 +2 *23473:TE 0 +3 *22570:HI[202] 0.00011023 +4 *1997:37 1.54421e-05 +5 *1997:35 0.00258332 +6 *1997:34 0.00258332 +7 *1997:32 0.0039644 +8 *1997:30 0.00431338 +9 *1997:24 0.00189804 +10 *1997:23 0.00213121 +11 *1997:12 0.00136216 +12 *1997:10 0.000797232 +13 *1997:8 0.000652208 +14 *1997:7 0.000745221 +15 *6253:DIODE *3200:33 0.000171288 +16 *1997:7 *2003:7 0 +17 *1997:7 *2015:20 8.62625e-06 +18 *1997:8 *2002:16 0.000166538 +19 *1997:8 *2003:8 0.00566548 +20 *1997:10 *2003:10 1.09551e-05 +21 *1997:12 *2003:8 1.37531e-05 +22 *1997:12 *2003:12 0.00592855 +23 *1997:12 *2032:8 0.000715766 +24 *1997:12 *2032:12 0.004201 +25 *1997:23 *2001:8 0.0021731 +26 *1997:23 *2009:34 0.0021837 +27 *1997:23 *2040:28 0 +28 *1997:23 *2087:7 0 +29 *1997:23 *2588:14 0.000140882 +30 *1997:23 *3125:22 4.50856e-05 +31 *1997:24 *3779:DIODE 0.000271058 +32 *1997:24 *6142:DIODE 6.50586e-05 +33 *1997:24 *2002:20 0.000101365 +34 *1997:24 *2003:38 0.000613963 +35 *1997:24 *2003:50 0.00355697 +36 *1997:24 *2003:54 0.000965378 +37 *1997:24 *2014:22 0.00254483 +38 *1997:24 *2014:26 3.07561e-05 +39 *1997:24 *2860:15 0.000364356 +40 *1997:24 *2860:21 0.000148652 +41 *1997:24 *3122:7 0.00430109 +42 *1997:30 *3122:5 9.95922e-06 +43 *1997:30 *3122:7 0.000290341 +44 *1997:32 *23399:A 4.36956e-05 +45 *1997:32 *3006:61 5.97411e-05 +46 *1997:35 *4777:DIODE 6.34651e-06 +47 *1997:35 *22415:B 8.89752e-05 +48 *1997:35 *1998:13 0 +49 *1997:35 *2558:12 0 +50 *1997:35 *2559:10 0.000557126 +51 *1997:35 *2842:12 0.000332091 +52 *1997:35 *2842:40 0 +53 *1997:35 *2873:22 0.000769199 +54 *1997:35 *3083:13 5.35694e-05 +55 *4548:DIODE *1997:32 0.000271124 +56 *4549:DIODE *1997:30 5.07314e-05 +57 *22301:TE *1997:30 6.08467e-05 +58 *23399:B *1997:32 0.000227182 +59 *1368:7 *6253:DIODE 0.000171288 +60 *1369:31 *1997:35 5.49916e-05 +61 *1646:8 *1997:32 0.000564585 +62 *1898:15 *1997:24 2.35405e-05 +63 *1953:13 *1997:8 6.46796e-05 +64 *1970:16 *1997:8 1.37669e-05 +65 *1970:16 *1997:10 9.95922e-06 +66 *1970:16 *1997:12 0.000111802 +67 *1970:29 *1997:8 0.00117816 +68 *1974:8 *1997:8 0.0043047 +69 *1991:9 *1997:7 0 +*RES +1 *22570:HI[202] *1997:7 6.45413 +2 *1997:7 *1997:8 61.7298 +3 *1997:8 *1997:10 0.578717 +4 *1997:10 *1997:12 65.612 +5 *1997:12 *1997:23 48.1722 +6 *1997:23 *1997:24 93.3422 +7 *1997:24 *1997:30 10.1999 +8 *1997:30 *1997:32 92.233 +9 *1997:32 *1997:34 4.5 +10 *1997:34 *1997:35 66.6113 +11 *1997:35 *1997:37 4.5 +12 *1997:37 *23473:TE 9.24915 +13 *1997:37 *6253:DIODE 11.0817 +*END + +*D_NET *1998 0.118962 +*CONN +*I *6331:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23512:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[203] O *D mprj_logic_high +*CAP +1 *6331:DIODE 4.6211e-05 +2 *23512:TE 6.58384e-05 +3 *22570:HI[203] 0.000385777 +4 *1998:15 0.000112049 +5 *1998:13 0.00243704 +6 *1998:12 0.00243704 +7 *1998:10 0.0207682 +8 *1998:9 0.021154 +9 *6331:DIODE *2838:41 1.19856e-05 +10 *23512:TE *2838:41 0.000122378 +11 *1998:9 *2002:13 0 +12 *1998:10 *2001:18 0.000101365 +13 *1998:10 *2007:14 0.000110257 +14 *1998:10 *2009:42 0.000244144 +15 *1998:10 *2010:19 0.000402255 +16 *1998:10 *2019:17 0.00265742 +17 *1998:10 *2043:23 0.00150682 +18 *1998:10 *2051:19 0.000936721 +19 *1998:10 *2073:22 0.000554598 +20 *1998:10 *2088:24 0.00364397 +21 *1998:10 *2091:10 0.00357509 +22 *1998:10 *2109:13 0.00146254 +23 *1998:10 *2174:19 0.00288125 +24 *1998:10 *2181:49 0.000727726 +25 *1998:10 *2194:23 0.00596125 +26 *1998:10 *2210:19 0.00226704 +27 *1998:10 *2368:34 0 +28 *1998:10 *2368:35 0.000133887 +29 *1998:10 *3262:26 0.00828927 +30 *1998:13 *21449:A 7.25424e-05 +31 *1998:13 *2009:45 0.00140089 +32 *1998:13 *2558:12 0.00297687 +33 *1998:13 *2873:22 0 +34 *1998:13 *3083:13 0 +35 *22287:TE *1998:13 6.4084e-05 +36 *1173:29 *1998:10 0.00321451 +37 *1173:35 *1998:10 0.0211991 +38 *1763:8 *1998:13 0.000233563 +39 *1955:28 *1998:10 0.0067247 +40 *1992:10 *1998:9 7.99273e-05 +41 *1997:35 *1998:13 0 +*RES +1 *22570:HI[203] *1998:9 10.0006 +2 *1998:9 *1998:10 68.1261 +3 *1998:10 *1998:12 3.36879 +4 *1998:12 *1998:13 75.9545 +5 *1998:13 *1998:15 4.5 +6 *1998:15 *23512:TE 11.5158 +7 *1998:15 *6331:DIODE 9.97254 +*END + +*D_NET *1999 0.0857165 +*CONN +*I *6353:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23523:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[204] O *D mprj_logic_high +*CAP +1 *6353:DIODE 0.000104857 +2 *23523:TE 0 +3 *22570:HI[204] 0.00023085 +4 *1999:11 0.0026157 +5 *1999:10 0.00251085 +6 *1999:8 0.0148275 +7 *1999:7 0.0150583 +8 *6353:DIODE *2884:28 0 +9 *6353:DIODE *2895:14 3.99778e-05 +10 *1999:7 *2049:8 3.65586e-05 +11 *1999:8 *22432:A_N 0.000122378 +12 *1999:8 *2001:8 0.00883732 +13 *1999:8 *2001:17 7.24449e-05 +14 *1999:8 *2001:18 0.00187659 +15 *1999:8 *2001:22 0.00470314 +16 *1999:8 *2009:34 0.000386478 +17 *1999:8 *2009:42 9.82896e-06 +18 *1999:8 *2032:12 0.000439108 +19 *1999:8 *2040:28 0.000326177 +20 *1999:8 *2095:8 0.00150768 +21 *1999:8 *2840:11 0.00176342 +22 *1999:8 *2860:27 0.000610533 +23 *1999:11 *5825:DIODE 4.31485e-06 +24 *1999:11 *23259:B 1.24122e-05 +25 *1999:11 *23261:A 0 +26 *1999:11 *23261:B 1.18802e-05 +27 *1999:11 *2379:26 0 +28 *1999:11 *2701:8 0 +29 *1999:11 *2884:28 0 +30 *1999:11 *2895:14 0.000345875 +31 *1999:11 *3249:8 0.00153823 +32 *1999:11 *3253:6 0.000347655 +33 *23134:A *1999:11 3.57494e-05 +34 *23473:A *6353:DIODE 3.40268e-05 +35 *23473:A *1999:11 0.000222507 +36 *1173:35 *1999:8 0.000101365 +37 *1251:11 *1999:11 0.000569356 +38 *1336:8 *1999:11 7.50872e-05 +39 *1506:9 *1999:11 1.83273e-05 +40 *1507:8 *1999:11 2.61667e-05 +41 *1636:13 *1999:11 6.16957e-05 +42 *1665:12 *1999:8 4.91022e-05 +43 *1956:8 *1999:8 0.0121659 +44 *1958:8 *1999:8 0.0139807 +45 *1996:20 *1999:7 3.65586e-05 +*RES +1 *22570:HI[204] *1999:7 8.94564 +2 *1999:7 *1999:8 419.449 +3 *1999:8 *1999:10 4.5 +4 *1999:10 *1999:11 68.6876 +5 *1999:11 *23523:TE 13.7491 +6 *1999:11 *6353:DIODE 16.4116 +*END + +*D_NET *2000 0.0674641 +*CONN +*I *6375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23534:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[205] O *D mprj_logic_high +*CAP +1 *6375:DIODE 2.37123e-05 +2 *23534:TE 0 +3 *22570:HI[205] 0.000309257 +4 *2000:25 2.37123e-05 +5 *2000:23 0.00145241 +6 *2000:22 0.00223591 +7 *2000:14 0.00503031 +8 *2000:13 0.00434402 +9 *2000:8 0.000838189 +10 *2000:7 0.00105024 +11 *6375:DIODE *3094:5 0.000164829 +12 *2000:7 *2027:7 4.65047e-05 +13 *2000:7 *2033:23 1.6569e-05 +14 *2000:7 *2124:9 0 +15 *2000:8 *2007:14 0.00647043 +16 *2000:8 *2009:32 0.00119687 +17 *2000:13 *2042:19 0.000117975 +18 *2000:14 *21590:A 0.000118166 +19 *2000:14 *23277:A 0.000305742 +20 *2000:14 *23277:B 0.000313495 +21 *2000:14 *2010:20 0.00546639 +22 *2000:14 *2014:14 0.0107397 +23 *2000:14 *2015:31 0.0127781 +24 *2000:14 *2027:14 4.91225e-06 +25 *2000:14 *2042:19 0.000220514 +26 *2000:14 *2719:17 0.000659414 +27 *2000:14 *2858:22 0.000483488 +28 *2000:14 *2858:33 0.000152878 +29 *2000:22 *2164:47 6.11074e-05 +30 *2000:22 *2368:34 0 +31 *2000:22 *2569:13 4.31539e-05 +32 *2000:22 *2709:8 0.000225408 +33 *2000:22 *2840:8 3.81119e-05 +34 *2000:22 *3006:50 0.000301486 +35 *2000:22 *3006:61 0.000569871 +36 *2000:22 *3263:6 0.00062448 +37 *2000:23 *2840:8 0.00255846 +38 *2000:23 *3006:50 0.00254615 +39 *1370:16 *6375:DIODE 0.000164829 +40 *1395:7 *2000:14 0.000292777 +41 *1953:14 *2000:8 0.00523606 +42 *1958:8 *2000:8 1.41853e-05 +43 *1965:14 *2000:14 0.000110306 +44 *1995:11 *2000:13 0.00011393 +*RES +1 *22570:HI[205] *2000:7 10.1914 +2 *2000:7 *2000:8 70.6034 +3 *2000:8 *2000:13 11.6625 +4 *2000:13 *2000:14 217.019 +5 *2000:14 *2000:22 38.6184 +6 *2000:22 *2000:23 52.0775 +7 *2000:23 *2000:25 4.5 +8 *2000:25 *23534:TE 9.24915 +9 *2000:25 *6375:DIODE 11.0817 +*END + +*D_NET *2001 0.0435926 +*CONN +*I *23545:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6397:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[206] O *D mprj_logic_high +*CAP +1 *23545:TE 0.000101299 +2 *6397:DIODE 0 +3 *22570:HI[206] 0.000240401 +4 *2001:27 0.000101299 +5 *2001:25 0.00262555 +6 *2001:24 0.00262555 +7 *2001:22 0.000539748 +8 *2001:20 0.000562782 +9 *2001:18 0.000773952 +10 *2001:17 0.000880944 +11 *2001:8 0.00133794 +12 *2001:7 0.00144832 +13 *23545:TE *3423:7 0.000103943 +14 *2001:7 *2019:17 0 +15 *2001:7 *2063:29 0 +16 *2001:7 *2095:7 4.29446e-05 +17 *2001:8 *2009:34 0.00178878 +18 *2001:8 *2009:40 0.000169041 +19 *2001:8 *2009:42 0.000244886 +20 *2001:8 *3125:10 0.00167845 +21 *2001:17 *22432:A_N 0 +22 *2001:17 *2009:42 0.000175485 +23 *2001:18 *22432:A_N 5.31074e-05 +24 *2001:18 *2009:42 0.00508436 +25 *2001:18 *2860:27 0.000614731 +26 *2001:22 *2009:42 0.000562492 +27 *2001:22 *2840:11 0.00337367 +28 *2001:25 *2379:23 0 +29 *2001:25 *2705:17 7.50872e-05 +30 *2001:25 *2706:8 0 +31 *2001:25 *2795:22 0.000306662 +32 *2001:25 *3256:8 0 +33 *1256:10 *2001:25 0 +34 *1638:8 *2001:25 0 +35 *1639:12 *2001:25 0.000205468 +36 *1780:5 *2001:8 0.000111708 +37 *1997:23 *2001:8 0.0021731 +38 *1998:10 *2001:18 0.000101365 +39 *1999:8 *2001:8 0.00883732 +40 *1999:8 *2001:17 7.24449e-05 +41 *1999:8 *2001:18 0.00187659 +42 *1999:8 *2001:22 0.00470314 +*RES +1 *22570:HI[206] *2001:7 9.36089 +2 *2001:7 *2001:8 96.6698 +3 *2001:8 *2001:17 12.7059 +4 *2001:17 *2001:18 55.3519 +5 *2001:18 *2001:20 0.578717 +6 *2001:20 *2001:22 50.915 +7 *2001:22 *2001:24 4.5 +8 *2001:24 *2001:25 54.9843 +9 *2001:25 *2001:27 4.5 +10 *2001:27 *6397:DIODE 9.24915 +11 *2001:27 *23545:TE 12.625 +*END + +*D_NET *2002 0.119478 +*CONN +*I *6419:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23556:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[207] O *D mprj_logic_high +*CAP +1 *6419:DIODE 7.93027e-05 +2 *23556:TE 5.76663e-05 +3 *22570:HI[207] 0.00386782 +4 *2002:23 0.000136969 +5 *2002:21 0.00222942 +6 *2002:20 0.0103581 +7 *2002:16 0.0142604 +8 *2002:13 0.00999954 +9 *6419:DIODE *2838:30 2.61147e-05 +10 *23556:TE *2838:30 6.50727e-05 +11 *2002:13 *2007:13 2.02779e-05 +12 *2002:13 *2015:20 8.81235e-06 +13 *2002:13 *2026:13 7.48998e-06 +14 *2002:13 *2033:23 0.000980485 +15 *2002:13 *2043:23 0.00100563 +16 *2002:13 *2045:17 1.13872e-05 +17 *2002:13 *2057:17 0.000713151 +18 *2002:13 *2081:20 0.0108892 +19 *2002:13 *2088:24 0.0132446 +20 *2002:13 *2612:17 0.000138132 +21 *2002:16 *2003:12 0.000108607 +22 *2002:16 *2008:45 0 +23 *2002:16 *2018:19 0.000733227 +24 *2002:16 *2026:37 0.000951882 +25 *2002:16 *2033:23 0.000227365 +26 *2002:16 *2061:19 6.98716e-05 +27 *2002:16 *2079:20 0.000215384 +28 *2002:16 *2083:18 0.000498444 +29 *2002:16 *2088:24 0.000107017 +30 *2002:16 *2108:22 6.21462e-05 +31 *2002:16 *2137:23 2.65632e-05 +32 *2002:16 *2180:44 0.000238555 +33 *2002:16 *2598:20 0.000436969 +34 *2002:16 *2879:33 6.44502e-05 +35 *2002:20 *23418:A 0.000375025 +36 *2002:20 *2003:54 0.000110257 +37 *2002:20 *2020:22 0 +38 *2002:20 *2175:39 0.00150532 +39 *2002:20 *2180:53 0.00196028 +40 *2002:21 *22413:B 0.000181278 +41 *2002:21 *2374:40 0.000887244 +42 *2002:21 *2390:6 0.00146585 +43 *2002:21 *2555:6 0.000861672 +44 *2002:21 *2830:38 0.000593028 +45 *2002:21 *3246:6 0 +46 *22285:TE *2002:21 1.37385e-05 +47 *1173:29 *2002:16 0.000583629 +48 *1505:8 *2002:21 0 +49 *1761:8 *2002:21 0.000152017 +50 *1897:12 *2002:16 0.000370819 +51 *1897:12 *2002:20 0.000788333 +52 *1970:29 *2002:16 0.00273239 +53 *1970:57 *2002:13 1.14427e-05 +54 *1974:8 *2002:16 0.000181147 +55 *1977:32 *2002:13 2.18251e-05 +56 *1989:52 *2002:13 3.54934e-05 +57 *1989:52 *2002:16 0.000881736 +58 *1994:16 *2002:16 0.0125219 +59 *1994:16 *2002:20 0.000921362 +60 *1994:30 *2002:20 0.0202144 +61 *1997:8 *2002:16 0.000166538 +62 *1997:24 *2002:20 0.000101365 +63 *1998:9 *2002:13 0 +*RES +1 *22570:HI[207] *2002:13 34.2345 +2 *2002:13 *2002:16 20.674 +3 *2002:16 *2002:20 36.5007 +4 *2002:20 *2002:21 71.802 +5 *2002:21 *2002:23 4.5 +6 *2002:23 *23556:TE 10.9612 +7 *2002:23 *6419:DIODE 10.5271 +*END + +*D_NET *2003 0.0528428 +*CONN +*I *23567:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6441:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[208] O *D mprj_logic_high +*CAP +1 *23567:TE 9.12602e-05 +2 *6441:DIODE 0 +3 *22570:HI[208] 8.56675e-05 +4 *2003:57 9.12602e-05 +5 *2003:55 0.00266232 +6 *2003:54 0.00366642 +7 *2003:50 0.00187855 +8 *2003:38 0.00166798 +9 *2003:12 0.00206949 +10 *2003:10 0.00129416 +11 *2003:8 0.000698448 +12 *2003:7 0.000765924 +13 *23567:TE *3447:11 0.000171288 +14 *2003:7 *2104:8 1.25142e-05 +15 *2003:8 *2015:20 0.000271745 +16 *2003:12 *2012:10 0.000603237 +17 *2003:12 *2020:10 7.72512e-05 +18 *2003:12 *2032:12 0.000428623 +19 *2003:12 *2063:29 0.0002655 +20 *2003:38 *23290:B 0.000183003 +21 *2003:38 *23418:A 5.77901e-05 +22 *2003:38 *2020:10 1.84293e-05 +23 *2003:38 *2040:28 1.36046e-05 +24 *2003:38 *2586:12 2.92269e-05 +25 *2003:38 *2588:14 1.79486e-05 +26 *2003:38 *2733:17 0.000229983 +27 *2003:38 *2870:23 3.3239e-06 +28 *2003:38 *2871:16 5.58834e-05 +29 *2003:38 *3217:26 6.14756e-06 +30 *2003:50 *2860:15 7.1084e-05 +31 *2003:50 *2860:21 0.000164815 +32 *2003:54 *3779:DIODE 0.000271058 +33 *2003:54 *2860:15 0.000213725 +34 *2003:55 *2173:55 0.000174495 +35 *2003:55 *2847:35 2.44885e-05 +36 *2003:55 *2857:21 8.60268e-05 +37 *2003:55 *3017:22 0.000294192 +38 *2003:55 *3206:6 0.000533479 +39 *2003:55 *3423:12 0.000626069 +40 *22432:B *2003:50 0.000457669 +41 *1153:54 *2003:55 0.000293622 +42 *1173:35 *2003:38 0.000559117 +43 *1264:11 *2003:55 0.000500636 +44 *1665:12 *2003:12 0.000134915 +45 *1665:12 *2003:38 3.06098e-05 +46 *1776:10 *2003:55 1.73636e-05 +47 *1898:15 *2003:38 0.00151457 +48 *1898:15 *2003:50 0.000144196 +49 *1898:17 *2003:50 0.00192991 +50 *1916:23 *2003:55 0.000107063 +51 *1962:10 *2003:8 0.00602064 +52 *1962:10 *2003:12 1.37669e-05 +53 *1970:16 *2003:12 0.00171905 +54 *1970:29 *2003:8 0.000181147 +55 *1971:22 *2003:12 2.41483e-05 +56 *1974:8 *2003:8 1.41689e-05 +57 *1982:13 *2003:12 0.00177076 +58 *1994:16 *2003:38 0.000559117 +59 *1997:7 *2003:7 0 +60 *1997:8 *2003:8 0.00566548 +61 *1997:10 *2003:10 1.09551e-05 +62 *1997:12 *2003:8 1.37531e-05 +63 *1997:12 *2003:12 0.00592855 +64 *1997:24 *2003:38 0.000613963 +65 *1997:24 *2003:50 0.00355697 +66 *1997:24 *2003:54 0.000965378 +67 *2002:16 *2003:12 0.000108607 +68 *2002:20 *2003:54 0.000110257 +*RES +1 *22570:HI[208] *2003:7 6.03888 +2 *2003:7 *2003:8 65.612 +3 *2003:8 *2003:10 0.578717 +4 *2003:10 *2003:12 80.5863 +5 *2003:12 *2003:38 46.2811 +6 *2003:38 *2003:50 44.947 +7 *2003:50 *2003:54 33.5082 +8 *2003:54 *2003:55 64.9503 +9 *2003:55 *2003:57 4.5 +10 *2003:57 *6441:DIODE 9.24915 +11 *2003:57 *23567:TE 12.0704 +*END + +*D_NET *2004 0.020598 +*CONN +*I *6463:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23578:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[209] O *D mprj_logic_high +*CAP +1 *6463:DIODE 0 +2 *23578:TE 0.000106656 +3 *22570:HI[209] 6.2713e-05 +4 *2004:20 0.000159128 +5 *2004:19 0.00162667 +6 *2004:10 0.00366592 +7 *2004:9 0.00215444 +8 *23578:TE *3777:DIODE 5.51483e-06 +9 *23578:TE *21587:A 6.06538e-05 +10 *23578:TE *2856:39 9.59875e-06 +11 *2004:10 *5886:DIODE 6.08467e-05 +12 *2004:10 *2006:10 0.00751383 +13 *2004:10 *2060:18 0.00257208 +14 *2004:19 *2337:21 0.000158665 +15 *2004:19 *2852:14 0.000443694 +16 *2004:19 *2852:34 1.81049e-05 +17 *2004:19 *2864:12 0.000283749 +18 *2004:19 *2864:19 0.000390228 +19 *2004:19 *3271:6 0.000210249 +20 *1169:76 *2004:19 5.4251e-05 +21 *1387:13 *23578:TE 0.000162227 +22 *1387:13 *2004:20 1.65872e-05 +23 *1392:11 *23578:TE 0.000127271 +24 *1392:11 *2004:20 0.000166629 +25 *1393:17 *2004:10 4.38716e-05 +26 *1396:9 *2004:19 0.000310665 +27 *1916:12 *2004:20 0.000213725 +*RES +1 *22570:HI[209] *2004:9 5.52592 +2 *2004:9 *2004:10 82.8047 +3 *2004:10 *2004:19 49.5237 +4 *2004:19 *2004:20 2.94181 +5 *2004:20 *23578:TE 13.7824 +6 *2004:20 *6463:DIODE 9.24915 +*END + +*D_NET *2005 0.0658244 +*CONN +*I *22504:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4951:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[20] O *D mprj_logic_high +*CAP +1 *22504:TE 6.45586e-05 +2 *4951:DIODE 0 +3 *22570:HI[20] 0.00110667 +4 *2005:45 0.000560575 +5 *2005:36 0.00239126 +6 *2005:35 0.00203707 +7 *2005:26 0.000677047 +8 *2005:23 0.000728441 +9 *2005:18 0.00170209 +10 *2005:17 0.00173041 +11 *2005:12 0.00520772 +12 *2005:10 0.00609285 +13 *22504:TE *2428:14 5.64867e-05 +14 *22504:TE *2673:17 4.31703e-05 +15 *2005:10 *2016:8 0.00211645 +16 *2005:10 *2098:19 2.78668e-05 +17 *2005:10 *2099:8 0.00278735 +18 *2005:10 *2110:34 0.000305303 +19 *2005:10 *2114:7 6.66736e-05 +20 *2005:10 *2151:11 5.18181e-06 +21 *2005:10 *2279:28 2.27175e-05 +22 *2005:12 *2099:8 0.000620643 +23 *2005:12 *2114:8 0.000576544 +24 *2005:17 *2080:49 0 +25 *2005:17 *2086:61 8.62625e-06 +26 *2005:17 *2494:16 0 +27 *2005:23 *2921:32 0.000195701 +28 *2005:26 *2927:17 5.72269e-05 +29 *2005:35 *2505:16 8.11463e-06 +30 *2005:36 *3506:DIODE 0.000164815 +31 *2005:36 *3719:DIODE 0.000224395 +32 *2005:36 *21529:A 6.50586e-05 +33 *2005:36 *2817:17 0.00261801 +34 *2005:36 *2817:19 0.000938348 +35 *2005:36 *3193:11 0.00196135 +36 *2005:45 *2428:14 0.000115588 +37 *2005:45 *2798:24 0.000170979 +38 *2005:45 *2823:9 0.00198641 +39 *4933:DIODE *2005:26 5.31074e-05 +40 *4933:DIODE *2005:35 8.62625e-06 +41 *6033:DIODE *2005:36 0.000156955 +42 *1141:32 *2005:23 7.08723e-06 +43 *1141:42 *2005:23 1.3996e-05 +44 *1214:7 *2005:36 0.000439288 +45 *1329:24 *2005:23 3.27593e-05 +46 *1329:29 *2005:26 9.95234e-05 +47 *1329:44 *2005:26 9.15897e-05 +48 *1329:44 *2005:36 4.62668e-05 +49 *1456:10 *2005:36 0.000124674 +50 *1610:10 *2005:36 0.000559658 +51 *1957:25 *2005:23 1.5714e-05 +52 *1958:8 *2005:26 0.00408831 +53 *1967:43 *2005:45 0.000167381 +54 *1973:40 *2005:45 0.000826003 +55 *1978:29 *2005:26 0.00364281 +56 *1978:38 *2005:36 0.00258415 +57 *1978:46 *2005:36 0.00220233 +58 *1978:49 *22504:TE 5.09601e-05 +59 *1978:49 *2005:45 0.000115588 +60 *1984:33 *2005:10 3.74542e-05 +61 *1987:16 *2005:12 0.00416308 +62 *1992:12 *2005:10 0.000262483 +63 *1992:12 *2005:12 0.000446753 +64 *1995:12 *2005:18 0.00423782 +65 *1995:18 *2005:18 1.92172e-05 +66 *1995:28 *2005:36 0.00388914 +*RES +1 *22570:HI[20] *2005:10 47.9468 +2 *2005:10 *2005:12 85.5777 +3 *2005:12 *2005:17 12.9083 +4 *2005:17 *2005:18 46.2009 +5 *2005:18 *2005:23 13.3235 +6 *2005:23 *2005:26 46.225 +7 *2005:26 *2005:35 11.6136 +8 *2005:35 *2005:36 121.627 +9 *2005:36 *2005:45 41.0386 +10 *2005:45 *4951:DIODE 13.7491 +11 *2005:45 *22504:TE 16.0286 +*END + +*D_NET *2006 0.0257273 +*CONN +*I *23589:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6485:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[210] O *D mprj_logic_high +*CAP +1 *23589:TE 7.46496e-05 +2 *6485:DIODE 0 +3 *22570:HI[210] 4.29759e-05 +4 *2006:13 0.00202936 +5 *2006:12 0.00195471 +6 *2006:10 0.00130932 +7 *2006:9 0.0013523 +8 *23589:TE *2579:12 3.58525e-05 +9 *23589:TE *3098:43 0.00015143 +10 *23589:TE *3115:7 0.00015143 +11 *2006:10 *2060:18 0.000239548 +12 *2006:10 *2127:10 0.00794888 +13 *2006:10 *2180:44 0.000426672 +14 *2006:13 *2497:8 0 +15 *2006:13 *2497:14 4.05375e-05 +16 *2006:13 *2579:12 0.00239402 +17 *1379:26 *23589:TE 9.12416e-06 +18 *1379:26 *2006:13 1.91391e-05 +19 *1391:8 *2006:13 3.34802e-05 +20 *2004:10 *2006:10 0.00751383 +*RES +1 *22570:HI[210] *2006:9 5.52592 +2 *2006:9 *2006:10 97.779 +3 *2006:10 *2006:12 4.5 +4 *2006:12 *2006:13 48.7555 +5 *2006:13 *6485:DIODE 13.7491 +6 *2006:13 *23589:TE 16.5109 +*END + +*D_NET *2007 0.0880579 +*CONN +*I *6507:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23600:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[211] O *D mprj_logic_high +*CAP +1 *6507:DIODE 3.76248e-06 +2 *23600:TE 0.000114806 +3 *22570:HI[211] 0.000905248 +4 *2007:17 0.00375213 +5 *2007:16 0.00363356 +6 *2007:14 0.00638708 +7 *2007:13 0.00729233 +8 *6507:DIODE *2962:8 1.05746e-05 +9 *23600:TE *2836:44 0.00021217 +10 *23600:TE *2841:26 7.53055e-05 +11 *23600:TE *2962:8 5.93375e-06 +12 *23600:TE *3368:13 0.00021217 +13 *2007:13 *2012:9 1.14301e-05 +14 *2007:13 *2016:7 0 +15 *2007:13 *2078:8 0.000769766 +16 *2007:13 *2098:14 0.0011465 +17 *2007:13 *2102:8 1.37531e-05 +18 *2007:13 *2138:8 0.000190237 +19 *2007:13 *2142:13 0.000186776 +20 *2007:14 *2009:32 0.00046462 +21 *2007:14 *2009:34 0.00985282 +22 *2007:14 *2009:40 4.99897e-05 +23 *2007:14 *2009:42 0.00637945 +24 *2007:14 *2017:8 0.02041 +25 *2007:14 *2109:14 0.00224868 +26 *2007:14 *3125:13 0.00180415 +27 *2007:17 *23272:A 5.77352e-05 +28 *2007:17 *2175:39 2.87136e-06 +29 *2007:17 *2841:12 0 +30 *2007:17 *2841:26 5.02341e-05 +31 *2007:17 *2962:8 0.00136439 +32 *2007:17 *3176:24 0.000127708 +33 *4554:DIODE *2007:14 0.000572588 +34 *1268:15 *2007:14 0.000878344 +35 *1371:26 *2007:17 0.000133912 +36 *1441:24 *2007:17 0 +37 *1953:14 *2007:14 0.00154909 +38 *1954:10 *2007:13 0.00194817 +39 *1965:13 *2007:14 0.00154538 +40 *1978:10 *2007:14 0.00709332 +41 *1992:10 *2007:13 0 +42 *1998:10 *2007:14 0.000110257 +43 *2000:8 *2007:14 0.00647043 +44 *2002:13 *2007:13 2.02779e-05 +*RES +1 *22570:HI[211] *2007:13 48.4959 +2 *2007:13 *2007:14 395.047 +3 *2007:14 *2007:16 4.5 +4 *2007:16 *2007:17 79.2765 +5 *2007:17 *23600:TE 18.0783 +6 *2007:17 *6507:DIODE 14.1278 +*END + +*D_NET *2008 0.0647331 +*CONN +*I *6275:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23484:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[212] O *D mprj_logic_high +*CAP +1 *6275:DIODE 0 +2 *23484:TE 2.40619e-05 +3 *22570:HI[212] 0.000255893 +4 *2008:53 0.0038022 +5 *2008:45 0.00955663 +6 *2008:14 0.00689852 +7 *2008:13 0.00137592 +8 *23484:TE *3116:11 0.000222149 +9 *23484:TE *3355:7 0.000217937 +10 *2008:14 *2020:10 5.75597e-05 +11 *2008:45 *2020:10 0.000216195 +12 *2008:45 *2021:16 0.000468359 +13 *2008:45 *2021:34 0.00586263 +14 *2008:45 *2023:37 5.47434e-05 +15 *2008:45 *2026:37 0.000110829 +16 *2008:45 *2052:29 8.20799e-06 +17 *2008:45 *2079:20 3.98871e-05 +18 *2008:45 *2083:18 0.00157155 +19 *2008:45 *2096:24 0.000159964 +20 *2008:45 *2209:27 0.000159964 +21 *2008:45 *2341:45 0.000750148 +22 *2008:45 *2851:8 0.000116276 +23 *2008:45 *3108:15 0 +24 *2008:45 *3142:11 0.000505265 +25 *2008:53 *2341:45 0.00147929 +26 *2008:53 *2466:9 0.00126078 +27 *2008:53 *3040:27 0.00747597 +28 *2008:53 *3129:17 8.50838e-05 +29 *2008:53 *3134:17 0.00371983 +30 *2008:53 *3176:30 4.69495e-06 +31 *2008:53 *3217:25 0.000165657 +32 *2008:53 *3378:16 0.000195186 +33 *2008:53 *3447:12 3.93117e-06 +34 *1968:36 *2008:45 0.000250909 +35 *1969:10 *2008:14 0.000486358 +36 *1970:33 *2008:14 0.000525662 +37 *1970:33 *2008:45 1.44021e-05 +38 *1973:10 *2008:14 0.00165813 +39 *1975:10 *2008:14 0.000636239 +40 *1977:32 *2008:13 0.00104647 +41 *1977:32 *2008:45 0.00964041 +42 *1989:52 *2008:13 0.00105188 +43 *1989:52 *2008:14 0.000296747 +44 *1989:52 *2008:45 0.00230058 +45 *2002:16 *2008:45 0 +*RES +1 *22570:HI[212] *2008:13 14.1054 +2 *2008:13 *2008:14 52.5788 +3 *2008:14 *2008:45 48.041 +4 *2008:45 *2008:53 26.8111 +5 *2008:53 *23484:TE 11.6364 +6 *2008:53 *6275:DIODE 9.24915 +*END + +*D_NET *2009 0.0775341 +*CONN +*I *6297:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23495:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[213] O *D mprj_logic_high +*CAP +1 *6297:DIODE 0 +2 *23495:TE 1.31129e-05 +3 *22570:HI[213] 0.000932059 +4 *2009:48 2.20165e-05 +5 *2009:45 0.0031142 +6 *2009:44 0.0031053 +7 *2009:42 0.00176145 +8 *2009:40 0.00182248 +9 *2009:34 0.00143732 +10 *2009:32 0.00187339 +11 *2009:29 0.00109013 +12 *2009:19 0.00152509 +13 *23495:TE *2829:27 0.000228593 +14 *23495:TE *3368:5 0.000228593 +15 *2009:19 *2026:19 8.71605e-06 +16 *2009:19 *2043:23 0 +17 *2009:19 *2104:8 0.000219768 +18 *2009:19 *2108:22 0 +19 *2009:19 *2367:23 5.01835e-05 +20 *2009:29 *2015:21 0.000782235 +21 *2009:29 *2065:13 0.00135636 +22 *2009:29 *2087:21 0.00129295 +23 *2009:29 *2087:22 0.000723242 +24 *2009:34 *3125:10 0.00167845 +25 *2009:42 *22432:A_N 2.41483e-05 +26 *2009:42 *2368:35 0.00636363 +27 *2009:42 *2840:11 0.00646987 +28 *2009:45 *21449:A 6.89449e-05 +29 *2009:45 *2702:8 0.000402771 +30 *2009:45 *2834:31 0.000103047 +31 *2009:45 *2838:41 0.000148951 +32 *2009:48 *2829:27 6.50586e-05 +33 *2009:48 *3368:5 4.88955e-05 +34 *4527:DIODE *2009:45 0 +35 *4783:DIODE *2009:45 0 +36 *22287:TE *2009:45 6.97325e-05 +37 *22288:A *2009:45 0 +38 *1252:8 *2009:45 0 +39 *1253:8 *2009:45 4.47049e-05 +40 *1347:14 *2009:45 2.01503e-05 +41 *1373:20 *2009:45 9.21921e-05 +42 *1763:8 *2009:45 0.000233563 +43 *1766:6 *2009:45 0 +44 *1780:5 *2009:42 0.000115934 +45 *1884:30 *2009:45 0 +46 *1953:13 *2009:29 7.09666e-06 +47 *1955:8 *2009:19 0.0020457 +48 *1958:8 *2009:32 0.00385753 +49 *1958:8 *2009:34 0.000146234 +50 *1959:13 *2009:29 2.50875e-05 +51 *1960:13 *2009:19 5.88009e-05 +52 *1965:13 *2009:32 0.00154259 +53 *1967:9 *2009:19 6.22114e-05 +54 *1983:8 *2009:19 0.00205782 +55 *1997:23 *2009:34 0.0021837 +56 *1998:10 *2009:42 0.000244144 +57 *1998:13 *2009:45 0.00140089 +58 *1999:8 *2009:34 0.000386478 +59 *1999:8 *2009:42 9.82896e-06 +60 *2000:8 *2009:32 0.00119687 +61 *2001:8 *2009:34 0.00178878 +62 *2001:8 *2009:40 0.000169041 +63 *2001:8 *2009:42 0.000244886 +64 *2001:17 *2009:42 0.000175485 +65 *2001:18 *2009:42 0.00508436 +66 *2001:22 *2009:42 0.000562492 +67 *2007:14 *2009:32 0.00046462 +68 *2007:14 *2009:34 0.00985282 +69 *2007:14 *2009:40 4.99897e-05 +70 *2007:14 *2009:42 0.00637945 +*RES +1 *22570:HI[213] *2009:19 49.9959 +2 *2009:19 *2009:29 38.6342 +3 *2009:29 *2009:32 42.6201 +4 *2009:32 *2009:34 107.485 +5 *2009:34 *2009:40 2.99004 +6 *2009:40 *2009:42 149.912 +7 *2009:42 *2009:44 4.5 +8 *2009:44 *2009:45 79.8994 +9 *2009:45 *2009:48 5.2234 +10 *2009:48 *23495:TE 11.6364 +11 *2009:48 *6297:DIODE 9.24915 +*END + +*D_NET *2010 0.0412356 +*CONN +*I *6315:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23504:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[214] O *D mprj_logic_high +*CAP +1 *6315:DIODE 9.71122e-06 +2 *23504:TE 2.65878e-05 +3 *22570:HI[214] 0.000467258 +4 *2010:25 3.6299e-05 +5 *2010:23 0.00323663 +6 *2010:22 0.00323663 +7 *2010:20 0.00357252 +8 *2010:19 0.00447408 +9 *2010:8 0.00278677 +10 *2010:7 0.00235247 +11 *6315:DIODE *2843:43 2.15348e-05 +12 *6315:DIODE *3378:5 6.50727e-05 +13 *23504:TE *2843:41 4.17341e-05 +14 *23504:TE *2843:43 2.48809e-05 +15 *23504:TE *3378:5 0.000111708 +16 *2010:7 *2095:7 4.48305e-05 +17 *2010:7 *2105:8 9.23427e-05 +18 *2010:8 *2063:10 0.00155989 +19 *2010:8 *2071:12 6.38665e-05 +20 *2010:8 *2105:8 0.000696012 +21 *2010:8 *2181:49 0.00010238 +22 *2010:8 *2651:19 0.000736122 +23 *2010:19 *2015:34 4.69495e-06 +24 *2010:19 *2181:49 0.000322072 +25 *2010:19 *2368:34 0.000237377 +26 *2010:19 *2529:6 8.54931e-06 +27 *2010:19 *2719:16 1.5714e-05 +28 *2010:19 *3271:6 7.08723e-06 +29 *2010:20 *22430:A_N 6.50727e-05 +30 *2010:23 *2370:6 0 +31 *2010:23 *2392:6 0.0017823 +32 *2010:23 *2563:6 0 +33 *2010:23 *2705:8 0.000547164 +34 *2010:23 *2806:24 0.000122098 +35 *2010:23 *3256:8 0.000127462 +36 *1151:80 *2010:23 0 +37 *1171:32 *2010:23 4.69495e-06 +38 *1256:10 *2010:23 0.000265606 +39 *1395:9 *2010:8 0.00798699 +40 *1896:31 *2010:20 0.000110701 +41 *1998:10 *2010:19 0.000402255 +42 *2000:14 *2010:20 0.00546639 +*RES +1 *22570:HI[214] *2010:7 13.9287 +2 *2010:7 *2010:8 86.687 +3 *2010:8 *2010:19 19.8994 +4 *2010:19 *2010:20 103.88 +5 *2010:20 *2010:22 4.5 +6 *2010:22 *2010:23 79.4842 +7 *2010:23 *2010:25 4.5 +8 *2010:25 *23504:TE 10.5271 +9 *2010:25 *6315:DIODE 9.97254 +*END + +*D_NET *2011 0.060063 +*CONN +*I *6317:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23505:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[215] O *D mprj_logic_high +*CAP +1 *6317:DIODE 1.372e-05 +2 *23505:TE 0 +3 *22570:HI[215] 0.00191529 +4 *2011:50 0.000611941 +5 *2011:43 0.00489543 +6 *2011:42 0.00452467 +7 *2011:38 0.00161235 +8 *2011:30 0.00266508 +9 *2011:28 0.00359509 +10 *2011:20 0.00372754 +11 *2011:7 0.00332794 +12 *6317:DIODE *2865:51 0.000171288 +13 *6317:DIODE *3151:55 0.00015709 +14 *2011:7 *2014:8 8.98436e-05 +15 *2011:7 *2103:9 0 +16 *2011:7 *2221:19 0.000183216 +17 *2011:7 *3173:25 0 +18 *2011:20 *23313:A 0.000133742 +19 *2011:20 *23313:B 2.20702e-05 +20 *2011:20 *23315:A 9.97495e-06 +21 *2011:20 *23441:A 2.16355e-05 +22 *2011:20 *2140:8 0.00109659 +23 *2011:20 *3173:25 0.000723258 +24 *2011:20 *3239:27 0.00104828 +25 *2011:28 *5920:DIODE 0.000237148 +26 *2011:28 *23307:B 4.36818e-05 +27 *2011:28 *2140:8 0.000140081 +28 *2011:28 *2143:13 0.00252859 +29 *2011:30 *2140:8 0.00428537 +30 *2011:30 *3140:27 0.0099551 +31 *2011:30 *3195:20 0.000130331 +32 *2011:38 *2139:14 0.000287157 +33 *2011:38 *2143:14 0.000130559 +34 *2011:38 *2593:11 4.83691e-05 +35 *2011:38 *2877:15 7.75383e-05 +36 *2011:42 *2139:14 0.00206533 +37 *2011:43 *2147:55 0 +38 *2011:43 *2366:10 0.000145793 +39 *2011:43 *2366:12 0 +40 *2011:43 *2394:18 0 +41 *2011:43 *2726:6 0 +42 *2011:43 *2762:6 0.000117885 +43 *2011:43 *2853:20 0.00250799 +44 *2011:43 *3096:40 0.000343188 +45 *2011:43 *3117:24 0 +46 *2011:50 *2762:6 5.78941e-05 +47 *2011:50 *2865:51 6.08467e-05 +48 *2011:50 *3096:40 0.000781926 +49 *2011:50 *3151:55 6.08467e-05 +50 *4797:DIODE *2011:43 4.69189e-06 +51 *6177:DIODE *2011:28 0.000163418 +52 *22311:A *2011:50 0.000206743 +53 *22314:TE *2011:43 0.000187582 +54 *23154:A *2011:38 0.000268812 +55 *23177:A *2011:30 0.0002817 +56 *23441:B *2011:20 5.49784e-05 +57 *1150:15 *2011:42 0.00201081 +58 *1275:8 *2011:50 0.000230477 +59 *1554:5 *2011:28 0.000182244 +60 *1560:5 *2011:20 0.000269565 +61 *1657:9 *2011:38 0.000989708 +62 *1682:8 *2011:28 0.000165459 +63 *1682:8 *2011:30 1.88152e-05 +64 *1690:12 *2011:20 6.48631e-05 +65 *1773:10 *2011:43 0.000193255 +66 *1790:8 *2011:43 8.75195e-05 +67 *1886:22 *2011:43 0.000113145 +68 *1890:16 *2011:43 1.75265e-05 +*RES +1 *22570:HI[215] *2011:7 39.6743 +2 *2011:7 *2011:20 44.2476 +3 *2011:20 *2011:28 46.4179 +4 *2011:28 *2011:30 108.316 +5 *2011:30 *2011:38 47.3419 +6 *2011:38 *2011:42 26.8529 +7 *2011:42 *2011:43 96.7171 +8 *2011:43 *2011:50 22.1144 +9 *2011:50 *23505:TE 9.24915 +10 *2011:50 *6317:DIODE 11.0817 +*END + +*D_NET *2012 0.0808412 +*CONN +*I *6319:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23506:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[216] O *D mprj_logic_high +*CAP +1 *6319:DIODE 0 +2 *23506:TE 0.000208615 +3 *22570:HI[216] 8.0709e-05 +4 *2012:22 0.00157562 +5 *2012:18 0.00671728 +6 *2012:10 0.00916454 +7 *2012:9 0.00389497 +8 *23506:TE *2806:16 0 +9 *23506:TE *2840:8 5.26029e-05 +10 *23506:TE *2851:50 5.04829e-06 +11 *23506:TE *3096:23 3.17103e-05 +12 *2012:9 *2016:7 0 +13 *2012:10 *2020:10 0.0194518 +14 *2012:10 *2026:37 0.000160086 +15 *2012:10 *2063:29 0.000612613 +16 *2012:18 *2020:22 0.00174197 +17 *2012:18 *2154:18 0.000165592 +18 *2012:18 *2154:25 0 +19 *2012:18 *2176:55 0.00511918 +20 *2012:18 *2591:16 0.000385095 +21 *2012:18 *3062:40 0.00179082 +22 *2012:22 *23268:A 0 +23 *2012:22 *2164:47 1.77894e-05 +24 *2012:22 *2167:57 2.2224e-05 +25 *2012:22 *2170:35 0 +26 *2012:22 *2709:8 0 +27 *2012:22 *2841:12 0 +28 *2012:22 *2851:50 0.000131243 +29 *2012:22 *2918:52 5.49686e-06 +30 *2012:22 *3006:50 0 +31 *1515:11 *2012:22 0.000284776 +32 *1647:6 *2012:22 0.000183195 +33 *1892:13 *2012:18 0.00132271 +34 *1893:15 *2012:18 0.00110881 +35 *1961:13 *2012:10 0.00121182 +36 *1962:10 *2012:10 0.0202412 +37 *1970:16 *2012:10 0.000634402 +38 *1971:22 *2012:10 0.000358977 +39 *1971:22 *2012:18 0.000381634 +40 *1973:10 *2012:10 0.00253497 +41 *1982:13 *2012:10 0.000629024 +42 *2003:12 *2012:10 0.000603237 +43 *2007:13 *2012:9 1.14301e-05 +*RES +1 *22570:HI[216] *2012:9 5.94117 +2 *2012:9 *2012:10 292.445 +3 *2012:10 *2012:18 36.777 +4 *2012:18 *2012:22 31.6292 +5 *2012:22 *23506:TE 21.6378 +6 *2012:22 *6319:DIODE 9.24915 +*END + +*D_NET *2013 0.0471001 +*CONN +*I *6321:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23507:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[217] O *D mprj_logic_high +*CAP +1 *6321:DIODE 0 +2 *23507:TE 3.21344e-05 +3 *22570:HI[217] 0.000425836 +4 *2013:36 0.000282793 +5 *2013:31 0.00274507 +6 *2013:23 0.00353129 +7 *2013:20 0.00195174 +8 *2013:13 0.00190518 +9 *2013:8 0.00231725 +10 *2013:7 0.00175277 +11 *23507:TE *3105:7 0.000213739 +12 *23507:TE *3381:11 0.000213739 +13 *2013:7 *2141:7 5.76812e-05 +14 *2013:8 *2014:14 0.0110709 +15 *2013:8 *2017:8 0.00250762 +16 *2013:8 *2091:10 0.000220514 +17 *2013:8 *2109:14 0.00150102 +18 *2013:13 *2032:24 0.000532429 +19 *2013:13 *2040:28 0.000196421 +20 *2013:13 *2105:16 0.000177814 +21 *2013:13 *2153:37 4.66949e-05 +22 *2013:13 *2158:31 6.94815e-05 +23 *2013:13 *2869:22 0.000848669 +24 *2013:13 *2870:32 0.000149857 +25 *2013:13 *3029:34 1.89323e-05 +26 *2013:13 *3123:30 0 +27 *2013:20 *2158:31 0.000131401 +28 *2013:20 *2332:58 1.91246e-05 +29 *2013:20 *2870:32 1.68962e-05 +30 *2013:20 *2870:34 4.59541e-06 +31 *2013:20 *3029:34 0.00029845 +32 *2013:23 *3029:34 0.00157537 +33 *2013:23 *3123:32 0.00147919 +34 *2013:23 *3382:16 3.38591e-05 +35 *2013:31 *2867:14 0 +36 *2013:31 *2867:34 4.15661e-05 +37 *2013:31 *3101:9 1.41976e-05 +38 *2013:31 *3101:15 0.00095663 +39 *2013:31 *3101:19 0.000250483 +40 *2013:36 *2884:27 0.00010238 +41 *2013:36 *3101:9 0.000843618 +42 *2013:36 *3103:32 0.000776327 +43 *2013:36 *3105:7 0.00124742 +44 *2013:36 *3381:11 0.00120119 +45 *1378:11 *2013:31 7.09666e-06 +46 *1380:29 *2013:31 0.000112149 +47 *1384:15 *2013:20 5.97411e-05 +48 *1387:27 *2013:20 0.000176045 +49 *1883:20 *2013:20 0.00110753 +50 *1903:15 *2013:31 0 +51 *1908:14 *2013:20 4.15661e-05 +52 *1955:7 *2013:7 0 +53 *1959:10 *2013:8 0.00383378 +*RES +1 *22570:HI[217] *2013:7 11.8524 +2 *2013:7 *2013:8 119.963 +3 *2013:8 *2013:13 34.2638 +4 *2013:13 *2013:20 34.8806 +5 *2013:20 *2013:23 37.8912 +6 *2013:23 *2013:31 49.7253 +7 *2013:31 *2013:36 23.1004 +8 *2013:36 *23507:TE 11.6364 +9 *2013:36 *6321:DIODE 9.24915 +*END + +*D_NET *2014 0.0641594 +*CONN +*I *23508:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6323:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[218] O *D mprj_logic_high +*CAP +1 *23508:TE 9.12602e-05 +2 *6323:DIODE 0 +3 *22570:HI[218] 0.00101219 +4 *2014:29 9.12602e-05 +5 *2014:27 0.00230584 +6 *2014:26 0.00279988 +7 *2014:22 0.0016882 +8 *2014:14 0.00466972 +9 *2014:13 0.00358873 +10 *2014:8 0.00112536 +11 *2014:8 *2017:7 3.72079e-05 +12 *2014:8 *2023:19 0 +13 *2014:13 *2024:29 8.8682e-06 +14 *2014:13 *2896:17 1.81797e-05 +15 *2014:14 *2017:8 7.28376e-05 +16 *2014:14 *2042:19 0.000108607 +17 *2014:14 *2091:10 0.000244144 +18 *2014:14 *2109:14 0.000850023 +19 *2014:22 *2366:10 8.58138e-05 +20 *2014:22 *2726:6 3.80095e-05 +21 *2014:22 *3125:10 6.1438e-05 +22 *2014:26 *3122:7 0.000670098 +23 *2014:27 *2040:35 0.000346066 +24 *2014:27 *2167:57 1.49935e-05 +25 *2014:27 *2509:12 0.00259446 +26 *2014:27 *2509:20 0.000690556 +27 *2014:27 *2722:14 0.000129206 +28 *2014:27 *2847:41 0.000482996 +29 *2014:27 *2852:14 0 +30 *2014:27 *2864:35 4.05187e-05 +31 *2014:27 *3471:10 0 +32 *1173:21 *2014:14 0.00380719 +33 *1262:12 *2014:27 0.000123372 +34 *1368:31 *23508:TE 0.000171288 +35 *1372:25 *2014:27 0.000205546 +36 *1655:8 *2014:27 0 +37 *1954:9 *2014:13 8.98169e-05 +38 *1954:10 *2014:8 0.00115858 +39 *1955:8 *2014:8 0.00304878 +40 *1960:7 *2014:13 0 +41 *1960:8 *2014:8 0.000322 +42 *1963:13 *2014:8 1.90827e-05 +43 *1965:14 *2014:14 0.00148886 +44 *1994:8 *2014:14 0.00538238 +45 *1997:24 *2014:22 0.00254483 +46 *1997:24 *2014:26 3.07561e-05 +47 *2000:14 *2014:14 0.0107397 +48 *2011:7 *2014:8 8.98436e-05 +49 *2013:8 *2014:14 0.0110709 +*RES +1 *22570:HI[218] *2014:8 48.2042 +2 *2014:8 *2014:13 11.6625 +3 *2014:13 *2014:14 212.027 +4 *2014:14 *2014:22 42.6317 +5 *2014:22 *2014:26 12.9878 +6 *2014:26 *2014:27 66.6113 +7 *2014:27 *2014:29 4.5 +8 *2014:29 *6323:DIODE 9.24915 +9 *2014:29 *23508:TE 12.0704 +*END + +*D_NET *2015 0.0514882 +*CONN +*I *6325:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23509:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[219] O *D mprj_logic_high +*CAP +1 *6325:DIODE 8.1959e-05 +2 *23509:TE 0 +3 *22570:HI[219] 0.000690694 +4 *2015:34 0.00260288 +5 *2015:33 0.00252092 +6 *2015:31 0.00148256 +7 *2015:30 0.00166915 +8 *2015:21 0.000718454 +9 *2015:20 0.001184 +10 *2015:4 3.85592e-05 +11 *6325:DIODE *2529:6 0.000104962 +12 *6325:DIODE *2940:28 0 +13 *2015:4 *2021:12 4.17734e-05 +14 *2015:4 *2021:51 0 +15 *2015:20 *2026:19 0.000235514 +16 *2015:20 *2104:8 0 +17 *2015:20 *2108:22 4.96061e-06 +18 *2015:21 *2027:14 0.00242828 +19 *2015:21 *2087:21 1.65872e-05 +20 *2015:21 *2087:22 1.15389e-05 +21 *2015:30 *2040:13 0 +22 *2015:31 *2027:14 0.000262495 +23 *2015:31 *2042:19 0.000206147 +24 *2015:34 *2529:6 0.00323787 +25 *2015:34 *2581:6 0 +26 *2015:34 *2940:28 0.000160068 +27 *22304:A *2015:34 0 +28 *23519:A *6325:DIODE 9.12416e-06 +29 *23519:A *2015:34 0.000211765 +30 *1173:29 *2015:20 4.31714e-05 +31 *1261:19 *2015:34 0 +32 *1382:18 *2015:34 0.000493482 +33 *1395:7 *2015:31 2.41483e-05 +34 *1395:9 *2015:31 0.0116529 +35 *1960:8 *2015:21 0.00154254 +36 *1962:10 *2015:20 0.000163901 +37 *1965:13 *2015:30 7.09666e-06 +38 *1974:8 *2015:20 0.000899989 +39 *1980:9 *2015:30 0 +40 *1991:9 *2015:20 0 +41 *1995:11 *2015:30 1.04743e-05 +42 *1995:12 *2015:21 0.00487593 +43 *1997:7 *2015:20 8.62625e-06 +44 *2000:14 *2015:31 0.0127781 +45 *2002:13 *2015:20 8.81235e-06 +46 *2003:8 *2015:20 0.000271745 +47 *2009:29 *2015:21 0.000782235 +48 *2010:19 *2015:34 4.69495e-06 +*RES +1 *22570:HI[219] *2015:4 0.915998 +2 *22570:HI[219] *2015:20 32.6809 +3 *2015:20 *2015:21 52.8561 +4 *2015:21 *2015:30 13.2747 +5 *2015:30 *2015:31 138.82 +6 *2015:31 *2015:33 4.5 +7 *2015:33 *2015:34 66.6113 +8 *2015:34 *23509:TE 13.7491 +9 *2015:34 *6325:DIODE 15.9964 +*END + +*D_NET *2016 0.0141655 +*CONN +*I *4953:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22505:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[21] O *D mprj_logic_high +*CAP +1 *4953:DIODE 0 +2 *22505:TE 8.98914e-05 +3 *22570:HI[21] 0.000745551 +4 *2016:11 0.000143399 +5 *2016:8 0.0032776 +6 *2016:7 0.00396965 +7 *22505:TE *2086:39 6.50465e-05 +8 *22505:TE *2100:28 0.000273916 +9 *2016:7 *2077:19 0 +10 *2016:8 *2099:8 0.000190235 +11 *2016:8 *2102:19 0.00128627 +12 *2016:8 *2146:10 0.00152259 +13 *2016:11 *2086:39 6.43474e-05 +14 *1964:8 *22505:TE 0.000278114 +15 *1992:12 *2016:8 0.000142453 +16 *2005:10 *2016:8 0.00211645 +17 *2007:13 *2016:7 0 +18 *2012:9 *2016:7 0 +*RES +1 *22570:HI[21] *2016:7 17.6659 +2 *2016:7 *2016:8 57.8476 +3 *2016:8 *2016:11 5.91674 +4 *2016:11 *22505:TE 18.1077 +5 *2016:11 *4953:DIODE 13.7491 +*END + +*D_NET *2017 0.0529028 +*CONN +*I *6327:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23510:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[220] O *D mprj_logic_high +*CAP +1 *6327:DIODE 3.15369e-05 +2 *23510:TE 0 +3 *22570:HI[220] 0.000366495 +4 *2017:18 0.00159207 +5 *2017:13 0.00250004 +6 *2017:8 0.00354323 +7 *2017:7 0.00297022 +8 *6327:DIODE *3111:15 0.000158357 +9 *6327:DIODE *3385:11 0.000142194 +10 *2017:7 *2020:9 3.6348e-06 +11 *2017:7 *2023:19 0 +12 *2017:8 *2109:14 0.0104472 +13 *2017:8 *2174:19 0.000118134 +14 *2017:8 *2194:23 0.00010238 +15 *2017:13 *2020:22 2.06587e-06 +16 *2017:13 *2180:53 1.51914e-05 +17 *2017:13 *2587:27 0 +18 *2017:13 *2733:8 4.35197e-05 +19 *2017:13 *2870:23 2.29133e-05 +20 *2017:13 *2995:60 3.70572e-05 +21 *2017:13 *2995:72 0.000606324 +22 *2017:18 *23526:TE 0.000190314 +23 *2017:18 *2159:40 4.04009e-05 +24 *2017:18 *2167:39 9.80784e-05 +25 *2017:18 *2172:19 0 +26 *2017:18 *2173:45 4.49767e-05 +27 *2017:18 *2175:24 0.000150113 +28 *2017:18 *2587:28 0.00139915 +29 *2017:18 *2995:60 0.000172025 +30 *2017:18 *3111:15 0.000211492 +31 *2017:18 *3385:11 0.000211492 +32 *1406:8 *2017:18 9.0319e-05 +33 *1886:19 *2017:13 1.9101e-05 +34 *1886:19 *2017:18 1.10848e-05 +35 *1891:15 *2017:13 2.55661e-06 +36 *1893:15 *2017:13 0.000430138 +37 *1896:12 *2017:13 0.000210702 +38 *1959:10 *2017:8 0.00382679 +39 *1963:13 *2017:7 6.38699e-05 +40 *2007:14 *2017:8 0.02041 +41 *2013:8 *2017:8 0.00250762 +42 *2014:8 *2017:7 3.72079e-05 +43 *2014:14 *2017:8 7.28376e-05 +*RES +1 *22570:HI[220] *2017:7 11.0219 +2 *2017:7 *2017:8 223.119 +3 *2017:8 *2017:13 28.6579 +4 *2017:13 *2017:18 44.4309 +5 *2017:18 *23510:TE 9.24915 +6 *2017:18 *6327:DIODE 11.0817 +*END + +*D_NET *2018 0.0162397 +*CONN +*I *23511:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6329:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[221] O *D mprj_logic_high +*CAP +1 *23511:TE 6.55473e-05 +2 *6329:DIODE 0 +3 *22570:HI[221] 0.00412395 +4 *2018:19 0.0041895 +5 *23511:TE *2873:8 4.49767e-05 +6 *23511:TE *2951:29 0.000217937 +7 *23511:TE *3390:11 1.12969e-05 +8 *2018:19 *2021:34 0 +9 *2018:19 *2023:37 0 +10 *2018:19 *2063:29 0.000400596 +11 *2018:19 *2073:22 2.85625e-05 +12 *2018:19 *2079:20 0.000140455 +13 *2018:19 *2196:25 0.00172435 +14 *2018:19 *2384:21 0.000339811 +15 *2018:19 *2465:19 0.000355814 +16 *2018:19 *2594:20 0.000855796 +17 *2018:19 *2595:14 0.00259318 +18 *2018:19 *2873:8 0.000140871 +19 *2018:19 *3390:11 5.58829e-05 +20 *1403:13 *23511:TE 0.000217937 +21 *2002:16 *2018:19 0.000733227 +*RES +1 *22570:HI[221] *2018:19 27.055 +2 *2018:19 *6329:DIODE 13.7491 +3 *2018:19 *23511:TE 17.1378 +*END + +*D_NET *2019 0.0205705 +*CONN +*I *23513:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6333:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[222] O *D mprj_logic_high +*CAP +1 *23513:TE 5.33897e-05 +2 *6333:DIODE 0 +3 *22570:HI[222] 0.00374113 +4 *2019:17 0.00379452 +5 *23513:TE *2386:33 0.000162663 +6 *23513:TE *3006:45 0.000162663 +7 *23513:TE *3101:20 5.13902e-05 +8 *23513:TE *3119:22 1.58101e-05 +9 *2019:17 *2042:19 0 +10 *2019:17 *2061:19 0.00104353 +11 *2019:17 *2063:29 0.000201504 +12 *2019:17 *2139:7 5.13478e-05 +13 *2019:17 *2398:21 5.83451e-05 +14 *2019:17 *2475:31 5.60804e-05 +15 *2019:17 *2589:18 0.00569024 +16 *2019:17 *2592:15 0 +17 *2019:17 *3101:20 0.00013228 +18 *2019:17 *3119:22 4.95857e-05 +19 *1173:29 *2019:17 0.00264855 +20 *1998:10 *2019:17 0.00265742 +21 *2001:7 *2019:17 0 +*RES +1 *22570:HI[222] *2019:17 31.8625 +2 *2019:17 *6333:DIODE 13.7491 +3 *2019:17 *23513:TE 16.5832 +*END + +*D_NET *2020 0.0680802 +*CONN +*I *23514:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[223] O *D mprj_logic_high +*CAP +1 *23514:TE 6.69475e-05 +2 *6335:DIODE 0.000102003 +3 *22570:HI[223] 6.01692e-05 +4 *2020:23 0.00217719 +5 *2020:22 0.00906519 +6 *2020:10 0.010388 +7 *2020:9 0.00339121 +8 *23514:TE *2842:44 0 +9 *23514:TE *2929:24 7.41833e-06 +10 *2020:10 *2021:16 0.000779755 +11 *2020:10 *2040:28 0.000347214 +12 *2020:10 *2129:16 0.00118344 +13 *2020:10 *2133:28 0.000143913 +14 *2020:10 *2180:42 0.000236966 +15 *2020:10 *2180:44 0.0030319 +16 *2020:22 *2154:25 0 +17 *2020:22 *2175:39 0.00237069 +18 *2020:22 *2180:53 7.83368e-05 +19 *2020:22 *3029:46 6.23313e-05 +20 *2020:22 *3062:40 0.00306857 +21 *2020:23 *3765:DIODE 1.5714e-05 +22 *2020:23 *22423:A_N 2.27175e-05 +23 *2020:23 *2165:41 8.34808e-05 +24 *2020:23 *2564:12 0 +25 *2020:23 *2568:6 0.000555279 +26 *2020:23 *2839:52 0.000160966 +27 *2020:23 *2842:44 0 +28 *2020:23 *2850:18 0.000590133 +29 *2020:23 *2929:24 1.988e-05 +30 *2020:23 *3098:20 8.72221e-06 +31 *2020:23 *3138:47 0 +32 *22295:TE *2020:23 1.30304e-05 +33 *22423:B *2020:23 6.12082e-05 +34 *1260:8 *2020:23 0 +35 *1373:25 *6335:DIODE 0.000171273 +36 *1373:25 *23514:TE 0.000118166 +37 *1379:14 *2020:23 0.000102576 +38 *1393:13 *2020:22 0.000481887 +39 *1452:40 *23514:TE 5.0715e-05 +40 *1771:8 *2020:23 0.000134989 +41 *1888:26 *2020:23 0.00034932 +42 *1895:18 *2020:22 0.00047923 +43 *1896:12 *2020:22 4.31479e-05 +44 *1898:15 *2020:22 0.000474491 +45 *1963:13 *2020:9 5.26361e-07 +46 *1973:10 *2020:10 0.00601254 +47 *2002:20 *2020:22 0 +48 *2003:12 *2020:10 7.72512e-05 +49 *2003:38 *2020:10 1.84293e-05 +50 *2008:14 *2020:10 5.75597e-05 +51 *2008:45 *2020:10 0.000216195 +52 *2012:10 *2020:10 0.0194518 +53 *2012:18 *2020:22 0.00174197 +54 *2017:7 *2020:9 3.6348e-06 +55 *2017:13 *2020:22 2.06587e-06 +*RES +1 *22570:HI[223] *2020:9 5.52592 +2 *2020:9 *2020:10 222.565 +3 *2020:10 *2020:22 34.4765 +4 *2020:22 *2020:23 49.3784 +5 *2020:23 *6335:DIODE 15.5817 +6 *2020:23 *23514:TE 16.0286 +*END + +*D_NET *2021 0.0425684 +*CONN +*I *23515:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[224] O *D mprj_logic_high +*CAP +1 *23515:TE 8.97291e-05 +2 *6337:DIODE 0 +3 *22570:HI[224] 0.000441343 +4 *2021:51 5.0122e-05 +5 *2021:34 0.00702637 +6 *2021:16 0.00782916 +7 *2021:12 0.00128374 +8 *23515:TE *2895:11 9.14669e-05 +9 *23515:TE *3380:32 5.88052e-06 +10 *23515:TE *3390:5 0.000217937 +11 *2021:12 *2033:23 0.000348054 +12 *2021:12 *2108:22 1.7161e-05 +13 *2021:16 *2133:28 0.000173919 +14 *2021:16 *2180:42 1.41976e-05 +15 *2021:34 *2022:22 0.000284953 +16 *2021:34 *2023:37 0.00452028 +17 *2021:34 *2026:37 0.000799204 +18 *2021:34 *2054:19 6.98716e-05 +19 *2021:34 *2058:17 0.000120653 +20 *2021:34 *2083:18 0.000198527 +21 *2021:34 *2101:20 1.80692e-05 +22 *2021:34 *2123:19 0.000294911 +23 *2021:34 *2180:38 3.955e-05 +24 *2021:34 *2195:25 0 +25 *2021:34 *2458:31 0.00539336 +26 *2021:34 *2929:21 0.00152192 +27 *2021:34 *3097:34 0 +28 *2021:34 *3130:20 5.40742e-06 +29 *2021:34 *3135:17 0.0016821 +30 *2021:34 *3317:40 0.000280719 +31 *2021:34 *3380:32 4.21932e-05 +32 *1968:36 *2021:34 0.000358807 +33 *1970:33 *2021:16 0.00130748 +34 *1973:9 *2021:12 6.67835e-06 +35 *1973:9 *2021:51 4.31312e-06 +36 *1977:32 *2021:12 2.7379e-05 +37 *1977:32 *2021:34 0.000140289 +38 *1989:52 *2021:34 0.000710157 +39 *2008:45 *2021:16 0.000468359 +40 *2008:45 *2021:34 0.00586263 +41 *2015:4 *2021:12 4.17734e-05 +42 *2015:4 *2021:51 0 +43 *2018:19 *2021:34 0 +44 *2020:10 *2021:16 0.000779755 +*RES +1 *22570:HI[224] *2021:12 14.5188 +2 *2021:12 *2021:16 46.6427 +3 *2021:16 *2021:34 40.7981 +4 *2021:34 *6337:DIODE 13.7491 +5 *2021:34 *23515:TE 17.1378 +6 *22570:HI[224] *2021:51 0.915998 +*END + +*D_NET *2022 0.0736098 +*CONN +*I *6339:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23516:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[225] O *D mprj_logic_high +*CAP +1 *6339:DIODE 0 +2 *23516:TE 9.86564e-05 +3 *22570:HI[225] 0.00813465 +4 *2022:28 0.00206792 +5 *2022:22 0.0101039 +6 *23516:TE *2851:8 6.97325e-05 +7 *23516:TE *3391:7 0.000419696 +8 *2022:22 *2048:28 2.05082e-05 +9 *2022:22 *2110:21 0.000118501 +10 *2022:22 *2117:14 0.00070246 +11 *2022:22 *2159:30 0.00155121 +12 *2022:22 *2161:12 0.00128348 +13 *2022:22 *2162:29 0.00417972 +14 *2022:22 *2180:38 0.000790313 +15 *2022:22 *2181:36 6.21462e-05 +16 *2022:22 *2207:22 0.00166223 +17 *2022:22 *2234:25 0.00138748 +18 *2022:22 *2332:34 0.00608013 +19 *2022:22 *2393:49 0.000907225 +20 *2022:28 *2169:35 2.33103e-06 +21 *2022:28 *2875:47 0 +22 *2022:28 *3113:47 0.000693098 +23 *22321:TE *2022:28 2.3091e-05 +24 *1155:9 *2022:22 0.00287954 +25 *1155:22 *2022:22 0.00966151 +26 *1900:10 *23516:TE 0.000419696 +27 *1902:19 *23516:TE 3.74542e-05 +28 *1932:25 *2022:28 2.36659e-05 +29 *1938:11 *2022:28 0.000216272 +30 *1939:7 *2022:28 0.00126591 +31 *1941:7 *2022:28 0 +32 *1942:17 *23516:TE 9.82202e-06 +33 *1946:13 *2022:28 0.000115313 +34 *1986:20 *2022:22 0.0182246 +35 *1989:52 *2022:22 0.000112579 +36 *2021:34 *2022:22 0.000284953 +*RES +1 *22570:HI[225] *2022:22 48.2014 +2 *2022:22 *2022:28 48.5703 +3 *2022:28 *23516:TE 24.2715 +4 *2022:28 *6339:DIODE 9.24915 +*END + +*D_NET *2023 0.0571175 +*CONN +*I *6341:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23517:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[226] O *D mprj_logic_high +*CAP +1 *6341:DIODE 3.19928e-05 +2 *23517:TE 0 +3 *22570:HI[226] 0.0046659 +4 *2023:37 0.00522842 +5 *2023:19 0.00986233 +6 *2023:19 *2024:29 0.000968314 +7 *2023:19 *2029:30 0.00418941 +8 *2023:19 *2030:16 0.0111616 +9 *2023:19 *2065:26 0.000538062 +10 *2023:19 *2091:10 0.000306525 +11 *2023:19 *2101:20 0.00175762 +12 *2023:19 *2116:8 2.34189e-05 +13 *2023:19 *2219:19 1.16103e-05 +14 *2023:19 *2220:19 0.000222481 +15 *2023:19 *2222:17 0.00014888 +16 *2023:19 *2224:23 9.8438e-05 +17 *2023:19 *2367:9 0.000117422 +18 *2023:19 *2367:23 0.000906638 +19 *2023:19 *3273:33 0.000231611 +20 *2023:19 *3408:19 0.00239419 +21 *2023:37 *6152:DIODE 6.16595e-06 +22 *2023:37 *23295:B 1.2128e-05 +23 *2023:37 *23423:A 0.000193424 +24 *2023:37 *2042:19 0.000682742 +25 *2023:37 *2083:18 3.12191e-05 +26 *2023:37 *2135:20 0.000488183 +27 *2023:37 *2198:29 0.000649019 +28 *2023:37 *2199:22 0.000298336 +29 *2023:37 *2202:33 0.00181434 +30 *2023:37 *2595:14 0 +31 *2023:37 *2736:17 0.000916008 +32 *2023:37 *2877:15 0 +33 *2023:37 *2879:8 0.000163893 +34 *2023:37 *2881:11 0.000392912 +35 *2023:37 *2884:19 6.03237e-05 +36 *2023:37 *3132:9 5.60804e-05 +37 *2023:37 *3273:33 0.00276702 +38 *2023:37 *3389:26 6.34564e-05 +39 *1155:22 *2023:37 0.000445306 +40 *1668:17 *2023:37 6.44644e-05 +41 *1907:16 *6341:DIODE 0.000171288 +42 *1920:19 *6341:DIODE 5.07314e-05 +43 *1920:19 *2023:37 1.91391e-05 +44 *1983:8 *2023:19 0.000133887 +45 *1988:25 *2023:19 0.000185751 +46 *1990:9 *2023:19 1.18195e-05 +47 *2008:45 *2023:37 5.47434e-05 +48 *2014:8 *2023:19 0 +49 *2017:7 *2023:19 0 +50 *2018:19 *2023:37 0 +51 *2021:34 *2023:37 0.00452028 +*RES +1 *22570:HI[226] *2023:19 49.1699 +2 *2023:19 *2023:37 39.5673 +3 *2023:37 *23517:TE 9.24915 +4 *2023:37 *6341:DIODE 11.0817 +*END + +*D_NET *2024 0.0445386 +*CONN +*I *23518:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6343:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[227] O *D mprj_logic_high +*CAP +1 *23518:TE 0.000110564 +2 *6343:DIODE 0 +3 *22570:HI[227] 0.00634922 +4 *2024:41 0.00364207 +5 *2024:29 0.00988072 +6 *23518:TE *3413:9 9.54218e-05 +7 *2024:29 *2029:30 0.00163131 +8 *2024:29 *2045:17 0.000620232 +9 *2024:29 *2091:10 0.000217765 +10 *2024:29 *2136:17 8.56518e-05 +11 *2024:29 *2149:8 9.36387e-05 +12 *2024:29 *2179:25 0.00137258 +13 *2024:29 *2194:23 0.0111996 +14 *2024:29 *2195:25 0.000350293 +15 *2024:29 *2198:29 0.000196157 +16 *2024:29 *2199:22 0.000978415 +17 *2024:29 *2211:25 0.000165566 +18 *2024:29 *2595:14 0.00134858 +19 *2024:29 *2612:17 8.56518e-05 +20 *2024:29 *2890:22 0.000190272 +21 *2024:41 *2073:22 0.000905583 +22 *2024:41 *2164:26 0.001186 +23 *2024:41 *2464:23 5.83451e-05 +24 *2024:41 *3251:32 6.03122e-05 +25 *2024:41 *3391:20 1.91391e-05 +26 *2024:41 *3410:13 0.00121189 +27 *1668:17 *2024:29 0.00135212 +28 *1954:9 *2024:29 0.000154321 +29 *2014:13 *2024:29 8.8682e-06 +30 *2023:19 *2024:29 0.000968314 +*RES +1 *22570:HI[227] *2024:29 49.7407 +2 *2024:29 *2024:41 16.8157 +3 *2024:41 *6343:DIODE 9.24915 +4 *2024:41 *23518:TE 12.625 +*END + +*D_NET *2025 0.0177049 +*CONN +*I *23519:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6345:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[228] O *D mprj_logic_high +*CAP +1 *23519:TE 0.00012883 +2 *6345:DIODE 0 +3 *22570:HI[228] 9.95314e-05 +4 *2025:13 0.000394359 +5 *2025:10 0.0008906 +6 *2025:9 0.000625071 +7 *2025:7 0.00172354 +8 *2025:5 0.00182307 +9 *23519:TE *2867:12 1.77439e-05 +10 *23519:TE *3097:25 0.000165393 +11 *23519:TE *3113:29 0.000411006 +12 *23519:TE *3383:16 1.25173e-05 +13 *2025:5 *2875:21 0 +14 *2025:7 *2129:33 0.000340989 +15 *2025:7 *2156:41 2.2599e-05 +16 *2025:7 *2162:29 8.05301e-05 +17 *2025:7 *2163:40 0.000189325 +18 *2025:7 *2178:13 1.61667e-05 +19 *2025:7 *2332:58 0.000213182 +20 *2025:7 *2869:28 0 +21 *2025:7 *2870:32 0.000116001 +22 *2025:10 *2176:42 0.00178047 +23 *2025:10 *2762:14 0.00139416 +24 *2025:10 *2851:20 0.000423922 +25 *2025:10 *2851:29 0.00380573 +26 *2025:10 *3115:7 0.000533201 +27 *2025:10 *3131:9 9.83028e-05 +28 *2025:13 *2651:8 3.68638e-05 +29 *2025:13 *2867:12 9.24983e-05 +30 *2025:13 *3383:16 2.2979e-05 +31 *1169:66 *2025:7 4.0605e-06 +32 *1281:9 *2025:7 0.000137667 +33 *1393:28 *2025:7 0.00152024 +34 *1971:22 *2025:7 0.000224691 +35 *1982:13 *2025:7 0.00035964 +*RES +1 *22570:HI[228] *2025:5 1.77093 +2 *2025:5 *2025:7 48.9631 +3 *2025:7 *2025:9 4.5 +4 *2025:9 *2025:10 48.9739 +5 *2025:10 *2025:13 10.0693 +6 *2025:13 *6345:DIODE 13.7491 +7 *2025:13 *23519:TE 19.3563 +*END + +*D_NET *2026 0.0394582 +*CONN +*I *6347:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23520:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[229] O *D mprj_logic_high +*CAP +1 *6347:DIODE 2.69305e-05 +2 *23520:TE 0 +3 *22570:HI[229] 0.00170955 +4 *2026:37 0.0043073 +5 *2026:19 0.00485004 +6 *2026:13 0.00227922 +7 *6347:DIODE *3133:29 7.00583e-05 +8 *2026:13 *2081:20 0.000705373 +9 *2026:13 *2214:16 0.000646061 +10 *2026:13 *2222:16 0.00123322 +11 *2026:19 *2108:22 3.29488e-05 +12 *2026:37 *2033:23 0.000116084 +13 *2026:37 *2034:20 7.62754e-06 +14 *2026:37 *2052:29 0.000103422 +15 *2026:37 *2072:17 9.69473e-05 +16 *2026:37 *2096:24 4.09063e-05 +17 *2026:37 *2125:19 0.000118205 +18 *2026:37 *2133:28 7.79684e-05 +19 *2026:37 *2341:36 6.21462e-05 +20 *2026:37 *2367:23 0.00362871 +21 *2026:37 *2603:20 0.000413144 +22 *2026:37 *3040:27 5.93953e-05 +23 *2026:37 *3073:33 0.00324624 +24 *2026:37 *3317:40 2.66884e-05 +25 *1410:17 *6347:DIODE 0.000166751 +26 *1954:9 *2026:13 1.12533e-05 +27 *1962:10 *2026:19 0.00331226 +28 *1968:36 *2026:37 0.000269528 +29 *1970:29 *2026:37 0.00218944 +30 *1974:8 *2026:19 0.0013356 +31 *1977:32 *2026:37 7.60515e-05 +32 *1989:52 *2026:13 0.00375569 +33 *1989:52 *2026:37 0.00220976 +34 *2002:13 *2026:13 7.48998e-06 +35 *2002:16 *2026:37 0.000951882 +36 *2008:45 *2026:37 0.000110829 +37 *2009:19 *2026:19 8.71605e-06 +38 *2012:10 *2026:37 0.000160086 +39 *2015:20 *2026:19 0.000235514 +40 *2021:34 *2026:37 0.000799204 +*RES +1 *22570:HI[229] *2026:13 18.837 +2 *2026:13 *2026:19 45.4353 +3 *2026:19 *2026:37 27.4853 +4 *2026:37 *23520:TE 9.24915 +5 *2026:37 *6347:DIODE 11.0817 +*END + +*D_NET *2027 0.0215631 +*CONN +*I *22506:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4955:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[22] O *D mprj_logic_high +*CAP +1 *22506:TE 0 +2 *4955:DIODE 0.000256858 +3 *22570:HI[22] 0.00073791 +4 *2027:17 0.000535602 +5 *2027:14 0.00116002 +6 *2027:13 0.00105518 +7 *2027:10 0.00142456 +8 *2027:7 0.00198857 +9 *4955:DIODE *5895:DIODE 0 +10 *4955:DIODE *2595:14 0.000284998 +11 *4955:DIODE *3288:9 0 +12 *2027:7 *2124:9 0 +13 *2027:10 *2035:20 0.000110968 +14 *2027:10 *2152:8 0.000574444 +15 *2027:10 *2378:9 0.00395659 +16 *2027:13 *2087:21 1.5714e-05 +17 *2027:13 *2148:13 4.13293e-05 +18 *2027:13 *2368:22 7.09666e-06 +19 *2027:14 *2040:13 0.00178013 +20 *2027:14 *2087:8 0.000593097 +21 *2027:14 *2087:21 0.00295997 +22 *2027:14 *2367:23 0.00010238 +23 *2027:17 *5895:DIODE 0 +24 *2027:17 *2071:11 3.75273e-05 +25 *2027:17 *2139:7 0 +26 *2027:17 *2198:29 0 +27 *2027:17 *2595:14 6.92257e-05 +28 *1395:9 *2027:14 0.00109306 +29 *1955:7 *2027:13 0 +30 *1965:14 *2027:14 1.41291e-05 +31 *1988:25 *2027:13 0 +32 *1995:12 *2027:14 2.15184e-05 +33 *2000:7 *2027:7 4.65047e-05 +34 *2000:14 *2027:14 4.91225e-06 +35 *2015:21 *2027:14 0.00242828 +36 *2015:31 *2027:14 0.000262495 +*RES +1 *22570:HI[22] *2027:7 17.2507 +2 *2027:7 *2027:10 47.3733 +3 *2027:10 *2027:13 7.57775 +4 *2027:13 *2027:14 66.7212 +5 *2027:14 *2027:17 10.4845 +6 *2027:17 *4955:DIODE 19.7337 +7 *2027:17 *22506:TE 13.7491 +*END + +*D_NET *2028 0.00877232 +*CONN +*I *6349:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23521:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[230] O *D mprj_logic_high +*CAP +1 *6349:DIODE 2.91059e-05 +2 *23521:TE 0.000120554 +3 *22570:HI[230] 0.00215745 +4 *2028:17 0.00230711 +5 *6349:DIODE *2176:30 0.000160617 +6 *6349:DIODE *3131:9 0.000160617 +7 *23521:TE *2176:30 0.000568176 +8 *23521:TE *3115:39 0.000572388 +9 *2028:17 *2117:13 2.95956e-05 +10 *2028:17 *2159:30 0.000252934 +11 *2028:17 *2174:19 0.00083091 +12 *2028:17 *2333:14 0.000112 +13 *2028:17 *2599:18 0.00102788 +14 *2028:17 *3073:33 0.000166147 +15 *2028:17 *3149:29 0.000114382 +16 *1392:36 *23521:TE 4.15661e-05 +17 *1392:36 *2028:17 7.09666e-06 +18 *1909:7 *23521:TE 1.24189e-05 +19 *1909:7 *2028:17 2.12087e-05 +20 *1939:29 *2028:17 1.80225e-05 +21 *1977:32 *2028:17 6.21462e-05 +*RES +1 *22570:HI[230] *2028:17 17.1525 +2 *2028:17 *23521:TE 21.0201 +3 *2028:17 *6349:DIODE 15.5817 +*END + +*D_NET *2029 0.036538 +*CONN +*I *6351:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23522:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[231] O *D mprj_logic_high +*CAP +1 *6351:DIODE 2.81709e-05 +2 *23522:TE 0 +3 *22570:HI[231] 0.00598017 +4 *2029:34 0.00133812 +5 *2029:30 0.00729012 +6 *6351:DIODE *3284:25 7.95477e-05 +7 *2029:30 *2030:16 0.000199645 +8 *2029:30 *2032:7 3.49189e-05 +9 *2029:30 *2034:20 0.000809316 +10 *2029:30 *2035:20 0 +11 *2029:30 *2052:29 0.000541637 +12 *2029:30 *2072:17 0.00128998 +13 *2029:30 *2091:10 0.000784628 +14 *2029:30 *2125:19 1.83756e-05 +15 *2029:30 *2177:23 0.0012964 +16 *2029:30 *2195:25 0.000682436 +17 *2029:30 *2206:32 1.0973e-05 +18 *2029:30 *2222:17 0 +19 *2029:30 *2224:23 1.41747e-05 +20 *2029:30 *2228:29 0.000280242 +21 *2029:30 *2325:16 0.000697641 +22 *2029:30 *2367:23 0.0011553 +23 *2029:30 *2604:18 0.000160599 +24 *2029:30 *2608:18 0.0001858 +25 *2029:30 *2896:17 0.000295761 +26 *2029:30 *3051:24 0.000474512 +27 *2029:30 *3124:26 1.05594e-05 +28 *2029:30 *3408:19 0.00238969 +29 *2029:30 *3410:13 0.00123314 +30 *2029:34 *2164:26 3.61945e-05 +31 *2029:34 *2165:10 0.000477652 +32 *2029:34 *3118:16 0.000236487 +33 *2029:34 *3284:25 6.50727e-05 +34 *2029:34 *3398:8 0 +35 *2029:34 *3404:8 0 +36 *1929:20 *6351:DIODE 4.17341e-05 +37 *1929:20 *2029:34 6.50727e-05 +38 *1929:24 *6351:DIODE 5.39767e-05 +39 *1955:28 *2029:30 0.000198458 +40 *1960:8 *2029:30 0.000127723 +41 *1965:14 *2029:30 0.000104403 +42 *1968:36 *2029:30 3.41215e-05 +43 *1995:12 *2029:30 0.000113311 +44 *1996:20 *2029:30 0.00188117 +45 *2023:19 *2029:30 0.00418941 +46 *2024:29 *2029:30 0.00163131 +*RES +1 *22570:HI[231] *2029:30 40.6239 +2 *2029:30 *2029:34 31.3476 +3 *2029:34 *23522:TE 9.24915 +4 *2029:34 *6351:DIODE 11.0817 +*END + +*D_NET *2030 0.0516229 +*CONN +*I *23524:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[232] O *D mprj_logic_high +*CAP +1 *23524:TE 7.71946e-05 +2 *6355:DIODE 0 +3 *22570:HI[232] 0.00702103 +4 *2030:17 0.00031687 +5 *2030:16 0.0072607 +6 *23524:TE *2318:28 0.000203595 +7 *23524:TE *2329:55 3.58525e-05 +8 *23524:TE *2344:30 0.000203595 +9 *2030:16 *2035:20 0.00778969 +10 *2030:16 *2055:17 0.00246203 +11 *2030:16 *2059:20 0 +12 *2030:16 *2070:7 0.00011626 +13 *2030:16 *2087:38 0.000225795 +14 *2030:16 *2117:13 1.06728e-05 +15 *2030:16 *2367:23 0.00309338 +16 *2030:16 *2378:9 0.000393785 +17 *2030:16 *2603:20 4.81018e-05 +18 *2030:16 *2903:28 0.000172612 +19 *2030:16 *3073:33 2.66884e-05 +20 *2030:16 *3273:33 0.0055021 +21 *2030:17 *2329:55 5.36085e-05 +22 *1160:55 *2030:16 0.000429013 +23 *1900:9 *2030:17 6.79721e-05 +24 *1904:15 *2030:17 1.40614e-05 +25 *1948:43 *23524:TE 4.49767e-05 +26 *1948:43 *2030:17 0.000135973 +27 *1951:10 *2030:16 0.000429013 +28 *1967:15 *2030:16 0.00012309 +29 *1988:8 *2030:16 0.000144814 +30 *1996:20 *2030:16 0.00385917 +31 *2023:19 *2030:16 0.0111616 +32 *2029:30 *2030:16 0.000199645 +*RES +1 *22570:HI[232] *2030:16 49.3371 +2 *2030:16 *2030:17 5.36164 +3 *2030:17 *6355:DIODE 13.7491 +4 *2030:17 *23524:TE 17.1378 +*END + +*D_NET *2031 0.0431755 +*CONN +*I *6357:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23525:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[233] O *D mprj_logic_high +*CAP +1 *6357:DIODE 0 +2 *23525:TE 0.000293316 +3 *22570:HI[233] 0.00673201 +4 *2031:33 0.000651002 +5 *2031:27 0.0070897 +6 *23525:TE *3120:28 5.12109e-05 +7 *23525:TE *3426:7 0.000413238 +8 *2031:27 *2056:28 0.000106353 +9 *2031:27 *2066:16 0.000658161 +10 *2031:27 *2110:21 6.98716e-05 +11 *2031:27 *2126:25 0.0005334 +12 *2031:27 *2254:35 0.00094156 +13 *2031:27 *2274:24 8.3051e-06 +14 *2031:27 *2276:18 0.000799662 +15 *2031:27 *2339:8 0.00104039 +16 *2031:27 *2360:33 4.76716e-05 +17 *2031:27 *2385:12 4.69495e-06 +18 *2031:27 *2470:9 0.00415267 +19 *2031:27 *2611:21 0.00110695 +20 *2031:27 *2617:20 0.000116217 +21 *2031:27 *2619:19 4.35313e-05 +22 *2031:27 *3136:23 0.000471827 +23 *2031:27 *3141:35 0.00446244 +24 *2031:27 *3149:29 0.000235382 +25 *2031:27 *3262:20 0.00246159 +26 *2031:27 *3284:13 0.000113345 +27 *2031:33 *2052:29 8.56016e-05 +28 *2031:33 *3017:10 0 +29 *2031:33 *3284:13 0.000674107 +30 *2031:33 *3405:8 0 +31 *1805:12 *23525:TE 4.76283e-05 +32 *1929:24 *2031:27 0.000118134 +33 *1929:24 *2031:33 0.000672731 +34 *1935:24 *2031:27 0.00891068 +35 *1989:52 *2031:27 6.21462e-05 +*RES +1 *22570:HI[233] *2031:27 43.3435 +2 *2031:27 *2031:33 21.9479 +3 *2031:33 *23525:TE 23.8563 +4 *2031:33 *6357:DIODE 9.24915 +*END + +*D_NET *2032 0.0467667 +*CONN +*I *6359:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23526:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[234] O *D mprj_logic_high +*CAP +1 *6359:DIODE 0 +2 *23526:TE 0.000202339 +3 *22570:HI[234] 0.000139955 +4 *2032:24 0.00112605 +5 *2032:12 0.00184615 +6 *2032:10 0.000945469 +7 *2032:8 0.00193783 +8 *2032:7 0.00205475 +9 *23526:TE *2587:27 0 +10 *2032:7 *2035:20 3.49189e-05 +11 *2032:8 *2095:8 0.015307 +12 *2032:12 *2040:28 0.000105652 +13 *2032:12 *2095:8 0.000862306 +14 *2032:24 *2105:16 0.000349228 +15 *2032:24 *2129:16 0.000379212 +16 *2032:24 *2153:37 0.000105297 +17 *2032:24 *2161:18 0 +18 *2032:24 *2168:20 0.000298318 +19 *2032:24 *2188:30 1.31872e-05 +20 *2032:24 *2870:32 4.28856e-07 +21 *2032:24 *3029:42 0.000107496 +22 *2032:24 *3162:52 0.000480446 +23 *1393:17 *2032:24 0 +24 *1665:12 *2032:12 0.000370815 +25 *1884:12 *23526:TE 4.20184e-06 +26 *1885:15 *23526:TE 4.69495e-06 +27 *1953:13 *2032:8 0.00196753 +28 *1959:14 *2032:8 0.0113664 +29 *1970:16 *2032:8 0.000164044 +30 *1970:29 *2032:8 3.93284e-05 +31 *1974:8 *2032:8 1.15389e-05 +32 *1997:12 *2032:8 0.000715766 +33 *1997:12 *2032:12 0.004201 +34 *1999:8 *2032:12 0.000439108 +35 *2003:12 *2032:12 0.000428623 +36 *2013:13 *2032:24 0.000532429 +37 *2017:18 *23526:TE 0.000190314 +38 *2029:30 *2032:7 3.49189e-05 +*RES +1 *22570:HI[234] *2032:7 7.69988 +2 *2032:7 *2032:8 167.936 +3 *2032:8 *2032:10 0.578717 +4 *2032:10 *2032:12 56.4611 +5 *2032:12 *2032:24 46.5155 +6 *2032:24 *23526:TE 22.5727 +7 *2032:24 *6359:DIODE 9.24915 +*END + +*D_NET *2033 0.0130513 +*CONN +*I *23527:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6361:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[235] O *D mprj_logic_high +*CAP +1 *23527:TE 8.42778e-05 +2 *6361:DIODE 0 +3 *22570:HI[235] 0.00357158 +4 *2033:23 0.00365586 +5 *23527:TE *3317:31 3.58525e-05 +6 *23527:TE *3405:7 0.000207883 +7 *2033:23 *2035:20 0.000457837 +8 *2033:23 *2043:23 6.22732e-06 +9 *2033:23 *2076:19 1.39932e-05 +10 *2033:23 *2088:24 6.16594e-06 +11 *2033:23 *2108:22 0.00160168 +12 *2033:23 *2124:7 1.33295e-05 +13 *2033:23 *2186:47 0.000466683 +14 *2033:23 *2209:27 0.000469026 +15 *2033:23 *2605:20 9.69769e-05 +16 *2033:23 *3145:11 0.000286759 +17 *2033:23 *3317:31 1.91391e-05 +18 *1939:30 *23527:TE 0.000207883 +19 *1952:32 *2033:23 0.000120592 +20 *1977:32 *2033:23 4.10313e-05 +21 *2000:7 *2033:23 1.6569e-05 +22 *2002:13 *2033:23 0.000980485 +23 *2002:16 *2033:23 0.000227365 +24 *2021:12 *2033:23 0.000348054 +25 *2026:37 *2033:23 0.000116084 +*RES +1 *22570:HI[235] *2033:23 20.4602 +2 *2033:23 *6361:DIODE 13.7491 +3 *2033:23 *23527:TE 17.1378 +*END + +*D_NET *2034 0.00807073 +*CONN +*I *6363:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23528:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[236] O *D mprj_logic_high +*CAP +1 *6363:DIODE 0 +2 *23528:TE 3.16876e-05 +3 *22570:HI[236] 0.00160102 +4 *2034:20 0.00163271 +5 *23528:TE *2183:8 9.9028e-05 +6 *2034:20 *2072:17 3.91906e-05 +7 *2034:20 *2125:19 4.65404e-05 +8 *2034:20 *2169:7 0 +9 *2034:20 *2170:12 1.40614e-05 +10 *2034:20 *2171:13 0.000699168 +11 *2034:20 *2172:12 5.26361e-07 +12 *2034:20 *2180:38 0.000203874 +13 *2034:20 *2183:8 0.000287389 +14 *2034:20 *2186:47 4.20633e-05 +15 *2034:20 *2206:32 0.000432499 +16 *2034:20 *2332:34 0.000337376 +17 *2034:20 *2604:18 0.00133044 +18 *1171:26 *2034:20 1.49935e-05 +19 *1943:14 *23528:TE 9.9028e-05 +20 *1943:14 *2034:20 0.000280039 +21 *1977:32 *2034:20 6.21462e-05 +22 *2026:37 *2034:20 7.62754e-06 +23 *2029:30 *2034:20 0.000809316 +*RES +1 *22570:HI[236] *2034:20 41.2777 +2 *2034:20 *23528:TE 11.6364 +3 *2034:20 *6363:DIODE 9.24915 +*END + +*D_NET *2035 0.0322635 +*CONN +*I *6365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23529:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[237] O *D mprj_logic_high +*CAP +1 *6365:DIODE 0.000106369 +2 *23529:TE 0 +3 *22570:HI[237] 0.00641435 +4 *2035:21 0.000733892 +5 *2035:20 0.00704188 +6 *6365:DIODE *2175:10 0.000113025 +7 *6365:DIODE *3403:6 2.75905e-05 +8 *2035:20 *2038:11 0.000119412 +9 *2035:20 *2087:38 0.00276789 +10 *2035:20 *2152:8 0.00010238 +11 *2035:20 *2199:22 0.00049633 +12 *2035:20 *2209:27 0.00159148 +13 *2035:20 *2224:23 0 +14 *2035:20 *2605:20 0 +15 *2035:20 *3051:24 0.00103456 +16 *2035:20 *3273:33 0.00037874 +17 *2035:20 *3409:19 1.91109e-05 +18 *2035:20 *3410:13 0.00103456 +19 *2035:21 *2175:10 0.000905503 +20 *23527:A *6365:DIODE 9.12416e-06 +21 *23527:A *2035:21 0.000183243 +22 *1390:26 *2035:21 0.000712958 +23 *1983:19 *2035:20 6.03122e-05 +24 *1986:19 *2035:20 1.73965e-05 +25 *2027:10 *2035:20 0.000110968 +26 *2029:30 *2035:20 0 +27 *2030:16 *2035:20 0.00778969 +28 *2032:7 *2035:20 3.49189e-05 +29 *2033:23 *2035:20 0.000457837 +*RES +1 *22570:HI[237] *2035:20 40.5175 +2 *2035:20 *2035:21 19.4802 +3 *2035:21 *23529:TE 13.7491 +4 *2035:21 *6365:DIODE 16.4116 +*END + +*D_NET *2036 0.0340067 +*CONN +*I *6367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23530:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[238] O *D mprj_logic_high +*CAP +1 *6367:DIODE 0 +2 *23530:TE 2.91454e-05 +3 *22570:HI[238] 0.00201793 +4 *2036:25 0.000608127 +5 *2036:20 0.00332462 +6 *2036:19 0.00476357 +7 *23530:TE *2209:10 0.000215771 +8 *2036:19 *2048:19 1.32811e-05 +9 *2036:19 *2088:24 2.99332e-05 +10 *2036:19 *2240:28 2.92163e-05 +11 *2036:19 *2242:23 0.000116084 +12 *2036:19 *2294:18 4.61033e-05 +13 *2036:19 *2295:11 0.00011579 +14 *2036:19 *3306:21 0.00170058 +15 *2036:20 *2089:19 0.000247061 +16 *2036:20 *2101:32 0.00200575 +17 *2036:20 *2241:28 0.000349354 +18 *2036:20 *2244:19 0.000661576 +19 *2036:20 *2262:11 0.00289952 +20 *2036:20 *3117:9 0.00367246 +21 *2036:20 *3184:9 0.00679753 +22 *2036:25 *2193:7 0 +23 *2036:25 *2196:7 0 +24 *2036:25 *3051:6 0.000186908 +25 *2036:25 *3124:26 0.000113043 +26 *1171:9 *2036:19 8.20799e-06 +27 *1171:15 *2036:20 0.00205724 +28 *1198:57 *2036:20 0.000943501 +29 *1936:56 *23530:TE 0.000215771 +30 *1970:57 *2036:19 0.000619145 +31 *1970:73 *2036:19 2.82027e-05 +32 *1977:41 *2036:19 3.57373e-05 +33 *1989:52 *2036:19 0.000143466 +34 *1989:56 *2036:19 1.20352e-05 +*RES +1 *22570:HI[238] *2036:19 21.2996 +2 *2036:19 *2036:20 166.55 +3 *2036:20 *2036:25 21.6286 +4 *2036:25 *23530:TE 11.6364 +5 *2036:25 *6367:DIODE 9.24915 +*END + +*D_NET *2037 0.038537 +*CONN +*I *23531:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6369:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[239] O *D mprj_logic_high +*CAP +1 *23531:TE 0.000246594 +2 *6369:DIODE 7.6077e-05 +3 *22570:HI[239] 0.00142621 +4 *2037:11 0.000457155 +5 *2037:8 0.0022309 +6 *2037:7 0.00352262 +7 *6369:DIODE *2397:7 0.000111802 +8 *23531:TE *23306:A 1.47967e-05 +9 *23531:TE *2146:16 0.000114584 +10 *23531:TE *2152:23 2.85065e-05 +11 *2037:7 *3332:11 0 +12 *2037:7 *3395:26 0.000441193 +13 *2037:8 *2258:25 0.000519846 +14 *2037:8 *2261:21 0.00010238 +15 *2037:11 *6172:DIODE 1.91246e-05 +16 *2037:11 *23306:A 3.70858e-05 +17 *1147:23 *2037:8 8.75961e-05 +18 *1149:35 *2037:8 0.00821152 +19 *1153:45 *2037:8 0.00266711 +20 *1154:38 *23531:TE 0 +21 *1154:38 *2037:11 0 +22 *1172:11 *2037:8 0.0152594 +23 *1172:27 *2037:8 0.000540737 +24 *1175:60 *2037:8 0.00123268 +25 *1966:13 *2037:7 4.06651e-05 +26 *1980:19 *2037:8 0.00103389 +27 *1985:22 *23531:TE 0.000114584 +*RES +1 *22570:HI[239] *2037:7 30.1235 +2 *2037:7 *2037:8 189.289 +3 *2037:8 *2037:11 7.1625 +4 *2037:11 *6369:DIODE 15.0271 +5 *2037:11 *23531:TE 19.3507 +*END + +*D_NET *2038 0.00560193 +*CONN +*I *22507:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4957:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[23] O *D mprj_logic_high +*CAP +1 *22507:TE 6.61496e-05 +2 *4957:DIODE 0.000259191 +3 *22570:HI[23] 0.00142397 +4 *2038:11 0.00174931 +5 *4957:DIODE *5945:DIODE 9.89511e-05 +6 *4957:DIODE *23320:A 6.65668e-05 +7 *2038:11 *5945:DIODE 9.75548e-06 +8 *2038:11 *2224:23 4.31921e-05 +9 *2038:11 *2225:20 0 +10 *1157:27 *4957:DIODE 0.000322616 +11 *1567:10 *22507:TE 0.000277363 +12 *1985:38 *22507:TE 0.000273166 +13 *1985:38 *2038:11 0.000892294 +14 *2035:20 *2038:11 0.000119412 +*RES +1 *22570:HI[23] *2038:11 40.1735 +2 *2038:11 *4957:DIODE 19.2852 +3 *2038:11 *22507:TE 17.8024 +*END + +*D_NET *2039 0.00289324 +*CONN +*I *6371:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23532:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[240] O *D mprj_logic_high +*CAP +1 *6371:DIODE 2.72773e-05 +2 *23532:TE 0 +3 *22570:HI[240] 0.000685941 +4 *2039:9 0.000713218 +5 *6371:DIODE *2368:11 0.000385088 +6 *2039:9 *2087:38 0 +7 *2039:9 *2124:7 2.12617e-05 +8 *2039:9 *2124:9 0.000678154 +9 *2039:9 *2128:13 0 +10 *1967:16 *6371:DIODE 0.000382301 +*RES +1 *22570:HI[240] *2039:9 20.475 +2 *2039:9 *23532:TE 9.24915 +3 *2039:9 *6371:DIODE 13.3002 +*END + +*D_NET *2040 0.0292716 +*CONN +*I *6373:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23533:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[241] O *D mprj_logic_high +*CAP +1 *6373:DIODE 0 +2 *23533:TE 0.000122156 +3 *22570:HI[241] 0.000891696 +4 *2040:35 0.00029602 +5 *2040:30 0.00214748 +6 *2040:28 0.00288232 +7 *2040:14 0.0014877 +8 *2040:13 0.00147068 +9 *23533:TE *3410:7 8.38894e-05 +10 *2040:13 *2087:8 0.00177865 +11 *2040:13 *2145:11 0 +12 *2040:13 *2150:7 0 +13 *2040:14 *2071:12 0.00364386 +14 *2040:14 *2087:8 0.000569103 +15 *2040:14 *2093:10 0.00215688 +16 *2040:14 *2135:20 0.000141764 +17 *2040:14 *2378:9 0.00121221 +18 *2040:14 *3073:41 0.000163504 +19 *2040:28 *2105:16 0.000188087 +20 *2040:28 *2127:10 8.54654e-05 +21 *2040:28 *2180:44 0.000393863 +22 *2040:28 *2875:21 0.000148357 +23 *2040:30 *2127:10 0.00527489 +24 *2040:35 *2722:14 0.000342655 +25 *1665:12 *2040:28 0.000519481 +26 *1953:13 *2040:13 2.06791e-05 +27 *1988:7 *2040:13 6.98546e-05 +28 *1988:8 *2040:14 6.50727e-05 +29 *1995:11 *2040:13 0 +30 *1997:23 *2040:28 0 +31 *1999:8 *2040:28 0.000326177 +32 *2003:38 *2040:28 1.36046e-05 +33 *2013:13 *2040:28 0.000196421 +34 *2014:27 *2040:35 0.000346066 +35 *2015:30 *2040:13 0 +36 *2020:10 *2040:28 0.000347214 +37 *2027:14 *2040:13 0.00178013 +38 *2032:12 *2040:28 0.000105652 +*RES +1 *22570:HI[241] *2040:13 44.7558 +2 *2040:13 *2040:14 53.4107 +3 *2040:14 *2040:28 46.4142 +4 *2040:28 *2040:30 57.8476 +5 *2040:30 *2040:35 15.815 +6 *2040:35 *23533:TE 12.2151 +7 *2040:35 *6373:DIODE 9.24915 +*END + +*D_NET *2041 0.0133432 +*CONN +*I *6377:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23535:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[242] O *D mprj_logic_high +*CAP +1 *6377:DIODE 2.36943e-05 +2 *23535:TE 0 +3 *22570:HI[242] 0.00324802 +4 *2041:19 0.00327171 +5 *6377:DIODE *2458:17 0.000164843 +6 *6377:DIODE *3436:23 0.000164843 +7 *2041:19 *2044:19 0.00314924 +8 *2041:19 *2228:29 1.0865e-05 +9 *2041:19 *2230:24 0.00138095 +10 *2041:19 *2341:36 0.000171568 +11 *2041:19 *2617:20 0.000141999 +12 *2041:19 *2901:20 0 +13 *2041:19 *3140:15 0.000177633 +14 *2041:19 *3142:20 0 +15 *2041:19 *3151:10 0.000256676 +16 *1299:8 *2041:19 0 +17 *1414:36 *2041:19 9.28915e-06 +18 *1940:35 *2041:19 6.7785e-05 +19 *1977:32 *2041:19 0.00054759 +20 *1989:52 *2041:19 0.000556482 +*RES +1 *22570:HI[242] *2041:19 43.7632 +2 *2041:19 *23535:TE 9.24915 +3 *2041:19 *6377:DIODE 11.0817 +*END + +*D_NET *2042 0.0271453 +*CONN +*I *23536:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[243] O *D mprj_logic_high +*CAP +1 *23536:TE 0.000111661 +2 *6379:DIODE 0 +3 *22570:HI[243] 0.00661743 +4 *2042:19 0.0067291 +5 *23536:TE *3151:45 0.000217937 +6 *2042:19 *2061:19 0.000370818 +7 *2042:19 *2091:10 0.00602096 +8 *2042:19 *2101:20 0.000162649 +9 *2042:19 *2109:13 3.68704e-05 +10 *2042:19 *2135:20 0.000217751 +11 *2042:19 *2181:49 0.000603737 +12 *2042:19 *2194:23 0.000205075 +13 *2042:19 *2198:29 0.000162306 +14 *2042:19 *2592:15 0.00248219 +15 *2042:19 *2593:18 0.000228885 +16 *2042:19 *2866:46 7.13655e-06 +17 *2042:19 *2870:67 0.000114471 +18 *2042:19 *2876:21 0.00104262 +19 *2042:19 *3073:41 8.71124e-06 +20 *2042:19 *3128:26 0 +21 *2042:19 *3129:17 4.20695e-05 +22 *2042:19 *3273:33 2.03605e-05 +23 *2042:19 *3394:30 7.20173e-06 +24 *1668:17 *2042:19 5.76913e-05 +25 *1671:17 *2042:19 0.000266123 +26 *1980:9 *2042:19 0 +27 *1995:11 *2042:19 7.55126e-05 +28 *2000:13 *2042:19 0.000117975 +29 *2000:14 *2042:19 0.000220514 +30 *2014:14 *2042:19 0.000108607 +31 *2015:31 *2042:19 0.000206147 +32 *2019:17 *2042:19 0 +33 *2023:37 *2042:19 0.000682742 +*RES +1 *22570:HI[243] *2042:19 41.2908 +2 *2042:19 *6379:DIODE 9.24915 +3 *2042:19 *23536:TE 12.625 +*END + +*D_NET *2043 0.0207324 +*CONN +*I *23537:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[244] O *D mprj_logic_high +*CAP +1 *23537:TE 4.6984e-05 +2 *6381:DIODE 4.21549e-05 +3 *22570:HI[244] 0.00516669 +4 *2043:23 0.00525582 +5 *6381:DIODE *2386:24 6.36477e-05 +6 *23537:TE *2384:6 0 +7 *23537:TE *3407:6 1.48605e-05 +8 *2043:23 *2045:17 0.000137528 +9 *2043:23 *2088:24 0.000336607 +10 *2043:23 *2091:10 0.00152228 +11 *2043:23 *2108:22 1.05272e-06 +12 *2043:23 *2211:25 0.000794494 +13 *2043:23 *2212:19 0.00174438 +14 *2043:23 *2384:6 0 +15 *2043:23 *2388:29 6.3076e-05 +16 *2043:23 *2465:15 0.000168785 +17 *2043:23 *2890:22 0.000547977 +18 *2043:23 *3407:6 4.69495e-06 +19 *2043:23 *3409:19 0.00140664 +20 *1983:19 *2043:23 0.000843658 +21 *1985:22 *2043:23 5.24339e-05 +22 *1991:9 *2043:23 0 +23 *1998:10 *2043:23 0.00150682 +24 *2002:13 *2043:23 0.00100563 +25 *2009:19 *2043:23 0 +26 *2033:23 *2043:23 6.22732e-06 +*RES +1 *22570:HI[244] *2043:23 41.5032 +2 *2043:23 *6381:DIODE 14.4725 +3 *2043:23 *23537:TE 14.7506 +*END + +*D_NET *2044 0.0163865 +*CONN +*I *23538:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[245] O *D mprj_logic_high +*CAP +1 *23538:TE 6.80771e-05 +2 *6383:DIODE 4.80091e-05 +3 *22570:HI[245] 0.00256425 +4 *2044:20 0.0010497 +5 *2044:19 0.00349786 +6 *6383:DIODE *3154:16 4.73037e-06 +7 *2044:19 *2081:20 0.000188225 +8 *2044:19 *2230:24 4.13988e-05 +9 *2044:19 *2611:24 3.6043e-05 +10 *2044:19 *2617:20 0.00310786 +11 *2044:19 *3142:20 0.000777162 +12 *2044:19 *3145:11 0.000162497 +13 *2044:19 *3273:23 0.000170008 +14 *2044:20 *2457:29 0.00010238 +15 *2044:20 *3154:16 1.70293e-05 +16 *2044:20 *3154:19 0.000418866 +17 *2044:20 *3415:7 0.000224381 +18 *1299:8 *2044:19 0 +19 *1952:32 *2044:19 0.000170023 +20 *1989:52 *2044:19 0.000588731 +21 *2041:19 *2044:19 0.00314924 +*RES +1 *22570:HI[245] *2044:19 49.672 +2 *2044:19 *2044:20 15.6977 +3 *2044:20 *6383:DIODE 9.97254 +4 *2044:20 *23538:TE 19.6659 +*END + +*D_NET *2045 0.019334 +*CONN +*I *23539:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6385:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[246] O *D mprj_logic_high +*CAP +1 *23539:TE 0.000227042 +2 *6385:DIODE 0 +3 *22570:HI[246] 0.00519121 +4 *2045:17 0.00541825 +5 *23539:TE *3132:27 9.70097e-06 +6 *23539:TE *3414:12 6.08143e-05 +7 *2045:17 *2190:33 0.000321933 +8 *2045:17 *2194:23 0.000716329 +9 *2045:17 *2195:25 0.000108796 +10 *2045:17 *2198:29 0.000502181 +11 *2045:17 *2388:29 0.000768691 +12 *2045:17 *2465:15 0.00383916 +13 *2045:17 *2475:31 0.000457248 +14 *2045:17 *2890:22 0.000649431 +15 *2045:17 *3130:46 1.27831e-06 +16 *2045:17 *3132:27 7.13655e-06 +17 *2045:17 *3408:19 0 +18 *2045:17 *3414:12 2.1203e-06 +19 *1970:57 *2045:17 0.000113478 +20 *1977:32 *2045:17 0.000170023 +21 *2002:13 *2045:17 1.13872e-05 +22 *2024:29 *2045:17 0.000620232 +23 *2043:23 *2045:17 0.000137528 +*RES +1 *22570:HI[246] *2045:17 26.214 +2 *2045:17 *6385:DIODE 13.7491 +3 *2045:17 *23539:TE 18.0727 +*END + +*D_NET *2046 0.0142898 +*CONN +*I *23540:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6387:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[247] O *D mprj_logic_high +*CAP +1 *23540:TE 7.11669e-05 +2 *6387:DIODE 0 +3 *22570:HI[247] 0.00336581 +4 *2046:19 0.00343697 +5 *2046:19 *2055:17 0.00512307 +6 *2046:19 *2117:13 0.00101314 +7 *2046:19 *2434:11 3.83604e-05 +8 *2046:19 *2603:20 0.000659257 +9 *2046:19 *2870:76 0 +10 *2046:19 *3135:17 4.35506e-05 +11 *1402:26 *2046:19 9.12416e-06 +12 *1402:31 *23540:TE 5.07314e-05 +13 *1403:19 *23540:TE 0.000200704 +14 *1977:32 *2046:19 0.000277899 +*RES +1 *22570:HI[247] *2046:19 34.7937 +2 *2046:19 *6387:DIODE 9.24915 +3 *2046:19 *23540:TE 12.0704 +*END + +*D_NET *2047 0.0135863 +*CONN +*I *6389:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23541:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[248] O *D mprj_logic_high +*CAP +1 *6389:DIODE 0 +2 *23541:TE 5.03868e-05 +3 *22570:HI[248] 0.00220984 +4 *2047:33 0.00153454 +5 *2047:29 0.00369399 +6 *23541:TE *3154:19 0.000171273 +7 *2047:29 *2056:28 3.51771e-05 +8 *2047:29 *2117:14 0.00057456 +9 *2047:29 *2237:27 0.000994662 +10 *2047:29 *2329:37 7.57527e-05 +11 *2047:29 *2901:20 0.000981011 +12 *2047:29 *3339:23 0.00159244 +13 *2047:33 *2901:20 6.74182e-05 +14 *2047:33 *3141:35 5.01835e-05 +15 *2047:33 *3143:35 1.66626e-05 +16 *2047:33 *3149:29 5.273e-05 +17 *6436:DIODE *2047:33 1.23614e-05 +18 *1299:8 *2047:33 0 +19 *1427:8 *2047:33 0 +20 *1428:12 *2047:29 6.22364e-05 +21 *1428:12 *2047:33 4.07761e-05 +22 *1935:36 *2047:33 0.000143456 +23 *1948:67 *2047:29 1.99996e-05 +24 *1950:22 *2047:29 0.0004829 +25 *1951:18 *2047:29 0.0004829 +26 *1977:32 *2047:29 0.000116084 +27 *1989:52 *2047:29 0.000124976 +*RES +1 *22570:HI[248] *2047:29 25.286 +2 *2047:29 *2047:33 33.1158 +3 *2047:33 *23541:TE 11.0817 +4 *2047:33 *6389:DIODE 9.24915 +*END + +*D_NET *2048 0.0420133 +*CONN +*I *6391:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23542:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[249] O *D mprj_logic_high +*CAP +1 *6391:DIODE 5.12931e-05 +2 *23542:TE 0 +3 *22570:HI[249] 0.00167402 +4 *2048:31 5.12931e-05 +5 *2048:29 0.0022674 +6 *2048:28 0.00485381 +7 *2048:19 0.00426042 +8 *6391:DIODE *3157:5 0.000169872 +9 *2048:19 *2098:20 0.000976399 +10 *2048:19 *2110:21 0.000403073 +11 *2048:19 *2240:28 0.00143612 +12 *2048:19 *2247:19 0.00143612 +13 *2048:19 *2254:41 0.00151377 +14 *2048:19 *2404:47 9.97444e-05 +15 *2048:28 *2121:27 0.00046376 +16 *2048:28 *2234:25 0.000107671 +17 *2048:28 *2382:40 3.7339e-05 +18 *2048:28 *2393:49 0.00137722 +19 *2048:29 *2434:10 0.0018135 +20 *2048:29 *2899:59 0 +21 *2048:29 *3142:24 0 +22 *2048:29 *3143:46 0 +23 *2048:29 *3153:29 5.11138e-05 +24 *2048:29 *3424:14 0 +25 *2048:29 *3429:10 0 +26 *1155:9 *2048:28 0.000641177 +27 *1173:21 *2048:19 0.00146808 +28 *1303:10 *2048:29 0 +29 *1431:8 *2048:29 8.69254e-05 +30 *1950:22 *2048:28 0.00476733 +31 *1951:18 *2048:28 0.00496937 +32 *1957:8 *2048:19 0.000158655 +33 *1958:8 *2048:19 0.000207363 +34 *1965:14 *2048:19 3.79014e-05 +35 *1966:13 *2048:19 0.00152268 +36 *1972:8 *2048:19 0.000196622 +37 *1979:26 *2048:28 0.001451 +38 *1986:20 *2048:28 0.0034285 +39 *2022:22 *2048:28 2.05082e-05 +40 *2036:19 *2048:19 1.32811e-05 +*RES +1 *22570:HI[249] *2048:19 45.4543 +2 *2048:19 *2048:28 18.908 +3 *2048:28 *2048:29 51.8699 +4 *2048:29 *2048:31 4.5 +5 *2048:31 *23542:TE 9.24915 +6 *2048:31 *6391:DIODE 11.0817 +*END + +*D_NET *2049 0.00312851 +*CONN +*I *4959:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22508:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[24] O *D mprj_logic_high +*CAP +1 *4959:DIODE 2.09709e-05 +2 *22508:TE 0 +3 *22570:HI[24] 0.000687586 +4 *2049:8 0.000708557 +5 *4959:DIODE *2372:11 0.000150019 +6 *2049:8 *2103:9 0.000240868 +7 *2049:8 *2372:11 0.000322606 +8 *2049:8 *3262:26 0.000477413 +9 *1967:16 *4959:DIODE 0.000165521 +10 *1967:16 *2049:8 0.000318408 +11 *1999:7 *2049:8 3.65586e-05 +*RES +1 *22570:HI[24] *2049:8 23.2386 +2 *2049:8 *22508:TE 9.24915 +3 *2049:8 *4959:DIODE 11.0817 +*END + +*D_NET *2050 0.0103669 +*CONN +*I *6393:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23543:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[250] O *D mprj_logic_high +*CAP +1 *6393:DIODE 0.000197503 +2 *23543:TE 0 +3 *22570:HI[250] 0.0033977 +4 *2050:17 0.00359521 +5 *6393:DIODE *3317:23 6.50727e-05 +6 *2050:17 *2053:18 0.000335505 +7 *2050:17 *2053:19 0 +8 *2050:17 *2081:20 6.08978e-05 +9 *2050:17 *2089:19 0 +10 *2050:17 *2234:25 6.21462e-05 +11 *2050:17 *3173:19 0.00203128 +12 *22526:A *2050:17 8.02893e-06 +13 *1167:9 *2050:17 8.62976e-06 +14 *1167:12 *2050:17 0.000109861 +15 *1406:12 *2050:17 0 +16 *1989:52 *2050:17 0.000495041 +*RES +1 *22570:HI[250] *2050:17 35.9681 +2 *2050:17 *23543:TE 13.7491 +3 *2050:17 *6393:DIODE 17.135 +*END + +*D_NET *2051 0.0198645 +*CONN +*I *23544:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6395:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[251] O *D mprj_logic_high +*CAP +1 *23544:TE 8.0528e-05 +2 *6395:DIODE 0 +3 *22570:HI[251] 0.00468769 +4 *2051:19 0.00476822 +5 *23544:TE *3137:13 5.0715e-05 +6 *2051:19 *2057:17 0.00568039 +7 *2051:19 *2067:17 0.000124965 +8 *2051:19 *2088:24 0.000302137 +9 *2051:19 *2132:7 5.02533e-05 +10 *2051:19 *2136:17 4.81557e-05 +11 *2051:19 *2203:24 7.08804e-05 +12 *2051:19 *2453:21 0.000614818 +13 *2051:19 *2892:19 0.000208693 +14 *2051:19 *2977:29 0.000246416 +15 *2051:19 *3127:18 1.91391e-05 +16 *2051:19 *3184:26 0.00196764 +17 *2051:19 *3408:19 0 +18 *2051:19 *3410:14 7.13655e-06 +19 *1998:10 *2051:19 0.000936721 +*RES +1 *22570:HI[251] *2051:19 35.4273 +2 *2051:19 *6395:DIODE 9.24915 +3 *2051:19 *23544:TE 11.5158 +*END + +*D_NET *2052 0.020702 +*CONN +*I *23546:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6399:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[252] O *D mprj_logic_high +*CAP +1 *23546:TE 9.2996e-05 +2 *6399:DIODE 0 +3 *22570:HI[252] 0.00366812 +4 *2052:31 9.2996e-05 +5 *2052:29 0.00366812 +6 *2052:29 *2096:24 0.000116084 +7 *2052:29 *2125:19 0.00339605 +8 *2052:29 *2177:23 0.000713444 +9 *2052:29 *2179:25 2.62751e-05 +10 *2052:29 *2191:17 1.86666e-05 +11 *2052:29 *2339:8 0.00291115 +12 *2052:29 *2385:12 4.69495e-06 +13 *2052:29 *2605:20 0.000124225 +14 *2052:29 *3141:15 0.000279216 +15 *2052:29 *3143:35 4.69495e-06 +16 *1806:7 *2052:29 0.000116445 +17 *1807:10 *2052:29 7.13655e-06 +18 *1935:24 *2052:29 0.00384796 +19 *1970:33 *2052:29 0.000111473 +20 *1977:32 *2052:29 0.000763344 +21 *2008:45 *2052:29 8.20799e-06 +22 *2026:37 *2052:29 0.000103422 +23 *2029:30 *2052:29 0.000541637 +24 *2031:33 *2052:29 8.56016e-05 +*RES +1 *22570:HI[252] *2052:29 48.6978 +2 *2052:29 *2052:31 4.5 +3 *2052:31 *6399:DIODE 9.24915 +4 *2052:31 *23546:TE 11.5158 +*END + +*D_NET *2053 0.0127617 +*CONN +*I *23547:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6401:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[253] O *D mprj_logic_high +*CAP +1 *23547:TE 9.18035e-05 +2 *6401:DIODE 0 +3 *22570:HI[253] 0.00214973 +4 *2053:19 0.00190354 +5 *2053:18 0.00396146 +6 *23547:TE *2454:35 2.29454e-05 +7 *23547:TE *3153:21 0.000110306 +8 *23547:TE *3160:10 8.51781e-05 +9 *2053:18 *2056:28 3.72306e-06 +10 *2053:18 *2062:19 6.03122e-05 +11 *2053:18 *2081:20 0.00056795 +12 *2053:18 *2234:25 0.000277899 +13 *2053:18 *3173:19 0.00208485 +14 *2053:19 *2245:17 0 +15 *2053:19 *2903:32 0 +16 *2053:19 *3136:24 0 +17 *2053:19 *3184:6 0 +18 *2053:19 *3421:8 0 +19 *4889:DIODE *2053:19 7.99378e-05 +20 *6392:DIODE *2053:19 1.05855e-05 +21 *1167:9 *2053:18 3.85897e-05 +22 *1406:12 *2053:19 0.000112281 +23 *1410:29 *23547:TE 6.97621e-06 +24 *1410:29 *2053:19 2.5166e-05 +25 *1413:37 *2053:19 0 +26 *1819:9 *2053:19 0.000779069 +27 *1989:52 *2053:18 5.38874e-05 +28 *2050:17 *2053:18 0.000335505 +29 *2050:17 *2053:19 0 +*RES +1 *22570:HI[253] *2053:18 15.4947 +2 *2053:18 *2053:19 37.7513 +3 *2053:19 *6401:DIODE 13.7491 +4 *2053:19 *23547:TE 16.4439 +*END + +*D_NET *2054 0.0101841 +*CONN +*I *6403:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23548:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[254] O *D mprj_logic_high +*CAP +1 *6403:DIODE 2.09358e-05 +2 *23548:TE 0 +3 *22570:HI[254] 0.00258799 +4 *2054:19 0.00260893 +5 *6403:DIODE *3426:7 0.000171288 +6 *2054:19 *2101:20 0.00140569 +7 *2054:19 *2123:19 0.000211255 +8 *2054:19 *2160:7 5.01835e-05 +9 *2054:19 *2174:19 0.000337391 +10 *2054:19 *2335:34 0.00033197 +11 *2054:19 *2603:20 0 +12 *2054:19 *2885:18 0.00225158 +13 *2054:19 *3115:40 0 +14 *2054:19 *3404:8 0 +15 *23522:A *2054:19 0 +16 *1413:9 *6403:DIODE 7.48797e-05 +17 *1977:32 *2054:19 6.21462e-05 +18 *2021:34 *2054:19 6.98716e-05 +*RES +1 *22570:HI[254] *2054:19 44.2465 +2 *2054:19 *23548:TE 9.24915 +3 *2054:19 *6403:DIODE 11.0817 +*END + +*D_NET *2055 0.0184005 +*CONN +*I *6405:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23549:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[255] O *D mprj_logic_high +*CAP +1 *6405:DIODE 0.000193069 +2 *23549:TE 0 +3 *22570:HI[255] 0.0033005 +4 *2055:17 0.00349357 +5 *6405:DIODE *2882:42 7.366e-06 +6 *6405:DIODE *2951:12 2.35412e-05 +7 *6405:DIODE *3418:10 1.99266e-05 +8 *2055:17 *2117:13 0.000282918 +9 *2055:17 *2367:23 0.00103396 +10 *2055:17 *2461:47 0.00102284 +11 *2055:17 *2468:27 0.00107124 +12 *2055:17 *2599:18 0 +13 *2055:17 *2882:42 4.20184e-06 +14 *2055:17 *3418:10 7.13655e-06 +15 *1968:36 *2055:17 3.955e-05 +16 *1977:32 *2055:17 8.0705e-05 +17 *1989:52 *2055:17 0.000234845 +18 *2030:16 *2055:17 0.00246203 +19 *2046:19 *2055:17 0.00512307 +*RES +1 *22570:HI[255] *2055:17 23.9914 +2 *2055:17 *23549:TE 13.7491 +3 *2055:17 *6405:DIODE 17.2421 +*END + +*D_NET *2056 0.0151838 +*CONN +*I *6407:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23550:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[256] O *D mprj_logic_high +*CAP +1 *6407:DIODE 0 +2 *23550:TE 0.000145536 +3 *22570:HI[256] 0.00323721 +4 *2056:28 0.00338274 +5 *23550:TE *2389:10 0 +6 *23550:TE *2899:53 0 +7 *23550:TE *3438:35 2.20585e-05 +8 *2056:28 *2062:19 0.00241628 +9 *2056:28 *2117:14 0.000348277 +10 *2056:28 *2426:26 0.00247726 +11 *2056:28 *2454:35 0.000995237 +12 *2056:28 *2903:28 1.85443e-06 +13 *2056:28 *3136:23 5.69065e-05 +14 *2056:28 *3136:24 0.000632391 +15 *2056:28 *3149:29 1.68253e-05 +16 *2056:28 *3184:6 0.000234903 +17 *2056:28 *3339:23 0.000936928 +18 *22341:A *23550:TE 2.14842e-06 +19 *1977:32 *2056:28 6.21462e-05 +20 *1989:52 *2056:28 6.98716e-05 +21 *2031:27 *2056:28 0.000106353 +22 *2047:29 *2056:28 3.51771e-05 +23 *2053:18 *2056:28 3.72306e-06 +*RES +1 *22570:HI[256] *2056:28 46.5742 +2 *2056:28 *23550:TE 20.9116 +3 *2056:28 *6407:DIODE 9.24915 +*END + +*D_NET *2057 0.0255167 +*CONN +*I *23551:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6409:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[257] O *D mprj_logic_high +*CAP +1 *23551:TE 5.63408e-05 +2 *6409:DIODE 0 +3 *22570:HI[257] 0.00324511 +4 *2057:17 0.00330145 +5 *23551:TE *3132:28 1.92974e-05 +6 *23551:TE *3133:43 0 +7 *23551:TE *3157:5 0.000167701 +8 *23551:TE *3416:7 0.000167701 +9 *2057:17 *2067:17 0.00152717 +10 *2057:17 *2076:19 0.00410828 +11 *2057:17 *2111:9 2.49945e-05 +12 *2057:17 *2136:17 7.73552e-06 +13 *2057:17 *2203:24 0.0016357 +14 *2057:17 *2438:25 0.00409628 +15 *2057:17 *2612:17 0.000747961 +16 *2057:17 *3132:28 1.74351e-05 +17 *2002:13 *2057:17 0.000713151 +18 *2051:19 *2057:17 0.00568039 +*RES +1 *22570:HI[257] *2057:17 29.7852 +2 *2057:17 *6409:DIODE 13.7491 +3 *2057:17 *23551:TE 16.5832 +*END + +*D_NET *2058 0.0106197 +*CONN +*I *23552:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6411:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[258] O *D mprj_logic_high +*CAP +1 *23552:TE 5.80695e-05 +2 *6411:DIODE 0 +3 *22570:HI[258] 0.00226586 +4 *2058:17 0.00232393 +5 *23552:TE *3115:40 1.49589e-05 +6 *2058:17 *2064:23 0 +7 *2058:17 *2133:28 6.67835e-06 +8 *2058:17 *2162:29 0.000316962 +9 *2058:17 *2180:38 0.00102459 +10 *2058:17 *2201:23 5.10314e-05 +11 *2058:17 *2601:20 0 +12 *2058:17 *2885:18 0.00385044 +13 *2058:17 *3040:27 0.000162497 +14 *2058:17 *3115:40 1.05746e-05 +15 *1410:17 *23552:TE 6.3657e-05 +16 *1415:11 *23552:TE 6.3657e-05 +17 *1940:35 *2058:17 0.000170023 +18 *1977:32 *2058:17 0.000116084 +19 *2021:34 *2058:17 0.000120653 +*RES +1 *22570:HI[258] *2058:17 17.8886 +2 *2058:17 *6411:DIODE 13.7491 +3 *2058:17 *23552:TE 15.474 +*END + +*D_NET *2059 0.0314891 +*CONN +*I *23553:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6413:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[259] O *D mprj_logic_high +*CAP +1 *23553:TE 9.70786e-06 +2 *6413:DIODE 0 +3 *22570:HI[259] 0.00623763 +4 *2059:25 3.45637e-05 +5 *2059:20 0.00626249 +6 *23553:TE *2441:11 0.00011818 +7 *23553:TE *2450:19 0.000122378 +8 *2059:20 *2091:10 0.000220554 +9 *2059:20 *2228:29 0.00141929 +10 *2059:20 *2426:26 0.000729968 +11 *2059:20 *2451:15 0.00521904 +12 *2059:20 *2462:19 0.00431032 +13 *2059:20 *2474:32 0.000957249 +14 *2059:20 *2615:21 0.00207181 +15 *2059:20 *2620:19 0.00316571 +16 *2059:20 *2899:27 0.000591806 +17 *2059:20 *3195:14 0 +18 *1996:20 *2059:20 1.83857e-05 +19 *2030:16 *2059:20 0 +*RES +1 *22570:HI[259] *2059:20 47.0555 +2 *2059:20 *2059:25 8.2474 +3 *2059:25 *6413:DIODE 9.24915 +4 *2059:25 *23553:TE 10.5271 +*END + +*D_NET *2060 0.0623829 +*CONN +*I *22509:TE I *D sky130_fd_sc_hd__einvp_4 +*I *4961:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[25] O *D mprj_logic_high +*CAP +1 *22509:TE 0.00013112 +2 *4961:DIODE 4.51842e-05 +3 *22570:HI[25] 0.00075394 +4 *2060:27 0.000286504 +5 *2060:24 0.00282076 +6 *2060:23 0.0028688 +7 *2060:18 0.00287907 +8 *2060:17 0.0034781 +9 *2060:8 0.00845977 +10 *2060:7 0.00845645 +11 *4961:DIODE *2762:29 6.08467e-05 +12 *22509:TE *2762:29 0.000315513 +13 *22509:TE *3249:8 4.70559e-05 +14 *22509:TE *3252:6 3.90219e-05 +15 *2060:7 *2109:13 0 +16 *2060:8 *2179:25 0.000396387 +17 *2060:8 *2368:23 0.0107716 +18 *2060:8 *3123:17 0.000451867 +19 *2060:8 *3273:33 0.000157517 +20 *2060:17 *2071:22 0.00123918 +21 *2060:17 *2866:6 0.000740815 +22 *2060:17 *2867:8 0.000271745 +23 *2060:17 *3123:17 0.000543559 +24 *2060:18 *2127:10 0.000243701 +25 *2060:23 *3450:6 2.84789e-05 +26 *2060:24 *3778:DIODE 6.50586e-05 +27 *2060:24 *22512:TE 0.000103943 +28 *2060:24 *2071:39 0.0017272 +29 *2060:24 *2093:22 0.00251068 +30 *2060:24 *2373:5 0.0013826 +31 *2060:24 *2806:21 0.00367939 +32 *2060:24 *2857:21 0.00132676 +33 *2060:27 *3249:8 0.000269012 +34 *2060:27 *3252:6 0.000272257 +35 *4805:DIODE *2060:24 6.50586e-05 +36 *4966:DIODE *2060:24 0.000122378 +37 *5667:DIODE *2060:24 6.36477e-05 +38 *23144:A *2060:24 0.000315473 +39 *1153:57 *2060:24 7.68538e-06 +40 *1153:61 *2060:24 0.000410978 +41 *1895:29 *2060:24 0.00166722 +42 *1983:7 *2060:7 9.49894e-05 +43 *2004:10 *2060:18 0.00257208 +44 *2006:10 *2060:18 0.000239548 +*RES +1 *22570:HI[25] *2060:7 17.6659 +2 *2060:7 *2060:8 136.047 +3 *2060:8 *2060:17 38.7327 +4 *2060:17 *2060:18 45.6463 +5 *2060:18 *2060:23 12.0778 +6 *2060:23 *2060:24 125.509 +7 *2060:24 *2060:27 9.65401 +8 *2060:27 *4961:DIODE 14.4725 +9 *2060:27 *22509:TE 18.2471 +*END + +*D_NET *2061 0.00930049 +*CONN +*I *23554:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6415:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[260] O *D mprj_logic_high +*CAP +1 *23554:TE 5.75622e-05 +2 *6415:DIODE 0 +3 *22570:HI[260] 0.00280419 +4 *2061:19 0.00286176 +5 *23554:TE *2129:47 0.000111722 +6 *23554:TE *2866:46 7.75133e-06 +7 *23554:TE *2918:25 0.000111722 +8 *23554:TE *3108:15 4.49767e-05 +9 *2061:19 *2063:10 3.76416e-05 +10 *2061:19 *2101:20 0 +11 *2061:19 *2339:8 0.000212793 +12 *2061:19 *2592:15 0.00097206 +13 *2061:19 *2851:8 0 +14 *2061:19 *2866:46 5.6114e-05 +15 *2061:19 *3108:9 0.000195448 +16 *2061:19 *3108:15 0.000282371 +17 *2061:19 *3143:17 0 +18 *4582:DIODE *2061:19 6.01588e-05 +19 *2002:16 *2061:19 6.98716e-05 +20 *2019:17 *2061:19 0.00104353 +21 *2042:19 *2061:19 0.000370818 +*RES +1 *22570:HI[260] *2061:19 27.5398 +2 *2061:19 *6415:DIODE 13.7491 +3 *2061:19 *23554:TE 16.0286 +*END + +*D_NET *2062 0.0229388 +*CONN +*I *23555:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6417:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[261] O *D mprj_logic_high +*CAP +1 *23555:TE 7.16128e-05 +2 *6417:DIODE 0 +3 *22570:HI[261] 0.00388441 +4 *2062:19 0.00395602 +5 *23555:TE *3146:19 2.16355e-05 +6 *23555:TE *3157:5 0.000118166 +7 *2062:19 *2074:25 0.00447173 +8 *2062:19 *2076:19 0.00160092 +9 *2062:19 *2081:20 0.00016087 +10 *2062:19 *2426:26 0.000973745 +11 *2062:19 *2438:25 0.00393545 +12 *2062:19 *2620:19 5.57357e-06 +13 *2062:19 *2903:28 0.000743392 +14 *2062:19 *3146:19 1.91391e-05 +15 *2062:19 *3426:26 1.27831e-06 +16 *1436:10 *2062:19 2.1203e-06 +17 *1989:52 *2062:19 0.000496101 +18 *2053:18 *2062:19 6.03122e-05 +19 *2056:28 *2062:19 0.00241628 +*RES +1 *22570:HI[261] *2062:19 32.5735 +2 *2062:19 *6417:DIODE 9.24915 +3 *2062:19 *23555:TE 11.5158 +*END + +*D_NET *2063 0.0211291 +*CONN +*I *23557:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6421:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[262] O *D mprj_logic_high +*CAP +1 *23557:TE 7.35832e-05 +2 *6421:DIODE 0 +3 *22570:HI[262] 0.000706399 +4 *2063:31 7.35832e-05 +5 *2063:29 0.00421192 +6 *2063:10 0.00491832 +7 *23557:TE *3062:27 6.3657e-05 +8 *23557:TE *3402:16 0.000113107 +9 *2063:10 *2087:7 9.88335e-05 +10 *2063:10 *2087:8 0.00033248 +11 *2063:10 *2101:20 0 +12 *2063:10 *2105:8 0.001407 +13 *2063:29 *2071:11 1.5714e-05 +14 *2063:29 *2079:20 0.000387764 +15 *2063:29 *2085:20 0.000181915 +16 *2063:29 *2139:7 0 +17 *2063:29 *2194:23 0.000814674 +18 *2063:29 *2458:31 0.000219165 +19 *2063:29 *2594:20 0.000465583 +20 *2063:29 *2879:33 0.000560292 +21 *2063:29 *2883:19 0.0028194 +22 *2063:29 *2884:19 3.08958e-05 +23 *2063:29 *3062:27 6.01588e-05 +24 *2063:29 *3135:17 0.000225467 +25 *2063:29 *3391:20 0 +26 *1395:9 *2063:10 0.000253488 +27 *1668:18 *2063:29 1.79503e-05 +28 *2001:7 *2063:29 0 +29 *2003:12 *2063:29 0.0002655 +30 *2010:8 *2063:10 0.00155989 +31 *2012:10 *2063:29 0.000612613 +32 *2018:19 *2063:29 0.000400596 +33 *2019:17 *2063:29 0.000201504 +34 *2061:19 *2063:10 3.76416e-05 +*RES +1 *22570:HI[262] *2063:10 41.7515 +2 *2063:10 *2063:29 48.7452 +3 *2063:29 *2063:31 4.5 +4 *2063:31 *6421:DIODE 9.24915 +5 *2063:31 *23557:TE 11.5158 +*END + +*D_NET *2064 0.0133121 +*CONN +*I *23558:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6423:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[263] O *D mprj_logic_high +*CAP +1 *23558:TE 5.91162e-05 +2 *6423:DIODE 0 +3 *22570:HI[263] 0.00263259 +4 *2064:23 0.00269171 +5 *23558:TE *2454:59 5.07314e-05 +6 *23558:TE *3118:16 3.92776e-05 +7 *23558:TE *3153:7 0.00011818 +8 *2064:23 *2117:14 0.000358807 +9 *2064:23 *2133:28 0.000197124 +10 *2064:23 *2180:38 0.000966652 +11 *2064:23 *2186:47 0.000930025 +12 *2064:23 *2198:29 0.000367713 +13 *2064:23 *2201:23 0.000528953 +14 *2064:23 *2601:20 0.00271381 +15 *2064:23 *3118:16 0.000319051 +16 *1934:11 *2064:23 0.000538752 +17 *1937:28 *2064:23 0.000562795 +18 *1977:32 *2064:23 0.000223961 +19 *1989:52 *2064:23 1.28434e-05 +20 *2058:17 *2064:23 0 +*RES +1 *22570:HI[263] *2064:23 26.0764 +2 *2064:23 *6423:DIODE 13.7491 +3 *2064:23 *23558:TE 16.0286 +*END + +*D_NET *2065 0.0198159 +*CONN +*I *6425:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23559:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[264] O *D mprj_logic_high +*CAP +1 *6425:DIODE 0.000119177 +2 *23559:TE 0 +3 *22570:HI[264] 0.000961739 +4 *2065:27 0.00246129 +5 *2065:26 0.00376985 +6 *2065:13 0.00238948 +7 *6425:DIODE *2454:58 3.83492e-06 +8 *6425:DIODE *3129:26 6.01588e-05 +9 *2065:13 *2087:21 0.000232544 +10 *2065:13 *2087:22 4.82966e-05 +11 *2065:13 *2141:7 4.69495e-06 +12 *2065:26 *2072:17 0.00110304 +13 *2065:26 *2201:23 0.000439463 +14 *2065:26 *2206:32 0.000315452 +15 *2065:26 *2367:23 0.000518896 +16 *2065:26 *3051:24 0.000863014 +17 *2065:26 *3124:17 0.00128517 +18 *2065:26 *3317:31 0.000434041 +19 *2065:27 *21614:A 0 +20 *2065:27 *2171:13 0 +21 *2065:27 *2172:12 1.49935e-05 +22 *2065:27 *2173:10 0.000159401 +23 *2065:27 *2175:10 0 +24 *2065:27 *2604:18 1.39989e-05 +25 *2065:27 *2889:18 0 +26 *2065:27 *2889:33 0 +27 *2065:27 *3120:27 0.000144943 +28 *4863:DIODE *2065:27 8.60109e-05 +29 *23525:A *2065:27 0 +30 *23558:A *6425:DIODE 2.21587e-05 +31 *23558:A *2065:27 0.000198558 +32 *1293:13 *2065:27 0 +33 *1421:8 *2065:27 6.22259e-05 +34 *1936:43 *2065:27 0.000108938 +35 *1955:8 *2065:13 0.000372922 +36 *1974:7 *2065:13 1.45802e-05 +37 *1980:9 *2065:13 0 +38 *1983:8 *2065:13 0.000990834 +39 *1986:13 *2065:13 0.000166937 +40 *1988:8 *2065:13 0.000554842 +41 *2009:29 *2065:13 0.00135636 +42 *2023:19 *2065:26 0.000538062 +*RES +1 *22570:HI[264] *2065:13 49.5176 +2 *2065:13 *2065:26 11.0861 +3 *2065:26 *2065:27 43.5648 +4 *2065:27 *23559:TE 13.7491 +5 *2065:27 *6425:DIODE 16.4116 +*END + +*D_NET *2066 0.0116771 +*CONN +*I *23560:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6427:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[265] O *D mprj_logic_high +*CAP +1 *23560:TE 6.23373e-05 +2 *6427:DIODE 0 +3 *22570:HI[265] 0.00172034 +4 *2066:17 0.00220898 +5 *2066:16 0.00386698 +6 *23560:TE *3273:8 0 +7 *23560:TE *3436:23 0.000171273 +8 *23560:TE *3440:7 0.000171273 +9 *2066:16 *2110:21 6.77316e-05 +10 *2066:16 *2276:18 0.000782152 +11 *2066:16 *2278:13 0.000556755 +12 *2066:16 *2328:18 0.000110846 +13 *2066:16 *2330:28 0.000116268 +14 *2066:16 *2360:33 0.000756253 +15 *2066:17 *21501:A 0 +16 *2066:17 *2134:19 0 +17 *2066:17 *2280:7 0 +18 *2066:17 *2281:7 0 +19 *2066:17 *2324:19 0.000169196 +20 *2066:17 *2623:18 0 +21 *2066:17 *3155:30 3.06153e-05 +22 *2066:17 *3158:24 1.87469e-05 +23 *2066:17 *3273:8 0 +24 *22344:TE *2066:17 0.000148866 +25 *1989:52 *2066:16 6.03122e-05 +26 *2031:27 *2066:16 0.000658161 +*RES +1 *22570:HI[265] *2066:16 15.1755 +2 *2066:16 *2066:17 41.9038 +3 *2066:17 *6427:DIODE 13.7491 +4 *2066:17 *23560:TE 16.5832 +*END + +*D_NET *2067 0.0163077 +*CONN +*I *6429:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23561:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[266] O *D mprj_logic_high +*CAP +1 *6429:DIODE 0 +2 *23561:TE 7.08662e-05 +3 *22570:HI[266] 0.0041921 +4 *2067:17 0.00426296 +5 *23561:TE *3095:10 1.48605e-05 +6 *23561:TE *3148:9 0.000171288 +7 *23561:TE *3162:25 7.97944e-05 +8 *2067:17 *2079:27 0.000579881 +9 *2067:17 *2203:24 0.00153741 +10 *2067:17 *2451:27 0.000579881 +11 *2067:17 *2612:17 0.000327745 +12 *2067:17 *2612:24 0.00251105 +13 *2067:17 *2897:20 0 +14 *2067:17 *3095:10 8.72221e-06 +15 *1989:52 *2067:17 0.000319028 +16 *2051:19 *2067:17 0.000124965 +17 *2057:17 *2067:17 0.00152717 +*RES +1 *22570:HI[266] *2067:17 24.8508 +2 *2067:17 *23561:TE 16.5832 +3 *2067:17 *6429:DIODE 13.7491 +*END + +*D_NET *2068 0.0288387 +*CONN +*I *23562:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6431:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[267] O *D mprj_logic_high +*CAP +1 *23562:TE 2.11943e-05 +2 *6431:DIODE 0 +3 *22570:HI[267] 0.00585704 +4 *2068:23 0.00587823 +5 *23562:TE *2450:37 0.000220183 +6 *2068:23 *2075:27 0.00545366 +7 *2068:23 *2133:28 0.000237346 +8 *2068:23 *2180:38 0.000364506 +9 *2068:23 *2206:32 0.00079407 +10 *2068:23 *2445:23 0.000108607 +11 *2068:23 *2462:34 0.00572058 +12 *2068:23 *2601:20 0.000424749 +13 *2068:23 *2888:20 0.00333893 +14 *1407:9 *23562:TE 0.000224381 +15 *1977:32 *2068:23 6.21462e-05 +16 *1988:25 *2068:23 7.29127e-05 +17 *1989:52 *2068:23 6.01748e-05 +*RES +1 *22570:HI[267] *2068:23 38.1135 +2 *2068:23 *6431:DIODE 9.24915 +3 *2068:23 *23562:TE 11.6364 +*END + +*D_NET *2069 0.0418571 +*CONN +*I *23563:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6433:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[268] O *D mprj_logic_high +*CAP +1 *23563:TE 9.18426e-05 +2 *6433:DIODE 0 +3 *22570:HI[268] 0.000559765 +4 *2069:29 0.00549868 +5 *2069:8 0.00592071 +6 *2069:7 0.00107365 +7 *23563:TE *2439:13 4.31539e-05 +8 *2069:7 *2102:7 0 +9 *2069:7 *2106:7 0 +10 *2069:7 *2110:21 4.6855e-05 +11 *2069:7 *2118:10 0.000106918 +12 *2069:8 *2102:8 0.00476058 +13 *2069:8 *2112:10 0.00046837 +14 *2069:8 *2120:10 0.000101365 +15 *2069:8 *2151:14 1.67988e-05 +16 *2069:29 *2078:29 0.00540907 +17 *2069:29 *2081:20 0.000113668 +18 *2069:29 *2102:19 2.43314e-05 +19 *2069:29 *2109:14 0.000341594 +20 *2069:29 *2136:37 0.00144528 +21 *2069:29 *2146:9 0.000175761 +22 *2069:29 *2246:25 0.00138788 +23 *2069:29 *2263:25 0.00513795 +24 *2069:29 *2360:33 5.60804e-05 +25 *2069:29 *2490:20 7.93457e-06 +26 *2069:29 *2492:28 0 +27 *2069:29 *2495:18 0.000570691 +28 *2069:29 *2504:42 0.00108518 +29 *2069:29 *3158:35 1.91391e-05 +30 *2069:29 *3384:6 2.27135e-05 +31 *2069:29 *3406:22 0.000780051 +32 *1173:18 *2069:29 0.000560096 +33 *1173:21 *2069:29 0.000371558 +34 *1955:28 *2069:29 0.000221202 +35 *1964:7 *2069:29 1.74454e-05 +36 *1966:13 *2069:29 0.00115332 +37 *1981:8 *2069:8 0.00426749 +*RES +1 *22570:HI[268] *2069:7 15.5897 +2 *2069:7 *2069:8 51.7469 +3 *2069:8 *2069:29 43.7519 +4 *2069:29 *6433:DIODE 9.24915 +5 *2069:29 *23563:TE 11.5158 +*END + +*D_NET *2070 0.0500593 +*CONN +*I *23564:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6435:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[269] O *D mprj_logic_high +*CAP +1 *23564:TE 9.81852e-05 +2 *6435:DIODE 0 +3 *22570:HI[269] 0.000927244 +4 *2070:43 0.00400974 +5 *2070:34 0.00583309 +6 *2070:16 0.00308111 +7 *2070:8 0.00505203 +8 *2070:7 0.0048197 +9 *23564:TE *3456:13 0.000164843 +10 *2070:7 *2078:8 0 +11 *2070:7 *2082:5 0 +12 *2070:7 *2100:23 7.31749e-05 +13 *2070:7 *2224:23 0 +14 *2070:7 *2426:32 0 +15 *2070:8 *2118:10 0.000315549 +16 *2070:8 *2122:13 0.000366544 +17 *2070:8 *3362:32 0.000304127 +18 *2070:16 *2112:10 0 +19 *2070:16 *2121:27 1.74351e-05 +20 *2070:16 *2146:9 9.85594e-05 +21 *2070:34 *2088:24 0.000124991 +22 *2070:34 *2110:34 0.00136889 +23 *2070:34 *2239:13 0.000206449 +24 *2070:34 *2274:24 0.00646645 +25 *2070:34 *2905:20 6.7673e-05 +26 *2070:43 *2110:43 5.83513e-05 +27 *2070:43 *2133:30 1.79334e-05 +28 *2070:43 *2441:10 2.27135e-05 +29 *2070:43 *2635:17 0.002346 +30 *2070:43 *2912:30 0.000233648 +31 *2070:43 *2914:21 0.000111569 +32 *2070:43 *3445:16 1.91391e-05 +33 *1961:13 *2070:16 0.000611154 +34 *1962:10 *2070:16 0.000858716 +35 *1962:10 *2070:34 0.000189942 +36 *1964:7 *2070:16 0 +37 *1970:73 *2070:34 0.000246663 +38 *1973:10 *2070:16 9.54837e-05 +39 *1973:10 *2070:34 0.0023039 +40 *1977:41 *2070:34 0.000453412 +41 *1977:42 *2070:34 0.00647535 +42 *1984:33 *2070:34 2.05082e-05 +43 *1985:38 *2070:8 0.000253543 +44 *1985:40 *2070:8 0.00222921 +45 *2030:16 *2070:7 0.00011626 +*RES +1 *22570:HI[269] *2070:7 22.2337 +2 *2070:7 *2070:8 67.8304 +3 *2070:8 *2070:16 36.3721 +4 *2070:16 *2070:34 45.2732 +5 *2070:34 *2070:43 19.8501 +6 *2070:43 *6435:DIODE 9.24915 +7 *2070:43 *23564:TE 12.0704 +*END + +*D_NET *2071 0.0445293 +*CONN +*I *4963:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22510:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[26] O *D mprj_logic_high +*CAP +1 *4963:DIODE 0 +2 *22510:TE 8.41432e-05 +3 *22570:HI[26] 0.000644116 +4 *2071:42 0.00314107 +5 *2071:39 0.00421449 +6 *2071:31 0.00351289 +7 *2071:27 0.0029764 +8 *2071:22 0.00241409 +9 *2071:12 0.00375127 +10 *2071:11 0.00260236 +11 *22510:TE *2379:23 0.000158357 +12 *2071:11 *2073:22 0 +13 *2071:11 *2139:7 0 +14 *2071:11 *2198:29 0 +15 *2071:12 *2087:8 0.00290608 +16 *2071:12 *2093:10 0.000336771 +17 *2071:12 *2093:14 0.00263304 +18 *2071:12 *2105:8 0.000636026 +19 *2071:12 *2135:20 0.000130331 +20 *2071:22 *5856:DIODE 0.00011818 +21 *2071:22 *23405:A 0.000395939 +22 *2071:22 *2366:10 0.000100727 +23 *2071:22 *2651:19 1.41853e-05 +24 *2071:22 *2651:23 0.000805834 +25 *2071:22 *2726:6 0 +26 *2071:22 *3073:41 6.50727e-05 +27 *2071:22 *3123:16 0.000672345 +28 *2071:22 *3123:17 0.000154626 +29 *2071:27 *21590:A 2.96023e-05 +30 *2071:27 *2509:20 0.000818771 +31 *2071:27 *2852:14 0 +32 *2071:27 *2859:32 5.93293e-05 +33 *2071:27 *2860:27 0 +34 *2071:31 *2093:22 0.000535273 +35 *2071:31 *2847:14 0.000114664 +36 *2071:39 *2841:12 0 +37 *2071:42 *3084:24 0.000500043 +38 *6117:DIODE *2071:22 3.83172e-05 +39 *22429:B *2071:31 4.17751e-05 +40 *23144:A *2071:39 8.62625e-06 +41 *1153:57 *2071:31 0.000559686 +42 *1393:13 *2071:31 0.00194199 +43 *1524:9 *2071:22 0.000169041 +44 *1639:13 *22510:TE 4.84271e-05 +45 *1639:13 *2071:42 8.47539e-05 +46 *1647:6 *2071:39 6.51527e-05 +47 *1888:23 *2071:42 0.00018546 +48 *1982:14 *2071:42 0.000132699 +49 *2010:8 *2071:12 6.38665e-05 +50 *2027:17 *2071:11 3.75273e-05 +51 *2040:14 *2071:12 0.00364386 +52 *2060:17 *2071:22 0.00123918 +53 *2060:24 *2071:39 0.0017272 +54 *2063:29 *2071:11 1.5714e-05 +*RES +1 *22570:HI[26] *2071:11 16.4935 +2 *2071:11 *2071:12 76.1495 +3 *2071:12 *2071:22 49.8766 +4 *2071:22 *2071:27 25.3658 +5 *2071:27 *2071:31 46.8475 +6 *2071:31 *2071:39 33.9421 +7 *2071:39 *2071:42 46.7796 +8 *2071:42 *22510:TE 12.2151 +9 *2071:42 *4963:DIODE 9.24915 +*END + +*D_NET *2072 0.0352395 +*CONN +*I *23565:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6437:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[270] O *D mprj_logic_high +*CAP +1 *23565:TE 6.92421e-05 +2 *6437:DIODE 0 +3 *22570:HI[270] 0.00470336 +4 *2072:17 0.0047726 +5 *23565:TE *3147:25 4.31703e-05 +6 *2072:17 *2133:28 0.000116084 +7 *2072:17 *2206:32 0.000426169 +8 *2072:17 *2333:14 0.0102734 +9 *2072:17 *2470:31 0.000199641 +10 *2072:17 *2604:18 0.000256444 +11 *2072:17 *2889:18 0 +12 *2072:17 *3051:24 3.46822e-05 +13 *2072:17 *3149:29 0.0107728 +14 *1137:71 *23565:TE 0.000111722 +15 *1305:16 *23565:TE 4.49767e-05 +16 *1305:16 *2072:17 9.12416e-06 +17 *1428:12 *2072:17 2.05342e-06 +18 *1970:33 *2072:17 0.000111473 +19 *1977:32 *2072:17 0.000763344 +20 *2026:37 *2072:17 9.69473e-05 +21 *2029:30 *2072:17 0.00128998 +22 *2034:20 *2072:17 3.91906e-05 +23 *2065:26 *2072:17 0.00110304 +*RES +1 *22570:HI[270] *2072:17 31.6901 +2 *2072:17 *6437:DIODE 13.7491 +3 *2072:17 *23565:TE 16.0286 +*END + +*D_NET *2073 0.0543701 +*CONN +*I *23566:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6439:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[271] O *D mprj_logic_high +*CAP +1 *23566:TE 1.68804e-05 +2 *6439:DIODE 0 +3 *22570:HI[271] 0.0112375 +4 *2073:27 6.32729e-05 +5 *2073:22 0.0112838 +6 *23566:TE *2450:35 0.000171288 +7 *23566:TE *3442:11 0.000169041 +8 *2073:22 *2075:27 0.00213962 +9 *2073:22 *2091:10 0.000568897 +10 *2073:22 *2174:19 2.05082e-05 +11 *2073:22 *2441:11 0.000385908 +12 *2073:22 *2443:11 0.000260662 +13 *2073:22 *2446:45 0.000270879 +14 *2073:22 *2451:27 0.00704282 +15 *2073:22 *2462:34 0.0105588 +16 *2073:22 *2462:46 0.00297003 +17 *2073:22 *2474:32 0.00384871 +18 *2073:22 *2598:20 0.00186601 +19 *2073:22 *2879:8 0 +20 *2073:27 *3414:12 6.75063e-06 +21 *1958:7 *2073:22 0 +22 *1998:10 *2073:22 0.000554598 +23 *2018:19 *2073:22 2.85625e-05 +24 *2024:41 *2073:22 0.000905583 +25 *2071:11 *2073:22 0 +*RES +1 *22570:HI[271] *2073:22 47.63 +2 *2073:22 *2073:27 8.66265 +3 *2073:27 *6439:DIODE 9.24915 +4 *2073:27 *23566:TE 11.0817 +*END + +*D_NET *2074 0.0229814 +*CONN +*I *23568:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6443:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[272] O *D mprj_logic_high +*CAP +1 *23568:TE 6.45484e-05 +2 *6443:DIODE 0 +3 *22570:HI[272] 0.00455712 +4 *2074:25 0.00462166 +5 *23568:TE *2089:34 0.0002817 +6 *23568:TE *3448:5 0 +7 *2074:25 *2089:30 3.10709e-05 +8 *2074:25 *2464:11 0.00338783 +9 *2074:25 *3145:16 7.86825e-06 +10 *2074:25 *3251:24 0.00466165 +11 *2074:25 *3433:19 0.000714589 +12 *1427:9 *23568:TE 4.95737e-05 +13 *1977:32 *2074:25 6.21462e-05 +14 *1989:52 *2074:25 6.98716e-05 +15 *2062:19 *2074:25 0.00447173 +*RES +1 *22570:HI[272] *2074:25 38.6906 +2 *2074:25 *6443:DIODE 9.24915 +3 *2074:25 *23568:TE 12.7697 +*END + +*D_NET *2075 0.0487296 +*CONN +*I *23569:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6445:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[273] O *D mprj_logic_high +*CAP +1 *23569:TE 3.80064e-05 +2 *6445:DIODE 0 +3 *22570:HI[273] 0.0100705 +4 *2075:27 0.0101085 +5 *23569:TE *2445:21 0.000133333 +6 *23569:TE *2452:19 2.85274e-05 +7 *2075:27 *2097:13 0.00142191 +8 *2075:27 *2137:23 0.000545506 +9 *2075:27 *2159:30 3.32189e-05 +10 *2075:27 *2198:29 1.83756e-05 +11 *2075:27 *2446:45 0.00205099 +12 *2075:27 *2462:34 0.00406949 +13 *2075:27 *2474:33 0.000338648 +14 *2075:27 *2600:26 3.15532e-05 +15 *2075:27 *2739:21 6.40623e-05 +16 *2075:27 *2872:21 0 +17 *2075:27 *2880:20 0 +18 *2075:27 *2882:15 0 +19 *2075:27 *2977:29 0.0119266 +20 *2075:27 *3400:13 0.000108607 +21 *2075:27 *3414:12 7.86825e-06 +22 *1977:32 *2075:27 0.000140574 +23 *2068:23 *2075:27 0.00545366 +24 *2073:22 *2075:27 0.00213962 +*RES +1 *22570:HI[273] *2075:27 48.29 +2 *2075:27 *6445:DIODE 9.24915 +3 *2075:27 *23569:TE 11.1059 +*END + +*D_NET *2076 0.0434098 +*CONN +*I *23570:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6447:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[274] O *D mprj_logic_high +*CAP +1 *23570:TE 1.22561e-05 +2 *6447:DIODE 0 +3 *22570:HI[274] 0.00791321 +4 *2076:19 0.00792547 +5 *23570:TE *2440:15 0.00011818 +6 *23570:TE *2893:64 5.56461e-05 +7 *2076:19 *2438:25 0.00186082 +8 *2076:19 *2440:15 0.000291404 +9 *2076:19 *2465:15 0.0138906 +10 *2076:19 *2476:9 0.00017378 +11 *2076:19 *3144:22 1.91246e-05 +12 *2076:19 *3409:19 0.00530996 +13 *1977:32 *2076:19 0.000116084 +14 *2033:23 *2076:19 1.39932e-05 +15 *2057:17 *2076:19 0.00410828 +16 *2062:19 *2076:19 0.00160092 +*RES +1 *22570:HI[274] *2076:19 44.5077 +2 *2076:19 *6447:DIODE 9.24915 +3 *2076:19 *23570:TE 10.5271 +*END + +*D_NET *2077 0.0173821 +*CONN +*I *23571:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6449:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[275] O *D mprj_logic_high +*CAP +1 *23571:TE 3.90142e-05 +2 *6449:DIODE 0 +3 *22570:HI[275] 0.00365301 +4 *2077:19 0.00369203 +5 *23571:TE *3159:20 6.53312e-05 +6 *23571:TE *3163:19 6.94804e-05 +7 *2077:19 *2081:20 0.00077393 +8 *2077:19 *2084:7 2.11422e-05 +9 *2077:19 *2088:24 0.00091666 +10 *2077:19 *2110:21 2.18904e-05 +11 *2077:19 *2121:27 6.21462e-05 +12 *2077:19 *2133:30 0.000285603 +13 *2077:19 *2284:17 0.00153643 +14 *2077:19 *2349:50 0.00264252 +15 *2077:19 *2360:33 0 +16 *2077:19 *2475:19 5.09457e-05 +17 *2077:19 *2623:18 0 +18 *2077:19 *3295:21 0.00355202 +19 *2016:7 *2077:19 0 +*RES +1 *22570:HI[275] *2077:19 30.5356 +2 *2077:19 *6449:DIODE 9.24915 +3 *2077:19 *23571:TE 11.0817 +*END + +*D_NET *2078 0.0386148 +*CONN +*I *23572:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6451:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[276] O *D mprj_logic_high +*CAP +1 *23572:TE 7.23402e-05 +2 *6451:DIODE 0 +3 *22570:HI[276] 0.0010097 +4 *2078:29 0.00514171 +5 *2078:8 0.00607907 +6 *23572:TE *2134:35 0.00011818 +7 *23572:TE *2905:46 0.00011818 +8 *2078:8 *2082:5 0.000104157 +9 *2078:8 *2102:8 0.00219719 +10 *2078:8 *2132:25 0.00169412 +11 *2078:8 *2367:9 0.000112427 +12 *2078:29 *2081:20 0.00159603 +13 *2078:29 *2091:10 0.000560096 +14 *2078:29 *2091:17 0.000229679 +15 *2078:29 *2098:11 8.62625e-06 +16 *2078:29 *2120:10 8.18304e-06 +17 *2078:29 *2256:25 3.81796e-05 +18 *2078:29 *2266:23 0.000250233 +19 *2078:29 *2269:29 0.00321687 +20 *2078:29 *2492:28 0.00438677 +21 *2078:29 *3373:25 0.000229192 +22 *1954:10 *2078:8 0.000256055 +23 *1955:28 *2078:29 0.00143352 +24 *1966:13 *2078:29 0.00327338 +25 *1976:17 *2078:29 2.93435e-05 +26 *1979:19 *2078:29 0 +27 *1981:8 *2078:8 0.000138824 +28 *1984:34 *2078:29 5.30445e-05 +29 *1987:7 *2078:29 8.08437e-05 +30 *2007:13 *2078:8 0.000769766 +31 *2069:29 *2078:29 0.00540907 +32 *2070:7 *2078:8 0 +*RES +1 *22570:HI[276] *2078:8 48.7588 +2 *2078:8 *2078:29 45.3994 +3 *2078:29 *6451:DIODE 13.7491 +4 *2078:29 *23572:TE 16.0286 +*END + +*D_NET *2079 0.0675978 +*CONN +*I *23573:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6453:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[277] O *D mprj_logic_high +*CAP +1 *23573:TE 2.74935e-05 +2 *6453:DIODE 0 +3 *22570:HI[277] 0.00825754 +4 *2079:27 0.00318717 +5 *2079:20 0.0114172 +6 *23573:TE *3148:13 0.000164829 +7 *23573:TE *3441:5 7.22498e-05 +8 *2079:20 *2083:23 0.00227195 +9 *2079:20 *2085:20 2.95956e-05 +10 *2079:20 *2137:23 0.000422203 +11 *2079:20 *2446:34 0.00305404 +12 *2079:20 *2451:27 0.00269695 +13 *2079:20 *2598:20 0.00042976 +14 *2079:20 *2872:21 5.76913e-05 +15 *2079:20 *2879:33 5.76799e-05 +16 *2079:20 *2881:20 0.00277862 +17 *2079:20 *3095:17 0.000157517 +18 *2079:20 *3122:23 0.000144814 +19 *2079:27 *2083:23 0.017265 +20 *2079:27 *2446:34 0.00357862 +21 *2079:27 *2451:27 0.00124501 +22 *2079:27 *2474:32 0.00806787 +23 *2079:27 *3095:17 0.000108607 +24 *2079:27 *3122:23 0.000118134 +25 *2079:27 *3143:46 1.91246e-05 +26 *2079:27 *3148:13 0.000291404 +27 *2079:27 *3429:10 1.5714e-05 +28 *1416:15 *2079:27 0.00017378 +29 *1977:32 *2079:20 0.000123741 +30 *2002:16 *2079:20 0.000215384 +31 *2008:45 *2079:20 3.98871e-05 +32 *2018:19 *2079:20 0.000140455 +33 *2063:29 *2079:20 0.000387764 +34 *2067:17 *2079:27 0.000579881 +*RES +1 *22570:HI[277] *2079:20 30.4718 +2 *2079:20 *2079:27 30.9001 +3 *2079:27 *6453:DIODE 9.24915 +4 *2079:27 *23573:TE 11.0817 +*END + +*D_NET *2080 0.0840195 +*CONN +*I *6455:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23574:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[278] O *D mprj_logic_high +*CAP +1 *6455:DIODE 9.98487e-05 +2 *23574:TE 0 +3 *22570:HI[278] 0.000811254 +4 *2080:49 0.00586603 +5 *2080:47 0.00692964 +6 *2080:32 0.00150421 +7 *2080:31 0.000534991 +8 *2080:26 0.00165452 +9 *2080:25 0.00169709 +10 *2080:14 0.00100075 +11 *2080:13 0.00254854 +12 *2080:10 0.00259587 +13 *6455:DIODE *3171:8 0 +14 *6455:DIODE *3187:10 0 +15 *2080:10 *2147:28 0.000254519 +16 *2080:10 *2372:29 0.00047556 +17 *2080:10 *2374:9 0.000106647 +18 *2080:13 *22920:A 0.000138676 +19 *2080:13 *23303:A 0 +20 *2080:13 *2141:7 2.29098e-05 +21 *2080:13 *2147:28 0.000134103 +22 *2080:13 *2177:23 0.000128854 +23 *2080:13 *2747:8 0 +24 *2080:13 *2889:11 0 +25 *2080:13 *3298:6 3.00073e-05 +26 *2080:14 *2111:16 0.000202039 +27 *2080:14 *2111:18 0.000103736 +28 *2080:14 *2124:14 0.00149476 +29 *2080:14 *2604:18 0.000110442 +30 *2080:14 *2610:22 0.00032349 +31 *2080:14 *2961:31 0.00134618 +32 *2080:14 *2966:15 0.00183527 +33 *2080:25 *2615:21 0 +34 *2080:25 *2937:15 0.000434474 +35 *2080:25 *2966:15 0.000957243 +36 *2080:26 *2094:8 0.0116347 +37 *2080:26 *2103:20 0.000608269 +38 *2080:26 *2111:18 0.00105047 +39 *2080:26 *2111:22 0.00554733 +40 *2080:26 *2124:16 0.0102797 +41 *2080:26 *2611:21 0.000234073 +42 *2080:26 *2619:19 0.00079548 +43 *2080:26 *2966:15 0.00295329 +44 *2080:31 *2632:31 5.04655e-05 +45 *2080:32 *2639:9 0.00298598 +46 *2080:32 *2642:9 0.0052738 +47 *2080:32 *2645:9 0.0007171 +48 *2080:32 *2648:11 0.000702348 +49 *2080:32 *2966:9 0.000618045 +50 *2080:47 *22834:A 0.000111722 +51 *2080:47 *2512:12 5.67796e-06 +52 *2080:47 *2649:9 3.40268e-05 +53 *2080:47 *2915:30 0 +54 *2080:47 *2932:7 7.02172e-06 +55 *2080:47 *2934:9 0.000676571 +56 *2080:49 *4916:DIODE 9.84424e-06 +57 *2080:49 *5090:DIODE 0.000131727 +58 *2080:49 *5993:DIODE 0.000195133 +59 *2080:49 *21313:A 0 +60 *2080:49 *22835:A 0 +61 *2080:49 *23343:B 0 +62 *2080:49 *23349:A 0.00012972 +63 *2080:49 *2085:31 0.00190551 +64 *2080:49 *2086:61 1.04516e-05 +65 *2080:49 *2248:29 0 +66 *2080:49 *2272:27 4.69495e-06 +67 *2080:49 *2512:12 0.000223312 +68 *2080:49 *2632:40 5.37864e-05 +69 *2080:49 *2654:8 0 +70 *2080:49 *2912:30 6.08826e-05 +71 *2080:49 *2916:20 0 +72 *2080:49 *2916:22 0 +73 *2080:49 *2920:87 6.5817e-05 +74 *2080:49 *2924:52 0.000111125 +75 *2080:49 *2924:57 0.00027985 +76 *2080:49 *3187:6 0 +77 *2080:49 *3187:10 0 +78 la_data_in_mprj[100] *2080:47 6.50586e-05 +79 la_data_in_mprj[101] *2080:47 1.65523e-05 +80 la_data_in_mprj[101] *2080:49 0 +81 la_data_in_mprj[63] *2080:13 3.1825e-05 +82 *3939:DIODE *2080:13 0.000172144 +83 *4127:DIODE *2080:49 0 +84 *4222:DIODE *2080:13 0.000179286 +85 *4267:DIODE *2080:47 2.20702e-05 +86 *4917:DIODE *2080:49 1.37086e-05 +87 *22359:TE *2080:49 0 +88 *22531:TE *2080:10 0.000220809 +89 *23349:B *2080:49 1.06618e-05 +90 *23582:A *2080:49 0.000269064 +91 *390:7 *2080:47 4.76283e-05 +92 *475:5 *2080:13 0.000165042 +93 *642:8 *2080:47 2.32621e-05 +94 *858:10 *2080:13 0 +95 *898:8 *2080:47 0.000263528 +96 *1142:14 *2080:49 0 +97 *1172:35 *2080:13 3.64559e-05 +98 *1194:16 *2080:49 6.91241e-05 +99 *1329:10 *2080:49 0 +100 *1437:10 *2080:49 0 +101 *1445:8 *2080:49 0.000617071 +102 *1453:11 *2080:49 0 +103 *1468:8 *2080:49 1.79807e-05 +104 *1590:8 *2080:49 4.07419e-05 +105 *1596:9 *2080:49 0.000611963 +106 *1835:8 *2080:49 0 +107 *1959:25 *2080:49 0 +108 *1960:13 *2080:10 8.81822e-05 +109 *1967:9 *2080:10 0 +110 *1994:16 *2080:10 0.000227733 +111 *2005:17 *2080:49 0 +*RES +1 *22570:HI[278] *2080:10 30.2329 +2 *2080:10 *2080:13 44.9505 +3 *2080:13 *2080:14 57.8476 +4 *2080:14 *2080:25 30.9851 +5 *2080:25 *2080:26 191.507 +6 *2080:26 *2080:31 12.493 +7 *2080:31 *2080:32 55.0746 +8 *2080:32 *2080:47 37.3214 +9 *2080:47 *2080:49 129.522 +10 *2080:49 *23574:TE 13.7491 +11 *2080:49 *6455:DIODE 15.9964 +*END + +*D_NET *2081 0.0512702 +*CONN +*I *23575:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6457:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[279] O *D mprj_logic_high +*CAP +1 *23575:TE 5.33435e-05 +2 *6457:DIODE 0 +3 *22570:HI[279] 0.00589927 +4 *2081:27 0.00336529 +5 *2081:20 0.00921121 +6 *23575:TE *2440:15 2.65831e-05 +7 *23575:TE *2893:64 6.50727e-05 +8 *23575:TE *3317:8 4.04861e-05 +9 *2081:20 *2088:24 0.000343983 +10 *2081:20 *2110:21 0.0047871 +11 *2081:20 *2112:10 0.000183939 +12 *2081:20 *2121:27 2.1203e-06 +13 *2081:20 *2214:16 0.000654952 +14 *2081:20 *2222:16 0.00124836 +15 *2081:20 *2226:33 6.44502e-05 +16 *2081:20 *2254:35 0.000531109 +17 *2081:20 *2254:41 0.000687797 +18 *2081:20 *2263:19 2.17779e-05 +19 *2081:20 *2279:28 0.00178308 +20 *2081:27 *2241:38 0.000103417 +21 *2081:27 *2393:49 5.83513e-05 +22 *2081:27 *2460:33 0.000148835 +23 *2081:27 *2482:32 6.03237e-05 +24 *2081:27 *3317:8 2.27135e-05 +25 *2081:27 *3350:47 0.00120375 +26 *2081:27 *3395:26 0.00168858 +27 *1438:9 *23575:TE 2.14842e-06 +28 *1438:9 *2081:27 4.20184e-06 +29 *1954:9 *2081:20 0 +30 *1955:28 *2081:20 0.000530142 +31 *1960:7 *2081:20 1.74961e-05 +32 *1962:10 *2081:20 0.000144814 +33 *1966:13 *2081:20 0.000553415 +34 *1975:10 *2081:20 0.000364215 +35 *1979:19 *2081:27 0.00147592 +36 *1984:33 *2081:27 0.000490271 +37 *1989:52 *2081:20 0.000375465 +38 *2002:13 *2081:20 0.0108892 +39 *2026:13 *2081:20 0.000705373 +40 *2044:19 *2081:20 0.000188225 +41 *2050:17 *2081:20 6.08978e-05 +42 *2053:18 *2081:20 0.00056795 +43 *2062:19 *2081:20 0.00016087 +44 *2069:29 *2081:20 0.000113668 +45 *2077:19 *2081:20 0.00077393 +46 *2078:29 *2081:20 0.00159603 +*RES +1 *22570:HI[279] *2081:20 38.7036 +2 *2081:20 *2081:27 17.2932 +3 *2081:27 *6457:DIODE 13.7491 +4 *2081:27 *23575:TE 15.474 +*END + +*D_NET *2082 0.00299 +*CONN +*I *4965:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22511:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[27] O *D mprj_logic_high +*CAP +1 *4965:DIODE 0.000135837 +2 *22511:TE 0.000165626 +3 *22570:HI[27] 0.000665331 +4 *2082:5 0.000966794 +5 *22511:TE *2100:26 6.3657e-05 +6 *22511:TE *2367:8 2.3438e-05 +7 *22511:TE *2372:7 8.78673e-05 +8 *22511:TE *2426:32 1.00698e-05 +9 *2082:5 *2086:18 1.23632e-05 +10 *2082:5 *2226:33 0.000168029 +11 *2082:5 *2367:8 5.38465e-05 +12 *2082:5 *2426:32 2.57465e-06 +13 *6203:DIODE *22511:TE 6.99044e-06 +14 *23448:B *22511:TE 2.1203e-06 +15 *1967:16 *4965:DIODE 0.000217937 +16 *1967:16 *22511:TE 0.000303361 +17 *2070:7 *2082:5 0 +18 *2078:8 *2082:5 0.000104157 +*RES +1 *22570:HI[27] *2082:5 14.4117 +2 *2082:5 *22511:TE 19.1508 +3 *2082:5 *4965:DIODE 16.1364 +*END + +*D_NET *2083 0.079634 +*CONN +*I *23576:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6459:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[280] O *D mprj_logic_high +*CAP +1 *23576:TE 4.6985e-05 +2 *6459:DIODE 0 +3 *22570:HI[280] 0.00518104 +4 *2083:25 4.6985e-05 +5 *2083:23 0.00783135 +6 *2083:18 0.0130124 +7 *23576:TE *3160:19 0.000175485 +8 *23576:TE *3454:9 4.26566e-05 +9 *2083:18 *2091:10 9.36276e-05 +10 *2083:18 *2451:27 0.00107109 +11 *2083:18 *2473:31 0.000351134 +12 *2083:18 *2473:43 0.000508896 +13 *2083:18 *2877:15 0.0012868 +14 *2083:18 *3095:17 0.000101365 +15 *2083:18 *3122:19 0.000110257 +16 *2083:18 *3128:26 0.000655305 +17 *2083:23 *2382:27 0.00538834 +18 *2083:23 *2404:21 0.00458893 +19 *2083:23 *2437:22 0.00139296 +20 *2083:23 *2440:26 0.00296456 +21 *2083:23 *2451:27 5.39137e-05 +22 *2083:23 *2452:12 2.02035e-05 +23 *2083:23 *2468:27 0.00170897 +24 *2083:23 *2473:31 0.00513604 +25 *2083:23 *2474:19 0.00398061 +26 *2083:23 *2474:32 0.000436691 +27 *2083:23 *2872:21 6.06847e-05 +28 *2083:23 *2973:14 0.00022138 +29 *2083:23 *3095:14 0.00012601 +30 *2083:23 *3148:9 0.000369269 +31 *2083:23 *3162:25 0.000224455 +32 *2083:23 *3441:5 0.000291404 +33 *2083:23 *3441:13 0.000165394 +34 *2083:23 *3454:5 0.000152056 +35 *1982:13 *2083:18 0 +36 *2002:16 *2083:18 0.000498444 +37 *2008:45 *2083:18 0.00157155 +38 *2021:34 *2083:18 0.000198527 +39 *2023:37 *2083:18 3.12191e-05 +40 *2079:20 *2083:23 0.00227195 +41 *2079:27 *2083:23 0.017265 +*RES +1 *22570:HI[280] *2083:18 25.8952 +2 *2083:18 *2083:23 47.282 +3 *2083:23 *2083:25 4.5 +4 *2083:25 *6459:DIODE 9.24915 +5 *2083:25 *23576:TE 11.6605 +*END + +*D_NET *2084 0.0436954 +*CONN +*I *23577:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6461:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[281] O *D mprj_logic_high +*CAP +1 *23577:TE 9.07781e-05 +2 *6461:DIODE 0 +3 *22570:HI[281] 0.00111253 +4 *2084:27 9.07781e-05 +5 *2084:25 0.00374399 +6 *2084:24 0.00374399 +7 *2084:22 0.00403348 +8 *2084:20 0.00405652 +9 *2084:18 0.00101552 +10 *2084:17 0.00099249 +11 *2084:15 0.00267747 +12 *2084:7 0.00379 +13 *2084:7 *2099:7 0.000112846 +14 *2084:15 *2252:27 0.000150677 +15 *2084:15 *2256:26 0.000902569 +16 *2084:15 *2460:33 0.000118134 +17 *2084:15 *2781:8 2.87136e-06 +18 *2084:15 *3332:11 5.48015e-06 +19 *2084:15 *3384:23 0.000110257 +20 *2084:18 *3703:DIODE 0.000383717 +21 *2084:18 *5976:DIODE 6.3657e-05 +22 *2084:18 *2252:27 0.000181147 +23 *2084:18 *2654:14 0.000521459 +24 *2084:18 *2790:20 0.00275334 +25 *2084:22 *2491:24 0.000417478 +26 *2084:22 *2654:14 0.000953429 +27 *2084:25 *2453:10 0.000650494 +28 *2084:25 *2459:12 0.00068198 +29 *2084:25 *2472:6 0.000316044 +30 *4921:DIODE *2084:18 6.78549e-05 +31 *4952:DIODE *2084:18 6.92705e-05 +32 *22574:A *2084:25 0 +33 *23207:A *2084:15 9.0685e-05 +34 *1141:42 *2084:25 0 +35 *1146:35 *2084:18 0.00456594 +36 *1146:41 *2084:18 0.0001465 +37 *1213:8 *2084:25 0 +38 *1323:10 *2084:18 0.000992975 +39 *1333:6 *2084:25 0 +40 *1839:10 *2084:25 0 +41 *1985:40 *2084:15 0.000295395 +42 *1985:49 *2084:15 7.58963e-05 +43 *1985:50 *2084:18 0.00117842 +44 *1985:50 *2084:22 0.00037321 +45 *1985:57 *2084:22 0.00214498 +46 *2077:19 *2084:7 2.11422e-05 +*RES +1 *22570:HI[281] *2084:7 24.31 +2 *2084:7 *2084:15 49.3506 +3 *2084:15 *2084:17 4.5 +4 *2084:17 *2084:18 71.7127 +5 *2084:18 *2084:20 0.578717 +6 *2084:20 *2084:22 68.385 +7 *2084:22 *2084:24 4.5 +8 *2084:24 *2084:25 79.8994 +9 *2084:25 *2084:27 4.5 +10 *2084:27 *6461:DIODE 9.24915 +11 *2084:27 *23577:TE 11.5158 +*END + +*D_NET *2085 0.0865743 +*CONN +*I *23579:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6465:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[282] O *D mprj_logic_high +*CAP +1 *23579:TE 7.1654e-05 +2 *6465:DIODE 0 +3 *22570:HI[282] 0.002368 +4 *2085:32 0.00254971 +5 *2085:31 0.00388738 +6 *2085:26 0.00625419 +7 *2085:25 0.0049254 +8 *2085:20 0.00244853 +9 *2085:20 *2157:17 0.000117602 +10 *2085:20 *2165:17 2.36262e-05 +11 *2085:20 *2192:25 0.00380615 +12 *2085:20 *2194:23 0.000665227 +13 *2085:20 *2594:20 0.00160262 +14 *2085:20 *2598:20 3.23488e-05 +15 *2085:20 *2879:33 0.000580456 +16 *2085:20 *3106:19 0.00206022 +17 *2085:20 *3118:15 9.32704e-05 +18 *2085:25 *2137:23 7.09666e-06 +19 *2085:25 *2164:26 0 +20 *2085:25 *2321:19 2.33103e-06 +21 *2085:26 *2137:24 5.32746e-05 +22 *2085:26 *2178:8 0.00252522 +23 *2085:26 *2236:19 0.000319379 +24 *2085:26 *2250:8 5.58858e-05 +25 *2085:26 *2307:8 0 +26 *2085:26 *2307:12 7.91443e-05 +27 *2085:26 *2319:8 0.00913469 +28 *2085:26 *2321:13 0.000920612 +29 *2085:26 *2321:14 0.0234612 +30 *2085:26 *2337:10 0.000246362 +31 *2085:26 *2925:25 0.0033806 +32 *2085:26 *3410:13 0.00010238 +33 *2085:31 *2912:43 7.22977e-05 +34 *2085:31 *3187:6 0 +35 *2085:31 *3187:10 0 +36 *2085:32 *6467:DIODE 0.000107496 +37 *2085:32 *3462:5 0.00107863 +38 *6466:DIODE *2085:32 8.39223e-05 +39 *22556:A *2085:32 1.43983e-05 +40 *1137:44 *2085:31 0.000316656 +41 *1165:15 *2085:26 0.00131456 +42 *1169:63 *2085:20 0.00198823 +43 *1182:30 *2085:31 2.99929e-05 +44 *1185:29 *2085:26 0.00419017 +45 *1189:11 *23579:TE 1.87146e-05 +46 *1189:11 *2085:32 0.000107735 +47 *1390:23 *2085:20 0.00206444 +48 *1391:12 *2085:25 7.09666e-06 +49 *1437:10 *2085:31 0 +50 *1453:11 *2085:31 2.27645e-05 +51 *1900:9 *2085:20 1.27831e-06 +52 *1904:15 *2085:20 5.23191e-05 +53 *1912:20 *2085:20 0.00114987 +54 *1977:32 *2085:20 6.21462e-05 +55 *2063:29 *2085:20 0.000181915 +56 *2079:20 *2085:20 2.95956e-05 +57 *2080:49 *2085:31 0.00190551 +*RES +1 *22570:HI[282] *2085:20 49.0104 +2 *2085:20 *2085:25 10.4167 +3 *2085:25 *2085:26 337.922 +4 *2085:26 *2085:31 47.3742 +5 *2085:31 *2085:32 60.066 +6 *2085:32 *6465:DIODE 9.24915 +7 *2085:32 *23579:TE 11.1059 +*END + +*D_NET *2086 0.0542496 +*CONN +*I *23580:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6467:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[283] O *D mprj_logic_high +*CAP +1 *23580:TE 0 +2 *6467:DIODE 4.14302e-05 +3 *22570:HI[283] 0.00152131 +4 *2086:69 4.14302e-05 +5 *2086:67 0.00239568 +6 *2086:66 0.00242817 +7 *2086:61 0.00121467 +8 *2086:40 0.00178997 +9 *2086:39 0.00132315 +10 *2086:28 0.00136023 +11 *2086:26 0.00110643 +12 *2086:18 0.00198288 +13 *2086:18 *2090:7 0.000519907 +14 *2086:18 *2100:26 0.00112147 +15 *2086:18 *2226:33 0.000737369 +16 *2086:18 *2372:7 0.000865932 +17 *2086:26 *2100:26 0.000110009 +18 *2086:26 *2100:28 0.00050578 +19 *2086:26 *2128:22 0.000840002 +20 *2086:28 *2100:28 0.005965 +21 *2086:28 *2115:22 0.000228391 +22 *2086:28 *2128:22 0.00106674 +23 *2086:28 *2136:17 0.000296541 +24 *2086:39 *2109:32 4.15661e-05 +25 *2086:39 *2112:12 0.00161138 +26 *2086:39 *2924:19 0 +27 *2086:61 *2102:20 0.000188063 +28 *2086:61 *2494:16 0 +29 *2086:61 *2791:6 0 +30 *2086:61 *2933:18 0.00017235 +31 *2086:61 *3187:6 5.12779e-05 +32 *2086:66 *2107:18 0.000271058 +33 *2086:66 *2508:19 0.000266846 +34 *2086:67 *2350:14 0.00154293 +35 *2086:67 *2473:11 0.00020906 +36 *2086:67 *2494:16 0.00356599 +37 *2086:67 *3187:6 0.000372877 +38 *22505:TE *2086:39 6.50465e-05 +39 *22511:A *2086:18 6.50727e-05 +40 *1152:45 *2086:18 0.000689401 +41 *1189:11 *6467:DIODE 1.82679e-05 +42 *1323:17 *2086:40 5.51483e-06 +43 *1323:19 *2086:40 0.000799331 +44 *1957:8 *2086:40 0.00435531 +45 *1959:25 *2086:61 9.96222e-05 +46 *1964:8 *2086:28 0.00358692 +47 *1976:28 *2086:39 0.00012309 +48 *1978:10 *2086:40 0.00519201 +49 *1987:8 *2086:39 0.00160858 +50 *1995:12 *2086:61 0.00168226 +51 *2005:17 *2086:61 8.62625e-06 +52 *2016:11 *2086:39 6.43474e-05 +53 *2080:49 *2086:61 1.04516e-05 +54 *2082:5 *2086:18 1.23632e-05 +55 *2085:32 *6467:DIODE 0.000107496 +*RES +1 *22570:HI[283] *2086:18 46.6801 +2 *2086:18 *2086:26 17.0239 +3 *2086:26 *2086:28 64.5028 +4 *2086:28 *2086:39 45.2543 +5 *2086:39 *2086:40 56.7384 +6 *2086:40 *2086:61 46.6245 +7 *2086:61 *2086:66 11.9418 +8 *2086:66 *2086:67 72.8401 +9 *2086:67 *2086:69 4.5 +10 *2086:69 *6467:DIODE 10.5271 +11 *2086:69 *23580:TE 9.24915 +*END + +*D_NET *2087 0.107468 +*CONN +*I *23581:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6469:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[284] O *D mprj_logic_high +*CAP +1 *23581:TE 0.000106033 +2 *6469:DIODE 0 +3 *22570:HI[284] 0.000533881 +4 *2087:49 0.000106033 +5 *2087:47 0.00446595 +6 *2087:46 0.0114131 +7 *2087:38 0.0124633 +8 *2087:22 0.00607416 +9 *2087:21 0.00109401 +10 *2087:8 0.00145045 +11 *2087:7 0.0014484 +12 *2087:8 *2105:8 0.00156634 +13 *2087:21 *2101:20 0.000113329 +14 *2087:22 *3408:19 0.000114589 +15 *2087:38 *2091:10 0 +16 *2087:38 *2115:19 0.00551362 +17 *2087:38 *2120:10 0.000339934 +18 *2087:38 *2128:19 0.00816258 +19 *2087:38 *2136:17 0.000334962 +20 *2087:38 *2199:22 2.56038e-06 +21 *2087:38 *2210:19 0.000181391 +22 *2087:38 *2426:32 0.000118545 +23 *2087:38 *2903:28 0.000268259 +24 *2087:38 *3273:23 7.17372e-05 +25 *2087:46 *6232:DIODE 0.000248321 +26 *2087:46 *2115:19 1.94767e-05 +27 *2087:46 *2115:22 0.00911925 +28 *2087:46 *2120:25 0.00172556 +29 *2087:46 *2136:17 0.000776136 +30 *2087:46 *2240:28 0.00142419 +31 *2087:46 *2498:36 6.44644e-05 +32 *2087:46 *2499:21 2.91946e-05 +33 *2087:46 *2922:41 0.00010238 +34 *2087:46 *2925:17 0.00112084 +35 *2087:46 *3395:26 5.13735e-05 +36 *2087:47 *22543:TE 0 +37 *2087:47 *2091:17 3.27475e-05 +38 *2087:47 *2262:19 0 +39 *2087:47 *2297:15 0 +40 *2087:47 *2354:6 0 +41 *2087:47 *2518:14 0 +42 *2087:47 *2519:14 0 +43 *2087:47 *2914:46 0 +44 *2087:47 *2928:24 6.2179e-05 +45 *2087:47 *2928:45 5.16633e-05 +46 *4701:DIODE *2087:47 8.6931e-05 +47 *22481:B *2087:47 3.31165e-05 +48 *1142:8 *2087:47 0 +49 *1194:11 *23581:TE 7.22498e-05 +50 *1203:20 *2087:47 0 +51 *1395:9 *2087:8 9.1829e-05 +52 *1457:8 *2087:47 0.00016279 +53 *1710:11 *2087:46 0.000152056 +54 *1826:8 *2087:47 0 +55 *1834:11 *2087:47 4.00438e-05 +56 *1955:7 *2087:21 4.69495e-06 +57 *1955:8 *2087:22 0.00461992 +58 *1960:8 *2087:22 0.00398158 +59 *1967:27 *2087:38 0.00034965 +60 *1967:28 *2087:46 0.000519795 +61 *1967:39 *2087:46 1.60286e-05 +62 *1979:19 *2087:46 0.00225692 +63 *1986:13 *2087:8 0.000223237 +64 *1986:13 *2087:21 0.000306513 +65 *1988:8 *2087:8 0.000331601 +66 *1992:10 *2087:38 6.98716e-05 +67 *1992:12 *2087:38 0.00108417 +68 *1992:12 *2087:46 0.00393865 +69 *1996:20 *2087:38 0.00014263 +70 *1996:32 *2087:46 0.00364027 +71 *1997:23 *2087:7 0 +72 *2009:29 *2087:21 0.00129295 +73 *2009:29 *2087:22 0.000723242 +74 *2015:21 *2087:21 1.65872e-05 +75 *2015:21 *2087:22 1.15389e-05 +76 *2027:13 *2087:21 1.5714e-05 +77 *2027:14 *2087:8 0.000593097 +78 *2027:14 *2087:21 0.00295997 +79 *2030:16 *2087:38 0.000225795 +80 *2035:20 *2087:38 0.00276789 +81 *2039:9 *2087:38 0 +82 *2040:13 *2087:8 0.00177865 +83 *2040:14 *2087:8 0.000569103 +84 *2063:10 *2087:7 9.88335e-05 +85 *2063:10 *2087:8 0.00033248 +86 *2065:13 *2087:21 0.000232544 +87 *2065:13 *2087:22 4.82966e-05 +88 *2071:12 *2087:8 0.00290608 +*RES +1 *22570:HI[284] *2087:7 15.1744 +2 *2087:7 *2087:8 65.612 +3 *2087:8 *2087:21 43.9664 +4 *2087:21 *2087:22 51.7469 +5 *2087:22 *2087:38 33.8492 +6 *2087:38 *2087:46 32.3536 +7 *2087:46 *2087:47 87.5816 +8 *2087:47 *2087:49 4.5 +9 *2087:49 *6469:DIODE 9.24915 +10 *2087:49 *23581:TE 12.2392 +*END + +*D_NET *2088 0.0838062 +*CONN +*I *23582:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6471:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[285] O *D mprj_logic_high +*CAP +1 *23582:TE 3.75037e-05 +2 *6471:DIODE 0 +3 *22570:HI[285] 0.0100766 +4 *2088:33 0.00693059 +5 *2088:24 0.0169697 +6 *23582:TE *2089:40 0.000118166 +7 *2088:24 *2095:8 0.000157517 +8 *2088:24 *2106:8 0.000182363 +9 *2088:24 *2108:22 2.95956e-05 +10 *2088:24 *2110:34 0.00039802 +11 *2088:24 *2121:27 0.000104757 +12 *2088:24 *2147:28 0 +13 *2088:24 *2225:20 0.00185563 +14 *2088:24 *2254:35 0.000678743 +15 *2088:24 *2254:41 0.00109603 +16 *2088:24 *2263:19 0.00407753 +17 *2088:24 *2279:28 4.20625e-05 +18 *2088:24 *3262:26 0.00182803 +19 *2088:33 *2110:37 0 +20 *2088:33 *2129:56 0 +21 *2088:33 *2245:17 0.00206535 +22 *2088:33 *2247:19 0 +23 *2088:33 *2457:29 0.00899086 +24 *2088:33 *2490:20 0.00474325 +25 *2088:33 *3453:20 4.69495e-06 +26 *1173:29 *2088:24 0.00102908 +27 *1959:14 *2088:24 0.000144814 +28 *1962:10 *2088:24 0.000119327 +29 *1970:57 *2088:24 0.000831174 +30 *1970:73 *2088:24 0.000418953 +31 *1981:22 *2088:24 0.00128007 +32 *1984:33 *2088:24 0.000499703 +33 *1985:22 *2088:24 4.00776e-05 +34 *1998:10 *2088:24 0.00364397 +35 *2002:13 *2088:24 0.0132446 +36 *2002:16 *2088:24 0.000107017 +37 *2033:23 *2088:24 6.16594e-06 +38 *2036:19 *2088:24 2.99332e-05 +39 *2043:23 *2088:24 0.000336607 +40 *2051:19 *2088:24 0.000302137 +41 *2070:34 *2088:24 0.000124991 +42 *2077:19 *2088:24 0.00091666 +43 *2081:20 *2088:24 0.000343983 +*RES +1 *22570:HI[285] *2088:24 48.6409 +2 *2088:24 *2088:33 31.4774 +3 *2088:33 *6471:DIODE 9.24915 +4 *2088:33 *23582:TE 10.5271 +*END + +*D_NET *2089 0.063223 +*CONN +*I *23583:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6473:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[286] O *D mprj_logic_high +*CAP +1 *23583:TE 7.09613e-05 +2 *6473:DIODE 0 +3 *22570:HI[286] 0.00428447 +4 *2089:42 0.00252026 +5 *2089:40 0.00377536 +6 *2089:34 0.00950475 +7 *2089:32 0.00821684 +8 *2089:30 0.00106123 +9 *2089:26 0.00308948 +10 *2089:19 0.00635087 +11 *23583:TE *3464:7 3.25584e-05 +12 *2089:19 *2101:32 0.000219662 +13 *2089:19 *2119:26 0.000322911 +14 *2089:19 *2135:24 0 +15 *2089:19 *2153:26 0.000244268 +16 *2089:19 *2214:16 0.000186184 +17 *2089:19 *2217:26 0.000882755 +18 *2089:19 *2218:20 0.000577208 +19 *2089:19 *2220:19 1.42173e-06 +20 *2089:19 *2221:19 2.7397e-05 +21 *2089:19 *2222:16 5.08467e-06 +22 *2089:19 *2223:19 5.51377e-06 +23 *2089:19 *2224:23 5.64256e-05 +24 *2089:19 *2228:29 5.51377e-06 +25 *2089:19 *2229:21 4.26518e-06 +26 *2089:19 *2230:24 3.27616e-06 +27 *2089:19 *2231:16 1.43566e-05 +28 *2089:19 *2232:19 5.30445e-05 +29 *2089:19 *2234:25 3.62392e-05 +30 *2089:19 *2235:35 4.14053e-05 +31 *2089:19 *2236:19 3.24279e-05 +32 *2089:19 *2237:27 1.927e-05 +33 *2089:19 *2238:8 0.00086002 +34 *2089:19 *2239:13 5.82559e-05 +35 *2089:19 *2325:10 0.000127592 +36 *2089:19 *2329:37 0.000177913 +37 *2089:19 *2332:34 0 +38 *2089:19 *2335:17 7.08723e-06 +39 *2089:19 *2388:14 2.34902e-05 +40 *2089:19 *2613:20 0 +41 *2089:19 *2893:26 1.89753e-05 +42 *2089:19 *2900:17 0.000709305 +43 *2089:19 *3141:35 3.38813e-05 +44 *2089:19 *3184:9 0.000268142 +45 *2089:26 *3143:35 2.77564e-05 +46 *2089:26 *3169:7 0.000220733 +47 *2089:26 *3421:8 0 +48 *2089:30 *21295:A 0.000115934 +49 *2089:30 *2899:53 0.000103414 +50 *2089:30 *2901:33 0.0010893 +51 *2089:30 *3141:36 0.000751111 +52 *2089:30 *3154:28 0.00248159 +53 *2089:30 *3438:35 0 +54 *2089:34 *3448:7 0.0119178 +55 *2089:40 *3455:7 0.000245482 +56 *2089:40 *3464:7 7.8651e-05 +57 *2089:42 *3455:7 0.000323875 +58 *2089:42 *3464:7 9.28163e-05 +59 *4625:DIODE *2089:30 2.00098e-05 +60 *6454:DIODE *2089:40 0.000307037 +61 *22341:A *2089:30 0 +62 *22343:TE *2089:26 3.22909e-05 +63 *23568:TE *2089:34 0.0002817 +64 *23582:TE *2089:40 0.000118166 +65 *1167:12 *2089:19 8.6868e-05 +66 *1171:15 *2089:19 0.00029687 +67 *1305:16 *2089:19 0.000101503 +68 *1427:9 *2089:30 1.41291e-05 +69 *1427:9 *2089:34 3.49349e-05 +70 *1815:11 *2089:26 0.000113424 +71 *1819:9 *2089:26 2.74647e-05 +72 *1935:36 *2089:26 0 +73 *1977:32 *2089:19 6.21462e-05 +74 *1989:52 *2089:19 6.98716e-05 +75 *2036:20 *2089:19 0.000247061 +76 *2050:17 *2089:19 0 +77 *2074:25 *2089:30 3.10709e-05 +*RES +1 *22570:HI[286] *2089:19 47.0045 +2 *2089:19 *2089:26 41.0121 +3 *2089:26 *2089:30 49.0435 +4 *2089:30 *2089:32 0.988641 +5 *2089:32 *2089:34 143.257 +6 *2089:34 *2089:40 30.4429 +7 *2089:40 *2089:42 37.0499 +8 *2089:42 *6473:DIODE 9.24915 +9 *2089:42 *23583:TE 11.5158 +*END + +*D_NET *2090 0.0790351 +*CONN +*I *23584:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6475:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[287] O *D mprj_logic_high +*CAP +1 *23584:TE 9.31907e-05 +2 *6475:DIODE 0 +3 *22570:HI[287] 0.00210232 +4 *2090:23 9.31907e-05 +5 *2090:21 0.00474883 +6 *2090:20 0.0123749 +7 *2090:7 0.00972835 +8 *23584:TE *3465:5 0.00016763 +9 *2090:7 *5949:DIODE 2.96931e-05 +10 *2090:7 *2094:5 0 +11 *2090:7 *2100:23 8.8078e-05 +12 *2090:7 *2132:25 2.10057e-05 +13 *2090:7 *2142:19 0.000157541 +14 *2090:7 *2226:33 0.000217307 +15 *2090:7 *2767:14 0 +16 *2090:7 *3316:9 0.000699245 +17 *2090:20 *2625:9 0.000108607 +18 *2090:20 *2905:13 0.00979753 +19 *2090:20 *2908:21 0.00258593 +20 *2090:20 *2912:21 0.00179183 +21 *2090:20 *2930:23 0.00295602 +22 *2090:21 *6014:DIODE 5.01737e-05 +23 *2090:21 *22486:A_N 0 +24 *2090:21 *23592:TE 0 +25 *2090:21 *2135:41 0 +26 *2090:21 *2272:39 5.41992e-05 +27 *2090:21 *2345:44 4.21215e-05 +28 *2090:21 *2507:12 0.0045585 +29 *2090:21 *2636:14 0.000440041 +30 *2090:21 *2661:10 0 +31 *2090:21 *2916:43 0 +32 *2090:21 *2916:57 0 +33 *2090:21 *2919:85 0 +34 *2090:21 *2921:36 0 +35 *2090:21 *3189:12 0 +36 *2090:21 *3460:6 7.13185e-05 +37 *4136:DIODE *2090:21 7.13655e-06 +38 *4241:DIODE *2090:7 7.13655e-06 +39 *22361:A *2090:21 1.25165e-05 +40 *22486:B *2090:21 8.85729e-06 +41 *22507:A *2090:7 0.000122083 +42 *1150:9 *2090:20 0.0214043 +43 *1325:8 *2090:21 0.00113518 +44 *1442:8 *2090:21 0.000434077 +45 *1695:9 *2090:7 0 +46 *1952:43 *2090:21 2.91426e-05 +47 *1990:28 *2090:20 0.00192329 +48 *1990:40 *2090:20 0.000453886 +49 *1991:26 *2090:7 0 +50 *2086:18 *2090:7 0.000519907 +*RES +1 *22570:HI[287] *2090:7 47.3265 +2 *2090:7 *2090:20 43.2367 +3 *2090:20 *2090:21 123.293 +4 *2090:21 *2090:23 4.5 +5 *2090:23 *6475:DIODE 9.24915 +6 *2090:23 *23584:TE 12.0704 +*END + +*D_NET *2091 0.114209 +*CONN +*I *23585:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6477:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[288] O *D mprj_logic_high +*CAP +1 *23585:TE 6.93254e-05 +2 *6477:DIODE 0 +3 *22570:HI[288] 0.0117993 +4 *2091:19 6.93254e-05 +5 *2091:17 0.0114922 +6 *2091:10 0.0232914 +7 *2091:10 *2101:20 3.16715e-05 +8 *2091:10 *2109:14 0.000130331 +9 *2091:10 *2120:10 6.37315e-05 +10 *2091:10 *2132:25 9.11729e-05 +11 *2091:10 *2135:20 7.23386e-05 +12 *2091:10 *2174:19 0.0028939 +13 *2091:10 *2194:23 0.00223603 +14 *2091:10 *2209:27 3.25639e-05 +15 *2091:10 *2210:19 0.00226939 +16 *2091:10 *2219:19 0.000160292 +17 *2091:10 *2220:19 2.44508e-05 +18 *2091:10 *2224:23 0.000693334 +19 *2091:10 *2228:29 0.000298327 +20 *2091:10 *2237:27 0.000577963 +21 *2091:10 *2367:23 0.00103401 +22 *2091:10 *2896:17 9.63937e-05 +23 *2091:17 *2108:24 0.024723 +24 *2091:17 *2126:25 0 +25 *2091:17 *2339:8 0 +26 *2091:17 *2354:6 0.000146194 +27 *2091:17 *2437:22 0.000964331 +28 *2091:17 *2621:26 5.93953e-05 +29 *2091:17 *2902:15 0.00423065 +30 *2091:17 *2907:22 0 +31 *2091:17 *2908:28 0.000114471 +32 *2091:17 *3171:7 0.00010238 +33 *2091:17 *3239:21 0.00181424 +34 *2091:17 *3273:23 0.000142138 +35 *1173:21 *2091:10 0.000141764 +36 *1448:11 *23585:TE 0.000122378 +37 *1955:28 *2091:10 0.00635498 +38 *1959:10 *2091:10 0.000108607 +39 *1984:34 *2091:17 0.00302503 +40 *1994:8 *2091:10 0.000130331 +41 *1996:20 *2091:10 3.74181e-06 +42 *1998:10 *2091:10 0.00357509 +43 *2013:8 *2091:10 0.000220514 +44 *2014:14 *2091:10 0.000244144 +45 *2023:19 *2091:10 0.000306525 +46 *2024:29 *2091:10 0.000217765 +47 *2029:30 *2091:10 0.000784628 +48 *2042:19 *2091:10 0.00602096 +49 *2043:23 *2091:10 0.00152228 +50 *2059:20 *2091:10 0.000220554 +51 *2073:22 *2091:10 0.000568897 +52 *2078:29 *2091:10 0.000560096 +53 *2078:29 *2091:17 0.000229679 +54 *2083:18 *2091:10 9.36276e-05 +55 *2087:38 *2091:10 0 +56 *2087:47 *2091:17 3.27475e-05 +*RES +1 *22570:HI[288] *2091:10 47.2675 +2 *2091:10 *2091:17 49.9322 +3 *2091:17 *2091:19 4.5 +4 *2091:19 *6477:DIODE 9.24915 +5 *2091:19 *23585:TE 11.5158 +*END + +*D_NET *2092 0.0929787 +*CONN +*I *23586:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6479:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[289] O *D mprj_logic_high +*CAP +1 *23586:TE 3.24863e-05 +2 *6479:DIODE 4.18965e-05 +3 *22570:HI[289] 0.00186033 +4 *2092:57 0.00195309 +5 *2092:55 0.0020749 +6 *2092:49 0.00207795 +7 *2092:48 0.00188175 +8 *2092:46 0.00458418 +9 *2092:30 0.00553776 +10 *2092:28 0.00140541 +11 *2092:24 0.000673459 +12 *2092:16 0.00191834 +13 *2092:9 0.00355703 +14 *23586:TE *2540:24 1.90335e-05 +15 *2092:9 *2100:10 0 +16 *2092:9 *2213:20 7.45671e-05 +17 *2092:9 *2214:19 0.00022142 +18 *2092:9 *2757:10 0.000657023 +19 *2092:9 *3140:20 0.000934746 +20 *2092:16 *23314:B 0.000258142 +21 *2092:16 *2214:22 0.000164829 +22 *2092:16 *2219:20 0.000261951 +23 *2092:16 *3228:37 0.000366463 +24 *2092:16 *3406:63 0.000398266 +25 *2092:16 *3439:39 0.000982321 +26 *2092:24 *23317:B 0 +27 *2092:24 *23445:A 0.000185459 +28 *2092:24 *2349:57 0.000761306 +29 *2092:24 *2763:13 8.98943e-05 +30 *2092:24 *3406:60 2.13679e-05 +31 *2092:24 *3406:63 0.000355808 +32 *2092:28 *2349:51 0.000160617 +33 *2092:28 *2349:57 0.00112449 +34 *2092:28 *3406:49 1.33298e-05 +35 *2092:28 *3406:60 0.00256172 +36 *2092:30 *5954:DIODE 0.000370787 +37 *2092:30 *5957:DIODE 6.92705e-05 +38 *2092:30 *23328:B 0.000217951 +39 *2092:30 *23456:A 2.15348e-05 +40 *2092:30 *2235:35 0.00012601 +41 *2092:30 *2349:51 0.00139935 +42 *2092:30 *2770:9 0.000574696 +43 *2092:30 *3406:40 0.00379304 +44 *2092:30 *3439:33 3.163e-05 +45 *2092:46 *2122:39 0.0163963 +46 *2092:46 *2235:35 0.000511585 +47 *2092:46 *2268:45 0.0151124 +48 *2092:46 *2482:40 4.16807e-05 +49 *2092:46 *2490:30 0.000900753 +50 *2092:46 *2515:16 3.10964e-05 +51 *2092:46 *2516:17 0.000129969 +52 *2092:46 *2776:13 1.5714e-05 +53 *2092:49 *2505:16 0.00161132 +54 *2092:49 *2667:9 0.00151918 +55 *2092:49 *2809:10 0 +56 *2092:55 *2634:38 9.29751e-05 +57 *2092:55 *2668:12 6.50891e-05 +58 *2092:57 *2440:6 0 +59 *2092:57 *2540:24 0.000569775 +60 *2092:57 *2634:38 0.000404864 +61 *2092:57 *2668:12 0.00011286 +62 *6211:DIODE *2092:30 6.50586e-05 +63 *6219:DIODE *2092:30 0.000270044 +64 *1156:11 *2092:46 0.001331 +65 *1158:9 *2092:46 0.00580571 +66 *1159:9 *2092:46 2.05448e-05 +67 *1159:18 *2092:46 0.00132583 +68 *1159:39 *2092:24 0.000107496 +69 *1320:9 *2092:57 0.000715555 +70 *1330:8 *2092:49 0.000377339 +71 *1444:9 *23586:TE 0 +72 *1444:9 *2092:57 0 +73 *1449:13 *6479:DIODE 6.50586e-05 +74 *1450:8 *23586:TE 8.62625e-06 +75 *1450:8 *2092:57 0.000884766 +76 *1451:9 *2092:49 0 +77 *1564:5 *2092:16 9.58237e-05 +78 *1575:5 *2092:30 0.000487686 +79 *1687:9 *2092:9 0 +80 *1704:10 *2092:46 2.86353e-06 +81 *1842:10 *2092:49 0 +82 *1960:7 *2092:9 0 +83 *1963:13 *2092:9 0 +84 *1969:9 *2092:9 3.72306e-06 +85 *1990:9 *2092:16 1.94327e-05 +86 *1990:28 *2092:16 6.09999e-05 +*RES +1 *22570:HI[289] *2092:9 47.6129 +2 *2092:9 *2092:16 46.5249 +3 *2092:16 *2092:24 19.8986 +4 *2092:24 *2092:28 30.6961 +5 *2092:28 *2092:30 56.7384 +6 *2092:30 *2092:46 48.8621 +7 *2092:46 *2092:48 3.36879 +8 *2092:48 *2092:49 52.4928 +9 *2092:49 *2092:55 5.37385 +10 *2092:55 *2092:57 51.1859 +11 *2092:57 *6479:DIODE 14.4725 +12 *2092:57 *23586:TE 14.7506 +*END + +*D_NET *2093 0.0383941 +*CONN +*I *4967:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22512:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[28] O *D mprj_logic_high +*CAP +1 *4967:DIODE 0 +2 *22512:TE 1.78729e-05 +3 *22570:HI[28] 0.000954176 +4 *2093:22 0.00118107 +5 *2093:21 0.00157355 +6 *2093:16 0.00316553 +7 *2093:14 0.00310904 +8 *2093:10 0.00130804 +9 *2093:10 *2105:8 9.54739e-05 +10 *2093:10 *2135:20 0.000108467 +11 *2093:10 *2368:23 0.00116952 +12 *2093:10 *2378:9 0.000321932 +13 *2093:10 *3073:41 0.000287109 +14 *2093:14 *2368:23 2.48346e-05 +15 *2093:14 *3123:17 0.00255982 +16 *2093:16 *23405:A 3.59302e-05 +17 *2093:16 *3123:8 0.000364072 +18 *2093:16 *3123:17 0.000841494 +19 *2093:21 *2497:14 0.000804447 +20 *2093:21 *2578:6 0.000122778 +21 *2093:21 *2860:12 0.000483553 +22 *2093:22 *3778:DIODE 0.000252649 +23 *2093:22 *2857:21 0.00132676 +24 *6117:DIODE *2093:16 8.14875e-05 +25 *23144:A *2093:22 0.000319685 +26 *1153:57 *22512:TE 0.000228593 +27 *1153:57 *2093:22 0.00633369 +28 *1393:13 *2093:22 0.00119053 +29 *1652:8 *2093:16 0.000909802 +30 *1895:29 *2093:22 7.6719e-06 +31 *1896:27 *2093:22 0.000937882 +32 *2040:14 *2093:10 0.00215688 +33 *2060:24 *22512:TE 0.000103943 +34 *2060:24 *2093:22 0.00251068 +35 *2071:12 *2093:10 0.000336771 +36 *2071:12 *2093:14 0.00263304 +37 *2071:31 *2093:22 0.000535273 +*RES +1 *22570:HI[28] *2093:10 48.5028 +2 *2093:10 *2093:14 29.8642 +3 *2093:14 *2093:16 45.6463 +4 *2093:16 *2093:21 24.5353 +5 *2093:21 *2093:22 94.4514 +6 *2093:22 *22512:TE 11.6364 +7 *2093:22 *4967:DIODE 9.24915 +*END + +*D_NET *2094 0.0837891 +*CONN +*I *23587:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6481:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[290] O *D mprj_logic_high +*CAP +1 *23587:TE 1.81917e-05 +2 *6481:DIODE 1.37206e-05 +3 *22570:HI[290] 0.00264203 +4 *2094:18 5.62848e-05 +5 *2094:15 0.00463041 +6 *2094:13 0.00523429 +7 *2094:8 0.00282234 +8 *2094:7 0.00219408 +9 *2094:5 0.00264203 +10 *6481:DIODE *2134:36 7.97944e-05 +11 *23587:TE *2134:38 1.09551e-05 +12 *2094:5 *22937:A 5.03039e-05 +13 *2094:5 *23449:A 7.08723e-06 +14 *2094:5 *2098:11 0.000122418 +15 *2094:5 *2100:23 1.25575e-05 +16 *2094:5 *2132:25 0.000109416 +17 *2094:5 *2228:29 0 +18 *2094:5 *2365:27 4.69204e-06 +19 *2094:5 *2769:8 0 +20 *2094:5 *2908:21 0.000150962 +21 *2094:5 *3228:24 0.000216623 +22 *2094:5 *3316:9 1.51401e-05 +23 *2094:8 *2111:22 0.000214721 +24 *2094:8 *2627:11 0.000438907 +25 *2094:8 *2630:11 0.000254324 +26 *2094:8 *2633:23 9.82896e-06 +27 *2094:8 *2644:9 0.00485904 +28 *2094:8 *2800:9 0.00723611 +29 *2094:8 *2902:15 0.000325835 +30 *2094:8 *2913:11 0.0247281 +31 *2094:8 *2914:11 0.000934105 +32 *2094:8 *2927:9 0.00223996 +33 *2094:8 *2966:15 0.00254822 +34 *2094:8 *3045:13 0.00156478 +35 *2094:13 *22849:A 0 +36 *2094:13 *2521:14 0 +37 *2094:13 *2669:6 8.64872e-05 +38 *2094:15 *23233:B 5.41377e-05 +39 *2094:15 *2265:27 9.19644e-05 +40 *2094:15 *2521:14 0 +41 *2094:15 *2528:6 0 +42 *2094:15 *2551:12 0.00263853 +43 *2094:15 *2551:16 0.000830553 +44 *2094:15 *2669:6 0.000137694 +45 *2094:18 *2134:36 2.41483e-05 +46 *2094:18 *2134:40 0.000111708 +47 la_data_in_mprj[115] *2094:13 4.05768e-05 +48 la_data_in_mprj[79] *2094:5 0.000301588 +49 *3860:DIODE *2094:13 0.000110477 +50 *3958:DIODE *2094:5 1.71396e-05 +51 *4145:DIODE *2094:13 0 +52 *4241:DIODE *2094:5 6.29689e-05 +53 *21814:A *2094:13 0 +54 *22507:A *2094:5 2.7961e-05 +55 *23193:A *2094:5 4.78118e-05 +56 *23587:A *23587:TE 0 +57 *492:5 *2094:5 3.50347e-05 +58 *532:5 *2094:13 0 +59 *788:8 *2094:13 0.000157902 +60 *1197:21 *2094:15 1.47102e-05 +61 *1450:9 *6481:DIODE 0.000175485 +62 *1450:9 *2094:18 0.000148652 +63 *1606:9 *2094:15 0.000282109 +64 *1696:8 *2094:5 0.000369468 +65 *2080:26 *2094:8 0.0116347 +66 *2090:7 *2094:5 0 +*RES +1 *22570:HI[290] *2094:5 56.7674 +2 *2094:5 *2094:7 4.5 +3 *2094:7 *2094:8 307.419 +4 *2094:8 *2094:13 18.7285 +5 *2094:13 *2094:15 110.591 +6 *2094:15 *2094:18 6.3326 +7 *2094:18 *6481:DIODE 11.0817 +8 *2094:18 *23587:TE 9.82786 +*END + +*D_NET *2095 0.0925349 +*CONN +*I *23588:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6483:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[291] O *D mprj_logic_high +*CAP +1 *23588:TE 2.07666e-05 +2 *6483:DIODE 0 +3 *22570:HI[291] 0.000146329 +4 *2095:18 0.000130585 +5 *2095:14 0.0016735 +6 *2095:13 0.00190335 +7 *2095:8 0.00503736 +8 *2095:7 0.00484402 +9 *23588:TE *2930:54 4.82966e-05 +10 *2095:8 *2254:41 0.00091238 +11 *2095:13 *2099:17 6.57445e-05 +12 *2095:13 *2100:49 2.25178e-05 +13 *2095:13 *2106:13 0.000449539 +14 *2095:13 *3028:28 0.000601089 +15 *2095:14 *2100:58 0.00350206 +16 *2095:14 *2100:60 0.00115145 +17 *2095:14 *2106:14 7.92757e-06 +18 *2095:14 *2925:37 0.0101616 +19 *2095:14 *2930:37 0.00105189 +20 *2095:14 *2930:43 1.19856e-05 +21 *2095:14 *2930:54 1.41291e-05 +22 *2095:18 *2925:37 7.24449e-05 +23 *2095:18 *2930:37 4.31603e-06 +24 *2095:18 *2930:54 0.000233727 +25 *6502:DIODE *2095:14 7.48797e-05 +26 *1180:13 *2095:14 0 +27 *1842:10 *23588:TE 3.14978e-05 +28 *1842:10 *2095:18 0.000137134 +29 *1954:25 *2095:8 0.000296903 +30 *1956:8 *2095:8 0.0318699 +31 *1959:14 *2095:8 0.0059902 +32 *1984:8 *2095:8 0.00414185 +33 *1987:25 *2095:13 3.3239e-06 +34 *1999:8 *2095:8 0.00150768 +35 *2001:7 *2095:7 4.29446e-05 +36 *2010:7 *2095:7 4.48305e-05 +37 *2032:8 *2095:8 0.015307 +38 *2032:12 *2095:8 0.000862306 +39 *2088:24 *2095:8 0.000157517 +*RES +1 *22570:HI[291] *2095:7 8.11514 +2 *2095:7 *2095:8 387.282 +3 *2095:8 *2095:13 21.2133 +4 *2095:13 *2095:14 110.535 +5 *2095:14 *2095:18 6.29355 +6 *2095:18 *6483:DIODE 9.24915 +7 *2095:18 *23588:TE 10.5271 +*END + +*D_NET *2096 0.0681497 +*CONN +*I *23590:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6487:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[292] O *D mprj_logic_high +*CAP +1 *23590:TE 0.000177503 +2 *6487:DIODE 0.000106127 +3 *22570:HI[292] 0.00867397 +4 *2096:27 0.0010885 +5 *2096:24 0.00947884 +6 *6487:DIODE *2101:32 0.000164829 +7 *23590:TE *2307:12 6.08467e-05 +8 *23590:TE *2916:22 0.000198111 +9 *23590:TE *2920:48 4.43281e-05 +10 *2096:24 *2190:33 0.000704767 +11 *2096:24 *2195:25 0.00170896 +12 *2096:24 *2209:27 8.88259e-05 +13 *2096:24 *2226:33 0.000178149 +14 *2096:24 *2253:28 0.000244996 +15 *2096:24 *2258:25 0.0010329 +16 *2096:24 *2266:23 0.00789448 +17 *2096:24 *2267:19 0.00652071 +18 *2096:27 *2506:14 0 +19 *2096:27 *2916:22 0.00102463 +20 *2096:27 *2920:48 4.58476e-05 +21 *1169:42 *2096:27 1.5714e-05 +22 *1945:34 *23590:TE 6.08467e-05 +23 *1968:36 *2096:24 0.00221685 +24 *1968:43 *2096:24 0 +25 *1983:26 *2096:24 0.0255004 +26 *1986:19 *2096:24 0.000601604 +27 *2008:45 *2096:24 0.000159964 +28 *2026:37 *2096:24 4.09063e-05 +29 *2052:29 *2096:24 0.000116084 +*RES +1 *22570:HI[292] *2096:24 49.3227 +2 *2096:24 *2096:27 24.51 +3 *2096:27 *6487:DIODE 15.5817 +4 *2096:27 *23590:TE 18.7961 +*END + +*D_NET *2097 0.107288 +*CONN +*I *23591:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6489:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[293] O *D mprj_logic_high +*CAP +1 *23591:TE 5.24965e-05 +2 *6489:DIODE 0 +3 *22570:HI[293] 0.000417159 +4 *2097:19 7.01659e-05 +5 *2097:14 0.0149282 +6 *2097:13 0.0153277 +7 *23591:TE *2273:20 0.000164843 +8 *2097:13 *2159:30 0.000168069 +9 *2097:13 *2198:29 0.000920167 +10 *2097:13 *2739:21 8.49195e-05 +11 *2097:14 *2115:26 0.000101365 +12 *2097:14 *2121:27 0.000103349 +13 *2097:14 *2243:23 0.000225771 +14 *2097:14 *2248:18 0.000126814 +15 *2097:14 *2248:26 0.000343281 +16 *2097:14 *2252:27 0.000920026 +17 *2097:14 *2257:21 0.000120338 +18 *2097:14 *2261:21 3.72197e-05 +19 *2097:14 *2263:19 8.62976e-06 +20 *2097:14 *2265:23 0.00185769 +21 *2097:14 *2267:19 0.000100952 +22 *2097:14 *2268:28 2.29726e-05 +23 *2097:14 *2269:29 2.02298e-05 +24 *2097:14 *2272:27 0.000373434 +25 *2097:14 *2273:20 0.000212637 +26 *2097:14 *2275:10 0.000327383 +27 *2097:14 *2279:28 6.13853e-05 +28 *2097:14 *2284:17 1.93857e-05 +29 *2097:14 *2332:34 0.0205422 +30 *2097:14 *2924:35 0.000247269 +31 *2097:14 *3239:21 0.000259822 +32 *2097:19 *2353:18 7.12632e-06 +33 *2097:19 *3065:6 4.69495e-06 +34 *1155:9 *2097:14 0.0400817 +35 *1155:22 *2097:14 0.00620757 +36 *1169:42 *2097:14 0.00013423 +37 *1169:43 *2097:14 0.00114896 +38 *1171:9 *2097:14 0 +39 *1977:32 *2097:13 0.000116084 +40 *2075:27 *2097:13 0.00142191 +*RES +1 *22570:HI[293] *2097:13 7.7277 +2 *2097:13 *2097:14 66.7111 +3 *2097:14 *2097:19 8.2474 +4 *2097:19 *6489:DIODE 9.24915 +5 *2097:19 *23591:TE 11.0817 +*END + +*D_NET *2098 0.0554588 +*CONN +*I *23592:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6491:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[294] O *D mprj_logic_high +*CAP +1 *23592:TE 6.86466e-05 +2 *6491:DIODE 0.000101086 +3 *22570:HI[294] 0.000917512 +4 *2098:33 0.001492 +5 *2098:28 0.00186847 +6 *2098:25 0.000758733 +7 *2098:20 0.00163785 +8 *2098:19 0.00155584 +9 *2098:14 0.000740614 +10 *2098:13 0.000610096 +11 *2098:11 0.000917512 +12 *23592:TE *2137:34 0.000273916 +13 *23592:TE *2921:36 4.04861e-05 +14 *2098:11 *2102:7 0.000109449 +15 *2098:11 *2146:10 1.15389e-05 +16 *2098:11 *2151:24 0.00051501 +17 *2098:11 *2256:25 1.42713e-05 +18 *2098:11 *2378:9 0.0018577 +19 *2098:14 *2102:8 0.00303033 +20 *2098:14 *2112:10 8.03131e-05 +21 *2098:14 *2112:12 0.000564455 +22 *2098:19 *2110:21 2.1203e-06 +23 *2098:19 *2151:11 1.27831e-05 +24 *2098:20 *2263:25 0.000853357 +25 *2098:25 *2926:12 0.000317531 +26 *2098:25 *3250:18 0.000320956 +27 *2098:28 *23599:TE 0.000111722 +28 *2098:28 *2799:19 0.000105808 +29 *2098:28 *2799:29 0.000375312 +30 *2098:28 *2799:41 0.000111802 +31 *2098:33 *2272:39 0 +32 *2098:33 *2277:31 0.000121084 +33 *2098:33 *2799:41 0 +34 *2098:33 *2921:36 0.000971272 +35 *6504:DIODE *2098:28 0.000423936 +36 *22361:A *2098:33 2.12377e-05 +37 *1173:21 *2098:20 0.000947219 +38 *1198:41 *23592:TE 2.29454e-05 +39 *1198:52 *6491:DIODE 0.000171288 +40 *1198:52 *23592:TE 0.000222979 +41 *1213:19 *2098:28 0.000508754 +42 *1323:13 *2098:20 0.00230595 +43 *1323:17 *2098:20 0.00119924 +44 *1325:8 *2098:33 0.000179881 +45 *1954:10 *2098:14 0.000985839 +46 *1957:14 *2098:20 0.00482984 +47 *1962:10 *2098:28 0.00348359 +48 *1965:14 *2098:20 0.0129439 +49 *1968:54 *2098:33 1.9101e-05 +50 *1974:12 *2098:28 0.000287303 +51 *1976:17 *2098:14 0.0006213 +52 *1979:19 *2098:11 0 +53 *1981:8 *2098:11 0.00240891 +54 *1987:8 *2098:14 0.00112421 +55 *2005:10 *2098:19 2.78668e-05 +56 *2007:13 *2098:14 0.0011465 +57 *2048:19 *2098:20 0.000976399 +58 *2078:29 *2098:11 8.62625e-06 +59 *2090:21 *23592:TE 0 +60 *2094:5 *2098:11 0.000122418 +*RES +1 *22570:HI[294] *2098:11 48.9873 +2 *2098:11 *2098:13 4.5 +3 *2098:13 *2098:14 49.5285 +4 *2098:14 *2098:19 11.2472 +5 *2098:19 *2098:20 139.929 +6 *2098:20 *2098:25 15.815 +7 *2098:25 *2098:28 42.3818 +8 *2098:28 *2098:33 32.6339 +9 *2098:33 *6491:DIODE 15.5817 +10 *2098:33 *23592:TE 17.6924 +*END + +*D_NET *2099 0.0498697 +*CONN +*I *23593:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6493:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[295] O *D mprj_logic_high +*CAP +1 *23593:TE 0.000124667 +2 *6493:DIODE 0 +3 *22570:HI[295] 0.000669706 +4 *2099:18 0.00619264 +5 *2099:17 0.00670575 +6 *2099:8 0.00180297 +7 *2099:7 0.0018349 +8 *23593:TE *2505:16 0 +9 *2099:7 *2107:9 0 +10 *2099:7 *2112:10 0 +11 *2099:8 *2102:19 0.00127926 +12 *2099:8 *2113:8 0.000172649 +13 *2099:8 *2114:8 0.00816701 +14 *2099:8 *2146:10 0.000738926 +15 *2099:8 *2151:14 0.00219281 +16 *2099:17 *2106:13 0.000173105 +17 *2099:17 *2624:36 0 +18 *2099:17 *2634:28 2.14743e-05 +19 *2099:17 *3028:28 2.21643e-05 +20 *2099:18 *2112:24 0 +21 *1725:8 *23593:TE 2.28975e-05 +22 *1961:28 *2099:18 0.000495233 +23 *1961:38 *23593:TE 4.88955e-05 +24 *1961:38 *2099:18 1.61631e-05 +25 *1969:10 *2099:18 0.00953023 +26 *1975:16 *2099:18 0.000135825 +27 *1981:14 *2099:7 0 +28 *1987:16 *2099:8 0.00415605 +29 *1987:25 *2099:17 0.000605082 +30 *1992:12 *2099:8 0.000984487 +31 *2005:10 *2099:8 0.00278735 +32 *2005:12 *2099:8 0.000620643 +33 *2016:8 *2099:8 0.000190235 +34 *2084:7 *2099:7 0.000112846 +35 *2095:13 *2099:17 6.57445e-05 +*RES +1 *22570:HI[295] *2099:7 16.8354 +2 *2099:7 *2099:8 116.636 +3 *2099:8 *2099:17 24.6086 +4 *2099:17 *2099:18 116.636 +5 *2099:18 *6493:DIODE 9.24915 +6 *2099:18 *23593:TE 20.3893 +*END + +*D_NET *2100 0.0628991 +*CONN +*I *23594:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6495:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[296] O *D mprj_logic_high +*CAP +1 *23594:TE 6.48363e-05 +2 *6495:DIODE 0 +3 *22570:HI[296] 0.00213423 +4 *2100:60 0.00233911 +5 *2100:58 0.00298357 +6 *2100:49 0.0017631 +7 *2100:38 0.00153255 +8 *2100:28 0.0013624 +9 *2100:26 0.00121978 +10 *2100:23 0.00160127 +11 *2100:10 0.00339937 +12 *23594:TE *2930:37 5.18937e-05 +13 *2100:10 *2214:19 0.000747976 +14 *2100:10 *2372:11 0.000447948 +15 *2100:10 *2372:13 0.00108843 +16 *2100:23 *5944:DIODE 6.08467e-05 +17 *2100:23 *2136:17 0.000930779 +18 *2100:23 *2372:11 0.00270489 +19 *2100:23 *3362:32 0.000950321 +20 *2100:26 *2151:24 0.000736078 +21 *2100:26 *2372:7 0.000772633 +22 *2100:28 *2115:22 0.000231696 +23 *2100:28 *2136:17 0.000231712 +24 *2100:38 *5977:DIODE 4.58907e-05 +25 *2100:38 *2115:22 0.000181147 +26 *2100:49 *2106:13 9.3612e-05 +27 *2100:49 *2130:19 0.000467439 +28 *2100:49 *2266:23 7.25245e-05 +29 *2100:49 *2633:40 0.0010148 +30 *2100:49 *2920:36 0.000158558 +31 *2100:49 *2920:40 0.000486189 +32 *2100:49 *2922:25 6.50727e-05 +33 *2100:49 *2922:31 0.000475416 +34 *2100:49 *2922:39 0.000570895 +35 *2100:58 *23598:TE 0.000114898 +36 *2100:58 *2106:14 0.00126588 +37 *2100:60 *2930:37 7.48797e-05 +38 *6233:DIODE *2100:38 0.000208843 +39 *6502:DIODE *2100:60 0.000171288 +40 *22505:TE *2100:28 0.000273916 +41 *22511:A *2100:26 0.00021217 +42 *22511:TE *2100:26 6.3657e-05 +43 *22517:A *2100:26 1.99146e-05 +44 *22517:A *2100:28 4.63117e-05 +45 *1582:5 *2100:38 0.00269423 +46 *1957:13 *2100:49 7.36707e-05 +47 *1964:8 *2100:28 0.000305584 +48 *1964:14 *2100:28 2.39581e-05 +49 *1964:14 *2100:38 0.00367422 +50 *1964:14 *2100:49 1.43895e-05 +51 *1967:16 *2100:26 0.000522908 +52 *1967:28 *2100:28 0.00812832 +53 *1967:28 *2100:38 6.34221e-05 +54 *1967:40 *2100:38 0.000114898 +55 *1967:40 *2100:49 0.00115468 +56 *1978:9 *2100:10 0 +57 *1981:7 *2100:10 0 +58 *1987:25 *2100:49 0.000138 +59 *2070:7 *2100:23 7.31749e-05 +60 *2086:18 *2100:26 0.00112147 +61 *2086:26 *2100:26 0.000110009 +62 *2086:26 *2100:28 0.00050578 +63 *2086:28 *2100:28 0.005965 +64 *2090:7 *2100:23 8.8078e-05 +65 *2092:9 *2100:10 0 +66 *2094:5 *2100:23 1.25575e-05 +67 *2095:13 *2100:49 2.25178e-05 +68 *2095:14 *2100:58 0.00350206 +69 *2095:14 *2100:60 0.00115145 +*RES +1 *22570:HI[296] *2100:10 45.3061 +2 *2100:10 *2100:23 49.9608 +3 *2100:23 *2100:26 25.7047 +4 *2100:26 *2100:28 88.9054 +5 *2100:28 *2100:38 42.1378 +6 *2100:38 *2100:49 48.1428 +7 *2100:49 *2100:58 47.313 +8 *2100:58 *2100:60 55.9065 +9 *2100:60 *6495:DIODE 9.24915 +10 *2100:60 *23594:TE 11.1059 +*END + +*D_NET *2101 0.115132 +*CONN +*I *23595:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6497:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[297] O *D mprj_logic_high +*CAP +1 *23595:TE 0 +2 *6497:DIODE 0.000160449 +3 *22570:HI[297] 0.00450074 +4 *2101:54 0.000454351 +5 *2101:44 0.0017988 +6 *2101:43 0.00157311 +7 *2101:38 0.00418134 +8 *2101:37 0.00418909 +9 *2101:32 0.00613143 +10 *2101:31 0.00632352 +11 *2101:28 0.000713491 +12 *2101:25 0.000493326 +13 *2101:20 0.00454862 +14 *6497:DIODE *2121:39 3.29488e-05 +15 *6497:DIODE *2500:10 3.32553e-05 +16 *6497:DIODE *2796:50 3.02446e-05 +17 *2101:20 *2123:19 0.00140676 +18 *2101:20 *2150:7 0 +19 *2101:20 *2159:30 0.000116084 +20 *2101:20 *2167:24 0.000116084 +21 *2101:20 *2179:25 0.000160739 +22 *2101:20 *2366:5 0.00013507 +23 *2101:20 *2367:23 0.00143399 +24 *2101:20 *2372:29 0.00587007 +25 *2101:20 *2378:17 0.000536932 +26 *2101:20 *2592:15 0 +27 *2101:20 *2593:11 0 +28 *2101:20 *2736:17 3.74259e-05 +29 *2101:20 *2885:18 0.00026151 +30 *2101:20 *3073:33 0.00372034 +31 *2101:20 *3073:41 6.65275e-06 +32 *2101:20 *3273:33 0.000233832 +33 *2101:20 *3317:40 0.000143836 +34 *2101:28 *2166:10 0.00123813 +35 *2101:28 *2180:38 0.000103884 +36 *2101:28 *2186:47 0.000109075 +37 *2101:31 *2182:9 4.48384e-05 +38 *2101:31 *2183:7 0.000219804 +39 *2101:32 *5090:DIODE 6.08467e-05 +40 *2101:32 *2137:24 0.0308482 +41 *2101:32 *2239:13 0.000737294 +42 *2101:32 *2241:28 6.95171e-05 +43 *2101:32 *2297:8 0.000826573 +44 *2101:32 *2324:10 0.00319985 +45 *2101:32 *3117:9 0.000558334 +46 *2101:32 *3125:23 0.00333452 +47 *2101:37 *2359:6 3.73754e-05 +48 *2101:37 *2917:12 0 +49 *2101:38 *2297:12 0.00493601 +50 *2101:43 *2351:6 1.69247e-05 +51 *2101:44 *3509:DIODE 6.98314e-05 +52 *2101:44 *2121:39 0.000108607 +53 *2101:44 *2137:40 0.00422283 +54 *2101:44 *2137:48 0.000324166 +55 *2101:54 *2137:48 0.000166264 +56 *2101:54 *2137:50 0.000111722 +57 *2101:54 *2423:14 8.80405e-06 +58 *2101:54 *2573:12 4.99151e-05 +59 *2101:54 *3069:9 4.56752e-05 +60 *5089:DIODE *2101:32 0.000400335 +61 *6487:DIODE *2101:32 0.000164829 +62 *22253:A *6497:DIODE 2.43314e-05 +63 *22253:A *2101:54 6.08467e-05 +64 *22253:TE *2101:54 6.3657e-05 +65 *1160:51 *2101:32 0.000341986 +66 *1169:43 *2101:28 0.00384535 +67 *1169:63 *2101:25 0 +68 *1181:19 *2101:38 0.000570356 +69 *1185:17 *2101:44 2.97286e-05 +70 *1185:17 *2101:54 0.000942866 +71 *1190:33 *6497:DIODE 6.50727e-05 +72 *1191:20 *2101:43 9.07365e-05 +73 *1191:23 *2101:38 0.000534487 +74 *1198:57 *2101:32 1.77716e-05 +75 *1459:10 *2101:44 0.000161243 +76 *1729:11 *2101:54 0.000113968 +77 *1932:61 *2101:25 1.05272e-06 +78 *1945:22 *2101:28 0.00117538 +79 *1982:13 *2101:20 2.06195e-05 +80 *2021:34 *2101:20 1.80692e-05 +81 *2023:19 *2101:20 0.00175762 +82 *2036:20 *2101:32 0.00200575 +83 *2042:19 *2101:20 0.000162649 +84 *2054:19 *2101:20 0.00140569 +85 *2061:19 *2101:20 0 +86 *2063:10 *2101:20 0 +87 *2087:21 *2101:20 0.000113329 +88 *2089:19 *2101:32 0.000219662 +89 *2091:10 *2101:20 3.16715e-05 +*RES +1 *22570:HI[297] *2101:20 49.7081 +2 *2101:20 *2101:25 8.66265 +3 *2101:25 *2101:28 46.2641 +4 *2101:28 *2101:31 10.4845 +5 *2101:31 *2101:32 335.704 +6 *2101:32 *2101:37 10.832 +7 *2101:37 *2101:38 72.8219 +8 *2101:38 *2101:43 10.832 +9 *2101:43 *2101:44 48.9739 +10 *2101:44 *2101:54 22.5645 +11 *2101:54 *6497:DIODE 21.635 +12 *2101:54 *23595:TE 9.24915 +*END + +*D_NET *2102 0.0804493 +*CONN +*I *23596:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6499:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[298] O *D mprj_logic_high +*CAP +1 *23596:TE 9.34923e-06 +2 *6499:DIODE 0 +3 *22570:HI[298] 0.000523648 +4 *2102:25 9.34923e-06 +5 *2102:23 0.00175511 +6 *2102:22 0.00175511 +7 *2102:20 0.0126519 +8 *2102:19 0.0129972 +9 *2102:8 0.000950704 +10 *2102:7 0.00112906 +11 *23596:TE *2123:30 6.50727e-05 +12 *2102:7 *2106:7 2.12564e-05 +13 *2102:8 *2112:10 1.92172e-05 +14 *2102:19 *2113:7 9.7112e-06 +15 *2102:19 *2140:7 7.60356e-05 +16 *2102:19 *2146:9 2.05972e-05 +17 *2102:19 *2404:47 2.9167e-05 +18 *2102:20 *2112:12 0.00847273 +19 *2102:20 *2113:8 0.0222132 +20 *2102:20 *2120:10 0.000810968 +21 *2102:20 *2519:15 0.000177535 +22 *2102:20 *2797:19 0.000338626 +23 *2102:23 *2355:10 0.000523927 +24 *2102:23 *2618:20 0.000275615 +25 *2102:23 *2618:24 0.00138206 +26 *2102:23 *2932:32 0.00101365 +27 *1178:21 *23596:TE 6.50727e-05 +28 *1964:7 *2102:19 3.13066e-05 +29 *1981:8 *2102:8 0.000195798 +30 *1995:12 *2102:20 3.71876e-05 +31 *2007:13 *2102:8 1.37531e-05 +32 *2016:8 *2102:19 0.00128627 +33 *2069:7 *2102:7 0 +34 *2069:8 *2102:8 0.00476058 +35 *2069:29 *2102:19 2.43314e-05 +36 *2078:8 *2102:8 0.00219719 +37 *2086:61 *2102:20 0.000188063 +38 *2098:11 *2102:7 0.000109449 +39 *2098:14 *2102:8 0.00303033 +40 *2099:8 *2102:19 0.00127926 +*RES +1 *22570:HI[298] *2102:7 15.1744 +2 *2102:7 *2102:8 57.293 +3 *2102:8 *2102:19 36.1131 +4 *2102:19 *2102:20 300.209 +5 *2102:20 *2102:22 4.5 +6 *2102:22 *2102:23 52.4928 +7 *2102:23 *2102:25 4.5 +8 *2102:25 *6499:DIODE 9.24915 +9 *2102:25 *23596:TE 9.97254 +*END + +*D_NET *2103 0.119406 +*CONN +*I *23597:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6501:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[299] O *D mprj_logic_high +*CAP +1 *23597:TE 7.47648e-05 +2 *6501:DIODE 0 +3 *22570:HI[299] 0.00240264 +4 *2103:25 7.47648e-05 +5 *2103:23 0.00470229 +6 *2103:22 0.00470229 +7 *2103:20 0.00559205 +8 *2103:19 0.00798671 +9 *2103:13 0.00286935 +10 *2103:9 0.00287733 +11 *2103:9 *2219:19 0 +12 *2103:9 *2221:19 0 +13 *2103:9 *2760:11 0 +14 *2103:9 *2761:11 0 +15 *2103:9 *3262:26 5.24492e-05 +16 *2103:13 *22931:A 0 +17 *2103:13 *2760:11 0 +18 *2103:19 *2899:21 0.00289438 +19 *2103:19 *2961:31 0.000319554 +20 *2103:20 *2111:22 0.000467449 +21 *2103:20 *2118:19 4.34438e-05 +22 *2103:20 *2619:19 0.00513765 +23 *2103:20 *2907:22 0.00350719 +24 *2103:20 *2914:11 0.00285791 +25 *2103:20 *2937:9 0.036218 +26 *2103:20 *2961:30 0.000326512 +27 *2103:20 *3045:13 0.0289055 +28 *2103:23 *2273:35 2.89873e-05 +29 *2103:23 *2274:33 0 +30 *2103:23 *2413:12 0.00114166 +31 *2103:23 *2530:14 0.000617316 +32 *2103:23 *2530:16 0.00205168 +33 *2103:23 *2678:8 0 +34 *2103:23 *2679:11 0 +35 *2103:23 *2812:43 0.000157433 +36 *3870:DIODE *2103:23 0.000159174 +37 *4235:DIODE *2103:13 7.98366e-05 +38 *22246:TE *2103:23 4.2266e-05 +39 *413:5 *2103:23 0.000147998 +40 *491:9 *2103:19 2.51608e-05 +41 *614:8 *2103:13 3.22211e-05 +42 *619:5 *2103:19 2.25226e-05 +43 *870:8 *2103:13 0.000107855 +44 *1194:11 *23597:TE 0.000118166 +45 *1228:13 *2103:23 0.000181333 +46 *1617:8 *2103:23 0.000633761 +47 *1721:10 *2103:23 0.000395466 +48 *1722:10 *2103:23 0.000500372 +49 *1726:10 *2103:23 0.000101133 +50 *1980:67 *2103:23 0 +51 *2011:7 *2103:9 0 +52 *2049:8 *2103:9 0.000240868 +53 *2080:26 *2103:20 0.000608269 +*RES +1 *22570:HI[299] *2103:9 44.9083 +2 *2103:9 *2103:13 15.5042 +3 *2103:13 *2103:19 49.5934 +4 *2103:19 *2103:20 52.1397 +5 *2103:20 *2103:22 3.36879 +6 *2103:22 *2103:23 129.107 +7 *2103:23 *2103:25 4.5 +8 *2103:25 *6501:DIODE 9.24915 +9 *2103:25 *23597:TE 11.5158 +*END + +*D_NET *2104 0.00292356 +*CONN +*I *22513:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4969:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[29] O *D mprj_logic_high +*CAP +1 *22513:TE 0 +2 *4969:DIODE 7.02005e-06 +3 *22570:HI[29] 0.000858203 +4 *2104:8 0.000865223 +5 *4969:DIODE *2372:13 0.000122378 +6 *4969:DIODE *2374:9 0.000122378 +7 *2104:8 *2209:27 5.30087e-05 +8 *2104:8 *2372:13 0.000308614 +9 *2104:8 *2374:9 0.000321985 +10 *2104:8 *3408:19 3.24689e-05 +11 *2003:7 *2104:8 1.25142e-05 +12 *2009:19 *2104:8 0.000219768 +13 *2015:20 *2104:8 0 +*RES +1 *22570:HI[29] *2104:8 23.6539 +2 *2104:8 *4969:DIODE 10.5271 +3 *2104:8 *22513:TE 9.24915 +*END + +*D_NET *2105 0.0306143 +*CONN +*I *22535:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5013:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[2] O *D mprj_logic_high +*CAP +1 *22535:TE 0.000102511 +2 *5013:DIODE 0 +3 *22570:HI[2] 0.000872644 +4 *2105:29 0.000102511 +5 *2105:27 0.00160797 +6 *2105:24 0.00465871 +7 *2105:23 0.00370412 +8 *2105:16 0.00151992 +9 *2105:8 0.00173919 +10 *2105:16 *2129:16 0.0006145 +11 *2105:16 *2178:14 1.18914e-05 +12 *2105:16 *2869:22 3.59317e-05 +13 *2105:16 *3162:52 7.02939e-05 +14 *2105:23 *2178:14 0.00270095 +15 *2105:23 *2366:12 0.000210464 +16 *2105:23 *3151:58 0 +17 *2105:24 *3040:31 0.000831096 +18 *2105:27 *2448:8 0.00217549 +19 *2105:27 *2579:12 0 +20 *2105:27 *2762:20 5.74809e-05 +21 *2105:27 *2762:24 0.000586656 +22 *1262:12 *2105:24 0.0011754 +23 *1783:8 *22535:TE 0.000162583 +24 *1889:16 *2105:23 0.00212629 +25 *1891:20 *2105:24 0.000274077 +26 *1893:19 *2105:24 4.91225e-06 +27 *1893:21 *2105:24 6.04131e-05 +28 *2010:7 *2105:8 9.23427e-05 +29 *2010:8 *2105:8 0.000696012 +30 *2013:13 *2105:16 0.000177814 +31 *2032:24 *2105:16 0.000349228 +32 *2040:28 *2105:16 0.000188087 +33 *2063:10 *2105:8 0.001407 +34 *2071:12 *2105:8 0.000636026 +35 *2087:8 *2105:8 0.00156634 +36 *2093:10 *2105:8 9.54739e-05 +*RES +1 *22570:HI[2] *2105:8 47.095 +2 *2105:8 *2105:16 33.5839 +3 *2105:16 *2105:23 43.1636 +4 *2105:23 *2105:24 50.0831 +5 *2105:24 *2105:27 49.103 +6 *2105:27 *2105:29 4.5 +7 *2105:29 *5013:DIODE 9.24915 +8 *2105:29 *22535:TE 12.0704 +*END + +*D_NET *2106 0.0373068 +*CONN +*I *23598:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6503:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[300] O *D mprj_logic_high +*CAP +1 *23598:TE 8.8321e-05 +2 *6503:DIODE 0 +3 *22570:HI[300] 6.48118e-05 +4 *2106:14 0.00119554 +5 *2106:13 0.00135354 +6 *2106:8 0.00213454 +7 *2106:7 0.00195303 +8 *2106:7 *2110:21 0 +9 *2106:8 *2107:10 0.0104165 +10 *2106:8 *2267:25 0.000868956 +11 *2106:14 *2112:24 0 +12 *1962:10 *2106:8 0.0149089 +13 *1974:8 *2106:8 0.00194429 +14 *1987:25 *2106:13 6.9799e-05 +15 *2069:7 *2106:7 0 +16 *2088:24 *2106:8 0.000182363 +17 *2095:13 *2106:13 0.000449539 +18 *2095:14 *2106:14 7.92757e-06 +19 *2099:17 *2106:13 0.000173105 +20 *2100:49 *2106:13 9.3612e-05 +21 *2100:58 *23598:TE 0.000114898 +22 *2100:58 *2106:14 0.00126588 +23 *2102:7 *2106:7 2.12564e-05 +*RES +1 *22570:HI[300] *2106:7 6.03888 +2 *2106:7 *2106:8 163.222 +3 *2106:8 *2106:13 17.8913 +4 *2106:13 *2106:14 32.8904 +5 *2106:14 *6503:DIODE 9.24915 +6 *2106:14 *23598:TE 12.2151 +*END + +*D_NET *2107 0.0325354 +*CONN +*I *23599:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6505:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[301] O *D mprj_logic_high +*CAP +1 *23599:TE 4.41523e-05 +2 *6505:DIODE 0 +3 *22570:HI[301] 0.000172687 +4 *2107:18 0.00055318 +5 *2107:10 0.0019115 +6 *2107:9 0.00157515 +7 *2107:9 *2112:10 8.59127e-05 +8 *2107:18 *23471:A 0.000446543 +9 *2107:18 *2508:19 0.000119821 +10 *23471:B *2107:18 3.77961e-05 +11 *1962:10 *23599:TE 1.65872e-05 +12 *1962:10 *2107:10 0.000486227 +13 *1962:10 *2107:18 0.000690878 +14 *1974:8 *2107:10 0.0115183 +15 *1974:10 *2107:18 9.95922e-06 +16 *1974:12 *23599:TE 5.47093e-05 +17 *1974:12 *2107:10 1.67988e-05 +18 *1974:12 *2107:18 0.00300508 +19 *1981:22 *2107:10 0.000990777 +20 *2086:66 *2107:18 0.000271058 +21 *2098:28 *23599:TE 0.000111722 +22 *2099:7 *2107:9 0 +23 *2106:8 *2107:10 0.0104165 +*RES +1 *22570:HI[301] *2107:9 7.74874 +2 *2107:9 *2107:10 126.618 +3 *2107:10 *2107:18 36.9896 +4 *2107:18 *6505:DIODE 9.24915 +5 *2107:18 *23599:TE 11.0817 +*END + +*D_NET *2108 0.107611 +*CONN +*I *6255:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23474:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[302] O *D mprj_logic_high +*CAP +1 *6255:DIODE 0 +2 *23474:TE 7.40866e-05 +3 *22570:HI[302] 0.00540999 +4 *2108:27 8.48654e-05 +5 *2108:24 0.0188142 +6 *2108:22 0.0242134 +7 *23474:TE *2134:40 6.50727e-05 +8 *23474:TE *2134:42 1.09551e-05 +9 *23474:TE *2134:44 2.41483e-05 +10 *23474:TE *2528:25 0.000122378 +11 *23474:TE *3077:6 5.49913e-05 +12 *23474:TE *3199:16 0 +13 *2108:22 *2211:25 0.00115851 +14 *2108:22 *2341:36 0.00432402 +15 *2108:22 *2381:18 2.61574e-05 +16 *2108:22 *3040:8 2.61574e-05 +17 *2108:22 *3134:17 0.00545511 +18 *2108:22 *3217:22 0.00138938 +19 *2108:24 *2126:26 0.000101365 +20 *2108:24 *2129:56 0.00513222 +21 *2108:24 *2134:36 0.000212637 +22 *2108:24 *2341:36 0.00644049 +23 *2108:24 *2466:9 0.00166408 +24 *2108:24 *2808:29 0.00010238 +25 *2108:24 *2904:22 1.93857e-05 +26 *2108:24 *2907:22 1.93857e-05 +27 *2108:24 *3134:17 0.00136443 +28 *2108:24 *3143:35 1.93896e-05 +29 *2108:24 *3217:22 0.00195364 +30 *2108:24 *3273:23 0 +31 *2108:24 *3373:25 0.00113663 +32 *2108:24 *3431:13 0.00010238 +33 *2108:24 *3468:11 0.00010238 +34 *2108:27 *3077:6 1.10793e-05 +35 *1984:34 *2108:24 0.0015033 +36 *2002:16 *2108:22 6.21462e-05 +37 *2009:19 *2108:22 0 +38 *2015:20 *2108:22 4.96061e-06 +39 *2021:12 *2108:22 1.7161e-05 +40 *2026:19 *2108:22 3.29488e-05 +41 *2033:23 *2108:22 0.00160168 +42 *2043:23 *2108:22 1.05272e-06 +43 *2088:24 *2108:22 2.95956e-05 +44 *2091:17 *2108:24 0.024723 +*RES +1 *22570:HI[302] *2108:22 34.2469 +2 *2108:22 *2108:24 62.6571 +3 *2108:24 *2108:27 3.7474 +4 *2108:27 *23474:TE 16.6074 +5 *2108:27 *6255:DIODE 13.7491 +*END + +*D_NET *2109 0.127305 +*CONN +*I *23475:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6257:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[303] O *D mprj_logic_high +*CAP +1 *23475:TE 7.19535e-05 +2 *6257:DIODE 0 +3 *22570:HI[303] 0.00077989 +4 *2109:40 0.000161032 +5 *2109:37 0.00195668 +6 *2109:36 0.00586845 +7 *2109:32 0.00806316 +8 *2109:14 0.00721923 +9 *2109:13 0.00393682 +10 *2109:32 *2254:41 0.0105558 +11 *2109:32 *2279:28 7.69987e-05 +12 *2109:32 *2279:39 0.00349238 +13 *2109:32 *2924:19 0 +14 *2109:36 *2279:39 0.00453298 +15 *2109:37 *23366:A 1.05564e-05 +16 *2109:37 *2640:20 0.000218348 +17 *2109:37 *2798:24 0.00289863 +18 *2109:37 *2801:48 0.000144657 +19 *2109:37 *3199:16 0 +20 *2109:37 *3348:21 0.000267921 +21 *23117:A *2109:37 7.01586e-06 +22 *1173:21 *2109:14 0.0213107 +23 *1173:29 *2109:13 0.00145597 +24 *1185:16 *2109:37 2.01428e-05 +25 *1188:25 *23475:TE 0.000115934 +26 *1188:25 *2109:40 1.80257e-05 +27 *1200:38 *2109:37 1.5714e-05 +28 *1338:13 *23475:TE 5.04829e-06 +29 *1613:11 *2109:37 0 +30 *1615:16 *2109:37 1.94425e-05 +31 *1620:6 *2109:37 0.000164483 +32 *1957:8 *2109:14 0.00855896 +33 *1959:13 *2109:13 0 +34 *1959:14 *2109:32 0.000499631 +35 *1959:26 *2109:32 0.00010238 +36 *1972:19 *2109:36 0.000661856 +37 *1975:39 *2109:37 4.42142e-05 +38 *1978:10 *2109:14 0.000128746 +39 *1980:9 *2109:13 6.81208e-05 +40 *1981:22 *2109:32 0.0127757 +41 *1981:22 *2109:36 0.0140178 +42 *1998:10 *2109:13 0.00146254 +43 *2007:14 *2109:14 0.00224868 +44 *2013:8 *2109:14 0.00150102 +45 *2014:14 *2109:14 0.000850023 +46 *2017:8 *2109:14 0.0104472 +47 *2042:19 *2109:13 3.68704e-05 +48 *2060:7 *2109:13 0 +49 *2069:29 *2109:14 0.000341594 +50 *2086:39 *2109:32 4.15661e-05 +51 *2091:10 *2109:14 0.000130331 +*RES +1 *22570:HI[303] *2109:13 20.3079 +2 *2109:13 *2109:14 270.815 +3 *2109:14 *2109:32 34.3954 +4 *2109:32 *2109:36 22.5795 +5 *2109:36 *2109:37 56.8529 +6 *2109:37 *2109:40 5.778 +7 *2109:40 *6257:DIODE 9.24915 +8 *2109:40 *23475:TE 11.5158 +*END + +*D_NET *2110 0.0854268 +*CONN +*I *23476:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6259:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[304] O *D mprj_logic_high +*CAP +1 *23476:TE 8.93676e-05 +2 *6259:DIODE 0 +3 *22570:HI[304] 0.00313418 +4 *2110:43 0.00696742 +5 *2110:37 0.00959395 +6 *2110:34 0.00349781 +7 *2110:21 0.00391609 +8 *23476:TE *3347:7 1.82679e-05 +9 *2110:21 *2121:27 0.000370345 +10 *2110:21 *2126:25 0.00018461 +11 *2110:21 *2130:19 0.000118442 +12 *2110:21 *2131:20 0.00043895 +13 *2110:21 *2151:11 5.02333e-05 +14 *2110:21 *2254:35 0.000197996 +15 *2110:21 *2256:25 0.000928259 +16 *2110:34 *2151:11 8.23308e-05 +17 *2110:34 *2267:25 0.000475589 +18 *2110:37 *2118:19 0.000403365 +19 *2110:37 *2247:19 0.00238219 +20 *2110:37 *2489:17 0.000347865 +21 *2110:37 *2490:20 0 +22 *2110:37 *2492:28 0 +23 *2110:37 *3045:22 0.00273213 +24 *2110:43 *2124:34 0.02908 +25 *2110:43 *2133:30 0.00671761 +26 *2110:43 *2482:32 0 +27 *2110:43 *3060:6 1.66771e-05 +28 *2110:43 *3362:11 0.000508302 +29 *2110:43 *3456:13 0.000108607 +30 *1173:21 *2110:21 0.000158896 +31 *1962:10 *2110:34 0.00152651 +32 *1965:14 *2110:21 0.000768957 +33 *1970:57 *2110:21 0.000328877 +34 *1970:73 *2110:34 0.00102858 +35 *1973:10 *2110:34 2.62595e-05 +36 *1973:14 *2110:34 9.16123e-05 +37 *1981:22 *2110:34 6.82912e-05 +38 *1989:52 *2110:21 0.00134542 +39 *1994:7 *2110:21 7.50611e-05 +40 *2005:10 *2110:34 0.000305303 +41 *2022:22 *2110:21 0.000118501 +42 *2031:27 *2110:21 6.98716e-05 +43 *2048:19 *2110:21 0.000403073 +44 *2066:16 *2110:21 6.77316e-05 +45 *2069:7 *2110:21 4.6855e-05 +46 *2070:34 *2110:34 0.00136889 +47 *2070:43 *2110:43 5.83513e-05 +48 *2077:19 *2110:21 2.18904e-05 +49 *2081:20 *2110:21 0.0047871 +50 *2088:24 *2110:34 0.00039802 +51 *2088:33 *2110:37 0 +52 *2098:19 *2110:21 2.1203e-06 +53 *2106:7 *2110:21 0 +*RES +1 *22570:HI[304] *2110:21 44.835 +2 *2110:21 *2110:34 38.4185 +3 *2110:34 *2110:37 12.0861 +4 *2110:37 *2110:43 48.1869 +5 *2110:43 *6259:DIODE 9.24915 +6 *2110:43 *23476:TE 11.1059 +*END + +*D_NET *2111 0.112002 +*CONN +*I *6261:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23477:TE I *D sky130_fd_sc_hd__einvp_4 +*I *22570:HI[305] O *D mprj_logic_high +*CAP +1 *6261:DIODE 0 +2 *23477:TE 0.000122904 +3 *22570:HI[305] 0.00237712 +4 *2111:45 0.00869071 +5 *2111:39 0.0157053 +6 *2111:22 0.00758497 +7 *2111:20 0.000470525 +8 *2111:18 0.00154652 +9 *2111:16 0.00203362 +10 *2111:9 0.00288724 +11 *2111:9 *23310:A 4.16239e-05 +12 *2111:9 *2143:7 0 +13 *2111:9 *2612:17 0.000391765 +14 *2111:16 *5444:DIODE 9.85369e-05 +15 *2111:16 *22926:A 0.000236801 +16 *2111:16 *23310:A 0 +17 *2111:16 *2612:10 4.36805e-05 +18 *2111:16 *2612:17 8.62625e-06 +19 *2111:16 *2755:8 0 +20 *2111:16 *2961:31 7.96044e-05 +21 *2111:18 *2124:14 2.18145e-05 +22 *2111:18 *2124:16 0.0111141 +23 *2111:18 *2911:11 0.000525018 +24 *2111:18 *2915:13 0.000886768 +25 *2111:18 *2961:31 0.010253 +26 *2111:22 *2633:23 0.00210255 +27 *2111:22 *2911:11 7.02172e-06 +28 *2111:22 *2915:13 0.000535987 +29 *2111:22 *2915:24 0.00253232 +30 *2111:22 *2915:25 7.20265e-05 +31 *2111:39 *2243:23 6.41108e-05 +32 *2111:39 *2482:32 0.00355611 +33 *2111:39 *2493:30 0.000351826 +34 *2111:39 *2495:18 0.00354414 +35 *2111:39 *2504:42 0 +36 *2111:39 *2635:17 0.000735332 +37 *2111:39 *2636:11 0.0007662 +38 *2111:39 *2908:28 0 +39 *2111:39 *2925:17 8.15703e-05 +40 *2111:39 *2927:8 0.000131165 +41 *2111:39 *3406:22 0 +42 *2111:39 *3439:8 0 +43 *2111:39 *3478:45 5.71147e-05 +44 *2111:45 *2124:34 0 +45 *2111:45 *2125:20 0 +46 *2111:45 *2351:9 0.000118134 +47 *2111:45 *2357:10 0.000317601 +48 *2111:45 *2411:9 0.00021471 +49 *2111:45 *2440:15 0.00010238 +50 *2111:45 *2461:24 0.0018845 +51 *2111:45 *2468:26 0.00359092 +52 *2111:45 *2476:9 0.000115848 +53 *2111:45 *2482:32 0.00324921 +54 *2111:45 *2490:20 0.00736728 +55 *2111:45 *2495:18 0.00106204 +56 *2111:45 *2499:21 0.00229428 +57 *2111:45 *2501:24 0.000330656 +58 *2111:45 *3349:6 0.000317601 +59 *3946:DIODE *2111:16 6.9206e-05 +60 *481:10 *2111:16 4.24837e-05 +61 *609:16 *2111:16 2.82985e-05 +62 *864:10 *2111:16 0 +63 *892:7 *2111:39 4.99231e-05 +64 *1955:35 *2111:39 0.00290029 +65 *1966:13 *2111:39 0.000677923 +66 *2057:17 *2111:9 2.49945e-05 +67 *2080:14 *2111:16 0.000202039 +68 *2080:14 *2111:18 0.000103736 +69 *2080:26 *2111:18 0.00105047 +70 *2080:26 *2111:22 0.00554733 +71 *2094:8 *2111:22 0.000214721 +72 *2103:20 *2111:22 0.000467449 +*RES +1 *22570:HI[305] *2111:9 45.4701 +2 *2111:9 *2111:16 19.8538 +3 *2111:16 *2111:18 145.752 +4 *2111:18 *2111:20 0.578717 +5 *2111:20 *2111:22 63.3936 +6 *2111:22 *2111:39 47.6811 +7 *2111:39 *2111:45 43.483 +8 *2111:45 *23477:TE 12.0704 +9 *2111:45 *6261:DIODE 9.24915 +*END + +*D_NET *2112 0.0317717 +*CONN +*I *23478:TE I *D sky130_fd_sc_hd__einvp_8 +*I *6263:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[306] O *D mprj_logic_high +*CAP +1 *23478:TE 6.01308e-05 +2 *6263:DIODE 0 +3 *22570:HI[306] 0.000784195 +4 *2112:24 0.00196877 +5 *2112:23 0.00273376 +6 *2112:12 0.00208658 +7 *2112:10 0.00204565 +8 *23478:TE *3139:23 5.04829e-06 +9 *2112:10 *2121:27 1.23382e-05 +10 *2112:10 *2151:14 0.00148582 +11 *2112:12 *2113:8 4.0752e-05 +12 *2112:12 *2151:14 4.5066e-05 +13 *2112:23 *2250:15 1.55653e-05 +14 *2112:23 *2634:22 0.000167226 +15 *2112:23 *2791:6 7.09666e-06 +16 *2112:23 *2920:36 0.00031115 +17 *2112:23 *2920:40 1.07529e-05 +18 *2112:23 *2933:27 0.000277502 +19 *2112:23 *3028:28 0.0001125 +20 *2112:23 *3461:12 0.000688815 +21 *2112:24 *5992:DIODE 0.000423922 +22 *2112:24 *5993:DIODE 0.00031994 +23 *2112:24 *22497:A_N 6.08467e-05 +24 *2112:24 *2933:46 0.000632154 +25 *1954:10 *2112:12 0.00096109 +26 *1954:25 *2112:23 0.000183616 +27 *1961:24 *2112:24 0.000824599 +28 *1962:10 *2112:23 1.41689e-05 +29 *1973:18 *2112:23 0.00100102 +30 *1975:16 *23478:TE 1.58551e-05 +31 *1975:16 *2112:24 0.00053947 +32 *1976:17 *2112:10 0.000274447 +33 *1976:28 *2112:12 0.000716713 +34 *1981:14 *2112:10 0.00026636 +35 *1987:8 *2112:12 0.00115634 +36 *1995:12 *2112:12 3.61749e-05 +37 *2069:8 *2112:10 0.00046837 +38 *2070:16 *2112:10 0 +39 *2081:20 *2112:10 0.000183939 +40 *2086:39 *2112:12 0.00161138 +41 *2098:14 *2112:10 8.03131e-05 +42 *2098:14 *2112:12 0.000564455 +43 *2099:7 *2112:10 0 +44 *2099:18 *2112:24 0 +45 *2102:8 *2112:10 1.92172e-05 +46 *2102:20 *2112:12 0.00847273 +47 *2106:14 *2112:24 0 +48 *2107:9 *2112:10 8.59127e-05 +*RES +1 *22570:HI[306] *2112:10 33.2459 +2 *2112:10 *2112:12 95.006 +3 *2112:12 *2112:23 44.9672 +4 *2112:23 *2112:24 59.5114 +5 *2112:24 *6263:DIODE 9.24915 +6 *2112:24 *23478:TE 10.5271 +*END + +*D_NET *2113 0.0650817 +*CONN +*I *23479:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6265:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[307] O *D mprj_logic_high +*CAP +1 *23479:TE 3.8149e-05 +2 *6265:DIODE 4.99223e-05 +3 *22570:HI[307] 0.000548534 +4 *2113:20 0.000134926 +5 *2113:17 0.00191295 +6 *2113:14 0.00556627 +7 *2113:13 0.00382824 +8 *2113:8 0.00324454 +9 *2113:7 0.00366502 +10 *2113:7 *2140:7 6.59198e-05 +11 *2113:7 *2404:47 0.000493309 +12 *2113:8 *6016:DIODE 8.90486e-05 +13 *2113:8 *21322:A 2.41483e-05 +14 *2113:8 *2114:8 0.0120619 +15 *2113:8 *2114:12 0.0015378 +16 *2113:8 *2120:10 0.00108687 +17 *2113:8 *2151:14 0.000211464 +18 *2113:8 *2519:15 4.89898e-06 +19 *2113:8 *2797:19 0.000410797 +20 *2113:13 *23359:A 5.13937e-05 +21 *2113:13 *2562:16 9.12416e-06 +22 *2113:13 *2670:6 0.000197257 +23 *2113:13 *2803:16 3.38149e-05 +24 *2113:17 *2932:32 0 +25 *2113:17 *3052:14 0.0003764 +26 *6017:DIODE *2113:8 0.000271058 +27 *1162:18 *2113:17 6.22114e-05 +28 *1205:17 *6265:DIODE 9.18559e-06 +29 *1205:17 *2113:20 5.20546e-06 +30 *1210:8 *2113:17 8.42499e-05 +31 *1459:14 *2113:17 0.000116868 +32 *1462:10 *2113:8 0.00093565 +33 *1962:13 *2113:17 0.000687348 +34 *1965:40 *2113:14 0.00455724 +35 *1977:41 *2113:7 1.06507e-05 +36 *1995:32 *2113:14 0.000263035 +37 *2099:8 *2113:8 0.000172649 +38 *2102:19 *2113:7 9.7112e-06 +39 *2102:20 *2113:8 0.0222132 +40 *2112:12 *2113:8 4.0752e-05 +*RES +1 *22570:HI[307] *2113:7 16.0049 +2 *2113:7 *2113:8 241.976 +3 *2113:8 *2113:13 12.9083 +4 *2113:13 *2113:14 62.839 +5 *2113:14 *2113:17 49.103 +6 *2113:17 *2113:20 5.2234 +7 *2113:20 *6265:DIODE 9.97254 +8 *2113:20 *23479:TE 10.2378 +*END + +*D_NET *2114 0.0674223 +*CONN +*I *23480:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6267:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[308] O *D mprj_logic_high +*CAP +1 *23480:TE 4.13461e-05 +2 *6267:DIODE 4.18965e-05 +3 *22570:HI[308] 0.000677971 +4 *2114:25 0.00125364 +5 *2114:22 0.00315372 +6 *2114:20 0.00242234 +7 *2114:17 0.000825036 +8 *2114:12 0.00253967 +9 *2114:10 0.00219301 +10 *2114:8 0.00170804 +11 *2114:7 0.00234666 +12 *23480:TE *2813:30 4.49912e-05 +13 *2114:7 *2279:28 7.69987e-05 +14 *2114:12 *3512:DIODE 4.66492e-05 +15 *2114:12 *6016:DIODE 0.000381471 +16 *2114:12 *21322:A 0.000171273 +17 *2114:12 *2519:15 0.00241127 +18 *2114:12 *2909:21 0.00239412 +19 *2114:17 *2517:32 0.000530361 +20 *2114:17 *2797:16 7.09666e-06 +21 *2114:17 *2913:14 0.000192274 +22 *2114:20 *23235:A 6.26598e-05 +23 *2114:20 *2671:9 3.64685e-05 +24 *2114:22 *5777:DIODE 0.000120546 +25 *2114:22 *5788:DIODE 0.000107496 +26 *2114:22 *2823:9 0.00297189 +27 *2114:22 *3052:9 0.00394003 +28 *2114:25 *2535:16 0 +29 *2114:25 *2536:16 0 +30 *2114:25 *2543:8 0.00111851 +31 *2114:25 *2809:29 3.97379e-05 +32 *2114:25 *2813:30 0.00205321 +33 *4675:DIODE *2114:22 0.000159322 +34 *6017:DIODE *2114:12 4.82966e-05 +35 *22364:A *2114:22 0.000111708 +36 *1162:7 *6267:DIODE 6.50586e-05 +37 *1178:13 *2114:25 0 +38 *1184:16 *2114:25 0 +39 *1190:32 *2114:25 2.20585e-05 +40 *1328:17 *2114:22 0.00132601 +41 *1462:10 *2114:12 0.000329416 +42 *1482:10 *2114:22 0.00111582 +43 *1602:10 *2114:12 0.000477044 +44 *1840:11 *2114:20 0.00282548 +45 *1840:11 *2114:22 0.000449399 +46 *1840:15 *2114:22 0.00106913 +47 *1962:10 *2114:22 0.000177089 +48 *1966:13 *2114:7 4.06651e-05 +49 *1972:22 *2114:20 0.000145188 +50 *1973:40 *2114:22 6.24437e-05 +51 *1974:28 *2114:20 0.00185514 +52 *1984:33 *2114:7 1.17108e-05 +53 *1992:12 *2114:8 0.000810968 +54 *2005:10 *2114:7 6.66736e-05 +55 *2005:12 *2114:8 0.000576544 +56 *2099:8 *2114:8 0.00816701 +57 *2113:8 *2114:8 0.0120619 +58 *2113:8 *2114:12 0.0015378 +*RES +1 *22570:HI[308] *2114:7 16.4202 +2 *2114:7 *2114:8 130.223 +3 *2114:8 *2114:10 0.988641 +4 *2114:10 *2114:12 86.4097 +5 *2114:12 *2114:17 19.9675 +6 *2114:17 *2114:20 31.6606 +7 *2114:20 *2114:22 92.7876 +8 *2114:22 *2114:25 44.1199 +9 *2114:25 *6267:DIODE 14.4725 +10 *2114:25 *23480:TE 14.7506 +*END + +*D_NET *2115 0.104318 +*CONN +*I *23481:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6269:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[309] O *D mprj_logic_high +*CAP +1 *23481:TE 7.06255e-05 +2 *6269:DIODE 0 +3 *22570:HI[309] 0.00247819 +4 *2115:31 0.00131 +5 *2115:26 0.0163145 +6 *2115:25 0.0166067 +7 *2115:22 0.00421073 +8 *2115:19 0.00515731 +9 *2115:19 *2118:10 0.000147046 +10 *2115:19 *2120:10 0 +11 *2115:19 *2128:19 0.00161951 +12 *2115:19 *2136:17 0.00374651 +13 *2115:19 *2404:47 0.000110505 +14 *2115:22 *2136:37 0.0051949 +15 *2115:25 *2633:40 0.000378358 +16 *2115:25 *2920:40 0 +17 *2115:25 *3461:12 0.000713331 +18 *2115:26 *23360:A 0.000260374 +19 *2115:26 *2136:45 0.00012309 +20 *2115:26 *2248:26 8.35012e-05 +21 *2115:26 *2273:20 0.0163734 +22 *2115:26 *2801:48 1.62073e-05 +23 *2115:26 *2809:29 0.00236674 +24 *2115:26 *2812:45 1.05844e-05 +25 *2115:26 *2920:48 0.000796596 +26 *2115:31 *21404:A 1.19971e-05 +27 *2115:31 *2411:16 6.72629e-05 +28 *2115:31 *2802:20 0.00104439 +29 *2115:31 *2802:31 0.000191153 +30 *2115:31 *2815:12 1.45945e-05 +31 *4707:DIODE *2115:31 0 +32 *1155:9 *2115:26 0.000102438 +33 *1158:29 *2115:19 0.000231712 +34 *1188:23 *23481:TE 0.000125677 +35 *1198:10 *2115:31 0 +36 *1206:15 *2115:31 0.000123207 +37 *1224:15 *2115:26 0.00134748 +38 *1224:19 *2115:26 0.000327838 +39 *1344:9 *2115:31 0 +40 *1458:10 *2115:26 0.000324151 +41 *1582:5 *2115:22 0.000170548 +42 *1613:11 *2115:26 0.000131045 +43 *1736:8 *2115:26 0.0025641 +44 *1954:25 *2115:25 0.000301911 +45 *1964:7 *2115:19 0 +46 *1964:14 *2115:22 0.000283504 +47 *1967:28 *2115:19 0.000354418 +48 *1973:47 *2115:31 0 +49 *1979:19 *2115:19 0.000805894 +50 *1992:12 *2115:19 0.000936983 +51 *1996:32 *2115:22 0.00137078 +52 *1996:45 *2115:31 2.81678e-06 +53 *2086:28 *2115:22 0.000228391 +54 *2087:38 *2115:19 0.00551362 +55 *2087:46 *2115:19 1.94767e-05 +56 *2087:46 *2115:22 0.00911925 +57 *2097:14 *2115:26 0.000101365 +58 *2100:28 *2115:22 0.000231696 +59 *2100:38 *2115:22 0.000181147 +*RES +1 *22570:HI[309] *2115:19 44.9632 +2 *2115:19 *2115:22 15.7336 +3 *2115:22 *2115:25 42.6666 +4 *2115:25 *2115:26 281.353 +5 *2115:26 *2115:31 40.3149 +6 *2115:31 *6269:DIODE 9.24915 +7 *2115:31 *23481:TE 11.5158 +*END + +*D_NET *2116 0.00338757 +*CONN +*I *4973:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22515:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[30] O *D mprj_logic_high +*CAP +1 *4973:DIODE 0 +2 *22515:TE 9.78123e-05 +3 *22570:HI[30] 0.00111421 +4 *2116:8 0.00121202 +5 *22515:TE *2146:16 0.000266707 +6 *22515:TE *2217:26 1.32184e-05 +7 *2116:8 *2217:26 1.5714e-05 +8 *2116:8 *2376:5 0.000217937 +9 *1981:7 *2116:8 9.99516e-05 +10 *1985:24 *22515:TE 0.000262495 +11 *1990:9 *22515:TE 6.40861e-05 +12 *1990:9 *2116:8 0 +13 *2023:19 *2116:8 2.34189e-05 +*RES +1 *22570:HI[30] *2116:8 24.6209 +2 *2116:8 *22515:TE 22.6077 +3 *2116:8 *4973:DIODE 9.24915 +*END + +*D_NET *2117 0.119755 +*CONN +*I *23482:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6271:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[310] O *D mprj_logic_high +*CAP +1 *23482:TE 8.76815e-06 +2 *6271:DIODE 0 +3 *22570:HI[310] 0.000730574 +4 *2117:24 5.60327e-05 +5 *2117:21 0.0037973 +6 *2117:14 0.0143806 +7 *2117:13 0.0113611 +8 *23482:TE *2123:30 0.000122378 +9 *2117:13 *2180:44 0.000120744 +10 *2117:13 *2603:20 0.001098 +11 *2117:14 *2162:29 0.00136995 +12 *2117:14 *2180:38 0.000790313 +13 *2117:14 *2198:29 0.000653917 +14 *2117:14 *2202:33 0.00581976 +15 *2117:14 *2217:26 3.48447e-05 +16 *2117:14 *2246:25 0.0127233 +17 *2117:14 *2278:14 0.00306319 +18 *2117:14 *2633:48 0.000182259 +19 *2117:14 *2903:28 0 +20 *2117:21 *21331:A 1.27402e-05 +21 *2117:21 *2278:14 0.00446784 +22 *2117:21 *2536:16 7.01885e-05 +23 *2117:21 *2803:34 0.000208549 +24 *2117:21 *2812:23 0.00376186 +25 *2117:21 *3066:10 0.00127477 +26 *2117:24 *2123:30 0.000211492 +27 *1178:13 *23482:TE 4.82966e-05 +28 *1178:13 *2117:24 8.39223e-05 +29 *1195:32 *2117:21 9.49135e-05 +30 *1231:11 *2117:21 0.00030578 +31 *1977:32 *2117:13 0.000172456 +32 *1983:26 *2117:14 0 +33 *1986:20 *2117:14 0.0388839 +34 *1986:20 *2117:21 0.00115086 +35 *1986:30 *2117:21 0.00811997 +36 *1989:52 *2117:13 0.00125396 +37 *2022:22 *2117:14 0.00070246 +38 *2028:17 *2117:13 2.95956e-05 +39 *2030:16 *2117:13 1.06728e-05 +40 *2046:19 *2117:13 0.00101314 +41 *2047:29 *2117:14 0.00057456 +42 *2055:17 *2117:13 0.000282918 +43 *2056:28 *2117:14 0.000348277 +44 *2064:23 *2117:14 0.000358807 +*RES +1 *22570:HI[310] *2117:13 8.9146 +2 *2117:13 *2117:14 59.3298 +3 *2117:14 *2117:21 48.4623 +4 *2117:21 *2117:24 6.88721 +5 *2117:24 *6271:DIODE 9.24915 +6 *2117:24 *23482:TE 10.5271 +*END + +*D_NET *2118 0.0985565 +*CONN +*I *23483:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6273:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[311] O *D mprj_logic_high +*CAP +1 *23483:TE 9.368e-05 +2 *6273:DIODE 0 +3 *22570:HI[311] 0.000955633 +4 *2118:25 0.000189935 +5 *2118:20 0.015527 +6 *2118:19 0.0194568 +7 *2118:13 0.00590215 +8 *2118:10 0.00283176 +9 *2118:10 *2228:29 0 +10 *2118:13 *6204:DIODE 3.55859e-05 +11 *2118:13 *22939:A 3.34486e-05 +12 *2118:13 *23323:A 5.49397e-05 +13 *2118:13 *2228:29 6.56001e-05 +14 *2118:13 *2235:35 2.59037e-05 +15 *2118:13 *2255:19 0 +16 *2118:13 *2349:56 6.3609e-05 +17 *2118:13 *2479:38 9.32704e-05 +18 *2118:13 *2625:8 0 +19 *2118:13 *2769:8 0.00031339 +20 *2118:13 *3319:6 0.000121623 +21 *2118:19 *2124:33 0 +22 *2118:19 *2489:17 0.00605022 +23 *2118:19 *2619:19 0.000338389 +24 *2118:19 *2623:11 0.000924723 +25 *2118:19 *2627:11 0.000972674 +26 *2118:19 *2630:11 0.000916234 +27 *2118:19 *2902:15 0.0029688 +28 *2118:19 *2914:11 0.00694783 +29 *2118:19 *2937:15 0.00056435 +30 *2118:19 *2966:9 0.00877061 +31 *2118:19 *3045:22 0.00592291 +32 *2118:20 *2132:49 0.000914978 +33 *2118:20 *2339:8 0.00447929 +34 *2118:20 *2441:7 0.00010238 +35 *2118:20 *2470:9 0.0016511 +36 *2118:20 *2914:21 0.000758107 +37 *2118:20 *3165:11 0.000104949 +38 *2118:20 *3169:23 0.00010238 +39 *2118:25 *2119:45 0 +40 *2118:25 *2410:6 0 +41 la_data_in_mprj[80] *2118:13 3.73059e-05 +42 *4242:DIODE *2118:13 0.000117633 +43 *22511:A *2118:10 6.1449e-05 +44 *620:5 *2118:13 0 +45 *876:8 *2118:13 0.000177201 +46 *1153:44 *2118:13 1.5714e-05 +47 *1175:56 *2118:13 6.71978e-06 +48 *1204:8 *2118:25 2.12377e-05 +49 *1984:34 *2118:20 0.00852887 +50 *1985:40 *2118:10 0.000319761 +51 *1996:20 *2118:10 0 +52 *2069:7 *2118:10 0.000106918 +53 *2070:8 *2118:10 0.000315549 +54 *2103:20 *2118:19 4.34438e-05 +55 *2110:37 *2118:19 0.000403365 +56 *2115:19 *2118:10 0.000147046 +*RES +1 *22570:HI[311] *2118:10 30.6454 +2 *2118:10 *2118:13 43.6116 +3 *2118:13 *2118:19 31.1198 +4 *2118:19 *2118:20 50.2657 +5 *2118:20 *2118:25 9.90841 +6 *2118:25 *6273:DIODE 9.24915 +7 *2118:25 *23483:TE 11.5158 +*END + +*D_NET *2119 0.135195 +*CONN +*I *23485:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6277:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[312] O *D mprj_logic_high +*CAP +1 *23485:TE 0.00011558 +2 *6277:DIODE 0 +3 *22570:HI[312] 0.00155752 +4 *2119:45 0.00179711 +5 *2119:42 0.00524745 +6 *2119:34 0.00923101 +7 *2119:26 0.00801506 +8 *2119:25 0.00390749 +9 *2119:25 *2192:25 0.000633214 +10 *2119:25 *2201:23 0.000772786 +11 *2119:25 *2603:20 0.000309007 +12 *2119:25 *3118:16 4.69495e-06 +13 *2119:25 *3317:31 0.00158424 +14 *2119:25 *3317:40 0.00153712 +15 *2119:26 *2170:12 0.000557407 +16 *2119:26 *2172:12 1.37669e-05 +17 *2119:26 *2184:8 0.00272104 +18 *2119:26 *2240:28 0.000212654 +19 *2119:26 *2241:28 0.000188263 +20 *2119:26 *2266:8 0.00332574 +21 *2119:34 *2121:27 0.0219257 +22 *2119:34 *2234:25 0.000164926 +23 *2119:34 *2239:13 8.66643e-06 +24 *2119:34 *2241:28 5.49916e-05 +25 *2119:34 *2245:17 0.00339223 +26 *2119:34 *2287:8 6.56823e-05 +27 *2119:34 *2288:7 0.000238675 +28 *2119:42 *2121:39 0.0112074 +29 *2119:42 *2137:69 3.52699e-05 +30 *2119:45 *21398:A 0 +31 *2119:45 *2410:6 0 +32 *2119:45 *2541:24 0 +33 *2119:45 *3011:20 1.05746e-05 +34 *2119:45 *3039:20 0 +35 *2119:45 *3041:18 0 +36 *2119:45 *3351:14 0 +37 *2119:45 *3352:13 5.41377e-05 +38 *2119:45 *3358:13 2.31418e-05 +39 *23485:A *23485:TE 0 +40 *1163:15 *2119:34 0.00252492 +41 *1163:15 *2119:42 0.0046942 +42 *1163:22 *2119:34 0.017153 +43 *1167:9 *2119:34 4.54318e-05 +44 *1169:63 *2119:25 0.000213341 +45 *1171:15 *2119:26 0.0180712 +46 *1185:16 *2119:42 0.000979354 +47 *1185:17 *2119:34 0.000108607 +48 *1191:15 *2119:34 0.000118134 +49 *1194:11 *23485:TE 1.00846e-05 +50 *1204:8 *2119:45 0.000265773 +51 *1236:9 *2119:45 7.20192e-05 +52 *1353:11 *23485:TE 6.50727e-05 +53 *1733:12 *2119:45 0.000687044 +54 *1968:36 *2119:25 0.000264199 +55 *1977:32 *2119:25 6.21462e-05 +56 *1988:25 *2119:25 3.20069e-06 +57 *1988:26 *2119:26 0.00553365 +58 *1988:32 *2119:34 0.00193458 +59 *1988:32 *2119:42 0.00312444 +60 *1989:52 *2119:25 2.95956e-05 +61 *2089:19 *2119:26 0.000322911 +62 *2118:25 *2119:45 0 +*RES +1 *22570:HI[312] *2119:25 20.1424 +2 *2119:25 *2119:26 202.599 +3 *2119:26 *2119:34 49.1696 +4 *2119:34 *2119:42 23.1748 +5 *2119:42 *2119:45 45.5733 +6 *2119:45 *6277:DIODE 9.24915 +7 *2119:45 *23485:TE 11.5158 +*END + +*D_NET *2120 0.114637 +*CONN +*I *23486:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6279:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[313] O *D mprj_logic_high +*CAP +1 *23486:TE 6.58175e-05 +2 *6279:DIODE 0 +3 *22570:HI[313] 0.00657637 +4 *2120:38 0.000715542 +5 *2120:34 0.00176746 +6 *2120:31 0.00193974 +7 *2120:28 0.0016667 +8 *2120:25 0.00395641 +9 *2120:10 0.00968809 +10 *2120:10 *2128:32 2.3001e-05 +11 *2120:10 *2132:25 0.000187439 +12 *2120:10 *2138:8 0 +13 *2120:10 *2269:29 0.00170899 +14 *2120:25 *2536:12 1.5714e-05 +15 *2120:25 *3139:28 9.02621e-05 +16 *2120:25 *3233:6 7.13655e-06 +17 *2120:28 *2400:9 0.00217417 +18 *2120:31 *2364:8 0.000762686 +19 *2120:31 *2429:26 0.000284167 +20 *2120:31 *2537:22 0.000111099 +21 *2120:31 *2810:30 7.63252e-05 +22 *2120:31 *3009:10 0.000304584 +23 *2120:34 *2524:47 0.00326089 +24 *2120:38 *2410:6 0.000958783 +25 *2120:38 *2804:36 0 +26 *22502:A *2120:38 4.00504e-05 +27 *1141:32 *2120:25 8.57676e-05 +28 *1195:28 *2120:38 3.91944e-05 +29 *1200:25 *2120:38 2.04806e-05 +30 *1238:9 *2120:34 0.000382466 +31 *1349:17 *23486:TE 0.000122378 +32 *1349:17 *2120:38 1.00981e-05 +33 *1967:27 *2120:10 0.000104544 +34 *1976:28 *2120:10 0.0172671 +35 *1976:28 *2120:25 0.0167104 +36 *1981:8 *2120:10 0.000110257 +37 *1992:10 *2120:10 9.29815e-06 +38 *1992:12 *2120:10 0.0208858 +39 *1992:12 *2120:25 0.0183714 +40 *2069:8 *2120:10 0.000101365 +41 *2078:29 *2120:10 8.18304e-06 +42 *2087:38 *2120:10 0.000339934 +43 *2087:46 *2120:25 0.00172556 +44 *2091:10 *2120:10 6.37315e-05 +45 *2102:20 *2120:10 0.000810968 +46 *2113:8 *2120:10 0.00108687 +47 *2115:19 *2120:10 0 +*RES +1 *22570:HI[313] *2120:10 49.2491 +2 *2120:10 *2120:25 46.2884 +3 *2120:25 *2120:28 28.5167 +4 *2120:28 *2120:31 31.6624 +5 *2120:31 *2120:34 41.2726 +6 *2120:34 *2120:38 23.2502 +7 *2120:38 *6279:DIODE 9.24915 +8 *2120:38 *23486:TE 11.5158 +*END + +*D_NET *2121 0.105067 +*CONN +*I *23487:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6281:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[314] O *D mprj_logic_high +*CAP +1 *23487:TE 0 +2 *6281:DIODE 0.00020874 +3 *22570:HI[314] 0.0065648 +4 *2121:39 0.00480415 +5 *2121:27 0.0111602 +6 *6281:DIODE *2541:24 0.00022124 +7 *2121:27 *2234:25 0.000463765 +8 *2121:27 *2239:13 5.22689e-05 +9 *2121:27 *2243:23 0.000497298 +10 *2121:27 *2254:35 8.22964e-06 +11 *2121:27 *2279:28 0.00163317 +12 *2121:27 *2332:34 0.000254466 +13 *2121:27 *2349:50 0.00149765 +14 *2121:27 *2627:14 9.53587e-05 +15 *2121:27 *2808:18 9.05757e-05 +16 *2121:39 *2500:10 8.56376e-05 +17 *2121:39 *2541:24 2.72352e-05 +18 *2121:39 *3226:10 1.91391e-05 +19 *6497:DIODE *2121:39 3.29488e-05 +20 *22253:A *2121:39 9.17656e-06 +21 *1160:26 *6281:DIODE 0.000113968 +22 *1171:9 *2121:39 0.00183347 +23 *1185:17 *2121:27 0.00010238 +24 *1185:17 *2121:39 0.00010238 +25 *1733:12 *6281:DIODE 8.0625e-05 +26 *1733:12 *2121:39 1.22756e-05 +27 *1988:32 *2121:27 0.0217441 +28 *1988:32 *2121:39 0.0189741 +29 *2048:28 *2121:27 0.00046376 +30 *2070:16 *2121:27 1.74351e-05 +31 *2077:19 *2121:27 6.21462e-05 +32 *2081:20 *2121:27 2.1203e-06 +33 *2088:24 *2121:27 0.000104757 +34 *2097:14 *2121:27 0.000103349 +35 *2101:44 *2121:39 0.000108607 +36 *2110:21 *2121:27 0.000370345 +37 *2112:10 *2121:27 1.23382e-05 +38 *2119:34 *2121:27 0.0219257 +39 *2119:42 *2121:39 0.0112074 +*RES +1 *22570:HI[314] *2121:27 47.7223 +2 *2121:27 *2121:39 41.7485 +3 *2121:39 *6281:DIODE 19.3507 +4 *2121:39 *23487:TE 13.7491 +*END + +*D_NET *2122 0.121906 +*CONN +*I *23488:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6283:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[315] O *D mprj_logic_high +*CAP +1 *23488:TE 5.23852e-05 +2 *6283:DIODE 0 +3 *22570:HI[315] 0.00189565 +4 *2122:51 0.00243127 +5 *2122:44 0.00867928 +6 *2122:39 0.0107455 +7 *2122:24 0.00478297 +8 *2122:21 0.000653692 +9 *2122:13 0.00221145 +10 *23488:TE *3074:10 0 +11 *2122:13 *2142:13 0 +12 *2122:13 *2151:24 0.000143835 +13 *2122:13 *2152:7 0.000617865 +14 *2122:13 *2256:25 4.15661e-05 +15 *2122:13 *2378:8 1.273e-05 +16 *2122:21 *23453:A 7.09666e-06 +17 *2122:21 *2140:8 0.000430366 +18 *2122:21 *2255:25 7.09666e-06 +19 *2122:24 *2140:8 0.00396181 +20 *2122:24 *2232:19 0.000114604 +21 *2122:39 *2244:19 6.03122e-05 +22 *2122:39 *2268:45 0.00198305 +23 *2122:39 *2268:63 0.00010538 +24 *2122:39 *2490:30 9.47718e-05 +25 *2122:39 *2491:37 0.000238938 +26 *2122:39 *2494:35 0.000149641 +27 *2122:39 *2503:26 0.000108607 +28 *2122:39 *2625:14 4.94641e-05 +29 *2122:39 *2632:63 0.000160617 +30 *2122:39 *2661:10 4.875e-05 +31 *2122:39 *3340:13 0.000133887 +32 *2122:39 *3406:22 0.000510761 +33 *2122:39 *3417:35 0.00314598 +34 *2122:44 *2268:63 0.00653926 +35 *2122:51 *2521:34 0 +36 *2122:51 *2524:52 0.000102893 +37 *2122:51 *2526:32 0.000723779 +38 *2122:51 *3044:15 0.00116396 +39 *2122:51 *3074:10 0 +40 *1149:9 *2122:44 0.00020273 +41 *1149:15 *2122:39 0.000123288 +42 *1149:22 *2122:39 0.00012309 +43 *1152:44 *2122:13 7.09666e-06 +44 *1156:22 *2122:39 2.33289e-05 +45 *1156:25 *2122:24 0.00396445 +46 *1158:9 *2122:39 0.0104357 +47 *1158:9 *2122:44 0.0261623 +48 *1158:23 *2122:39 0.00104573 +49 *1159:9 *2122:39 1.91035e-05 +50 *1159:9 *2122:44 0.00406039 +51 *1168:23 *2122:51 1.89576e-05 +52 *1186:9 *23488:TE 6.50727e-05 +53 *1188:15 *23488:TE 6.50727e-05 +54 *1351:10 *23488:TE 0 +55 *1967:27 *2122:13 1.18638e-05 +56 *1985:40 *2122:13 0.000370742 +57 *1990:46 *2122:51 0.00596581 +58 *1991:29 *2122:13 0.000252224 +59 *1991:30 *2122:24 0.000116952 +60 *2070:8 *2122:13 0.000366544 +61 *2092:46 *2122:39 0.0163963 +*RES +1 *22570:HI[315] *2122:13 48.8604 +2 *2122:13 *2122:21 19.1071 +3 *2122:21 *2122:24 46.8187 +4 *2122:24 *2122:39 48.0985 +5 *2122:39 *2122:44 38.2541 +6 *2122:44 *2122:51 16.989 +7 *2122:51 *6283:DIODE 13.7491 +8 *2122:51 *23488:TE 15.474 +*END + +*D_NET *2123 0.139328 +*CONN +*I *23489:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6285:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[316] O *D mprj_logic_high +*CAP +1 *23489:TE 6.84363e-05 +2 *6285:DIODE 0 +3 *22570:HI[316] 0.00274745 +4 *2123:38 0.000773203 +5 *2123:36 0.00181785 +6 *2123:30 0.00255345 +7 *2123:28 0.00200321 +8 *2123:25 0.000719837 +9 *2123:20 0.015589 +10 *2123:19 0.0181795 +11 *2123:19 *2135:20 0.00300004 +12 *2123:19 *2164:26 0.000457838 +13 *2123:19 *2173:20 7.40684e-06 +14 *2123:19 *2182:9 2.82303e-05 +15 *2123:19 *2183:7 0.000126124 +16 *2123:19 *2342:8 0.00442905 +17 *2123:19 *2603:20 0 +18 *2123:19 *3317:40 0.000541321 +19 *2123:20 *2227:13 0.00010238 +20 *2123:20 *2247:19 0.0038517 +21 *2123:20 *2326:8 0.0241504 +22 *2123:20 *2335:17 0.00358908 +23 *2123:20 *2335:34 0.00724844 +24 *2123:20 *2342:8 0.000522846 +25 *2123:20 *2635:17 0.00041565 +26 *2123:20 *2903:32 0.000945131 +27 *2123:20 *2903:53 0.000615319 +28 *2123:25 *2462:6 5.22654e-06 +29 *2123:25 *2476:6 0 +30 *2123:25 *2562:16 0 +31 *2123:30 *4694:DIODE 0.000171288 +32 *2123:30 *3012:26 8.29362e-06 +33 *2123:30 *3351:13 0.000259943 +34 *2123:36 *22387:A_N 3.3281e-05 +35 *2123:36 *3012:26 0.000536307 +36 *2123:36 *3351:13 0.00033712 +37 *2123:38 *3590:DIODE 0.000260388 +38 *2123:38 *21537:A 0.000278114 +39 *6270:DIODE *2123:30 0.000108071 +40 *22476:B *2123:20 6.92578e-05 +41 *22562:A *2123:28 0.000436825 +42 *23482:TE *2123:30 0.000122378 +43 *23596:TE *2123:30 6.50727e-05 +44 *1160:55 *2123:20 5.83052e-05 +45 *1163:23 *2123:20 0.000653716 +46 *1165:9 *2123:20 0.0183885 +47 *1178:13 *2123:30 0.000644591 +48 *1178:21 *2123:30 0.000696864 +49 *1178:25 *2123:28 0.00318381 +50 *1178:25 *2123:30 0.000177355 +51 *1178:27 *2123:28 0.000323736 +52 *1197:13 *23489:TE 2.85139e-05 +53 *1197:13 *2123:38 0.000236921 +54 *1197:15 *2123:28 0.0006342 +55 *1197:15 *2123:30 0.00752596 +56 *1197:15 *2123:36 6.20141e-05 +57 *1200:51 *2123:28 0.00197664 +58 *1205:23 *2123:20 0.00010238 +59 *1206:7 *2123:36 1.09551e-05 +60 *1206:7 *2123:38 0.000113212 +61 *1206:11 *2123:36 0.00402236 +62 *1206:11 *2123:38 7.6719e-06 +63 *1228:13 *2123:30 6.08467e-05 +64 *1326:20 *2123:20 0.000259755 +65 *1406:9 *2123:20 0.000383767 +66 *1735:10 *2123:38 0.000269619 +67 *1828:10 *2123:25 0 +68 *1950:26 *2123:20 0 +69 *1951:18 *2123:20 0.000144857 +70 *1966:16 *2123:20 0 +71 *1977:32 *2123:19 6.21462e-05 +72 *2021:34 *2123:19 0.000294911 +73 *2054:19 *2123:19 0.000211255 +74 *2101:20 *2123:19 0.00140676 +75 *2117:24 *2123:30 0.000211492 +*RES +1 *22570:HI[316] *2123:19 25.6106 +2 *2123:19 *2123:20 59.1768 +3 *2123:20 *2123:25 11.1542 +4 *2123:25 *2123:28 43.452 +5 *2123:28 *2123:30 81.9728 +6 *2123:30 *2123:36 47.4909 +7 *2123:36 *2123:38 20.1345 +8 *2123:38 *6285:DIODE 9.24915 +9 *2123:38 *23489:TE 11.1059 +*END + +*D_NET *2124 0.13176 +*CONN +*I *23490:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6287:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[317] O *D mprj_logic_high +*CAP +1 *23490:TE 5.55311e-05 +2 *6287:DIODE 0 +3 *22570:HI[317] 9.24321e-05 +4 *2124:39 7.57971e-05 +5 *2124:34 0.00998692 +6 *2124:33 0.015522 +7 *2124:22 0.00601962 +8 *2124:21 0.000610432 +9 *2124:16 0.000978348 +10 *2124:14 0.000979287 +11 *2124:9 0.00269026 +12 *2124:7 0.00263563 +13 *2124:9 *6182:DIODE 1.79807e-05 +14 *2124:9 *2210:19 0 +15 *2124:9 *2212:19 0 +16 *2124:9 *2609:26 0.000113223 +17 *2124:9 *2753:9 0 +18 *2124:9 *3428:36 4.25507e-05 +19 *2124:14 *2610:22 0.000176586 +20 *2124:14 *2966:15 0.00160294 +21 *2124:16 *2610:22 0.000160103 +22 *2124:16 *2611:21 0.000245189 +23 *2124:16 *2619:19 0.000731327 +24 *2124:16 *2966:15 0.000213421 +25 *2124:21 *2631:10 1.99347e-05 +26 *2124:21 *2937:14 1.99347e-05 +27 *2124:22 *2630:11 0.00694116 +28 *2124:22 *2631:11 0.00679249 +29 *2124:22 *2966:9 0.000905139 +30 *2124:33 *2242:23 0.00318782 +31 *2124:33 *2489:17 0 +32 *2124:33 *2492:28 0.000561126 +33 *2124:33 *2495:18 2.00564e-05 +34 *2124:33 *2501:34 0.00242973 +35 *2124:33 *2635:17 0.000622166 +36 *2124:33 *2636:11 0.000632652 +37 *2124:33 *2642:8 0 +38 *2124:33 *3384:23 0.000538416 +39 *2124:33 *3406:22 0 +40 *2124:34 *2133:30 0.00115248 +41 *2124:34 *2403:18 0.000186638 +42 *2124:34 *2482:32 1.99895e-05 +43 *2124:34 *2490:9 0.00202586 +44 *2124:34 *2495:18 4.63344e-05 +45 *2124:34 *2501:24 0.00744583 +46 *2124:34 *2501:34 0.00157308 +47 la_data_in_mprj[68] *2124:9 0.000164519 +48 la_data_in_mprj[92] *2124:33 0.000155836 +49 *3945:DIODE *2124:9 6.92855e-05 +50 *3975:DIODE *2124:33 3.57291e-06 +51 *23181:A *2124:9 8.37167e-05 +52 *480:5 *2124:9 5.00146e-05 +53 *507:5 *2124:33 4.55613e-05 +54 *608:8 *2124:9 0 +55 *1684:6 *2124:9 0.00022175 +56 *1685:9 *2124:9 2.55536e-05 +57 *1967:15 *2124:9 0 +58 *1980:19 *2124:9 0.000167017 +59 *2000:7 *2124:9 0 +60 *2027:7 *2124:9 0 +61 *2033:23 *2124:7 1.33295e-05 +62 *2039:9 *2124:7 2.12617e-05 +63 *2039:9 *2124:9 0.000678154 +64 *2080:14 *2124:14 0.00149476 +65 *2080:26 *2124:16 0.0102797 +66 *2110:43 *2124:34 0.02908 +67 *2111:18 *2124:14 2.18145e-05 +68 *2111:18 *2124:16 0.0111141 +69 *2111:45 *2124:34 0 +70 *2118:19 *2124:33 0 +*RES +1 *22570:HI[317] *2124:7 2.03962 +2 *2124:7 *2124:9 55.6316 +3 *2124:9 *2124:14 22.2955 +4 *2124:14 *2124:16 117.19 +5 *2124:16 *2124:21 11.6625 +6 *2124:21 *2124:22 72.8219 +7 *2124:22 *2124:33 34.5778 +8 *2124:33 *2124:34 47.665 +9 *2124:34 *2124:39 8.2474 +10 *2124:39 *6287:DIODE 9.24915 +11 *2124:39 *23490:TE 10.5271 +*END + +*D_NET *2125 0.139415 +*CONN +*I *23491:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6289:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[318] O *D mprj_logic_high +*CAP +1 *23491:TE 3.38318e-05 +2 *6289:DIODE 0 +3 *22570:HI[318] 0.00339546 +4 *2125:25 5.5786e-05 +5 *2125:20 0.0189595 +6 *2125:19 0.022333 +7 *23491:TE *2459:9 6.50727e-05 +8 *23491:TE *2496:11 0.000122378 +9 *23491:TE *2596:11 1.41291e-05 +10 *2125:19 *2384:21 0.00163009 +11 *2125:19 *2438:25 0.00163338 +12 *2125:19 *2605:20 0 +13 *2125:19 *2608:18 0.00493455 +14 *2125:19 *3029:8 0.000245829 +15 *2125:19 *3405:8 9.46437e-05 +16 *2125:20 *2358:9 0.000104638 +17 *2125:20 *2426:26 0.000151444 +18 *2125:20 *2430:18 0.010342 +19 *2125:20 *2437:22 0.0113272 +20 *2125:20 *2459:9 0.00012601 +21 *2125:20 *2461:24 0.0153469 +22 *2125:20 *2461:47 0.0156942 +23 *2125:20 *2463:25 0.00069041 +24 *2125:20 *2468:27 0.0238181 +25 *2125:20 *2474:19 0.00114335 +26 *2125:20 *2493:21 0.00178157 +27 *2125:20 *2496:13 0.00012601 +28 *2125:20 *2499:21 0.000550532 +29 *2125:20 *2506:11 0.000115848 +30 *2125:20 *3127:15 0.000157517 +31 *2125:20 *3430:9 0.000456798 +32 *1418:9 *2125:20 0.000292147 +33 *1977:32 *2125:19 9.40804e-05 +34 *2026:37 *2125:19 0.000118205 +35 *2029:30 *2125:19 1.83756e-05 +36 *2034:20 *2125:19 4.65404e-05 +37 *2052:29 *2125:19 0.00339605 +38 *2111:45 *2125:20 0 +*RES +1 *22570:HI[318] *2125:19 32.4706 +2 *2125:19 *2125:20 78.9113 +3 *2125:20 *2125:25 8.2474 +4 *2125:25 *6289:DIODE 9.24915 +5 *2125:25 *23491:TE 11.1059 +*END + +*D_NET *2126 0.102528 +*CONN +*I *23492:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6291:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[319] O *D mprj_logic_high +*CAP +1 *23492:TE 6.29156e-05 +2 *6291:DIODE 0 +3 *22570:HI[319] 0.00378771 +4 *2126:32 0.00079552 +5 *2126:26 0.0282443 +6 *2126:25 0.0312994 +7 *2126:25 *2134:19 0.00307977 +8 *2126:25 *2252:27 4.09995e-05 +9 *2126:25 *2256:25 0.000299419 +10 *2126:25 *2257:21 8.14241e-05 +11 *2126:25 *2258:25 0.000997006 +12 *2126:25 *2288:7 0.000302926 +13 *2126:25 *2339:8 0.00500855 +14 *2126:25 *2415:26 0 +15 *2126:25 *2458:10 0.000384352 +16 *2126:25 *3156:12 2.69247e-05 +17 *2126:25 *3165:7 0.00012309 +18 *2126:25 *3228:19 0 +19 *2126:25 *3273:23 0.000915345 +20 *2126:26 *4714:DIODE 6.08467e-05 +21 *2126:26 *2134:36 0.00616574 +22 *2126:26 *2134:40 2.56976e-05 +23 *2126:26 *2134:44 0.00390482 +24 *2126:26 *2808:29 0.00312615 +25 *2126:26 *2808:58 0.00154078 +26 *2126:26 *3064:7 0.000703768 +27 *2126:26 *3167:5 0.000318494 +28 *2126:26 *3344:7 0.00104832 +29 *2126:26 *3468:11 0.00142524 +30 *2126:26 *3469:7 0.00129448 +31 *2126:32 *3080:16 0 +32 *4636:DIODE *2126:26 0.000260374 +33 *4897:DIODE *2126:26 6.50727e-05 +34 *6450:DIODE *2126:26 0.000314107 +35 *1194:11 *23492:TE 0.000122378 +36 *1194:11 *2126:32 0.000204626 +37 *1348:10 *2126:26 6.50727e-05 +38 *1435:11 *2126:26 0.00407528 +39 *1437:10 *2126:26 0.000470557 +40 *1447:10 *2126:26 0.00086322 +41 *1935:36 *2126:25 2.7805e-05 +42 *1989:52 *2126:25 0.000175718 +43 *2031:27 *2126:25 0.0005334 +44 *2091:17 *2126:25 0 +45 *2108:24 *2126:26 0.000101365 +46 *2110:21 *2126:25 0.00018461 +*RES +1 *22570:HI[319] *2126:25 44.5215 +2 *2126:25 *2126:26 472.136 +3 *2126:26 *2126:32 22.2266 +4 *2126:32 *6291:DIODE 9.24915 +5 *2126:32 *23492:TE 11.5158 +*END + +*D_NET *2127 0.0211854 +*CONN +*I *22516:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4975:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[31] O *D mprj_logic_high +*CAP +1 *22516:TE 0 +2 *4975:DIODE 5.47192e-05 +3 *22570:HI[31] 7.67931e-05 +4 *2127:10 0.00224713 +5 *2127:9 0.0022692 +6 *4975:DIODE *2715:6 6.62565e-05 +7 *4975:DIODE *2846:20 0.00015409 +8 *2127:10 *2180:44 0.00135109 +9 *2127:10 *2857:9 0.000113968 +10 *2127:10 *2857:15 0.000160617 +11 *1157:65 *2127:10 0.000816689 +12 *1157:69 *2127:10 0.000321905 +13 *2006:10 *2127:10 0.00794888 +14 *2040:28 *2127:10 8.54654e-05 +15 *2040:30 *2127:10 0.00527489 +16 *2060:18 *2127:10 0.000243701 +*RES +1 *22570:HI[31] *2127:9 5.52592 +2 *2127:9 *2127:10 119.409 +3 *2127:10 *4975:DIODE 20.9116 +4 *2127:10 *22516:TE 9.24915 +*END + +*D_NET *2128 0.137016 +*CONN +*I *6293:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23493:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[320] O *D mprj_logic_high +*CAP +1 *6293:DIODE 0.000101744 +2 *23493:TE 0 +3 *22570:HI[320] 0.0010922 +4 *2128:47 0.00134506 +5 *2128:44 0.00363659 +6 *2128:43 0.00290241 +7 *2128:40 0.00448348 +8 *2128:32 0.00868154 +9 *2128:22 0.00705128 +10 *2128:19 0.00592065 +11 *2128:13 0.00466877 +12 *6293:DIODE *2533:18 0 +13 *2128:13 *2132:7 0 +14 *2128:13 *2132:8 0.00138341 +15 *2128:13 *2149:8 0 +16 *2128:13 *2210:19 0 +17 *2128:13 *2367:9 0.00168053 +18 *2128:13 *2378:9 0.000128584 +19 *2128:13 *2896:17 7.32718e-05 +20 *2128:19 *2136:17 0.00973442 +21 *2128:19 *2256:25 1.2977e-05 +22 *2128:19 *2372:11 0.00010238 +23 *2128:22 *2136:17 0.000176299 +24 *2128:32 *2263:25 0.00455677 +25 *2128:32 *2269:29 0.000336561 +26 *2128:40 *2263:25 0.00153066 +27 *2128:43 *2431:12 0.00118267 +28 *2128:43 *2514:20 0.00126095 +29 *2128:44 *2406:20 0.00014106 +30 *2128:44 *2410:22 0.000103981 +31 *2128:44 *2524:47 0.000642978 +32 *2128:44 *2729:9 0.011102 +33 *2128:44 *2804:33 0.00154239 +34 *2128:47 *21410:A 2.71366e-05 +35 *2128:47 *2533:18 0 +36 *2128:47 *3019:20 0.00010783 +37 *4731:DIODE *6293:DIODE 0 +38 *1173:18 *2128:40 0.0050339 +39 *1238:13 *2128:44 0 +40 *1326:11 *2128:32 0.00147676 +41 *1740:10 *6293:DIODE 0 +42 *1954:10 *2128:32 0.00066617 +43 *1955:28 *2128:32 0.00583169 +44 *1964:8 *2128:22 0.000680722 +45 *1976:17 *2128:32 1.27831e-06 +46 *1976:28 *2128:32 0.0178665 +47 *1976:28 *2128:40 0.0168835 +48 *1976:39 *2128:40 0.000774562 +49 *1987:8 *2128:32 0.000378065 +50 *2039:9 *2128:13 0 +51 *2086:26 *2128:22 0.000840002 +52 *2086:28 *2128:22 0.00106674 +53 *2087:38 *2128:19 0.00816258 +54 *2115:19 *2128:19 0.00161951 +55 *2120:10 *2128:32 2.3001e-05 +*RES +1 *22570:HI[320] *2128:13 46.8756 +2 *2128:13 *2128:19 22.5573 +3 *2128:19 *2128:22 46.2641 +4 *2128:22 *2128:32 35.8349 +5 *2128:32 *2128:40 28.682 +6 *2128:40 *2128:43 27.7175 +7 *2128:43 *2128:44 121.072 +8 *2128:44 *2128:47 32.0776 +9 *2128:47 *23493:TE 13.7491 +10 *2128:47 *6293:DIODE 15.9964 +*END + +*D_NET *2129 0.146369 +*CONN +*I *23494:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6295:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[321] O *D mprj_logic_high +*CAP +1 *23494:TE 2.97851e-05 +2 *6295:DIODE 0 +3 *22570:HI[321] 0.00088287 +4 *2129:61 5.28846e-05 +5 *2129:56 0.0345724 +6 *2129:55 0.035054 +7 *2129:47 0.00165377 +8 *2129:37 0.00203204 +9 *2129:33 0.0024311 +10 *2129:16 0.00243094 +11 *23494:TE *3080:15 4.66876e-05 +12 *2129:16 *2153:37 6.45209e-05 +13 *2129:16 *2180:44 0.00117643 +14 *2129:16 *2188:29 0.000413858 +15 *2129:16 *3162:52 2.88776e-05 +16 *2129:33 *2162:35 5.60804e-05 +17 *2129:33 *2164:26 0.000289351 +18 *2129:33 *2325:16 3.6241e-05 +19 *2129:33 *2869:28 0 +20 *2129:33 *2870:32 4.36e-05 +21 *2129:33 *2875:28 0.000779643 +22 *2129:33 *3141:15 0.00037836 +23 *2129:37 *2869:28 0 +24 *2129:37 *2869:50 0 +25 *2129:37 *3100:20 0.00067101 +26 *2129:37 *3141:15 3.44075e-05 +27 *2129:47 *2829:9 0.00117752 +28 *2129:47 *2870:67 0.00200654 +29 *2129:47 *2918:25 0.000520695 +30 *2129:47 *3129:17 0.00204999 +31 *2129:47 *3391:18 7.44425e-06 +32 *2129:47 *3433:15 6.94448e-05 +33 *2129:55 *3113:52 0 +34 *2129:55 *3391:18 5.29052e-05 +35 *2129:56 *2414:11 0 +36 *2129:56 *2454:58 0.00269503 +37 *2129:56 *2454:59 0.000378048 +38 *2129:56 *2457:13 0 +39 *2129:56 *2457:29 0 +40 *2129:56 *2464:11 0 +41 *2129:56 *2466:9 0.0301133 +42 *2129:56 *2521:34 0 +43 *2129:56 *2609:26 3.6211e-05 +44 *2129:56 *2610:22 0.000286253 +45 *2129:56 *2613:20 1.79239e-05 +46 *2129:56 *2623:18 1.93857e-05 +47 *2129:56 *3129:17 0.00745534 +48 *2129:56 *3167:11 0.00010238 +49 *2129:56 *3373:25 0.000234723 +50 *2129:56 *3433:19 0.00361528 +51 *2129:56 *3437:13 0.000108607 +52 *2129:56 *3467:7 0.000209897 +53 *23554:TE *2129:47 0.000111722 +54 *1155:23 *2129:33 2.34143e-05 +55 *1169:66 *2129:33 0.000385481 +56 *1189:11 *23494:TE 7.48797e-05 +57 *1189:11 *2129:56 0.00012309 +58 *1270:28 *2129:55 3.29488e-05 +59 *1277:11 *2129:47 0.00102885 +60 *1281:9 *2129:33 0.000137667 +61 *1404:13 *2129:47 0.00143022 +62 *1793:11 *2129:33 7.50722e-05 +63 *1886:19 *2129:33 0.000104754 +64 *1887:13 *2129:33 0.000116288 +65 *1926:20 *2129:56 0.00034756 +66 *1928:21 *2129:47 0 +67 *1931:16 *2129:55 0.000410727 +68 *1937:11 *2129:33 0 +69 *1937:11 *2129:37 0 +70 *1984:34 *2129:56 0 +71 *2020:10 *2129:16 0.00118344 +72 *2025:7 *2129:33 0.000340989 +73 *2032:24 *2129:16 0.000379212 +74 *2088:33 *2129:56 0 +75 *2105:16 *2129:16 0.0006145 +76 *2108:24 *2129:56 0.00513222 +*RES +1 *22570:HI[321] *2129:16 48.0068 +2 *2129:16 *2129:33 39.5987 +3 *2129:33 *2129:37 23.565 +4 *2129:37 *2129:47 48.295 +5 *2129:47 *2129:55 21.3662 +6 *2129:55 *2129:56 97.2689 +7 *2129:56 *2129:61 8.2474 +8 *2129:61 *6295:DIODE 9.24915 +9 *2129:61 *23494:TE 11.0817 +*END + +*D_NET *2130 0.11325 +*CONN +*I *23496:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6299:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[322] O *D mprj_logic_high +*CAP +1 *23496:TE 0 +2 *6299:DIODE 0.00031445 +3 *22570:HI[322] 0.00549517 +4 *2130:29 0.00533183 +5 *2130:25 0.0160642 +6 *2130:19 0.0165419 +7 *6299:DIODE *3607:DIODE 2.85139e-05 +8 *6299:DIODE *2956:20 0.000134653 +9 *2130:19 *2253:28 0.00971621 +10 *2130:19 *2266:23 0.00190338 +11 *2130:19 *2437:22 0.000324138 +12 *2130:19 *3239:21 0.000310684 +13 *2130:25 *2266:23 0.00114141 +14 *2130:25 *2274:32 8.32108e-05 +15 *2130:25 *2277:31 0 +16 *2130:25 *2346:12 5.52886e-05 +17 *2130:25 *2519:22 0.000125458 +18 *2130:25 *2965:15 0 +19 *2130:25 *3034:6 0.000124429 +20 *2130:25 *3037:12 0.000125458 +21 *2130:29 *2811:49 8.05288e-05 +22 *2130:29 *2811:72 0.000578772 +23 *2130:29 *2816:27 1.57386e-05 +24 *2130:29 *2956:20 1.10793e-05 +25 *1165:9 *2130:29 0.0150266 +26 *1192:22 *2130:29 0 +27 *1197:5 *6299:DIODE 2.81717e-05 +28 *1968:43 *2130:19 0.022539 +29 *1968:54 *2130:25 0.0163085 +30 *1977:42 *2130:25 0.000134777 +31 *1983:30 *2130:25 0 +32 *1985:83 *2130:29 1.02993e-05 +33 *1989:52 *2130:19 0.000110597 +34 *1989:63 *2130:25 0 +35 *1992:21 *6299:DIODE 0 +36 *1995:57 *2130:29 0 +37 *2100:49 *2130:19 0.000467439 +38 *2110:21 *2130:19 0.000118442 +*RES +1 *22570:HI[322] *2130:19 44.5528 +2 *2130:19 *2130:25 32.924 +3 *2130:25 *2130:29 23.723 +4 *2130:29 *6299:DIODE 20.2572 +5 *2130:29 *23496:TE 13.7491 +*END + +*D_NET *2131 0.0809328 +*CONN +*I *23497:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6301:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[323] O *D mprj_logic_high +*CAP +1 *23497:TE 6.49117e-05 +2 *6301:DIODE 0 +3 *22570:HI[323] 0.00348535 +4 *2131:42 0.00145335 +5 *2131:41 0.00151737 +6 *2131:36 0.00396468 +7 *2131:34 0.00677475 +8 *2131:30 0.00299005 +9 *2131:28 0.00229668 +10 *2131:26 0.00228207 +11 *2131:24 0.00117951 +12 *2131:22 0.00116437 +13 *2131:20 0.00350666 +14 *23497:TE *2823:25 4.48986e-05 +15 *2131:20 *22564:TE 0.000116755 +16 *2131:20 *2265:23 0.0006667 +17 *2131:20 *2277:22 0.00076283 +18 *2131:20 *2286:8 0.000195177 +19 *2131:20 *2288:7 0 +20 *2131:20 *2329:27 0.00159935 +21 *2131:20 *2341:16 0.000458659 +22 *2131:20 *2475:12 0 +23 *2131:20 *2623:18 0.000761422 +24 *2131:20 *3295:21 0.00113911 +25 *2131:24 *2341:16 6.36477e-05 +26 *2131:24 *2467:8 0.000102923 +27 *2131:28 *3497:DIODE 0.000257609 +28 *2131:28 *2915:70 0.00011818 +29 *2131:41 *2402:8 6.75456e-05 +30 *2131:41 *2427:12 0 +31 *2131:41 *3068:8 3.20069e-06 +32 *2131:42 *2823:17 0.00167497 +33 *2131:42 *2823:25 0.000890751 +34 *2131:42 *3067:17 0.000636366 +35 *4646:DIODE *2131:34 0.000128091 +36 *22350:TE *2131:34 2.85274e-05 +37 *22564:A *2131:24 0.000168313 +38 *1168:36 *2131:20 0.00153322 +39 *1202:9 *2131:34 0.00154652 +40 *1202:9 *2131:36 0.0116148 +41 *1202:13 *2131:24 0.00122925 +42 *1202:13 *2131:28 0.0116398 +43 *1202:13 *2131:34 4.89822e-05 +44 *1202:17 *2131:24 0.000289599 +45 *1203:23 *2131:24 0.00635099 +46 *1203:23 *2131:28 0.00268975 +47 *1216:8 *2131:41 5.4405e-05 +48 *1233:14 *2131:42 7.64297e-05 +49 *1306:18 *2131:20 6.6641e-05 +50 *1306:18 *2131:24 0.0001235 +51 *1314:9 *2131:34 6.73186e-05 +52 *1724:8 *2131:36 0.00120541 +53 *1821:16 *2131:24 0.000523773 +54 *1984:34 *2131:24 0.000111178 +55 *1984:34 *2131:28 0.000322894 +56 *1989:52 *2131:20 0.000434539 +57 *2110:21 *2131:20 0.00043895 +*RES +1 *22570:HI[323] *2131:20 49.6062 +2 *2131:20 *2131:22 0.578717 +3 *2131:22 *2131:24 70.8808 +4 *2131:24 *2131:26 0.988641 +5 *2131:26 *2131:28 126.341 +6 *2131:28 *2131:30 1.39857 +7 *2131:30 *2131:34 49.2753 +8 *2131:34 *2131:36 125.787 +9 *2131:36 *2131:41 12.493 +10 *2131:41 *2131:42 43.9825 +11 *2131:42 *6301:DIODE 9.24915 +12 *2131:42 *23497:TE 11.1059 +*END + +*D_NET *2132 0.117868 +*CONN +*I *23498:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6303:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[324] O *D mprj_logic_high +*CAP +1 *23498:TE 1.89356e-05 +2 *6303:DIODE 0 +3 *22570:HI[324] 0.000590688 +4 *2132:49 0.0126218 +5 *2132:38 0.0228378 +6 *2132:25 0.012279 +7 *2132:8 0.00299718 +8 *2132:7 0.00154386 +9 *2132:7 *2136:17 0 +10 *2132:7 *2210:19 0.000243028 +11 *2132:8 *2367:9 0.000596218 +12 *2132:8 *2378:9 0.00804754 +13 *2132:25 *2138:8 1.5714e-05 +14 *2132:25 *2904:22 0.00369947 +15 *2132:25 *3228:19 0.0037068 +16 *2132:38 *2134:19 0.00302716 +17 *2132:38 *2280:13 2.64311e-05 +18 *2132:38 *2310:14 0.00026359 +19 *2132:38 *2331:12 0.00231128 +20 *2132:38 *2333:14 0.00075397 +21 *2132:38 *2343:8 0.00507227 +22 *2132:38 *2470:9 0.01502 +23 *2132:38 *2475:12 0.000201904 +24 *2132:38 *3406:22 3.94364e-05 +25 *2132:38 *3428:29 0.000938911 +26 *2132:38 *3439:26 0.000397818 +27 *2132:49 *2470:9 0.00805559 +28 *2132:49 *2523:30 5.47506e-05 +29 *2132:49 *2531:20 2.18346e-05 +30 *1168:23 *2132:49 0 +31 *1168:36 *2132:38 0.000637552 +32 *1186:19 *23498:TE 0.000169122 +33 *1188:15 *23498:TE 7.78095e-05 +34 *1192:53 *2132:38 0.000115848 +35 *1435:10 *2132:38 1.85976e-05 +36 *1730:13 *2132:49 1.96152e-05 +37 *1954:10 *2132:25 0.00169132 +38 *1976:39 *2132:49 3.51785e-06 +39 *1981:8 *2132:8 0.00529997 +40 *1984:34 *2132:49 0 +41 *2051:19 *2132:7 5.02533e-05 +42 *2078:8 *2132:25 0.00169412 +43 *2090:7 *2132:25 2.10057e-05 +44 *2091:10 *2132:25 9.11729e-05 +45 *2094:5 *2132:25 0.000109416 +46 *2118:20 *2132:49 0.000914978 +47 *2120:10 *2132:25 0.000187439 +48 *2128:13 *2132:7 0 +49 *2128:13 *2132:8 0.00138341 +*RES +1 *22570:HI[324] *2132:7 16.4202 +2 *2132:7 *2132:8 87.7962 +3 *2132:8 *2132:25 48.0419 +4 *2132:25 *2132:38 45.6479 +5 *2132:38 *2132:49 41.636 +6 *2132:49 *6303:DIODE 9.24915 +7 *2132:49 *23498:TE 11.0817 +*END + +*D_NET *2133 0.119946 +*CONN +*I *23499:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6305:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[325] O *D mprj_logic_high +*CAP +1 *23499:TE 3.3619e-05 +2 *6305:DIODE 0 +3 *22570:HI[325] 0.00683637 +4 *2133:35 5.55732e-05 +5 *2133:30 0.0229634 +6 *2133:28 0.0297778 +7 *23499:TE *3371:11 0.000114594 +8 *2133:28 *2180:42 6.75302e-05 +9 *2133:28 *2206:32 0.000115466 +10 *2133:28 *2457:29 0.00763564 +11 *2133:28 *2604:18 0 +12 *2133:28 *2608:18 0 +13 *2133:28 *2889:18 0.00310968 +14 *2133:28 *2891:18 5.60804e-05 +15 *2133:28 *2892:19 5.69065e-05 +16 *2133:28 *3132:9 0.00468801 +17 *2133:28 *3135:17 0.0032078 +18 *2133:30 *2349:50 7.97971e-06 +19 *2133:30 *2389:11 0.000154417 +20 *2133:30 *2403:18 0 +21 *2133:30 *2414:11 0.0041317 +22 *2133:30 *2423:11 0.000108607 +23 *2133:30 *2434:11 0.000571382 +24 *2133:30 *2457:29 0.00028396 +25 *2133:30 *2475:19 0 +26 *2133:30 *2482:32 0 +27 *2133:30 *2489:17 0.0178819 +28 *2133:30 *2518:11 0.00010238 +29 *2133:30 *2562:9 0.00012309 +30 *2133:30 *3135:17 0.000866418 +31 *2133:30 *3144:13 0.000160257 +32 *2133:30 *3154:31 0.000110257 +33 *2133:30 *3339:23 0.00391676 +34 *2133:30 *3362:11 0.000786886 +35 *2133:30 *3371:11 0.000133887 +36 *1977:32 *2133:28 0.000260621 +37 *1988:25 *2133:28 0.00160676 +38 *1989:52 *2133:28 0.00089318 +39 *2020:10 *2133:28 0.000143913 +40 *2021:16 *2133:28 0.000173919 +41 *2026:37 *2133:28 7.79684e-05 +42 *2058:17 *2133:28 6.67835e-06 +43 *2064:23 *2133:28 0.000197124 +44 *2068:23 *2133:28 0.000237346 +45 *2070:43 *2133:30 1.79334e-05 +46 *2072:17 *2133:28 0.000116084 +47 *2077:19 *2133:30 0.000285603 +48 *2110:43 *2133:30 0.00671761 +49 *2124:34 *2133:30 0.00115248 +*RES +1 *22570:HI[325] *2133:28 47.0652 +2 *2133:28 *2133:30 73.8247 +3 *2133:30 *2133:35 8.2474 +4 *2133:35 *6305:DIODE 9.24915 +5 *2133:35 *23499:TE 10.5271 +*END + +*D_NET *2134 0.098056 +*CONN +*I *23500:TE I *D sky130_fd_sc_hd__einvp_2 +*I *6307:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[326] O *D mprj_logic_high +*CAP +1 *23500:TE 1.40661e-05 +2 *6307:DIODE 0 +3 *22570:HI[326] 0.00322926 +4 *2134:48 0.000398491 +5 *2134:44 0.00472043 +6 *2134:42 0.0043542 +7 *2134:40 0.0011894 +8 *2134:38 0.00118843 +9 *2134:36 0.0030285 +10 *2134:35 0.00346034 +11 *2134:20 0.0012814 +12 *2134:19 0.0040616 +13 *2134:19 *2252:27 0.00128947 +14 *2134:19 *2256:25 4.17052e-05 +15 *2134:19 *2333:14 0.0036479 +16 *2134:19 *2343:8 0.0002923 +17 *2134:19 *2415:26 0 +18 *2134:19 *2903:54 0.000347757 +19 *2134:19 *3149:32 9.0584e-05 +20 *2134:19 *3273:8 0.000232649 +21 *2134:20 *5075:DIODE 0.000167701 +22 *2134:20 *2288:8 0.00206662 +23 *2134:20 *2454:21 0.000492902 +24 *2134:20 *2905:46 0.000719078 +25 *2134:20 *3167:5 0.00532109 +26 *2134:35 *2452:8 0.000116283 +27 *2134:35 *2454:18 0.000213725 +28 *2134:35 *2905:46 0.000102517 +29 *2134:35 *3373:8 1.04568e-05 +30 *2134:35 *3478:35 0.000103246 +31 *2134:36 *3489:DIODE 0.000108038 +32 *2134:36 *2905:54 0.000148304 +33 *2134:36 *3171:7 0.0013767 +34 *2134:36 *3178:7 0.00133868 +35 *2134:36 *3178:11 0.000769921 +36 *2134:36 *3453:12 0.00257987 +37 *2134:36 *3468:11 0.00131386 +38 *2134:38 *3468:11 9.95922e-06 +39 *2134:40 *2528:11 0.00169543 +40 *2134:40 *2528:15 0.00268671 +41 *2134:40 *2528:25 0.000692916 +42 *2134:40 *2808:29 0.00818497 +43 *2134:40 *3468:11 0.000504101 +44 *2134:40 *3469:7 1.67988e-05 +45 *2134:44 *3523:DIODE 0.000171288 +46 *2134:44 *3530:DIODE 0.000273022 +47 *2134:44 *3603:DIODE 1.43983e-05 +48 *2134:44 *3729:DIODE 0.000457606 +49 *2134:44 *4714:DIODE 4.66492e-05 +50 *2134:44 *2528:25 0.000667468 +51 *2134:44 *2808:58 0.00154078 +52 *2134:44 *2815:40 0.00382969 +53 *2134:44 *3064:7 0.00070798 +54 *2134:44 *3344:7 0.00105253 +55 *4482:DIODE *2134:44 0.000264614 +56 *4636:DIODE *2134:36 0.000260374 +57 *4648:DIODE *2134:36 0.000277502 +58 *4897:DIODE *2134:36 6.92705e-05 +59 *6450:DIODE *2134:36 0.000220809 +60 *6478:DIODE *2134:36 0.000164829 +61 *6480:DIODE *2134:40 7.97944e-05 +62 *6481:DIODE *2134:36 7.97944e-05 +63 *22248:TE *2134:44 0.000111722 +64 *22268:TE *2134:44 5.0715e-05 +65 *22345:TE *2134:36 7.48797e-05 +66 *22351:TE *2134:36 0.000122378 +67 *23474:A *2134:44 0.000217937 +68 *23474:TE *2134:40 6.50727e-05 +69 *23474:TE *2134:42 1.09551e-05 +70 *23474:TE *2134:44 2.41483e-05 +71 *23572:A *2134:35 7.26959e-06 +72 *23572:TE *2134:35 0.00011818 +73 *23587:TE *2134:38 1.09551e-05 +74 *1137:65 *2134:19 0.000194418 +75 *1337:9 *2134:44 0.00100391 +76 *1348:10 *2134:44 6.92705e-05 +77 *1355:10 *2134:44 0.000529412 +78 *1363:11 *23500:TE 6.92705e-05 +79 *1363:11 *2134:48 0.000111722 +80 *1435:11 *2134:20 1.67988e-05 +81 *1435:11 *2134:35 0.000527957 +82 *1437:10 *2134:36 0.000474769 +83 *1447:10 *2134:36 0.00086603 +84 *1449:13 *2134:36 0.00145665 +85 *1450:9 *2134:36 0.000221362 +86 *1450:9 *2134:40 6.98314e-05 +87 *1744:9 *2134:44 0.000173166 +88 *1827:11 *2134:36 0.000769883 +89 *1977:32 *2134:19 0.000170023 +90 *1989:52 *2134:19 0.000178914 +91 *2066:17 *2134:19 0 +92 *2094:18 *2134:36 2.41483e-05 +93 *2094:18 *2134:40 0.000111708 +94 *2108:24 *2134:36 0.000212637 +95 *2126:25 *2134:19 0.00307977 +96 *2126:26 *2134:36 0.00616574 +97 *2126:26 *2134:40 2.56976e-05 +98 *2126:26 *2134:44 0.00390482 +99 *2132:38 *2134:19 0.00302716 +*RES +1 *22570:HI[326] *2134:19 39.6502 +2 *2134:19 *2134:20 58.9568 +3 *2134:20 *2134:35 31.1752 +4 *2134:35 *2134:36 188.734 +5 *2134:36 *2134:38 0.578717 +6 *2134:38 *2134:40 96.1152 +7 *2134:40 *2134:42 0.578717 +8 *2134:42 *2134:44 181.801 +9 *2134:44 *2134:48 9.34387 +10 *2134:48 *6307:DIODE 9.24915 +11 *2134:48 *23500:TE 9.97254 +*END + +*D_NET *2135 0.176387 +*CONN +*I *23501:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6309:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[327] O *D mprj_logic_high +*CAP +1 *23501:TE 6.68847e-05 +2 *6309:DIODE 0 +3 *22570:HI[327] 0.0072815 +4 *2135:55 0.00102864 +5 *2135:52 0.00418599 +6 *2135:41 0.00671901 +7 *2135:24 0.0119851 +8 *2135:20 0.0157718 +9 *23501:TE *2824:59 0.000133333 +10 *2135:20 *23423:A 0.000691825 +11 *2135:20 *2137:23 0.00186165 +12 *2135:20 *2164:26 0.00357705 +13 *2135:20 *2198:29 0.00167218 +14 *2135:20 *2206:32 0.00124876 +15 *2135:20 *2342:8 0.00101704 +16 *2135:20 *2595:14 4.49154e-05 +17 *2135:20 *2863:24 0.000326283 +18 *2135:20 *2887:18 9.43546e-05 +19 *2135:20 *3073:33 5.34206e-05 +20 *2135:20 *3073:41 0.00134503 +21 *2135:20 *3273:33 0.00209328 +22 *2135:20 *3410:13 0.0041948 +23 *2135:24 *2211:25 0 +24 *2135:24 *2234:25 6.2767e-05 +25 *2135:24 *2311:20 0.00010238 +26 *2135:24 *2336:8 0.000999247 +27 *2135:24 *2337:10 0.000221519 +28 *2135:24 *2340:10 0.000232276 +29 *2135:24 *2342:8 0.0238888 +30 *2135:24 *2896:26 2.46559e-05 +31 *2135:24 *3410:13 0.000157189 +32 *2135:41 *2428:8 2.36582e-05 +33 *2135:41 *2625:30 5.65463e-05 +34 *2135:41 *2915:42 2.2979e-05 +35 *2135:41 *2921:36 6.09999e-05 +36 *2135:52 *2431:12 1.77894e-05 +37 *2135:52 *2514:20 1.5714e-05 +38 *2135:55 *3022:20 0 +39 *2135:55 *3085:8 0 +40 *1162:25 *2135:24 0.00068119 +41 *1165:9 *2135:24 0.00391092 +42 *1165:9 *2135:41 0.0101243 +43 *1165:9 *2135:52 0.00543875 +44 *1167:9 *2135:24 0.0271226 +45 *1167:9 *2135:41 0.0156682 +46 *1167:9 *2135:52 0.0145798 +47 *1176:8 *2135:41 5.74984e-05 +48 *1176:9 *2135:52 6.50586e-05 +49 *1176:23 *2135:24 0.000144623 +50 *1176:23 *2135:41 0.00040456 +51 *1178:5 *2135:52 0.000126194 +52 *1192:10 *2135:55 0 +53 *1671:17 *2135:20 0.00231584 +54 *1905:31 *2135:20 0.000206449 +55 *1930:14 *2135:20 0.00010238 +56 *2023:37 *2135:20 0.000488183 +57 *2040:14 *2135:20 0.000141764 +58 *2042:19 *2135:20 0.000217751 +59 *2071:12 *2135:20 0.000130331 +60 *2089:19 *2135:24 0 +61 *2090:21 *2135:41 0 +62 *2091:10 *2135:20 7.23386e-05 +63 *2093:10 *2135:20 0.000108467 +64 *2123:19 *2135:20 0.00300004 +*RES +1 *22570:HI[327] *2135:20 42.6483 +2 *2135:20 *2135:24 49.0451 +3 *2135:24 *2135:41 48.6336 +4 *2135:41 *2135:52 39.7221 +5 *2135:52 *2135:55 25.6412 +6 *2135:55 *6309:DIODE 9.24915 +7 *2135:55 *23501:TE 11.5158 +*END + +*D_NET *2136 0.169293 +*CONN +*I *6311:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23502:TE I *D sky130_fd_sc_hd__einvp_2 +*I *22570:HI[328] O *D mprj_logic_high +*CAP +1 *6311:DIODE 0 +2 *23502:TE 0.000131601 +3 *22570:HI[328] 0.0060965 +4 *2136:52 0.00143154 +5 *2136:48 0.00949218 +6 *2136:45 0.0107652 +7 *2136:37 0.00768865 +8 *2136:17 0.0112122 +9 *23502:TE *2684:8 0.000118873 +10 *2136:17 *2210:19 0 +11 *2136:17 *2217:26 5.60804e-05 +12 *2136:17 *2269:29 0 +13 *2136:17 *2404:47 7.24311e-06 +14 *2136:17 *2612:17 0.000228254 +15 *2136:17 *3173:19 0.00249449 +16 *2136:17 *3273:23 0.00214634 +17 *2136:17 *3362:32 0.00125649 +18 *2136:37 *2246:25 0.000141359 +19 *2136:37 *2253:28 0.000399715 +20 *2136:37 *2269:36 0.00010238 +21 *2136:37 *2504:42 0.000852941 +22 *2136:37 *2913:18 0.000135762 +23 *2136:45 *2273:20 0.000133887 +24 *2136:45 *2500:10 0.000125161 +25 *2136:45 *2629:24 4.65952e-05 +26 *2136:48 *2406:20 0 +27 *2136:48 *2412:11 0.00042863 +28 *2136:48 *2673:11 0.000430405 +29 *2136:48 *2696:11 0.000482095 +30 *2136:48 *2707:17 0.000667113 +31 *2136:48 *2822:15 8.50193e-05 +32 *2136:48 *2825:23 0.000451306 +33 *2136:48 *3023:17 0 +34 *2136:52 *2684:8 0.000920351 +35 *2136:52 *2825:29 0 +36 *2136:52 *3026:20 0.00032326 +37 *2136:52 *3369:6 9.13582e-05 +38 *1155:9 *2136:45 0.00624009 +39 *1161:13 *2136:52 0.000489404 +40 *1169:22 *2136:45 0.000355412 +41 *1171:9 *2136:45 0 +42 *1201:16 *2136:45 0 +43 *1238:13 *2136:48 0.000469007 +44 *1365:10 *23502:TE 0 +45 *1955:28 *2136:37 0.000159964 +46 *1964:8 *2136:37 0.000120587 +47 *1979:19 *2136:17 0.00336041 +48 *1979:26 *2136:37 0.0178626 +49 *1979:31 *2136:48 0.0137132 +50 *1986:20 *2136:37 0.0178692 +51 *1986:30 *2136:48 0.0172001 +52 *1992:18 *2136:48 0.000941315 +53 *1996:20 *2136:17 0.00257377 +54 *1996:32 *2136:17 2.56038e-06 +55 *1996:32 *2136:37 0.00543196 +56 *1996:52 *2136:48 0.00042863 +57 *2024:29 *2136:17 8.56518e-05 +58 *2051:19 *2136:17 4.81557e-05 +59 *2057:17 *2136:17 7.73552e-06 +60 *2069:29 *2136:37 0.00144528 +61 *2086:28 *2136:17 0.000296541 +62 *2087:38 *2136:17 0.000334962 +63 *2087:46 *2136:17 0.000776136 +64 *2100:23 *2136:17 0.000930779 +65 *2100:28 *2136:17 0.000231712 +66 *2115:19 *2136:17 0.00374651 +67 *2115:22 *2136:37 0.0051949 +68 *2115:26 *2136:45 0.00012309 +69 *2128:19 *2136:17 0.00973442 +70 *2128:22 *2136:17 0.000176299 +71 *2132:7 *2136:17 0 +*RES +1 *22570:HI[328] *2136:17 47.6387 +2 *2136:17 *2136:37 46.6316 +3 *2136:37 *2136:45 21.0032 +4 *2136:45 *2136:48 36.1565 +5 *2136:48 *2136:52 44.6385 +6 *2136:52 *23502:TE 22.5727 +7 *2136:52 *6311:DIODE 9.24915 +*END + +*D_NET *2137 0.145151 +*CONN +*I *23503:TE I *D sky130_fd_sc_hd__einvp_4 +*I *6313:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[329] O *D mprj_logic_high +*CAP +1 *23503:TE 0 +2 *6313:DIODE 0.000107855 +3 *22570:HI[329] 0.00271348 +4 *2137:78 0.00083046 +5 *2137:76 0.00125467 +6 *2137:69 0.000946674 +7 *2137:56 0.00129224 +8 *2137:50 0.00233318 +9 *2137:48 0.0024169 +10 *2137:40 0.00171141 +11 *2137:38 0.00199723 +12 *2137:34 0.00208035 +13 *2137:24 0.00502464 +14 *2137:23 0.00690493 +15 *6313:DIODE *3022:20 0 +16 *2137:23 *2325:16 0.00307658 +17 *2137:23 *2863:24 0.00185987 +18 *2137:24 *22574:TE 6.50586e-05 +19 *2137:24 *2236:19 0.000811216 +20 *2137:24 *2321:14 0.000566004 +21 *2137:24 *3051:24 0.00010238 +22 *2137:24 *3106:19 0.00659964 +23 *2137:24 *3125:23 0.000458544 +24 *2137:34 *22574:TE 2.15348e-05 +25 *2137:38 *22543:TE 0.000171288 +26 *2137:40 *3509:DIODE 9.90819e-05 +27 *2137:40 *2931:77 0.000515819 +28 *2137:48 *2585:12 6.16595e-06 +29 *2137:48 *3069:9 6.93747e-05 +30 *2137:50 *2355:7 0.00106048 +31 *2137:50 *3226:10 0.00259145 +32 *2137:56 *3524:DIODE 2.16355e-05 +33 *2137:56 *21331:A 6.08467e-05 +34 *2137:69 *2410:6 3.77568e-05 +35 *2137:69 *3011:20 1.79239e-05 +36 *2137:69 *3358:13 2.33103e-06 +37 *2137:76 *3527:DIODE 0.00015709 +38 *2137:76 *21337:A 0.000118166 +39 *2137:76 *21401:A 0.000169862 +40 *2137:78 *3533:DIODE 0.00027175 +41 *2137:78 *3604:DIODE 0.000268798 +42 *2137:78 *21409:A 0.000220044 +43 *2137:78 *21410:A 0.000224381 +44 *2137:78 *3024:29 1.92172e-05 +45 *4462:DIODE *2137:78 0.000167701 +46 *4466:DIODE *2137:50 0.000462147 +47 *5087:DIODE *2137:34 6.50727e-05 +48 *5635:DIODE *2137:50 0.000171456 +49 *6039:DIODE *2137:50 0.000107496 +50 *6280:DIODE *2137:76 3.82228e-05 +51 *6490:DIODE *2137:38 3.01629e-05 +52 *22253:A *2137:50 1.92172e-05 +53 *22253:TE *2137:48 2.07503e-05 +54 *22258:TE *2137:76 7.79229e-05 +55 *22543:A *2137:38 8.39059e-05 +56 *22574:A *2137:34 5.0715e-05 +57 *23366:B *2137:50 1.65872e-05 +58 *23487:A *2137:76 5.04829e-06 +59 *23592:TE *2137:34 0.000273916 +60 *1163:15 *2137:69 6.82074e-05 +61 *1165:15 *2137:24 0.0186137 +62 *1169:10 *2137:56 9.2932e-05 +63 *1171:26 *2137:23 0.00305962 +64 *1184:5 *2137:78 4.33819e-05 +65 *1185:5 *2137:50 0.000144353 +66 *1185:5 *2137:56 0.000516607 +67 *1185:5 *2137:69 0.000670084 +68 *1185:5 *2137:76 0.00124078 +69 *1185:5 *2137:78 0.00159503 +70 *1185:17 *2137:38 0.000480649 +71 *1185:17 *2137:40 0.00177828 +72 *1185:17 *2137:50 0.000407712 +73 *1185:29 *2137:24 0.00317296 +74 *1185:29 *2137:34 0.00194054 +75 *1185:29 *2137:38 8.41032e-05 +76 *1190:17 *2137:56 0.00237238 +77 *1191:13 *2137:78 0.000134215 +78 *1191:15 *2137:50 4.80874e-05 +79 *1191:15 *2137:78 0.00018038 +80 *1195:5 *2137:78 0.00572548 +81 *1195:17 *2137:76 0.00272985 +82 *1195:28 *2137:69 1.93659e-05 +83 *1195:32 *2137:56 0.00355022 +84 *1198:41 *2137:34 1.18461e-05 +85 *1198:41 *2137:38 0.000719152 +86 *1198:52 *2137:34 0.000637327 +87 *1224:23 *2137:50 0.000277502 +88 *1345:10 *2137:56 0.000530137 +89 *1366:9 *6313:DIODE 3.31882e-05 +90 *1390:23 *2137:24 0.00211106 +91 *1455:9 *2137:38 1.9503e-05 +92 *1615:17 *2137:50 0.000143741 +93 *1729:11 *2137:48 4.58003e-05 +94 *1733:12 *2137:69 1.9101e-05 +95 *1743:14 *6313:DIODE 5.27538e-05 +96 *1977:32 *2137:23 0.000238487 +97 *1988:32 *2137:40 0.000118134 +98 *1988:32 *2137:69 0.000430983 +99 *1988:39 *2137:69 4.12833e-05 +100 *1989:52 *2137:23 0.00155826 +101 *2002:16 *2137:23 2.65632e-05 +102 *2075:27 *2137:23 0.000545506 +103 *2079:20 *2137:23 0.000422203 +104 *2085:25 *2137:23 7.09666e-06 +105 *2085:26 *2137:24 5.32746e-05 +106 *2101:32 *2137:24 0.0308482 +107 *2101:44 *2137:40 0.00422283 +108 *2101:44 *2137:48 0.000324166 +109 *2101:54 *2137:48 0.000166264 +110 *2101:54 *2137:50 0.000111722 +111 *2119:42 *2137:69 3.52699e-05 +112 *2135:20 *2137:23 0.00186165 +*RES +1 *22570:HI[329] *2137:23 26.2301 +2 *2137:23 *2137:24 371.753 +3 *2137:24 *2137:34 33.9514 +4 *2137:34 *2137:38 35.6875 +5 *2137:38 *2137:40 51.1923 +6 *2137:40 *2137:48 24.6141 +7 *2137:48 *2137:50 56.1838 +8 *2137:50 *2137:56 49.0221 +9 *2137:56 *2137:69 24.9505 +10 *2137:69 *2137:76 35.3258 +11 *2137:76 *2137:78 62.839 +12 *2137:78 *6313:DIODE 20.9116 +13 *2137:78 *23503:TE 9.24915 +*END + +*D_NET *2138 0.00295641 +*CONN +*I *22517:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4977:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[32] O *D mprj_logic_high +*CAP +1 *22517:TE 5.25658e-05 +2 *4977:DIODE 4.27457e-05 +3 *22570:HI[32] 0.000950215 +4 *2138:8 0.00104553 +5 *22517:TE *2151:37 9.12416e-06 +6 *2138:8 *2142:13 0.000167595 +7 *1967:16 *4977:DIODE 6.36477e-05 +8 *1967:16 *2138:8 0.000419041 +9 *2007:13 *2138:8 0.000190237 +10 *2120:10 *2138:8 0 +11 *2132:25 *2138:8 1.5714e-05 +*RES +1 *22570:HI[32] *2138:8 23.5173 +2 *2138:8 *4977:DIODE 9.97254 +3 *2138:8 *22517:TE 19.2506 +*END + +*D_NET *2139 0.0770155 +*CONN +*I *23217:B I *D sky130_fd_sc_hd__and2_1 +*I *5741:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[330] O *D mprj_logic_high +*CAP +1 *23217:B 0 +2 *5741:DIODE 8.765e-05 +3 *22570:HI[330] 0.00156957 +4 *2139:19 0.000147084 +5 *2139:14 0.0070501 +6 *2139:13 0.00827867 +7 *2139:7 0.00285757 +8 *5741:DIODE *2590:15 6.50727e-05 +9 *2139:7 *2595:14 0 +10 *2139:7 *2736:17 0 +11 *2139:7 *3262:48 1.30304e-05 +12 *2139:7 *3287:12 0.000690019 +13 *2139:13 *23411:A 1.00981e-05 +14 *2139:13 *2592:15 2.22923e-05 +15 *2139:13 *3140:27 0.00198914 +16 *2139:14 *6074:DIODE 0.000215704 +17 *2139:14 *2143:14 0.0309726 +18 *2139:14 *2732:15 0.000163418 +19 *2139:14 *3235:5 0.00167719 +20 *6151:DIODE *2139:7 9.38345e-06 +21 *23145:A *2139:14 0.000217923 +22 *23154:A *2139:14 0.000113002 +23 *23216:A *2139:14 9.90116e-05 +24 *1150:15 *2139:14 0.00108921 +25 *1150:21 *2139:14 5.30929e-05 +26 *1150:23 *2139:14 0.0150699 +27 *1394:20 *2139:7 0.000485421 +28 *1541:8 *2139:7 0 +29 *1631:11 *2139:19 0.000174175 +30 *1653:11 *2139:14 6.50727e-05 +31 *1653:11 *2139:19 0.000149628 +32 *1657:9 *2139:14 0.000438602 +33 *1668:18 *2139:7 0.000115483 +34 *1669:6 *2139:7 0 +35 *1719:15 *2139:14 0.000722563 +36 *2011:38 *2139:14 0.000287157 +37 *2011:42 *2139:14 0.00206533 +38 *2019:17 *2139:7 5.13478e-05 +39 *2027:17 *2139:7 0 +40 *2063:29 *2139:7 0 +41 *2071:11 *2139:7 0 +*RES +1 *22570:HI[330] *2139:7 40.9201 +2 *2139:7 *2139:13 32.6303 +3 *2139:13 *2139:14 397.82 +4 *2139:14 *2139:19 12.0778 +5 *2139:19 *5741:DIODE 11.0817 +6 *2139:19 *23217:B 9.24915 +*END + +*D_NET *2140 0.089961 +*CONN +*I *23256:B I *D sky130_fd_sc_hd__and2_1 +*I *5819:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[331] O *D mprj_logic_high +*CAP +1 *23256:B 2.81427e-05 +2 *5819:DIODE 6.91046e-05 +3 *22570:HI[331] 0.00162895 +4 *2140:16 0.000310723 +5 *2140:8 0.0260302 +6 *2140:7 0.0274456 +7 *5819:DIODE *23256:A 6.50727e-05 +8 *23256:B *23345:A 0 +9 *2140:7 *23457:A 7.5909e-06 +10 *2140:7 *2151:11 0.000269062 +11 *2140:7 *2256:31 0 +12 *2140:7 *2404:47 0.000140133 +13 *2140:7 *2479:44 5.49916e-05 +14 *2140:7 *2492:28 4.84228e-05 +15 *2140:8 *5873:DIODE 6.73022e-05 +16 *2140:8 *5877:DIODE 0.000158357 +17 *2140:8 *5885:DIODE 0.000167076 +18 *2140:8 *5920:DIODE 6.6641e-05 +19 *2140:8 *6132:DIODE 0.000167076 +20 *2140:8 *23307:B 0.000327014 +21 *2140:8 *23313:B 0.000319829 +22 *2140:8 *23324:A 0.000105847 +23 *2140:8 *23324:B 0.000224395 +24 *2140:8 *23411:A 0.000540793 +25 *2140:8 *23413:A 0.000224381 +26 *2140:8 *23417:A 7.26455e-05 +27 *2140:8 *23441:A 6.08467e-05 +28 *2140:8 *23453:A 0.000432613 +29 *2140:8 *2143:13 0.000605051 +30 *2140:8 *2143:20 6.08467e-05 +31 *2140:8 *2151:47 0.000527891 +32 *2140:8 *2218:20 0.000640562 +33 *2140:8 *2232:19 0.00010677 +34 *2140:8 *2255:26 0.00407006 +35 *2140:8 *2579:9 0.000858955 +36 *2140:8 *2708:17 1.53125e-05 +37 *2140:8 *2727:13 0.000156579 +38 *2140:8 *3140:27 0.000170214 +39 *2140:8 *3173:25 7.6719e-06 +40 *2140:8 *3184:26 0.000108464 +41 *2140:8 *3206:9 0.00391397 +42 *2140:8 *3239:27 0.00202784 +43 *2140:8 *3260:8 0.00104472 +44 *2140:16 *2886:6 0.000186445 +45 *6075:DIODE *2140:8 6.50586e-05 +46 *6129:DIODE *2140:8 4.82966e-05 +47 *6133:DIODE *2140:8 3.83336e-05 +48 *6177:DIODE *2140:8 6.14884e-05 +49 *6213:DIODE *2140:8 4.56667e-05 +50 *23142:A *2140:8 0.000207266 +51 *23177:A *2140:8 0.000277488 +52 *23191:A *2140:8 0.000265296 +53 *23345:B *2140:16 4.55535e-05 +54 *23417:B *2140:8 0.000162739 +55 *23441:B *2140:8 0.000222979 +56 *1152:44 *2140:8 0.00073823 +57 *1156:25 *2140:8 7.78853e-05 +58 *1156:27 *2140:8 0.00013013 +59 *1158:28 *2140:7 0.00091886 +60 *1503:7 *23256:B 0 +61 *1503:7 *2140:16 0.000171273 +62 *1530:5 *2140:8 3.07159e-05 +63 *1532:5 *2140:8 6.50586e-05 +64 *1536:5 *2140:8 0.000455564 +65 *1554:5 *2140:8 0.000428965 +66 *1560:5 *2140:8 6.52286e-05 +67 *1571:5 *2140:8 0.000118166 +68 *1572:5 *2140:8 0.000101539 +69 *1592:10 *5819:DIODE 3.83172e-05 +70 *1592:10 *23256:B 6.50727e-05 +71 *1592:10 *2140:16 9.82896e-06 +72 *1653:11 *2140:16 0 +73 *1658:5 *2140:8 0.000527931 +74 *1680:11 *2140:8 0.000585366 +75 *1970:57 *2140:7 4.04993e-05 +76 *1977:41 *2140:7 3.10264e-05 +77 *1991:30 *2140:8 0.000330805 +78 *2011:20 *2140:8 0.00109659 +79 *2011:28 *2140:8 0.000140081 +80 *2011:30 *2140:8 0.00428537 +81 *2102:19 *2140:7 7.60356e-05 +82 *2113:7 *2140:7 6.59198e-05 +83 *2122:21 *2140:8 0.000430366 +84 *2122:24 *2140:8 0.00396181 +*RES +1 *22570:HI[331] *2140:7 39.2591 +2 *2140:7 *2140:8 703.961 +3 *2140:8 *2140:16 15.4589 +4 *2140:16 *5819:DIODE 11.6364 +5 *2140:16 *23256:B 10.5513 +*END + +*D_NET *2141 0.0908081 +*CONN +*I *5841:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23267:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[332] O *D mprj_logic_high +*CAP +1 *5841:DIODE 5.88613e-05 +2 *23267:B 4.35889e-05 +3 *22570:HI[332] 0.000992549 +4 *2141:46 0.000897762 +5 *2141:42 0.0029816 +6 *2141:40 0.00244694 +7 *2141:32 0.00106488 +8 *2141:31 0.00178192 +9 *2141:20 0.00373852 +10 *2141:19 0.0044155 +11 *2141:10 0.00196032 +12 *2141:7 0.0012982 +13 *5841:DIODE *23267:A 0 +14 *5841:DIODE *2861:12 4.27003e-05 +15 *23267:B *23345:A 5.19451e-05 +16 *2141:7 *2147:28 0 +17 *2141:10 *2146:16 0.000124752 +18 *2141:10 *2147:28 0.000217937 +19 *2141:10 *2147:34 0.00287691 +20 *2141:10 *2376:13 0.0033951 +21 *2141:10 *3051:24 0.00015065 +22 *2141:19 *2186:47 0.000166538 +23 *2141:19 *2479:21 0.0016574 +24 *2141:19 *2739:21 0.000113374 +25 *2141:20 *2145:12 0.00607979 +26 *2141:20 *2145:16 0.00219913 +27 *2141:20 *2145:20 0.0131163 +28 *2141:20 *2145:30 2.16355e-05 +29 *2141:20 *2151:38 0.0247306 +30 *2141:31 *23367:A 2.02035e-05 +31 *2141:31 *2145:27 1.66771e-05 +32 *2141:31 *2365:43 0 +33 *2141:31 *2556:8 2.87136e-06 +34 *2141:31 *3450:20 0.00199038 +35 *2141:32 *2145:30 0.00117227 +36 *2141:32 *2145:34 0.00239118 +37 *2141:32 *3450:21 0.00219682 +38 *2141:40 *2145:34 0.000308604 +39 *2141:40 *2145:39 9.82896e-06 +40 *2141:40 *2549:12 2.22198e-05 +41 *2141:40 *3241:8 1.03986e-05 +42 *2141:42 *5928:DIODE 1.03403e-05 +43 *2141:42 *23300:B 3.14978e-05 +44 *2141:42 *2144:20 0.00034022 +45 *2141:42 *2145:39 0.00345542 +46 *2141:42 *2756:11 0.000728853 +47 *2141:46 *23345:A 6.66147e-05 +48 *2141:46 *23395:A 0.000115934 +49 *2141:46 *2756:11 0.000296615 +50 *5693:DIODE *2141:20 6.50727e-05 +51 *6041:DIODE *2141:20 2.65831e-05 +52 *6097:DIODE *23267:B 3.01683e-06 +53 *6097:DIODE *2141:46 6.50954e-05 +54 *23147:A *2141:20 0.00027103 +55 *1150:26 *2141:31 2.01653e-05 +56 *1514:5 *2141:46 6.92705e-05 +57 *1642:9 *5841:DIODE 2.12377e-05 +58 *1650:11 *2141:20 6.08467e-05 +59 *1673:16 *2141:19 0.000116986 +60 *1955:7 *2141:7 0 +61 *1967:9 *2141:7 0.000191106 +62 *1980:9 *2141:19 0 +63 *2013:7 *2141:7 5.76812e-05 +64 *2065:13 *2141:7 4.69495e-06 +65 *2080:13 *2141:7 2.29098e-05 +*RES +1 *22570:HI[332] *2141:7 23.0642 +2 *2141:7 *2141:10 40.718 +3 *2141:10 *2141:19 45.0462 +4 *2141:19 *2141:20 268.597 +5 *2141:20 *2141:31 19.2218 +6 *2141:31 *2141:32 55.0746 +7 *2141:32 *2141:40 14.4865 +8 *2141:40 *2141:42 70.8808 +9 *2141:42 *2141:46 20.2913 +10 *2141:46 *23267:B 10.5513 +11 *2141:46 *5841:DIODE 20.0811 +*END + +*D_NET *2142 0.111802 +*CONN +*I *23278:B I *D sky130_fd_sc_hd__and2_1 +*I *5863:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[333] O *D mprj_logic_high +*CAP +1 *23278:B 0 +2 *5863:DIODE 5.04042e-05 +3 *22570:HI[333] 0.00171393 +4 *2142:62 0.000992555 +5 *2142:56 0.00412512 +6 *2142:54 0.00321156 +7 *2142:52 0.00394753 +8 *2142:50 0.00430597 +9 *2142:46 0.000847428 +10 *2142:40 0.00182396 +11 *2142:39 0.00191866 +12 *2142:28 0.00128944 +13 *2142:26 0.00128312 +14 *2142:22 0.000786303 +15 *2142:19 0.000611933 +16 *2142:13 0.00208834 +17 *5863:DIODE *23278:A 6.92705e-05 +18 *2142:13 *23452:A 9.11074e-06 +19 *2142:13 *2471:18 8.61447e-05 +20 *2142:19 *2371:13 0.002017 +21 *2142:19 *2767:14 0 +22 *2142:19 *3428:29 0.000133887 +23 *2142:22 *2479:33 0.0018911 +24 *2142:22 *3461:39 0.000520645 +25 *2142:26 *5930:DIODE 0.000365048 +26 *2142:26 *23312:B 0.000271969 +27 *2142:26 *2217:26 0.00062786 +28 *2142:26 *2479:33 0.00153693 +29 *2142:26 *3461:39 0.00300402 +30 *2142:28 *6186:DIODE 6.50727e-05 +31 *2142:28 *23312:B 1.65872e-05 +32 *2142:28 *23432:A 0.000329194 +33 *2142:28 *2208:22 2.32625e-05 +34 *2142:28 *2479:33 0.00536605 +35 *2142:28 *3373:36 0.000210987 +36 *2142:28 *3461:53 0.00020005 +37 *2142:39 *6166:DIODE 3.31882e-05 +38 *2142:39 *23302:B 0 +39 *2142:39 *2191:17 4.51143e-05 +40 *2142:39 *2206:32 0.00135041 +41 *2142:39 *2479:32 0.000172483 +42 *2142:39 *3350:52 0.00212753 +43 *2142:40 *2144:10 0.00238476 +44 *2142:40 *2177:24 0.00103493 +45 *2142:40 *2186:47 0.00010238 +46 *2142:40 *2191:17 0.00030363 +47 *2142:40 *2479:21 0.00972087 +48 *2142:46 *23282:A 0.000115934 +49 *2142:46 *2144:10 0.00409551 +50 *2142:46 *2479:21 0.00041161 +51 *2142:46 *2724:11 0.00301486 +52 *2142:50 *2144:10 0.000572389 +53 *2142:50 *2479:21 0.00260431 +54 *2142:52 *23273:A 6.50727e-05 +55 *2142:52 *23367:A 0.000158997 +56 *2142:52 *2144:10 0.0210807 +57 *2142:52 *2144:20 0.000225992 +58 *2142:52 *2479:21 0.00174824 +59 *2142:52 *3328:9 0.00417293 +60 *2142:54 *23428:A 3.60363e-05 +61 *2142:56 *23300:B 2.16355e-05 +62 *2142:56 *23428:A 0.000524321 +63 *2142:56 *3328:9 1.69394e-06 +64 *2142:62 *23406:A 0.000593901 +65 *5676:DIODE *2142:50 0.000324151 +66 *5715:DIODE *2142:22 0.000326273 +67 *6119:DIODE *2142:62 3.34025e-05 +68 *6167:DIODE *2142:40 0.000108281 +69 *6185:DIODE *2142:56 7.48633e-05 +70 *1151:47 *2142:19 0.00200998 +71 *1152:44 *2142:13 0.000267821 +72 *1520:8 *2142:50 0.00057454 +73 *1525:5 *2142:62 0.000211492 +74 *1547:7 *2142:56 2.98551e-05 +75 *1558:7 *2142:56 3.36252e-05 +76 *1653:11 *5863:DIODE 2.39581e-05 +77 *1653:11 *2142:62 0.000148806 +78 *1656:9 *2142:50 0.000309968 +79 *1679:11 *2142:39 9.21618e-06 +80 *1686:7 *2142:56 0.00229068 +81 *1695:9 *2142:22 0.000152878 +82 *1967:27 *2142:13 1.4774e-05 +83 *1991:12 *2142:28 0.00127808 +84 *1991:14 *2142:26 1.41689e-05 +85 *1991:14 *2142:28 0.000370963 +86 *1991:26 *2142:22 0.000222215 +87 *2007:13 *2142:13 0.000186776 +88 *2090:7 *2142:19 0.000157541 +89 *2122:13 *2142:13 0 +90 *2138:8 *2142:13 0.000167595 +*RES +1 *22570:HI[333] *2142:13 38.4041 +2 *2142:13 *2142:19 34.2913 +3 *2142:19 *2142:22 20.9905 +4 *2142:22 *2142:26 43.1747 +5 *2142:26 *2142:28 57.8476 +6 *2142:28 *2142:39 20.1288 +7 *2142:39 *2142:40 104.434 +8 *2142:40 *2142:46 45.1399 +9 *2142:46 *2142:50 29.0323 +10 *2142:50 *2142:52 234.766 +11 *2142:52 *2142:54 0.988641 +12 *2142:54 *2142:56 51.4696 +13 *2142:56 *2142:62 26.2713 +14 *2142:62 *5863:DIODE 11.0817 +15 *2142:62 *23278:B 9.24915 +*END + +*D_NET *2143 0.102823 +*CONN +*I *23289:B I *D sky130_fd_sc_hd__and2_1 +*I *5885:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[334] O *D mprj_logic_high +*CAP +1 *23289:B 0 +2 *5885:DIODE 5.74119e-05 +3 *22570:HI[334] 0.00204157 +4 *2143:20 0.000123877 +5 *2143:14 0.0260808 +6 *2143:13 0.0265607 +7 *2143:7 0.00258794 +8 *2143:7 *2149:8 0 +9 *2143:13 *5920:DIODE 0.000114594 +10 *2143:13 *2752:10 0 +11 *2143:14 *6138:DIODE 6.92705e-05 +12 *2143:14 *2189:31 0.000130331 +13 *2143:14 *2734:15 7.8651e-05 +14 *2143:14 *3173:39 1.41689e-05 +15 *2143:14 *3195:21 0.00418917 +16 *2143:14 *3239:37 0.00600669 +17 *2143:20 *2544:10 0.000149628 +18 *2143:20 *2689:18 0.000153225 +19 *1159:40 *2143:13 0 +20 *2011:28 *2143:13 0.00252859 +21 *2011:38 *2143:14 0.000130559 +22 *2111:9 *2143:7 0 +23 *2139:14 *2143:14 0.0309726 +24 *2140:8 *5885:DIODE 0.000167076 +25 *2140:8 *2143:13 0.000605051 +26 *2140:8 *2143:20 6.08467e-05 +*RES +1 *22570:HI[334] *2143:7 40.5048 +2 *2143:7 *2143:13 38.1764 +3 *2143:13 *2143:14 462.708 +4 *2143:14 *2143:20 12.3859 +5 *2143:20 *5885:DIODE 11.0817 +6 *2143:20 *23289:B 9.24915 +*END + +*D_NET *2144 0.0661063 +*CONN +*I *5907:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23300:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[335] O *D mprj_logic_high +*CAP +1 *5907:DIODE 0 +2 *23300:B 0.000129906 +3 *22570:HI[335] 0.00132766 +4 *2144:20 0.00112102 +5 *2144:10 0.0101965 +6 *2144:9 0.0105331 +7 *23300:B *2545:6 0.000153225 +8 *2144:9 *2145:11 0 +9 *2144:9 *2202:33 2.1558e-06 +10 *2144:9 *2394:10 8.20942e-05 +11 *2144:9 *2739:21 0.00048139 +12 *2144:9 *2742:8 0 +13 *2144:10 *2177:24 0.0110262 +14 *2144:20 *2687:12 0.000191541 +15 *2144:20 *3240:8 0.000195139 +16 *5671:DIODE *2144:10 6.92705e-05 +17 *5676:DIODE *2144:10 0.000324151 +18 *1520:8 *2144:10 0.000570328 +19 *1656:9 *2144:10 0.000319954 +20 *1673:16 *2144:9 0.000565337 +21 *1675:8 *23300:B 6.46135e-05 +22 *1965:13 *2144:9 0 +23 *2141:42 *23300:B 3.14978e-05 +24 *2141:42 *2144:20 0.00034022 +25 *2142:40 *2144:10 0.00238476 +26 *2142:46 *2144:10 0.00409551 +27 *2142:50 *2144:10 0.000572389 +28 *2142:52 *2144:10 0.0210807 +29 *2142:52 *2144:20 0.000225992 +30 *2142:56 *23300:B 2.16355e-05 +*RES +1 *22570:HI[335] *2144:9 33.3478 +2 *2144:9 *2144:10 358.72 +3 *2144:10 *2144:20 28.1063 +4 *2144:20 *23300:B 22.3584 +5 *2144:20 *5907:DIODE 9.24915 +*END + +*D_NET *2145 0.0692125 +*CONN +*I *23311:B I *D sky130_fd_sc_hd__and2_1 +*I *5929:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[336] O *D mprj_logic_high +*CAP +1 *23311:B 0 +2 *5929:DIODE 6.05617e-05 +3 *22570:HI[336] 0.00156091 +4 *2145:41 6.05617e-05 +5 *2145:39 0.000540434 +6 *2145:34 0.00147014 +7 *2145:30 0.00412333 +8 *2145:27 0.00327305 +9 *2145:20 0.00166754 +10 *2145:18 0.00160874 +11 *2145:16 0.00209115 +12 *2145:14 0.00209115 +13 *2145:12 0.00181688 +14 *2145:11 0.00335716 +15 *2145:11 *2598:8 0 +16 *2145:11 *2881:11 0.000845674 +17 *2145:20 *5852:DIODE 4.58003e-05 +18 *2145:20 *2714:11 0.000822087 +19 *2145:20 *3450:9 0.0104617 +20 *2145:27 *23367:A 1.85096e-05 +21 *2145:27 *2151:47 2.28508e-05 +22 *2145:27 *2702:8 5.66868e-06 +23 *2145:30 *5785:DIODE 8.3247e-06 +24 *2145:30 *23367:A 9.41522e-06 +25 *2145:34 *3450:21 6.01574e-05 +26 *2145:39 *23439:A 0.000111722 +27 *2145:39 *2638:24 0.000226281 +28 *2145:39 *2688:10 0.000222684 +29 *2145:39 *3450:21 0.00316679 +30 *23147:A *2145:16 0.00027103 +31 *1486:11 *2145:30 1.83795e-06 +32 *1650:11 *2145:16 5.08751e-05 +33 *1673:16 *2145:11 0.000164903 +34 *1686:7 *5929:DIODE 0.000164815 +35 *1988:7 *2145:11 0 +36 *1989:52 *2145:11 3.89157e-05 +37 *2040:13 *2145:11 0 +38 *2141:20 *2145:12 0.00607979 +39 *2141:20 *2145:16 0.00219913 +40 *2141:20 *2145:20 0.0131163 +41 *2141:20 *2145:30 2.16355e-05 +42 *2141:31 *2145:27 1.66771e-05 +43 *2141:32 *2145:30 0.00117227 +44 *2141:32 *2145:34 0.00239118 +45 *2141:40 *2145:34 0.000308604 +46 *2141:40 *2145:39 9.82896e-06 +47 *2141:42 *2145:39 0.00345542 +48 *2144:9 *2145:11 0 +*RES +1 *22570:HI[336] *2145:11 37.6713 +2 *2145:11 *2145:12 65.3347 +3 *2145:12 *2145:14 0.578717 +4 *2145:14 *2145:16 58.4022 +5 *2145:16 *2145:18 0.578717 +6 *2145:18 *2145:20 141.87 +7 *2145:20 *2145:27 11.1495 +8 *2145:27 *2145:30 49.8299 +9 *2145:30 *2145:34 29.7195 +10 *2145:34 *2145:39 46.8447 +11 *2145:39 *2145:41 4.5 +12 *2145:41 *5929:DIODE 11.0817 +13 *2145:41 *23311:B 9.24915 +*END + +*D_NET *2146 0.104522 +*CONN +*I *23322:B I *D sky130_fd_sc_hd__and2_1 +*I *5951:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[337] O *D mprj_logic_high +*CAP +1 *23322:B 0 +2 *5951:DIODE 7.44539e-05 +3 *22570:HI[337] 0.000709166 +4 *2146:36 0.00186686 +5 *2146:30 0.00266648 +6 *2146:24 0.00334203 +7 *2146:21 0.00265823 +8 *2146:16 0.00765345 +9 *2146:15 0.00771743 +10 *2146:10 0.00180498 +11 *2146:9 0.0022599 +12 *2146:9 *2254:35 3.62022e-05 +13 *2146:10 *2151:14 0.000673861 +14 *2146:10 *2151:24 0.00120772 +15 *2146:10 *2152:8 0.00651412 +16 *2146:10 *2378:9 0.00637417 +17 *2146:15 *2219:19 7.30397e-05 +18 *2146:15 *3262:26 0.000329051 +19 *2146:16 *5846:DIODE 0.000268878 +20 *2146:16 *5897:DIODE 6.08467e-05 +21 *2146:16 *5913:DIODE 0.000164815 +22 *2146:16 *6112:DIODE 6.92705e-05 +23 *2146:16 *6150:DIODE 0.000209312 +24 *2146:16 *22518:TE 0.000216401 +25 *2146:16 *23422:A 4.88955e-05 +26 *2146:16 *23431:A 0.000430929 +27 *2146:16 *2147:28 0.000351747 +28 *2146:16 *2147:34 0.00558931 +29 *2146:16 *2147:55 0.0031972 +30 *2146:16 *2147:68 0.00850519 +31 *2146:16 *2148:14 0.00439033 +32 *2146:16 *2148:20 0.00268889 +33 *2146:16 *2148:26 0.000164829 +34 *2146:16 *2148:28 9.07417e-05 +35 *2146:16 *2149:8 0.00154576 +36 *2146:16 *2174:25 0.000123737 +37 *2146:16 *2376:13 6.12079e-05 +38 *2146:16 *2509:32 0.00303145 +39 *2146:16 *2962:14 0.00319066 +40 *2146:16 *3051:24 0.000181021 +41 *2146:16 *3262:27 0.00616483 +42 *2146:16 *3270:7 0.00129177 +43 *2146:16 *3282:8 0.000113968 +44 *2146:21 *2664:20 8.62625e-06 +45 *2146:21 *2706:8 0 +46 *2146:21 *3258:8 0 +47 *2146:24 *2962:17 0.00414674 +48 *2146:30 *23333:B 3.31733e-05 +49 *2146:30 *2376:13 0.00278989 +50 *2146:30 *2558:12 0.000254405 +51 *2146:30 *2559:10 8.90468e-05 +52 *2146:36 *23450:A 6.08467e-05 +53 *2146:36 *2376:13 2.11937e-05 +54 *5685:DIODE *2146:16 0.000434578 +55 *6113:DIODE *2146:16 0.000169041 +56 *6151:DIODE *2146:16 6.50586e-05 +57 *6169:DIODE *2146:16 4.09471e-05 +58 *6207:DIODE *2146:36 0.000171273 +59 *22515:TE *2146:16 0.000266707 +60 *22531:A *2146:16 0.000116764 +61 *23151:A *2146:16 0.0002646 +62 *23167:A *2146:16 0.000211464 +63 *23531:A *2146:16 9.97706e-05 +64 *23531:TE *2146:16 0.000114584 +65 *1172:35 *2146:16 0.000726082 +66 *1394:27 *2146:16 0.00033061 +67 *1550:5 *2146:16 6.78596e-05 +68 *1569:7 *2146:36 0.000153749 +69 *1650:11 *2146:16 0.000171288 +70 *1654:15 *2146:16 5.31074e-05 +71 *1697:9 *5951:DIODE 0.0001656 +72 *1697:9 *2146:36 8.34e-05 +73 *1708:11 *2146:30 0.000295926 +74 *1955:28 *2146:9 1.23967e-05 +75 *1964:7 *2146:9 0 +76 *1985:22 *2146:16 0.000825264 +77 *1985:24 *2146:16 0.00136674 +78 *1992:12 *2146:10 0.00010512 +79 *2016:8 *2146:10 0.00152259 +80 *2069:29 *2146:9 0.000175761 +81 *2070:16 *2146:9 9.85594e-05 +82 *2098:11 *2146:10 1.15389e-05 +83 *2099:8 *2146:10 0.000738926 +84 *2102:19 *2146:9 2.05972e-05 +85 *2141:10 *2146:16 0.000124752 +*RES +1 *22570:HI[337] *2146:9 17.9835 +2 *2146:9 *2146:10 107.207 +3 *2146:10 *2146:15 15.815 +4 *2146:15 *2146:16 366.762 +5 *2146:16 *2146:21 12.9083 +6 *2146:21 *2146:24 49.0371 +7 *2146:24 *2146:30 40.6221 +8 *2146:30 *2146:36 39.4613 +9 *2146:36 *5951:DIODE 11.6605 +10 *2146:36 *23322:B 9.24915 +*END + +*D_NET *2147 0.0663404 +*CONN +*I *23333:B I *D sky130_fd_sc_hd__and2_1 +*I *5973:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[338] O *D mprj_logic_high +*CAP +1 *23333:B 4.08506e-05 +2 *5973:DIODE 0.000106138 +3 *22570:HI[338] 0.00141698 +4 *2147:86 0.000501542 +5 *2147:85 0.00162448 +6 *2147:68 0.00236512 +7 *2147:67 0.00109519 +8 *2147:65 0.00205326 +9 *2147:55 0.00249291 +10 *2147:49 0.00100594 +11 *2147:42 0.000983145 +12 *2147:34 0.00107218 +13 *2147:33 0.000830871 +14 *2147:28 0.00159253 +15 *5973:DIODE *2376:13 0.000164815 +16 *23333:B *2558:12 1.37086e-05 +17 *23333:B *2559:10 7.2465e-05 +18 *2147:28 *2152:33 0.000328363 +19 *2147:28 *2376:13 0.000236843 +20 *2147:28 *2889:11 2.52321e-05 +21 *2147:33 *2888:13 0 +22 *2147:33 *2889:11 2.19615e-05 +23 *2147:33 *3339:34 1.5714e-05 +24 *2147:34 *2148:20 0.000805553 +25 *2147:34 *2376:13 0.000825383 +26 *2147:34 *3051:24 0.000163504 +27 *2147:42 *23294:A 0 +28 *2147:42 *2397:7 0.00030722 +29 *2147:42 *2397:17 6.4627e-05 +30 *2147:42 *2879:8 0.000152595 +31 *2147:49 *2174:25 0.000430446 +32 *2147:49 *2397:17 0.000819717 +33 *2147:49 *2591:16 5.09144e-05 +34 *2147:49 *2875:21 0 +35 *2147:49 *3282:8 3.59505e-05 +36 *2147:55 *2148:20 0.0031972 +37 *2147:55 *2726:6 2.33826e-05 +38 *2147:65 *2509:20 1.5714e-05 +39 *2147:65 *2859:14 7.08723e-06 +40 *2147:68 *2148:28 0.00913602 +41 *2147:85 *23461:A 0.000324151 +42 *2147:85 *2376:13 0.000550756 +43 *2147:85 *2560:6 3.73898e-05 +44 *2147:85 *2651:28 9.8526e-05 +45 *2147:86 *2376:13 0.000558391 +46 *4553:DIODE *2147:65 0.000476976 +47 *5689:DIODE *2147:42 0.000169862 +48 *5690:DIODE *2147:42 0.000207816 +49 *6169:DIODE *2147:28 0 +50 *6229:DIODE *2147:85 6.50727e-05 +51 *6251:DIODE *2147:85 7.24449e-05 +52 *22303:TE *2147:65 7.28277e-05 +53 *22531:A *2147:33 0 +54 *23166:A *2147:42 0.000573784 +55 *23166:A *2147:49 2.41483e-05 +56 *23472:B *2147:85 3.01683e-06 +57 *1153:54 *2147:65 0.000541725 +58 *1157:37 *2147:28 0.000319954 +59 *1157:43 *2147:42 0.000452065 +60 *1157:43 *2147:49 0.00320839 +61 *1157:47 *2147:65 0.00290999 +62 *1157:61 *2147:65 3.43103e-05 +63 *1268:14 *2147:65 2.65828e-05 +64 *1591:5 *2147:85 0.000307916 +65 *1665:12 *2147:49 7.09666e-06 +66 *1779:6 *2147:65 4.15661e-05 +67 *1967:9 *2147:28 0 +68 *2011:43 *2147:55 0 +69 *2080:10 *2147:28 0.000254519 +70 *2080:13 *2147:28 0.000134103 +71 *2088:24 *2147:28 0 +72 *2141:7 *2147:28 0 +73 *2141:10 *2147:28 0.000217937 +74 *2141:10 *2147:34 0.00287691 +75 *2146:16 *2147:28 0.000351747 +76 *2146:16 *2147:34 0.00558931 +77 *2146:16 *2147:55 0.0031972 +78 *2146:16 *2147:68 0.00850519 +79 *2146:30 *23333:B 3.31733e-05 +*RES +1 *22570:HI[338] *2147:28 47.6483 +2 *2147:28 *2147:33 12.0778 +3 *2147:33 *2147:34 60.6206 +4 *2147:34 *2147:42 28.9088 +5 *2147:42 *2147:49 45.6621 +6 *2147:49 *2147:55 45.8015 +7 *2147:55 *2147:65 46.7299 +8 *2147:65 *2147:67 4.5 +9 *2147:67 *2147:68 98.8882 +10 *2147:68 *2147:85 45.2415 +11 *2147:85 *2147:86 6.26943 +12 *2147:86 *5973:DIODE 11.0817 +13 *2147:86 *23333:B 19.6659 +*END + +*D_NET *2148 0.0591985 +*CONN +*I *23344:B I *D sky130_fd_sc_hd__and2_1 +*I *5995:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[339] O *D mprj_logic_high +*CAP +1 *23344:B 4.20763e-05 +2 *5995:DIODE 0.000101097 +3 *22570:HI[339] 0.00116585 +4 *2148:34 0.000666899 +5 *2148:28 0.0015434 +6 *2148:26 0.0010769 +7 *2148:20 0.00150662 +8 *2148:19 0.00151774 +9 *2148:14 0.00269396 +10 *2148:13 0.00379146 +11 *5995:DIODE *2376:13 0.000171273 +12 *23344:B *23344:A 5.22654e-06 +13 *23344:B *2705:8 7.75615e-05 +14 *2148:13 *2368:22 7.60612e-05 +15 *2148:13 *2888:13 9.43355e-05 +16 *2148:14 *3051:24 0.000196901 +17 *2148:19 *2598:8 0 +18 *2148:19 *2881:11 6.04335e-05 +19 *2148:20 *6112:DIODE 6.50586e-05 +20 *2148:20 *6150:DIODE 0.000205101 +21 *2148:20 *23422:A 6.50727e-05 +22 *2148:20 *2376:13 0.0116254 +23 *2148:20 *3051:24 0.000318594 +24 *2148:26 *2376:13 2.83378e-05 +25 *2148:28 *2376:13 0.00938223 +26 *2148:34 *2376:13 0.000777251 +27 *2148:34 *2706:8 0 +28 *4809:DIODE *2148:26 6.50727e-05 +29 *5685:DIODE *2148:20 0.00043038 +30 *6113:DIODE *2148:20 0.000164829 +31 *22531:A *2148:13 0.000227357 +32 *23151:A *2148:20 0.0002646 +33 *23167:A *2148:20 0.000211464 +34 *1151:80 *23344:B 0 +35 *1654:15 *2148:20 6.50586e-05 +36 *1955:7 *2148:13 0 +37 *1983:7 *2148:13 0 +38 *2027:13 *2148:13 4.13293e-05 +39 *2146:16 *2148:14 0.00439033 +40 *2146:16 *2148:20 0.00268889 +41 *2146:16 *2148:26 0.000164829 +42 *2146:16 *2148:28 9.07417e-05 +43 *2147:34 *2148:20 0.000805553 +44 *2147:55 *2148:20 0.0031972 +45 *2147:68 *2148:28 0.00913602 +*RES +1 *22570:HI[339] *2148:13 26.5084 +2 *2148:13 *2148:14 47.3101 +3 *2148:14 *2148:19 10.4167 +4 *2148:19 *2148:20 126.064 +5 *2148:20 *2148:26 2.99004 +6 *2148:26 *2148:28 101.661 +7 *2148:28 *2148:34 18.4893 +8 *2148:34 *5995:DIODE 11.0817 +9 *2148:34 *23344:B 19.6659 +*END + +*D_NET *2149 0.00611542 +*CONN +*I *4979:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22518:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[33] O *D mprj_logic_high +*CAP +1 *4979:DIODE 0 +2 *22518:TE 2.76983e-05 +3 *22570:HI[33] 0.00121505 +4 *2149:8 0.00124275 +5 *2149:8 *2896:17 0 +6 *1985:24 *22518:TE 0.000216401 +7 *1985:24 *2149:8 0.00155771 +8 *2024:29 *2149:8 9.36387e-05 +9 *2128:13 *2149:8 0 +10 *2143:7 *2149:8 0 +11 *2146:16 *22518:TE 0.000216401 +12 *2146:16 *2149:8 0.00154576 +*RES +1 *22570:HI[33] *2149:8 40.2864 +2 *2149:8 *22518:TE 11.6364 +3 *2149:8 *4979:DIODE 9.24915 +*END + +*D_NET *2150 0.0414906 +*CONN +*I *23228:B I *D sky130_fd_sc_hd__and2_1 +*I *5763:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[340] O *D mprj_logic_high +*CAP +1 *23228:B 0.00014169 +2 *5763:DIODE 0 +3 *22570:HI[340] 0.00143637 +4 *2150:28 0.00134152 +5 *2150:26 0.00184945 +6 *2150:23 0.00130966 +7 *2150:12 0.0013397 +8 *2150:10 0.000702696 +9 *2150:8 0.000835631 +10 *2150:7 0.00224897 +11 *23228:B *2448:17 0.000315447 +12 *2150:7 *3262:48 2.97825e-05 +13 *2150:8 *23410:A 0.000432474 +14 *2150:8 *2152:34 0.00123519 +15 *2150:8 *2365:39 0.000283528 +16 *2150:12 *23401:A 5.07314e-05 +17 *2150:12 *2152:34 0.00477102 +18 *2150:12 *3029:47 0.000176696 +19 *2150:23 *2174:25 0.000561675 +20 *2150:23 *2365:43 0.000350066 +21 *2150:23 *2581:6 1.63288e-05 +22 *2150:23 *2859:14 3.52645e-06 +23 *2150:23 *2995:86 5.74984e-05 +24 *2150:26 *23398:A 0.000417464 +25 *2150:26 *2174:25 0.000101365 +26 *2150:26 *2397:32 2.41483e-05 +27 *2150:26 *2448:13 0.00050919 +28 *2150:26 *2529:15 0.00416982 +29 *2150:26 *2529:25 1.41853e-05 +30 *2150:28 *5802:DIODE 6.3657e-05 +31 *2150:28 *5847:DIODE 4.82966e-05 +32 *2150:28 *23270:A 0.000215771 +33 *2150:28 *2448:17 0.000694949 +34 *2150:28 *2529:25 0.000920647 +35 *4553:DIODE *2150:23 5.05252e-05 +36 *6103:DIODE *2150:26 3.34025e-05 +37 *23149:A *2150:23 1.94916e-05 +38 *23165:A *2150:8 0.000744674 +39 *1153:45 *2150:8 0.0072243 +40 *1153:45 *2150:12 0.00169042 +41 *1157:61 *2150:26 0.0015342 +42 *1394:21 *2150:8 0.00324806 +43 *1517:5 *2150:26 6.50727e-05 +44 *1517:5 *2150:28 5.73392e-05 +45 *1650:11 *2150:23 2.73208e-05 +46 *1671:21 *2150:7 0 +47 *1953:13 *2150:7 2.06791e-05 +48 *1970:16 *2150:7 2.20663e-05 +49 *1986:13 *2150:7 8.39232e-05 +50 *2040:13 *2150:7 0 +51 *2101:20 *2150:7 0 +*RES +1 *22570:HI[340] *2150:7 29.7083 +2 *2150:7 *2150:8 78.0906 +3 *2150:8 *2150:10 0.578717 +4 *2150:10 *2150:12 51.4696 +5 *2150:12 *2150:23 21.4402 +6 *2150:23 *2150:26 46.225 +7 *2150:26 *2150:28 36.218 +8 *2150:28 *5763:DIODE 9.24915 +9 *2150:28 *23228:B 13.7342 +*END + +*D_NET *2151 0.101772 +*CONN +*I *23239:B I *D sky130_fd_sc_hd__and2_1 +*I *5785:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[341] O *D mprj_logic_high +*CAP +1 *23239:B 2.94268e-05 +2 *5785:DIODE 0.000132559 +3 *22570:HI[341] 0.000689667 +4 *2151:47 0.000637852 +5 *2151:38 0.00880841 +6 *2151:37 0.00955111 +7 *2151:24 0.00156916 +8 *2151:14 0.000820405 +9 *2151:11 0.00115948 +10 *23239:B *23239:A 1.70219e-05 +11 *23239:B *2559:10 1.70219e-05 +12 *2151:24 *2378:8 0.000143835 +13 *2151:37 *2255:19 0 +14 *2151:37 *2255:25 0.000383717 +15 *2151:37 *2365:27 5.86681e-05 +16 *2151:37 *2479:33 0.000107496 +17 *2151:37 *2769:8 7.60356e-05 +18 *2151:38 *5901:DIODE 0.000171273 +19 *2151:38 *5911:DIODE 6.50586e-05 +20 *2151:38 *5924:DIODE 0.00018949 +21 *2151:38 *6180:DIODE 2.16355e-05 +22 *2151:38 *23309:B 1.19721e-05 +23 *2151:38 *23415:A 6.92731e-05 +24 *2151:38 *23425:A 0.000204647 +25 *2151:38 *23443:A 0.000185223 +26 *2151:38 *23446:A 0.000183629 +27 *2151:38 *2191:17 0.000181021 +28 *2151:38 *2201:23 0.000141764 +29 *2151:38 *2213:20 0.000440466 +30 *2151:38 *2371:13 9.82896e-06 +31 *2151:38 *2371:19 0.00164312 +32 *2151:38 *3291:12 0.000669918 +33 *2151:38 *3428:36 0.000220514 +34 *2151:47 *23239:A 2.99929e-05 +35 *2151:47 *2558:12 0 +36 *2151:47 *2559:10 1.94327e-05 +37 *2151:47 *2702:8 0.000157839 +38 *5693:DIODE *2151:38 3.34025e-05 +39 *6041:DIODE *2151:47 7.52574e-06 +40 *6137:DIODE *2151:38 7.16604e-05 +41 *6157:DIODE *2151:38 2.82583e-05 +42 *6193:DIODE *2151:38 9.82896e-06 +43 *22511:A *2151:37 0 +44 *22517:TE *2151:37 9.12416e-06 +45 *23437:B *2151:38 0.000103943 +46 *1151:47 *2151:38 0.00255806 +47 *1152:44 *2151:37 0 +48 *1174:27 *2151:38 0.0326769 +49 *1486:11 *23239:B 8.62625e-06 +50 *1486:11 *2151:47 1.9101e-05 +51 *1534:7 *2151:38 0.000278118 +52 *1544:5 *2151:38 1.84293e-05 +53 *1556:5 *2151:38 1.41291e-05 +54 *1690:12 *2151:38 0.000474878 +55 *1698:6 *2151:37 0 +56 *1967:16 *2151:24 0.000637058 +57 *1970:57 *2151:11 0.000116001 +58 *1981:8 *2151:14 0.00180203 +59 *1981:8 *2151:24 0.000298691 +60 *1991:26 *2151:37 0.000749318 +61 *1992:12 *2151:14 0.000101365 +62 *2005:10 *2151:11 5.18181e-06 +63 *2069:8 *2151:14 1.67988e-05 +64 *2098:11 *2151:24 0.00051501 +65 *2098:19 *2151:11 1.27831e-05 +66 *2099:8 *2151:14 0.00219281 +67 *2100:26 *2151:24 0.000736078 +68 *2110:21 *2151:11 5.02333e-05 +69 *2110:34 *2151:11 8.23308e-05 +70 *2112:10 *2151:14 0.00148582 +71 *2112:12 *2151:14 4.5066e-05 +72 *2113:8 *2151:14 0.000211464 +73 *2122:13 *2151:24 0.000143835 +74 *2140:7 *2151:11 0.000269062 +75 *2140:8 *2151:47 0.000527891 +76 *2141:20 *2151:38 0.0247306 +77 *2145:27 *2151:47 2.28508e-05 +78 *2145:30 *5785:DIODE 8.3247e-06 +79 *2146:10 *2151:14 0.000673861 +80 *2146:10 *2151:24 0.00120772 +*RES +1 *22570:HI[341] *2151:11 17.8858 +2 *2151:11 *2151:14 40.9563 +3 *2151:14 *2151:24 37.713 +4 *2151:24 *2151:37 39.9661 +5 *2151:37 *2151:38 460.49 +6 *2151:38 *2151:47 23.7093 +7 *2151:47 *5785:DIODE 15.5817 +8 *2151:47 *23239:B 14.7506 +*END + +*D_NET *2152 0.071628 +*CONN +*I *23248:B I *D sky130_fd_sc_hd__and2_1 +*I *5803:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[342] O *D mprj_logic_high +*CAP +1 *23248:B 2.3034e-05 +2 *5803:DIODE 6.07456e-05 +3 *22570:HI[342] 0.000547488 +4 *2152:50 0.000105949 +5 *2152:48 0.00354454 +6 *2152:47 0.00379228 +7 *2152:34 0.00589319 +8 *2152:33 0.00612982 +9 *2152:23 0.00177524 +10 *2152:8 0.00870471 +11 *2152:7 0.0079835 +12 *5803:DIODE *5802:DIODE 4.94594e-05 +13 *5803:DIODE *2529:25 2.29454e-05 +14 *5803:DIODE *2686:19 6.36477e-05 +15 *2152:7 *2256:25 0 +16 *2152:8 *2378:9 0.0020606 +17 *2152:23 *23305:B 0 +18 *2152:23 *23306:A 5.15415e-05 +19 *2152:23 *2209:27 0 +20 *2152:23 *2379:10 9.84424e-06 +21 *2152:23 *2397:7 0.000834875 +22 *2152:23 *3273:33 0 +23 *2152:23 *3408:19 0 +24 *2152:33 *23429:A 0.000222229 +25 *2152:33 *2397:7 0.000704106 +26 *2152:33 *2888:13 0 +27 *2152:33 *2889:11 0 +28 *2152:33 *3339:34 0.000157517 +29 *2152:34 *23401:A 0.000239662 +30 *2152:34 *23410:A 0.000436686 +31 *2152:34 *2751:23 2.61147e-05 +32 *2152:34 *2995:87 2.90905e-05 +33 *2152:47 *21463:A 6.50727e-05 +34 *2152:47 *2751:23 0.000640985 +35 *2152:47 *2852:14 2.63779e-05 +36 *2152:47 *2859:14 6.63077e-05 +37 *2152:47 *3267:10 0.000107496 +38 *2152:47 *3268:11 9.82863e-05 +39 *2152:48 *23376:A 0.000134873 +40 *2152:48 *2479:17 2.52287e-06 +41 *2152:48 *2529:25 1.39873e-05 +42 *2152:48 *2751:23 0.000464422 +43 *2152:48 *3268:11 0.000344367 +44 *6059:DIODE *2152:48 2.1801e-05 +45 *6109:DIODE *2152:34 2.42273e-05 +46 *22513:A *2152:23 0 +47 *23149:A *2152:47 0.000164843 +48 *23165:A *2152:34 0.000748886 +49 *23531:TE *2152:23 2.85065e-05 +50 *1151:63 *2152:34 0.000427201 +51 *1151:74 *2152:48 0.000110257 +52 *1154:38 *2152:23 0 +53 *1157:37 *2152:33 0.00186048 +54 *1172:35 *2152:33 0.00175942 +55 *1268:14 *2152:47 7.20829e-05 +56 *1394:21 *2152:34 0.00653558 +57 *1394:27 *2152:23 6.97325e-05 +58 *1495:5 *2152:48 5.56461e-05 +59 *1648:10 *2152:34 0.000111708 +60 *1957:7 *2152:7 6.07485e-05 +61 *2027:10 *2152:8 0.000574444 +62 *2035:20 *2152:8 0.00010238 +63 *2122:13 *2152:7 0.000617865 +64 *2146:10 *2152:8 0.00651412 +65 *2147:28 *2152:33 0.000328363 +66 *2150:8 *2152:34 0.00123519 +67 *2150:12 *2152:34 0.00477102 +*RES +1 *22570:HI[342] *2152:7 17.6659 +2 *2152:7 *2152:8 129.391 +3 *2152:8 *2152:23 40.6236 +4 *2152:23 *2152:33 40.4455 +5 *2152:33 *2152:34 172.096 +6 *2152:34 *2152:47 20.7854 +7 *2152:47 *2152:48 53.9653 +8 *2152:48 *2152:50 0.578717 +9 *2152:50 *5803:DIODE 11.0817 +10 *2152:50 *23248:B 9.82786 +*END + +*D_NET *2153 0.136851 +*CONN +*I *5805:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23249:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[343] O *D mprj_logic_high +*CAP +1 *5805:DIODE 0.000124883 +2 *23249:B 0.000141019 +3 *22570:HI[343] 0.00076131 +4 *2153:44 0.000542828 +5 *2153:43 0.00820472 +6 *2153:37 0.00819525 +7 *2153:34 0.000891568 +8 *2153:26 0.00466333 +9 *2153:25 0.0040539 +10 *2153:20 0.00077599 +11 *5805:DIODE *2690:8 0 +12 *5805:DIODE *2762:34 0 +13 *5805:DIODE *2830:16 0 +14 *23249:B *23377:A 6.50586e-05 +15 *2153:20 *2243:23 0.000242184 +16 *2153:20 *2326:7 1.91391e-05 +17 *2153:20 *2327:7 1.5714e-05 +18 *2153:20 *2345:12 1.5634e-05 +19 *2153:20 *2924:35 0.00082154 +20 *2153:25 *2322:18 7.08723e-06 +21 *2153:25 *2323:13 1.5714e-05 +22 *2153:26 *2163:10 0.00655465 +23 *2153:26 *2165:18 0.00509502 +24 *2153:26 *2184:8 0.000101178 +25 *2153:26 *2242:23 0.000188263 +26 *2153:26 *2243:23 0.000195504 +27 *2153:26 *2266:8 0.000578799 +28 *2153:26 *2325:10 0.0234419 +29 *2153:26 *3118:15 0.00270495 +30 *2153:34 *2155:47 0.00139837 +31 *2153:34 *2158:31 3.31745e-05 +32 *2153:34 *2163:32 0.000365599 +33 *2153:34 *2166:24 0.000806731 +34 *2153:34 *2167:39 7.7498e-05 +35 *2153:34 *2169:44 0.000351851 +36 *2153:37 *2188:29 0.000449357 +37 *2153:37 *2870:32 0.000311756 +38 *2153:43 *2155:51 0.00584185 +39 *2153:43 *2173:55 0.00124809 +40 *2153:43 *2368:38 2.87136e-06 +41 *2153:43 *2690:8 0 +42 *2153:44 *23377:A 0.00036952 +43 *6061:DIODE *23249:B 4.34786e-05 +44 *1160:43 *2153:20 0.00186132 +45 *1171:9 *2153:20 0.000637216 +46 *1201:17 *2153:20 4.80707e-05 +47 *1201:23 *2153:20 0.000395816 +48 *1207:9 *2153:20 0.000939558 +49 *1207:15 *2153:20 2.07503e-05 +50 *1207:19 *2153:26 0.00346093 +51 *1496:5 *23249:B 6.50727e-05 +52 *1624:9 *5805:DIODE 3.00073e-05 +53 *1886:19 *2153:43 5.01404e-05 +54 *1887:13 *2153:43 0.000493052 +55 *1888:18 *2153:43 0.000891333 +56 *1932:25 *2153:34 0 +57 *1934:7 *2153:34 2.94004e-05 +58 *1942:13 *2153:34 8.89586e-06 +59 *1945:20 *2153:26 0.000954176 +60 *1945:22 *2153:26 0.000347031 +61 *1971:22 *2153:43 0.000773658 +62 *1971:27 *2153:43 0.0267356 +63 *1988:26 *2153:26 0.0189314 +64 *2013:13 *2153:37 4.66949e-05 +65 *2032:24 *2153:37 0.000105297 +66 *2089:19 *2153:26 0.000244268 +67 *2129:16 *2153:37 6.45209e-05 +*RES +1 *22570:HI[343] *2153:20 48.1632 +2 *2153:20 *2153:25 8.2474 +3 *2153:25 *2153:26 349.569 +4 *2153:26 *2153:34 43.3703 +5 *2153:34 *2153:37 13.7135 +6 *2153:37 *2153:43 47.4603 +7 *2153:43 *2153:44 7.93324 +8 *2153:44 *23249:B 13.3243 +9 *2153:44 *5805:DIODE 20.9116 +*END + +*D_NET *2154 0.0791918 +*CONN +*I *5807:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23250:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[344] O *D mprj_logic_high +*CAP +1 *5807:DIODE 0 +2 *23250:B 0.000154327 +3 *22570:HI[344] 0.00542259 +4 *2154:25 0.0116502 +5 *2154:18 0.0169185 +6 *23250:B *23250:A 2.63704e-05 +7 *2154:18 *2155:13 7.15882e-06 +8 *2154:18 *2164:26 0.0027463 +9 *2154:18 *2173:20 0.000260291 +10 *2154:18 *2176:55 0.00192258 +11 *2154:18 *2319:14 0.00713243 +12 *2154:18 *2342:8 0.00859271 +13 *2154:18 *2875:28 1.52009e-05 +14 *2154:18 *3062:40 0.00184835 +15 *2154:25 *2164:26 0.00392016 +16 *2154:25 *2164:47 0.00630501 +17 *2154:25 *2176:55 0.00657505 +18 *2154:25 *3238:8 2.01653e-05 +19 *1891:15 *2154:18 0.00140087 +20 *1892:13 *2154:18 0.00153314 +21 *1927:16 *2154:18 0 +22 *1936:37 *2154:18 0.00012478 +23 *1949:10 *2154:18 6.25781e-05 +24 *1971:27 *2154:25 0.00238737 +25 *2012:18 *2154:18 0.000165592 +26 *2012:18 *2154:25 0 +27 *2020:22 *2154:25 0 +*RES +1 *22570:HI[344] *2154:18 36.4374 +2 *2154:18 *2154:25 46.8101 +3 *2154:25 *23250:B 12.7456 +4 *2154:25 *5807:DIODE 9.24915 +*END + +*D_NET *2155 0.089483 +*CONN +*I *23251:B I *D sky130_fd_sc_hd__and2_1 +*I *5809:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[345] O *D mprj_logic_high +*CAP +1 *23251:B 9.07423e-05 +2 *5809:DIODE 0 +3 *22570:HI[345] 0.0012532 +4 *2155:53 9.07423e-05 +5 *2155:51 0.0102155 +6 *2155:47 0.0106348 +7 *2155:41 0.00208064 +8 *2155:32 0.00225528 +9 *2155:23 0.00223027 +10 *2155:13 0.00288959 +11 *23251:B *5808:DIODE 5.4373e-05 +12 *23251:B *23251:A 0.000111708 +13 *23251:B *2773:37 0.000321905 +14 *2155:13 *5898:DIODE 2.65831e-05 +15 *2155:13 *2156:16 6.51265e-05 +16 *2155:13 *2160:13 0.00153619 +17 *2155:13 *2165:17 0.000534852 +18 *2155:13 *2182:16 1.91246e-05 +19 *2155:13 *2183:13 4.13293e-05 +20 *2155:13 *2197:31 4.51744e-05 +21 *2155:13 *2320:35 3.96282e-05 +22 *2155:23 *2156:25 7.19237e-05 +23 *2155:23 *2328:30 0.000102851 +24 *2155:23 *2330:30 0.00148139 +25 *2155:23 *2600:26 0.000256838 +26 *2155:23 *2881:29 3.29488e-05 +27 *2155:32 *2162:29 1.43499e-05 +28 *2155:32 *2166:10 0.00229796 +29 *2155:32 *2169:22 0.000275948 +30 *2155:32 *2169:35 6.78364e-06 +31 *2155:32 *2192:25 1.69772e-05 +32 *2155:41 *2163:25 0.000350644 +33 *2155:41 *2168:16 1.62943e-05 +34 *2155:41 *2197:35 1.49935e-05 +35 *2155:41 *2335:47 0.00232855 +36 *2155:41 *2336:17 0.00232855 +37 *2155:47 *2158:31 0.00109695 +38 *2155:47 *2167:39 0.000134523 +39 *2155:47 *2188:29 0.000373201 +40 *2155:47 *3162:52 0.000369603 +41 *2155:51 *2158:37 0.0263883 +42 *2155:51 *2173:55 0.00311462 +43 *2155:51 *2332:80 0.000438564 +44 *2155:51 *2544:10 0 +45 *1169:63 *2155:13 2.16573e-05 +46 *1169:63 *2155:23 3.30161e-05 +47 *1171:26 *2155:32 0.000192799 +48 *1885:15 *2155:51 0.000127007 +49 *1886:19 *2155:51 0.000697375 +50 *1903:7 *2155:23 0 +51 *1907:7 *2155:13 1.05272e-06 +52 *1909:7 *2155:13 0.000201204 +53 *1910:13 *2155:23 0.00116199 +54 *1912:20 *2155:23 6.16595e-06 +55 *1918:5 *2155:23 3.49522e-06 +56 *1918:7 *2155:23 0.000224957 +57 *1920:7 *2155:32 0 +58 *1920:7 *2155:41 9.94884e-06 +59 *1926:10 *2155:32 0.000121857 +60 *1932:31 *2155:32 0.000475513 +61 *1935:13 *2155:32 4.24878e-05 +62 *1935:13 *2155:41 0.000467478 +63 *1936:21 *2155:32 0.000693951 +64 *1936:37 *2155:13 0.000381566 +65 *1943:13 *2155:13 7.09666e-06 +66 *1950:14 *2155:41 0.000148322 +67 *1951:10 *2155:41 0.000588503 +68 *1952:17 *2155:41 0.000128436 +69 *1971:27 *2155:51 0.000450169 +70 *2153:34 *2155:47 0.00139837 +71 *2153:43 *2155:51 0.00584185 +72 *2154:18 *2155:13 7.15882e-06 +*RES +1 *22570:HI[345] *2155:13 48.5338 +2 *2155:13 *2155:23 31.1984 +3 *2155:23 *2155:32 40.3435 +4 *2155:32 *2155:41 39.9648 +5 *2155:41 *2155:47 31.0044 +6 *2155:47 *2155:51 46.9377 +7 *2155:51 *2155:53 4.5 +8 *2155:53 *5809:DIODE 9.24915 +9 *2155:53 *23251:B 13.7342 +*END + +*D_NET *2156 0.0707996 +*CONN +*I *5811:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23252:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[346] O *D mprj_logic_high +*CAP +1 *5811:DIODE 0 +2 *23252:B 0.000222934 +3 *22570:HI[346] 0.00146895 +4 *2156:74 0.00269579 +5 *2156:70 0.00574805 +6 *2156:68 0.00391204 +7 *2156:61 0.000899481 +8 *2156:50 0.00220259 +9 *2156:48 0.00223049 +10 *2156:42 0.00129062 +11 *2156:41 0.00195732 +12 *2156:26 0.00162817 +13 *2156:25 0.000986722 +14 *2156:16 0.00178475 +15 *23252:B *23252:A 6.08167e-05 +16 *23252:B *2773:33 3.3401e-05 +17 *2156:16 *2169:11 0.0010756 +18 *2156:16 *2173:20 0.000130018 +19 *2156:16 *2183:13 2.43314e-05 +20 *2156:16 *2183:14 0.00161879 +21 *2156:16 *2326:8 0.00141316 +22 *2156:16 *2335:34 3.91106e-05 +23 *2156:16 *2600:26 0.000164926 +24 *2156:25 *2183:14 3.83172e-05 +25 *2156:25 *2188:19 1.05272e-06 +26 *2156:25 *2336:8 0.00126422 +27 *2156:26 *2168:8 0.00346049 +28 *2156:26 *3119:15 7.92757e-06 +29 *2156:41 *2159:40 1.65872e-05 +30 *2156:41 *2162:29 1.62704e-05 +31 *2156:41 *2163:40 0.000137817 +32 *2156:41 *2168:16 0.000907004 +33 *2156:41 *2168:19 0.000216384 +34 *2156:41 *2169:52 0.00017568 +35 *2156:41 *2332:58 0.000480689 +36 *2156:41 *2995:60 0.000219925 +37 *2156:42 *6120:DIODE 0.000158371 +38 *2156:42 *2161:18 6.59359e-06 +39 *2156:42 *2168:20 0.000184597 +40 *2156:42 *2866:14 0.000211478 +41 *2156:48 *6120:DIODE 1.15389e-05 +42 *2156:48 *2168:20 7.8082e-07 +43 *2156:50 *5865:DIODE 0.000171273 +44 *2156:50 *23279:A 9.16785e-05 +45 *2156:50 *2168:20 0.000194035 +46 *2156:50 *2168:24 0.00393395 +47 *2156:50 *2855:21 0.000224395 +48 *2156:50 *2855:33 0.00021243 +49 *2156:61 *23397:A 4.49767e-05 +50 *2156:61 *2168:24 0.0010756 +51 *2156:61 *2709:8 4.15661e-05 +52 *2156:61 *2962:8 8.03676e-06 +53 *2156:61 *2984:31 0.000467543 +54 *2156:68 *5844:DIODE 5.0715e-05 +55 *2156:68 *23269:A 2.65831e-05 +56 *2156:68 *23269:B 0.000324151 +57 *2156:68 *23392:A 0.000527234 +58 *2156:68 *2168:24 6.08467e-05 +59 *2156:68 *2168:30 0.000212211 +60 *2156:68 *2929:27 5.08751e-05 +61 *2156:70 *5816:DIODE 5.99691e-05 +62 *2156:70 *5835:DIODE 0.000224395 +63 *2156:70 *6082:DIODE 0.000161262 +64 *2156:70 *23255:B 0.000311235 +65 *2156:70 *23388:A 0.000256056 +66 *2156:70 *2161:40 6.94654e-05 +67 *2156:70 *2168:30 2.39581e-05 +68 *2156:70 *2693:15 0.000173166 +69 *2156:70 *2705:17 0.000583244 +70 *2156:70 *2773:31 0.000702716 +71 *2156:70 *2773:33 0.00116513 +72 *2156:74 *23252:A 3.83429e-05 +73 *2156:74 *2693:15 5.51483e-06 +74 *2156:74 *2773:33 0.000347481 +75 *5650:DIODE *2156:74 0.000377259 +76 *6083:DIODE *2156:70 0.000107496 +77 *6091:DIODE *2156:68 0.000169041 +78 *6121:DIODE *2156:48 0.00027754 +79 *23152:A *2156:50 0.000271044 +80 *23388:B *2156:70 6.50586e-05 +81 *1169:63 *2156:16 7.24873e-05 +82 *1169:66 *2156:41 0.000342432 +83 *1393:41 *2156:16 1.92172e-05 +84 *1393:41 *2156:25 0.00100524 +85 *1406:9 *2156:16 6.76778e-05 +86 *1511:7 *2156:68 0.000149035 +87 *1516:10 *2156:68 0.000222149 +88 *1526:5 *2156:48 1.92336e-05 +89 *1630:11 *2156:74 0.000818765 +90 *1635:10 *2156:70 0.000353389 +91 *1639:12 *2156:68 4.40667e-05 +92 *1639:12 *2156:70 0.00156652 +93 *1775:10 *2156:50 6.92705e-05 +94 *1885:15 *2156:42 0.00305199 +95 *1887:17 *2156:42 0.00364637 +96 *1905:31 *2156:25 5.88009e-05 +97 *1907:7 *2156:16 2.33103e-06 +98 *1909:7 *2156:16 1.91246e-05 +99 *1918:7 *2156:25 6.74182e-05 +100 *1927:16 *2156:16 0.00109469 +101 *1927:24 *2156:25 0.000166951 +102 *1930:11 *2156:16 0.000408934 +103 *1932:15 *2156:26 0.00055815 +104 *1932:15 *2156:41 0.000445426 +105 *1932:61 *2156:16 4.75388e-05 +106 *1949:12 *2156:26 0.00409774 +107 *1952:17 *2156:26 1.37531e-05 +108 *2025:7 *2156:41 2.2599e-05 +109 *2155:13 *2156:16 6.51265e-05 +110 *2155:23 *2156:25 7.19237e-05 +*RES +1 *22570:HI[346] *2156:16 48.961 +2 *2156:16 *2156:25 30.575 +3 *2156:25 *2156:26 46.2009 +4 *2156:26 *2156:41 48.0938 +5 *2156:41 *2156:42 50.6377 +6 *2156:42 *2156:48 5.48575 +7 *2156:48 *2156:50 59.2341 +8 *2156:50 *2156:61 31.8184 +9 *2156:61 *2156:68 22.0154 +10 *2156:68 *2156:70 99.9974 +11 *2156:70 *2156:74 39.5698 +12 *2156:74 *23252:B 13.7824 +13 *2156:74 *5811:DIODE 9.24915 +*END + +*D_NET *2157 0.0710742 +*CONN +*I *23253:B I *D sky130_fd_sc_hd__and2_1 +*I *5813:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[347] O *D mprj_logic_high +*CAP +1 *23253:B 0 +2 *5813:DIODE 4.32048e-05 +3 *22570:HI[347] 0.000596096 +4 *2157:35 0.000164676 +5 *2157:32 0.00143594 +6 *2157:24 0.00537443 +7 *2157:23 0.00432851 +8 *2157:17 0.000864637 +9 *5813:DIODE *23253:A 6.50586e-05 +10 *5813:DIODE *2795:36 2.18145e-05 +11 *5813:DIODE *2831:26 6.50586e-05 +12 *2157:17 *2158:11 1.96339e-05 +13 *2157:17 *2165:17 3.29488e-05 +14 *2157:17 *2172:14 0.00134755 +15 *2157:17 *3120:21 0.00134046 +16 *2157:23 *2598:20 4.49767e-05 +17 *2157:23 *3106:19 0.00119402 +18 *2157:23 *3106:25 2.81262e-05 +19 *2157:23 *3106:27 6.08467e-05 +20 *2157:24 *21447:A 0.000371516 +21 *2157:24 *21452:A 0.000271058 +22 *2157:24 *23389:A 6.28634e-05 +23 *2157:24 *23390:A 0.000460747 +24 *2157:24 *2321:20 0.000141764 +25 *2157:24 *3096:17 7.35863e-05 +26 *2157:24 *3096:23 0.00171521 +27 *2157:24 *3096:25 0.00120408 +28 *2157:24 *3106:27 0.0111081 +29 *2157:24 *3106:35 5.11121e-05 +30 *2157:32 *3756:DIODE 0.00013978 +31 *2157:32 *5823:DIODE 0.000224381 +32 *2157:32 *2376:16 0.000178416 +33 *2157:32 *2831:27 0.000386872 +34 *2157:35 *2828:16 0.000205087 +35 *2157:35 *2831:26 3.82181e-05 +36 *2157:35 *2834:6 0 +37 *6077:DIODE *2157:32 6.50727e-05 +38 *6085:DIODE *2157:24 0.000110306 +39 *6087:DIODE *2157:24 6.50586e-05 +40 *22298:A *2157:24 6.08467e-05 +41 *23138:A *2157:24 0.000328363 +42 *23389:B *2157:24 6.50586e-05 +43 *23408:B *2157:24 0.000171273 +44 *23506:A *2157:24 7.97944e-05 +45 *1251:11 *2157:24 3.99086e-06 +46 *1369:15 *2157:24 0.000691851 +47 *1369:31 *2157:24 0.000964778 +48 *1369:33 *2157:24 0.000233034 +49 *1390:23 *2157:23 0.00133958 +50 *1391:9 *2157:24 0.0132842 +51 *1504:9 *2157:32 1.65872e-05 +52 *1509:9 *2157:24 0.000217951 +53 *1628:9 *2157:32 0.000417478 +54 *1629:10 *5813:DIODE 9.14669e-05 +55 *1641:11 *2157:24 0.00239685 +56 *1885:25 *2157:24 0.00252619 +57 *1904:15 *2157:17 0.000136357 +58 *1915:7 *2157:23 4.15661e-05 +59 *1916:32 *2157:32 0.000322924 +60 *1932:61 *2157:17 0.000122671 +61 *1938:26 *2157:24 0.0135426 +62 *2085:20 *2157:17 0.000117602 +*RES +1 *22570:HI[347] *2157:17 36.8016 +2 *2157:17 *2157:23 25.1446 +3 *2157:23 *2157:24 305.201 +4 *2157:24 *2157:32 49.3288 +5 *2157:32 *2157:35 8.40826 +6 *2157:35 *5813:DIODE 11.6364 +7 *2157:35 *23253:B 9.24915 +*END + +*D_NET *2158 0.0817341 +*CONN +*I *5815:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23254:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[348] O *D mprj_logic_high +*CAP +1 *5815:DIODE 0 +2 *23254:B 4.84083e-05 +3 *22570:HI[348] 0.000865247 +4 *2158:37 0.00591885 +5 *2158:31 0.0065477 +6 *2158:20 0.00145823 +7 *2158:19 0.00109944 +8 *2158:11 0.00118371 +9 *23254:B *5814:DIODE 0.000217951 +10 *23254:B *2692:11 0.000111708 +11 *2158:11 *2160:7 0 +12 *2158:11 *3106:19 0.00254243 +13 *2158:19 *2160:14 0.000301209 +14 *2158:19 *2340:10 0.000535951 +15 *2158:20 *3125:23 0.00499323 +16 *2158:31 *2163:32 0 +17 *2158:31 *2166:24 1.68479e-06 +18 *2158:31 *2166:26 4.65164e-05 +19 *2158:31 *2167:39 0.00137964 +20 *2158:31 *2168:16 2.06059e-05 +21 *2158:31 *3029:34 0.000310825 +22 *2158:37 *2159:40 0.00197934 +23 *2158:37 *2159:57 0.0147197 +24 *2158:37 *2332:80 0.000199958 +25 *2158:37 *2833:16 1.9101e-05 +26 *1390:19 *2158:20 0.00500769 +27 *1391:9 *2158:11 0.00253963 +28 *1630:11 *23254:B 0.000311235 +29 *1671:17 *2158:11 0.000110505 +30 *1885:15 *2158:37 0.00066513 +31 *1906:9 *2158:11 0.000113916 +32 *1917:7 *2158:19 0.000162209 +33 *1918:7 *2158:19 5.09056e-05 +34 *1932:61 *2158:11 9.84424e-06 +35 *1943:7 *2158:31 0.000225459 +36 *1949:12 *2158:19 8.51503e-05 +37 *1952:17 *2158:31 0.000212027 +38 *2013:13 *2158:31 6.94815e-05 +39 *2013:20 *2158:31 0.000131401 +40 *2153:34 *2158:31 3.31745e-05 +41 *2155:47 *2158:31 0.00109695 +42 *2155:51 *2158:37 0.0263883 +43 *2157:17 *2158:11 1.96339e-05 +*RES +1 *22570:HI[348] *2158:11 46.2227 +2 *2158:11 *2158:19 23.6777 +3 *2158:19 *2158:20 56.1838 +4 *2158:20 *2158:31 45.1584 +5 *2158:31 *2158:37 43.0238 +6 *2158:37 *23254:B 12.7456 +7 *2158:37 *5815:DIODE 9.24915 +*END + +*D_NET *2159 0.0692662 +*CONN +*I *5817:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23255:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[349] O *D mprj_logic_high +*CAP +1 *5817:DIODE 0 +2 *23255:B 8.06363e-05 +3 *22570:HI[349] 0.00285947 +4 *2159:57 0.00509022 +5 *2159:40 0.00761798 +6 *2159:30 0.00546786 +7 *23255:B *5816:DIODE 0.000211492 +8 *23255:B *2374:40 4.49912e-05 +9 *23255:B *2693:15 0.000118166 +10 *23255:B *3246:6 4.78118e-05 +11 *2159:30 *2162:29 0.00156325 +12 *2159:30 *2167:24 0.000525748 +13 *2159:30 *2167:32 0.00146572 +14 *2159:30 *2167:39 1.5962e-05 +15 *2159:30 *2169:35 0.000989974 +16 *2159:30 *2173:34 0.000345382 +17 *2159:30 *2181:36 0.000103742 +18 *2159:30 *2196:25 0.000339011 +19 *2159:30 *2332:34 0.0039016 +20 *2159:30 *2599:18 0.000259281 +21 *2159:30 *2739:21 0.00025849 +22 *2159:40 *2163:40 0.00182428 +23 *2159:40 *2167:39 0.00233424 +24 *2159:40 *2168:16 0.00167764 +25 *2159:40 *2169:44 0.000432474 +26 *2159:40 *2169:52 1.22824e-05 +27 *2159:40 *2173:34 2.398e-06 +28 *2159:40 *2173:45 4.15661e-05 +29 *2159:40 *2995:60 0.000168132 +30 *2159:57 *2163:40 0.00630219 +31 *2159:57 *2167:57 0.00292168 +32 *2159:57 *2332:72 1.77593e-05 +33 *2159:57 *2332:80 0.000692551 +34 *2159:57 *2374:40 1.91246e-05 +35 *2159:57 *3246:6 1.19737e-05 +36 *1155:22 *2159:30 0.000244929 +37 *1884:12 *2159:40 0.000373726 +38 *1885:15 *2159:40 0.000324248 +39 *1932:31 *2159:30 0.000144814 +40 *1936:21 *2159:30 0.000162671 +41 *1936:37 *2159:30 0.00106189 +42 *2017:18 *2159:40 4.04009e-05 +43 *2022:22 *2159:30 0.00155121 +44 *2028:17 *2159:30 0.000252934 +45 *2075:27 *2159:30 3.32189e-05 +46 *2097:13 *2159:30 0.000168069 +47 *2101:20 *2159:30 0.000116084 +48 *2156:41 *2159:40 1.65872e-05 +49 *2156:70 *23255:B 0.000311235 +50 *2158:37 *2159:40 0.00197934 +51 *2158:37 *2159:57 0.0147197 +*RES +1 *22570:HI[349] *2159:30 41.455 +2 *2159:30 *2159:40 45.3987 +3 *2159:40 *2159:57 29.8289 +4 *2159:57 *23255:B 18.2471 +5 *2159:57 *5817:DIODE 13.7491 +*END + +*D_NET *2160 0.0320173 +*CONN +*I *4981:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22519:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[34] O *D mprj_logic_high +*CAP +1 *4981:DIODE 0 +2 *22519:TE 4.5618e-05 +3 *22570:HI[34] 0.00085522 +4 *2160:22 0.000631564 +5 *2160:14 0.00188077 +6 *2160:13 0.00349926 +7 *2160:7 0.00305965 +8 *22519:TE *2340:18 9.14669e-05 +9 *2160:7 *2321:19 1.91391e-05 +10 *2160:13 *5898:DIODE 0.000728104 +11 *2160:13 *23296:B 7.92757e-06 +12 *2160:13 *2165:17 7.68538e-06 +13 *2160:13 *2739:24 0.000231148 +14 *2160:14 *2340:10 0.000826949 +15 *2160:22 *4830:DIODE 0.000164829 +16 *2160:22 *2340:10 0.000204241 +17 *2160:22 *2340:16 0.001186 +18 *2160:22 *2340:18 0.000715568 +19 *2160:22 *2871:23 6.08467e-05 +20 *4831:DIODE *2160:22 6.92705e-05 +21 *1391:12 *2160:7 5.9351e-05 +22 *1393:40 *2160:14 0.00094141 +23 *1790:8 *2160:22 0.000727432 +24 *1908:8 *2160:14 0.00424357 +25 *1908:14 *2160:14 0.000863181 +26 *1908:14 *2160:22 0.000479106 +27 *1914:12 *2160:13 0.000234573 +28 *1943:14 *2160:13 0.000733874 +29 *1949:12 *2160:14 0.00654399 +30 *1949:16 *22519:TE 9.14669e-05 +31 *1949:16 *2160:14 3.64198e-05 +32 *1949:16 *2160:22 0.000890058 +33 *2054:19 *2160:7 5.01835e-05 +34 *2155:13 *2160:13 0.00153619 +35 *2158:11 *2160:7 0 +36 *2158:19 *2160:14 0.000301209 +*RES +1 *22570:HI[34] *2160:7 18.9117 +2 *2160:7 *2160:13 49.1235 +3 *2160:13 *2160:14 84.4685 +4 *2160:14 *2160:22 38.6535 +5 *2160:22 *22519:TE 11.6364 +6 *2160:22 *4981:DIODE 9.24915 +*END + +*D_NET *2161 0.0585758 +*CONN +*I *23257:B I *D sky130_fd_sc_hd__and2_1 +*I *5821:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[350] O *D mprj_logic_high +*CAP +1 *23257:B 0.00030835 +2 *5821:DIODE 0 +3 *22570:HI[350] 0.000169874 +4 *2161:43 0.000429234 +5 *2161:40 0.00465948 +6 *2161:39 0.00567003 +7 *2161:26 0.0021508 +8 *2161:20 0.00174353 +9 *2161:18 0.00267862 +10 *2161:12 0.00458338 +11 *2161:10 0.0027988 +12 *23257:B *2376:16 1.80141e-05 +13 *23257:B *2699:6 1.07248e-05 +14 *23257:B *2784:37 0.000176186 +15 *23257:B *2795:32 0.000123112 +16 *2161:12 *2173:22 0.00499487 +17 *2161:12 *2173:34 0.00146056 +18 *2161:12 *2181:20 0 +19 *2161:18 *2169:52 0.00216674 +20 *2161:18 *2169:54 7.6719e-06 +21 *2161:18 *2173:34 0.000162911 +22 *2161:18 *2870:32 7.37609e-05 +23 *2161:20 *22426:A_N 0.000217937 +24 *2161:20 *2169:54 0.00520446 +25 *2161:20 *2169:64 9.82896e-06 +26 *2161:20 *2173:46 0.000494138 +27 *2161:26 *5842:DIODE 2.78496e-05 +28 *2161:26 *23397:A 3.41459e-05 +29 *2161:26 *2169:64 0.0023463 +30 *2161:26 *2173:46 6.56588e-05 +31 *2161:39 *23264:A 5.26029e-05 +32 *2161:39 *23265:A 0.000379505 +33 *2161:39 *23397:A 5.481e-05 +34 *2161:39 *2169:64 4.91225e-06 +35 *2161:39 *2566:22 0 +36 *2161:39 *2705:17 0.000239239 +37 *2161:39 *2773:28 0.00124141 +38 *2161:39 *2806:16 0 +39 *2161:39 *3258:8 0 +40 *2161:40 *5835:DIODE 2.41274e-06 +41 *2161:40 *2773:31 0.000700298 +42 *2161:40 *2773:33 0.00531504 +43 *2161:43 *2376:16 4.02217e-05 +44 *2161:43 *2699:6 0.000172127 +45 *4546:DIODE *2161:26 0.000481241 +46 *6101:DIODE *2161:39 7.6719e-06 +47 *22300:TE *2161:26 6.92705e-05 +48 *1155:22 *2161:12 0.000603768 +49 *1264:11 *2161:26 0.000536595 +50 *1393:28 *2161:12 0.000107496 +51 *1644:11 *2161:39 0.000583258 +52 *1885:19 *2161:20 0.00383748 +53 *2022:22 *2161:12 0.00128348 +54 *2032:24 *2161:18 0 +55 *2156:42 *2161:18 6.59359e-06 +56 *2156:70 *2161:40 6.94654e-05 +*RES +1 *22570:HI[350] *2161:10 8.15426 +2 *2161:10 *2161:12 103.88 +3 *2161:12 *2161:18 36.6762 +4 *2161:18 *2161:20 57.8476 +5 *2161:20 *2161:26 43.7413 +6 *2161:26 *2161:39 48.341 +7 *2161:39 *2161:40 79.4771 +8 *2161:40 *2161:43 7.993 +9 *2161:43 *5821:DIODE 13.7491 +10 *2161:43 *23257:B 21.0602 +*END + +*D_NET *2162 0.0708113 +*CONN +*I *5823:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23258:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[351] O *D mprj_logic_high +*CAP +1 *5823:DIODE 4.35898e-05 +2 *23258:B 0 +3 *22570:HI[351] 0.00474346 +4 *2162:35 0.00754958 +5 *2162:29 0.0122494 +6 *5823:DIODE *23258:A 6.50727e-05 +7 *2162:29 *2167:24 0.000338714 +8 *2162:29 *2179:25 0.000138094 +9 *2162:29 *2180:38 2.05082e-05 +10 *2162:29 *2181:36 0.000120657 +11 *2162:29 *2181:49 0.000798943 +12 *2162:29 *2192:25 0.000562605 +13 *2162:29 *2198:29 0.000390613 +14 *2162:29 *2201:23 0.000101699 +15 *2162:29 *2332:34 0.000343101 +16 *2162:29 *2736:17 1.80369e-05 +17 *2162:29 *2876:21 6.21462e-05 +18 *2162:29 *2879:33 0.00068297 +19 *2162:35 *23258:A 1.05272e-06 +20 *2162:35 *2325:16 0.00539738 +21 *2162:35 *2376:16 4.60221e-06 +22 *2162:35 *2555:6 0 +23 *6077:DIODE *5823:DIODE 8.67924e-06 +24 *1155:23 *2162:29 0.00302267 +25 *1155:23 *2162:35 0.0253061 +26 *1169:63 *2162:29 6.18304e-05 +27 *1169:66 *2162:29 2.1203e-06 +28 *1171:26 *2162:29 1.88462e-05 +29 *1369:15 *2162:35 0.000365037 +30 *1504:9 *2162:35 3.5534e-06 +31 *1668:17 *2162:29 0.000459613 +32 *1894:7 *2162:29 3.92733e-05 +33 *1916:32 *5823:DIODE 6.9815e-05 +34 *2022:22 *2162:29 0.00417972 +35 *2025:7 *2162:29 8.05301e-05 +36 *2058:17 *2162:29 0.000316962 +37 *2117:14 *2162:29 0.00136995 +38 *2129:33 *2162:35 5.60804e-05 +39 *2155:32 *2162:29 1.43499e-05 +40 *2156:41 *2162:29 1.62704e-05 +41 *2157:32 *5823:DIODE 0.000224381 +42 *2159:30 *2162:29 0.00156325 +*RES +1 *22570:HI[351] *2162:29 30.5738 +2 *2162:29 *2162:35 42.1387 +3 *2162:35 *23258:B 9.24915 +4 *2162:35 *5823:DIODE 12.2151 +*END + +*D_NET *2163 0.075012 +*CONN +*I *5825:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23259:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[352] O *D mprj_logic_high +*CAP +1 *5825:DIODE 1.03685e-05 +2 *23259:B 0.000152728 +3 *22570:HI[352] 0.000204372 +4 *2163:40 0.00591852 +5 *2163:32 0.00673548 +6 *2163:25 0.00167011 +7 *2163:10 0.0015502 +8 *2163:9 0.00106452 +9 *5825:DIODE *23261:A 7.13655e-06 +10 *23259:B *23261:A 1.24122e-05 +11 *23259:B *2784:23 0.000307672 +12 *2163:9 *2164:26 1.21657e-05 +13 *2163:25 *2168:8 0.000753345 +14 *2163:32 *2166:24 0.000154172 +15 *2163:32 *2168:8 0.000247809 +16 *2163:32 *2183:14 0.000261009 +17 *2163:32 *2183:24 0.00158944 +18 *2163:32 *2188:20 9.54357e-06 +19 *2163:32 *3119:15 0.000213725 +20 *2163:40 *2167:57 0.00614929 +21 *2163:40 *2332:58 0.00360776 +22 *2163:40 *2332:72 0.0178717 +23 *1169:63 *2163:25 7.60356e-05 +24 *1883:20 *2163:40 0.000248909 +25 *1884:12 *2163:40 0.00151418 +26 *1894:7 *2163:40 0.000101708 +27 *1905:39 *2163:25 0.000750563 +28 *1905:39 *2163:32 0.000261009 +29 *1916:10 *2163:32 0.000511134 +30 *1920:7 *2163:25 0.000110188 +31 *1932:15 *2163:32 8.57315e-06 +32 *1932:67 *2163:9 1.38326e-05 +33 *1935:13 *2163:25 8.22001e-05 +34 *1937:11 *2163:32 0.000176857 +35 *1940:19 *2163:25 4.49767e-05 +36 *1941:7 *2163:25 1.24189e-05 +37 *1945:22 *2163:10 0.00673733 +38 *1952:17 *2163:32 0.000117326 +39 *1999:11 *5825:DIODE 4.31485e-06 +40 *1999:11 *23259:B 1.24122e-05 +41 *2025:7 *2163:40 0.000189325 +42 *2153:26 *2163:10 0.00655465 +43 *2153:34 *2163:32 0.000365599 +44 *2155:41 *2163:25 0.000350644 +45 *2156:41 *2163:40 0.000137817 +46 *2158:31 *2163:32 0 +47 *2159:40 *2163:40 0.00182428 +48 *2159:57 *2163:40 0.00630219 +*RES +1 *22570:HI[352] *2163:9 7.74874 +2 *2163:9 *2163:10 73.3765 +3 *2163:10 *2163:25 38.9454 +4 *2163:25 *2163:32 46.0928 +5 *2163:32 *2163:40 45.3875 +6 *2163:40 *23259:B 18.0394 +7 *2163:40 *5825:DIODE 14.1278 +*END + +*D_NET *2164 0.0725748 +*CONN +*I *23260:B I *D sky130_fd_sc_hd__and2_1 +*I *5827:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[353] O *D mprj_logic_high +*CAP +1 *23260:B 0.000169864 +2 *5827:DIODE 0 +3 *22570:HI[353] 0.00938845 +4 *2164:47 0.00170138 +5 *2164:26 0.01092 +6 *2164:26 *2165:10 2.30766e-05 +7 *2164:26 *2173:20 0.000658468 +8 *2164:26 *2319:14 0.00471406 +9 *2164:26 *2875:28 0.000280449 +10 *2164:26 *3410:13 0.00286608 +11 *2164:47 *2379:26 2.09205e-05 +12 *2164:47 *2709:8 5.74949e-05 +13 *2164:47 *2842:12 1.91391e-05 +14 *1171:32 *2164:26 0.00246536 +15 *1261:7 *2164:47 0.000306974 +16 *1634:11 *23260:B 9.56135e-05 +17 *1668:17 *2164:26 5.76799e-05 +18 *1890:16 *2164:26 0.00150272 +19 *1891:15 *2164:26 0.00140087 +20 *1905:31 *2164:26 0.00197153 +21 *1932:67 *2164:26 3.79856e-05 +22 *1971:22 *2164:26 0.000319745 +23 *1971:27 *2164:26 0.00965604 +24 *1971:27 *2164:47 0.005332 +25 *2000:22 *2164:47 6.11074e-05 +26 *2012:22 *2164:47 1.77894e-05 +27 *2024:41 *2164:26 0.001186 +28 *2029:34 *2164:26 3.61945e-05 +29 *2085:25 *2164:26 0 +30 *2123:19 *2164:26 0.000457838 +31 *2129:33 *2164:26 0.000289351 +32 *2135:20 *2164:26 0.00357705 +33 *2154:18 *2164:26 0.0027463 +34 *2154:25 *2164:26 0.00392016 +35 *2154:25 *2164:47 0.00630501 +36 *2163:9 *2164:26 1.21657e-05 +*RES +1 *22570:HI[353] *2164:26 49.8526 +2 *2164:26 *2164:47 40.1934 +3 *2164:47 *5827:DIODE 9.24915 +4 *2164:47 *23260:B 13.7342 +*END + +*D_NET *2165 0.0679769 +*CONN +*I *5829:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23261:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[354] O *D mprj_logic_high +*CAP +1 *5829:DIODE 0 +2 *23261:B 0.00014007 +3 *22570:HI[354] 0.000702574 +4 *2165:42 0.00375947 +5 *2165:41 0.00367689 +6 *2165:36 0.000675634 +7 *2165:35 0.000722853 +8 *2165:30 0.00306749 +9 *2165:28 0.00386942 +10 *2165:18 0.00270287 +11 *2165:17 0.00283708 +12 *2165:10 0.00174342 +13 *23261:B *2379:26 1.32509e-05 +14 *2165:10 *2183:8 0.000411648 +15 *2165:10 *3118:16 0.000680701 +16 *2165:17 *2183:8 0.000465279 +17 *2165:17 *2197:31 0.000311955 +18 *2165:17 *3118:15 9.32704e-05 +19 *2165:18 *2166:24 0.002246 +20 *2165:18 *2166:26 4.35518e-05 +21 *2165:18 *2170:14 1.65872e-05 +22 *2165:18 *2184:8 0.00858093 +23 *2165:18 *3120:15 2.77625e-06 +24 *2165:28 *21472:A 0.00027573 +25 *2165:28 *3118:5 9.95922e-06 +26 *2165:28 *3118:7 9.87126e-06 +27 *2165:28 *3120:14 5.58449e-05 +28 *2165:28 *3120:15 0.00225183 +29 *2165:30 *2170:26 0.00413678 +30 *2165:30 *3120:7 0.000120974 +31 *2165:35 *2170:31 0 +32 *2165:35 *3121:6 2.62709e-05 +33 *2165:36 *23266:A 9.97706e-05 +34 *2165:36 *2706:15 0.000931438 +35 *2165:36 *2918:52 0.0032775 +36 *2165:36 *3120:7 0.000785018 +37 *2165:41 *2850:18 8.69059e-05 +38 *2165:42 *2918:61 0.000107496 +39 *22297:A *2165:28 0.000102003 +40 *22297:TE *2165:28 0.000265211 +41 *23528:A *2165:17 0.000171288 +42 *1261:19 *2165:28 6.50727e-05 +43 *1261:19 *2165:30 1.15389e-05 +44 *1508:8 *23261:B 2.99929e-05 +45 *1513:10 *2165:36 0.000211478 +46 *1636:13 *23261:B 7.50872e-05 +47 *1775:10 *2165:35 1.07248e-05 +48 *1900:9 *2165:17 0.000641454 +49 *1904:15 *2165:17 0 +50 *1916:30 *2165:36 0.00392056 +51 *1916:30 *2165:42 1.15389e-05 +52 *1916:32 *23261:B 0.000113968 +53 *1916:32 *2165:42 0.00589218 +54 *1930:11 *2165:17 4.86396e-05 +55 *1932:67 *2165:10 0.000120433 +56 *1943:8 *2165:17 0.000429969 +57 *1943:14 *2165:10 0.000100184 +58 *1943:14 *2165:17 0.000406425 +59 *1945:20 *2165:18 0.000119836 +60 *1999:11 *23261:B 1.18802e-05 +61 *2020:23 *2165:41 8.34808e-05 +62 *2029:34 *2165:10 0.000477652 +63 *2085:20 *2165:17 2.36262e-05 +64 *2153:26 *2165:18 0.00509502 +65 *2155:13 *2165:17 0.000534852 +66 *2157:17 *2165:17 3.29488e-05 +67 *2160:13 *2165:17 7.68538e-06 +68 *2164:26 *2165:10 2.30766e-05 +*RES +1 *22570:HI[354] *2165:10 25.757 +2 *2165:10 *2165:17 49.3854 +3 *2165:17 *2165:18 97.779 +4 *2165:18 *2165:28 33.2521 +5 *2165:28 *2165:30 51.1923 +6 *2165:30 *2165:35 10.832 +7 *2165:35 *2165:36 51.7469 +8 *2165:36 *2165:41 10.832 +9 *2165:41 *2165:42 65.0574 +10 *2165:42 *23261:B 21.7744 +11 *2165:42 *5829:DIODE 9.24915 +*END + +*D_NET *2166 0.0562302 +*CONN +*I *5831:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23262:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[355] O *D mprj_logic_high +*CAP +1 *5831:DIODE 0 +2 *23262:B 0.000148681 +3 *22570:HI[355] 7.35935e-05 +4 *2166:42 0.0016554 +5 *2166:40 0.00327339 +6 *2166:26 0.00307114 +7 *2166:24 0.00205921 +8 *2166:10 0.00176933 +9 *2166:9 0.00108818 +10 *23262:B *2851:51 0.000169041 +11 *2166:10 *2167:24 0.000157517 +12 *2166:10 *2169:22 0.000537249 +13 *2166:10 *2169:44 0.000191169 +14 *2166:24 *2169:44 0.000284076 +15 *2166:26 *2167:39 8.75671e-05 +16 *2166:26 *2170:23 0.00285248 +17 *2166:26 *2172:20 3.07561e-05 +18 *2166:26 *2184:14 0.00425139 +19 *2166:26 *2587:27 0.000266832 +20 *2166:40 *23280:B 3.14978e-05 +21 *2166:40 *2172:20 9.82896e-06 +22 *2166:40 *2172:24 0.000948142 +23 *2166:40 *3095:24 4.04447e-05 +24 *2166:42 *6092:DIODE 4.0752e-05 +25 *2166:42 *23268:B 0.000318323 +26 *2166:42 *23391:A 0.000143953 +27 *2166:42 *2170:35 0.00380836 +28 *2166:42 *2172:24 0.0034518 +29 *2166:42 *2851:51 0.0059092 +30 *2166:42 *2918:61 0.00115451 +31 *2166:42 *2940:47 1.5962e-05 +32 *2166:42 *2940:53 0.000256037 +33 *6089:DIODE *2166:42 0.000171288 +34 *6093:DIODE *2166:42 0.000164815 +35 *1155:23 *2166:40 0.00016362 +36 *1169:43 *2166:10 5.96438e-05 +37 *1512:9 *2166:42 6.50727e-05 +38 *1527:10 *2166:40 4.69495e-06 +39 *1640:16 *2166:42 0.000949832 +40 *1884:21 *2166:42 3.21548e-05 +41 *1889:24 *2166:40 1.86242e-05 +42 *1894:7 *2166:40 0.000182409 +43 *1905:31 *2166:10 0.00031128 +44 *1932:31 *2166:10 7.8138e-05 +45 *1932:61 *2166:10 0.00118444 +46 *1932:67 *2166:9 2.33103e-06 +47 *1936:21 *2166:10 6.47059e-05 +48 *1945:20 *2166:10 0.000310039 +49 *1945:20 *2166:24 0.000469909 +50 *1945:22 *2166:10 0.00706662 +51 *2101:28 *2166:10 0.00123813 +52 *2153:34 *2166:24 0.000806731 +53 *2155:32 *2166:10 0.00229796 +54 *2158:31 *2166:24 1.68479e-06 +55 *2158:31 *2166:26 4.65164e-05 +56 *2163:32 *2166:24 0.000154172 +57 *2165:18 *2166:24 0.002246 +58 *2165:18 *2166:26 4.35518e-05 +*RES +1 *22570:HI[355] *2166:9 5.52592 +2 *2166:9 *2166:10 85.0231 +3 *2166:10 *2166:24 36.9053 +4 *2166:24 *2166:26 57.0157 +5 *2166:26 *2166:40 44.3092 +6 *2166:40 *2166:42 106.098 +7 *2166:42 *23262:B 13.3243 +8 *2166:42 *5831:DIODE 9.24915 +*END + +*D_NET *2167 0.0570907 +*CONN +*I *5833:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23263:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[356] O *D mprj_logic_high +*CAP +1 *5833:DIODE 0 +2 *23263:B 8.41005e-05 +3 *22570:HI[356] 0.00206447 +4 *2167:65 0.000908387 +5 *2167:57 0.0037734 +6 *2167:42 0.00368856 +7 *2167:39 0.00135367 +8 *2167:32 0.00133201 +9 *2167:24 0.00278225 +10 *23263:B *2784:21 0.000328363 +11 *2167:24 *2173:22 8.27309e-05 +12 *2167:24 *2180:38 0.000277899 +13 *2167:24 *2181:36 0.00126934 +14 *2167:24 *2186:47 0.000266683 +15 *2167:24 *2192:25 0.00267711 +16 *2167:24 *2332:34 0.000959672 +17 *2167:32 *2169:44 0.000507448 +18 *2167:32 *2173:22 0.00063875 +19 *2167:32 *2173:34 3.17877e-05 +20 *2167:32 *2181:36 0.00196872 +21 *2167:32 *2332:34 0.000157534 +22 *2167:39 *2169:44 0.000114594 +23 *2167:39 *2587:27 5.04829e-06 +24 *2167:42 *2169:52 0.000462844 +25 *2167:42 *2169:54 0.00113304 +26 *2167:42 *2173:45 0.00217095 +27 *2167:42 *2332:72 0.000523554 +28 *2167:57 *2169:54 0.0013696 +29 *2167:57 *2841:12 2.2224e-05 +30 *2167:65 *2332:72 0.00405426 +31 *2167:65 *2706:8 7.17919e-05 +32 *23526:A *2167:42 2.16355e-05 +33 *1155:22 *2167:32 0.000104416 +34 *1262:12 *2167:57 5.36085e-05 +35 *1510:10 *23263:B 3.61993e-05 +36 *1638:8 *2167:65 2.67878e-05 +37 *1884:12 *2167:39 1.64739e-05 +38 *1884:29 *23263:B 7.97944e-05 +39 *1894:7 *2167:65 0.00388899 +40 *1905:31 *2167:24 6.2622e-06 +41 *1932:31 *2167:32 0.000767485 +42 *1936:37 *2167:24 0.00102399 +43 *1945:22 *2167:24 0.000144814 +44 *2012:22 *2167:57 2.2224e-05 +45 *2014:27 *2167:57 1.49935e-05 +46 *2017:18 *2167:39 9.80784e-05 +47 *2101:20 *2167:24 0.000116084 +48 *2153:34 *2167:39 7.7498e-05 +49 *2155:47 *2167:39 0.000134523 +50 *2158:31 *2167:39 0.00137964 +51 *2159:30 *2167:24 0.000525748 +52 *2159:30 *2167:32 0.00146572 +53 *2159:30 *2167:39 1.5962e-05 +54 *2159:40 *2167:39 0.00233424 +55 *2159:57 *2167:57 0.00292168 +56 *2162:29 *2167:24 0.000338714 +57 *2163:40 *2167:57 0.00614929 +58 *2166:10 *2167:24 0.000157517 +59 *2166:26 *2167:39 8.75671e-05 +*RES +1 *22570:HI[356] *2167:24 34.1458 +2 *2167:24 *2167:32 47.7924 +3 *2167:32 *2167:39 39.7008 +4 *2167:39 *2167:42 35.6875 +5 *2167:42 *2167:57 49.064 +6 *2167:57 *2167:65 18.1896 +7 *2167:65 *23263:B 13.7342 +8 *2167:65 *5833:DIODE 9.24915 +*END + +*D_NET *2168 0.0502726 +*CONN +*I *23264:B I *D sky130_fd_sc_hd__and2_1 +*I *5835:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[357] O *D mprj_logic_high +*CAP +1 *23264:B 0 +2 *5835:DIODE 4.52654e-05 +3 *22570:HI[357] 0.000590892 +4 *2168:30 0.000937719 +5 *2168:24 0.00195344 +6 *2168:22 0.00110033 +7 *2168:20 0.00271938 +8 *2168:19 0.0028499 +9 *2168:16 0.00106655 +10 *2168:8 0.00239021 +11 *2168:7 0.00208442 +12 *5835:DIODE *23264:A 6.50727e-05 +13 *2168:7 *2169:7 3.70345e-05 +14 *2168:7 *2325:15 7.43371e-05 +15 *2168:7 *3118:16 0 +16 *2168:8 *2188:8 0.00587009 +17 *2168:8 *2336:8 0.00715174 +18 *2168:16 *2169:44 0 +19 *2168:16 *2169:52 0.000206378 +20 *2168:19 *2995:60 1.78063e-05 +21 *2168:19 *3123:30 0.000302743 +22 *2168:20 *5865:DIODE 4.82966e-05 +23 *2168:20 *6120:DIODE 0.00014616 +24 *2168:20 *23279:A 0.000277502 +25 *2168:24 *2855:15 0.00118406 +26 *2168:24 *2984:31 0.00114313 +27 *2168:30 *5844:DIODE 0.000118166 +28 *2168:30 *6100:DIODE 6.08467e-05 +29 *2168:30 *23269:A 5.08751e-05 +30 *2168:30 *23269:B 0.000139764 +31 *2168:30 *2984:31 1.41976e-05 +32 *6091:DIODE *2168:30 6.49003e-05 +33 *6121:DIODE *2168:20 2.39581e-05 +34 *23152:A *2168:20 0.000120546 +35 *1511:7 *2168:30 0.000268798 +36 *1526:5 *2168:20 2.65831e-05 +37 *1654:10 *2168:20 6.50727e-05 +38 *1886:19 *2168:20 0.0018335 +39 *1887:13 *2168:19 2.77564e-05 +40 *1887:17 *2168:20 0.00076275 +41 *1905:39 *2168:8 0.000150611 +42 *1932:15 *2168:16 7.09666e-06 +43 *1943:7 *2168:16 0.000143173 +44 *1949:12 *2168:8 5.96438e-05 +45 *1950:14 *2168:16 0.000449511 +46 *1952:17 *2168:8 0.000111722 +47 *2032:24 *2168:20 0.000298318 +48 *2155:41 *2168:16 1.62943e-05 +49 *2156:26 *2168:8 0.00346049 +50 *2156:41 *2168:16 0.000907004 +51 *2156:41 *2168:19 0.000216384 +52 *2156:42 *2168:20 0.000184597 +53 *2156:48 *2168:20 7.8082e-07 +54 *2156:50 *2168:20 0.000194035 +55 *2156:50 *2168:24 0.00393395 +56 *2156:61 *2168:24 0.0010756 +57 *2156:68 *2168:24 6.08467e-05 +58 *2156:68 *2168:30 0.000212211 +59 *2156:70 *5835:DIODE 0.000224395 +60 *2156:70 *2168:30 2.39581e-05 +61 *2158:31 *2168:16 2.06059e-05 +62 *2159:40 *2168:16 0.00167764 +63 *2161:40 *5835:DIODE 2.41274e-06 +64 *2163:25 *2168:8 0.000753345 +65 *2163:32 *2168:8 0.000247809 +*RES +1 *22570:HI[357] *2168:7 14.7592 +2 *2168:7 *2168:8 105.543 +3 *2168:8 *2168:16 45.5691 +4 *2168:16 *2168:19 10.4845 +5 *2168:19 *2168:20 71.99 +6 *2168:20 *2168:22 0.988641 +7 *2168:22 *2168:24 54.7972 +8 *2168:24 *2168:30 23.221 +9 *2168:30 *5835:DIODE 11.6364 +10 *2168:30 *23264:B 9.24915 +*END + +*D_NET *2169 0.0488396 +*CONN +*I *23265:B I *D sky130_fd_sc_hd__and2_1 +*I *5837:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[358] O *D mprj_logic_high +*CAP +1 *23265:B 1.9352e-05 +2 *5837:DIODE 5.03491e-05 +3 *22570:HI[358] 0.000703378 +4 *2169:64 0.00250577 +5 *2169:54 0.00358658 +6 *2169:52 0.00215351 +7 *2169:44 0.00141787 +8 *2169:35 0.00102037 +9 *2169:22 0.00136201 +10 *2169:11 0.00163677 +11 *2169:7 0.00158363 +12 *5837:DIODE *23265:A 6.92705e-05 +13 *2169:7 *2170:12 3.46655e-05 +14 *2169:7 *2325:15 0.000212767 +15 *2169:11 *2173:10 0.000856941 +16 *2169:22 *2181:36 0.000464698 +17 *2169:35 *2181:36 0.00244629 +18 *2169:35 *2192:25 1.34778e-05 +19 *2169:35 *2196:25 0.000111618 +20 *2169:35 *2332:34 0.000115706 +21 *2169:44 *2173:34 7.98428e-05 +22 *2169:52 *2173:34 0.00193288 +23 *2169:52 *2173:45 6.3623e-05 +24 *2169:52 *2332:58 0.000487711 +25 *6101:DIODE *23265:B 8.67924e-06 +26 *6101:DIODE *2169:64 0.000264871 +27 *23526:A *2169:52 6.08467e-05 +28 *1169:63 *2169:22 0.000540139 +29 *1171:26 *2169:7 6.39153e-06 +30 *1171:26 *2169:22 0.000540938 +31 *1393:41 *2169:11 5.51483e-06 +32 *1406:9 *2169:11 0.00307766 +33 *1644:11 *5837:DIODE 4.33655e-05 +34 *1644:11 *2169:64 3.37866e-05 +35 *1900:9 *2169:22 5.26361e-07 +36 *1901:10 *2169:11 0.000133562 +37 *1902:12 *2169:11 0.000440243 +38 *1905:31 *2169:22 0.000319185 +39 *1907:7 *2169:22 0.000106957 +40 *1909:7 *2169:22 1.85274e-05 +41 *1932:31 *2169:35 6.47059e-05 +42 *1932:31 *2169:44 0.000316779 +43 *1932:61 *2169:22 0.000624038 +44 *1936:21 *2169:35 0.00139754 +45 *2022:28 *2169:35 2.33103e-06 +46 *2034:20 *2169:7 0 +47 *2153:34 *2169:44 0.000351851 +48 *2155:32 *2169:22 0.000275948 +49 *2155:32 *2169:35 6.78364e-06 +50 *2156:16 *2169:11 0.0010756 +51 *2156:41 *2169:52 0.00017568 +52 *2159:30 *2169:35 0.000989974 +53 *2159:40 *2169:44 0.000432474 +54 *2159:40 *2169:52 1.22824e-05 +55 *2161:18 *2169:52 0.00216674 +56 *2161:18 *2169:54 7.6719e-06 +57 *2161:20 *2169:54 0.00520446 +58 *2161:20 *2169:64 9.82896e-06 +59 *2161:26 *2169:64 0.0023463 +60 *2161:39 *2169:64 4.91225e-06 +61 *2166:10 *2169:22 0.000537249 +62 *2166:10 *2169:44 0.000191169 +63 *2166:24 *2169:44 0.000284076 +64 *2167:32 *2169:44 0.000507448 +65 *2167:39 *2169:44 0.000114594 +66 *2167:42 *2169:52 0.000462844 +67 *2167:42 *2169:54 0.00113304 +68 *2167:57 *2169:54 0.0013696 +69 *2168:7 *2169:7 3.70345e-05 +70 *2168:16 *2169:44 0 +71 *2168:16 *2169:52 0.000206378 +*RES +1 *22570:HI[358] *2169:7 17.2507 +2 *2169:7 *2169:11 48.2897 +3 *2169:11 *2169:22 37.1467 +4 *2169:22 *2169:35 36.1135 +5 *2169:35 *2169:44 33.2278 +6 *2169:44 *2169:52 48.2023 +7 *2169:52 *2169:54 57.8476 +8 *2169:54 *2169:64 49.625 +9 *2169:64 *5837:DIODE 11.0817 +10 *2169:64 *23265:B 9.82786 +*END + +*D_NET *2170 0.0539455 +*CONN +*I *23266:B I *D sky130_fd_sc_hd__and2_1 +*I *5839:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[359] O *D mprj_logic_high +*CAP +1 *23266:B 0.000110557 +2 *5839:DIODE 0 +3 *22570:HI[359] 0.000297257 +4 *2170:37 0.000110557 +5 *2170:35 0.00236637 +6 *2170:31 0.00243739 +7 *2170:26 0.00062845 +8 *2170:25 0.000557433 +9 *2170:23 0.00189156 +10 *2170:14 0.00368441 +11 *2170:12 0.00209011 +12 *23266:B *2918:61 0.000251655 +13 *2170:12 *2172:12 0.000621969 +14 *2170:12 *2184:8 1.5962e-05 +15 *2170:14 *2172:12 2.20605e-05 +16 *2170:14 *2172:14 0.0111546 +17 *2170:14 *2184:8 0.011376 +18 *2170:14 *3120:15 8.15039e-05 +19 *2170:23 *2175:27 0.000115451 +20 *2170:23 *2184:13 9.32927e-05 +21 *2170:23 *2586:12 6.14756e-06 +22 *2170:23 *2867:12 8.98169e-05 +23 *2170:26 *2940:34 0.00324314 +24 *2170:26 *3096:36 3.83172e-05 +25 *2170:26 *3120:7 1.65872e-05 +26 *2170:31 *2940:34 8.98169e-05 +27 *2170:35 *2851:50 3.00073e-05 +28 *1171:26 *2170:12 7.09666e-06 +29 *1261:19 *2170:26 0.000759185 +30 *1774:6 *2170:23 3.59283e-05 +31 *1775:10 *2170:31 0 +32 *1916:30 *23266:B 0.00030253 +33 *2012:22 *2170:35 0 +34 *2034:20 *2170:12 1.40614e-05 +35 *2119:26 *2170:12 0.000557407 +36 *2165:18 *2170:14 1.65872e-05 +37 *2165:30 *2170:26 0.00413678 +38 *2165:35 *2170:31 0 +39 *2166:26 *2170:23 0.00285248 +40 *2166:42 *2170:35 0.00380836 +41 *2169:7 *2170:12 3.46655e-05 +*RES +1 *22570:HI[359] *2170:12 15.0049 +2 *2170:12 *2170:14 128.282 +3 *2170:14 *2170:23 48.8058 +4 *2170:23 *2170:25 4.5 +5 *2170:25 *2170:26 45.6463 +6 *2170:26 *2170:31 10.832 +7 *2170:31 *2170:35 47.1262 +8 *2170:35 *2170:37 4.5 +9 *2170:37 *5839:DIODE 9.24915 +10 *2170:37 *23266:B 13.7342 +*END + +*D_NET *2171 0.025845 +*CONN +*I *22520:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4983:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[35] O *D mprj_logic_high +*CAP +1 *22520:TE 1.30866e-05 +2 *4983:DIODE 0 +3 *22570:HI[35] 0.00135228 +4 *2171:28 0.000329332 +5 *2171:26 0.000842207 +6 *2171:19 0.00221576 +7 *2171:13 0.00304208 +8 *22520:TE *2381:9 2.33638e-05 +9 *22520:TE *2381:13 5.08751e-05 +10 *2171:13 *2172:12 3.45306e-05 +11 *2171:13 *2176:10 6.22259e-05 +12 *2171:13 *2320:26 0.000809943 +13 *2171:13 *3120:27 0.000767155 +14 *2171:19 *2318:28 0.00242603 +15 *2171:19 *2328:30 0.000110257 +16 *2171:19 *2890:22 8.6792e-05 +17 *2171:19 *3051:6 0 +18 *2171:19 *3407:6 4.45321e-05 +19 *2171:26 *2381:13 4.31603e-06 +20 *2171:26 *2381:17 0.00158171 +21 *2171:26 *3145:11 0.000267904 +22 *2171:28 *2381:13 0.00338032 +23 *2171:28 *2381:17 3.83336e-05 +24 *2171:28 *3145:11 0.00022447 +25 *4988:DIODE *2171:19 7.09666e-06 +26 *22336:TE *2171:26 0.000217887 +27 *1939:34 *22520:TE 0.000116764 +28 *1939:34 *2171:26 0.00361263 +29 *1939:34 *2171:28 0.0034839 +30 *2034:20 *2171:13 0.000699168 +31 *2065:27 *2171:13 0 +*RES +1 *22570:HI[35] *2171:13 43.7692 +2 *2171:13 *2171:19 39.9739 +3 *2171:19 *2171:26 41.4265 +4 *2171:26 *2171:28 37.3272 +5 *2171:28 *4983:DIODE 9.24915 +6 *2171:28 *22520:TE 10.5271 +*END + +*D_NET *2172 0.0510351 +*CONN +*I *5843:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23268:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[360] O *D mprj_logic_high +*CAP +1 *5843:DIODE 0 +2 *23268:B 4.06344e-05 +3 *22570:HI[360] 0.000395251 +4 *2172:24 0.000889995 +5 *2172:22 0.000871188 +6 *2172:20 0.000640109 +7 *2172:19 0.000798393 +8 *2172:14 0.0019152 +9 *2172:12 0.00213034 +10 *23268:B *2940:47 0.000219369 +11 *2172:12 *3117:9 1.88014e-05 +12 *2172:12 *3120:21 0.000935938 +13 *2172:14 *3120:15 0.00853657 +14 *2172:14 *3120:21 4.77848e-05 +15 *2172:19 *2586:12 6.39226e-05 +16 *2172:19 *2587:27 2.69795e-05 +17 *2172:19 *2587:28 0 +18 *2172:20 *23280:B 0.000324151 +19 *2172:20 *2184:14 0.00434342 +20 *2172:20 *2587:27 6.08467e-05 +21 *2172:24 *23280:A 0.000122378 +22 *2172:24 *2722:15 6.50727e-05 +23 *2172:24 *2940:47 0.00343564 +24 *1171:15 *2172:12 0.000258222 +25 *1515:11 *23268:B 6.08467e-05 +26 *1884:13 *2172:20 0.0048671 +27 *1884:13 *2172:24 0.000860981 +28 *1932:67 *2172:14 0.00113712 +29 *2017:18 *2172:19 0 +30 *2034:20 *2172:12 5.26361e-07 +31 *2065:27 *2172:12 1.49935e-05 +32 *2119:26 *2172:12 1.37669e-05 +33 *2157:17 *2172:14 0.00134755 +34 *2166:26 *2172:20 3.07561e-05 +35 *2166:40 *2172:20 9.82896e-06 +36 *2166:40 *2172:24 0.000948142 +37 *2166:42 *23268:B 0.000318323 +38 *2166:42 *2172:24 0.0034518 +39 *2170:12 *2172:12 0.000621969 +40 *2170:14 *2172:12 2.20605e-05 +41 *2170:14 *2172:14 0.0111546 +42 *2171:13 *2172:12 3.45306e-05 +*RES +1 *22570:HI[360] *2172:12 19.5797 +2 *2172:12 *2172:14 125.232 +3 *2172:14 *2172:19 12.9083 +4 *2172:19 *2172:20 53.4107 +5 *2172:20 *2172:22 0.578717 +6 *2172:22 *2172:24 62.2844 +7 *2172:24 *23268:B 12.7456 +8 *2172:24 *5843:DIODE 9.24915 +*END + +*D_NET *2173 0.0502277 +*CONN +*I *23269:B I *D sky130_fd_sc_hd__and2_1 +*I *5845:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[361] O *D mprj_logic_high +*CAP +1 *23269:B 0.000105392 +2 *5845:DIODE 0 +3 *22570:HI[361] 0.00101853 +4 *2173:55 0.00106349 +5 *2173:46 0.00509474 +6 *2173:45 0.00574308 +7 *2173:34 0.00258038 +8 *2173:22 0.00193234 +9 *2173:20 0.00198283 +10 *2173:10 0.00204296 +11 *23269:B *2806:16 1.21812e-05 +12 *23269:B *2840:8 2.34394e-05 +13 *2173:10 *2174:19 0.000100102 +14 *2173:20 *2181:20 2.53145e-06 +15 *2173:20 *2342:8 0.000144523 +16 *2173:22 *2181:20 8.08098e-05 +17 *2173:45 *2366:12 3.8071e-05 +18 *2173:45 *3151:58 0 +19 *2173:46 *22426:A_N 0.000217937 +20 *2173:55 *2806:16 7.20173e-06 +21 *2173:55 *2840:8 7.13655e-06 +22 *4546:DIODE *2173:46 0.000202245 +23 *22300:TE *2173:46 5.04829e-06 +24 *1155:22 *2173:22 0.00073887 +25 *1264:11 *2173:46 0.000236373 +26 *1393:41 *2173:10 0.00255528 +27 *1406:9 *2173:10 0.000132381 +28 *1776:10 *2173:55 0.000178107 +29 *1885:19 *2173:46 0.00383948 +30 *1927:16 *2173:20 6.65178e-05 +31 *1936:37 *2173:20 0 +32 *1949:10 *2173:20 0.000389036 +33 *2003:55 *2173:55 0.000174495 +34 *2017:18 *2173:45 4.49767e-05 +35 *2065:27 *2173:10 0.000159401 +36 *2123:19 *2173:20 7.40684e-06 +37 *2153:43 *2173:55 0.00124809 +38 *2154:18 *2173:20 0.000260291 +39 *2155:51 *2173:55 0.00311462 +40 *2156:16 *2173:20 0.000130018 +41 *2156:68 *23269:B 0.000324151 +42 *2159:30 *2173:34 0.000345382 +43 *2159:40 *2173:34 2.398e-06 +44 *2159:40 *2173:45 4.15661e-05 +45 *2161:12 *2173:22 0.00499487 +46 *2161:12 *2173:34 0.00146056 +47 *2161:18 *2173:34 0.000162911 +48 *2161:20 *2173:46 0.000494138 +49 *2161:26 *2173:46 6.56588e-05 +50 *2164:26 *2173:20 0.000658468 +51 *2167:24 *2173:22 8.27309e-05 +52 *2167:32 *2173:22 0.00063875 +53 *2167:32 *2173:34 3.17877e-05 +54 *2167:42 *2173:45 0.00217095 +55 *2168:30 *23269:B 0.000139764 +56 *2169:11 *2173:10 0.000856941 +57 *2169:44 *2173:34 7.98428e-05 +58 *2169:52 *2173:34 0.00193288 +59 *2169:52 *2173:45 6.3623e-05 +*RES +1 *22570:HI[361] *2173:10 48.8192 +2 *2173:10 *2173:20 29.2231 +3 *2173:20 *2173:22 54.2426 +4 *2173:22 *2173:34 49.9264 +5 *2173:34 *2173:45 46.3747 +6 *2173:45 *2173:46 71.1581 +7 *2173:46 *2173:55 19.6501 +8 *2173:55 *5845:DIODE 13.7491 +9 *2173:55 *23269:B 18.6623 +*END + +*D_NET *2174 0.0580124 +*CONN +*I *23270:B I *D sky130_fd_sc_hd__and2_1 +*I *5847:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[362] O *D mprj_logic_high +*CAP +1 *23270:B 0 +2 *5847:DIODE 1.4975e-05 +3 *22570:HI[362] 0.00536228 +4 *2174:25 0.0063902 +5 *2174:19 0.0117375 +6 *5847:DIODE *23270:A 6.92705e-05 +7 *5847:DIODE *2448:17 0.000171273 +8 *2174:19 *2175:10 0.0001098 +9 *2174:19 *2326:8 0.00452027 +10 *2174:19 *2335:34 0.00128555 +11 *2174:19 *2599:18 3.71452e-05 +12 *2174:19 *3073:33 0.00270955 +13 *2174:25 *2365:43 0.00053777 +14 *2174:25 *2372:41 0.000138498 +15 *2174:25 *2448:13 0.000101365 +16 *2174:25 *2856:6 1.5714e-05 +17 *2174:25 *3051:24 0.00251315 +18 *2174:25 *3124:17 0.01139 +19 *4553:DIODE *2174:25 4.42985e-05 +20 *1153:54 *2174:25 0.000764333 +21 *1157:43 *2174:25 0.000318594 +22 *1157:61 *2174:25 0.000495306 +23 *1406:9 *2174:19 0.000108607 +24 *1927:16 *2174:19 0.000729173 +25 *1998:10 *2174:19 0.00288125 +26 *2017:8 *2174:19 0.000118134 +27 *2028:17 *2174:19 0.00083091 +28 *2054:19 *2174:19 0.000337391 +29 *2073:22 *2174:19 2.05082e-05 +30 *2091:10 *2174:19 0.0028939 +31 *2146:16 *2174:25 0.000123737 +32 *2147:49 *2174:25 0.000430446 +33 *2150:23 *2174:25 0.000561675 +34 *2150:26 *2174:25 0.000101365 +35 *2150:28 *5847:DIODE 4.82966e-05 +36 *2173:10 *2174:19 0.000100102 +*RES +1 *22570:HI[362] *2174:19 35.9838 +2 *2174:19 *2174:25 31.971 +3 *2174:25 *5847:DIODE 11.0817 +4 *2174:25 *23270:B 9.24915 +*END + +*D_NET *2175 0.0587265 +*CONN +*I *5849:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23271:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[363] O *D mprj_logic_high +*CAP +1 *5849:DIODE 0 +2 *23271:B 0.000150249 +3 *22570:HI[363] 0.00259501 +4 *2175:39 0.00469479 +5 *2175:27 0.0062926 +6 *2175:24 0.00552285 +7 *2175:10 0.00636981 +8 *23271:B *2377:5 0.000137363 +9 *2175:10 *2176:10 3.03311e-05 +10 *2175:10 *2192:25 2.48435e-05 +11 *2175:10 *2339:8 0.00520649 +12 *2175:10 *3403:6 7.46823e-05 +13 *2175:24 *21600:A 0.000317707 +14 *2175:24 *2339:8 0.000988137 +15 *2175:24 *2587:28 2.68013e-05 +16 *2175:24 *2795:6 2.01653e-05 +17 *2175:24 *2887:18 6.03122e-05 +18 *2175:24 *2995:60 0.000610523 +19 *2175:24 *3100:19 0.00124324 +20 *2175:24 *3136:23 1.9577e-05 +21 *2175:24 *3141:15 0.00072404 +22 *2175:27 *2184:13 1.55462e-05 +23 *2175:27 *2586:12 0.000174074 +24 *2175:27 *2588:14 0.00124948 +25 *2175:27 *3125:22 0.000400767 +26 *2175:27 *3217:26 0.000305591 +27 *2175:39 *2180:53 0.00169086 +28 *2175:39 *2841:12 7.27864e-06 +29 *6365:DIODE *2175:10 0.000113025 +30 *1157:65 *2175:39 0.000101365 +31 *1381:28 *2175:24 0.00180774 +32 *1384:15 *2175:24 0.000138614 +33 *1388:13 *2175:10 0.000137573 +34 *1390:26 *2175:10 1.4979e-05 +35 *1393:13 *2175:39 0.000167821 +36 *1901:18 *2175:24 5.45571e-05 +37 *1902:12 *2175:24 0.00271645 +38 *1907:11 *2175:24 0 +39 *1909:7 *2175:24 0.000129353 +40 *1935:24 *2175:24 0.00264731 +41 *1937:11 *2175:24 0.00136963 +42 *1937:28 *2175:10 0.0052152 +43 *2002:20 *2175:39 0.00150532 +44 *2007:17 *2175:39 2.87136e-06 +45 *2017:18 *2175:24 0.000150113 +46 *2020:22 *2175:39 0.00237069 +47 *2035:21 *2175:10 0.000905503 +48 *2065:27 *2175:10 0 +49 *2170:23 *2175:27 0.000115451 +50 *2174:19 *2175:10 0.0001098 +*RES +1 *22570:HI[363] *2175:10 49.4688 +2 *2175:10 *2175:24 46.3237 +3 *2175:24 *2175:27 48.0648 +4 *2175:27 *2175:39 27.1005 +5 *2175:39 *23271:B 13.3243 +6 *2175:39 *5849:DIODE 9.24915 +*END + +*D_NET *2176 0.0581143 +*CONN +*I *23272:B I *D sky130_fd_sc_hd__and2_1 +*I *5851:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[364] O *D mprj_logic_high +*CAP +1 *23272:B 0.000103818 +2 *5851:DIODE 0 +3 *22570:HI[364] 0.00227204 +4 *2176:55 0.00389405 +5 *2176:45 0.00555347 +6 *2176:42 0.00236695 +7 *2176:38 0.001006 +8 *2176:30 0.00113784 +9 *2176:14 0.00130193 +10 *2176:10 0.00283841 +11 *23272:B *2571:9 0.000114594 +12 *23272:B *2762:29 0.000120024 +13 *23272:B *3017:29 7.88576e-05 +14 *2176:10 *2178:7 0 +15 *2176:10 *2192:25 7.24873e-05 +16 *2176:10 *3017:19 0.000133887 +17 *2176:10 *3317:31 0 +18 *2176:10 *3405:7 0.000356405 +19 *2176:14 *3115:39 0.00185714 +20 *2176:30 *2333:14 2.72393e-05 +21 *2176:30 *2343:8 0.000387714 +22 *2176:30 *3115:24 6.36477e-05 +23 *2176:30 *3115:35 0.000575774 +24 *2176:30 *3115:39 0.000508385 +25 *2176:30 *3131:9 0.000103161 +26 *2176:30 *3149:18 7.49971e-05 +27 *2176:30 *3397:7 0.000470541 +28 *2176:38 *2875:56 0.00152996 +29 *2176:38 *3115:24 0.000218582 +30 *2176:42 *21475:A 0.000163418 +31 *2176:42 *2851:20 0.000271155 +32 *2176:42 *3115:7 0.0026696 +33 *2176:42 *3115:24 0.000478977 +34 *2176:42 *3131:9 7.33307e-05 +35 *2176:45 *2871:16 9.14163e-05 +36 *2176:45 *2871:20 0 +37 *2176:45 *3217:26 0.00209743 +38 *2176:45 *3402:6 0 +39 *2176:55 *2571:6 1.05746e-05 +40 *4597:DIODE *2176:30 1.5962e-05 +41 *6349:DIODE *2176:30 0.000160617 +42 *22314:A *2176:45 8.02893e-06 +43 *22325:TE *2176:30 0.000214939 +44 *23521:A *2176:30 0.000100396 +45 *23521:TE *2176:30 0.000568176 +46 *23527:A *2176:10 0.000152445 +47 *1278:6 *2176:45 0.000113676 +48 *1282:8 *2176:42 0.00013454 +49 *1379:36 *2176:42 0.000215771 +50 *1384:23 *2176:30 0.00102189 +51 *1384:23 *2176:38 0.00222117 +52 *1384:23 *2176:42 0.00015616 +53 *1385:22 *2176:30 0.000296969 +54 *1390:26 *2176:10 0.000756044 +55 *1392:37 *2176:14 1.37669e-05 +56 *1392:37 *2176:30 1.41976e-05 +57 *1903:7 *2176:30 1.49935e-05 +58 *1921:7 *2176:30 5.35941e-05 +59 *1923:11 *2176:30 7.22263e-05 +60 *1939:30 *2176:10 0.00033536 +61 *1939:30 *2176:14 0.00295966 +62 *2012:18 *2176:55 0.00511918 +63 *2025:10 *2176:42 0.00178047 +64 *2154:18 *2176:55 0.00192258 +65 *2154:25 *2176:55 0.00657505 +66 *2171:13 *2176:10 6.22259e-05 +67 *2175:10 *2176:10 3.03311e-05 +*RES +1 *22570:HI[364] *2176:10 48.0598 +2 *2176:10 *2176:14 33.1918 +3 *2176:14 *2176:30 47.2483 +4 *2176:30 *2176:38 25.56 +5 *2176:38 *2176:42 46.2641 +6 *2176:42 *2176:45 46.9336 +7 *2176:45 *2176:55 23.2295 +8 *2176:55 *5851:DIODE 9.24915 +9 *2176:55 *23272:B 13.3243 +*END + +*D_NET *2177 0.047342 +*CONN +*I *23273:B I *D sky130_fd_sc_hd__and2_1 +*I *5853:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[365] O *D mprj_logic_high +*CAP +1 *23273:B 4.46545e-05 +2 *5853:DIODE 0.000108822 +3 *22570:HI[365] 0.00186402 +4 *2177:30 0.000897712 +5 *2177:24 0.0105579 +6 *2177:23 0.0116777 +7 *5853:DIODE *2479:21 0.000160617 +8 *23273:B *2721:14 1.79807e-05 +9 *23273:B *2722:10 7.14746e-05 +10 *2177:23 *2179:25 0.000570037 +11 *2177:23 *2186:47 3.36506e-05 +12 *2177:23 *2191:17 0.00234072 +13 *2177:23 *2332:34 0.000170023 +14 *2177:23 *2365:39 0.00176326 +15 *2177:23 *2608:18 5.97062e-05 +16 *2177:23 *2889:11 2.51048e-05 +17 *2177:23 *3306:34 0.000682548 +18 *2177:24 *2186:47 0.000355525 +19 *2177:24 *2479:21 6.11359e-06 +20 *2177:30 *2479:21 0.00107784 +21 *2177:30 *2581:6 2.7961e-05 +22 *6167:DIODE *2177:24 0.000311955 +23 *1147:23 *2177:23 0.000125467 +24 *1153:45 *2177:23 0.000136473 +25 *1549:5 *2177:24 2.37325e-05 +26 *1650:11 *2177:30 3.12316e-05 +27 *2029:30 *2177:23 0.0012964 +28 *2052:29 *2177:23 0.000713444 +29 *2080:13 *2177:23 0.000128854 +30 *2142:40 *2177:24 0.00103493 +31 *2144:10 *2177:24 0.0110262 +*RES +1 *22570:HI[365] *2177:23 24.9913 +2 *2177:23 *2177:24 170.432 +3 *2177:24 *2177:30 23.0627 +4 *2177:30 *5853:DIODE 11.0817 +5 *2177:30 *23273:B 19.6659 +*END + +*D_NET *2178 0.0492731 +*CONN +*I *23274:B I *D sky130_fd_sc_hd__and2_1 +*I *5855:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[366] O *D mprj_logic_high +*CAP +1 *23274:B 2.70219e-05 +2 *5855:DIODE 0.00012151 +3 *22570:HI[366] 0.000390727 +4 *2178:18 0.00378426 +5 *2178:16 0.0036774 +6 *2178:14 0.000818856 +7 *2178:13 0.00134438 +8 *2178:8 0.00240954 +9 *2178:7 0.00223308 +10 *5855:DIODE *23274:A 6.08467e-05 +11 *5855:DIODE *2762:27 1.99131e-05 +12 *23274:B *23274:A 3.41459e-05 +13 *2178:7 *3317:31 7.3287e-05 +14 *2178:8 *2188:19 0.000806344 +15 *2178:8 *2319:8 0.00498302 +16 *2178:8 *2321:14 1.41689e-05 +17 *2178:8 *2337:10 0.000389775 +18 *2178:13 *2332:58 0.000496866 +19 *2178:13 *2591:16 0.00110877 +20 *2178:13 *2870:32 0.000449372 +21 *2178:14 *23409:A 0.00042169 +22 *2178:14 *2185:16 0.000374939 +23 *2178:14 *2185:18 0.000676585 +24 *2178:14 *2870:23 0.000983726 +25 *2178:14 *3117:25 1.76273e-05 +26 *2178:16 *23281:B 3.58531e-05 +27 *2178:18 *5869:DIODE 2.72636e-05 +28 *2178:18 *23402:A 0.000120742 +29 *2178:18 *2185:18 7.97098e-06 +30 *2178:18 *2762:27 0.000402749 +31 *6111:DIODE *23274:B 8.67924e-06 +32 *6111:DIODE *2178:18 0.000277502 +33 *6125:DIODE *2178:14 3.83336e-05 +34 *6125:DIODE *2178:16 8.67924e-06 +35 *1391:9 *2178:8 0.00935161 +36 *1393:28 *2178:13 3.53424e-05 +37 *1393:32 *2178:8 0.00365129 +38 *1521:5 *2178:18 1.65872e-05 +39 *1528:5 *2178:14 0.000118166 +40 *1888:18 *2178:14 0.00203523 +41 *1889:16 *2178:14 0.000312987 +42 *1892:21 *2178:18 5.01458e-05 +43 *1892:26 *2178:18 0.000294007 +44 *1911:18 *2178:8 0.000250244 +45 *1925:18 *2178:8 0.000110306 +46 *1932:47 *2178:8 0.00114737 +47 *2025:7 *2178:13 1.61667e-05 +48 *2085:26 *2178:8 0.00252522 +49 *2105:16 *2178:14 1.18914e-05 +50 *2105:23 *2178:14 0.00270095 +51 *2176:10 *2178:7 0 +*RES +1 *22570:HI[366] *2178:7 11.4372 +2 *2178:7 *2178:8 133.274 +3 *2178:8 *2178:13 30.7641 +4 *2178:13 *2178:14 50.6377 +5 *2178:14 *2178:16 1.39857 +6 *2178:16 *2178:18 56.1838 +7 *2178:18 *5855:DIODE 11.0817 +8 *2178:18 *23274:B 10.2378 +*END + +*D_NET *2179 0.0424032 +*CONN +*I *5857:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23275:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[367] O *D mprj_logic_high +*CAP +1 *5857:DIODE 0 +2 *23275:B 6.89622e-05 +3 *22570:HI[367] 0.0077226 +4 *2179:25 0.00779156 +5 *23275:B *2374:19 0.000321919 +6 *2179:25 *2186:47 0.000398034 +7 *2179:25 *2191:17 0.000554376 +8 *2179:25 *2192:25 0.00182088 +9 *2179:25 *2195:25 8.9256e-05 +10 *2179:25 *2198:29 0.00669618 +11 *2179:25 *2332:34 0.000117153 +12 *2179:25 *2368:23 0.000168916 +13 *2179:25 *2368:34 0.000213113 +14 *2179:25 *2374:11 0.000104416 +15 *2179:25 *2378:17 0.00188239 +16 *2179:25 *2394:11 0.000122141 +17 *2179:25 *2580:14 8.10824e-05 +18 *2179:25 *2592:15 0.00017686 +19 *2179:25 *2593:11 0.000237503 +20 *2179:25 *2736:17 0.000224093 +21 *2179:25 *2879:33 0.000172881 +22 *2179:25 *3073:41 0.00905842 +23 *1394:9 *23275:B 0.00013715 +24 *1654:15 *2179:25 2.0123e-05 +25 *1668:17 *2179:25 0.0015591 +26 *2024:29 *2179:25 0.00137258 +27 *2052:29 *2179:25 2.62751e-05 +28 *2060:8 *2179:25 0.000396387 +29 *2101:20 *2179:25 0.000160739 +30 *2162:29 *2179:25 0.000138094 +31 *2177:23 *2179:25 0.000570037 +*RES +1 *22570:HI[367] *2179:25 47.105 +2 *2179:25 *23275:B 13.3243 +3 *2179:25 *5857:DIODE 9.24915 +*END + +*D_NET *2180 0.0346144 +*CONN +*I *23276:B I *D sky130_fd_sc_hd__and2_1 +*I *5859:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[368] O *D mprj_logic_high +*CAP +1 *23276:B 0.000208293 +2 *5859:DIODE 0 +3 *22570:HI[368] 0.00150649 +4 *2180:53 0.00218199 +5 *2180:44 0.00385556 +6 *2180:42 0.00231261 +7 *2180:38 0.00193723 +8 *23276:B *3122:15 2.0762e-05 +9 *2180:38 *2181:12 0.00124772 +10 *2180:38 *2186:47 0.00108938 +11 *2180:38 *2201:23 7.87938e-05 +12 *2180:38 *2206:32 0.000345904 +13 *2180:38 *2332:34 0.000201353 +14 *1169:43 *2180:38 0.000128579 +15 *1651:8 *23276:B 0.000155384 +16 *1651:8 *2180:53 2.27135e-05 +17 *1654:15 *23276:B 0.000226134 +18 *1654:15 *2180:53 1.91391e-05 +19 *1896:12 *2180:53 9.18353e-05 +20 *1896:27 *23276:B 2.60422e-05 +21 *1897:12 *2180:53 0.000371751 +22 *1897:13 *23276:B 6.35515e-05 +23 *1898:15 *2180:53 5.82658e-05 +24 *1932:68 *2180:38 0.00124109 +25 *1968:10 *2180:42 0.000550338 +26 *1968:10 *2180:44 0.0010948 +27 *1977:32 *2180:38 6.07885e-05 +28 *1989:52 *2180:38 1.05313e-05 +29 *1989:52 *2180:44 0.000122627 +30 *2002:16 *2180:44 0.000238555 +31 *2002:20 *2180:53 0.00196028 +32 *2006:10 *2180:44 0.000426672 +33 *2017:13 *2180:53 1.51914e-05 +34 *2020:10 *2180:42 0.000236966 +35 *2020:10 *2180:44 0.0030319 +36 *2020:22 *2180:53 7.83368e-05 +37 *2021:16 *2180:42 1.41976e-05 +38 *2021:34 *2180:38 3.955e-05 +39 *2022:22 *2180:38 0.000790313 +40 *2034:20 *2180:38 0.000203874 +41 *2040:28 *2180:44 0.000393863 +42 *2058:17 *2180:38 0.00102459 +43 *2064:23 *2180:38 0.000966652 +44 *2068:23 *2180:38 0.000364506 +45 *2101:28 *2180:38 0.000103884 +46 *2117:13 *2180:44 0.000120744 +47 *2117:14 *2180:38 0.000790313 +48 *2127:10 *2180:44 0.00135109 +49 *2129:16 *2180:44 0.00117643 +50 *2133:28 *2180:42 6.75302e-05 +51 *2162:29 *2180:38 2.05082e-05 +52 *2167:24 *2180:38 0.000277899 +53 *2175:39 *2180:53 0.00169086 +*RES +1 *22570:HI[368] *2180:38 44.1934 +2 *2180:38 *2180:42 16.9636 +3 *2180:42 *2180:44 95.8379 +4 *2180:44 *2180:53 19.7265 +5 *2180:53 *5859:DIODE 13.7491 +6 *2180:53 *23276:B 19.926 +*END + +*D_NET *2181 0.0375247 +*CONN +*I *5861:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23277:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[369] O *D mprj_logic_high +*CAP +1 *5861:DIODE 0 +2 *23277:B 0.00023996 +3 *22570:HI[369] 0.000499257 +4 *2181:49 0.00569972 +5 *2181:36 0.00649605 +6 *2181:20 0.00210837 +7 *2181:12 0.00157133 +8 *23277:B *23277:A 1.67245e-05 +9 *2181:12 *2332:34 0.000401572 +10 *2181:20 *2332:34 0.000120901 +11 *2181:36 *2192:25 0.000591575 +12 *2181:36 *2332:34 0.000133733 +13 *2181:49 *2194:23 0.000715639 +14 *2181:49 *2368:34 0.00107363 +15 *2181:49 *2580:14 7.97983e-05 +16 *2181:49 *2593:18 0.00105764 +17 *2181:49 *3073:41 0.000629139 +18 *2181:49 *3128:26 0.00231602 +19 *1169:43 *2181:20 0.000614745 +20 *1395:9 *2181:49 0.00010238 +21 *1651:8 *23277:B 4.76248e-05 +22 *1654:15 *2181:49 2.0648e-05 +23 *1932:31 *2181:36 4.11567e-05 +24 *1932:61 *2181:20 0.000422621 +25 *1932:61 *2181:36 0.000365008 +26 *1932:68 *2181:12 0.000164389 +27 *1932:68 *2181:20 0.000856293 +28 *1936:37 *2181:20 0.000503784 +29 *1998:10 *2181:49 0.000727726 +30 *2000:14 *23277:B 0.000313495 +31 *2010:8 *2181:49 0.00010238 +32 *2010:19 *2181:49 0.000322072 +33 *2022:22 *2181:36 6.21462e-05 +34 *2042:19 *2181:49 0.000603737 +35 *2159:30 *2181:36 0.000103742 +36 *2161:12 *2181:20 0 +37 *2162:29 *2181:36 0.000120657 +38 *2162:29 *2181:49 0.000798943 +39 *2167:24 *2181:36 0.00126934 +40 *2167:32 *2181:36 0.00196872 +41 *2169:22 *2181:36 0.000464698 +42 *2169:35 *2181:36 0.00244629 +43 *2173:20 *2181:20 2.53145e-06 +44 *2173:22 *2181:20 8.08098e-05 +45 *2180:38 *2181:12 0.00124772 +*RES +1 *22570:HI[369] *2181:12 23.7434 +2 *2181:12 *2181:20 45.0314 +3 *2181:20 *2181:36 48.9359 +4 *2181:36 *2181:49 27.627 +5 *2181:49 *23277:B 22.7471 +6 *2181:49 *5861:DIODE 9.24915 +*END + +*D_NET *2182 0.0160375 +*CONN +*I *4985:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22521:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[36] O *D mprj_logic_high +*CAP +1 *4985:DIODE 0 +2 *22521:TE 0.000104264 +3 *22570:HI[36] 0.00110883 +4 *2182:16 0.000557252 +5 *2182:10 0.00111777 +6 *2182:9 0.00177361 +7 *2182:9 *2183:7 0 +8 *2182:9 *2200:15 6.1438e-05 +9 *2182:9 *2385:12 0 +10 *2182:9 *3317:31 0 +11 *2182:10 *2320:26 0.00409808 +12 *2182:10 *2320:35 0.000610175 +13 *2182:16 *2320:35 5.56367e-05 +14 *1906:9 *22521:TE 0.000100106 +15 *1909:7 *2182:16 9.59822e-06 +16 *1946:22 *2182:16 0.000626187 +17 *1946:24 *2182:10 0.005584 +18 *1946:24 *2182:16 7.68538e-06 +19 *1947:33 *22521:TE 1.48605e-05 +20 *1950:14 *2182:10 0.000115848 +21 *2101:31 *2182:9 4.48384e-05 +22 *2123:19 *2182:9 2.82303e-05 +23 *2155:13 *2182:16 1.91246e-05 +*RES +1 *22570:HI[36] *2182:9 24.2123 +2 *2182:9 *2182:10 60.6206 +3 *2182:10 *2182:16 17.3801 +4 *2182:16 *22521:TE 20.4964 +5 *2182:16 *4985:DIODE 9.24915 +*END + +*D_NET *2183 0.0435664 +*CONN +*I *23279:B I *D sky130_fd_sc_hd__and2_1 +*I *5865:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[370] O *D mprj_logic_high +*CAP +1 *23279:B 0 +2 *5865:DIODE 1.4975e-05 +3 *22570:HI[370] 0.000835213 +4 *2183:29 0.000880787 +5 *2183:24 0.00237754 +6 *2183:23 0.00156161 +7 *2183:14 0.00111931 +8 *2183:13 0.00129468 +9 *2183:8 0.00291385 +10 *2183:7 0.00352382 +11 *5865:DIODE *23279:A 6.92705e-05 +12 *2183:7 *2184:7 9.4745e-06 +13 *2183:7 *2185:7 0 +14 *2183:7 *2209:27 0 +15 *2183:14 *2188:20 0.000371186 +16 *2183:14 *2197:35 0.00375804 +17 *2183:24 *2188:20 1.65872e-05 +18 *2183:24 *2342:20 0.000417627 +19 *2183:24 *3119:15 0.000100604 +20 *2183:29 *2380:8 2.96534e-05 +21 *2183:29 *2509:12 0 +22 *2183:29 *2722:14 0 +23 *2183:29 *2918:34 0 +24 *23528:TE *2183:8 9.9028e-05 +25 *1169:72 *2183:24 0.00341044 +26 *1393:41 *2183:14 0.00388728 +27 *1527:10 *2183:29 0.000275612 +28 *1889:24 *2183:29 0.000167797 +29 *1889:29 *2183:29 0.000296467 +30 *1905:39 *2183:14 0.000188963 +31 *1905:39 *2183:23 5.4134e-05 +32 *1905:40 *2183:24 0.00787445 +33 *1927:24 *2183:14 0.00168636 +34 *1930:11 *2183:14 3.29867e-05 +35 *1934:7 *2183:23 1.5714e-05 +36 *1936:56 *2183:8 0.000746854 +37 *1943:13 *2183:13 9.34612e-05 +38 *1943:14 *2183:8 1.35342e-05 +39 *1951:10 *2183:8 0.00012601 +40 *2034:20 *2183:8 0.000287389 +41 *2101:31 *2183:7 0.000219804 +42 *2123:19 *2183:7 0.000126124 +43 *2155:13 *2183:13 4.13293e-05 +44 *2156:16 *2183:13 2.43314e-05 +45 *2156:16 *2183:14 0.00161879 +46 *2156:25 *2183:14 3.83172e-05 +47 *2156:50 *5865:DIODE 0.000171273 +48 *2163:32 *2183:14 0.000261009 +49 *2163:32 *2183:24 0.00158944 +50 *2165:10 *2183:8 0.000411648 +51 *2165:17 *2183:8 0.000465279 +52 *2168:20 *5865:DIODE 4.82966e-05 +53 *2182:9 *2183:7 0 +*RES +1 *22570:HI[370] *2183:7 20.988 +2 *2183:7 *2183:8 45.6463 +3 *2183:8 *2183:13 13.7388 +4 *2183:13 *2183:14 71.7127 +5 *2183:14 *2183:23 10.49 +6 *2183:23 *2183:24 87.7962 +7 *2183:24 *2183:29 28.6878 +8 *2183:29 *5865:DIODE 11.0817 +9 *2183:29 *23279:B 9.24915 +*END + +*D_NET *2184 0.043271 +*CONN +*I *5867:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23280:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[371] O *D mprj_logic_high +*CAP +1 *5867:DIODE 0 +2 *23280:B 7.48638e-05 +3 *22570:HI[371] 9.30524e-05 +4 *2184:14 0.000646337 +5 *2184:13 0.000642281 +6 *2184:8 0.00221814 +7 *2184:7 0.00224039 +8 *2184:7 *2185:7 9.4745e-06 +9 *2184:8 *3118:15 0.00269364 +10 *2184:13 *2586:12 0.000136827 +11 *1527:10 *23280:B 3.14978e-05 +12 *1988:26 *2184:8 0.00262065 +13 *2119:26 *2184:8 0.00272104 +14 *2153:26 *2184:8 0.000101178 +15 *2165:18 *2184:8 0.00858093 +16 *2166:26 *2184:14 0.00425139 +17 *2166:40 *23280:B 3.14978e-05 +18 *2170:12 *2184:8 1.5962e-05 +19 *2170:14 *2184:8 0.011376 +20 *2170:23 *2184:13 9.32927e-05 +21 *2172:20 *23280:B 0.000324151 +22 *2172:20 *2184:14 0.00434342 +23 *2175:27 *2184:13 1.55462e-05 +24 *2183:7 *2184:7 9.4745e-06 +*RES +1 *22570:HI[371] *2184:7 6.03888 +2 *2184:7 *2184:8 158.231 +3 *2184:8 *2184:13 11.6625 +4 *2184:13 *2184:14 47.8647 +5 *2184:14 *23280:B 12.7456 +6 *2184:14 *5867:DIODE 9.24915 +*END + +*D_NET *2185 0.0426692 +*CONN +*I *23281:B I *D sky130_fd_sc_hd__and2_1 +*I *5869:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[372] O *D mprj_logic_high +*CAP +1 *23281:B 2.85437e-05 +2 *5869:DIODE 3.61769e-05 +3 *22570:HI[372] 0.000196017 +4 *2185:18 0.000298374 +5 *2185:16 0.00241681 +6 *2185:13 0.00265886 +7 *2185:8 0.00250541 +8 *2185:7 0.00222572 +9 *2185:7 *2209:27 7.04809e-05 +10 *2185:8 *3117:9 0.0122723 +11 *2185:8 *3118:7 0.000312506 +12 *2185:8 *3125:23 0.0130998 +13 *2185:13 *2869:28 0.0010065 +14 *2185:13 *3162:52 0.00101787 +15 *2185:16 *2869:27 0.000126107 +16 *2185:16 *2870:23 0.000839553 +17 *1888:18 *2185:16 0.00203944 +18 *1892:21 *5869:DIODE 0.000169041 +19 *1892:21 *2185:18 0.000148666 +20 *1982:14 *2185:16 6.8941e-05 +21 *2178:14 *2185:16 0.000374939 +22 *2178:14 *2185:18 0.000676585 +23 *2178:16 *23281:B 3.58531e-05 +24 *2178:18 *5869:DIODE 2.72636e-05 +25 *2178:18 *2185:18 7.97098e-06 +26 *2183:7 *2185:7 0 +27 *2184:7 *2185:7 9.4745e-06 +*RES +1 *22570:HI[372] *2185:7 8.53039 +2 *2185:7 *2185:8 146.584 +3 *2185:8 *2185:13 28.6878 +4 *2185:13 *2185:16 42.3428 +5 *2185:16 *2185:18 7.93324 +6 *2185:18 *5869:DIODE 11.0817 +7 *2185:18 *23281:B 10.2378 +*END + +*D_NET *2186 0.0340422 +*CONN +*I *5871:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23282:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[373] O *D mprj_logic_high +*CAP +1 *5871:DIODE 0 +2 *23282:B 0.000260473 +3 *22570:HI[373] 0.00867861 +4 *2186:47 0.00893908 +5 *23282:B *2479:21 0.000319954 +6 *23282:B *2875:21 1.79672e-05 +7 *2186:47 *2187:23 4.61167e-05 +8 *2186:47 *2189:31 0 +9 *2186:47 *2191:17 0.00288453 +10 *2186:47 *2192:25 0.00230204 +11 *2186:47 *2195:25 1.98647e-05 +12 *2186:47 *2201:23 0.002643 +13 *2186:47 *2209:27 0.000257529 +14 *2186:47 *2332:34 0.000201462 +15 *2186:47 *2479:21 0.000567055 +16 *2186:47 *2601:20 0.000151039 +17 *2186:47 *2877:15 2.37383e-05 +18 *2186:47 *2885:18 0.000332061 +19 *1151:63 *2186:47 0.00119703 +20 *1151:74 *2186:47 0.000939554 +21 *1529:6 *23282:B 5.22654e-06 +22 *1657:9 *23282:B 1.43055e-05 +23 *1657:9 *2186:47 8.24277e-06 +24 *1945:22 *2186:47 0.000253436 +25 *1968:36 *2186:47 1.98647e-05 +26 *2033:23 *2186:47 0.000466683 +27 *2034:20 *2186:47 4.20633e-05 +28 *2064:23 *2186:47 0.000930025 +29 *2101:28 *2186:47 0.000109075 +30 *2141:19 *2186:47 0.000166538 +31 *2142:40 *2186:47 0.00010238 +32 *2167:24 *2186:47 0.000266683 +33 *2177:23 *2186:47 3.36506e-05 +34 *2177:24 *2186:47 0.000355525 +35 *2179:25 *2186:47 0.000398034 +36 *2180:38 *2186:47 0.00108938 +*RES +1 *22570:HI[373] *2186:47 42.5575 +2 *2186:47 *23282:B 23.1623 +3 *2186:47 *5871:DIODE 9.24915 +*END + +*D_NET *2187 0.0359082 +*CONN +*I *23283:B I *D sky130_fd_sc_hd__and2_1 +*I *5873:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[374] O *D mprj_logic_high +*CAP +1 *23283:B 0 +2 *5873:DIODE 4.9927e-05 +3 *22570:HI[374] 0.00721479 +4 *2187:23 0.00726472 +5 *2187:23 *23283:A 0.00021916 +6 *2187:23 *2189:31 0.0141252 +7 *2187:23 *2190:33 0.00377436 +8 *2187:23 *2191:17 6.21462e-05 +9 *2187:23 *2192:25 6.88205e-06 +10 *2187:23 *2195:25 0.000121826 +11 *2187:23 *2196:25 0.000398586 +12 *2187:23 *2203:24 0.00181283 +13 *2187:23 *3173:37 0.000101182 +14 *2187:23 *3195:20 7.29453e-06 +15 *2187:23 *3239:43 0.00010238 +16 *23411:B *5873:DIODE 9.51479e-06 +17 *1154:38 *2187:23 0.000110946 +18 *1658:5 *5873:DIODE 0.000164815 +19 *1968:36 *2187:23 0.000248301 +20 *2140:8 *5873:DIODE 6.73022e-05 +21 *2186:47 *2187:23 4.61167e-05 +*RES +1 *22570:HI[374] *2187:23 45.2302 +2 *2187:23 *5873:DIODE 11.6605 +3 *2187:23 *23283:B 9.24915 +*END + +*D_NET *2188 0.0400589 +*CONN +*I *5875:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23284:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[375] O *D mprj_logic_high +*CAP +1 *5875:DIODE 0 +2 *23284:B 7.55756e-05 +3 *22570:HI[375] 0.000536469 +4 *2188:30 0.000865446 +5 *2188:29 0.00173302 +6 *2188:20 0.00165073 +7 *2188:19 0.00125856 +8 *2188:8 0.00187042 +9 *2188:7 0.0018559 +10 *23284:B *5874:DIODE 0.000111 +11 *23284:B *23284:A 9.95922e-06 +12 *23284:B *2726:9 2.99287e-05 +13 *2188:7 *2189:31 5.76928e-05 +14 *2188:7 *2209:27 0 +15 *2188:8 *2336:8 0.00129771 +16 *2188:19 *2337:10 0.00079499 +17 *2188:20 *2197:35 0.000698678 +18 *2188:29 *2870:32 4.73365e-05 +19 *2188:29 *2870:34 0.000532283 +20 *2188:29 *3162:52 0.000707046 +21 *2188:30 *5874:DIODE 7.24449e-05 +22 *2188:30 *2587:27 0 +23 *2188:30 *3123:27 0.00011818 +24 *1393:17 *2188:30 0 +25 *1393:32 *2188:19 5.88009e-05 +26 *1393:40 *2188:19 1.75682e-05 +27 *1406:9 *2188:20 0.00411128 +28 *1892:13 *23284:B 0.000316153 +29 *1892:13 *2188:30 0.00153422 +30 *1905:31 *2188:19 1.9101e-05 +31 *1905:40 *2188:20 0.00239336 +32 *1924:7 *2188:19 6.74605e-06 +33 *1930:14 *2188:8 0.00612768 +34 *1931:7 *2188:19 0.000231148 +35 *1933:7 *2188:19 2.55661e-05 +36 *1949:10 *2188:8 0.000397126 +37 *1949:12 *2188:8 0.00182842 +38 *1982:14 *2188:30 0.000343986 +39 *2032:24 *2188:30 1.31872e-05 +40 *2129:16 *2188:29 0.000413858 +41 *2153:37 *2188:29 0.000449357 +42 *2155:47 *2188:29 0.000373201 +43 *2156:25 *2188:19 1.05272e-06 +44 *2163:32 *2188:20 9.54357e-06 +45 *2168:8 *2188:8 0.00587009 +46 *2178:8 *2188:19 0.000806344 +47 *2183:14 *2188:20 0.000371186 +48 *2183:24 *2188:20 1.65872e-05 +*RES +1 *22570:HI[375] *2188:7 14.3439 +2 *2188:7 *2188:8 98.3336 +3 *2188:8 *2188:19 35.2742 +4 *2188:19 *2188:20 46.2009 +5 *2188:20 *2188:29 40.3882 +6 *2188:29 *2188:30 26.7898 +7 *2188:30 *23284:B 13.3243 +8 *2188:30 *5875:DIODE 9.24915 +*END + +*D_NET *2189 0.042175 +*CONN +*I *23285:B I *D sky130_fd_sc_hd__and2_1 +*I *5877:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[376] O *D mprj_logic_high +*CAP +1 *23285:B 0 +2 *5877:DIODE 3.06933e-05 +3 *22570:HI[376] 0.0125893 +4 *2189:31 0.01262 +5 *5877:DIODE *5876:DIODE 6.08467e-05 +6 *5877:DIODE *2727:13 6.50586e-05 +7 *2189:31 *5876:DIODE 2.95757e-05 +8 *2189:31 *2190:33 8.90131e-05 +9 *2189:31 *2191:17 0 +10 *2189:31 *2192:25 9.03565e-05 +11 *2189:31 *2195:25 1.57689e-05 +12 *2189:31 *2196:25 0.000383459 +13 *2189:31 *2211:25 1.75765e-05 +14 *2189:31 *2587:16 4.04556e-05 +15 *2189:31 *2600:17 7.49751e-05 +16 *2189:31 *2733:8 3.88655e-06 +17 *2189:31 *3124:26 0.000276837 +18 *2189:31 *3184:26 0 +19 *2189:31 *3195:20 0.00114979 +20 *2189:31 *3239:37 0.000141764 +21 *1658:5 *5877:DIODE 2.41483e-05 +22 *2140:8 *5877:DIODE 0.000158357 +23 *2143:14 *2189:31 0.000130331 +24 *2186:47 *2189:31 0 +25 *2187:23 *2189:31 0.0141252 +26 *2188:7 *2189:31 5.76928e-05 +*RES +1 *22570:HI[376] *2189:31 49.0348 +2 *2189:31 *5877:DIODE 11.0817 +3 *2189:31 *23285:B 9.24915 +*END + +*D_NET *2190 0.0391846 +*CONN +*I *23286:B I *D sky130_fd_sc_hd__and2_1 +*I *5879:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[377] O *D mprj_logic_high +*CAP +1 *23286:B 0 +2 *5879:DIODE 0.000120347 +3 *22570:HI[377] 0.00989039 +4 *2190:35 0.000120347 +5 *2190:33 0.00989039 +6 *2190:33 *5878:DIODE 1.07248e-05 +7 *2190:33 *2191:17 4.18555e-05 +8 *2190:33 *2192:25 6.98716e-05 +9 *2190:33 *2198:29 3.31435e-06 +10 *2190:33 *2199:22 6.29424e-05 +11 *2190:33 *2201:23 0.00110084 +12 *2190:33 *2203:24 0.00478366 +13 *2190:33 *2207:22 0.000703574 +14 *2190:33 *2212:19 0.000965269 +15 *2190:33 *2332:34 2.25698e-05 +16 *2190:33 *2727:12 4.0143e-05 +17 *2190:33 *2728:8 4.47123e-05 +18 *2190:33 *2876:21 6.338e-05 +19 *2190:33 *2883:19 0.000402379 +20 *2190:33 *2890:22 0.000330056 +21 *2190:33 *3317:40 0.000191158 +22 *2190:33 *3408:19 0.00102897 +23 *6135:DIODE *5879:DIODE 3.01683e-06 +24 *1150:9 *2190:33 0.00236465 +25 *1970:57 *2190:33 3.51771e-05 +26 *1977:32 *2190:33 0.000302856 +27 *1983:26 *2190:33 0.000695861 +28 *1989:52 *2190:33 0.00100607 +29 *2045:17 *2190:33 0.000321933 +30 *2096:24 *2190:33 0.000704767 +31 *2187:23 *2190:33 0.00377436 +32 *2189:31 *2190:33 8.90131e-05 +*RES +1 *22570:HI[377] *2190:33 47.0201 +2 *2190:33 *2190:35 4.5 +3 *2190:35 *5879:DIODE 11.6605 +4 *2190:35 *23286:B 9.24915 +*END + +*D_NET *2191 0.0265825 +*CONN +*I *23287:B I *D sky130_fd_sc_hd__and2_1 +*I *5881:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[378] O *D mprj_logic_high +*CAP +1 *23287:B 0 +2 *5881:DIODE 0.000168144 +3 *22570:HI[378] 0.00408385 +4 *2191:17 0.00425199 +5 *5881:DIODE *23287:A 2.28238e-05 +6 *5881:DIODE *2742:8 0 +7 *5881:DIODE *3291:12 5.65968e-05 +8 *2191:17 *2201:23 0.00460978 +9 *2191:17 *2332:34 6.78049e-05 +10 *2191:17 *2479:32 0.00189672 +11 *2191:17 *2605:20 0.00343462 +12 *2191:17 *2608:18 0 +13 *2191:17 *2742:8 0 +14 *2191:17 *3184:26 0.000144467 +15 *2191:17 *3291:12 3.31733e-05 +16 *2191:17 *3295:28 0.0010772 +17 *2191:17 *3350:52 6.62135e-05 +18 *2191:17 *3373:36 8.62976e-06 +19 *1151:63 *2191:17 3.0145e-05 +20 *1174:27 *2191:17 0.000198288 +21 *2052:29 *2191:17 1.86666e-05 +22 *2142:39 *2191:17 4.51143e-05 +23 *2142:40 *2191:17 0.00030363 +24 *2151:38 *2191:17 0.000181021 +25 *2177:23 *2191:17 0.00234072 +26 *2179:25 *2191:17 0.000554376 +27 *2186:47 *2191:17 0.00288453 +28 *2187:23 *2191:17 6.21462e-05 +29 *2189:31 *2191:17 0 +30 *2190:33 *2191:17 4.18555e-05 +*RES +1 *22570:HI[378] *2191:17 29.2354 +2 *2191:17 *5881:DIODE 16.9324 +3 *2191:17 *23287:B 13.7491 +*END + +*D_NET *2192 0.0393015 +*CONN +*I *23288:B I *D sky130_fd_sc_hd__and2_1 +*I *5883:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[379] O *D mprj_logic_high +*CAP +1 *23288:B 0 +2 *5883:DIODE 3.79516e-05 +3 *22570:HI[379] 0.00661578 +4 *2192:25 0.00665373 +5 *5883:DIODE *23288:A 6.50727e-05 +6 *2192:25 *2193:7 2.50972e-05 +7 *2192:25 *2195:25 0.000430718 +8 *2192:25 *2196:25 0.003046 +9 *2192:25 *2201:23 0.00517937 +10 *2192:25 *2211:25 0.000284736 +11 *2192:25 *2594:20 0 +12 *2192:25 *2736:17 0.00257497 +13 *2192:25 *2877:15 0 +14 *2192:25 *3124:26 0.000290111 +15 *2192:25 *3317:31 0.000554604 +16 *1169:63 *2192:25 0.000382755 +17 *1171:26 *2192:25 1.09549e-05 +18 *1662:17 *5883:DIODE 0.00016491 +19 *1663:9 *2192:25 3.93117e-06 +20 *1668:17 *2192:25 0.000124783 +21 *1912:20 *2192:25 7.92119e-05 +22 *1936:37 *2192:25 8.8395e-05 +23 *2085:20 *2192:25 0.00380615 +24 *2119:25 *2192:25 0.000633214 +25 *2155:32 *2192:25 1.69772e-05 +26 *2162:29 *2192:25 0.000562605 +27 *2167:24 *2192:25 0.00267711 +28 *2169:35 *2192:25 1.34778e-05 +29 *2175:10 *2192:25 2.48435e-05 +30 *2176:10 *2192:25 7.24873e-05 +31 *2179:25 *2192:25 0.00182088 +32 *2181:36 *2192:25 0.000591575 +33 *2186:47 *2192:25 0.00230204 +34 *2187:23 *2192:25 6.88205e-06 +35 *2189:31 *2192:25 9.03565e-05 +36 *2190:33 *2192:25 6.98716e-05 +*RES +1 *22570:HI[379] *2192:25 48.8956 +2 *2192:25 *5883:DIODE 11.0817 +3 *2192:25 *23288:B 9.24915 +*END + +*D_NET *2193 0.00341002 +*CONN +*I *4987:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22522:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[37] O *D mprj_logic_high +*CAP +1 *4987:DIODE 0.000414696 +2 *22522:TE 0 +3 *22570:HI[37] 0.000986702 +4 *2193:7 0.0014014 +5 *4987:DIODE *2318:28 0.000164829 +6 *4987:DIODE *3051:6 1.5714e-05 +7 *4987:DIODE *3407:6 0 +8 *2193:7 *2196:7 0 +9 *2193:7 *3051:6 0 +10 *2193:7 *3407:6 0 +11 *4988:DIODE *4987:DIODE 9.21233e-05 +12 *1164:46 *4987:DIODE 3.05511e-05 +13 *1164:46 *2193:7 0.000278906 +14 *2036:25 *2193:7 0 +15 *2192:25 *2193:7 2.50972e-05 +*RES +1 *22570:HI[37] *2193:7 19.297 +2 *2193:7 *22522:TE 13.7491 +3 *2193:7 *4987:DIODE 20.2717 +*END + +*D_NET *2194 0.0458329 +*CONN +*I *23290:B I *D sky130_fd_sc_hd__and2_1 +*I *5887:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[380] O *D mprj_logic_high +*CAP +1 *23290:B 0.000214351 +2 *5887:DIODE 0 +3 *22570:HI[380] 0.00504523 +4 *2194:23 0.00525958 +5 *23290:B *2586:12 1.48819e-05 +6 *23290:B *2870:23 8.01374e-05 +7 *2194:23 *2195:25 0.0112086 +8 *2194:23 *2212:19 3.6211e-05 +9 *2194:23 *2332:34 0.000116084 +10 *2194:23 *2586:12 7.20173e-06 +11 *2194:23 *2594:20 0.000176623 +12 *2194:23 *2870:23 7.13655e-06 +13 *2194:23 *2883:19 0.000116561 +14 *2194:23 *2890:22 0.000724451 +15 *1665:12 *23290:B 2.65831e-05 +16 *1998:10 *2194:23 0.00596125 +17 *2003:38 *23290:B 0.000183003 +18 *2017:8 *2194:23 0.00010238 +19 *2024:29 *2194:23 0.0111996 +20 *2042:19 *2194:23 0.000205075 +21 *2045:17 *2194:23 0.000716329 +22 *2063:29 *2194:23 0.000814674 +23 *2085:20 *2194:23 0.000665227 +24 *2091:10 *2194:23 0.00223603 +25 *2181:49 *2194:23 0.000715639 +*RES +1 *22570:HI[380] *2194:23 37.3474 +2 *2194:23 *5887:DIODE 13.7491 +3 *2194:23 *23290:B 19.2113 +*END + +*D_NET *2195 0.0338881 +*CONN +*I *5889:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23291:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[381] O *D mprj_logic_high +*CAP +1 *5889:DIODE 0 +2 *23291:B 9.65503e-05 +3 *22570:HI[381] 0.00618649 +4 *2195:25 0.00628304 +5 *23291:B *5888:DIODE 0.000111722 +6 *23291:B *23291:A 0.000145506 +7 *23291:B *3173:39 0.000107496 +8 *23291:B *3287:12 0.000162741 +9 *2195:25 *2196:25 0.000398012 +10 *2195:25 *2198:29 0.000574347 +11 *2195:25 *2199:22 0.00079656 +12 *2195:25 *2202:33 0.000134603 +13 *2195:25 *2206:32 6.02849e-05 +14 *2195:25 *2332:34 0.000116084 +15 *2195:25 *2594:20 3.2389e-06 +16 *2195:25 *2595:14 0.00159239 +17 *2195:25 *3124:17 0.00025093 +18 *2195:25 *3287:12 3.29488e-05 +19 *1535:8 *23291:B 2.55661e-06 +20 *1668:17 *2195:25 0.00128347 +21 *1968:36 *2195:25 0 +22 *1983:26 *2195:25 0.000812643 +23 *2021:34 *2195:25 0 +24 *2024:29 *2195:25 0.000350293 +25 *2029:30 *2195:25 0.000682436 +26 *2045:17 *2195:25 0.000108796 +27 *2096:24 *2195:25 0.00170896 +28 *2179:25 *2195:25 8.9256e-05 +29 *2186:47 *2195:25 1.98647e-05 +30 *2187:23 *2195:25 0.000121826 +31 *2189:31 *2195:25 1.57689e-05 +32 *2192:25 *2195:25 0.000430718 +33 *2194:23 *2195:25 0.0112086 +*RES +1 *22570:HI[381] *2195:25 40.1046 +2 *2195:25 *23291:B 22.6049 +3 *2195:25 *5889:DIODE 9.24915 +*END + +*D_NET *2196 0.0384564 +*CONN +*I *5891:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23292:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[382] O *D mprj_logic_high +*CAP +1 *5891:DIODE 0 +2 *23292:B 0.000116961 +3 *22570:HI[382] 0.000341605 +4 *2196:25 0.00378096 +5 *2196:8 0.00480846 +6 *2196:7 0.00148607 +7 *23292:B *3173:39 0.000125937 +8 *2196:7 *2197:13 0.000159442 +9 *2196:7 *3124:26 0.000222561 +10 *2196:8 *2340:10 0.00824612 +11 *2196:25 *2332:34 0.000493652 +12 *2196:25 *2594:20 0.00389322 +13 *2196:25 *2595:14 0.000284924 +14 *2196:25 *2738:8 7.83498e-05 +15 *2196:25 *2879:8 2.78736e-05 +16 *23420:B *23292:B 2.53145e-06 +17 *1667:8 *23292:B 3.46138e-05 +18 *1671:17 *2196:25 0.000267896 +19 *1905:31 *2196:25 6.17209e-06 +20 *1906:9 *2196:25 1.67521e-05 +21 *1911:18 *2196:25 0.000312627 +22 *1930:14 *2196:8 0.00170287 +23 *1936:37 *2196:25 0.00134044 +24 *1936:43 *2196:8 0.00260399 +25 *1949:10 *2196:8 0.00170137 +26 *2018:19 *2196:25 0.00172435 +27 *2036:25 *2196:7 0 +28 *2159:30 *2196:25 0.000339011 +29 *2169:35 *2196:25 0.000111618 +30 *2187:23 *2196:25 0.000398586 +31 *2189:31 *2196:25 0.000383459 +32 *2192:25 *2196:25 0.003046 +33 *2193:7 *2196:7 0 +34 *2195:25 *2196:25 0.000398012 +*RES +1 *22570:HI[382] *2196:7 13.0982 +2 *2196:7 *2196:8 90.5692 +3 *2196:8 *2196:25 42.0126 +4 *2196:25 *23292:B 13.1555 +5 *2196:25 *5891:DIODE 9.24915 +*END + +*D_NET *2197 0.0304618 +*CONN +*I *23293:B I *D sky130_fd_sc_hd__and2_1 +*I *5893:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[383] O *D mprj_logic_high +*CAP +1 *23293:B 0 +2 *5893:DIODE 4.79432e-05 +3 *22570:HI[383] 0.0020591 +4 *2197:38 6.96722e-05 +5 *2197:35 0.000783419 +6 *2197:31 0.001219 +7 *2197:20 0.000969843 +8 *2197:18 0.000827017 +9 *2197:13 0.00237358 +10 *2197:13 *2200:9 0 +11 *2197:13 *2209:10 0.00169343 +12 *2197:13 *2385:12 7.09666e-06 +13 *2197:13 *2446:14 7.09666e-06 +14 *2197:13 *3124:26 6.81933e-05 +15 *2197:13 *3124:28 1.97457e-05 +16 *2197:20 *2200:16 0.00500383 +17 *2197:31 *5898:DIODE 0.000158371 +18 *1392:23 *5893:DIODE 0.000160617 +19 *1392:23 *2197:38 4.66492e-05 +20 *1406:9 *2197:35 7.64392e-05 +21 *1901:10 *2197:31 3.3151e-05 +22 *1902:12 *2197:31 0.000104216 +23 *1909:7 *2197:31 0.000167017 +24 *1920:7 *2197:35 0.00013115 +25 *1930:11 *2197:35 0.00286827 +26 *1935:13 *2197:35 1.15048e-05 +27 *1936:56 *2197:13 6.74117e-05 +28 *1943:8 *5893:DIODE 3.24105e-05 +29 *1943:8 *2197:31 0.00069313 +30 *1943:8 *2197:38 1.03403e-05 +31 *1946:24 *2197:18 5.96576e-05 +32 *1946:24 *2197:20 0.00505048 +33 *1946:38 *2197:18 0.000322982 +34 *1950:14 *2197:20 0.000330788 +35 *2155:13 *2197:31 4.51744e-05 +36 *2155:41 *2197:35 1.49935e-05 +37 *2165:17 *2197:31 0.000311955 +38 *2183:14 *2197:35 0.00375804 +39 *2188:20 *2197:35 0.000698678 +40 *2196:7 *2197:13 0.000159442 +*RES +1 *22570:HI[383] *2197:13 47.772 +2 *2197:13 *2197:18 10.2389 +3 *2197:18 *2197:20 54.5199 +4 *2197:20 *2197:31 32.0887 +5 *2197:31 *2197:35 49.8964 +6 *2197:35 *2197:38 5.2234 +7 *2197:38 *5893:DIODE 11.0817 +8 *2197:38 *23293:B 9.24915 +*END + +*D_NET *2198 0.0321151 +*CONN +*I *23294:B I *D sky130_fd_sc_hd__and2_1 +*I *5895:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[384] O *D mprj_logic_high +*CAP +1 *23294:B 1.59894e-05 +2 *5895:DIODE 0.000482175 +3 *22570:HI[384] 0.00482182 +4 *2198:29 0.00531998 +5 *5895:DIODE *2397:7 6.08467e-05 +6 *5895:DIODE *3288:9 0.000173407 +7 *23294:B *2374:11 6.50586e-05 +8 *2198:29 *23423:A 5.71987e-05 +9 *2198:29 *2199:22 0.000345262 +10 *2198:29 *2202:33 0.00474099 +11 *2198:29 *2211:25 0.00101949 +12 *2198:29 *2212:19 0.000587835 +13 *2198:29 *2332:34 3.10903e-05 +14 *2198:29 *2739:21 0.00125397 +15 *2198:29 *2879:8 0 +16 *4955:DIODE *5895:DIODE 0 +17 *6153:DIODE *5895:DIODE 0 +18 *1147:37 *5895:DIODE 0.000316941 +19 *1147:37 *23294:B 1.43848e-05 +20 *1147:37 *2198:29 2.1878e-06 +21 *2023:37 *2198:29 0.000649019 +22 *2024:29 *2198:29 0.000196157 +23 *2027:17 *5895:DIODE 0 +24 *2027:17 *2198:29 0 +25 *2042:19 *2198:29 0.000162306 +26 *2045:17 *2198:29 0.000502181 +27 *2064:23 *2198:29 0.000367713 +28 *2071:11 *2198:29 0 +29 *2075:27 *2198:29 1.83756e-05 +30 *2097:13 *2198:29 0.000920167 +31 *2117:14 *2198:29 0.000653917 +32 *2135:20 *2198:29 0.00167218 +33 *2162:29 *2198:29 0.000390613 +34 *2179:25 *2198:29 0.00669618 +35 *2190:33 *2198:29 3.31435e-06 +36 *2195:25 *2198:29 0.000574347 +*RES +1 *22570:HI[384] *2198:29 35.9075 +2 *2198:29 *5895:DIODE 23.9989 +3 *2198:29 *23294:B 14.4725 +*END + +*D_NET *2199 0.0327013 +*CONN +*I *5897:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23295:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[385] O *D mprj_logic_high +*CAP +1 *5897:DIODE 0.000247629 +2 *23295:B 9.77183e-05 +3 *22570:HI[385] 0.00566827 +4 *2199:22 0.00601362 +5 *5897:DIODE *6152:DIODE 6.47302e-06 +6 *5897:DIODE *23295:A 7.04759e-05 +7 *5897:DIODE *2738:8 3.20069e-06 +8 *5897:DIODE *2879:8 0.000222473 +9 *23295:B *23423:A 3.09975e-05 +10 *23295:B *2879:8 7.12632e-06 +11 *23295:B *3073:33 0.000203971 +12 *2199:22 *2202:33 0.00552214 +13 *2199:22 *2207:22 0.0015092 +14 *2199:22 *2212:19 5.0476e-05 +15 *2199:22 *2332:34 0.000507077 +16 *2199:22 *2372:29 0.000404556 +17 *2199:22 *2881:11 0.000399324 +18 *2199:22 *2890:22 2.6238e-05 +19 *2199:22 *3073:33 0.00301746 +20 *2199:22 *3273:23 0.000738085 +21 *2199:22 *3273:33 0.000409628 +22 *2199:22 *3362:32 0.000167853 +23 *2199:22 *3409:19 6.98716e-05 +24 *6153:DIODE *23295:B 1.39717e-06 +25 *1983:19 *2199:22 2.95956e-05 +26 *1986:19 *2199:22 0.00422303 +27 *2023:37 *23295:B 1.2128e-05 +28 *2023:37 *2199:22 0.000298336 +29 *2024:29 *2199:22 0.000978415 +30 *2035:20 *2199:22 0.00049633 +31 *2087:38 *2199:22 2.56038e-06 +32 *2146:16 *5897:DIODE 6.08467e-05 +33 *2190:33 *2199:22 6.29424e-05 +34 *2195:25 *2199:22 0.00079656 +35 *2198:29 *2199:22 0.000345262 +*RES +1 *22570:HI[385] *2199:22 26.5937 +2 *2199:22 *23295:B 17.7759 +3 *2199:22 *5897:DIODE 22.7877 +*END + +*D_NET *2200 0.0239435 +*CONN +*I *5899:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23296:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[386] O *D mprj_logic_high +*CAP +1 *5899:DIODE 0 +2 *23296:B 9.11022e-05 +3 *22570:HI[386] 0.00107657 +4 *2200:25 0.000427072 +5 *2200:16 0.00380615 +6 *2200:15 0.00530883 +7 *2200:9 0.00291522 +8 *23296:B *5898:DIODE 4.25388e-05 +9 *2200:9 *2201:23 0 +10 *2200:9 *2446:14 0 +11 *2200:9 *3184:26 0 +12 *2200:15 *2320:26 0.00282286 +13 *2200:15 *3317:31 0 +14 *2200:25 *3670:DIODE 0.000410961 +15 *1913:5 *2200:25 3.02981e-05 +16 *1914:12 *23296:B 1.47978e-05 +17 *1915:7 *2200:25 2.78668e-05 +18 *1936:64 *2200:9 0.000216515 +19 *1943:8 *23296:B 6.53173e-05 +20 *1946:24 *2200:16 6.88403e-05 +21 *1948:43 *2200:16 0.000467282 +22 *1948:43 *2200:25 0.000774027 +23 *1950:14 *2200:16 0.000304111 +24 *2160:13 *23296:B 7.92757e-06 +25 *2182:9 *2200:15 6.1438e-05 +26 *2197:13 *2200:9 0 +27 *2197:20 *2200:16 0.00500383 +*RES +1 *22570:HI[386] *2200:9 25.0428 +2 *2200:9 *2200:15 41.9192 +3 *2200:15 *2200:16 61.7298 +4 *2200:16 *2200:25 20.3138 +5 *2200:25 *23296:B 12.2392 +6 *2200:25 *5899:DIODE 9.24915 +*END + +*D_NET *2201 0.0289674 +*CONN +*I *5901:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23297:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[387] O *D mprj_logic_high +*CAP +1 *5901:DIODE 6.89763e-05 +2 *23297:B 0 +3 *22570:HI[387] 0.00444201 +4 *2201:23 0.00451099 +5 *2201:23 *23297:A 1.07248e-05 +6 *2201:23 *2205:19 0.000121638 +7 *2201:23 *2211:25 0.000557206 +8 *2201:23 *2212:19 0.00015728 +9 *2201:23 *2601:20 0.00097709 +10 *2201:23 *2885:18 0 +11 *2201:23 *3184:26 0.000308719 +12 *2201:23 *3295:28 0.00148002 +13 *2201:23 *3317:31 0.00031848 +14 *23425:B *5901:DIODE 3.01683e-06 +15 *1174:27 *2201:23 0.000130331 +16 *1988:25 *2201:23 6.21462e-05 +17 *2058:17 *2201:23 5.10314e-05 +18 *2064:23 *2201:23 0.000528953 +19 *2065:26 *2201:23 0.000439463 +20 *2119:25 *2201:23 0.000772786 +21 *2151:38 *5901:DIODE 0.000171273 +22 *2151:38 *2201:23 0.000141764 +23 *2162:29 *2201:23 0.000101699 +24 *2180:38 *2201:23 7.87938e-05 +25 *2186:47 *2201:23 0.002643 +26 *2190:33 *2201:23 0.00110084 +27 *2191:17 *2201:23 0.00460978 +28 *2192:25 *2201:23 0.00517937 +29 *2200:9 *2201:23 0 +*RES +1 *22570:HI[387] *2201:23 34.1931 +2 *2201:23 *23297:B 9.24915 +3 *2201:23 *5901:DIODE 11.6605 +*END + +*D_NET *2202 0.0342713 +*CONN +*I *5903:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23298:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[388] O *D mprj_logic_high +*CAP +1 *5903:DIODE 0 +2 *23298:B 9.91112e-05 +3 *22570:HI[388] 0.00381663 +4 *2202:33 0.00391574 +5 *23298:B *23298:A 0.000212104 +6 *23298:B *2374:11 0.000315527 +7 *23298:B *2394:10 1.42932e-05 +8 *2202:33 *2205:19 0.000400512 +9 *2202:33 *2206:32 0.00096444 +10 *2202:33 *2212:19 3.6211e-05 +11 *2202:33 *2332:34 0.000116084 +12 *2202:33 *2374:11 0.000189024 +13 *2202:33 *2603:11 6.44502e-05 +14 *2202:33 *2888:13 0.000448096 +15 *2202:33 *2889:11 0.000426184 +16 *2202:33 *2889:18 0.00141514 +17 *2202:33 *3051:24 0.00146933 +18 *2202:33 *3124:17 3.51771e-05 +19 *2202:33 *3184:26 0.000392389 +20 *2202:33 *3273:33 0.00121211 +21 *1395:15 *2202:33 0.00017378 +22 *1673:16 *23298:B 4.82966e-05 +23 *1983:26 *2202:33 0 +24 *1988:25 *2202:33 0.000472654 +25 *2023:37 *2202:33 0.00181434 +26 *2117:14 *2202:33 0.00581976 +27 *2144:9 *2202:33 2.1558e-06 +28 *2195:25 *2202:33 0.000134603 +29 *2198:29 *2202:33 0.00474099 +30 *2199:22 *2202:33 0.00552214 +*RES +1 *22570:HI[388] *2202:33 31.9844 +2 *2202:33 *23298:B 18.2471 +3 *2202:33 *5903:DIODE 13.7491 +*END + +*D_NET *2203 0.0226165 +*CONN +*I *23299:B I *D sky130_fd_sc_hd__and2_1 +*I *5905:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[389] O *D mprj_logic_high +*CAP +1 *23299:B 0 +2 *5905:DIODE 7.36093e-05 +3 *22570:HI[389] 0.00503869 +4 *2203:24 0.0051123 +5 *5905:DIODE *3228:37 0.000164815 +6 *2203:24 *2208:19 0.000321009 +7 *2203:24 *2212:19 6.21462e-05 +8 *2203:24 *2332:34 1.93857e-05 +9 *2203:24 *2603:11 0 +10 *2203:24 *2609:26 0.000465836 +11 *2203:24 *2612:24 1.48618e-05 +12 *2203:24 *2892:19 0 +13 *2203:24 *2897:20 0 +14 *2203:24 *3184:26 0.000369426 +15 *2203:24 *3228:37 2.15348e-05 +16 *2203:24 *3317:40 0.00017686 +17 *2203:24 *3417:43 0.000604196 +18 *1150:9 *2203:24 5.83184e-05 +19 *1159:39 *2203:24 9.32426e-05 +20 *1672:11 *2203:24 7.12079e-05 +21 *1681:9 *2203:24 0.000108607 +22 *2051:19 *2203:24 7.08804e-05 +23 *2057:17 *2203:24 0.0016357 +24 *2067:17 *2203:24 0.00153741 +25 *2187:23 *2203:24 0.00181283 +26 *2190:33 *2203:24 0.00478366 +*RES +1 *22570:HI[389] *2203:24 36.611 +2 *2203:24 *5905:DIODE 11.6605 +3 *2203:24 *23299:B 9.24915 +*END + +*D_NET *2204 0.00376985 +*CONN +*I *4989:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22523:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[38] O *D mprj_logic_high +*CAP +1 *4989:DIODE 0 +2 *22523:TE 5.21115e-05 +3 *22570:HI[38] 0.000884099 +4 *2204:8 0.00093621 +5 *22523:TE *2320:26 0.000108199 +6 *2204:8 *2206:32 0 +7 *2204:8 *2320:26 0.000262497 +8 *2204:8 *3125:26 0.000694592 +9 *2204:8 *3184:26 0 +10 *1946:38 *22523:TE 0.00026085 +11 *1946:38 *2204:8 0.000571292 +*RES +1 *22570:HI[38] *2204:8 29.3336 +2 *2204:8 *22523:TE 12.191 +3 *2204:8 *4989:DIODE 9.24915 +*END + +*D_NET *2205 0.0225399 +*CONN +*I *23301:B I *D sky130_fd_sc_hd__and2_1 +*I *5909:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[390] O *D mprj_logic_high +*CAP +1 *23301:B 0 +2 *5909:DIODE 4.26635e-05 +3 *22570:HI[390] 0.00348057 +4 *2205:19 0.00352323 +5 *5909:DIODE *2397:7 0.000169041 +6 *2205:19 *23301:A 1.07248e-05 +7 *2205:19 *2207:22 0.00171799 +8 *2205:19 *2211:25 9.09327e-05 +9 *2205:19 *2212:19 0.000736255 +10 *2205:19 *2888:13 0 +11 *2205:19 *2892:19 0.00285927 +12 *2205:19 *3051:24 0.00161579 +13 *2205:19 *3124:17 0.000269344 +14 *2205:19 *3184:26 0.0010996 +15 *2205:19 *3339:34 0.00619862 +16 *2205:19 *3362:32 0.000121925 +17 *23429:B *5909:DIODE 9.51479e-06 +18 *1157:37 *5909:DIODE 7.22498e-05 +19 *2201:23 *2205:19 0.000121638 +20 *2202:33 *2205:19 0.000400512 +*RES +1 *22570:HI[390] *2205:19 30.6482 +2 *2205:19 *5909:DIODE 11.6605 +3 *2205:19 *23301:B 9.24915 +*END + +*D_NET *2206 0.0319239 +*CONN +*I *23302:B I *D sky130_fd_sc_hd__and2_1 +*I *5911:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[391] O *D mprj_logic_high +*CAP +1 *23302:B 2.31354e-05 +2 *5911:DIODE 0.000128316 +3 *22570:HI[391] 0.00587377 +4 *2206:32 0.00602522 +5 *5911:DIODE *2479:32 4.04556e-05 +6 *5911:DIODE *2746:8 0.000136285 +7 *23302:B *5910:DIODE 2.21587e-05 +8 *23302:B *2746:8 2.02035e-05 +9 *2206:32 *21492:A 3.92776e-05 +10 *2206:32 *2209:7 0 +11 *2206:32 *2479:32 0.00130114 +12 *2206:32 *2604:18 0.000737881 +13 *2206:32 *2612:24 1.91391e-05 +14 *2206:32 *3106:18 0.000112803 +15 *2206:32 *3124:17 0.000226901 +16 *2206:32 *3410:13 0.00350347 +17 *2206:32 *3410:14 0.000158133 +18 *1677:9 *5911:DIODE 0 +19 *1950:22 *2206:32 0.00330834 +20 *1951:18 *2206:32 0.00330619 +21 *1968:36 *2206:32 0.000180512 +22 *1988:25 *2206:32 0.000631037 +23 *2029:30 *2206:32 1.0973e-05 +24 *2034:20 *2206:32 0.000432499 +25 *2065:26 *2206:32 0.000315452 +26 *2068:23 *2206:32 0.00079407 +27 *2072:17 *2206:32 0.000426169 +28 *2133:28 *2206:32 0.000115466 +29 *2135:20 *2206:32 0.00124876 +30 *2142:39 *23302:B 0 +31 *2142:39 *2206:32 0.00135041 +32 *2151:38 *5911:DIODE 6.50586e-05 +33 *2180:38 *2206:32 0.000345904 +34 *2195:25 *2206:32 6.02849e-05 +35 *2202:33 *2206:32 0.00096444 +36 *2204:8 *2206:32 0 +*RES +1 *22570:HI[391] *2206:32 48.5973 +2 *2206:32 *5911:DIODE 17.3427 +3 *2206:32 *23302:B 14.543 +*END + +*D_NET *2207 0.0203286 +*CONN +*I *23303:B I *D sky130_fd_sc_hd__and2_1 +*I *5913:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[392] O *D mprj_logic_high +*CAP +1 *23303:B 1.13413e-05 +2 *5913:DIODE 0.000142194 +3 *22570:HI[392] 0.00355083 +4 *2207:22 0.00370436 +5 *5913:DIODE *23303:A 3.85185e-05 +6 *23303:B *23303:A 1.3023e-05 +7 *2207:22 *2208:19 0.000268595 +8 *2207:22 *2212:19 6.21462e-05 +9 *2207:22 *2606:29 0.00010929 +10 *2207:22 *2612:24 2.65815e-05 +11 *2207:22 *2890:22 0 +12 *2207:22 *2896:26 0.000252934 +13 *2207:22 *3051:24 7.84467e-06 +14 *2207:22 *3362:32 0.00393236 +15 *2207:22 *3408:19 0.000373439 +16 *1155:9 *2207:22 0.00165332 +17 *1986:19 *2207:22 0.000142251 +18 *1989:52 *2207:22 0.000281795 +19 *2022:22 *2207:22 0.00166223 +20 *2146:16 *5913:DIODE 0.000164815 +21 *2190:33 *2207:22 0.000703574 +22 *2199:22 *2207:22 0.0015092 +23 *2205:19 *2207:22 0.00171799 +*RES +1 *22570:HI[392] *2207:22 23.6526 +2 *2207:22 *5913:DIODE 16.3756 +3 *2207:22 *23303:B 14.1278 +*END + +*D_NET *2208 0.0195148 +*CONN +*I *5915:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23304:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[393] O *D mprj_logic_high +*CAP +1 *5915:DIODE 0.000206988 +2 *23304:B 0 +3 *22570:HI[393] 0.00218657 +4 *2208:22 0.00246013 +5 *2208:19 0.00443971 +6 *5915:DIODE *2748:8 0 +7 *2208:19 *2212:19 0.000136896 +8 *2208:19 *2332:34 0.000331838 +9 *2208:19 *2612:24 0.00154176 +10 *2208:19 *2896:26 0.000753068 +11 *2208:19 *2897:20 0.000192721 +12 *2208:19 *3306:34 0.00172433 +13 *2208:19 *3384:29 0.00173204 +14 *2208:22 *23432:A 0.00018806 +15 *2208:22 *3373:36 0.000108607 +16 *6171:DIODE *2208:22 4.92387e-06 +17 *23432:B *2208:22 2.53145e-06 +18 *1551:5 *2208:22 4.49625e-06 +19 *1679:11 *5915:DIODE 4.27965e-05 +20 *1991:12 *2208:22 0.00284445 +21 *2142:28 *2208:22 2.32625e-05 +22 *2203:24 *2208:19 0.000321009 +23 *2207:22 *2208:19 0.000268595 +*RES +1 *22570:HI[393] *2208:19 25.6815 +2 *2208:19 *2208:22 39.9797 +3 *2208:22 *23304:B 9.24915 +4 *2208:22 *5915:DIODE 22.4655 +*END + +*D_NET *2209 0.020514 +*CONN +*I *5917:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23305:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[394] O *D mprj_logic_high +*CAP +1 *5917:DIODE 0 +2 *23305:B 0.000296266 +3 *22570:HI[394] 0.000691343 +4 *2209:27 0.00335899 +5 *2209:10 0.00358424 +6 *2209:7 0.00121285 +7 *23305:B *23433:A 0 +8 *23305:B *3262:32 2.1203e-06 +9 *2209:7 *2211:25 0 +10 *2209:7 *2896:26 0.000412652 +11 *2209:10 *21492:A 4.31703e-05 +12 *2209:10 *2446:11 0.00184614 +13 *2209:27 *2332:34 0.00100595 +14 *2209:27 *2367:23 0.000120627 +15 *2209:27 *2605:20 6.79938e-05 +16 *2209:27 *3262:32 1.9946e-05 +17 *2209:27 *3408:19 0 +18 *23530:TE *2209:10 0.000215771 +19 *1163:32 *2209:27 0 +20 *1300:8 *2209:10 0.000165521 +21 *1394:27 *23305:B 0 +22 *1394:27 *2209:27 0 +23 *1680:11 *23305:B 3.14978e-05 +24 *1930:17 *2209:7 0.000110435 +25 *1932:68 *2209:27 0.000113487 +26 *1936:56 *2209:10 0.00190525 +27 *1943:24 *2209:10 0.00027256 +28 *1968:36 *2209:27 0.000240212 +29 *1980:10 *23305:B 6.50586e-05 +30 *1986:19 *2209:27 0.000315626 +31 *1991:9 *2209:27 0 +32 *2008:45 *2209:27 0.000159964 +33 *2033:23 *2209:27 0.000469026 +34 *2035:20 *2209:27 0.00159148 +35 *2091:10 *2209:27 3.25639e-05 +36 *2096:24 *2209:27 8.88259e-05 +37 *2104:8 *2209:27 5.30087e-05 +38 *2152:23 *23305:B 0 +39 *2152:23 *2209:27 0 +40 *2183:7 *2209:27 0 +41 *2185:7 *2209:27 7.04809e-05 +42 *2186:47 *2209:27 0.000257529 +43 *2188:7 *2209:27 0 +44 *2197:13 *2209:10 0.00169343 +45 *2206:32 *2209:7 0 +*RES +1 *22570:HI[394] *2209:7 20.5727 +2 *2209:7 *2209:10 43.491 +3 *2209:10 *2209:27 44.4631 +4 *2209:27 *23305:B 19.2113 +5 *2209:27 *5917:DIODE 13.7491 +*END + +*D_NET *2210 0.0158031 +*CONN +*I *23306:B I *D sky130_fd_sc_hd__and2_1 +*I *5919:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[395] O *D mprj_logic_high +*CAP +1 *23306:B 4.41893e-05 +2 *5919:DIODE 0.000107773 +3 *22570:HI[395] 0.00223612 +4 *2210:20 0.000957848 +5 *2210:19 0.00304201 +6 *5919:DIODE *2397:7 0.000161234 +7 *2210:19 *2212:19 4.35506e-05 +8 *2210:19 *2332:34 0.000121638 +9 *2210:19 *2609:26 0.00189403 +10 *2210:19 *2612:17 0 +11 *2210:19 *3273:23 0.00076362 +12 *2210:20 *2397:7 0.00134762 +13 *1154:38 *23306:B 6.62712e-05 +14 *1159:40 *23306:B 8.39222e-06 +15 *1172:27 *23306:B 4.79289e-05 +16 *1998:10 *2210:19 0.00226704 +17 *2087:38 *2210:19 0.000181391 +18 *2091:10 *2210:19 0.00226939 +19 *2124:9 *2210:19 0 +20 *2128:13 *2210:19 0 +21 *2132:7 *2210:19 0.000243028 +22 *2136:17 *2210:19 0 +*RES +1 *22570:HI[395] *2210:19 36.6893 +2 *2210:19 *2210:20 14.5885 +3 *2210:20 *5919:DIODE 11.0817 +4 *2210:20 *23306:B 19.6659 +*END + +*D_NET *2211 0.0206552 +*CONN +*I *5921:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23307:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[396] O *D mprj_logic_high +*CAP +1 *5921:DIODE 0 +2 *23307:B 3.52288e-05 +3 *22570:HI[396] 0.00716997 +4 *2211:25 0.0072052 +5 *23307:B *5920:DIODE 0.000220183 +6 *2211:25 *2212:19 0.000144893 +7 *2211:25 *2750:16 0 +8 *2211:25 *2896:26 1.465e-05 +9 *2211:25 *3124:26 0.000345637 +10 *2211:25 *3184:26 3.6211e-05 +11 *2211:25 *3195:20 5.60804e-05 +12 *2211:25 *3409:19 0.000812089 +13 *2211:25 *3410:13 0.000155893 +14 *2011:28 *23307:B 4.36818e-05 +15 *2024:29 *2211:25 0.000165566 +16 *2043:23 *2211:25 0.000794494 +17 *2108:22 *2211:25 0.00115851 +18 *2135:24 *2211:25 0 +19 *2140:8 *23307:B 0.000327014 +20 *2189:31 *2211:25 1.75765e-05 +21 *2192:25 *2211:25 0.000284736 +22 *2198:29 *2211:25 0.00101949 +23 *2201:23 *2211:25 0.000557206 +24 *2205:19 *2211:25 9.09327e-05 +25 *2209:7 *2211:25 0 +*RES +1 *22570:HI[396] *2211:25 31.2861 +2 *2211:25 *23307:B 12.7456 +3 *2211:25 *5921:DIODE 9.24915 +*END + +*D_NET *2212 0.0180741 +*CONN +*I *5923:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23308:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[397] O *D mprj_logic_high +*CAP +1 *5923:DIODE 3.13951e-05 +2 *23308:B 0 +3 *22570:HI[397] 0.00365068 +4 *2212:19 0.00368207 +5 *5923:DIODE *23308:A 0.000118166 +6 *5923:DIODE *3228:37 6.98314e-05 +7 *2212:19 *5922:DIODE 0.000104151 +8 *2212:19 *2213:20 2.56038e-06 +9 *2212:19 *2332:34 0.000256936 +10 *2212:19 *2612:17 0 +11 *2212:19 *2754:10 0.000171636 +12 *2212:19 *2890:22 0.00298294 +13 *2212:19 *3306:34 0.000637844 +14 *2212:19 *3384:29 0.000645569 +15 *2212:19 *3409:19 1.06728e-05 +16 *1557:5 *5923:DIODE 0.000271058 +17 *1684:6 *2212:19 0 +18 *1945:22 *2212:19 0.000435591 +19 *1983:19 *2212:19 0.000239465 +20 *2043:23 *2212:19 0.00174438 +21 *2124:9 *2212:19 0 +22 *2190:33 *2212:19 0.000965269 +23 *2194:23 *2212:19 3.6211e-05 +24 *2198:29 *2212:19 0.000587835 +25 *2199:22 *2212:19 5.0476e-05 +26 *2201:23 *2212:19 0.00015728 +27 *2202:33 *2212:19 3.6211e-05 +28 *2203:24 *2212:19 6.21462e-05 +29 *2205:19 *2212:19 0.000736255 +30 *2207:22 *2212:19 6.21462e-05 +31 *2208:19 *2212:19 0.000136896 +32 *2210:19 *2212:19 4.35506e-05 +33 *2211:25 *2212:19 0.000144893 +*RES +1 *22570:HI[397] *2212:19 42.7058 +2 *2212:19 *23308:B 9.24915 +3 *2212:19 *5923:DIODE 12.191 +*END + +*D_NET *2213 0.0129603 +*CONN +*I *5925:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23309:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[398] O *D mprj_logic_high +*CAP +1 *5925:DIODE 0 +2 *23309:B 0.000133547 +3 *22570:HI[398] 0.00226209 +4 *2213:20 0.00239564 +5 *23309:B *5924:DIODE 0.000277502 +6 *2213:20 *5924:DIODE 0.000169107 +7 *2213:20 *2214:19 0.000402127 +8 *2213:20 *2332:34 0.000170023 +9 *2213:20 *2610:22 0.00293128 +10 *2213:20 *2757:10 0.000186841 +11 *2213:20 *2900:17 0.00292868 +12 *2213:20 *3306:34 0.000278173 +13 *2213:20 *3384:29 0.000287079 +14 *23437:B *23309:B 8.67924e-06 +15 *2092:9 *2213:20 7.45671e-05 +16 *2151:38 *23309:B 1.19721e-05 +17 *2151:38 *2213:20 0.000440466 +18 *2212:19 *2213:20 2.56038e-06 +*RES +1 *22570:HI[398] *2213:20 49.7665 +2 *2213:20 *23309:B 13.3243 +3 *2213:20 *5925:DIODE 9.24915 +*END + +*D_NET *2214 0.0143426 +*CONN +*I *23310:B I *D sky130_fd_sc_hd__and2_1 +*I *5927:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[399] O *D mprj_logic_high +*CAP +1 *23310:B 0 +2 *5927:DIODE 4.54924e-05 +3 *22570:HI[399] 0.000984249 +4 *2214:22 0.000240631 +5 *2214:19 0.00200419 +6 *2214:16 0.0027933 +7 *5927:DIODE *3228:37 8.13122e-05 +8 *5927:DIODE *3439:43 3.95516e-05 +9 *2214:16 *2217:26 0.00160569 +10 *2214:16 *2332:34 6.21462e-05 +11 *2214:16 *2893:26 0.00042357 +12 *2214:19 *6190:DIODE 2.12377e-05 +13 *2214:19 *2757:10 0.000187228 +14 *2214:19 *2758:8 0 +15 *2214:19 *3173:30 0 +16 *2214:22 *3228:37 0.00167972 +17 *2214:22 *3439:39 0.000229324 +18 *2214:22 *3439:43 6.00156e-05 +19 *23184:A *2214:22 0.000228593 +20 *1557:5 *5927:DIODE 0.000114594 +21 *1687:9 *2214:22 0.000518172 +22 *1689:11 *2214:19 0 +23 *2026:13 *2214:16 0.000646061 +24 *2081:20 *2214:16 0.000654952 +25 *2089:19 *2214:16 0.000186184 +26 *2092:9 *2214:19 0.00022142 +27 *2092:16 *2214:22 0.000164829 +28 *2100:10 *2214:19 0.000747976 +29 *2213:20 *2214:19 0.000402127 +*RES +1 *22570:HI[399] *2214:16 13.0829 +2 *2214:16 *2214:19 45.9886 +3 *2214:19 *2214:22 18.4948 +4 *2214:22 *5927:DIODE 11.6364 +5 *2214:22 *23310:B 9.24915 +*END + +*D_NET *2215 0.00303783 +*CONN +*I *4991:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22524:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[39] O *D mprj_logic_high +*CAP +1 *4991:DIODE 2.4393e-05 +2 *22524:TE 0 +3 *22570:HI[39] 0.000953688 +4 *2215:8 0.000978081 +5 *4991:DIODE *2388:18 0.000205985 +6 *2215:8 *2216:8 0.000185981 +7 *2215:8 *2388:18 0.000376295 +8 *1165:20 *2215:8 0 +9 *1300:8 *2215:8 4.34496e-05 +10 *1936:64 *4991:DIODE 1.41291e-05 +11 *1936:64 *2215:8 8.67835e-05 +12 *1936:70 *4991:DIODE 0.000169041 +*RES +1 *22570:HI[39] *2215:8 27.1152 +2 *2215:8 *22524:TE 9.24915 +3 *2215:8 *4991:DIODE 11.6364 +*END + +*D_NET *2216 0.00387001 +*CONN +*I *22537:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5017:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[3] O *D mprj_logic_high +*CAP +1 *22537:TE 2.97397e-05 +2 *5017:DIODE 4.21004e-05 +3 *22570:HI[3] 0.00145359 +4 *2216:8 0.00152543 +5 *5017:DIODE *2470:31 1.41291e-05 +6 *5017:DIODE *2470:35 1.61631e-05 +7 *22537:TE *2470:31 4.41051e-05 +8 *2216:8 *2318:26 0 +9 *2216:8 *2329:55 0 +10 *2216:8 *2470:31 8.89924e-05 +11 *2216:8 *3117:6 0 +12 *22336:A *2216:8 6.48631e-05 +13 *1164:40 *2216:8 0 +14 *1300:8 *2216:8 0.00040492 +15 *2215:8 *2216:8 0.000185981 +*RES +1 *22570:HI[3] *2216:8 34.587 +2 *2216:8 *5017:DIODE 9.97254 +3 *2216:8 *22537:TE 10.2378 +*END + +*D_NET *2217 0.0107692 +*CONN +*I *5931:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23312:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[400] O *D mprj_logic_high +*CAP +1 *5931:DIODE 0 +2 *23312:B 7.54534e-05 +3 *22570:HI[400] 0.00232743 +4 *2217:26 0.00240288 +5 *2217:26 *2218:20 0.000313661 +6 *2217:26 *2332:34 0.000168546 +7 *2217:26 *2893:26 0.000822825 +8 *2217:26 *2900:17 0.000113824 +9 *2217:26 *3362:32 6.44502e-05 +10 *2217:26 *3461:53 8.62625e-06 +11 *22515:TE *2217:26 1.32184e-05 +12 *1983:26 *2217:26 0 +13 *1990:9 *2217:26 0 +14 *1991:14 *23312:B 0.000307663 +15 *1991:14 *2217:26 0.000639151 +16 *2089:19 *2217:26 0.000882755 +17 *2116:8 *2217:26 1.5714e-05 +18 *2117:14 *2217:26 3.48447e-05 +19 *2136:17 *2217:26 5.60804e-05 +20 *2142:26 *23312:B 0.000271969 +21 *2142:26 *2217:26 0.00062786 +22 *2142:28 *23312:B 1.65872e-05 +23 *2214:16 *2217:26 0.00160569 +*RES +1 *22570:HI[400] *2217:26 37.9354 +2 *2217:26 *23312:B 13.3243 +3 *2217:26 *5931:DIODE 9.24915 +*END + +*D_NET *2218 0.00937973 +*CONN +*I *5933:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23313:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[401] O *D mprj_logic_high +*CAP +1 *5933:DIODE 0 +2 *23313:B 4.77592e-05 +3 *22570:HI[401] 0.00245725 +4 *2218:20 0.00250501 +5 *23313:B *23313:A 0.000220058 +6 *2218:20 *23313:A 0.000150774 +7 *2218:20 *2219:19 0.000800456 +8 *2218:20 *2332:34 0.000121638 +9 *2218:20 *2613:20 0.00021291 +10 *2218:20 *2893:26 0 +11 *2218:20 *2900:17 1.58838e-05 +12 *2218:20 *3173:25 0.000213521 +13 *2218:20 *3306:34 0.000230954 +14 *2218:20 *3384:29 0.000239846 +15 *2218:20 *3461:53 8.98943e-05 +16 *1990:9 *2218:20 0.000200435 +17 *2011:20 *23313:B 2.20702e-05 +18 *2089:19 *2218:20 0.000577208 +19 *2140:8 *23313:B 0.000319829 +20 *2140:8 *2218:20 0.000640562 +21 *2217:26 *2218:20 0.000313661 +*RES +1 *22570:HI[401] *2218:20 37.6853 +2 *2218:20 *23313:B 12.7456 +3 *2218:20 *5933:DIODE 9.24915 +*END + +*D_NET *2219 0.0102644 +*CONN +*I *5935:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23314:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[402] O *D mprj_logic_high +*CAP +1 *5935:DIODE 0 +2 *23314:B 8.62196e-05 +3 *22570:HI[402] 0.002445 +4 *2219:20 0.000111452 +5 *2219:19 0.00247023 +6 *23314:B *5934:DIODE 5.08751e-05 +7 *23314:B *23314:A 0.000158357 +8 *23314:B *3406:63 4.82966e-05 +9 *2219:19 *23315:A 4.3874e-05 +10 *2219:19 *2220:19 0.000290691 +11 *2219:19 *2332:34 6.21462e-05 +12 *2219:19 *2613:20 0.00197529 +13 *2219:19 *2760:11 5.63226e-05 +14 *2219:19 *2900:17 5.51377e-06 +15 *2219:19 *3262:26 0 +16 *2219:20 *5934:DIODE 0.000175485 +17 *2219:20 *3406:63 4.21651e-05 +18 *1149:46 *2219:19 0.000527181 +19 *1690:12 *2219:19 0 +20 *1996:20 *2219:19 0.000149783 +21 *2023:19 *2219:19 1.16103e-05 +22 *2091:10 *2219:19 0.000160292 +23 *2092:16 *23314:B 0.000258142 +24 *2092:16 *2219:20 0.000261951 +25 *2103:9 *2219:19 0 +26 *2146:15 *2219:19 7.30397e-05 +27 *2218:20 *2219:19 0.000800456 +*RES +1 *22570:HI[402] *2219:19 48.4686 +2 *2219:19 *2219:20 2.94181 +3 *2219:20 *23314:B 13.3243 +4 *2219:20 *5935:DIODE 9.24915 +*END + +*D_NET *2220 0.00864509 +*CONN +*I *23315:B I *D sky130_fd_sc_hd__and2_1 +*I *5937:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[403] O *D mprj_logic_high +*CAP +1 *23315:B 0 +2 *5937:DIODE 8.67517e-05 +3 *22570:HI[403] 0.00201948 +4 *2220:19 0.00210623 +5 *2220:19 *23315:A 9.12416e-06 +6 *2220:19 *2221:19 3.67821e-05 +7 *2220:19 *2222:16 0.000620968 +8 *2220:19 *2332:34 6.21462e-05 +9 *2220:19 *2365:39 0.000204248 +10 *2220:19 *2613:20 0.00202821 +11 *2220:19 *2900:17 3.121e-06 +12 *22534:TE *2220:19 7.72394e-06 +13 *23443:B *5937:DIODE 8.67924e-06 +14 *1149:46 *2220:19 0.000530591 +15 *1690:12 *5937:DIODE 7.22498e-05 +16 *1990:9 *2220:19 0 +17 *1993:5 *2220:19 0.000189933 +18 *1996:20 *2220:19 0.000119808 +19 *2023:19 *2220:19 0.000222481 +20 *2089:19 *2220:19 1.42173e-06 +21 *2091:10 *2220:19 2.44508e-05 +22 *2219:19 *2220:19 0.000290691 +*RES +1 *22570:HI[403] *2220:19 40.7696 +2 *2220:19 *5937:DIODE 11.6605 +3 *2220:19 *23315:B 9.24915 +*END + +*D_NET *2221 0.0105503 +*CONN +*I *5939:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23316:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[404] O *D mprj_logic_high +*CAP +1 *5939:DIODE 2.15843e-05 +2 *23316:B 0 +3 *22570:HI[404] 0.00264688 +4 *2221:19 0.00266846 +5 *5939:DIODE *3228:31 4.75147e-05 +6 *5939:DIODE *3439:39 0.000277502 +7 *2221:19 *2222:16 0.000659283 +8 *2221:19 *2223:19 0.000167899 +9 *2221:19 *2332:34 5.24659e-05 +10 *2221:19 *2611:21 0.0030007 +11 *2221:19 *2613:20 0 +12 *2221:19 *3173:25 0.000111935 +13 *2221:19 *3306:34 7.32924e-05 +14 *2221:19 *3384:29 0.000397077 +15 *1153:44 *2221:19 2.81147e-06 +16 *1563:5 *5939:DIODE 0.000175485 +17 *2011:7 *2221:19 0.000183216 +18 *2089:19 *2221:19 2.7397e-05 +19 *2103:9 *2221:19 0 +20 *2220:19 *2221:19 3.67821e-05 +*RES +1 *22570:HI[404] *2221:19 37.7712 +2 *2221:19 *23316:B 9.24915 +3 *2221:19 *5939:DIODE 12.191 +*END + +*D_NET *2222 0.0115254 +*CONN +*I *5941:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23317:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[405] O *D mprj_logic_high +*CAP +1 *5941:DIODE 3.10971e-05 +2 *23317:B 3.43852e-05 +3 *22570:HI[405] 0.00128038 +4 *2222:17 0.00203714 +5 *2222:16 0.00325204 +6 *5941:DIODE *2349:57 0.000268824 +7 *5941:DIODE *3406:63 0.000268824 +8 *23317:B *23317:A 5.50027e-05 +9 *2222:16 *2332:34 0.000121638 +10 *2222:17 *23317:A 0.000101332 +11 *2222:17 *23318:A 0 +12 *2222:17 *2223:19 0.000132594 +13 *2222:17 *2763:13 0 +14 *2222:17 *3173:25 0 +15 *22534:A *2222:17 1.32236e-05 +16 *1156:33 *2222:17 0 +17 *1175:60 *2222:17 1.315e-05 +18 *2023:19 *2222:17 0.00014888 +19 *2026:13 *2222:16 0.00123322 +20 *2029:30 *2222:17 0 +21 *2081:20 *2222:16 0.00124836 +22 *2089:19 *2222:16 5.08467e-06 +23 *2092:24 *23317:B 0 +24 *2220:19 *2222:16 0.000620968 +25 *2221:19 *2222:16 0.000659283 +*RES +1 *22570:HI[405] *2222:16 13.4389 +2 *2222:16 *2222:17 37.3361 +3 *2222:17 *23317:B 14.7506 +4 *2222:17 *5941:DIODE 16.691 +*END + +*D_NET *2223 0.0118295 +*CONN +*I *5943:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23318:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[406] O *D mprj_logic_high +*CAP +1 *5943:DIODE 0 +2 *23318:B 0.000115256 +3 *22570:HI[406] 0.00174803 +4 *2223:19 0.00186329 +5 *23318:B *23318:A 6.36477e-05 +6 *23318:B *2371:19 6.74255e-05 +7 *2223:19 *23318:A 2.14842e-06 +8 *2223:19 *2332:34 1.93857e-05 +9 *2223:19 *2611:21 0.00296602 +10 *2223:19 *2894:23 0.002956 +11 *2223:19 *3306:34 0.000962638 +12 *2223:19 *3384:29 3.23488e-05 +13 *23446:B *23318:B 8.67924e-06 +14 *1153:44 *2223:19 0.000718645 +15 *1156:33 *2223:19 0 +16 *2089:19 *2223:19 5.51377e-06 +17 *2221:19 *2223:19 0.000167899 +18 *2222:17 *2223:19 0.000132594 +*RES +1 *22570:HI[406] *2223:19 29.5485 +2 *2223:19 *23318:B 12.7938 +3 *2223:19 *5943:DIODE 9.24915 +*END + +*D_NET *2224 0.013326 +*CONN +*I *23319:B I *D sky130_fd_sc_hd__and2_1 +*I *5945:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[407] O *D mprj_logic_high +*CAP +1 *23319:B 1.47608e-05 +2 *5945:DIODE 0.00012453 +3 *22570:HI[407] 0.00224551 +4 *2224:23 0.0023848 +5 *5945:DIODE *5944:DIODE 9.89388e-06 +6 *5945:DIODE *23320:A 1.23348e-05 +7 *5945:DIODE *2765:8 0.000151742 +8 *2224:23 *5944:DIODE 0.00011022 +9 *2224:23 *2225:20 0.000301738 +10 *2224:23 *2226:33 0.00125161 +11 *2224:23 *2228:29 0.00157909 +12 *2224:23 *2332:34 4.79156e-05 +13 *2224:23 *2616:22 0.00200818 +14 *2224:23 *2894:23 7.65969e-05 +15 *4957:DIODE *5945:DIODE 9.89511e-05 +16 *1157:27 *5945:DIODE 0.000162677 +17 *1567:10 *23319:B 6.08467e-05 +18 *1955:28 *2224:23 0.000121829 +19 *1980:34 *5945:DIODE 7.02649e-05 +20 *1985:38 *23319:B 6.08467e-05 +21 *1996:20 *2224:23 0.00151636 +22 *2023:19 *2224:23 9.8438e-05 +23 *2029:30 *2224:23 1.41747e-05 +24 *2035:20 *2224:23 0 +25 *2038:11 *5945:DIODE 9.75548e-06 +26 *2038:11 *2224:23 4.31921e-05 +27 *2070:7 *2224:23 0 +28 *2089:19 *2224:23 5.64256e-05 +29 *2091:10 *2224:23 0.000693334 +*RES +1 *22570:HI[407] *2224:23 34.4684 +2 *2224:23 *5945:DIODE 18.6595 +3 *2224:23 *23319:B 14.4725 +*END + +*D_NET *2225 0.0118036 +*CONN +*I *5947:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23320:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[408] O *D mprj_logic_high +*CAP +1 *5947:DIODE 0 +2 *23320:B 0.000163939 +3 *22570:HI[408] 0.00214229 +4 *2225:20 0.00230623 +5 *23320:B *2765:8 8.13812e-06 +6 *2225:20 *2226:33 0.00119756 +7 *2225:20 *2332:34 0.000116084 +8 *2225:20 *2894:23 0.00173599 +9 *2225:20 *3262:26 0.00186421 +10 *1985:38 *2225:20 0.000111802 +11 *2038:11 *2225:20 0 +12 *2088:24 *2225:20 0.00185563 +13 *2224:23 *2225:20 0.000301738 +*RES +1 *22570:HI[408] *2225:20 35.428 +2 *2225:20 *23320:B 21.4246 +3 *2225:20 *5947:DIODE 9.24915 +*END + +*D_NET *2226 0.0147977 +*CONN +*I *23321:B I *D sky130_fd_sc_hd__and2_1 +*I *5949:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[409] O *D mprj_logic_high +*CAP +1 *23321:B 4.51577e-05 +2 *5949:DIODE 0.000221776 +3 *22570:HI[409] 0.00277177 +4 *2226:33 0.00303871 +5 *2226:33 *2332:34 6.21462e-05 +6 *2226:33 *2367:8 0.000335732 +7 *2226:33 *2426:26 0.000368631 +8 *2226:33 *2620:19 0.000363103 +9 *6201:DIODE *23321:B 8.67924e-06 +10 *1149:40 *5949:DIODE 1.75682e-05 +11 *1157:27 *23321:B 5.0715e-05 +12 *1566:10 *2226:33 0.00011557 +13 *1568:9 *2226:33 7.77309e-06 +14 *1695:9 *5949:DIODE 2.14679e-05 +15 *1695:9 *23321:B 2.60879e-06 +16 *1695:9 *2226:33 0 +17 *1968:43 *2226:33 0.00338824 +18 *1980:34 *23321:B 0.000118166 +19 *1989:52 *2226:33 1.57386e-05 +20 *2081:20 *2226:33 6.44502e-05 +21 *2082:5 *2226:33 0.000168029 +22 *2086:18 *2226:33 0.000737369 +23 *2090:7 *5949:DIODE 2.96931e-05 +24 *2090:7 *2226:33 0.000217307 +25 *2096:24 *2226:33 0.000178149 +26 *2224:23 *2226:33 0.00125161 +27 *2225:20 *2226:33 0.00119756 +*RES +1 *22570:HI[409] *2226:33 42.1419 +2 *2226:33 *5949:DIODE 17.865 +3 *2226:33 *23321:B 15.9845 +*END + +*D_NET *2227 0.00425828 +*CONN +*I *22526:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4995:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[40] O *D mprj_logic_high +*CAP +1 *22526:TE 0.000121478 +2 *4995:DIODE 0 +3 *22570:HI[40] 0.00118634 +4 *2227:13 0.00130782 +5 *22526:TE *2344:30 2.81181e-05 +6 *2227:13 *2388:14 1.8949e-05 +7 *1163:27 *2227:13 0.000738863 +8 *1406:9 *2227:13 0.000736072 +9 *1948:67 *2227:13 1.82632e-05 +10 *2123:20 *2227:13 0.00010238 +*RES +1 *22570:HI[40] *2227:13 42.66 +2 *2227:13 *4995:DIODE 9.24915 +3 *2227:13 *22526:TE 11.5158 +*END + +*D_NET *2228 0.0169937 +*CONN +*I *5953:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23323:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[410] O *D mprj_logic_high +*CAP +1 *5953:DIODE 6.26522e-05 +2 *23323:B 0 +3 *22570:HI[410] 0.00291241 +4 *2228:29 0.00297507 +5 *5953:DIODE *23323:A 2.56818e-05 +6 *2228:29 *2229:21 0.00210108 +7 *2228:29 *2237:27 0.000186543 +8 *2228:29 *2332:34 8.41266e-06 +9 *2228:29 *3140:15 0.0021144 +10 *1157:27 *5953:DIODE 7.66165e-05 +11 *1175:56 *2228:29 0.000133021 +12 *1570:5 *5953:DIODE 0.000167701 +13 *1980:34 *5953:DIODE 7.68538e-06 +14 *1980:38 *5953:DIODE 0.000216954 +15 *1996:20 *2228:29 0.00234657 +16 *2029:30 *2228:29 0.000280242 +17 *2041:19 *2228:29 1.0865e-05 +18 *2059:20 *2228:29 0.00141929 +19 *2089:19 *2228:29 5.51377e-06 +20 *2091:10 *2228:29 0.000298327 +21 *2094:5 *2228:29 0 +22 *2118:10 *2228:29 0 +23 *2118:13 *2228:29 6.56001e-05 +24 *2224:23 *2228:29 0.00157909 +*RES +1 *22570:HI[410] *2228:29 43.5514 +2 *2228:29 *23323:B 9.24915 +3 *2228:29 *5953:DIODE 12.9385 +*END + +*D_NET *2229 0.0212247 +*CONN +*I *23324:B I *D sky130_fd_sc_hd__and2_1 +*I *5955:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[411] O *D mprj_logic_high +*CAP +1 *23324:B 9.51502e-05 +2 *5955:DIODE 0 +3 *22570:HI[411] 0.00371867 +4 *2229:21 0.00381382 +5 *23324:B *23324:A 0.000122378 +6 *23324:B *3239:26 0 +7 *2229:21 *2230:24 0.00485463 +8 *2229:21 *2235:35 0.000723851 +9 *2229:21 *2332:34 0.000116084 +10 *2229:21 *2382:40 0.00374865 +11 *2229:21 *2393:70 5.20388e-05 +12 *2229:21 *2616:22 0.000284837 +13 *2229:21 *3140:15 0.000202304 +14 *2229:21 *3319:6 0 +15 *2229:21 *3417:35 0.000269622 +16 *2229:21 *3417:43 0.000849532 +17 *6211:DIODE *23324:B 0 +18 *1150:9 *2229:21 0 +19 *1156:27 *23324:B 4.33819e-05 +20 *2089:19 *2229:21 4.26518e-06 +21 *2140:8 *23324:B 0.000224395 +22 *2228:29 *2229:21 0.00210108 +*RES +1 *22570:HI[411] *2229:21 29.2424 +2 *2229:21 *5955:DIODE 13.7491 +3 *2229:21 *23324:B 17.5531 +*END + +*D_NET *2230 0.0243007 +*CONN +*I *23325:B I *D sky130_fd_sc_hd__and2_1 +*I *5957:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[412] O *D mprj_logic_high +*CAP +1 *23325:B 5.80272e-05 +2 *5957:DIODE 9.82773e-05 +3 *22570:HI[412] 0.00350903 +4 *2230:24 0.00366533 +5 *5957:DIODE *2771:8 5.45571e-05 +6 *23325:B *5956:DIODE 1.07248e-05 +7 *23325:B *2771:8 7.5271e-05 +8 *2230:24 *2232:19 0.00435902 +9 *2230:24 *2233:23 0.00454618 +10 *2230:24 *2332:34 6.21462e-05 +11 *2230:24 *2382:40 0.000831182 +12 *2230:24 *2616:22 5.44212e-06 +13 *2230:24 *2617:20 0.000548298 +14 *2230:24 *2764:11 5.12229e-05 +15 *1150:9 *2230:24 1.4996e-05 +16 *1152:44 *23325:B 0 +17 *1159:39 *2230:24 6.1438e-05 +18 *2041:19 *2230:24 0.00138095 +19 *2044:19 *2230:24 4.13988e-05 +20 *2089:19 *2230:24 3.27616e-06 +21 *2092:30 *5957:DIODE 6.92705e-05 +22 *2229:21 *2230:24 0.00485463 +*RES +1 *22570:HI[412] *2230:24 37.2214 +2 *2230:24 *5957:DIODE 15.6817 +3 *2230:24 *23325:B 15.3735 +*END + +*D_NET *2231 0.0232128 +*CONN +*I *5959:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23326:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[413] O *D mprj_logic_high +*CAP +1 *5959:DIODE 6.65294e-06 +2 *23326:B 0.000110678 +3 *22570:HI[413] 0.00358706 +4 *2231:16 0.0037044 +5 *23326:B *5460:DIODE 9.56182e-06 +6 *23326:B *5958:DIODE 1.86732e-05 +7 *23326:B *2624:15 6.36477e-05 +8 *23326:B *2625:9 6.64392e-05 +9 *23326:B *2772:8 3.5534e-06 +10 *2231:16 *2232:19 2.65652e-05 +11 *2231:16 *2233:23 0.00365408 +12 *2231:16 *2332:34 5.0476e-05 +13 *2231:16 *2382:40 0.00613245 +14 *2231:16 *2617:20 0.00407692 +15 *2231:16 *2901:20 0 +16 *2231:16 *2912:21 0.000380844 +17 *1150:9 *2231:16 0.00115765 +18 *1573:9 *5959:DIODE 1.91391e-05 +19 *1573:9 *23326:B 6.1096e-05 +20 *1701:13 *5959:DIODE 1.10793e-05 +21 *1701:13 *23326:B 5.74984e-05 +22 *2089:19 *2231:16 1.43566e-05 +*RES +1 *22570:HI[413] *2231:16 26.7522 +2 *2231:16 *23326:B 16.9274 +3 *2231:16 *5959:DIODE 14.1278 +*END + +*D_NET *2232 0.027442 +*CONN +*I *5961:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23327:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[414] O *D mprj_logic_high +*CAP +1 *5961:DIODE 5.0469e-05 +2 *23327:B 0 +3 *22570:HI[414] 0.00421428 +4 *2232:19 0.00426474 +5 *5961:DIODE *23327:A 4.75147e-05 +6 *2232:19 *5960:DIODE 2.01002e-05 +7 *2232:19 *2233:23 0.00426645 +8 *2232:19 *2237:27 0.0019546 +9 *2232:19 *2332:34 1.498e-05 +10 *2232:19 *2393:70 2.02035e-05 +11 *2232:19 *2482:40 0.00122777 +12 *2232:19 *2617:20 1.53914e-05 +13 *2232:19 *2901:20 0.000401927 +14 *2232:19 *3195:20 0.00309547 +15 *2232:19 *3239:21 0.000710433 +16 *2232:19 *3239:27 0.000128579 +17 *2232:19 *3295:21 0.00013329 +18 *1154:28 *5961:DIODE 0.000271058 +19 *1156:25 *2232:19 0.000124658 +20 *1574:5 *5961:DIODE 0.000169041 +21 *1991:30 *2232:19 0.001651 +22 *2089:19 *2232:19 5.30445e-05 +23 *2122:24 *2232:19 0.000114604 +24 *2140:8 *2232:19 0.00010677 +25 *2230:24 *2232:19 0.00435902 +26 *2231:16 *2232:19 2.65652e-05 +*RES +1 *22570:HI[414] *2232:19 37.5243 +2 *2232:19 *23327:B 9.24915 +3 *2232:19 *5961:DIODE 12.7697 +*END + +*D_NET *2233 0.0287935 +*CONN +*I *23328:B I *D sky130_fd_sc_hd__and2_1 +*I *5963:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[415] O *D mprj_logic_high +*CAP +1 *23328:B 6.61634e-05 +2 *5963:DIODE 0 +3 *22570:HI[415] 0.00305058 +4 *2233:23 0.00311674 +5 *23328:B *3439:33 8.39223e-05 +6 *2233:23 *2235:35 0.00250484 +7 *2233:23 *2332:34 0.000116084 +8 *2233:23 *2349:50 0.000142944 +9 *2233:23 *2393:70 0.00395348 +10 *2233:23 *2482:40 0.00223508 +11 *2233:23 *2901:20 3.2389e-06 +12 *2233:23 *3195:20 3.48623e-05 +13 *2233:23 *3406:49 0.000493934 +14 *1159:39 *2233:23 0.000307023 +15 *2092:30 *23328:B 0.000217951 +16 *2230:24 *2233:23 0.00454618 +17 *2231:16 *2233:23 0.00365408 +18 *2232:19 *2233:23 0.00426645 +*RES +1 *22570:HI[415] *2233:23 33.4548 +2 *2233:23 *5963:DIODE 9.24915 +3 *2233:23 *23328:B 12.2151 +*END + +*D_NET *2234 0.0289087 +*CONN +*I *5965:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23329:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[416] O *D mprj_logic_high +*CAP +1 *5965:DIODE 0 +2 *23329:B 0.000114924 +3 *22570:HI[416] 0.00544116 +4 *2234:25 0.00555609 +5 *23329:B *2371:13 4.78782e-05 +6 *23329:B *2479:39 0.000321918 +7 *2234:25 *2236:19 0.00852315 +8 *2234:25 *2239:13 9.56965e-05 +9 *2234:25 *2245:17 0.00263836 +10 *2234:25 *2257:21 0.000185751 +11 *2234:25 *2321:14 0.000811216 +12 *2234:25 *2332:34 0.000217714 +13 *2234:25 *2382:40 0.00109036 +14 *2234:25 *2393:49 0.000558123 +15 *2234:25 *2404:47 0.00017686 +16 *1151:46 *23329:B 0 +17 *1151:46 *2234:25 0 +18 *1167:9 *2234:25 5.09003e-05 +19 *1576:5 *23329:B 0.00022067 +20 *1970:57 *2234:25 0.000295004 +21 *2022:22 *2234:25 0.00138748 +22 *2048:28 *2234:25 0.000107671 +23 *2050:17 *2234:25 6.21462e-05 +24 *2053:18 *2234:25 0.000277899 +25 *2089:19 *2234:25 3.62392e-05 +26 *2119:34 *2234:25 0.000164926 +27 *2121:27 *2234:25 0.000463765 +28 *2135:24 *2234:25 6.2767e-05 +*RES +1 *22570:HI[416] *2234:25 35.4679 +2 *2234:25 *23329:B 18.8258 +3 *2234:25 *5965:DIODE 13.7491 +*END + +*D_NET *2235 0.0327923 +*CONN +*I *5967:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23330:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[417] O *D mprj_logic_high +*CAP +1 *5967:DIODE 0 +2 *23330:B 0.000436243 +3 *22570:HI[417] 0.00492915 +4 *2235:36 0.000523231 +5 *2235:35 0.00501614 +6 *23330:B *5966:DIODE 3.14962e-05 +7 *23330:B *2912:21 4.88764e-06 +8 *23330:B *3329:21 0.000114584 +9 *2235:35 *2237:27 0.000196992 +10 *2235:35 *2240:28 2.87132e-05 +11 *2235:35 *2242:23 3.74499e-05 +12 *2235:35 *2243:23 0.000353291 +13 *2235:35 *2246:25 6.21462e-05 +14 *2235:35 *2268:28 0.00117114 +15 *2235:35 *2332:34 0.00056694 +16 *2235:35 *2349:50 3.21704e-05 +17 *2235:35 *2393:49 0.00133627 +18 *2235:35 *2393:70 0.000803973 +19 *2235:35 *2482:40 3.26728e-05 +20 *2235:35 *2490:30 0.00118578 +21 *2235:35 *2615:21 0.000245442 +22 *2235:35 *2899:27 0.00394391 +23 *2235:35 *2903:28 0.000785312 +24 *2235:35 *3195:14 0.000673807 +25 *2235:35 *3319:6 7.60356e-05 +26 *2235:35 *3406:40 0.000115848 +27 *2235:35 *3406:49 0.000493934 +28 *2235:35 *3417:35 0.00324844 +29 *2235:35 *3417:43 0.000188984 +30 *2235:36 *2491:37 0.000663024 +31 *2235:36 *3406:33 0.000673666 +32 *4253:DIODE *23330:B 6.50727e-05 +33 *23208:A *23330:B 0.000147053 +34 *1156:22 *23330:B 3.12609e-05 +35 *1711:8 *23330:B 4.45885e-05 +36 *1932:68 *2235:35 0.000454452 +37 *1945:22 *2235:35 0.000144636 +38 *2089:19 *2235:35 4.14053e-05 +39 *2092:30 *2235:35 0.00012601 +40 *2092:46 *2235:35 0.000511585 +41 *2118:13 *2235:35 2.59037e-05 +42 *2229:21 *2235:35 0.000723851 +43 *2233:23 *2235:35 0.00250484 +*RES +1 *22570:HI[417] *2235:35 44.8202 +2 *2235:35 *2235:36 7.37864 +3 *2235:36 *23330:B 28.0765 +4 *2235:36 *5967:DIODE 9.24915 +*END + +*D_NET *2236 0.0362064 +*CONN +*I *23331:B I *D sky130_fd_sc_hd__and2_1 +*I *5969:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[418] O *D mprj_logic_high +*CAP +1 *23331:B 0 +2 *5969:DIODE 4.3891e-05 +3 *22570:HI[418] 0.00479929 +4 *2236:19 0.00484318 +5 *5969:DIODE *23331:A 4.31603e-06 +6 *5969:DIODE *2778:15 0.000278114 +7 *2236:19 *2238:8 0 +8 *2236:19 *2239:13 0.00804846 +9 *2236:19 *2279:28 0.00173047 +10 *2236:19 *2349:50 0.000426843 +11 *2236:19 *2382:40 0.00421293 +12 *2236:19 *2489:21 0.000576034 +13 *2236:19 *2622:23 0.000586503 +14 *1154:17 *5969:DIODE 4.82966e-05 +15 *1165:15 *2236:19 0.000745795 +16 *1578:5 *5969:DIODE 0.000171899 +17 *1704:10 *2236:19 4.19401e-06 +18 *2085:26 *2236:19 0.000319379 +19 *2089:19 *2236:19 3.24279e-05 +20 *2137:24 *2236:19 0.000811216 +21 *2234:25 *2236:19 0.00852315 +*RES +1 *22570:HI[418] *2236:19 39.8475 +2 *2236:19 *5969:DIODE 12.7697 +3 *2236:19 *23331:B 9.24915 +*END + +*D_NET *2237 0.0243893 +*CONN +*I *23332:B I *D sky130_fd_sc_hd__and2_1 +*I *5971:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[419] O *D mprj_logic_high +*CAP +1 *23332:B 0 +2 *5971:DIODE 5.10113e-05 +3 *22570:HI[419] 0.00432193 +4 *2237:27 0.00437294 +5 *5971:DIODE *23332:A 4.80635e-06 +6 *5971:DIODE *2779:21 0.000273845 +7 *5971:DIODE *3461:31 3.99701e-05 +8 *2237:27 *2258:25 0.00158416 +9 *2237:27 *2332:34 0.0003992 +10 *2237:27 *2901:20 0.000336126 +11 *2237:27 *3339:23 0.000649701 +12 *2237:27 *3350:47 0.00473209 +13 *2237:27 *3384:23 0.000739671 +14 *2237:27 *3384:29 0.0024009 +15 *2237:27 *3461:31 0.000168729 +16 *1172:11 *2237:27 0.000208744 +17 *1579:5 *5971:DIODE 0.000175485 +18 *2047:29 *2237:27 0.000994662 +19 *2089:19 *2237:27 1.927e-05 +20 *2091:10 *2237:27 0.000577963 +21 *2228:29 *2237:27 0.000186543 +22 *2232:19 *2237:27 0.0019546 +23 *2235:35 *2237:27 0.000196992 +*RES +1 *22570:HI[419] *2237:27 32.3061 +2 *2237:27 *5971:DIODE 12.7697 +3 *2237:27 *23332:B 9.24915 +*END + +*D_NET *2238 0.00532501 +*CONN +*I *22527:TE I *D sky130_fd_sc_hd__einvp_8 +*I *4997:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[41] O *D mprj_logic_high +*CAP +1 *22527:TE 1.51707e-05 +2 *4997:DIODE 0 +3 *22570:HI[41] 0.000994875 +4 *2238:8 0.00101005 +5 *22527:TE *2318:18 0.000116764 +6 *2238:8 *22471:A_N 0.000459727 +7 *2238:8 *2239:13 1.70918e-05 +8 *2238:8 *2318:18 0.00135969 +9 *2238:8 *2329:37 0.000107496 +10 *2238:8 *2335:34 0 +11 *1936:70 *2238:8 0.000213037 +12 *1946:46 *22527:TE 4.48986e-05 +13 *1946:46 *2238:8 0.000126194 +14 *2089:19 *2238:8 0.00086002 +15 *2236:19 *2238:8 0 +*RES +1 *22570:HI[41] *2238:8 38.6226 +2 *2238:8 *4997:DIODE 9.24915 +3 *2238:8 *22527:TE 10.5271 +*END + +*D_NET *2239 0.0361956 +*CONN +*I *5975:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23334:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[420] O *D mprj_logic_high +*CAP +1 *5975:DIODE 7.13424e-05 +2 *23334:B 7.09305e-05 +3 *22570:HI[420] 0.00439581 +4 *2239:13 0.00453809 +5 *5975:DIODE *5974:DIODE 6.75063e-06 +6 *5975:DIODE *2252:27 8.5426e-05 +7 *5975:DIODE *2781:8 2.14842e-06 +8 *23334:B *5974:DIODE 8.72221e-06 +9 *23334:B *2252:27 0.000245253 +10 *23334:B *2781:8 3.5534e-06 +11 *2239:13 *2240:28 3.91668e-05 +12 *2239:13 *2241:28 0.000146994 +13 *2239:13 *2244:19 0.0104201 +14 *2239:13 *2490:20 0.00280909 +15 *2239:13 *2905:20 0.00022905 +16 *2239:13 *3350:18 0.00063608 +17 *1984:33 *2239:13 0.000281308 +18 *1985:49 *23334:B 0 +19 *1988:32 *2239:13 0.002639 +20 *1996:32 *5975:DIODE 0.000111618 +21 *1996:32 *23334:B 0.000230954 +22 *2070:34 *2239:13 0.000206449 +23 *2089:19 *2239:13 5.82559e-05 +24 *2101:32 *2239:13 0.000737294 +25 *2119:34 *2239:13 8.66643e-06 +26 *2121:27 *2239:13 5.22689e-05 +27 *2234:25 *2239:13 9.56965e-05 +28 *2236:19 *2239:13 0.00804846 +29 *2238:8 *2239:13 1.70918e-05 +*RES +1 *22570:HI[420] *2239:13 30.5793 +2 *2239:13 *23334:B 18.2294 +3 *2239:13 *5975:DIODE 18.0764 +*END + +*D_NET *2240 0.0379541 +*CONN +*I *5977:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23335:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[421] O *D mprj_logic_high +*CAP +1 *5977:DIODE 5.04093e-05 +2 *23335:B 0 +3 *22570:HI[421] 0.00568983 +4 *2240:33 0.00011894 +5 *2240:28 0.00575836 +6 *2240:28 *2241:28 0.00583383 +7 *2240:28 *2242:23 0.00801835 +8 *2240:28 *2244:19 0.0034699 +9 *2240:28 *2245:17 0.000675659 +10 *2240:28 *2247:19 0.000373787 +11 *2240:28 *2266:8 0.000188263 +12 *2240:28 *2267:19 0.000600844 +13 *2240:28 *2269:29 2.1203e-06 +14 *2240:28 *2288:7 3.7113e-05 +15 *2240:28 *2289:17 8.86025e-05 +16 *22505:A *2240:33 2.14842e-06 +17 *1171:9 *2240:28 0.00236787 +18 *1582:5 *5977:DIODE 0.00032044 +19 *1967:28 *5977:DIODE 0.000419531 +20 *1988:26 *2240:28 0.000204778 +21 *1992:12 *2240:28 0.000517414 +22 *2036:19 *2240:28 2.92163e-05 +23 *2048:19 *2240:28 0.00143612 +24 *2087:46 *2240:28 0.00142419 +25 *2100:38 *5977:DIODE 4.58907e-05 +26 *2119:26 *2240:28 0.000212654 +27 *2235:35 *2240:28 2.87132e-05 +28 *2239:13 *2240:28 3.91668e-05 +*RES +1 *22570:HI[421] *2240:28 46.8117 +2 *2240:28 *2240:33 9.0779 +3 *2240:33 *23335:B 9.24915 +4 *2240:33 *5977:DIODE 13.8548 +*END + +*D_NET *2241 0.0341864 +*CONN +*I *5979:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23336:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[422] O *D mprj_logic_high +*CAP +1 *5979:DIODE 1.66734e-05 +2 *23336:B 0.000177478 +3 *22570:HI[422] 0.0024267 +4 *2241:38 0.00274588 +5 *2241:28 0.00497843 +6 *2241:28 *2242:23 9.51089e-06 +7 *2241:28 *2244:19 0.00715171 +8 *2241:28 *2262:11 0.000204778 +9 *2241:28 *2270:11 3.00505e-05 +10 *2241:28 *2271:8 4.60607e-05 +11 *2241:28 *2287:8 5.84166e-05 +12 *2241:38 *2243:23 0.00157242 +13 *2241:38 *2273:15 4.88764e-06 +14 *2241:38 *2289:17 6.02809e-05 +15 *2241:38 *2290:8 0.00017004 +16 *2241:38 *2332:34 0.00157242 +17 *2241:38 *2460:33 0.00277567 +18 *2241:38 *3350:47 0.00202044 +19 *1159:18 *23336:B 0.000358568 +20 *1159:18 *2241:38 2.85396e-05 +21 *1171:15 *2241:28 0.000204778 +22 *1984:33 *2241:38 0.000244155 +23 *1988:26 *2241:28 0.000162703 +24 *1991:30 *23336:B 0.000361745 +25 *1991:30 *2241:38 5.76913e-05 +26 *2036:20 *2241:28 0.000349354 +27 *2081:27 *2241:38 0.000103417 +28 *2101:32 *2241:28 6.95171e-05 +29 *2119:26 *2241:28 0.000188263 +30 *2119:34 *2241:28 5.49916e-05 +31 *2239:13 *2241:28 0.000146994 +32 *2240:28 *2241:28 0.00583383 +*RES +1 *22570:HI[422] *2241:28 45.3304 +2 *2241:28 *2241:38 20.082 +3 *2241:38 *23336:B 18.4206 +4 *2241:38 *5979:DIODE 17.4965 +*END + +*D_NET *2242 0.0407983 +*CONN +*I *5981:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23337:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[423] O *D mprj_logic_high +*CAP +1 *5981:DIODE 0 +2 *23337:B 2.94316e-05 +3 *22570:HI[423] 0.00514039 +4 *2242:23 0.00516982 +5 *23337:B *2256:38 0.000239614 +6 *23337:B *2785:11 0.000277502 +7 *2242:23 *2243:23 0.012707 +8 *2242:23 *2244:19 2.85178e-06 +9 *2242:23 *2266:23 0.000429771 +10 *2242:23 *2325:10 0.000165394 +11 *2242:23 *2492:28 0.000148618 +12 *2242:23 *3350:18 0.000277899 +13 *2242:23 *3384:23 0.00271526 +14 *2242:23 *3428:29 5.76913e-05 +15 *1171:9 *2242:23 0.000749888 +16 *1174:26 *2242:23 6.98716e-05 +17 *1712:8 *2242:23 4.69495e-06 +18 *1984:33 *2242:23 0.0010552 +19 *2036:19 *2242:23 0.000116084 +20 *2124:33 *2242:23 0.00318782 +21 *2153:26 *2242:23 0.000188263 +22 *2235:35 *2242:23 3.74499e-05 +23 *2240:28 *2242:23 0.00801835 +24 *2241:28 *2242:23 9.51089e-06 +*RES +1 *22570:HI[423] *2242:23 38.824 +2 *2242:23 *23337:B 12.191 +3 *2242:23 *5981:DIODE 9.24915 +*END + +*D_NET *2243 0.0423609 +*CONN +*I *5983:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23338:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[424] O *D mprj_logic_high +*CAP +1 *5983:DIODE 0 +2 *23338:B 0.000247279 +3 *22570:HI[424] 0.00608718 +4 *2243:23 0.00633446 +5 *23338:B *23338:A 3.5534e-06 +6 *23338:B *2500:34 7.09666e-06 +7 *23338:B *3461:30 0.000264614 +8 *2243:23 *2246:25 0 +9 *2243:23 *2248:26 0.000124592 +10 *2243:23 *2251:25 0.000155803 +11 *2243:23 *2252:27 3.66989e-05 +12 *2243:23 *2254:35 3.6211e-05 +13 *2243:23 *2255:19 1.38402e-05 +14 *2243:23 *2256:25 1.93857e-05 +15 *2243:23 *2257:21 0.000101372 +16 *2243:23 *2258:25 3.6211e-05 +17 *2243:23 *2261:21 0.000507077 +18 *2243:23 *2265:23 6.39905e-05 +19 *2243:23 *2267:19 3.13684e-05 +20 *2243:23 *2268:28 0.000796418 +21 *2243:23 *2269:29 0.000110852 +22 *2243:23 *2270:12 0.000708853 +23 *2243:23 *2270:23 0.000196992 +24 *2243:23 *2270:24 0.000409555 +25 *2243:23 *2272:10 0.000847207 +26 *2243:23 *2272:16 0.000252038 +27 *2243:23 *2274:24 0.000116084 +28 *2243:23 *2275:10 0.000135223 +29 *2243:23 *2276:18 3.6211e-05 +30 *2243:23 *2277:22 8.15662e-05 +31 *2243:23 *2278:13 6.21462e-05 +32 *2243:23 *2279:28 0.00108019 +33 *2243:23 *2325:10 0.000212654 +34 *2243:23 *2332:15 0.000214726 +35 *2243:23 *2332:34 0.000833388 +36 *2243:23 *2504:42 0.00286419 +37 *2243:23 *2615:21 1.69772e-05 +38 *2243:23 *2924:35 0.000241411 +39 *2243:23 *2925:17 0.00232024 +40 *2243:23 *3406:22 0 +41 *1151:30 *2243:23 1.49935e-05 +42 *1169:43 *2243:23 0.000231712 +43 *1171:9 *2243:23 0.000123579 +44 *1174:26 *2243:23 5.69128e-05 +45 *1326:11 *2243:23 5.46889e-05 +46 *1715:8 *23338:B 1.99996e-05 +47 *1945:22 *2243:23 0.000393819 +48 *2097:14 *2243:23 0.000225771 +49 *2111:39 *2243:23 6.41108e-05 +50 *2121:27 *2243:23 0.000497298 +51 *2153:20 *2243:23 0.000242184 +52 *2153:26 *2243:23 0.000195504 +53 *2235:35 *2243:23 0.000353291 +54 *2241:38 *2243:23 0.00157242 +55 *2242:23 *2243:23 0.012707 +*RES +1 *22570:HI[424] *2243:23 41.5152 +2 *2243:23 *23338:B 22.6077 +3 *2243:23 *5983:DIODE 9.24915 +*END + +*D_NET *2244 0.046344 +*CONN +*I *5985:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23339:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[425] O *D mprj_logic_high +*CAP +1 *5985:DIODE 4.41558e-05 +2 *23339:B 0 +3 *22570:HI[425] 0.00633732 +4 *2244:19 0.00638147 +5 *5985:DIODE *2253:44 6.50727e-05 +6 *5985:DIODE *2494:35 0.000260263 +7 *5985:DIODE *2787:9 0.000161252 +8 *2244:19 *2245:17 2.41577e-05 +9 *2244:19 *2491:36 1.5714e-05 +10 *2244:19 *2631:16 1.05746e-05 +11 *2244:19 *2908:28 0.00405981 +12 *2244:19 *2925:17 6.03122e-05 +13 *2244:19 *3417:35 0.00406713 +14 *1138:22 *5985:DIODE 1.41853e-05 +15 *1158:23 *2244:19 6.47951e-05 +16 *1171:9 *2244:19 0.00133391 +17 *1171:15 *2244:19 0.000176586 +18 *1988:32 *2244:19 0.00150086 +19 *2036:20 *2244:19 0.000661576 +20 *2122:39 *2244:19 6.03122e-05 +21 *2239:13 *2244:19 0.0104201 +22 *2240:28 *2244:19 0.0034699 +23 *2241:28 *2244:19 0.00715171 +24 *2242:23 *2244:19 2.85178e-06 +*RES +1 *22570:HI[425] *2244:19 45.9524 +2 *2244:19 *23339:B 9.24915 +3 *2244:19 *5985:DIODE 12.191 +*END + +*D_NET *2245 0.0360259 +*CONN +*I *5987:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23340:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[426] O *D mprj_logic_high +*CAP +1 *5987:DIODE 0 +2 *23340:B 9.59512e-05 +3 *22570:HI[426] 0.00612079 +4 *2245:17 0.00621675 +5 *23340:B *23340:A 7.3519e-06 +6 *23340:B *2782:8 7.05604e-05 +7 *2245:17 *2247:19 0.00699291 +8 *2245:17 *2267:19 0.000606389 +9 *2245:17 *2319:8 0.000661576 +10 *2245:17 *2337:10 0.000661576 +11 *2245:17 *2340:10 0.000608222 +12 *2245:17 *2490:20 0.00043313 +13 *2245:17 *2782:8 1.00004e-05 +14 *2245:17 *3045:22 0 +15 *2245:17 *3184:6 0 +16 *1147:22 *2245:17 0.00163063 +17 *1153:32 *23340:B 2.77564e-05 +18 *1153:32 *2245:17 1.91246e-05 +19 *1153:33 *23340:B 2.57986e-05 +20 *1167:9 *2245:17 0.00119752 +21 *1172:11 *23340:B 0.000215844 +22 *1175:36 *2245:17 0.0016283 +23 *2053:19 *2245:17 0 +24 *2088:33 *2245:17 0.00206535 +25 *2119:34 *2245:17 0.00339223 +26 *2234:25 *2245:17 0.00263836 +27 *2240:28 *2245:17 0.000675659 +28 *2244:19 *2245:17 2.41577e-05 +*RES +1 *22570:HI[426] *2245:17 40.1415 +2 *2245:17 *23340:B 17.4687 +3 *2245:17 *5987:DIODE 13.7491 +*END + +*D_NET *2246 0.0343732 +*CONN +*I *5989:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23341:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[427] O *D mprj_logic_high +*CAP +1 *5989:DIODE 4.88642e-05 +2 *23341:B 0 +3 *22570:HI[427] 0.00529318 +4 *2246:25 0.00534205 +5 *5989:DIODE *2256:46 0.000277502 +6 *5989:DIODE *2477:9 2.82583e-05 +7 *2246:25 *2253:28 0.000466683 +8 *2246:25 *2254:35 0.000862656 +9 *2246:25 *2276:18 1.45203e-05 +10 *2246:25 *2278:14 0.00214919 +11 *2246:25 *2332:34 6.21462e-05 +12 *2246:25 *2426:26 0.000419883 +13 *2246:25 *2504:42 2.22014e-05 +14 *2246:25 *2620:19 0.000403655 +15 *2246:25 *2903:28 0 +16 *2246:25 *3262:20 0.000790313 +17 *2246:25 *3350:47 0.000898189 +18 *2246:25 *3406:22 0.00262001 +19 *2246:25 *3428:29 5.76799e-05 +20 *1149:31 *2246:25 1.1573e-05 +21 *1174:26 *2246:25 6.98716e-05 +22 *1588:5 *5989:DIODE 0.000175485 +23 *1955:28 *2246:25 4.45853e-05 +24 *1983:26 *2246:25 0 +25 *2069:29 *2246:25 0.00138788 +26 *2117:14 *2246:25 0.0127233 +27 *2136:37 *2246:25 0.000141359 +28 *2235:35 *2246:25 6.21462e-05 +29 *2243:23 *2246:25 0 +*RES +1 *22570:HI[427] *2246:25 39.4914 +2 *2246:25 *23341:B 9.24915 +3 *2246:25 *5989:DIODE 12.7697 +*END + +*D_NET *2247 0.0427159 +*CONN +*I *5991:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23342:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[428] O *D mprj_logic_high +*CAP +1 *5991:DIODE 4.88642e-05 +2 *23342:B 0 +3 *22570:HI[428] 0.004707 +4 *2247:25 0.000815209 +5 *2247:19 0.00547334 +6 *2247:19 *2249:7 0 +7 *2247:19 *2287:8 8.43784e-05 +8 *2247:19 *2288:7 0.000238186 +9 *2247:19 *2335:17 0.00386483 +10 *2247:19 *3045:22 0.000335512 +11 *2247:19 *3184:6 9.64542e-05 +12 *2247:25 *2252:27 0.00222193 +13 *2247:25 *2790:20 0.000140018 +14 *1148:25 *5991:DIODE 0.000277502 +15 *1167:9 *2247:19 0.00695487 +16 *1326:11 *2247:25 0 +17 *1589:5 *5991:DIODE 0.000175485 +18 *1980:48 *5991:DIODE 2.82583e-05 +19 *1996:32 *2247:25 0.00221735 +20 *2048:19 *2247:19 0.00143612 +21 *2088:33 *2247:19 0 +22 *2110:37 *2247:19 0.00238219 +23 *2123:20 *2247:19 0.0038517 +24 *2240:28 *2247:19 0.000373787 +25 *2245:17 *2247:19 0.00699291 +*RES +1 *22570:HI[428] *2247:19 47.1657 +2 *2247:19 *2247:25 14.6407 +3 *2247:25 *23342:B 9.24915 +4 *2247:25 *5991:DIODE 12.7697 +*END + +*D_NET *2248 0.0351494 +*CONN +*I *5993:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23343:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[429] O *D mprj_logic_high +*CAP +1 *5993:DIODE 0.000321258 +2 *23343:B 0.00021954 +3 *22570:HI[429] 0.00100239 +4 *2248:29 0.00126786 +5 *2248:26 0.00296475 +6 *2248:24 0.00229031 +7 *2248:18 0.00105501 +8 *5993:DIODE *3187:6 0 +9 *23343:B *2916:22 0.000190339 +10 *23343:B *2933:27 6.50727e-05 +11 *2248:18 *2259:16 0.00200916 +12 *2248:18 *2264:14 5.28741e-05 +13 *2248:18 *2264:16 0 +14 *2248:18 *2265:23 0.000779329 +15 *2248:24 *2259:16 0 +16 *2248:24 *2259:18 7.94337e-06 +17 *2248:26 *2262:16 8.27309e-05 +18 *2248:26 *2273:18 0.00255146 +19 *2248:26 *2273:20 0.00122107 +20 *2248:26 *2275:10 0.0133448 +21 *2248:26 *2332:34 0.00060649 +22 *2248:26 *2920:48 0.00184856 +23 *2248:29 *2916:22 0.000912509 +24 *1169:43 *2248:18 0.000834718 +25 *1169:43 *2248:24 2.32625e-05 +26 *1169:43 *2248:26 6.88361e-05 +27 *1590:8 *23343:B 1.05272e-06 +28 *1932:68 *2248:18 0.00016553 +29 *1963:22 *23343:B 6.92705e-05 +30 *2080:49 *5993:DIODE 0.000195133 +31 *2080:49 *23343:B 0 +32 *2080:49 *2248:29 0 +33 *2097:14 *2248:18 0.000126814 +34 *2097:14 *2248:26 0.000343281 +35 *2112:24 *5993:DIODE 0.00031994 +36 *2115:26 *2248:26 8.35012e-05 +37 *2243:23 *2248:26 0.000124592 +*RES +1 *22570:HI[429] *2248:18 49.9014 +2 *2248:18 *2248:24 2.43543 +3 *2248:24 *2248:26 146.03 +4 *2248:26 *2248:29 22.9421 +5 *2248:29 *23343:B 18.7961 +6 *2248:29 *5993:DIODE 30.5691 +*END + +*D_NET *2249 0.00743839 +*CONN +*I *22538:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5019:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[42] O *D mprj_logic_high +*CAP +1 *22538:TE 9.60289e-06 +2 *5019:DIODE 0 +3 *22570:HI[42] 0.00109873 +4 *2249:8 0.00026568 +5 *2249:7 0.00135481 +6 *22538:TE *2344:26 0.000159322 +7 *22538:TE *2434:7 0.000175485 +8 *2249:7 *2620:20 0 +9 *2249:7 *3184:6 0 +10 *2249:8 *2322:18 0.000133887 +11 *2249:8 *2324:20 0.000543566 +12 *2249:8 *2329:37 0.000623405 +13 *2249:8 *2344:26 0.00227965 +14 *2249:8 *2434:7 0.000428003 +15 *22467:B *2249:7 1.12969e-05 +16 *1932:71 *2249:7 0.000354956 +17 *2247:19 *2249:7 0 +*RES +1 *22570:HI[42] *2249:7 26.3862 +2 *2249:7 *2249:8 24.5714 +3 *2249:8 *5019:DIODE 9.24915 +4 *2249:8 *22538:TE 11.0817 +*END + +*D_NET *2250 0.0393139 +*CONN +*I *5743:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23218:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[430] O *D mprj_logic_high +*CAP +1 *5743:DIODE 0 +2 *23218:B 0.000214888 +3 *22570:HI[430] 0.000341185 +4 *2250:15 0.0022868 +5 *2250:13 0.00304367 +6 *2250:8 0.00394851 +7 *2250:7 0.00331793 +8 *23218:B *23218:A 2.16355e-05 +9 *23218:B *2255:26 6.50727e-05 +10 *23218:B *2255:34 0.00013689 +11 *23218:B *2477:14 0 +12 *23218:B *2791:6 1.76124e-05 +13 *2250:7 *2615:30 0.000134105 +14 *2250:8 *2307:8 0.00743532 +15 *2250:8 *2336:8 0.000161123 +16 *2250:8 *2340:10 0.0136511 +17 *2250:8 *2925:25 0.000259033 +18 *2250:13 *2491:12 0 +19 *2250:13 *3461:6 0 +20 *2250:15 *23348:A 0.000215135 +21 *2250:15 *2269:51 2.55536e-05 +22 *2250:15 *2491:12 0 +23 *2250:15 *2655:15 0 +24 *2250:15 *2791:6 0.000230858 +25 *2250:15 *3461:6 0 +26 *23346:B *23218:B 2.04792e-05 +27 *1147:22 *2250:15 0 +28 *1152:9 *23218:B 5.51483e-06 +29 *1167:9 *2250:8 0.000608222 +30 *1718:11 *2250:15 0.000598347 +31 *1932:71 *2250:7 0.000397125 +32 *1945:28 *2250:8 0.00154168 +33 *1954:25 *2250:15 0.000457163 +34 *1959:25 *2250:15 4.78996e-05 +35 *1961:24 *2250:15 5.21758e-06 +36 *1975:15 *2250:15 5.43067e-05 +37 *2085:26 *2250:8 5.58858e-05 +38 *2112:23 *2250:15 1.55653e-05 +*RES +1 *22570:HI[430] *2250:7 13.0982 +2 *2250:7 *2250:8 176.533 +3 *2250:8 *2250:13 24.0291 +4 *2250:13 *2250:15 46.7403 +5 *2250:15 *23218:B 19.6776 +6 *2250:15 *5743:DIODE 13.7491 +*END + +*D_NET *2251 0.0445989 +*CONN +*I *23219:B I *D sky130_fd_sc_hd__and2_1 +*I *5745:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[431] O *D mprj_logic_high +*CAP +1 *23219:B 0 +2 *5745:DIODE 6.96051e-05 +3 *22570:HI[431] 0.00503553 +4 *2251:28 8.19403e-05 +5 *2251:25 0.00504787 +6 *2251:25 *2252:27 0.00189057 +7 *2251:25 *2253:28 6.21462e-05 +8 *2251:25 *2255:19 0.00280299 +9 *2251:25 *2258:29 0.00980492 +10 *2251:25 *2415:26 0.00274521 +11 *2251:25 *2460:33 0.00292777 +12 *2251:25 *2501:34 6.23101e-05 +13 *2251:25 *2654:14 1.84334e-05 +14 *2251:25 *3195:14 4.45671e-05 +15 *2251:25 *3306:34 0.00232829 +16 *2251:25 *3384:23 0.00536854 +17 *2251:25 *3395:35 0.00574552 +18 *2251:25 *3461:12 0 +19 *1148:25 *5745:DIODE 2.34061e-05 +20 *1148:25 *2251:28 2.57986e-05 +21 *1466:5 *5745:DIODE 6.73022e-05 +22 *1980:48 *5745:DIODE 0.000267448 +23 *1980:48 *2251:28 2.29454e-05 +24 *2243:23 *2251:25 0.000155803 +*RES +1 *22570:HI[431] *2251:25 47.2298 +2 *2251:25 *2251:28 5.2234 +3 *2251:28 *5745:DIODE 12.7697 +4 *2251:28 *23219:B 9.24915 +*END + +*D_NET *2252 0.0447687 +*CONN +*I *23220:B I *D sky130_fd_sc_hd__and2_1 +*I *5747:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[432] O *D mprj_logic_high +*CAP +1 *23220:B 7.70005e-05 +2 *5747:DIODE 0 +3 *22570:HI[432] 0.0068377 +4 *2252:29 7.70005e-05 +5 *2252:27 0.0068377 +6 *23220:B *23220:A 2.65831e-05 +7 *2252:27 *2253:28 6.21462e-05 +8 *2252:27 *2254:35 2.5238e-05 +9 *2252:27 *2255:19 0.000277899 +10 *2252:27 *2256:25 0.00111737 +11 *2252:27 *2256:26 0.000581473 +12 *2252:27 *2257:21 8.80589e-05 +13 *2252:27 *2258:29 7.29731e-05 +14 *2252:27 *2415:26 0.000108446 +15 *2252:27 *2437:23 0.000139849 +16 *2252:27 *2460:33 0.00421374 +17 *2252:27 *2501:34 5.60804e-05 +18 *2252:27 *2502:12 1.94602e-05 +19 *2252:27 *2624:36 3.97501e-05 +20 *2252:27 *2790:20 0.000181147 +21 *2252:27 *3362:26 0.0017252 +22 *2252:27 *3362:32 0.00399988 +23 *2252:27 *3384:23 0.00512942 +24 *2252:27 *3395:35 0.00171934 +25 *5975:DIODE *2252:27 8.5426e-05 +26 *23334:B *2252:27 0.000245253 +27 *1146:34 *2252:27 0.000721597 +28 *1146:35 *2252:27 0.000166538 +29 *1148:25 *23220:B 0.000213725 +30 *1980:48 *23220:B 2.97176e-05 +31 *1985:40 *2252:27 0.000394803 +32 *1985:50 *2252:27 0.000250918 +33 *1996:20 *2252:27 0.000382466 +34 *1996:32 *2252:27 0.00213324 +35 *2084:15 *2252:27 0.000150677 +36 *2084:18 *2252:27 0.000181147 +37 *2097:14 *2252:27 0.000920026 +38 *2126:25 *2252:27 4.09995e-05 +39 *2134:19 *2252:27 0.00128947 +40 *2243:23 *2252:27 3.66989e-05 +41 *2247:25 *2252:27 0.00222193 +42 *2251:25 *2252:27 0.00189057 +*RES +1 *22570:HI[432] *2252:27 48.5429 +2 *2252:27 *2252:29 4.5 +3 *2252:29 *5747:DIODE 9.24915 +4 *2252:29 *23220:B 12.2151 +*END + +*D_NET *2253 0.0427935 +*CONN +*I *5749:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23221:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[433] O *D mprj_logic_high +*CAP +1 *5749:DIODE 2.97872e-05 +2 *23221:B 0 +3 *22570:HI[433] 0.00498014 +4 *2253:51 0.000453252 +5 *2253:44 0.00155905 +6 *2253:35 0.00338816 +7 *2253:28 0.00723272 +8 *5749:DIODE *2477:17 0.000271058 +9 *5749:DIODE *2917:15 0.000271058 +10 *2253:28 *2254:35 7.50991e-05 +11 *2253:28 *2255:19 0.000438874 +12 *2253:28 *2256:25 0.000733774 +13 *2253:28 *2258:25 0.000181079 +14 *2253:28 *2266:23 0.000301469 +15 *2253:28 *2267:19 0.00103891 +16 *2253:28 *2267:25 0.00150923 +17 *2253:28 *2415:26 0.000687692 +18 *2253:28 *2504:42 0.000392389 +19 *2253:28 *3195:14 0.00044698 +20 *2253:35 *6232:DIODE 5.8518e-05 +21 *2253:35 *2491:36 1.86229e-05 +22 *2253:35 *2628:38 0 +23 *2253:35 *2631:16 0 +24 *2253:35 *2643:14 0 +25 *2253:35 *2787:9 8.62625e-06 +26 *2253:44 *5477:DIODE 6.50586e-05 +27 *2253:44 *2491:31 2.58639e-05 +28 *2253:44 *2494:35 8.92437e-05 +29 *2253:44 *2624:35 0.00174854 +30 *2253:44 *2628:38 0.000527957 +31 *2253:44 *2639:21 0.000196989 +32 *2253:44 *2643:14 0 +33 *2253:51 *23221:A 0.000214644 +34 *2253:51 *2494:33 5.85325e-05 +35 *2253:51 *2639:21 0.00112873 +36 *2253:51 *2916:20 3.64929e-05 +37 *2253:51 *3203:9 0.00107561 +38 *5985:DIODE *2253:44 6.50727e-05 +39 *1138:22 *2253:44 0.000224381 +40 *1152:20 *2253:35 0 +41 *1156:11 *2253:44 0.000117719 +42 *1329:10 *2253:51 8.36916e-05 +43 *1710:11 *2253:35 4.99006e-05 +44 *1967:39 *2253:35 2.52699e-05 +45 *1968:43 *2253:28 0.000531047 +46 *1970:73 *2253:28 0.00150033 +47 *1983:26 *2253:28 0 +48 *2096:24 *2253:28 0.000244996 +49 *2130:19 *2253:28 0.00971621 +50 *2136:37 *2253:28 0.000399715 +51 *2246:25 *2253:28 0.000466683 +52 *2251:25 *2253:28 6.21462e-05 +53 *2252:27 *2253:28 6.21462e-05 +*RES +1 *22570:HI[433] *2253:28 32.2034 +2 *2253:28 *2253:35 43.9856 +3 *2253:35 *2253:44 44.3306 +4 *2253:44 *2253:51 28.1851 +5 *2253:51 *23221:B 9.24915 +6 *2253:51 *5749:DIODE 12.191 +*END + +*D_NET *2254 0.052691 +*CONN +*I *5751:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23222:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[434] O *D mprj_logic_high +*CAP +1 *5751:DIODE 4.82359e-05 +2 *23222:B 0 +3 *22570:HI[434] 0.00362821 +4 *2254:41 0.00403703 +5 *2254:35 0.00761701 +6 *2254:35 *2255:19 4.18555e-05 +7 *2254:35 *2256:25 0.00027006 +8 *2254:35 *2263:19 0.0016586 +9 *2254:35 *2274:24 0.00079656 +10 *2254:35 *2360:33 0 +11 *2254:35 *2415:26 0.000453562 +12 *2254:35 *2621:26 0.000168362 +13 *2254:35 *3262:20 0.000790313 +14 *2254:41 *2279:28 0.00852498 +15 *2254:41 *2279:39 0.00281557 +16 *2254:41 *2917:12 2.54271e-05 +17 *2254:41 *2926:12 0 +18 *1469:5 *5751:DIODE 0.000171288 +19 *1955:28 *2254:35 0.000440963 +20 *1956:8 *2254:41 0.000992415 +21 *1958:8 *2254:41 0.000325993 +22 *1964:7 *2254:35 3.30161e-05 +23 *1966:13 *2254:41 0.00055976 +24 *1970:57 *2254:35 0.000280516 +25 *1972:8 *2254:41 0.000459538 +26 *1978:24 *5751:DIODE 0.000271058 +27 *1981:22 *2254:41 2.52738e-05 +28 *1983:26 *2254:35 0 +29 *1984:33 *2254:41 1.60286e-05 +30 *1994:7 *2254:35 8.05301e-05 +31 *2031:27 *2254:35 0.00094156 +32 *2048:19 *2254:41 0.00151377 +33 *2081:20 *2254:35 0.000531109 +34 *2081:20 *2254:41 0.000687797 +35 *2088:24 *2254:35 0.000678743 +36 *2088:24 *2254:41 0.00109603 +37 *2095:8 *2254:41 0.00091238 +38 *2109:32 *2254:41 0.0105558 +39 *2110:21 *2254:35 0.000197996 +40 *2121:27 *2254:35 8.22964e-06 +41 *2146:9 *2254:35 3.62022e-05 +42 *2243:23 *2254:35 3.6211e-05 +43 *2246:25 *2254:35 0.000862656 +44 *2252:27 *2254:35 2.5238e-05 +45 *2253:28 *2254:35 7.50991e-05 +*RES +1 *22570:HI[434] *2254:35 36.4126 +2 *2254:35 *2254:41 30.8073 +3 *2254:41 *23222:B 9.24915 +4 *2254:41 *5751:DIODE 12.191 +*END + +*D_NET *2255 0.0426592 +*CONN +*I *5753:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23223:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[435] O *D mprj_logic_high +*CAP +1 *5753:DIODE 2.89279e-05 +2 *23223:B 0 +3 *22570:HI[435] 0.00191868 +4 *2255:34 0.000358068 +5 *2255:26 0.00907532 +6 *2255:25 0.0102763 +7 *2255:19 0.0034488 +8 *5753:DIODE *2371:13 0.000277488 +9 *5753:DIODE *2625:23 0.00011581 +10 *2255:19 *23449:A 5.83513e-05 +11 *2255:19 *2415:26 0.000257696 +12 *2255:19 *2437:23 0.00031695 +13 *2255:19 *3195:14 0.000916285 +14 *2255:19 *3395:35 5.83596e-05 +15 *2255:25 *3461:31 7.43187e-05 +16 *2255:26 *23218:A 1.98996e-05 +17 *2255:26 *23467:A 1.74775e-05 +18 *2255:26 *2503:27 6.98227e-05 +19 *2255:34 *2371:13 0.000585763 +20 *2255:34 *2625:23 0.0015407 +21 *2255:34 *2791:6 0.000140487 +22 *5737:DIODE *2255:26 0.000189803 +23 *6241:DIODE *2255:26 0.000205565 +24 *23091:A *2255:26 7.90125e-05 +25 *23195:A *2255:19 8.03676e-06 +26 *23218:B *2255:26 6.50727e-05 +27 *23218:B *2255:34 0.00013689 +28 *23349:B *2255:34 0.00011818 +29 *1152:9 *2255:26 6.33048e-05 +30 *1152:21 *2255:26 0.000745277 +31 *1152:29 *2255:26 4.79321e-06 +32 *1158:23 *2255:26 0.00165822 +33 *1594:9 *2255:26 0.000200292 +34 *1698:6 *2255:19 4.0491e-05 +35 *1717:9 *2255:26 0.000318628 +36 *1991:26 *2255:25 0.000524226 +37 *1991:30 *2255:26 0.000709603 +38 *2118:13 *2255:19 0 +39 *2122:21 *2255:25 7.09666e-06 +40 *2140:8 *2255:26 0.00407006 +41 *2151:37 *2255:19 0 +42 *2151:37 *2255:25 0.000383717 +43 *2243:23 *2255:19 1.38402e-05 +44 *2251:25 *2255:19 0.00280299 +45 *2252:27 *2255:19 0.000277899 +46 *2253:28 *2255:19 0.000438874 +47 *2254:35 *2255:19 4.18555e-05 +*RES +1 *22570:HI[435] *2255:19 29.503 +2 *2255:19 *2255:25 34.9825 +3 *2255:25 *2255:26 146.584 +4 *2255:26 *2255:34 29.0481 +5 *2255:34 *23223:B 9.24915 +6 *2255:34 *5753:DIODE 12.191 +*END + +*D_NET *2256 0.0451764 +*CONN +*I *23224:B I *D sky130_fd_sc_hd__and2_1 +*I *5755:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[436] O *D mprj_logic_high +*CAP +1 *23224:B 9.14634e-05 +2 *5755:DIODE 0 +3 *22570:HI[436] 0.00223511 +4 *2256:56 0.00050304 +5 *2256:48 0.00115287 +6 *2256:46 0.00219718 +7 *2256:38 0.00393314 +8 *2256:31 0.0030136 +9 *2256:26 0.00139573 +10 *2256:25 0.0030945 +11 *23224:B *2513:13 0.000210496 +12 *23224:B *2631:17 2.94103e-05 +13 *2256:25 *2257:21 0.000299419 +14 *2256:25 *2415:26 1.82335e-05 +15 *2256:31 *22571:A 9.12416e-06 +16 *2256:31 *2284:17 1.91246e-05 +17 *2256:38 *2492:28 0.000282387 +18 *2256:38 *2785:11 4.88803e-05 +19 *2256:38 *3461:31 0.0038764 +20 *2256:46 *23341:A 1.82679e-05 +21 *2256:46 *2477:9 2.78892e-05 +22 *2256:46 *2631:17 0.000492153 +23 *2256:46 *2785:11 7.99034e-05 +24 *2256:48 *2505:26 0.00012601 +25 *2256:48 *2631:17 0.00505265 +26 *2256:48 *3250:27 2.77625e-06 +27 *2256:56 *2513:13 0.000220183 +28 *2256:56 *2631:17 6.61011e-05 +29 *2256:56 *3250:27 0.000528234 +30 *5989:DIODE *2256:46 0.000277502 +31 *6009:DIODE *2256:48 0.000115632 +32 *6009:DIODE *2256:56 0 +33 *6245:DIODE *2256:46 0.000417325 +34 *23337:B *2256:38 0.000239614 +35 *1175:19 *2256:46 0.00144307 +36 *1175:19 *2256:48 0.00198149 +37 *1584:15 *2256:38 0.000219322 +38 *1588:5 *2256:46 0.000137854 +39 *1598:14 *2256:48 2.1801e-05 +40 *1598:14 *2256:56 0.000520326 +41 *1957:7 *2256:25 9.80736e-05 +42 *1961:13 *2256:25 4.19401e-06 +43 *1967:27 *2256:25 1.05412e-05 +44 *1968:43 *2256:25 0.000475575 +45 *1979:19 *2256:25 0 +46 *1985:40 *2256:26 0.0042694 +47 *1989:52 *2256:25 0.000919367 +48 *2078:29 *2256:25 3.81796e-05 +49 *2084:15 *2256:26 0.000902569 +50 *2098:11 *2256:25 1.42713e-05 +51 *2110:21 *2256:25 0.000928259 +52 *2122:13 *2256:25 4.15661e-05 +53 *2126:25 *2256:25 0.000299419 +54 *2128:19 *2256:25 1.2977e-05 +55 *2134:19 *2256:25 4.17052e-05 +56 *2140:7 *2256:31 0 +57 *2152:7 *2256:25 0 +58 *2243:23 *2256:25 1.93857e-05 +59 *2252:27 *2256:25 0.00111737 +60 *2252:27 *2256:26 0.000581473 +61 *2253:28 *2256:25 0.000733774 +62 *2254:35 *2256:25 0.00027006 +*RES +1 *22570:HI[436] *2256:25 38.4958 +2 *2256:25 *2256:26 45.6463 +3 *2256:26 *2256:31 17.8913 +4 *2256:31 *2256:38 47.5271 +5 *2256:38 *2256:46 36.0131 +6 *2256:46 *2256:48 54.7972 +7 *2256:48 *2256:56 15.77 +8 *2256:56 *5755:DIODE 9.24915 +9 *2256:56 *23224:B 12.625 +*END + +*D_NET *2257 0.0522836 +*CONN +*I *5757:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23225:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[437] O *D mprj_logic_high +*CAP +1 *5757:DIODE 6.17672e-05 +2 *23225:B 0 +3 *22570:HI[437] 0.00732206 +4 *2257:23 6.17672e-05 +5 *2257:21 0.00732206 +6 *5757:DIODE *23225:A 4.31603e-06 +7 *5757:DIODE *2625:23 0.000264586 +8 *5757:DIODE *2660:15 3.81056e-05 +9 *2257:21 *2258:25 0.00105212 +10 *2257:21 *2404:47 0.00426524 +11 *2257:21 *2479:39 0.000354435 +12 *2257:21 *2479:45 0.00372334 +13 *2257:21 *2500:37 0.00010238 +14 *2257:21 *2502:15 0.000133887 +15 *2257:21 *2625:23 0.00012309 +16 *2257:21 *2904:22 0 +17 *2257:21 *2926:12 7.83498e-05 +18 *2257:21 *3207:8 3.27935e-05 +19 *2257:21 *3228:19 0.000696937 +20 *2257:21 *3373:25 0.00382678 +21 *2257:21 *3428:29 0.00870998 +22 *1149:31 *2257:21 0.00141927 +23 *1151:30 *2257:21 0.00892196 +24 *1151:36 *2257:21 0.00225405 +25 *1174:26 *2257:21 0.00032182 +26 *1174:27 *2257:21 0.000144814 +27 *1472:5 *5757:DIODE 0.000171288 +28 *2097:14 *2257:21 0.000120338 +29 *2126:25 *2257:21 8.14241e-05 +30 *2234:25 *2257:21 0.000185751 +31 *2243:23 *2257:21 0.000101372 +32 *2252:27 *2257:21 8.80589e-05 +33 *2256:25 *2257:21 0.000299419 +*RES +1 *22570:HI[437] *2257:21 46.1503 +2 *2257:21 *2257:23 4.5 +3 *2257:23 *23225:B 9.24915 +4 *2257:23 *5757:DIODE 12.7697 +*END + +*D_NET *2258 0.0623561 +*CONN +*I *23226:B I *D sky130_fd_sc_hd__and2_1 +*I *5759:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[438] O *D mprj_logic_high +*CAP +1 *23226:B 9.26787e-06 +2 *5759:DIODE 8.20146e-05 +3 *22570:HI[438] 0.00293125 +4 *2258:29 0.0050412 +5 *2258:25 0.00788116 +6 *5759:DIODE *2661:11 0.000217937 +7 *5759:DIODE *2799:12 1.48503e-05 +8 *5759:DIODE *2919:64 1.24189e-05 +9 *2258:25 *2261:21 0.00194325 +10 *2258:25 *2269:29 0.0010634 +11 *2258:25 *2437:22 0.000227772 +12 *2258:25 *2495:22 0.00112209 +13 *2258:25 *2904:22 2.55157e-05 +14 *2258:25 *3228:19 2.40249e-06 +15 *2258:25 *3239:21 1.92199e-05 +16 *2258:25 *3350:47 0.000406677 +17 *2258:25 *3384:23 0.00397068 +18 *2258:29 *2404:47 6.21462e-05 +19 *2258:29 *2460:33 0.00102644 +20 *2258:29 *2799:12 2.57465e-06 +21 *2258:29 *2919:64 4.69495e-06 +22 *1146:23 *23226:B 6.33269e-05 +23 *1146:23 *2258:29 0.00010238 +24 *1146:34 *2258:29 0.000712225 +25 *1147:13 *2258:29 0.00118392 +26 *1148:25 *2258:29 0.000289628 +27 *1148:35 *2258:29 0.000100106 +28 *1149:35 *2258:25 0.000477922 +29 *1157:24 *2258:29 0.0175623 +30 *1157:27 *2258:29 0.00010238 +31 *1172:11 *2258:25 0.000136644 +32 *1980:56 *5759:DIODE 0.000213739 +33 *1980:56 *23226:B 6.33269e-05 +34 *2037:8 *2258:25 0.000519846 +35 *2096:24 *2258:25 0.0010329 +36 *2126:25 *2258:25 0.000997006 +37 *2237:27 *2258:25 0.00158416 +38 *2243:23 *2258:25 3.6211e-05 +39 *2251:25 *2258:29 0.00980492 +40 *2252:27 *2258:29 7.29731e-05 +41 *2253:28 *2258:25 0.000181079 +42 *2257:21 *2258:25 0.00105212 +*RES +1 *22570:HI[438] *2258:25 30.8324 +2 *2258:25 *2258:29 28.9626 +3 *2258:29 *5759:DIODE 17.1378 +4 *2258:29 *23226:B 14.4545 +*END + +*D_NET *2259 0.0550985 +*CONN +*I *5761:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23227:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[439] O *D mprj_logic_high +*CAP +1 *5761:DIODE 3.76287e-05 +2 *23227:B 0 +3 *22570:HI[439] 0.000446931 +4 *2259:23 0.00154453 +5 *2259:18 0.00376836 +6 *2259:16 0.00270839 +7 *2259:18 *2262:16 0.0194684 +8 *2259:18 *2264:16 0.0206909 +9 *2259:23 *2507:12 0 +10 *2259:23 *2911:30 0 +11 *2259:23 *2930:24 0.000300078 +12 *1155:9 *2259:18 0.00169622 +13 *1169:43 *2259:16 0.00202903 +14 *1965:20 *5761:DIODE 0.000115632 +15 *1995:25 *5761:DIODE 0.000275256 +16 *2248:18 *2259:16 0.00200916 +17 *2248:24 *2259:16 0 +18 *2248:24 *2259:18 7.94337e-06 +*RES +1 *22570:HI[439] *2259:16 40.106 +2 *2259:16 *2259:18 223.674 +3 *2259:18 *2259:23 38.6539 +4 *2259:23 *23227:B 9.24915 +5 *2259:23 *5761:DIODE 12.191 +*END + +*D_NET *2260 0.00779973 +*CONN +*I *22549:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5041:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[43] O *D mprj_logic_high +*CAP +1 *22549:TE 1.0139e-05 +2 *5041:DIODE 0 +3 *22570:HI[43] 0.0011128 +4 *2260:8 0.00112294 +5 *22549:TE *2446:7 0.000118796 +6 *2260:8 *2446:7 0.00255002 +7 *1160:55 *2260:8 0.000231712 +8 *1943:26 *22549:TE 0.000103294 +9 *1943:26 *2260:8 0.00255002 +*RES +1 *22570:HI[43] *2260:8 47.5018 +2 *2260:8 *5041:DIODE 9.24915 +3 *2260:8 *22549:TE 10.5271 +*END + +*D_NET *2261 0.0594206 +*CONN +*I *23229:B I *D sky130_fd_sc_hd__and2_1 +*I *5765:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[440] O *D mprj_logic_high +*CAP +1 *23229:B 5.46631e-05 +2 *5765:DIODE 0 +3 *22570:HI[440] 0.00850164 +4 *2261:23 5.46631e-05 +5 *2261:21 0.00850164 +6 *23229:B *23229:A 0.000107496 +7 *23229:B *2500:17 3.31745e-05 +8 *2261:21 *2263:19 0.00179362 +9 *2261:21 *2269:29 0.000389638 +10 *2261:21 *2495:22 0.0056833 +11 *2261:21 *2505:26 0.00374848 +12 *2261:21 *2506:26 0.000123271 +13 *2261:21 *2661:10 1.5714e-05 +14 *2261:21 *2790:14 0.000108607 +15 *2261:21 *2904:22 0.000443581 +16 *2261:21 *2928:14 0.000115848 +17 *2261:21 *3239:21 1.06588e-05 +18 *2261:21 *3306:21 0.000913679 +19 *2261:21 *3306:34 0.00407386 +20 *2261:21 *3384:23 0.00392996 +21 *2261:21 *3428:29 0.000919371 +22 *1147:22 *2261:21 0.0107009 +23 *1149:31 *2261:21 0.000108607 +24 *1149:35 *2261:21 0.000195504 +25 *1153:32 *2261:21 0.00576844 +26 *1172:11 *23229:B 0.000203595 +27 *1172:11 *2261:21 0.000228391 +28 *1334:9 *2261:21 0.00010238 +29 *2037:8 *2261:21 0.00010238 +30 *2097:14 *2261:21 3.72197e-05 +31 *2243:23 *2261:21 0.000507077 +32 *2258:25 *2261:21 0.00194325 +*RES +1 *22570:HI[440] *2261:21 48.195 +2 *2261:21 *2261:23 4.5 +3 *2261:23 *5765:DIODE 9.24915 +4 *2261:23 *23229:B 11.6364 +*END + +*D_NET *2262 0.0569896 +*CONN +*I *23230:B I *D sky130_fd_sc_hd__and2_1 +*I *5767:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[441] O *D mprj_logic_high +*CAP +1 *23230:B 9.34722e-05 +2 *5767:DIODE 0 +3 *22570:HI[441] 0.000784794 +4 *2262:21 9.34722e-05 +5 *2262:19 0.00241689 +6 *2262:18 0.00241689 +7 *2262:16 0.0023297 +8 *2262:14 0.00240169 +9 *2262:11 0.000856775 +10 *23230:B *2516:24 4.43691e-05 +11 *2262:11 *2280:7 3.98148e-05 +12 *2262:11 *2281:7 2.1213e-05 +13 *2262:16 *2264:16 0.000178097 +14 *2262:16 *2273:18 0.000485438 +15 *2262:16 *2273:20 0.0161256 +16 *2262:19 *2518:14 0 +17 *2262:19 *2519:14 0 +18 *2262:19 *2801:14 0.000628655 +19 *2262:19 *2802:16 3.77804e-05 +20 *2262:19 *2911:30 0.000719069 +21 *1155:9 *2262:16 0.00153265 +22 *1171:15 *2262:11 0.00288662 +23 *1175:9 *23230:B 0.000213739 +24 *1474:10 *2262:19 2.73761e-05 +25 *2036:20 *2262:11 0.00289952 +26 *2087:47 *2262:19 0 +27 *2241:28 *2262:11 0.000204778 +28 *2248:26 *2262:16 8.27309e-05 +29 *2259:18 *2262:16 0.0194684 +*RES +1 *22570:HI[441] *2262:11 48.5805 +2 *2262:11 *2262:14 6.30849 +3 *2262:14 *2262:16 214.8 +4 *2262:16 *2262:18 4.5 +5 *2262:18 *2262:19 52.908 +6 *2262:19 *2262:21 4.5 +7 *2262:21 *5767:DIODE 9.24915 +8 *2262:21 *23230:B 12.625 +*END + +*D_NET *2263 0.0684737 +*CONN +*I *5769:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23231:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[442] O *D mprj_logic_high +*CAP +1 *5769:DIODE 2.50237e-05 +2 *23231:B 2.42995e-05 +3 *22570:HI[442] 0.00231234 +4 *2263:25 0.00683903 +5 *2263:19 0.00910205 +6 *2263:19 *2264:14 4.67463e-06 +7 *2263:19 *2279:28 0.000431265 +8 *2263:19 *2904:22 0.00174596 +9 *2263:19 *3262:26 0.00124035 +10 *2263:25 *2517:32 2.87136e-06 +11 *2263:25 *3218:8 1.66771e-05 +12 *1173:18 *2263:25 0.0180427 +13 *1323:13 *2263:25 0.000150969 +14 *1326:11 *2263:25 0.00146774 +15 *1841:9 *5769:DIODE 6.99486e-05 +16 *1841:9 *23231:B 3.79043e-05 +17 *1955:28 *2263:19 0.000118217 +18 *1955:28 *2263:25 0.00618714 +19 *1957:8 *2263:25 0.000117604 +20 *1965:14 *2263:25 0.000257329 +21 *1965:38 *5769:DIODE 0.00015511 +22 *1965:38 *23231:B 0.000104389 +23 *1987:7 *2263:19 0.000184252 +24 *1994:7 *2263:19 5.44785e-05 +25 *1995:12 *2263:25 0.000142453 +26 *2069:29 *2263:25 0.00513795 +27 *2081:20 *2263:19 2.17779e-05 +28 *2088:24 *2263:19 0.00407753 +29 *2097:14 *2263:19 8.62976e-06 +30 *2098:20 *2263:25 0.000853357 +31 *2128:32 *2263:25 0.00455677 +32 *2128:40 *2263:25 0.00153066 +33 *2254:35 *2263:19 0.0016586 +34 *2261:21 *2263:19 0.00179362 +*RES +1 *22570:HI[442] *2263:19 26.0891 +2 *2263:19 *2263:25 39.8112 +3 *2263:25 *23231:B 10.4066 +4 *2263:25 *5769:DIODE 11.0817 +*END + +*D_NET *2264 0.0413787 +*CONN +*I *5771:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23232:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[443] O *D mprj_logic_high +*CAP +1 *5771:DIODE 0.000135311 +2 *23232:B 0 +3 *22570:HI[443] 0.000191557 +4 *2264:22 0.000379018 +5 *2264:16 0.00765718 +6 *2264:14 0.00760503 +7 *2264:14 *2265:23 0 +8 *2264:16 *2265:23 0 +9 *2264:16 *2273:20 0.000499765 +10 *2264:16 *2332:34 0.000846939 +11 *2264:22 *5770:DIODE 6.50586e-05 +12 *2264:22 *2634:38 0.000125045 +13 *2264:22 *2668:15 6.08467e-05 +14 *1155:9 *2264:16 0.000771918 +15 *1479:5 *5771:DIODE 0.000169041 +16 *1979:26 *2264:16 0.00194541 +17 *2248:18 *2264:14 5.28741e-05 +18 *2248:18 *2264:16 0 +19 *2259:18 *2264:16 0.0206909 +20 *2262:16 *2264:16 0.000178097 +21 *2263:19 *2264:14 4.67463e-06 +*RES +1 *22570:HI[443] *2264:14 9.60105 +2 *2264:14 *2264:16 263.051 +3 *2264:16 *2264:22 14.6043 +4 *2264:22 *23232:B 9.24915 +5 *2264:22 *5771:DIODE 12.7697 +*END + +*D_NET *2265 0.0695713 +*CONN +*I *23233:B I *D sky130_fd_sc_hd__and2_1 +*I *5773:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[444] O *D mprj_logic_high +*CAP +1 *23233:B 1.71465e-05 +2 *5773:DIODE 0.000167075 +3 *22570:HI[444] 0.00124777 +4 *2265:27 0.00486629 +5 *2265:23 0.00592984 +6 *5773:DIODE *3198:19 7.5032e-05 +7 *23233:B *2551:16 4.70104e-05 +8 *2265:23 *2268:28 0.000160777 +9 *2265:23 *2274:24 3.6211e-05 +10 *2265:23 *2276:18 0.000116084 +11 *2265:23 *2277:22 0.000493172 +12 *2265:23 *2279:28 6.62487e-05 +13 *2265:23 *3295:21 0.000658595 +14 *2265:27 *2276:18 0.0259791 +15 *2265:27 *2277:22 0.0187202 +16 *2265:27 *2277:31 0.00563342 +17 *2265:27 *2551:16 9.55769e-05 +18 *1480:13 *5773:DIODE 0.000175485 +19 *1983:26 *2265:27 0.00155587 +20 *1983:30 *2265:27 1.65456e-05 +21 *2094:15 *23233:B 5.41377e-05 +22 *2094:15 *2265:27 9.19644e-05 +23 *2097:14 *2265:23 0.00185769 +24 *2131:20 *2265:23 0.0006667 +25 *2243:23 *2265:23 6.39905e-05 +26 *2248:18 *2265:23 0.000779329 +27 *2264:14 *2265:23 0 +28 *2264:16 *2265:23 0 +*RES +1 *22570:HI[444] *2265:23 27.8879 +2 *2265:23 *2265:27 40.2996 +3 *2265:27 *5773:DIODE 16.691 +4 *2265:27 *23233:B 14.7506 +*END + +*D_NET *2266 0.0499792 +*CONN +*I *5775:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23234:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[445] O *D mprj_logic_high +*CAP +1 *5775:DIODE 8.72138e-05 +2 *23234:B 0 +3 *22570:HI[445] 7.82169e-05 +4 *2266:25 8.72138e-05 +5 *2266:23 0.00833362 +6 *2266:8 0.00949741 +7 *2266:7 0.001242 +8 *5775:DIODE *2517:35 4.33819e-05 +9 *2266:8 *2301:8 0.000413991 +10 *2266:23 *2312:11 1.30227e-05 +11 *2266:23 *2313:7 3.29488e-05 +12 *2266:23 *2801:18 0 +13 *2266:23 *3373:25 0.00111531 +14 *1171:9 *2266:23 0.000423251 +15 *1171:15 *2266:8 0.00045276 +16 *1198:57 *2266:8 0.000193304 +17 *1201:23 *2266:8 0.000764096 +18 *1207:19 *2266:8 0.00195185 +19 *1481:7 *5775:DIODE 0.000169041 +20 *1945:27 *2266:7 6.7295e-05 +21 *1974:29 *2266:23 0 +22 *1983:26 *2266:23 0.00251498 +23 *1983:30 *2266:23 0.00272071 +24 *1988:26 *2266:8 0.00369154 +25 *2078:29 *2266:23 0.000250233 +26 *2096:24 *2266:23 0.00789448 +27 *2100:49 *2266:23 7.25245e-05 +28 *2119:26 *2266:8 0.00332574 +29 *2130:19 *2266:23 0.00190338 +30 *2130:25 *2266:23 0.00114141 +31 *2153:26 *2266:8 0.000578799 +32 *2240:28 *2266:8 0.000188263 +33 *2242:23 *2266:23 0.000429771 +34 *2253:28 *2266:23 0.000301469 +*RES +1 *22570:HI[445] *2266:7 6.03888 +2 *2266:7 *2266:8 77.8133 +3 *2266:8 *2266:23 45.5392 +4 *2266:23 *2266:25 4.5 +5 *2266:25 *23234:B 9.24915 +6 *2266:25 *5775:DIODE 12.191 +*END + +*D_NET *2267 0.0658937 +*CONN +*I *5777:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23235:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[446] O *D mprj_logic_high +*CAP +1 *5777:DIODE 3.57891e-05 +2 *23235:B 0 +3 *22570:HI[446] 0.003085 +4 *2267:25 0.0050894 +5 *2267:19 0.00813862 +6 *2267:19 *2268:28 0.000223961 +7 *2267:19 *2269:29 3.955e-05 +8 *2267:19 *2902:15 0.000944138 +9 *2267:19 *2907:22 8.51681e-05 +10 *2267:19 *3239:21 6.21462e-05 +11 *2267:19 *3262:20 0.000211914 +12 *2267:25 *2814:6 1.5714e-05 +13 *2267:25 *2924:19 0.000228386 +14 *2267:25 *2931:56 2.33103e-06 +15 *1840:11 *5777:DIODE 0.000271058 +16 *1970:73 *2267:25 0.0119908 +17 *1981:22 *2267:25 0.0235962 +18 *2096:24 *2267:19 0.00652071 +19 *2097:14 *2267:19 0.000100952 +20 *2106:8 *2267:25 0.000868956 +21 *2110:34 *2267:25 0.000475589 +22 *2114:22 *5777:DIODE 0.000120546 +23 *2240:28 *2267:19 0.000600844 +24 *2243:23 *2267:19 3.13684e-05 +25 *2245:17 *2267:19 0.000606389 +26 *2253:28 *2267:19 0.00103891 +27 *2253:28 *2267:25 0.00150923 +*RES +1 *22570:HI[446] *2267:19 19.2372 +2 *2267:19 *2267:25 39.62 +3 *2267:25 *23235:B 9.24915 +4 *2267:25 *5777:DIODE 12.191 +*END + +*D_NET *2268 0.0791928 +*CONN +*I *5779:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23236:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[447] O *D mprj_logic_high +*CAP +1 *5779:DIODE 9.96758e-05 +2 *23236:B 0 +3 *22570:HI[447] 0.00286901 +4 *2268:63 0.00194139 +5 *2268:45 0.00602744 +6 *2268:28 0.00705474 +7 *5779:DIODE *2477:31 0.000260388 +8 *2268:28 *23455:A 6.75845e-06 +9 *2268:28 *2269:29 3.3344e-06 +10 *2268:28 *2349:51 0.000110257 +11 *2268:28 *2382:40 0.000899533 +12 *2268:28 *2471:18 0.00322752 +13 *2268:28 *2489:21 0.0010828 +14 *2268:28 *2490:30 1.49101e-05 +15 *2268:28 *2619:19 0.00374833 +16 *2268:28 *2622:23 0.000148867 +17 *2268:28 *2907:22 0.000171705 +18 *2268:28 *3329:21 3.73224e-05 +19 *2268:28 *3417:35 0.00111529 +20 *2268:45 *5464:DIODE 2.33103e-06 +21 *2268:45 *2489:17 0.00159856 +22 *2268:45 *2503:27 0.000133887 +23 *2268:45 *2628:23 0.000152056 +24 *2268:45 *2779:10 3.33317e-05 +25 *2268:45 *2915:42 2.22761e-05 +26 *2268:45 *3417:35 0.00159957 +27 *2268:63 *2632:63 7.29816e-05 +28 *2268:63 *2661:10 8.13176e-06 +29 *2268:63 *2814:6 7.22263e-05 +30 *2268:63 *3221:10 7.22263e-05 +31 *1152:9 *2268:45 0.000108607 +32 *1154:17 *2268:28 0.000830016 +33 *1159:9 *2268:45 0.0154139 +34 *1159:9 *2268:63 0.00406629 +35 *1325:8 *2268:63 2.30513e-05 +36 *1601:9 *2268:63 1.48603e-05 +37 *1703:6 *2268:28 3.38973e-05 +38 *2092:46 *2268:45 0.0151124 +39 *2097:14 *2268:28 2.29726e-05 +40 *2122:39 *2268:45 0.00198305 +41 *2122:39 *2268:63 0.00010538 +42 *2122:44 *2268:63 0.00653926 +43 *2235:35 *2268:28 0.00117114 +44 *2243:23 *2268:28 0.000796418 +45 *2265:23 *2268:28 0.000160777 +46 *2267:19 *2268:28 0.000223961 +*RES +1 *22570:HI[447] *2268:28 49.7245 +2 *2268:28 *2268:45 45.8041 +3 *2268:45 *2268:63 39.2054 +4 *2268:63 *23236:B 9.24915 +5 *2268:63 *5779:DIODE 12.191 +*END + +*D_NET *2269 0.0698097 +*CONN +*I *5781:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23237:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[448] O *D mprj_logic_high +*CAP +1 *5781:DIODE 0.000111517 +2 *23237:B 0 +3 *22570:HI[448] 0.00350781 +4 *2269:58 0.00024929 +5 *2269:52 0.0025493 +6 *2269:51 0.00273621 +7 *2269:40 0.00442932 +8 *2269:38 0.00412767 +9 *2269:36 0.00193218 +10 *2269:29 0.00541695 +11 *5781:DIODE *23237:A 9.95922e-06 +12 *2269:29 *2270:11 0 +13 *2269:29 *2437:22 0.00169385 +14 *2269:29 *3239:21 0.00018795 +15 *2269:36 *5974:DIODE 0 +16 *2269:36 *23462:A 1.75682e-05 +17 *2269:40 *2643:17 0.0029184 +18 *2269:51 *2491:12 1.17351e-05 +19 *2269:51 *2506:14 8.5809e-05 +20 *2269:51 *2916:20 0 +21 *2269:52 *23354:A 2.41483e-05 +22 *2269:52 *2643:19 0.0121383 +23 *2269:52 *3199:7 5.42391e-05 +24 *2269:52 *3207:8 6.49003e-05 +25 *2269:58 *23237:A 0.000175671 +26 *2269:58 *2516:30 1.12969e-05 +27 *2269:58 *2675:16 0 +28 *4686:DIODE *2269:52 6.78364e-06 +29 *22370:TE *2269:52 0.000122378 +30 *23354:B *2269:52 6.13007e-06 +31 *1147:13 *2269:52 0.00010238 +32 *1484:5 *5781:DIODE 0.000171288 +33 *1846:11 *2269:52 0.00245794 +34 *1955:28 *2269:29 0.000300318 +35 *1964:8 *2269:36 0.00209447 +36 *1964:16 *2269:51 0.000260374 +37 *1967:40 *5781:DIODE 7.65976e-05 +38 *1967:40 *2269:58 6.50727e-05 +39 *1976:17 *2269:29 2.81147e-06 +40 *1985:50 *2269:36 0.00150592 +41 *1985:50 *2269:40 0.00161794 +42 *1985:50 *2269:52 0.0025934 +43 *1985:57 *2269:52 0.000244147 +44 *1985:68 *2269:52 0.00817658 +45 *1996:32 *2269:36 0.000106261 +46 *1996:32 *2269:40 0.000425291 +47 *2078:29 *2269:29 0.00321687 +48 *2097:14 *2269:29 2.02298e-05 +49 *2120:10 *2269:29 0.00170899 +50 *2128:32 *2269:29 0.000336561 +51 *2136:17 *2269:29 0 +52 *2136:37 *2269:36 0.00010238 +53 *2240:28 *2269:29 2.1203e-06 +54 *2243:23 *2269:29 0.000110852 +55 *2250:15 *2269:51 2.55536e-05 +56 *2258:25 *2269:29 0.0010634 +57 *2261:21 *2269:29 0.000389638 +58 *2267:19 *2269:29 3.955e-05 +59 *2268:28 *2269:29 3.3344e-06 +*RES +1 *22570:HI[448] *2269:29 35.1029 +2 *2269:29 *2269:36 49.9918 +3 *2269:36 *2269:38 0.578717 +4 *2269:38 *2269:40 71.7127 +5 *2269:40 *2269:51 24.1905 +6 *2269:51 *2269:52 161.004 +7 *2269:52 *2269:58 12.8011 +8 *2269:58 *23237:B 9.24915 +9 *2269:58 *5781:DIODE 12.9385 +*END + +*D_NET *2270 0.0614138 +*CONN +*I *5783:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23238:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[449] O *D mprj_logic_high +*CAP +1 *5783:DIODE 0 +2 *23238:B 0.000162449 +3 *22570:HI[449] 0.000103108 +4 *2270:34 0.000601466 +5 *2270:33 0.000575559 +6 *2270:24 0.0021872 +7 *2270:23 0.00220098 +8 *2270:12 0.000724389 +9 *2270:11 0.000677172 +10 *23238:B *23360:A 4.15661e-05 +11 *23238:B *2585:12 0 +12 *2270:11 *2271:8 3.54833e-06 +13 *2270:12 *2272:10 0.00494848 +14 *2270:12 *2273:15 0.00233475 +15 *2270:12 *2325:10 0.000135948 +16 *2270:23 *2332:34 0.000195309 +17 *2270:24 *21315:A 0.00011818 +18 *2270:24 *2272:10 0.00108829 +19 *2270:24 *2272:16 0.00542576 +20 *2270:24 *2272:28 0.00454582 +21 *2270:24 *2272:42 0.00384082 +22 *2270:24 *2275:10 6.8085e-05 +23 *2270:24 *2325:10 0.000126285 +24 *2270:24 *2332:15 0.00264749 +25 *2270:24 *2924:35 0.000281137 +26 *2270:24 *2926:38 0.000113968 +27 *2270:24 *3189:10 0.000116014 +28 *2270:33 *2351:6 7.08723e-06 +29 *2270:33 *2913:18 2.12568e-05 +30 *2270:34 *2272:44 0.00423356 +31 *2270:34 *2275:10 0.000201253 +32 *22361:A *2270:24 0.000118166 +33 *22367:TE *23238:B 6.61986e-05 +34 *1139:9 *23238:B 0.000189712 +35 *1169:23 *2270:24 0.000142631 +36 *1169:23 *2270:34 0.00377273 +37 *1169:29 *2270:24 0.000370829 +38 *1169:31 *2270:24 0.000158122 +39 *1169:43 *2270:12 0.00130562 +40 *1169:43 *2270:24 0.00138497 +41 *1207:9 *2270:24 0.0147572 +42 *1207:15 *2270:24 1.5962e-05 +43 *1224:15 *23238:B 5.93256e-05 +44 *2241:28 *2270:11 3.00505e-05 +45 *2243:23 *2270:12 0.000708853 +46 *2243:23 *2270:23 0.000196992 +47 *2243:23 *2270:24 0.000409555 +48 *2269:29 *2270:11 0 +*RES +1 *22570:HI[449] *2270:11 6.67397 +2 *2270:11 *2270:12 56.7384 +3 *2270:12 *2270:23 18.4352 +4 *2270:23 *2270:24 203.154 +5 *2270:24 *2270:33 11.0518 +6 *2270:33 *2270:34 45.6463 +7 *2270:34 *23238:B 22.8627 +8 *2270:34 *5783:DIODE 9.24915 +*END + +*D_NET *2271 0.00503158 +*CONN +*I *22560:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5063:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[44] O *D mprj_logic_high +*CAP +1 *22560:TE 7.70297e-05 +2 *5063:DIODE 0 +3 *22570:HI[44] 0.00191703 +4 *2271:8 0.00199406 +5 *1176:28 *2271:8 0.000105836 +6 *1946:48 *22560:TE 6.78549e-05 +7 *1946:48 *2271:8 0.000654721 +8 *1946:58 *22560:TE 2.36781e-05 +9 *1950:22 *2271:8 0.000141764 +10 *2241:28 *2271:8 4.60607e-05 +11 *2270:11 *2271:8 3.54833e-06 +*RES +1 *22570:HI[44] *2271:8 38.486 +2 *2271:8 *5063:DIODE 9.24915 +3 *2271:8 *22560:TE 11.6846 +*END + +*D_NET *2272 0.0673193 +*CONN +*I *23240:B I *D sky130_fd_sc_hd__and2_1 +*I *5787:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[450] O *D mprj_logic_high +*CAP +1 *23240:B 3.41732e-05 +2 *5787:DIODE 0.000155477 +3 *22570:HI[450] 0.000116576 +4 *2272:44 0.000987924 +5 *2272:42 0.00118703 +6 *2272:39 0.000885465 +7 *2272:28 0.000957227 +8 *2272:27 0.00166433 +9 *2272:16 0.00170747 +10 *2272:15 0.000569409 +11 *2272:10 0.000682094 +12 *2272:9 0.000732922 +13 *5787:DIODE *2275:22 0.000364356 +14 *5787:DIODE *2677:18 5.47232e-06 +15 *5787:DIODE *2796:50 0 +16 *2272:10 *2325:10 0.00618114 +17 *2272:27 *21313:A 6.8908e-06 +18 *2272:27 *2924:35 0.00101046 +19 *2272:27 *2924:52 4.42985e-05 +20 *2272:28 *2275:10 8.14875e-05 +21 *2272:39 *2297:12 0.00158311 +22 *2272:39 *2301:12 0.00158311 +23 *2272:39 *3139:23 6.01745e-05 +24 *2272:44 *23240:A 5.07314e-05 +25 *2272:44 *2275:10 0.000217283 +26 *2272:44 *2275:22 6.30801e-05 +27 *2272:44 *2796:50 6.23875e-05 +28 *22361:A *2272:39 8.62625e-06 +29 *1141:42 *2272:39 0.00014365 +30 *1169:11 *5787:DIODE 0.000311249 +31 *1169:23 *2272:42 0.00389671 +32 *1169:23 *2272:44 1.67988e-05 +33 *1169:31 *2272:28 0.00435052 +34 *1169:42 *2272:27 0.000390298 +35 *1169:43 *2272:10 3.2967e-05 +36 *1169:43 *2272:16 0.00543276 +37 *1198:52 *2272:39 8.62625e-06 +38 *1207:9 *5787:DIODE 1.5006e-05 +39 *1207:9 *23240:B 6.08467e-05 +40 *1207:9 *2272:42 1.67988e-05 +41 *1207:9 *2272:44 0.00595093 +42 *1458:10 *5787:DIODE 7.5119e-05 +43 *2080:49 *2272:27 4.69495e-06 +44 *2090:21 *2272:39 5.41992e-05 +45 *2097:14 *2272:27 0.000373434 +46 *2098:33 *2272:39 0 +47 *2243:23 *2272:10 0.000847207 +48 *2243:23 *2272:16 0.000252038 +49 *2270:12 *2272:10 0.00494848 +50 *2270:24 *2272:10 0.00108829 +51 *2270:24 *2272:16 0.00542576 +52 *2270:24 *2272:28 0.00454582 +53 *2270:24 *2272:42 0.00384082 +54 *2270:34 *2272:44 0.00423356 +*RES +1 *22570:HI[450] *2272:9 6.08773 +2 *2272:9 *2272:10 66.7212 +3 *2272:10 *2272:15 10.0015 +4 *2272:15 *2272:16 58.4022 +5 *2272:16 *2272:27 20.1397 +6 *2272:27 *2272:28 48.9739 +7 *2272:28 *2272:39 42.3475 +8 *2272:39 *2272:42 42.3428 +9 *2272:42 *2272:44 64.5028 +10 *2272:44 *5787:DIODE 24.8261 +11 *2272:44 *23240:B 10.5513 +*END + +*D_NET *2273 0.0619825 +*CONN +*I *5789:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23241:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[451] O *D mprj_logic_high +*CAP +1 *5789:DIODE 5.77387e-05 +2 *23241:B 0 +3 *22570:HI[451] 0.000469165 +4 *2273:35 0.000494803 +5 *2273:32 0.00140684 +6 *2273:20 0.00448162 +7 *2273:18 0.00399832 +8 *2273:15 0.000955638 +9 *2273:15 *2279:28 0.000110984 +10 *2273:18 *2332:34 0.000387158 +11 *2273:20 *21538:A 0.000128592 +12 *2273:32 *3722:DIODE 0.00019519 +13 *2273:32 *3728:DIODE 3.14978e-05 +14 *2273:32 *21532:A 6.50586e-05 +15 *2273:32 *22373:A_N 0.000370815 +16 *2273:32 *2794:27 6.08467e-05 +17 *2273:32 *2794:29 6.08467e-05 +18 *2273:32 *2797:26 0.000212583 +19 *2273:32 *2803:31 0.00175533 +20 *2273:35 *2413:12 0.000768267 +21 *4681:DIODE *2273:32 0.000512898 +22 *6027:DIODE *2273:32 0.000290735 +23 *6488:DIODE *2273:20 7.22498e-05 +24 *22251:TE *2273:20 3.14978e-05 +25 *22367:TE *2273:32 2.55661e-06 +26 *23360:B *2273:32 5.60587e-05 +27 *23591:TE *2273:20 0.000164843 +28 *1155:9 *2273:20 0.000810042 +29 *1169:43 *2273:15 0.00231866 +30 *1454:15 *2273:20 5.07314e-05 +31 *1479:5 *2273:32 0.00011818 +32 *1488:5 *5789:DIODE 0.000164829 +33 *1727:9 *2273:20 0.000197119 +34 *1973:34 *5789:DIODE 0.000266846 +35 *1975:30 *5789:DIODE 4.82966e-05 +36 *1980:67 *2273:35 0.000893843 +37 *2097:14 *2273:20 0.000212637 +38 *2103:23 *2273:35 2.89873e-05 +39 *2115:26 *2273:20 0.0163734 +40 *2136:45 *2273:20 0.000133887 +41 *2241:38 *2273:15 4.88764e-06 +42 *2248:26 *2273:18 0.00255146 +43 *2248:26 *2273:20 0.00122107 +44 *2262:16 *2273:18 0.000485438 +45 *2262:16 *2273:20 0.0161256 +46 *2264:16 *2273:20 0.000499765 +47 *2270:12 *2273:15 0.00233475 +*RES +1 *22570:HI[451] *2273:15 42.0457 +2 *2273:15 *2273:18 29.0202 +3 *2273:18 *2273:20 245.581 +4 *2273:20 *2273:32 49.7976 +5 *2273:32 *2273:35 21.6963 +6 *2273:35 *23241:B 9.24915 +7 *2273:35 *5789:DIODE 12.7697 +*END + +*D_NET *2274 0.0772285 +*CONN +*I *23242:B I *D sky130_fd_sc_hd__and2_1 +*I *5791:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[452] O *D mprj_logic_high +*CAP +1 *23242:B 0 +2 *5791:DIODE 0.00010619 +3 *22570:HI[452] 0.00576195 +4 *2274:33 0.00113461 +5 *2274:32 0.00364613 +6 *2274:24 0.00837966 +7 *5791:DIODE *2477:31 1.43848e-05 +8 *5791:DIODE *2678:8 0.000119171 +9 *5791:DIODE *2679:11 0.000115573 +10 *2274:24 *2404:21 1.22083e-05 +11 *2274:24 *2621:26 0.00133186 +12 *2274:24 *2625:30 1.5714e-05 +13 *2274:24 *2915:42 7.13655e-06 +14 *2274:24 *3262:20 0.000494849 +15 *2274:32 *2921:36 1.5714e-05 +16 *2274:33 *23241:A 0.000221922 +17 *2274:33 *2532:14 5.74984e-05 +18 *2274:33 *2678:8 0.00147644 +19 *2274:33 *2679:11 3.04407e-05 +20 *2274:33 *2794:24 1.86001e-05 +21 *1954:25 *2274:24 0.00131028 +22 *1968:43 *2274:24 0.0180737 +23 *1968:54 *2274:32 0.0114218 +24 *1969:10 *2274:24 0.000335454 +25 *1970:57 *2274:24 0.00213853 +26 *1973:28 *2274:24 0.000311261 +27 *1977:32 *2274:24 0.00261273 +28 *1977:42 *2274:24 0.00355474 +29 *1977:42 *2274:32 0.00471876 +30 *1980:67 *2274:33 0.00130426 +31 *1984:33 *2274:24 0.000898189 +32 *1989:52 *2274:24 8.18393e-05 +33 *2031:27 *2274:24 8.3051e-06 +34 *2070:34 *2274:24 0.00646645 +35 *2103:23 *2274:33 0 +36 *2130:25 *2274:32 8.32108e-05 +37 *2243:23 *2274:24 0.000116084 +38 *2254:35 *2274:24 0.00079656 +39 *2265:23 *2274:24 3.6211e-05 +*RES +1 *22570:HI[452] *2274:24 48.9408 +2 *2274:24 *2274:32 27.6525 +3 *2274:32 *2274:33 35.675 +4 *2274:33 *5791:DIODE 16.7198 +5 *2274:33 *23242:B 13.7491 +*END + +*D_NET *2275 0.0663074 +*CONN +*I *5793:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23243:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[453] O *D mprj_logic_high +*CAP +1 *5793:DIODE 3.957e-05 +2 *23243:B 0 +3 *22570:HI[453] 0.000110308 +4 *2275:30 0.00200314 +5 *2275:22 0.00384844 +6 *2275:10 0.00869185 +7 *2275:9 0.00691729 +8 *2275:10 *2332:34 0.000557579 +9 *2275:22 *21328:A 0.000174718 +10 *2275:22 *23240:A 5.07314e-05 +11 *2275:22 *2794:40 0.000171308 +12 *2275:22 *2796:50 6.73351e-05 +13 *2275:22 *2800:27 9.12416e-06 +14 *2275:22 *2812:23 0.000107496 +15 *2275:22 *2812:29 0.00039261 +16 *2275:22 *2812:43 5.08751e-05 +17 *2275:30 *2804:30 0.000444274 +18 *2275:30 *3224:8 0 +19 *5787:DIODE *2275:22 0.000364356 +20 *1146:17 *5793:DIODE 0.000277488 +21 *1146:17 *2275:30 6.50727e-05 +22 *1169:23 *2275:10 0.00831267 +23 *1169:29 *2275:10 0.000136425 +24 *1169:31 *2275:10 0.004962 +25 *1169:43 *2275:10 0.0132194 +26 *1210:8 *2275:30 0 +27 *1224:19 *2275:22 0.000206936 +28 *1453:11 *2275:10 0.000370801 +29 *1490:5 *5793:DIODE 0.000166876 +30 *1973:39 *2275:30 0.000150215 +31 *2097:14 *2275:10 0.000327383 +32 *2243:23 *2275:10 0.000135223 +33 *2248:26 *2275:10 0.0133448 +34 *2270:24 *2275:10 6.8085e-05 +35 *2270:34 *2275:10 0.000201253 +36 *2272:28 *2275:10 8.14875e-05 +37 *2272:44 *2275:10 0.000217283 +38 *2272:44 *2275:22 6.30801e-05 +*RES +1 *22570:HI[453] *2275:9 6.08773 +2 *2275:9 *2275:10 310.192 +3 *2275:10 *2275:22 45.6395 +4 *2275:22 *2275:30 48.2386 +5 *2275:30 *23243:B 9.24915 +6 *2275:30 *5793:DIODE 12.191 +*END + +*D_NET *2276 0.0658489 +*CONN +*I *5795:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23244:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[454] O *D mprj_logic_high +*CAP +1 *5795:DIODE 3.09798e-05 +2 *23244:B 0 +3 *22570:HI[454] 0.0084643 +4 *2276:25 0.00233139 +5 *2276:18 0.0107647 +6 *5795:DIODE *2804:33 0.00027329 +7 *5795:DIODE *3198:31 4.33819e-05 +8 *2276:18 *2277:22 0.00178142 +9 *2276:18 *2277:31 0.000800956 +10 *2276:18 *2278:14 0.00536382 +11 *2276:25 *2277:31 0.00682591 +12 *2276:25 *2278:14 0.00101739 +13 *2276:25 *2681:8 0.000146404 +14 *1209:8 *2276:25 0 +15 *1491:5 *5795:DIODE 0.000171273 +16 *1983:26 *2276:18 0.000105994 +17 *2031:27 *2276:18 0.000799662 +18 *2066:16 *2276:18 0.000782152 +19 *2243:23 *2276:18 3.6211e-05 +20 *2246:25 *2276:18 1.45203e-05 +21 *2265:23 *2276:18 0.000116084 +22 *2265:27 *2276:18 0.0259791 +*RES +1 *22570:HI[454] *2276:18 46.3007 +2 *2276:18 *2276:25 19.8529 +3 *2276:25 *23244:B 9.24915 +4 *2276:25 *5795:DIODE 12.191 +*END + +*D_NET *2277 0.0839823 +*CONN +*I *23245:B I *D sky130_fd_sc_hd__and2_1 +*I *5797:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[455] O *D mprj_logic_high +*CAP +1 *23245:B 0 +2 *5797:DIODE 4.47266e-05 +3 *22570:HI[455] 0.00448553 +4 *2277:31 0.00376123 +5 *2277:22 0.00820204 +6 *5797:DIODE *3348:13 0.0002817 +7 *2277:22 *2278:13 6.21462e-05 +8 *2277:22 *2278:14 0.000605787 +9 *2277:22 *2279:28 0.000168189 +10 *2277:22 *2623:18 0.00077092 +11 *2277:31 *23245:A 7.13655e-06 +12 *2277:31 *2278:14 4.93735e-05 +13 *2277:31 *2707:26 0 +14 *2277:31 *2921:36 0.000121084 +15 *1141:42 *2277:22 0.000126008 +16 *1180:12 *2277:22 2.70631e-05 +17 *1209:8 *2277:31 7.84597e-06 +18 *1333:6 *2277:22 9.4901e-06 +19 *1492:5 *5797:DIODE 0.000175485 +20 *1983:26 *2277:22 0.0187124 +21 *1983:30 *2277:31 0.0111003 +22 *1987:26 *5797:DIODE 4.33819e-05 +23 *2098:33 *2277:31 0.000121084 +24 *2130:25 *2277:31 0 +25 *2131:20 *2277:22 0.00076283 +26 *2243:23 *2277:22 8.15662e-05 +27 *2265:23 *2277:22 0.000493172 +28 *2265:27 *2277:22 0.0187202 +29 *2265:27 *2277:31 0.00563342 +30 *2276:18 *2277:22 0.00178142 +31 *2276:18 *2277:31 0.000800956 +32 *2276:25 *2277:31 0.00682591 +*RES +1 *22570:HI[455] *2277:22 48.469 +2 *2277:22 *2277:31 34.4018 +3 *2277:31 *5797:DIODE 12.7697 +4 *2277:31 *23245:B 9.24915 +*END + +*D_NET *2278 0.0527101 +*CONN +*I *23246:B I *D sky130_fd_sc_hd__and2_1 +*I *5799:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[456] O *D mprj_logic_high +*CAP +1 *23246:B 0 +2 *5799:DIODE 0.000121977 +3 *22570:HI[456] 0.000375328 +4 *2278:19 0.000161319 +5 *2278:14 0.0139934 +6 *2278:13 0.0143294 +7 *5799:DIODE *2804:33 4.56667e-05 +8 *2278:13 *2360:33 0.00054311 +9 *2278:14 *2633:48 0.000528096 +10 *2278:14 *2804:33 0.000118134 +11 *2278:14 *2812:23 0.00378481 +12 *2278:14 *3198:5 0.00020476 +13 *2278:14 *3350:47 0.000907095 +14 *2278:19 *2683:6 8.62625e-06 +15 *1493:5 *5799:DIODE 0.000167076 +16 *1967:43 *2278:19 8.51012e-06 +17 *1968:55 *2278:19 1.51692e-05 +18 *2066:16 *2278:13 0.000556755 +19 *2117:14 *2278:14 0.00306319 +20 *2117:21 *2278:14 0.00446784 +21 *2243:23 *2278:13 6.21462e-05 +22 *2246:25 *2278:14 0.00214919 +23 *2276:18 *2278:14 0.00536382 +24 *2276:25 *2278:14 0.00101739 +25 *2277:22 *2278:13 6.21462e-05 +26 *2277:22 *2278:14 0.000605787 +27 *2277:31 *2278:14 4.93735e-05 +*RES +1 *22570:HI[456] *2278:13 6.72707 +2 *2278:13 *2278:14 49.8067 +3 *2278:14 *2278:19 8.66265 +4 *2278:19 *5799:DIODE 12.7697 +5 *2278:19 *23246:B 9.24915 +*END + +*D_NET *2279 0.0738657 +*CONN +*I *5801:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23247:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[457] O *D mprj_logic_high +*CAP +1 *5801:DIODE 0.000125024 +2 *23247:B 0 +3 *22570:HI[457] 0.00379026 +4 *2279:39 0.0105356 +5 *2279:28 0.0142008 +6 *5801:DIODE *23247:A 1.61631e-05 +7 *2279:28 *2284:17 6.21462e-05 +8 *2279:28 *2349:50 8.89328e-05 +9 *2279:39 *2535:16 4.40272e-05 +10 *2279:39 *2809:14 4.04447e-05 +11 *1169:43 *2279:28 0.000120719 +12 *1173:18 *2279:28 0.000247628 +13 *1173:18 *2279:39 0.00771804 +14 *1494:10 *5801:DIODE 0.000132202 +15 *1953:14 *2279:28 0.000120873 +16 *1957:25 *2279:39 0.00216245 +17 *1958:8 *2279:28 0.000388665 +18 *1959:14 *2279:28 0.000425988 +19 *1966:13 *2279:28 0.00201414 +20 *1970:73 *2279:28 8.32734e-06 +21 *1972:8 *2279:28 0.00036442 +22 *1972:19 *2279:39 0.000249285 +23 *1978:10 *2279:28 0.000111125 +24 *1978:46 *5801:DIODE 0.000197119 +25 *1981:22 *2279:28 0.00331597 +26 *1981:22 *2279:39 0.000199819 +27 *1984:33 *2279:28 0.000535801 +28 *2005:10 *2279:28 2.27175e-05 +29 *2081:20 *2279:28 0.00178308 +30 *2088:24 *2279:28 4.20625e-05 +31 *2097:14 *2279:28 6.13853e-05 +32 *2109:32 *2279:28 7.69987e-05 +33 *2109:32 *2279:39 0.00349238 +34 *2109:36 *2279:39 0.00453298 +35 *2114:7 *2279:28 7.69987e-05 +36 *2121:27 *2279:28 0.00163317 +37 *2236:19 *2279:28 0.00173047 +38 *2243:23 *2279:28 0.00108019 +39 *2254:41 *2279:28 0.00852498 +40 *2254:41 *2279:39 0.00281557 +41 *2263:19 *2279:28 0.000431265 +42 *2265:23 *2279:28 6.62487e-05 +43 *2273:15 *2279:28 0.000110984 +44 *2277:22 *2279:28 0.000168189 +*RES +1 *22570:HI[457] *2279:28 40.7668 +2 *2279:28 *2279:39 45.3729 +3 *2279:39 *23247:B 9.24915 +4 *2279:39 *5801:DIODE 14.4094 +*END + +*D_NET *2280 0.102395 +*CONN +*I *5601:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23083:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[458] O *D mprj_logic_high +*CAP +1 *5601:DIODE 0.000119602 +2 *23083:B 0 +3 *22570:HI[458] 0.001372 +4 *2280:49 0.000169098 +5 *2280:44 0.015552 +6 *2280:43 0.0162274 +7 *2280:26 0.0104707 +8 *2280:24 0.0107864 +9 *2280:20 0.00134322 +10 *2280:14 0.00167872 +11 *2280:13 0.00299441 +12 *2280:10 0.00284529 +13 *2280:7 0.00259903 +14 *5601:DIODE *3056:11 2.16355e-05 +15 *5601:DIODE *3475:10 5.07314e-05 +16 *2280:7 *2281:7 0 +17 *2280:13 *2291:22 0 +18 *2280:13 *2293:12 3.20069e-06 +19 *2280:13 *2349:36 6.73662e-05 +20 *2280:13 *2475:12 0 +21 *2280:13 *2475:19 0.000101351 +22 *2280:13 *3149:36 0.000306482 +23 *2280:13 *3161:22 0 +24 *2280:14 *2447:9 0.000775635 +25 *2280:14 *2893:75 0.000182065 +26 *2280:14 *3159:26 0.000490105 +27 *2280:14 *3436:23 0.00199045 +28 *2280:20 *21301:A 0.000262739 +29 *2280:20 *2447:9 0.00103959 +30 *2280:20 *2908:46 9.82896e-06 +31 *2280:24 *2447:9 0.000187426 +32 *2280:24 *2908:46 0.000716703 +33 *2280:24 *3028:25 0.000202229 +34 *2280:24 *3163:27 0.00182927 +35 *2280:24 *3165:19 0.00300971 +36 *2280:26 *2350:17 0.0128329 +37 *2280:26 *2503:15 0.000639777 +38 *2280:26 *3028:25 0.000366132 +39 *2280:43 *2355:16 3.02954e-05 +40 *2280:43 *2503:15 0.0015962 +41 *2280:43 *3028:25 0.000593585 +42 *2280:44 *2427:9 0.00045831 +43 *2280:44 *2551:9 0.000257998 +44 *2280:44 *3028:25 9.24602e-05 +45 *2280:44 *3079:5 0.00432886 +46 *2280:49 *2422:20 2.01653e-05 +47 *2280:49 *2696:8 0.000122068 +48 *2280:49 *2707:12 1.2366e-05 +49 *2280:49 *3056:11 7.14746e-05 +50 *22262:TE *2280:43 7.34948e-06 +51 *22262:TE *2280:44 1.41976e-05 +52 *1161:65 *2280:10 0.00199918 +53 *1226:10 *2280:43 0 +54 *1434:14 *2280:13 0 +55 *1435:10 *2280:13 0.000672586 +56 *1738:9 *2280:43 0.000679822 +57 *1948:80 *2280:7 0.000128702 +58 *2066:17 *2280:7 0 +59 *2132:38 *2280:13 2.64311e-05 +60 *2262:11 *2280:7 3.98148e-05 +*RES +1 *22570:HI[458] *2280:7 28.8777 +2 *2280:7 *2280:10 26.2983 +3 *2280:10 *2280:13 42.0437 +4 *2280:13 *2280:14 49.5285 +5 *2280:14 *2280:20 12.8282 +6 *2280:20 *2280:24 47.3342 +7 *2280:24 *2280:26 171.264 +8 *2280:26 *2280:43 36.5328 +9 *2280:43 *2280:44 233.657 +10 *2280:44 *2280:49 11.2472 +11 *2280:49 *23083:B 9.24915 +12 *2280:49 *5601:DIODE 12.191 +*END + +*D_NET *2281 0.101063 +*CONN +*I *5603:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23084:B I *D sky130_fd_sc_hd__and2_1 +*I *22570:HI[459] O *D mprj_logic_high +*CAP +1 *5603:DIODE 0.000118751 +2 *23084:B 0 +3 *22570:HI[459] 0.000126111 +4 *2281:32 0.00116889 +5 *2281:28 0.00290876 +6 *2281:26 0.00259829 +7 *2281:20 0.00350966 +8 *2281:18 0.00279063 +9 *2281:16 0.00352906 +10 *2281:15 0.00473375 +11 *2281:7 0.00443402 +12 *2281:5 0.00333481 +13 *5603:DIODE *23084:A 0.000160617 +14 *2281:7 *2282:7 0.000756467 +15 *2281:7 *2283:13 0 +16 *2281:7 *2469:14 0 +17 *2281:7 *2469:18 0 +18 *2281:7 *3147:34 0 +19 *2281:7 *3149:32 0.000511921 +20 *2281:7 *3273:8 0 +21 *2281:7 *3440:21 0 +22 *2281:15 *2452:18 0.000126934 +23 *2281:15 *2463:25 8.19081e-05 +24 *2281:15 *3148:16 0.000145288 +25 *2281:15 *3156:12 7.09666e-06 +26 *2281:15 *3157:5 0.000226703 +27 *2281:15 *3295:6 0 +28 *2281:15 *3431:16 0 +29 *2281:16 *2352:11 0.00337138 +30 *2281:16 *2433:11 0.000628015 +31 *2281:16 *2441:11 0.00865151 +32 *2281:16 *2450:9 0.0180361 +33 *2281:16 *2498:14 0.000115848 +34 *2281:16 *2498:26 0.000560083 +35 *2281:16 *2502:9 0.0181308 +36 *2281:16 *2573:9 0.00357819 +37 *2281:20 *2433:11 0.00581361 +38 *2281:20 *2573:9 0.00432977 +39 *2281:26 *2573:9 0.00174805 +40 *2281:26 *2629:13 0.000259208 +41 *2281:28 *2629:13 0.00311507 +42 *2281:32 *3476:10 4.31539e-05 +43 *6522:DIODE *2281:20 0.000636366 +44 *6529:DIODE *2281:28 0.000454422 +45 *1308:10 *2281:7 2.1946e-05 +46 *1822:10 *2281:7 0.0001627 +47 *1867:17 *2281:28 0.000115934 +48 *2066:17 *2281:7 0 +49 *2262:11 *2281:7 2.1213e-05 +50 *2280:7 *2281:7 0 +*RES +1 *22570:HI[459] *2281:5 1.20912 +2 *2281:5 *2281:7 67.6495 +3 *2281:7 *2281:15 35.5891 +4 *2281:15 *2281:16 319.898 +5 *2281:16 *2281:18 0.578717 +6 *2281:18 *2281:20 116.636 +7 *2281:20 *2281:26 26.2834 +8 *2281:26 *2281:28 58.4022 +9 *2281:28 *2281:32 24.3182 +10 *2281:32 *23084:B 9.24915 +11 *2281:32 *5603:DIODE 12.191 +*END + +*D_NET *2282 0.00837749 +*CONN +*I *22563:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5069:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[45] O *D mprj_logic_high +*CAP +1 *22563:TE 4.02246e-05 +2 *5069:DIODE 0 +3 *22570:HI[45] 0.000704102 +4 *2282:8 0.000510468 +5 *2282:7 0.00117435 +6 *22563:TE *2294:18 0.000142429 +7 *22563:TE *2324:16 0.000167692 +8 *2282:7 *2283:13 0 +9 *2282:8 *2294:18 0.00106327 +10 *2282:8 *2324:16 0.00286952 +11 *1164:33 *2282:8 0.000433888 +12 *1943:35 *2282:8 0.000515081 +13 *2281:7 *2282:7 0.000756467 +*RES +1 *22570:HI[45] *2282:7 20.5727 +2 *2282:7 *2282:8 31.7812 +3 *2282:8 *5069:DIODE 9.24915 +4 *2282:8 *22563:TE 11.6605 +*END + +*D_NET *2283 0.131695 +*CONN +*I *23085:B I *D sky130_fd_sc_hd__and2_1 +*I *5605:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[460] O *D mprj_logic_high +*CAP +1 *23085:B 0 +2 *5605:DIODE 0.000190515 +3 *22570:HI[460] 0.000289832 +4 *2283:23 0.000219147 +5 *2283:18 0.0138694 +6 *2283:17 0.0154875 +7 *2283:13 0.00386605 +8 *2283:9 0.00250916 +9 *5605:DIODE *5611:DIODE 1.28832e-05 +10 *5605:DIODE *23085:A 4.81015e-05 +11 *5605:DIODE *23088:A 0.000156955 +12 *5605:DIODE *23088:B 2.53145e-06 +13 *5605:DIODE *3474:10 0.000111722 +14 *5605:DIODE *3477:5 6.11359e-06 +15 *2283:13 *21296:A 1.49589e-05 +16 *2283:13 *2285:10 0.000242722 +17 *2283:13 *2286:7 0 +18 *2283:13 *2902:38 0.000186221 +19 *2283:13 *3147:34 0.00102032 +20 *2283:13 *3284:6 0 +21 *2283:17 *3147:34 0.000644896 +22 *2283:17 *3284:6 0.00043448 +23 *2283:18 *2349:17 0.00150041 +24 *2283:18 *2460:15 0.00122985 +25 *2283:18 *2460:33 0.00113943 +26 *2283:18 *2483:24 0.00667336 +27 *2283:18 *2483:32 0.00601586 +28 *2283:18 *2483:33 0.0452976 +29 *2283:18 *2607:9 0.00010238 +30 *2283:18 *2975:39 0.000480542 +31 *2283:18 *2975:52 0.00217495 +32 *2283:18 *2981:43 0.000429161 +33 *2283:18 *2982:48 0.00741149 +34 *2283:18 *2982:60 0.0194746 +35 *2283:23 *2696:8 3.73224e-05 +36 *2283:23 *2707:8 3.73224e-05 +37 *22549:A *2283:13 3.44695e-05 +38 *1187:12 *2283:13 6.87503e-05 +39 *1310:11 *2283:17 0.000273774 +40 *2281:7 *2283:13 0 +41 *2282:7 *2283:13 0 +*RES +1 *22570:HI[460] *2283:9 3.50522 +2 *2283:9 *2283:13 48.9509 +3 *2283:13 *2283:17 43.5261 +4 *2283:17 *2283:18 75.9281 +5 *2283:18 *2283:23 8.66265 +6 *2283:23 *5605:DIODE 14.4335 +7 *2283:23 *23085:B 9.24915 +*END + +*D_NET *2284 0.0117592 +*CONN +*I *5082:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22571:A I *D sky130_fd_sc_hd__buf_8 +*I *22570:HI[461] O *D mprj_logic_high +*CAP +1 *5082:DIODE 0 +2 *22571:A 8.83859e-05 +3 *22570:HI[461] 0.00174543 +4 *2284:17 0.00183382 +5 *22571:A *3373:25 1.5714e-05 +6 *22571:A *3461:31 0.000161243 +7 *2284:17 *2349:50 0.00297345 +8 *2284:17 *2495:22 0.00136535 +9 *2284:17 *3295:21 0.000504096 +10 *2284:17 *3373:25 0.00137425 +11 *1151:39 *22571:A 2.54369e-05 +12 *1151:46 *22571:A 2.57847e-05 +13 *2077:19 *2284:17 0.00153643 +14 *2097:14 *2284:17 1.93857e-05 +15 *2256:31 *22571:A 9.12416e-06 +16 *2256:31 *2284:17 1.91246e-05 +17 *2279:28 *2284:17 6.21462e-05 +*RES +1 *22570:HI[461] *2284:17 17.4753 +2 *2284:17 *22571:A 16.5832 +3 *2284:17 *5082:DIODE 13.7491 +*END + +*D_NET *2285 0.00948919 +*CONN +*I *6509:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23601:B I *D sky130_fd_sc_hd__and2_4 +*I *22570:HI[462] O *D mprj_logic_high +*CAP +1 *6509:DIODE 0 +2 *23601:B 0.000202241 +3 *22570:HI[462] 0.00139227 +4 *2285:16 0.0017991 +5 *2285:10 0.00298913 +6 *23601:B *2294:26 4.0752e-05 +7 *23601:B *2303:13 7.60356e-05 +8 *23601:B *2309:17 2.13597e-05 +9 *23601:B *2310:14 1.35504e-05 +10 *23601:B *3478:15 0.000105838 +11 *2285:10 *22569:TE 6.08467e-05 +12 *2285:10 *2286:7 0.000145505 +13 *2285:10 *2289:17 0.000194191 +14 *2285:10 *2458:7 0.000113896 +15 *2285:16 *22569:TE 1.99308e-05 +16 *2285:16 *2289:17 0.000527836 +17 *2285:16 *2302:8 2.70901e-05 +18 *2285:16 *2309:17 0.000107496 +19 *5068:DIODE *2285:16 6.08467e-05 +20 *22549:A *2285:10 4.15661e-05 +21 *22560:A *2285:10 5.75105e-05 +22 *1187:12 *2285:10 6.51527e-05 +23 *1943:35 *2285:10 6.22114e-05 +24 *1943:36 *23601:B 6.73186e-05 +25 *1946:58 *2285:10 8.0084e-05 +26 *1946:58 *2285:16 0.000613938 +27 *1946:66 *2285:16 0.000360779 +28 *2283:13 *2285:10 0.000242722 +*RES +1 *22570:HI[462] *2285:10 35.5978 +2 *2285:10 *2285:16 29.2011 +3 *2285:16 *23601:B 23.1595 +4 *2285:16 *6509:DIODE 9.24915 +*END + +*D_NET *2286 0.00732385 +*CONN +*I *22564:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5071:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[46] O *D mprj_logic_high +*CAP +1 *22564:TE 5.99913e-05 +2 *5071:DIODE 0 +3 *22570:HI[46] 0.00184127 +4 *2286:8 0.00116614 +5 *2286:7 0.00294741 +6 *2286:7 *2287:8 0.000322727 +7 *2286:7 *2458:10 0 +8 *2286:7 *3284:6 0.000327565 +9 *2286:8 *2341:16 9.00479e-06 +10 *2286:8 *2341:18 3.02812e-05 +11 *2286:8 *3156:7 8.01725e-05 +12 *1306:18 *22564:TE 6.08467e-05 +13 *1943:35 *2286:7 2.10081e-05 +14 *2131:20 *22564:TE 0.000116755 +15 *2131:20 *2286:8 0.000195177 +16 *2283:13 *2286:7 0 +17 *2285:10 *2286:7 0.000145505 +*RES +1 *22570:HI[46] *2286:7 42.1658 +2 *2286:7 *2286:8 16.8069 +3 *2286:8 *5071:DIODE 9.24915 +4 *2286:8 *22564:TE 11.5158 +*END + +*D_NET *2287 0.00625989 +*CONN +*I *22565:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5073:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[47] O *D mprj_logic_high +*CAP +1 *22565:TE 0.000106366 +2 *5073:DIODE 0 +3 *22570:HI[47] 0.00192242 +4 *2287:8 0.00202879 +5 *22565:TE *2475:12 4.66171e-05 +6 *22565:TE *3169:9 0.000110297 +7 *2287:8 *2288:7 6.77263e-05 +8 *2287:8 *2458:10 0.000757422 +9 *2287:8 *3169:9 0.00067297 +10 *1435:10 *22565:TE 1.60717e-05 +11 *2119:34 *2287:8 6.56823e-05 +12 *2241:28 *2287:8 5.84166e-05 +13 *2247:19 *2287:8 8.43784e-05 +14 *2286:7 *2287:8 0.000322727 +*RES +1 *22570:HI[47] *2287:8 46.2224 +2 *2287:8 *5073:DIODE 9.24915 +3 *2287:8 *22565:TE 20.5286 +*END + +*D_NET *2288 0.0107728 +*CONN +*I *5075:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22566:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[48] O *D mprj_logic_high +*CAP +1 *5075:DIODE 5.41485e-05 +2 *22566:TE 0.000176793 +3 *22570:HI[48] 0.00171578 +4 *2288:8 0.00062484 +5 *2288:7 0.00210968 +6 *22566:TE *2305:5 0 +7 *22566:TE *3317:17 0.000180534 +8 *2288:7 *2289:17 5.37442e-06 +9 *2288:7 *2458:10 0.000289435 +10 *2288:7 *3156:12 0.000131716 +11 *2288:8 *2454:21 0.00161446 +12 *22560:A *2288:7 5.78953e-05 +13 *1198:60 *2288:7 0.000693141 +14 *2119:34 *2288:7 0.000238675 +15 *2126:25 *2288:7 0.000302926 +16 *2131:20 *2288:7 0 +17 *2134:20 *5075:DIODE 0.000167701 +18 *2134:20 *2288:8 0.00206662 +19 *2240:28 *2288:7 3.7113e-05 +20 *2247:19 *2288:7 0.000238186 +21 *2287:8 *2288:7 6.77263e-05 +*RES +1 *22570:HI[48] *2288:7 45.9031 +2 *2288:7 *2288:8 22.9075 +3 *2288:8 *22566:TE 22.1574 +4 *2288:8 *5075:DIODE 11.0817 +*END + +*D_NET *2289 0.0123637 +*CONN +*I *22567:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5077:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[49] O *D mprj_logic_high +*CAP +1 *22567:TE 5.24743e-05 +2 *5077:DIODE 0 +3 *22570:HI[49] 0.00124537 +4 *2289:24 0.000833469 +5 *2289:17 0.00202636 +6 *22567:TE *2291:34 0.000120962 +7 *2289:17 *22569:TE 0.000109519 +8 *2289:17 *2290:8 0.000138938 +9 *2289:17 *2291:22 0 +10 *2289:17 *2293:12 0 +11 *2289:17 *2295:11 0 +12 *2289:17 *2296:9 0.000142376 +13 *2289:17 *2469:8 3.3239e-06 +14 *2289:24 *2291:34 2.18145e-05 +15 *2289:24 *2296:12 0.00268735 +16 *2289:24 *2300:13 0.0021901 +17 *2289:24 *2315:14 7.09666e-06 +18 *22560:A *2289:17 2.6333e-05 +19 *1138:34 *2289:17 1.91391e-05 +20 *1161:57 *22567:TE 3.07133e-05 +21 *1161:63 *22567:TE 1.64789e-05 +22 *1161:65 *2289:24 0.000218017 +23 *1198:60 *2289:17 0.000242466 +24 *1946:58 *2289:17 0.00112566 +25 *1948:84 *2289:24 0.000229449 +26 *2240:28 *2289:17 8.86025e-05 +27 *2241:38 *2289:17 6.02809e-05 +28 *2285:10 *2289:17 0.000194191 +29 *2285:16 *2289:17 0.000527836 +30 *2288:7 *2289:17 5.37442e-06 +*RES +1 *22570:HI[49] *2289:17 49.5 +2 *2289:17 *2289:24 45.6916 +3 *2289:24 *5077:DIODE 9.24915 +4 *2289:24 *22567:TE 11.5158 +*END + +*D_NET *2290 0.00316488 +*CONN +*I *22577:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5094:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[4] O *D mprj_logic_high +*CAP +1 *22577:TE 3.19097e-05 +2 *5094:DIODE 4.3163e-05 +3 *22570:HI[4] 0.00115784 +4 *2290:8 0.00123291 +5 *2290:8 *2291:22 0 +6 *1948:80 *5094:DIODE 1.84293e-05 +7 *1948:80 *22577:TE 3.41459e-05 +8 *1948:80 *2290:8 0.000132295 +9 *1988:31 *2290:8 0.000205202 +10 *2241:38 *2290:8 0.00017004 +11 *2289:17 *2290:8 0.000138938 +*RES +1 *22570:HI[4] *2290:8 27.3883 +2 *2290:8 *5094:DIODE 9.97254 +3 *2290:8 *22577:TE 10.2378 +*END + +*D_NET *2291 0.0262177 +*CONN +*I *22568:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5079:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[50] O *D mprj_logic_high +*CAP +1 *22568:TE 3.15369e-05 +2 *5079:DIODE 0 +3 *22570:HI[50] 0.001811 +4 *2291:40 0.000474292 +5 *2291:38 0.000799531 +6 *2291:34 0.00104335 +7 *2291:22 0.00249756 +8 *2291:22 *22569:TE 3.98985e-05 +9 *2291:22 *2292:5 0.000823719 +10 *2291:22 *2293:12 0 +11 *2291:22 *2320:19 2.93194e-05 +12 *2291:22 *2442:8 9.12416e-06 +13 *2291:22 *2469:8 0 +14 *2291:34 *5033:DIODE 0.000167625 +15 *2291:34 *22539:TE 0.000126055 +16 *2291:34 *2293:20 6.40319e-05 +17 *2291:34 *2299:8 0.000169122 +18 *2291:34 *2312:11 0.000468427 +19 *5032:DIODE *2291:22 6.3657e-05 +20 *22539:A *2291:38 0.000160098 +21 *22567:A *2291:34 2.79507e-05 +22 *22567:TE *2291:34 0.000120962 +23 *22577:A *2291:22 2.57847e-05 +24 *1138:34 *2291:22 1.77537e-06 +25 *1161:53 *22568:TE 0.000142194 +26 *1161:53 *2291:40 0.00351132 +27 *1161:57 *2291:34 0.00052527 +28 *1161:57 *2291:38 0.00251721 +29 *1161:57 *2291:40 0.000215665 +30 *1161:65 *2291:22 0.000116014 +31 *1161:65 *2291:34 0.000911642 +32 *1168:36 *2291:38 0.000130331 +33 *1177:45 *22568:TE 0.000158357 +34 *1177:45 *2291:38 0.000619227 +35 *1177:45 *2291:40 0.00403737 +36 *1183:19 *2291:34 4.56831e-05 +37 *1183:19 *2291:38 0.000186091 +38 *1183:31 *2291:22 2.63422e-05 +39 *1183:31 *2291:34 0.00382753 +40 *1948:80 *2291:22 0.000212198 +41 *1948:84 *2291:22 5.86422e-05 +42 *1988:31 *2291:22 0 +43 *2280:13 *2291:22 0 +44 *2289:17 *2291:22 0 +45 *2289:24 *2291:34 2.18145e-05 +46 *2290:8 *2291:22 0 +*RES +1 *22570:HI[50] *2291:22 49.211 +2 *2291:22 *2291:34 48.6846 +3 *2291:34 *2291:38 27.6458 +4 *2291:38 *2291:40 43.7052 +5 *2291:40 *5079:DIODE 9.24915 +6 *2291:40 *22568:TE 11.0817 +*END + +*D_NET *2292 0.00280294 +*CONN +*I *22569:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5081:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[51] O *D mprj_logic_high +*CAP +1 *22569:TE 5.32767e-05 +2 *5081:DIODE 0 +3 *22570:HI[51] 0.000768081 +4 *2292:5 0.000821358 +5 *22569:TE *2320:19 4.63673e-05 +6 *2292:5 *2293:12 0 +7 *2292:5 *2320:19 5.99425e-05 +8 *2285:10 *22569:TE 6.08467e-05 +9 *2285:16 *22569:TE 1.99308e-05 +10 *2289:17 *22569:TE 0.000109519 +11 *2291:22 *22569:TE 3.98985e-05 +12 *2291:22 *2292:5 0.000823719 +*RES +1 *22570:HI[51] *2292:5 17.7337 +2 *2292:5 *5081:DIODE 13.7491 +3 *2292:5 *22569:TE 16.0286 +*END + +*D_NET *2293 0.0142206 +*CONN +*I *5021:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22539:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[52] O *D mprj_logic_high +*CAP +1 *5021:DIODE 0.000213548 +2 *22539:TE 3.08321e-05 +3 *22570:HI[52] 0.0021444 +4 *2293:20 0.00229135 +5 *2293:12 0.00419137 +6 *5021:DIODE *2304:17 8.62625e-06 +7 *5021:DIODE *2467:8 0 +8 *5021:DIODE *3384:6 0 +9 *2293:12 *2294:18 0.00072457 +10 *2293:12 *2295:11 0 +11 *2293:12 *2320:19 0 +12 *2293:12 *2475:12 0 +13 *2293:20 *2295:11 0 +14 *2293:20 *2304:10 0 +15 *22567:A *5021:DIODE 1.05272e-06 +16 *22567:A *22539:TE 6.64392e-05 +17 *1137:50 *5021:DIODE 2.33193e-05 +18 *1161:65 *2293:12 0.00127293 +19 *1183:19 *22539:TE 1.5962e-05 +20 *1183:19 *2293:20 6.50586e-05 +21 *1183:28 *5021:DIODE 8.37496e-06 +22 *1183:31 *2293:20 0.00296082 +23 *1939:41 *2293:20 8.62625e-06 +24 *2280:13 *2293:12 3.20069e-06 +25 *2289:17 *2293:12 0 +26 *2291:22 *2293:12 0 +27 *2291:34 *22539:TE 0.000126055 +28 *2291:34 *2293:20 6.40319e-05 +29 *2292:5 *2293:12 0 +*RES +1 *22570:HI[52] *2293:12 48.7062 +2 *2293:12 *2293:20 41.9043 +3 *2293:20 *22539:TE 11.1059 +4 *2293:20 *5021:DIODE 21.962 +*END + +*D_NET *2294 0.0231327 +*CONN +*I *22540:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5023:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[53] O *D mprj_logic_high +*CAP +1 *22540:TE 4.70708e-05 +2 *5023:DIODE 0 +3 *22570:HI[53] 0.00102067 +4 *2294:28 0.000817475 +5 *2294:26 0.00157177 +6 *2294:18 0.00182203 +7 *22540:TE *2314:14 0.000160617 +8 *22540:TE *2345:34 0.000138268 +9 *2294:18 *2295:11 0.000110156 +10 *2294:18 *2303:13 0.000133288 +11 *2294:18 *2324:16 3.33274e-05 +12 *2294:26 *4926:DIODE 6.92705e-05 +13 *2294:26 *23079:A 0.000313342 +14 *2294:26 *23601:A 0.000264572 +15 *2294:26 *2303:13 0.000591514 +16 *2294:26 *2316:13 0.0011176 +17 *2294:26 *2323:13 0.000381433 +18 *2294:26 *3478:15 0.000933465 +19 *2294:28 *2314:14 0.00552774 +20 *2294:28 *2323:13 0.000109576 +21 *2294:28 *2345:12 0.00249775 +22 *2294:28 *2345:34 0.000542111 +23 *2294:28 *2444:7 0.000466199 +24 *4670:DIODE *2294:28 0.000328553 +25 *22362:TE *2294:28 0.000169041 +26 *22547:A *2294:28 0.000138787 +27 *22563:TE *2294:18 0.000142429 +28 *23601:B *2294:26 4.0752e-05 +29 *1164:15 *2294:26 0.000386531 +30 *1164:15 *2294:28 2.77625e-06 +31 *1164:33 *2294:18 0.000773951 +32 *1164:33 *2294:26 0.000111168 +33 *1838:7 *2294:26 9.95922e-06 +34 *1838:7 *2294:28 0.000142781 +35 *1943:36 *2294:26 0.000123868 +36 *1943:48 *2294:28 0.000150271 +37 *1951:18 *2294:28 0.000108607 +38 *2036:19 *2294:18 4.61033e-05 +39 *2282:8 *2294:18 0.00106327 +40 *2293:12 *2294:18 0.00072457 +*RES +1 *22570:HI[53] *2294:18 40.9792 +2 *2294:18 *2294:26 41.1492 +3 *2294:26 *2294:28 62.2844 +4 *2294:28 *5023:DIODE 9.24915 +5 *2294:28 *22540:TE 11.6605 +*END + +*D_NET *2295 0.00585303 +*CONN +*I *22541:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5025:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[54] O *D mprj_logic_high +*CAP +1 *22541:TE 0.000180634 +2 *5025:DIODE 0 +3 *22570:HI[54] 0.00184086 +4 *2295:11 0.00202149 +5 *22541:TE *3317:17 0.000168519 +6 *2295:11 *2296:9 0 +7 *2295:11 *2298:7 0 +8 *2295:11 *2299:8 0 +9 *2295:11 *2300:7 0.000117975 +10 *2295:11 *2318:14 0.000803607 +11 *4893:DIODE *2295:11 0 +12 *22569:A *2295:11 0.000212189 +13 *1171:14 *2295:11 4.25398e-05 +14 *1939:41 *2295:11 0 +15 *1946:58 *2295:11 0.000239273 +16 *2036:19 *2295:11 0.00011579 +17 *2289:17 *2295:11 0 +18 *2293:12 *2295:11 0 +19 *2293:20 *2295:11 0 +20 *2294:18 *2295:11 0.000110156 +*RES +1 *22570:HI[54] *2295:11 49.2352 +2 *2295:11 *5025:DIODE 13.7491 +3 *2295:11 *22541:TE 18.2471 +*END + +*D_NET *2296 0.0169453 +*CONN +*I *22542:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5027:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[55] O *D mprj_logic_high +*CAP +1 *22542:TE 0.000101861 +2 *5027:DIODE 0 +3 *22570:HI[55] 0.00122066 +4 *2296:18 0.00161232 +5 *2296:12 0.00389825 +6 *2296:9 0.00360845 +7 *22542:TE *2315:30 0.000110297 +8 *22542:TE *2341:7 5.41377e-05 +9 *22542:TE *2343:7 0 +10 *2296:9 *2297:7 0.000158533 +11 *2296:9 *2298:7 0 +12 *2296:9 *2469:8 4.95836e-05 +13 *2296:12 *2300:13 1.37531e-05 +14 *2296:12 *2315:14 0.000991568 +15 *2296:12 *2315:26 3.93284e-05 +16 *2296:18 *2315:26 0.00197668 +17 *2296:18 *2315:30 0.000117376 +18 *22567:A *2296:18 0.000162739 +19 *2289:17 *2296:9 0.000142376 +20 *2289:24 *2296:12 0.00268735 +21 *2295:11 *2296:9 0 +*RES +1 *22570:HI[55] *2296:9 26.8503 +2 *2296:9 *2296:12 42.3428 +3 *2296:12 *2296:18 32.5287 +4 *2296:18 *5027:DIODE 9.24915 +5 *2296:18 *22542:TE 20.5286 +*END + +*D_NET *2297 0.0369556 +*CONN +*I *22543:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5029:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[56] O *D mprj_logic_high +*CAP +1 *22543:TE 7.18293e-05 +2 *5029:DIODE 0 +3 *22570:HI[56] 0.000118102 +4 *2297:15 0.000161559 +5 *2297:12 0.000892339 +6 *2297:10 0.000823241 +7 *2297:8 0.00357284 +8 *2297:7 0.00367031 +9 *22543:TE *2627:14 0 +10 *2297:7 *2298:7 3.12677e-05 +11 *2297:8 *2324:10 0.000237844 +12 *2297:12 *2301:12 0.000180668 +13 *2297:15 *2627:14 0 +14 *1160:51 *2297:8 0.00358222 +15 *1185:17 *22543:TE 7.48797e-05 +16 *1191:23 *2297:12 0.0016791 +17 *1198:55 *2297:8 0.000260181 +18 *1198:55 *2297:12 0.00208694 +19 *1198:57 *2297:8 0.0118367 +20 *2087:47 *22543:TE 0 +21 *2087:47 *2297:15 0 +22 *2101:32 *2297:8 0.000826573 +23 *2101:38 *2297:12 0.00493601 +24 *2137:38 *22543:TE 0.000171288 +25 *2272:39 *2297:12 0.00158311 +26 *2296:9 *2297:7 0.000158533 +*RES +1 *22570:HI[56] *2297:7 7.69988 +2 *2297:7 *2297:8 136.601 +3 *2297:8 *2297:10 0.578717 +4 *2297:10 *2297:12 62.2844 +5 *2297:12 *2297:15 6.332 +6 *2297:15 *5029:DIODE 13.7491 +7 *2297:15 *22543:TE 16.5832 +*END + +*D_NET *2298 0.0186111 +*CONN +*I *22544:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5031:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[57] O *D mprj_logic_high +*CAP +1 *22544:TE 6.73429e-05 +2 *5031:DIODE 0 +3 *22570:HI[57] 0.00178414 +4 *2298:8 0.00338321 +5 *2298:7 0.00510001 +6 *22544:TE *2441:7 0.000171273 +7 *2298:7 *2299:8 0.000211795 +8 *2298:7 *2442:8 0 +9 *2298:7 *2469:8 0.000226538 +10 *2298:7 *3317:17 0 +11 *2298:8 *2339:8 0.000133887 +12 *2298:8 *2441:7 0.000854379 +13 *2298:8 *3169:17 0.0065123 +14 *2298:8 *3169:23 0.000135002 +15 *2295:11 *2298:7 0 +16 *2296:9 *2298:7 0 +17 *2297:7 *2298:7 3.12677e-05 +*RES +1 *22570:HI[57] *2298:7 39.2591 +2 *2298:7 *2298:8 98.3336 +3 *2298:8 *5031:DIODE 9.24915 +4 *2298:8 *22544:TE 11.6605 +*END + +*D_NET *2299 0.0038046 +*CONN +*I *5033:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22545:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[58] O *D mprj_logic_high +*CAP +1 *5033:DIODE 1.9415e-05 +2 *22545:TE 0 +3 *22570:HI[58] 0.00129187 +4 *2299:8 0.00131128 +5 *2299:8 *2300:7 2.99497e-05 +6 *1161:65 *5033:DIODE 0.000151462 +7 *1161:65 *2299:8 0.000169122 +8 *1207:22 *2299:8 0.000282956 +9 *2291:34 *5033:DIODE 0.000167625 +10 *2291:34 *2299:8 0.000169122 +11 *2295:11 *2299:8 0 +12 *2298:7 *2299:8 0.000211795 +*RES +1 *22570:HI[58] *2299:8 31.5409 +2 *2299:8 *22545:TE 9.24915 +3 *2299:8 *5033:DIODE 11.0817 +*END + +*D_NET *2300 0.0165474 +*CONN +*I *22546:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5035:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[59] O *D mprj_logic_high +*CAP +1 *22546:TE 7.39797e-06 +2 *5035:DIODE 0 +3 *22570:HI[59] 0.000999191 +4 *2300:16 0.000729547 +5 *2300:13 0.00132525 +6 *2300:7 0.00160229 +7 *22546:TE *2323:20 2.29454e-05 +8 *2300:7 *2301:7 1.91195e-05 +9 *2300:7 *2302:7 0 +10 *2300:13 *2309:17 5.49916e-05 +11 *2300:13 *2315:14 0.000937793 +12 *2300:13 *2322:18 0 +13 *2300:13 *3478:35 0 +14 *2300:16 *2316:19 0.0025603 +15 *2300:16 *2323:20 0.00163664 +16 *4927:DIODE *2300:16 6.08467e-05 +17 *22567:A *2300:13 0 +18 *1207:22 *2300:7 0.000826555 +19 *1946:66 *2300:16 0.00016573 +20 *1946:74 *22546:TE 6.64392e-05 +21 *1946:74 *2300:16 1.5962e-05 +22 *1948:84 *2300:13 0.00316463 +23 *2289:24 *2300:13 0.0021901 +24 *2295:11 *2300:7 0.000117975 +25 *2296:12 *2300:13 1.37531e-05 +26 *2299:8 *2300:7 2.99497e-05 +*RES +1 *22570:HI[59] *2300:7 26.3862 +2 *2300:7 *2300:13 47.4625 +3 *2300:13 *2300:16 33.4691 +4 *2300:16 *5035:DIODE 9.24915 +5 *2300:16 *22546:TE 9.97254 +*END + +*D_NET *2301 0.0448993 +*CONN +*I *22579:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5097:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[5] O *D mprj_logic_high +*CAP +1 *22579:TE 9.10471e-06 +2 *5097:DIODE 0 +3 *22570:HI[5] 0.0001292 +4 *2301:12 0.00121742 +5 *2301:10 0.00123014 +6 *2301:8 0.00134851 +7 *2301:7 0.00145588 +8 *2301:7 *2302:7 1.72258e-05 +9 *2301:8 *5090:DIODE 0.00027329 +10 *2301:8 *2334:8 0.00427489 +11 *1171:9 *2301:12 0.00010238 +12 *1181:19 *22579:TE 5.56461e-05 +13 *1181:19 *2301:12 0.000719917 +14 *1191:23 *2301:12 0.00343598 +15 *1198:55 *2301:12 0.0026932 +16 *1198:57 *2301:8 0.0098912 +17 *1198:57 *2301:12 0.000577138 +18 *1201:17 *22579:TE 0.000106215 +19 *1201:17 *2301:8 0.000230449 +20 *1201:17 *2301:12 0.01115 +21 *1201:23 *2301:8 0.00378464 +22 *2266:8 *2301:8 0.000413991 +23 *2272:39 *2301:12 0.00158311 +24 *2297:12 *2301:12 0.000180668 +25 *2300:7 *2301:7 1.91195e-05 +*RES +1 *22570:HI[5] *2301:7 6.86938 +2 *2301:7 *2301:8 108.871 +3 *2301:8 *2301:10 0.578717 +4 *2301:10 *2301:12 119.963 +5 *2301:12 *5097:DIODE 9.24915 +6 *2301:12 *22579:TE 10.5271 +*END + +*D_NET *2302 0.0118241 +*CONN +*I *5037:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22547:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[60] O *D mprj_logic_high +*CAP +1 *5037:DIODE 3.65849e-05 +2 *22547:TE 0.000165965 +3 *22570:HI[60] 0.00107797 +4 *2302:8 0.000808852 +5 *2302:7 0.00168427 +6 *5037:DIODE *2314:13 6.08467e-05 +7 *22547:TE *2314:13 0.000138621 +8 *22547:TE *2323:13 5.88009e-05 +9 *22547:TE *2329:16 6.39153e-06 +10 *22547:TE *2330:20 2.14522e-05 +11 *22547:TE *2444:8 1.37385e-05 +12 *2302:7 *2303:13 3.50532e-05 +13 *2302:7 *2304:10 0 +14 *2302:7 *2324:13 0.000183341 +15 *2302:8 *2314:13 0.00246421 +16 *2302:8 *2318:13 0.000672286 +17 *2302:8 *2318:14 0.00177384 +18 *1946:58 *2302:8 0.000259566 +19 *1946:66 *5037:DIODE 9.32983e-05 +20 *1946:66 *2302:8 0.00222467 +21 *2285:16 *2302:8 2.70901e-05 +22 *2300:7 *2302:7 0 +23 *2301:7 *2302:7 1.72258e-05 +*RES +1 *22570:HI[60] *2302:7 23.8947 +2 *2302:7 *2302:8 46.7555 +3 *2302:8 *22547:TE 22.1574 +4 *2302:8 *5037:DIODE 10.5271 +*END + +*D_NET *2303 0.0167145 +*CONN +*I *22548:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5039:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[61] O *D mprj_logic_high +*CAP +1 *22548:TE 0.000193198 +2 *5039:DIODE 0 +3 *22570:HI[61] 0.00207796 +4 *2303:18 0.00290044 +5 *2303:17 0.00285672 +6 *2303:13 0.00222743 +7 *22548:TE *21500:A 4.49912e-05 +8 *22548:TE *2341:7 4.49912e-05 +9 *2303:13 *2304:10 0.00013415 +10 *2303:13 *2308:8 9.81594e-05 +11 *2303:13 *2309:17 5.88009e-05 +12 *2303:13 *2310:14 0 +13 *2303:13 *2324:13 0.000553122 +14 *2303:17 *2310:14 7.69842e-05 +15 *2303:18 *22554:TE 0.000101873 +16 *2303:18 *2308:8 0.000632716 +17 *2303:18 *2312:21 0.00088983 +18 *22541:A *2303:17 3.5534e-06 +19 *23601:B *2303:13 7.60356e-05 +20 *1179:54 *2303:17 2.51591e-05 +21 *1192:61 *22548:TE 0.000266832 +22 *1192:61 *2303:18 0.00269167 +23 *2294:18 *2303:13 0.000133288 +24 *2294:26 *2303:13 0.000591514 +25 *2302:7 *2303:13 3.50532e-05 +*RES +1 *22570:HI[61] *2303:13 49.5017 +2 *2303:13 *2303:17 7.37013 +3 *2303:17 *2303:18 48.4193 +4 *2303:18 *5039:DIODE 9.24915 +5 *2303:18 *22548:TE 22.1924 +*END + +*D_NET *2304 0.0227128 +*CONN +*I *22550:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5043:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[62] O *D mprj_logic_high +*CAP +1 *22550:TE 7.37816e-05 +2 *5043:DIODE 0 +3 *22570:HI[62] 0.00156205 +4 *2304:22 0.000240322 +5 *2304:18 0.000849616 +6 *2304:17 0.0024167 +7 *2304:10 0.00329567 +8 *22550:TE *2312:24 0.000105652 +9 *22550:TE *2313:14 0.000152878 +10 *22550:TE *2313:21 1.67988e-05 +11 *2304:10 *22473:A_N 0.000111802 +12 *2304:10 *2305:5 0.000230909 +13 *2304:10 *2905:20 7.08723e-06 +14 *2304:10 *2905:46 5.93547e-06 +15 *2304:17 *2313:8 0.00149178 +16 *2304:17 *3384:6 0 +17 *2304:18 *2312:24 0.000484104 +18 *2304:18 *2313:8 0.0053542 +19 *2304:18 *3445:13 0.00343956 +20 *2304:22 *2312:24 0.000500856 +21 *2304:22 *2313:8 1.67988e-05 +22 *2304:22 *2313:14 0.00111012 +23 *4905:DIODE *22550:TE 6.50586e-05 +24 *5021:DIODE *2304:17 8.62625e-06 +25 *1137:50 *2304:17 4.42033e-05 +26 *1137:51 *2304:10 0.000184939 +27 *1137:51 *2304:17 0.000508637 +28 *1186:34 *22550:TE 0.000207266 +29 *1939:41 *2304:10 0 +30 *1944:28 *22550:TE 9.32983e-05 +31 *2293:20 *2304:10 0 +32 *2302:7 *2304:10 0 +33 *2303:13 *2304:10 0.00013415 +*RES +1 *22570:HI[62] *2304:10 35.3036 +2 *2304:10 *2304:17 39.287 +3 *2304:17 *2304:18 57.8476 +4 *2304:18 *2304:22 12.9488 +5 *2304:22 *5043:DIODE 9.24915 +6 *2304:22 *22550:TE 13.8548 +*END + +*D_NET *2305 0.0083384 +*CONN +*I *22551:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5045:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[63] O *D mprj_logic_high +*CAP +1 *22551:TE 8.39835e-05 +2 *5045:DIODE 0 +3 *22570:HI[63] 0.0022485 +4 *2305:8 0.000672025 +5 *2305:5 0.00283654 +6 *22551:TE *2488:8 5.56367e-05 +7 *22551:TE *3168:17 7.28188e-05 +8 *2305:5 *2306:7 0.00010254 +9 *2305:5 *2438:13 0.000309004 +10 *2305:5 *2463:8 0.000123986 +11 *2305:5 *2463:12 0 +12 *2305:5 *2466:8 1.91391e-05 +13 *2305:5 *2905:20 1.5714e-05 +14 *2305:5 *2905:46 0.000691937 +15 *2305:8 *3160:11 0.000820771 +16 *2305:8 *3168:17 1.19856e-05 +17 *22566:TE *2305:5 0 +18 *1941:54 *22551:TE 2.36813e-05 +19 *1941:54 *2305:8 1.92172e-05 +20 *2304:10 *2305:5 0.000230909 +*RES +1 *22570:HI[63] *2305:5 47.6319 +2 *2305:5 *2305:8 14.6517 +3 *2305:8 *5045:DIODE 9.24915 +4 *2305:8 *22551:TE 20.6974 +*END + +*D_NET *2306 0.0287042 +*CONN +*I *22552:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5047:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[64] O *D mprj_logic_high +*CAP +1 *22552:TE 1.90686e-05 +2 *5047:DIODE 0 +3 *22570:HI[64] 0.000656314 +4 *2306:22 0.000317822 +5 *2306:16 0.000924468 +6 *2306:14 0.00102432 +7 *2306:8 0.00131442 +8 *2306:7 0.00157213 +9 *22552:TE *2311:26 0.000114584 +10 *2306:7 *2307:7 7.14894e-05 +11 *2306:16 *22553:TE 0.00011818 +12 *2306:22 *2311:26 0.00083015 +13 *2306:22 *2909:22 0 +14 *2306:22 *3191:8 5.69587e-05 +15 *1163:23 *2306:8 0.000604141 +16 *1164:26 *2306:8 0.0013641 +17 *1165:9 *2306:14 0.00010238 +18 *1176:23 *2306:8 0.000547339 +19 *1176:23 *2306:14 0.00272035 +20 *1176:23 *2306:16 0.00193199 +21 *1187:9 *2306:8 0.000933919 +22 *1205:23 *2306:8 0.000224395 +23 *1205:23 *2306:14 0.00117017 +24 *1205:23 *2306:16 0.00462321 +25 *1205:31 *2306:8 0.0036504 +26 *1943:42 *2306:8 0.00330725 +27 *1945:40 *22552:TE 4.17467e-05 +28 *1945:40 *2306:22 0.000360353 +29 *2305:5 *2306:7 0.00010254 +*RES +1 *22570:HI[64] *2306:7 16.8354 +2 *2306:7 *2306:8 69.4942 +3 *2306:8 *2306:14 30.4429 +4 *2306:14 *2306:16 49.8058 +5 *2306:16 *2306:22 21.5355 +6 *2306:22 *5047:DIODE 9.24915 +7 *2306:22 *22552:TE 10.5271 +*END + +*D_NET *2307 0.0244703 +*CONN +*I *22553:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5049:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[65] O *D mprj_logic_high +*CAP +1 *22553:TE 5.79908e-05 +2 *5049:DIODE 0 +3 *22570:HI[65] 0.000399748 +4 *2307:15 0.00020828 +5 *2307:12 0.00205843 +6 *2307:10 0.00194459 +7 *2307:8 0.00109642 +8 *2307:7 0.00145972 +9 *22553:TE *2472:6 1.49589e-05 +10 *22553:TE *3139:23 0 +11 *2307:7 *2308:8 4.58686e-06 +12 *2307:7 *3350:18 0.000377686 +13 *2307:8 *2311:8 0.00557144 +14 *2307:15 *2472:6 5.55611e-05 +15 *2307:15 *3139:23 0 +16 *6486:DIODE *2307:12 0.000399068 +17 *23590:TE *2307:12 6.08467e-05 +18 *1176:23 *22553:TE 0.00011818 +19 *1453:11 *2307:12 0.00011818 +20 *1945:28 *2307:8 0.000908824 +21 *1945:28 *2307:12 1.50167e-05 +22 *1945:34 *2307:12 0.0018966 +23 *2085:26 *2307:8 0 +24 *2085:26 *2307:12 7.91443e-05 +25 *2250:8 *2307:8 0.00743532 +26 *2306:7 *2307:7 7.14894e-05 +27 *2306:16 *22553:TE 0.00011818 +*RES +1 *22570:HI[65] *2307:7 13.5134 +2 *2307:7 *2307:8 84.4685 +3 *2307:8 *2307:10 0.988641 +4 *2307:10 *2307:12 55.0746 +5 *2307:12 *2307:15 7.57775 +6 *2307:15 *5049:DIODE 13.7491 +7 *2307:15 *22553:TE 16.0286 +*END + +*D_NET *2308 0.00587437 +*CONN +*I *22554:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5051:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[66] O *D mprj_logic_high +*CAP +1 *22554:TE 5.2457e-05 +2 *5051:DIODE 0 +3 *22570:HI[66] 0.00166417 +4 *2308:8 0.00171662 +5 *2308:8 *2309:17 0.000226658 +6 *2308:8 *2310:26 1.47689e-05 +7 *2308:8 *3350:18 0.000506204 +8 *5068:DIODE *2308:8 0.00011884 +9 *1164:26 *2308:8 0.00013115 +10 *1179:54 *2308:8 0 +11 *1201:24 *2308:8 0.000606162 +12 *2303:13 *2308:8 9.81594e-05 +13 *2303:18 *22554:TE 0.000101873 +14 *2303:18 *2308:8 0.000632716 +15 *2307:7 *2308:8 4.58686e-06 +*RES +1 *22570:HI[66] *2308:8 46.0887 +2 *2308:8 *5051:DIODE 9.24915 +3 *2308:8 *22554:TE 11.1059 +*END + +*D_NET *2309 0.0281832 +*CONN +*I *22555:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5053:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[67] O *D mprj_logic_high +*CAP +1 *22555:TE 4.11616e-05 +2 *5053:DIODE 0 +3 *22570:HI[67] 0.00175731 +4 *2309:31 0.000126867 +5 *2309:26 0.00514322 +6 *2309:25 0.00568133 +7 *2309:17 0.00238112 +8 *22555:TE *2315:38 4.31703e-05 +9 *2309:17 *2310:14 0 +10 *2309:17 *3478:35 0 +11 *2309:25 *2328:18 0.00133267 +12 *2309:25 *2329:16 2.9322e-05 +13 *2309:25 *2330:20 0.00155676 +14 *2309:25 *2331:11 3.77568e-05 +15 *2309:25 *2333:13 0.000193071 +16 *2309:25 *2444:8 3.63738e-05 +17 *2309:26 *2316:19 2.32625e-05 +18 *2309:26 *2316:20 0.00587399 +19 *2309:31 *2359:6 0.00011191 +20 *2309:31 *2917:12 0 +21 *23601:B *2309:17 2.13597e-05 +22 *1160:54 *2309:17 9.33833e-05 +23 *1164:26 *2309:17 0.00012774 +24 *1946:66 *2309:17 0.00146961 +25 *1946:66 *2309:26 4.81015e-05 +26 *1946:74 *2309:26 0.000518552 +27 *1946:80 *2309:26 1.41853e-05 +28 *1947:36 *22555:TE 2.23259e-05 +29 *1948:97 *2309:26 0.000954996 +30 *1950:26 *2309:25 8.43855e-05 +31 *1952:43 *2309:25 1.13068e-05 +32 *2285:16 *2309:17 0.000107496 +33 *2300:13 *2309:17 5.49916e-05 +34 *2303:13 *2309:17 5.88009e-05 +35 *2308:8 *2309:17 0.000226658 +*RES +1 *22570:HI[67] *2309:17 49.0031 +2 *2309:17 *2309:25 14.529 +3 *2309:25 *2309:26 89.46 +4 *2309:26 *2309:31 11.2472 +5 *2309:31 *5053:DIODE 9.24915 +6 *2309:31 *22555:TE 10.5271 +*END + +*D_NET *2310 0.0202475 +*CONN +*I *22556:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5055:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[68] O *D mprj_logic_high +*CAP +1 *22556:TE 4.07875e-05 +2 *5055:DIODE 0 +3 *22570:HI[68] 0.00164718 +4 *2310:33 0.000132841 +5 *2310:28 0.00394943 +6 *2310:26 0.00558363 +7 *2310:14 0.00337344 +8 *22556:TE *2454:9 3.25584e-05 +9 *2310:14 *2311:7 0.000100847 +10 *2310:14 *2312:11 0 +11 *2310:14 *2331:12 0.000270139 +12 *2310:14 *2488:8 0 +13 *2310:14 *3478:15 1.24189e-05 +14 *2310:26 *2317:7 0 +15 *2310:26 *2463:12 0 +16 *2310:26 *3167:11 0.000171288 +17 *2310:26 *3168:17 7.39022e-06 +18 *2310:26 *3168:21 0.000113213 +19 *2310:26 *3350:6 0.000281588 +20 *2310:26 *3437:27 6.04266e-05 +21 *2310:28 *3168:21 0.000825489 +22 *2310:28 *3190:13 0.00200354 +23 *2310:33 *2491:12 1.78017e-05 +24 *22541:A *2310:26 5.57353e-05 +25 *22551:A *2310:26 0.000205006 +26 *23601:B *2310:14 1.35504e-05 +27 *1160:54 *2310:14 0.00020145 +28 *1163:22 *2310:14 0.000239617 +29 *1179:54 *2310:26 5.36085e-05 +30 *1189:11 *22556:TE 0.00011818 +31 *1204:17 *2310:28 8.1438e-05 +32 *1204:22 *2310:26 1.07248e-05 +33 *1311:10 *2310:33 0 +34 *1941:54 *2310:26 0.000288874 +35 *2132:38 *2310:14 0.00026359 +36 *2303:13 *2310:14 0 +37 *2303:17 *2310:14 7.69842e-05 +38 *2308:8 *2310:26 1.47689e-05 +39 *2309:17 *2310:14 0 +*RES +1 *22570:HI[68] *2310:14 37.9809 +2 *2310:14 *2310:26 49.4599 +3 *2310:26 *2310:28 63.3936 +4 *2310:28 *2310:33 10.832 +5 *2310:33 *5055:DIODE 9.24915 +6 *2310:33 *22556:TE 11.1059 +*END + +*D_NET *2311 0.0447845 +*CONN +*I *5057:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22557:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[69] O *D mprj_logic_high +*CAP +1 *5057:DIODE 0 +2 *22557:TE 0.000248326 +3 *22570:HI[69] 0.00048007 +4 *2311:36 0.00134891 +5 *2311:34 0.00224384 +6 *2311:31 0.00120965 +7 *2311:26 0.00113259 +8 *2311:24 0.00190852 +9 *2311:20 0.00159428 +10 *2311:8 0.00144056 +11 *2311:7 0.00116868 +12 *22557:TE *2573:12 0 +13 *22557:TE *2585:12 0 +14 *2311:7 *2312:11 7.94714e-05 +15 *2311:24 *2350:13 0.000107496 +16 *2311:31 *2627:14 6.3609e-05 +17 *4907:DIODE *2311:34 6.08467e-05 +18 *22552:A *2311:26 0.000170675 +19 *22552:TE *2311:26 0.000114584 +20 *1162:19 *2311:26 0.00544991 +21 *1162:25 *2311:20 0.000811295 +22 *1163:15 *2311:34 0.000118134 +23 *1190:33 *2311:36 0.000470508 +24 *1190:41 *2311:34 2.97421e-05 +25 *1190:43 *2311:26 0.000575358 +26 *1190:43 *2311:34 0.000988757 +27 *1198:23 *2311:34 0.000685559 +28 *1198:23 *2311:36 0.000265031 +29 *1198:32 *2311:34 0.00162681 +30 *1945:28 *2311:8 0.00556723 +31 *1945:28 *2311:20 0.00170212 +32 *1945:34 *2311:20 0.00126619 +33 *1945:34 *2311:24 0.000480724 +34 *1945:34 *2311:26 0.0027334 +35 *1945:40 *2311:26 0.000283627 +36 *1945:42 *2311:34 0.00175313 +37 *2135:24 *2311:20 0.00010238 +38 *2306:22 *2311:26 0.00083015 +39 *2307:8 *2311:8 0.00557144 +40 *2310:14 *2311:7 0.000100847 +*RES +1 *22570:HI[69] *2311:7 13.9287 +2 *2311:7 *2311:8 61.1752 +3 *2311:8 *2311:20 44.0734 +4 *2311:20 *2311:24 14.3353 +5 *2311:24 *2311:26 70.3261 +6 *2311:26 *2311:31 10.4167 +7 *2311:31 *2311:34 47.0569 +8 *2311:34 *2311:36 17.6388 +9 *2311:36 *22557:TE 22.5727 +10 *2311:36 *5057:DIODE 9.24915 +*END + +*D_NET *2312 0.0463783 +*CONN +*I *22573:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5086:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[6] O *D mprj_logic_high +*CAP +1 *22573:TE 6.68368e-05 +2 *5086:DIODE 0 +3 *22570:HI[6] 0.00163776 +4 *2312:27 0.000116701 +5 *2312:24 0.011582 +6 *2312:23 0.0115322 +7 *2312:21 0.000933797 +8 *2312:11 0.00257156 +9 *22573:TE *2585:12 1.48503e-05 +10 *22573:TE *3179:6 3.92776e-05 +11 *2312:11 *23601:A 9.66954e-05 +12 *2312:11 *2313:7 0.000174411 +13 *2312:11 *2317:7 0 +14 *2312:11 *2488:8 0.000463047 +15 *2312:11 *3045:22 7.13066e-05 +16 *2312:21 *3417:14 9.96222e-05 +17 *2312:24 *3445:13 1.65872e-05 +18 *2312:27 *2585:12 2.45002e-05 +19 *2312:27 *3179:6 6.85778e-05 +20 *4905:DIODE *2312:24 6.08467e-05 +21 *22550:TE *2312:24 0.000105652 +22 *22554:A *2312:21 0.000267467 +23 *1161:63 *2312:11 3.20069e-06 +24 *1161:65 *2312:11 0.000472639 +25 *1179:51 *2312:24 0.00143649 +26 *1182:25 *22573:TE 2.44829e-05 +27 *1186:21 *2312:24 0.00666017 +28 *1186:25 *2312:24 0.00245981 +29 *1186:34 *2312:24 9.80828e-05 +30 *1192:61 *2312:21 0.0021248 +31 *1203:11 *22573:TE 0.000113968 +32 *1312:10 *2312:24 6.50727e-05 +33 *1821:16 *2312:21 9.96222e-05 +34 *1944:28 *2312:24 0.000440512 +35 *2266:23 *2312:11 1.30227e-05 +36 *2291:34 *2312:11 0.000468427 +37 *2303:18 *2312:21 0.00088983 +38 *2304:18 *2312:24 0.000484104 +39 *2304:22 *2312:24 0.000500856 +40 *2310:14 *2312:11 0 +41 *2311:7 *2312:11 7.94714e-05 +*RES +1 *22570:HI[6] *2312:11 45.7682 +2 *2312:11 *2312:21 45.9944 +3 *2312:21 *2312:23 4.5 +4 *2312:23 *2312:24 197.053 +5 *2312:24 *2312:27 5.91674 +6 *2312:27 *5086:DIODE 13.7491 +7 *2312:27 *22573:TE 16.0286 +*END + +*D_NET *2313 0.0360408 +*CONN +*I *22558:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5059:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[70] O *D mprj_logic_high +*CAP +1 *22558:TE 6.19678e-05 +2 *5059:DIODE 0 +3 *22570:HI[70] 0.00147415 +4 *2313:28 0.0016119 +5 *2313:27 0.00312373 +6 *2313:21 0.00273182 +7 *2313:14 0.00151535 +8 *2313:8 0.00241407 +9 *2313:7 0.0035309 +10 *2313:7 *23601:A 3.03084e-05 +11 *2313:7 *2314:7 0.000165075 +12 *2313:7 *2315:14 0 +13 *2313:7 *2318:13 2.03821e-05 +14 *2313:7 *2488:8 0 +15 *2313:7 *3045:22 2.60141e-05 +16 *2313:8 *3445:13 1.15389e-05 +17 *2313:14 *2912:37 0.000143174 +18 *2313:21 *2453:10 9.75356e-05 +19 *2313:21 *2459:12 0.000101133 +20 *2313:27 *2507:12 6.96979e-05 +21 *2313:27 *2921:50 2.652e-05 +22 *22550:A *2313:21 0.000167076 +23 *22550:TE *2313:14 0.000152878 +24 *22550:TE *2313:21 1.67988e-05 +25 *1137:51 *2313:8 0.00144513 +26 *1161:63 *2313:7 0 +27 *1183:19 *2313:27 0.00243838 +28 *1186:25 *2313:21 1.41689e-05 +29 *1186:34 *2313:21 0.00100087 +30 *1188:44 *22558:TE 6.50727e-05 +31 *1188:47 *2313:28 0.00245141 +32 *1188:51 *2313:21 0.00222561 +33 *1827:11 *2313:21 0.000599849 +34 *1834:25 *22558:TE 0.000118181 +35 *1834:25 *2313:28 9.82896e-06 +36 *2266:23 *2313:7 3.29488e-05 +37 *2304:17 *2313:8 0.00149178 +38 *2304:18 *2313:8 0.0053542 +39 *2304:22 *2313:8 1.67988e-05 +40 *2304:22 *2313:14 0.00111012 +41 *2312:11 *2313:7 0.000174411 +*RES +1 *22570:HI[70] *2313:7 32.615 +2 *2313:7 *2313:8 74.4857 +3 *2313:8 *2313:14 14.6367 +4 *2313:14 *2313:21 48.7138 +5 *2313:21 *2313:27 37.2065 +6 *2313:27 *2313:28 27.3444 +7 *2313:28 *5059:DIODE 9.24915 +8 *2313:28 *22558:TE 11.5158 +*END + +*D_NET *2314 0.0341467 +*CONN +*I *22559:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5061:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[71] O *D mprj_logic_high +*CAP +1 *22559:TE 3.90415e-05 +2 *5061:DIODE 0 +3 *22570:HI[71] 0.00097181 +4 *2314:26 0.000694714 +5 *2314:25 0.00104454 +6 *2314:14 0.00530314 +7 *2314:13 0.00658006 +8 *2314:7 0.00263759 +9 *22559:TE *2345:44 4.33655e-05 +10 *2314:7 *2315:14 0.000277602 +11 *2314:7 *2318:13 4.7918e-05 +12 *2314:13 *2329:16 0 +13 *2314:13 *2444:8 0.000152445 +14 *2314:14 *2345:34 0.00076427 +15 *2314:14 *2345:36 0.000499168 +16 *2314:25 *2917:12 9.75356e-05 +17 *2314:25 *3191:8 0.000176105 +18 *2314:25 *3250:18 0 +19 *2314:26 *2345:44 0.00102675 +20 *5037:DIODE *2314:13 6.08467e-05 +21 *22476:B *2314:25 7.22263e-05 +22 *22540:TE *2314:14 0.000160617 +23 *22547:TE *2314:13 0.000138621 +24 *1137:32 *2314:25 9.59051e-06 +25 *1178:49 *2314:25 0.00202288 +26 *1943:56 *2314:25 0.00201446 +27 *1946:80 *22559:TE 0.000118166 +28 *1946:80 *2314:26 0.000918126 +29 *1951:18 *2314:14 0.000118134 +30 *2294:28 *2314:14 0.00552774 +31 *2302:8 *2314:13 0.00246421 +32 *2313:7 *2314:7 0.000165075 +*RES +1 *22570:HI[71] *2314:7 24.31 +2 *2314:7 *2314:13 39.8374 +3 *2314:13 *2314:14 86.687 +4 *2314:14 *2314:25 45.1233 +5 *2314:25 *2314:26 24.0167 +6 *2314:26 *5061:DIODE 9.24915 +7 *2314:26 *22559:TE 11.1059 +*END + +*D_NET *2315 0.0293654 +*CONN +*I *22561:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5065:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[72] O *D mprj_logic_high +*CAP +1 *22561:TE 0.000129 +2 *5065:DIODE 0 +3 *22570:HI[72] 0.00130335 +4 *2315:44 0.00075354 +5 *2315:38 0.00346267 +6 *2315:30 0.00421731 +7 *2315:26 0.00177555 +8 *2315:14 0.00169973 +9 *2315:14 *2316:13 4.55424e-05 +10 *2315:14 *2317:7 0 +11 *2315:14 *2321:13 4.49912e-05 +12 *2315:26 *2344:17 0.00254484 +13 *2315:30 *2344:17 0.000241835 +14 *2315:30 *2920:87 0.000258142 +15 *2315:38 *2453:9 0.000173357 +16 *2315:44 *2915:56 0.00171866 +17 *22542:A *2315:30 0.000222979 +18 *22542:TE *2315:30 0.000110297 +19 *22555:A *2315:38 0.000113968 +20 *22555:A *2315:44 1.41853e-05 +21 *22555:TE *2315:38 4.31703e-05 +22 *1179:40 *2315:44 0.00274857 +23 *1179:41 *2315:30 0.0011747 +24 *1179:41 *2315:38 0.000634735 +25 *1179:50 *2315:30 0.000219249 +26 *1180:19 *2315:30 0.00021217 +27 *1947:36 *2315:38 8.59222e-05 +28 *1947:36 *2315:44 1.80257e-05 +29 *1947:42 *2315:44 0.000268798 +30 *1947:44 *22561:TE 7.09148e-05 +31 *1947:44 *2315:44 0.000437629 +32 *1948:86 *2315:14 7.54269e-06 +33 *1948:86 *2315:26 0.000136878 +34 *1948:86 *2315:30 2.12616e-05 +35 *1952:43 *2315:26 0.000108464 +36 *2289:24 *2315:14 7.09666e-06 +37 *2296:12 *2315:14 0.000991568 +38 *2296:12 *2315:26 3.93284e-05 +39 *2296:18 *2315:26 0.00197668 +40 *2296:18 *2315:30 0.000117376 +41 *2300:13 *2315:14 0.000937793 +42 *2313:7 *2315:14 0 +43 *2314:7 *2315:14 0.000277602 +*RES +1 *22570:HI[72] *2315:14 38.1597 +2 *2315:14 *2315:26 33.8549 +3 *2315:26 *2315:30 42.6201 +4 *2315:30 *2315:38 45.586 +5 *2315:38 *2315:44 36.4109 +6 *2315:44 *5065:DIODE 9.24915 +7 *2315:44 *22561:TE 12.7697 +*END + +*D_NET *2316 0.0398694 +*CONN +*I *5067:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22562:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[73] O *D mprj_logic_high +*CAP +1 *5067:DIODE 0 +2 *22562:TE 5.39377e-05 +3 *22570:HI[73] 0.0013712 +4 *2316:34 0.00425809 +5 *2316:33 0.00475269 +6 *2316:20 0.00126647 +7 *2316:19 0.00106097 +8 *2316:13 0.00171424 +9 *2316:13 *2317:7 0 +10 *2316:13 *2321:13 6.16595e-06 +11 *2316:13 *2323:13 8.78153e-05 +12 *2316:13 *2327:7 0 +13 *2316:13 *3478:15 0.000268954 +14 *2316:13 *3478:23 9.32983e-05 +15 *2316:19 *2339:7 1.24491e-05 +16 *2316:19 *2341:7 9.17849e-06 +17 *2316:33 *22476:A_N 0.000169041 +18 *2316:33 *2323:30 0.00100391 +19 *2316:33 *3139:18 2.38991e-05 +20 *2316:33 *3139:23 8.08437e-05 +21 *2316:33 *3250:18 1.54479e-05 +22 *2316:34 *2345:36 0.00044687 +23 *2316:34 *2919:73 6.3657e-05 +24 *2316:34 *2919:85 0.000161234 +25 *22481:B *2316:34 0.000171288 +26 *22546:A *2316:20 0.000224381 +27 *1137:32 *2316:34 0.000264586 +28 *1137:35 *2316:33 0.00197202 +29 *1178:27 *22562:TE 5.56461e-05 +30 *1178:27 *2316:34 6.03278e-05 +31 *1178:45 *2316:34 9.37123e-05 +32 *1192:48 *2316:34 0.00216736 +33 *1197:15 *22562:TE 3.14978e-05 +34 *1205:34 *2316:13 2.09338e-05 +35 *1829:9 *2316:34 0.000100643 +36 *1943:42 *2316:13 1.6692e-05 +37 *1943:56 *2316:34 0.00026786 +38 *1946:66 *2316:19 0.00127797 +39 *1946:74 *2316:19 0.00119317 +40 *1946:74 *2316:20 0.000914693 +41 *1946:78 *2316:20 0.000576818 +42 *1946:80 *2316:20 0.0033816 +43 *1946:80 *2316:33 0.000427719 +44 *1948:97 *2316:33 7.09666e-06 +45 *1966:16 *2316:19 0.00010238 +46 *2294:26 *2316:13 0.0011176 +47 *2300:16 *2316:19 0.0025603 +48 *2309:26 *2316:19 2.32625e-05 +49 *2309:26 *2316:20 0.00587399 +50 *2315:14 *2316:13 4.55424e-05 +*RES +1 *22570:HI[73] *2316:13 44.6053 +2 *2316:13 *2316:19 37.9005 +3 *2316:19 *2316:20 63.9482 +4 *2316:20 *2316:33 46.0055 +5 *2316:33 *2316:34 69.4942 +6 *2316:34 *22562:TE 11.5158 +7 *2316:34 *5067:DIODE 9.24915 +*END + +*D_NET *2317 0.169752 +*CONN +*I *22372:B I *D sky130_fd_sc_hd__and2b_1 +*I *4691:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[74] O *D mprj_logic_high +*CAP +1 *22372:B 2.25273e-05 +2 *4691:DIODE 0.000191996 +3 *22570:HI[74] 0.000121637 +4 *2317:13 0.00293093 +5 *2317:12 0.00271641 +6 *2317:10 0.00755905 +7 *2317:9 0.00755905 +8 *2317:7 0.00423368 +9 *2317:5 0.00435532 +10 *4691:DIODE *2387:8 0 +11 *4691:DIODE *2793:22 8.62625e-06 +12 *4691:DIODE *2793:31 8.37979e-05 +13 *2317:7 *22792:A 0.000212515 +14 *2317:7 *23047:A 0.000205215 +15 *2317:7 *2318:13 0.000869483 +16 *2317:7 *2449:8 0 +17 *2317:7 *3156:16 0.00128315 +18 *2317:7 *3167:10 0 +19 *2317:7 *3438:50 0.00246512 +20 *2317:7 *3478:35 0.00044392 +21 *2317:10 *2327:14 0.0656625 +22 *2317:10 *2436:9 0.0620482 +23 *2317:10 *2974:9 0.00340643 +24 *2317:13 *22614:A 0 +25 *2317:13 *22679:A 0.000104412 +26 *2317:13 *2387:8 0 +27 *2317:13 *2458:34 0.000865296 +28 *2317:13 *2602:6 0.000129357 +29 *2317:13 *2793:31 3.31882e-05 +30 *2317:13 *2837:26 3.98472e-05 +31 *2317:13 *2837:36 0.000333417 +32 la_data_in_core[63] *2317:7 5.70029e-05 +33 mprj_dat_o_user[2] *2317:13 0.00054962 +34 mprj_sel_o_user[2] *2317:13 0 +35 *347:10 *2317:7 0.000106288 +36 *1161:63 *2317:7 0 +37 *1164:26 *2317:7 0.000117975 +38 *1208:11 *22372:B 2.85139e-05 +39 *1269:15 *22372:B 0.000118166 +40 *1280:11 *2317:13 1.2693e-05 +41 *1291:9 *2317:13 2.39535e-05 +42 *1375:10 *2317:13 0 +43 *1720:8 *2317:13 0 +44 *1803:13 *2317:13 0.000852572 +45 *2310:26 *2317:7 0 +46 *2312:11 *2317:7 0 +47 *2315:14 *2317:7 0 +48 *2316:13 *2317:7 0 +*RES +1 *22570:HI[74] *2317:5 1.20912 +2 *2317:5 *2317:7 105.437 +3 *2317:7 *2317:9 4.5 +4 *2317:9 *2317:10 749.993 +5 *2317:10 *2317:12 4.5 +6 *2317:12 *2317:13 87.3739 +7 *2317:13 *4691:DIODE 17.4137 +8 *2317:13 *22372:B 15.0271 +*END + +*D_NET *2318 0.136643 +*CONN +*I *22411:B I *D sky130_fd_sc_hd__and2b_1 +*I *4769:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[75] O *D mprj_logic_high +*CAP +1 *22411:B 0.00011886 +2 *4769:DIODE 3.59397e-05 +3 *22570:HI[75] 0.000906551 +4 *2318:100 0.000275008 +5 *2318:96 0.00240128 +6 *2318:94 0.00317038 +7 *2318:90 0.0018672 +8 *2318:82 0.00201065 +9 *2318:78 0.00331247 +10 *2318:75 0.00266942 +11 *2318:65 0.00099275 +12 *2318:54 0.00571898 +13 *2318:53 0.00517268 +14 *2318:48 0.0053089 +15 *2318:46 0.00592954 +16 *2318:42 0.00157738 +17 *2318:28 0.00323235 +18 *2318:26 0.00262147 +19 *2318:18 0.00183916 +20 *2318:16 0.00156944 +21 *2318:14 0.00319255 +22 *2318:13 0.00407971 +23 *22411:B *2837:16 6.26227e-05 +24 *2318:13 *23079:A 6.1438e-05 +25 *2318:13 *2319:7 6.57917e-05 +26 *2318:13 *2320:19 0 +27 *2318:13 *3478:35 0.000110865 +28 *2318:18 *2320:25 0.000511935 +29 *2318:18 *2329:37 0.000131333 +30 *2318:18 *2330:28 0.000143882 +31 *2318:26 *2329:55 8.94611e-05 +32 *2318:26 *2344:30 0.00144801 +33 *2318:26 *2386:9 1.6383e-05 +34 *2318:26 *3117:6 0.000120121 +35 *2318:28 *2328:30 0.000564773 +36 *2318:28 *2344:30 0.000134145 +37 *2318:28 *2386:9 0.00191503 +38 *2318:42 *2344:30 0.000167459 +39 *2318:42 *2344:44 0.000305058 +40 *2318:42 *2875:56 0.00110125 +41 *2318:46 *21476:A 0.000171288 +42 *2318:46 *22446:A_N 6.36477e-05 +43 *2318:46 *22447:A_N 6.08467e-05 +44 *2318:46 *2344:44 0.000246926 +45 *2318:46 *2344:46 0.000361973 +46 *2318:46 *2876:25 0.000372922 +47 *2318:46 *2876:30 6.50727e-05 +48 *2318:48 *22446:A_N 1.5962e-05 +49 *2318:53 *2578:6 6.85778e-05 +50 *2318:53 *2864:19 1.17299e-05 +51 *2318:54 *3763:DIODE 3.09677e-05 +52 *2318:54 *3772:DIODE 4.0752e-05 +53 *2318:54 *2328:43 0.000159297 +54 *2318:54 *2839:52 0.000510776 +55 *2318:54 *3380:20 0.00258555 +56 *2318:54 *3380:23 0.00285694 +57 *2318:65 *2370:6 1.35981e-05 +58 *2318:65 *2392:6 2.69862e-05 +59 *2318:65 *2795:22 0 +60 *2318:65 *2845:34 0.0012501 +61 *2318:75 *3641:DIODE 0.000328363 +62 *2318:75 *2561:9 0.000583258 +63 *2318:75 *2845:34 0.000114874 +64 *2318:75 *3138:41 0.00208048 +65 *2318:75 *3188:20 0.000126489 +66 *2318:82 *3758:DIODE 6.50727e-05 +67 *2318:82 *3760:DIODE 0.000271058 +68 *2318:82 *21568:A 0.000213725 +69 *2318:82 *2324:52 4.59406e-05 +70 *2318:82 *3100:10 0.000239531 +71 *2318:90 *2322:20 0.000115848 +72 *2318:90 *2324:52 0.00127412 +73 *2318:90 *2819:30 0.000215846 +74 *2318:94 *3625:DIODE 0.000210197 +75 *2318:94 *2322:20 0.00012875 +76 *2318:94 *2324:52 4.52739e-05 +77 *2318:94 *2534:9 0.000625696 +78 *2318:94 *2898:25 3.83429e-05 +79 *2318:94 *2898:41 1.99131e-05 +80 *2318:96 *4857:DIODE 6.08467e-05 +81 *2318:96 *4879:DIODE 1.84293e-05 +82 *2318:96 *2322:20 0.00012601 +83 *2318:96 *2324:52 3.59302e-05 +84 *2318:96 *2898:25 2.65831e-05 +85 *4508:DIODE *2318:82 0.000224395 +86 *4509:DIODE *2318:90 1.84293e-05 +87 *4526:DIODE *2318:75 0.000169041 +88 *4527:DIODE *2318:75 5.50218e-05 +89 *4531:DIODE *2318:54 1.53438e-05 +90 *4588:DIODE *2318:46 0.000103983 +91 *4984:DIODE *2318:42 0.000164815 +92 *4987:DIODE *2318:28 0.000164829 +93 *4988:DIODE *2318:28 0.000164829 +94 *6360:DIODE *2318:28 6.08467e-05 +95 *22285:TE *2318:78 2.41483e-05 +96 *22285:TE *2318:82 6.50727e-05 +97 *22290:TE *2318:75 2.65667e-05 +98 *22321:A *2318:46 6.08467e-05 +99 *22321:TE *2318:46 6.08467e-05 +100 *22527:A *2318:18 0.000215771 +101 *22527:TE *2318:18 0.000116764 +102 *23524:A *2318:42 3.14978e-05 +103 *23524:TE *2318:28 0.000203595 +104 *1230:10 *2318:90 0.000630475 +105 *1230:10 *2318:94 0.000439114 +106 *1253:8 *2318:75 6.20738e-05 +107 *1254:9 *2318:75 0.000164843 +108 *1256:13 *2318:54 0.000807434 +109 *1371:7 *2318:78 1.4145e-05 +110 *1371:7 *2318:82 0.000440706 +111 *1371:11 *2318:78 0.00358315 +112 *1377:8 *2318:54 6.50586e-05 +113 *1385:5 *2318:48 0.00761453 +114 *1387:12 *2318:54 0.000122378 +115 *1387:27 *2318:48 0.000307667 +116 *1428:12 *2318:18 0.000944095 +117 *1757:9 *2318:82 0.000625682 +118 *1757:9 *2318:90 0.000126758 +119 *1911:18 *2318:42 0.000549467 +120 *1912:20 *2318:46 0.00113881 +121 *1936:70 *2318:18 0.0027026 +122 *1938:14 *2318:48 0.000195004 +123 *1946:46 *2318:18 9.54357e-06 +124 *1946:48 *2318:14 9.68627e-06 +125 *1946:48 *2318:18 0.00216509 +126 *1946:58 *2318:14 0.0020121 +127 *1946:58 *2318:16 1.09551e-05 +128 *1946:66 *2318:13 0.000675087 +129 *1947:33 *2318:42 0.00271897 +130 *1948:44 *2318:28 0.00935453 +131 *1948:54 *2318:26 0.00139856 +132 *1948:68 *2318:18 0.0069583 +133 *2171:19 *2318:28 0.00242603 +134 *2216:8 *2318:26 0 +135 *2238:8 *2318:18 0.00135969 +136 *2295:11 *2318:14 0.000803607 +137 *2302:8 *2318:13 0.000672286 +138 *2302:8 *2318:14 0.00177384 +139 *2313:7 *2318:13 2.03821e-05 +140 *2314:7 *2318:13 4.7918e-05 +141 *2317:7 *2318:13 0.000869483 +*RES +1 *22570:HI[75] *2318:13 40.8596 +2 *2318:13 *2318:14 55.0746 +3 *2318:14 *2318:16 0.578717 +4 *2318:16 *2318:18 104.434 +5 *2318:18 *2318:26 27.9389 +6 *2318:26 *2318:28 107.207 +7 *2318:28 *2318:42 39.4251 +8 *2318:42 *2318:46 26.8139 +9 *2318:46 *2318:48 92.233 +10 *2318:48 *2318:53 10.4167 +11 *2318:53 *2318:54 89.46 +12 *2318:54 *2318:65 34.728 +13 *2318:65 *2318:75 34.0887 +14 *2318:75 *2318:78 40.679 +15 *2318:78 *2318:82 31.106 +16 *2318:82 *2318:90 39.2081 +17 *2318:90 *2318:94 25.7047 +18 *2318:94 *2318:96 52.0242 +19 *2318:96 *2318:100 2.68862 +20 *2318:100 *4769:DIODE 9.97254 +21 *2318:100 *22411:B 21.7421 +*END + +*D_NET *2319 0.125668 +*CONN +*I *4791:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22422:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[76] O *D mprj_logic_high +*CAP +1 *4791:DIODE 9.93051e-05 +2 *22422:B 0 +3 *22570:HI[76] 0.000368579 +4 *2319:17 0.000108578 +5 *2319:14 0.0139523 +6 *2319:13 0.0140404 +7 *2319:8 0.00373909 +8 *2319:7 0.00401029 +9 *4791:DIODE *2522:16 3.98296e-05 +10 *4791:DIODE *2898:12 9.03933e-05 +11 *2319:7 *2320:19 6.57917e-05 +12 *2319:8 *2321:13 0.000617022 +13 *2319:8 *2337:10 0.0265415 +14 *2319:14 *2320:36 0 +15 *2319:14 *2321:20 0.00272691 +16 *2319:14 *2326:8 0.0019133 +17 *2319:14 *2337:34 0.000141764 +18 *2319:14 *2342:8 0.00174584 +19 *2319:14 *2342:20 0.00664906 +20 *2319:14 *2847:35 0.00010238 +21 *2319:17 *2522:16 7.13655e-06 +22 *2319:17 *2898:12 2.27135e-05 +23 *1171:32 *2319:14 0.0216693 +24 *1441:9 *2319:14 0.000212637 +25 *1905:55 *4791:DIODE 1.03403e-05 +26 *1907:7 *2319:13 1.30978e-05 +27 *1909:7 *2319:13 8.90266e-05 +28 *2085:26 *2319:8 0.00913469 +29 *2154:18 *2319:14 0.00713243 +30 *2164:26 *2319:14 0.00471406 +31 *2178:8 *2319:8 0.00498302 +32 *2245:17 *2319:8 0.000661576 +33 *2318:13 *2319:7 6.57917e-05 +*RES +1 *22570:HI[76] *2319:7 11.8524 +2 *2319:7 *2319:8 289.672 +3 *2319:8 *2319:13 9.90841 +4 *2319:13 *2319:14 57.0351 +5 *2319:14 *2319:17 3.7474 +6 *2319:17 *22422:B 13.7491 +7 *2319:17 *4791:DIODE 16.3045 +*END + +*D_NET *2320 0.140436 +*CONN +*I *4813:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22433:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[77] O *D mprj_logic_high +*CAP +1 *4813:DIODE 0.000154241 +2 *22433:B 0 +3 *22570:HI[77] 0.00308451 +4 *2320:39 0.000169615 +5 *2320:36 0.0178974 +6 *2320:35 0.0190605 +7 *2320:26 0.0030908 +8 *2320:25 0.00468871 +9 *2320:19 0.0058609 +10 *4813:DIODE *2886:6 0 +11 *2320:19 *23079:A 0 +12 *2320:19 *2321:13 6.49714e-05 +13 *2320:19 *2322:18 0.00245016 +14 *2320:19 *2324:19 2.41101e-05 +15 *2320:19 *2328:18 0.0025443 +16 *2320:25 *2328:18 0.00327669 +17 *2320:25 *2328:30 0.000494712 +18 *2320:25 *2329:55 0.00319951 +19 *2320:25 *2330:28 0.0115203 +20 *2320:25 *3410:14 0 +21 *2320:36 *2322:20 0 +22 *2320:36 *2326:8 4.1223e-05 +23 *2320:36 *2328:43 0.000545683 +24 *2320:36 *2330:30 0.00531289 +25 *2320:36 *2335:47 0.0115917 +26 *2320:36 *2336:17 0.000384295 +27 *2320:36 *2839:36 0.000223288 +28 *2320:36 *2848:47 0.00010238 +29 *2320:36 *3188:29 0.00221267 +30 *2320:39 *2886:6 0 +31 *4986:DIODE *2320:26 0.000317644 +32 *4988:DIODE *2320:26 0.000107496 +33 *22523:TE *2320:26 0.000108199 +34 *22569:TE *2320:19 4.63673e-05 +35 *1160:55 *2320:36 0.0135367 +36 *1239:11 *2320:36 0.000101365 +37 *1371:34 *2320:36 0.00010238 +38 *1781:6 *4813:DIODE 0.000266696 +39 *1812:6 *2320:25 1.66626e-05 +40 *1907:11 *2320:35 6.3786e-05 +41 *1936:64 *2320:26 0.00155993 +42 *1946:24 *2320:26 6.6641e-05 +43 *1946:38 *2320:26 0.00075934 +44 *1948:68 *2320:25 0.000436961 +45 *1950:14 *2320:36 6.28948e-05 +46 *1950:22 *2320:19 8.41266e-06 +47 *1950:26 *2320:19 0.00452083 +48 *1951:10 *2320:36 0.00645933 +49 *1951:18 *2320:19 0.00453334 +50 *2155:13 *2320:35 3.96282e-05 +51 *2171:13 *2320:26 0.000809943 +52 *2182:10 *2320:26 0.00409808 +53 *2182:10 *2320:35 0.000610175 +54 *2182:16 *2320:35 5.56367e-05 +55 *2200:15 *2320:26 0.00282286 +56 *2204:8 *2320:26 0.000262497 +57 *2291:22 *2320:19 2.93194e-05 +58 *2292:5 *2320:19 5.99425e-05 +59 *2293:12 *2320:19 0 +60 *2318:13 *2320:19 0 +61 *2318:18 *2320:25 0.000511935 +62 *2319:7 *2320:19 6.57917e-05 +63 *2319:14 *2320:36 0 +*RES +1 *22570:HI[77] *2320:19 49.5855 +2 *2320:19 *2320:25 23.7483 +3 *2320:25 *2320:26 91.4011 +4 *2320:26 *2320:35 28.5384 +5 *2320:35 *2320:36 62.2364 +6 *2320:36 *2320:39 3.7474 +7 *2320:39 *22433:B 13.7491 +8 *2320:39 *4813:DIODE 18.9032 +*END + +*D_NET *2321 0.154088 +*CONN +*I *22444:B I *D sky130_fd_sc_hd__and2b_1 +*I *4835:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[78] O *D mprj_logic_high +*CAP +1 *22444:B 0.000193569 +2 *4835:DIODE 5.46971e-05 +3 *22570:HI[78] 0.000515056 +4 *2321:23 0.000291576 +5 *2321:20 0.0142506 +6 *2321:19 0.0142233 +7 *2321:14 0.0027071 +8 *2321:13 0.00320611 +9 *4835:DIODE *2874:12 2.56676e-05 +10 *22444:B *4901:DIODE 6.08467e-05 +11 *2321:13 *2322:18 6.49714e-05 +12 *2321:13 *2337:10 0.0001286 +13 *2321:14 *3106:19 0.00681565 +14 *2321:20 *2325:16 0.0473121 +15 *2321:20 *3410:13 0.0156561 +16 *2321:23 *2874:12 4.42742e-06 +17 *2321:23 *2874:21 1.35073e-05 +18 *1165:15 *2321:14 0.0155473 +19 *1171:32 *2321:20 0.0029714 +20 *1258:16 *4835:DIODE 7.36804e-06 +21 *1258:16 *2321:23 2.19138e-05 +22 *1391:9 *2321:14 0.00011818 +23 *1753:8 *4835:DIODE 7.86847e-05 +24 *1938:26 *2321:20 0.00037651 +25 *1938:36 *22444:B 4.65396e-05 +26 *2085:25 *2321:19 2.33103e-06 +27 *2085:26 *2321:13 0.000920612 +28 *2085:26 *2321:14 0.0234612 +29 *2137:24 *2321:14 0.000566004 +30 *2157:24 *2321:20 0.000141764 +31 *2160:7 *2321:19 1.91391e-05 +32 *2178:8 *2321:14 1.41689e-05 +33 *2234:25 *2321:14 0.000811216 +34 *2315:14 *2321:13 4.49912e-05 +35 *2316:13 *2321:13 6.16595e-06 +36 *2319:8 *2321:13 0.000617022 +37 *2319:14 *2321:20 0.00272691 +38 *2320:19 *2321:13 6.49714e-05 +*RES +1 *22570:HI[78] *2321:13 31.5903 +2 *2321:13 *2321:14 257.505 +3 *2321:14 *2321:19 8.2474 +4 *2321:19 *2321:20 63.3073 +5 *2321:20 *2321:23 4.5779 +6 *2321:23 *4835:DIODE 15.5811 +7 *2321:23 *22444:B 17.8002 +*END + +*D_NET *2322 0.171705 +*CONN +*I *22455:B I *D sky130_fd_sc_hd__and2b_1 +*I *4857:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[79] O *D mprj_logic_high +*CAP +1 *22455:B 5.06423e-05 +2 *4857:DIODE 4.10289e-05 +3 *22570:HI[79] 0.00607073 +4 *2322:22 9.16712e-05 +5 *2322:20 0.0185744 +6 *2322:18 0.0246451 +7 *4857:DIODE *2602:6 1.9101e-05 +8 *4857:DIODE *2793:42 1.5714e-05 +9 *22455:B *2602:6 0.000181135 +10 *22455:B *2793:42 0.000185292 +11 *2322:18 *2323:13 0.000102921 +12 *2322:18 *2328:18 0.00772398 +13 *2322:18 *2328:30 2.3891e-05 +14 *2322:18 *2329:16 0.00483202 +15 *2322:18 *2344:24 0.000200227 +16 *2322:18 *2434:7 0.000156794 +17 *2322:20 *2324:48 0.000209987 +18 *2322:20 *2324:52 0.000108464 +19 *2322:20 *2328:30 0.0245536 +20 *2322:20 *2328:43 0.0138644 +21 *2322:20 *2329:55 0.00582101 +22 *2322:20 *2329:58 0.040591 +23 *2322:20 *2332:48 0.000956633 +24 *2322:20 *2344:30 0.000782018 +25 *2322:20 *2593:18 5.69065e-05 +26 *2322:20 *2877:15 5.60804e-05 +27 *2322:20 *2883:19 2.68045e-05 +28 *1138:34 *2322:18 0.000104754 +29 *1205:34 *2322:18 0.000429319 +30 *1230:10 *2322:20 0.000142295 +31 *1371:17 *2322:20 0.000220531 +32 *1372:7 *2322:20 0.000228408 +33 *1947:22 *2322:20 0.00142886 +34 *1948:44 *2322:20 0.000614316 +35 *1952:32 *2322:18 0.00822025 +36 *1952:32 *2322:20 0.00727298 +37 *1952:43 *2322:18 1.42649e-05 +38 *2153:25 *2322:18 7.08723e-06 +39 *2249:8 *2322:18 0.000133887 +40 *2300:13 *2322:18 0 +41 *2318:90 *2322:20 0.000115848 +42 *2318:94 *2322:20 0.00012875 +43 *2318:96 *4857:DIODE 6.08467e-05 +44 *2318:96 *2322:20 0.00012601 +45 *2320:19 *2322:18 0.00245016 +46 *2320:36 *2322:20 0 +47 *2321:13 *2322:18 6.49714e-05 +*RES +1 *22570:HI[79] *2322:18 44.7811 +2 *2322:18 *2322:20 84.2273 +3 *2322:20 *2322:22 3.36879 +4 *2322:22 *4857:DIODE 14.8512 +5 *2322:22 *22455:B 17.0345 +*END + +*D_NET *2323 0.022593 +*CONN +*I *5088:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22574:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[7] O *D mprj_logic_high +*CAP +1 *5088:DIODE 0 +2 *22574:TE 6.41102e-05 +3 *22570:HI[7] 0.00154411 +4 *2323:33 0.000571236 +5 *2323:30 0.00151899 +6 *2323:20 0.00326797 +7 *2323:13 0.00380021 +8 *22574:TE *2359:6 4.49912e-05 +9 *2323:13 *2324:10 4.5592e-05 +10 *2323:13 *2326:7 0 +11 *2323:13 *2330:20 6.22259e-05 +12 *2323:20 *3701:DIODE 0.000171288 +13 *2323:20 *2443:7 0.000312566 +14 *2323:30 *4916:DIODE 0.000160617 +15 *2323:30 *2920:51 7.48797e-05 +16 *2323:30 *2920:62 9.03176e-05 +17 *2323:33 *2359:6 0.000592511 +18 *2323:33 *2917:12 0 +19 *22546:TE *2323:20 2.29454e-05 +20 *22547:TE *2323:13 5.88009e-05 +21 *1137:35 *2323:30 0.00207569 +22 *1185:29 *22574:TE 0.000111722 +23 *1205:34 *2323:13 8.45036e-05 +24 *1321:10 *2323:20 0.000111722 +25 *1833:11 *2323:30 0.000710303 +26 *1946:74 *2323:20 0.000118515 +27 *1946:78 *2323:20 0.00151667 +28 *1946:80 *2323:20 0.00011581 +29 *1946:80 *2323:30 0.00181769 +30 *1950:26 *2323:20 0.00010238 +31 *2137:24 *22574:TE 6.50586e-05 +32 *2137:34 *22574:TE 2.15348e-05 +33 *2153:25 *2323:13 1.5714e-05 +34 *2294:26 *2323:13 0.000381433 +35 *2294:28 *2323:13 0.000109576 +36 *2300:16 *2323:20 0.00163664 +37 *2316:13 *2323:13 8.78153e-05 +38 *2316:33 *2323:30 0.00100391 +39 *2322:18 *2323:13 0.000102921 +*RES +1 *22570:HI[7] *2323:13 42.6656 +2 *2323:13 *2323:20 48.6363 +3 *2323:20 *2323:30 46.0321 +4 *2323:30 *2323:33 16.7133 +5 *2323:33 *22574:TE 16.0286 +6 *2323:33 *5088:DIODE 13.7491 +*END + +*D_NET *2324 0.140117 +*CONN +*I *22466:B I *D sky130_fd_sc_hd__and2b_1 +*I *4879:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[80] O *D mprj_logic_high +*CAP +1 *22466:B 1.93962e-05 +2 *4879:DIODE 9.39391e-05 +3 *22570:HI[80] 0.000858991 +4 *2324:52 0.00606866 +5 *2324:50 0.00599347 +6 *2324:48 0.00171558 +7 *2324:47 0.00186593 +8 *2324:36 0.00160033 +9 *2324:35 0.00195407 +10 *2324:24 0.00352349 +11 *2324:22 0.00300708 +12 *2324:20 0.00221671 +13 *2324:19 0.00251813 +14 *2324:16 0.00269755 +15 *2324:13 0.00287542 +16 *2324:10 0.00136411 +17 *4879:DIODE *2522:16 0 +18 *22466:B *2898:25 3.01683e-06 +19 *2324:10 *2325:9 5.48689e-06 +20 *2324:10 *2326:7 0 +21 *2324:10 *2924:35 0 +22 *2324:16 *2446:7 0.00042666 +23 *2324:20 *2329:37 0.0021834 +24 *2324:20 *2329:55 0.000165394 +25 *2324:20 *2344:24 0.00146717 +26 *2324:20 *2344:26 2.66012e-05 +27 *2324:20 *2344:30 0.0147458 +28 *2324:20 *2434:7 0.00115721 +29 *2324:24 *2344:30 0.000176085 +30 *2324:24 *2344:44 0.00153139 +31 *2324:24 *2344:46 0.000150364 +32 *2324:35 *2343:8 0.00155629 +33 *2324:35 *3017:19 0.00150231 +34 *2324:35 *3119:18 0.000109358 +35 *2324:36 *2344:46 0.00914332 +36 *2324:47 *2329:58 0.000324262 +37 *2324:47 *2343:8 6.55817e-05 +38 *2324:47 *2846:37 3.63593e-05 +39 *2324:48 *3643:DIODE 0.000171288 +40 *2324:48 *3759:DIODE 0.000118166 +41 *2324:48 *4781:DIODE 6.92705e-05 +42 *2324:48 *2344:58 0.00626841 +43 *2324:48 *2834:31 0.00187872 +44 *2324:52 *3625:DIODE 1.00981e-05 +45 *2324:52 *21568:A 7.92757e-06 +46 *2324:52 *22394:B 0.000220183 +47 *2324:52 *2329:58 0.000115848 +48 *2324:52 *2534:9 0.000147197 +49 *2324:52 *2898:25 0.000224381 +50 *2324:52 *2898:41 0.000107496 +51 *2324:52 *3100:10 0.00034612 +52 *4516:DIODE *2324:48 0.00043038 +53 *4785:DIODE *2324:47 7.72394e-06 +54 *22296:A *2324:36 7.48797e-05 +55 *22419:B *2324:47 1.5714e-05 +56 *22419:B *2324:48 6.50586e-05 +57 *22526:A *2324:20 3.71651e-05 +58 *22563:TE *2324:16 0.000167692 +59 *1160:51 *2324:10 0.000909799 +60 *1230:10 *2324:52 0.000692186 +61 *1283:6 *2324:35 9.28716e-05 +62 *1371:7 *2324:52 0.0007238 +63 *1371:11 *2324:48 0.00110816 +64 *1371:11 *2324:52 6.65413e-05 +65 *1371:17 *2324:48 9.82896e-06 +66 *1372:7 *2324:48 0.00282814 +67 *1372:11 *2324:48 0.000296965 +68 *1372:13 *2324:36 0.000625709 +69 *1372:13 *2324:48 0.00249576 +70 *1373:20 *2324:48 0.00128777 +71 *1385:10 *2324:35 0 +72 *1765:10 *2324:48 0.000185447 +73 *1767:9 *2324:47 8.89319e-06 +74 *1814:9 *4879:DIODE 7.86847e-05 +75 *1885:28 *2324:47 2.57465e-06 +76 *1911:33 *2324:24 0.002043 +77 *1927:34 *2324:36 0.0110927 +78 *1927:34 *2324:48 0.000103217 +79 *1942:13 *2324:35 1.5714e-05 +80 *1942:17 *2324:35 1.28646e-05 +81 *1943:26 *2324:16 9.68627e-06 +82 *1943:35 *2324:16 6.0269e-05 +83 *1947:36 *2324:20 0.0209513 +84 *1952:32 *2324:20 0.00118498 +85 *2066:17 *2324:19 0.000169196 +86 *2101:32 *2324:10 0.00319985 +87 *2249:8 *2324:20 0.000543566 +88 *2282:8 *2324:16 0.00286952 +89 *2294:18 *2324:16 3.33274e-05 +90 *2297:8 *2324:10 0.000237844 +91 *2302:7 *2324:13 0.000183341 +92 *2303:13 *2324:13 0.000553122 +93 *2318:82 *2324:52 4.59406e-05 +94 *2318:90 *2324:52 0.00127412 +95 *2318:94 *2324:52 4.52739e-05 +96 *2318:96 *4879:DIODE 1.84293e-05 +97 *2318:96 *2324:52 3.59302e-05 +98 *2320:19 *2324:19 2.41101e-05 +99 *2322:20 *2324:48 0.000209987 +100 *2322:20 *2324:52 0.000108464 +101 *2323:13 *2324:10 4.5592e-05 +*RES +1 *22570:HI[80] *2324:10 49.1091 +2 *2324:10 *2324:13 16.7133 +3 *2324:13 *2324:16 45.7095 +4 *2324:16 *2324:19 10.8998 +5 *2324:19 *2324:20 227.002 +6 *2324:20 *2324:22 0.578717 +7 *2324:22 *2324:24 50.0831 +8 *2324:24 *2324:35 22.3472 +9 *2324:35 *2324:36 121.072 +10 *2324:36 *2324:47 18.1729 +11 *2324:47 *2324:48 121.904 +12 *2324:48 *2324:50 0.988641 +13 *2324:50 *2324:52 100.275 +14 *2324:52 *4879:DIODE 20.3893 +15 *2324:52 *22466:B 9.82786 +*END + +*D_NET *2325 0.150803 +*CONN +*I *4901:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22477:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[81] O *D mprj_logic_high +*CAP +1 *4901:DIODE 0.00017244 +2 *22477:B 3.43368e-05 +3 *22570:HI[81] 6.93868e-05 +4 *2325:19 0.00022684 +5 *2325:16 0.0159433 +6 *2325:15 0.0161356 +7 *2325:10 0.00279263 +8 *2325:9 0.00264967 +9 *22477:B *2910:24 0.000101118 +10 *2325:9 *2924:35 3.93117e-06 +11 *2325:10 *2332:15 0.00156255 +12 *2325:16 *2332:80 0 +13 *2325:16 *2592:15 1.43499e-05 +14 *2325:16 *2736:17 0.000119789 +15 *2325:16 *2739:21 0.000515333 +16 *2325:16 *3096:5 0.000267774 +17 *2325:16 *3096:17 0.000141764 +18 *2325:16 *3124:26 5.76799e-05 +19 *2325:16 *3410:13 0.000242095 +20 *2325:19 *2910:24 3.6549e-05 +21 *22444:B *4901:DIODE 6.08467e-05 +22 *1155:23 *2325:16 0.00180621 +23 *1169:43 *2325:10 0.000139046 +24 *1169:63 *2325:16 0.00307151 +25 *1171:26 *2325:16 0.000652445 +26 *1207:19 *2325:10 0.000122053 +27 *1313:11 *22477:B 0.000101118 +28 *1313:11 *2325:19 3.24885e-05 +29 *1369:15 *2325:16 0.000997055 +30 *1369:33 *2325:16 0.000141764 +31 *1641:11 *2325:16 0.000130331 +32 *1792:11 *4901:DIODE 4.69326e-06 +33 *1894:7 *2325:16 0 +34 *1905:31 *2325:16 0.000158469 +35 *1945:22 *2325:10 0.0150947 +36 *2029:30 *2325:16 0.000697641 +37 *2089:19 *2325:10 0.000127592 +38 *2129:33 *2325:16 3.6241e-05 +39 *2137:23 *2325:16 0.00307658 +40 *2153:26 *2325:10 0.0234419 +41 *2162:35 *2325:16 0.00539738 +42 *2168:7 *2325:15 7.43371e-05 +43 *2169:7 *2325:15 0.000212767 +44 *2242:23 *2325:10 0.000165394 +45 *2243:23 *2325:10 0.000212654 +46 *2270:12 *2325:10 0.000135948 +47 *2270:24 *2325:10 0.000126285 +48 *2272:10 *2325:10 0.00618114 +49 *2321:20 *2325:16 0.0473121 +50 *2324:10 *2325:9 5.48689e-06 +*RES +1 *22570:HI[81] *2325:9 5.52592 +2 *2325:9 *2325:10 259.723 +3 *2325:10 *2325:15 12.8152 +4 *2325:15 *2325:16 65.6785 +5 *2325:16 *2325:19 4.16265 +6 *2325:19 *22477:B 15.5811 +7 *2325:19 *4901:DIODE 16.1364 +*END + +*D_NET *2326 0.157112 +*CONN +*I *4923:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22488:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[82] O *D mprj_logic_high +*CAP +1 *4923:DIODE 0 +2 *22488:B 7.10296e-05 +3 *22570:HI[82] 0.000593714 +4 *2326:11 7.84419e-05 +5 *2326:8 0.0151769 +6 *2326:7 0.0157632 +7 *22488:B *2923:30 0.0001253 +8 *2326:7 *2327:7 0.000187141 +9 *2326:7 *2924:35 3.31302e-05 +10 *2326:8 *2335:34 0.00187768 +11 *2326:8 *2335:47 1.77321e-05 +12 *2326:8 *2336:17 0.0327353 +13 *2326:8 *2342:8 0.042089 +14 *2326:8 *2342:20 0.0159787 +15 *2326:8 *2600:26 4.30499e-05 +16 *2326:11 *2923:30 2.02035e-05 +17 *1163:27 *2326:8 0.000152056 +18 *1244:6 *22488:B 0 +19 *1244:6 *2326:11 0 +20 *1927:16 *2326:8 0.000112118 +21 *2123:20 *2326:8 0.0241504 +22 *2153:20 *2326:7 1.91391e-05 +23 *2156:16 *2326:8 0.00141316 +24 *2174:19 *2326:8 0.00452027 +25 *2319:14 *2326:8 0.0019133 +26 *2320:36 *2326:8 4.1223e-05 +27 *2323:13 *2326:7 0 +28 *2324:10 *2326:7 0 +*RES +1 *22570:HI[82] *2326:7 15.0813 +2 *2326:7 *2326:8 91.1497 +3 *2326:8 *2326:11 3.7474 +4 *2326:11 *22488:B 15.9964 +5 *2326:11 *4923:DIODE 13.7491 +*END + +*D_NET *2327 0.166345 +*CONN +*I *4945:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22499:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[83] O *D mprj_logic_high +*CAP +1 *4945:DIODE 3.73114e-05 +2 *22499:B 4.81963e-05 +3 *22570:HI[83] 0.00252007 +4 *2327:21 0.000599721 +5 *2327:17 0.00242414 +6 *2327:16 0.00190993 +7 *2327:14 0.00537132 +8 *2327:13 0.00537132 +9 *2327:11 0.00276057 +10 *2327:9 0.0028314 +11 *2327:7 0.00259091 +12 *22499:B *2396:6 0.000148129 +13 *2327:7 *2328:18 0 +14 *2327:7 *2329:16 0 +15 *2327:7 *2333:13 0 +16 *2327:7 *2435:8 0.000271988 +17 *2327:7 *2435:10 3.20069e-06 +18 *2327:7 *2924:35 8.87683e-07 +19 *2327:7 *2925:20 2.986e-05 +20 *2327:7 *2925:59 6.97834e-05 +21 *2327:7 *3384:6 0 +22 *2327:11 *22794:A 6.09962e-05 +23 *2327:11 *2435:10 0 +24 *2327:11 *3158:35 0.00036828 +25 *2327:11 *3384:6 0 +26 *2327:14 *2435:13 0.000161493 +27 *2327:14 *2436:9 0.000550879 +28 *2327:14 *2467:13 0.067623 +29 *2327:14 *2988:33 0.000517879 +30 *2327:14 *3001:87 0.000453569 +31 *2327:17 *22622:A 7.84494e-05 +32 *2327:17 *2396:6 0.00111661 +33 *2327:17 *3004:8 1.05746e-05 +34 *2327:17 *3005:19 8.07734e-05 +35 *2327:17 *3071:8 0 +36 *2327:21 *2396:6 0.000969823 +37 *2327:21 *2935:27 3.86844e-05 +38 *2327:21 *3071:8 0 +39 la_data_in_core[65] *2327:11 0.00037665 +40 la_oenb_core[64] *2327:11 6.56413e-05 +41 mprj_adr_o_user[8] *2327:17 0 +42 mprj_dat_o_user[7] *2327:17 0.000466419 +43 *4425:DIODE *2327:11 3.88873e-05 +44 *4456:DIODE *2327:21 0 +45 *22567:A *2327:7 0 +46 *1219:6 *2327:21 0 +47 *1336:5 *4945:DIODE 1.03403e-05 +48 *1439:10 *2327:11 0.000209629 +49 *1814:9 *22499:B 0.000148129 +50 *1814:9 *2327:21 0.000144531 +51 *2153:20 *2327:7 1.5714e-05 +52 *2316:13 *2327:7 0 +53 *2317:10 *2327:14 0.0656625 +54 *2326:7 *2327:7 0.000187141 +*RES +1 *22570:HI[83] *2327:7 49.6959 +2 *2327:7 *2327:9 1.29461 +3 *2327:9 *2327:11 56.975 +4 *2327:11 *2327:13 4.5 +5 *2327:13 *2327:14 718.38 +6 *2327:14 *2327:16 4.5 +7 *2327:16 *2327:17 56.975 +8 *2327:17 *2327:21 18.0146 +9 *2327:21 *22499:B 16.4116 +10 *2327:21 *4945:DIODE 14.4725 +*END + +*D_NET *2328 0.150585 +*CONN +*I *22383:B I *D sky130_fd_sc_hd__and2b_1 +*I *4713:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[84] O *D mprj_logic_high +*CAP +1 *22383:B 0.000216908 +2 *4713:DIODE 0 +3 *22570:HI[84] 0.00630882 +4 *2328:43 0.00739598 +5 *2328:30 0.0177213 +6 *2328:18 0.0168511 +7 *22383:B *2397:52 4.52621e-05 +8 *22383:B *2534:6 0 +9 *22383:B *2849:36 2.65831e-05 +10 *22383:B *2898:41 0 +11 *2328:18 *2329:16 0.00036357 +12 *2328:18 *2329:55 6.22732e-06 +13 *2328:18 *2330:20 0.00380596 +14 *2328:18 *2330:28 0.000531488 +15 *2328:18 *2344:26 0.00012309 +16 *2328:18 *2925:20 0.000129803 +17 *2328:18 *2925:59 0.00037283 +18 *2328:18 *3045:22 5.76913e-05 +19 *2328:30 *2329:55 0.00941921 +20 *2328:30 *2330:28 0.00131083 +21 *2328:30 *2330:30 0.0127034 +22 *2328:30 *2332:48 0.00404689 +23 *2328:30 *2344:57 0.00291503 +24 *2328:30 *2386:9 0.000165394 +25 *2328:30 *2881:20 3.68959e-05 +26 *2328:30 *2883:19 0.000104754 +27 *2328:43 *2330:30 0.00830873 +28 *2328:43 *2397:52 3.01656e-05 +29 *2328:43 *2534:6 0 +30 *2328:43 *3138:17 0.000117719 +31 *4927:DIODE *2328:18 2.52575e-05 +32 *1138:34 *2328:18 0.000108986 +33 *1910:13 *2328:30 0.00169578 +34 *1947:22 *2328:30 6.15557e-05 +35 *1948:54 *2328:30 0.000159297 +36 *1955:35 *2328:18 1.05746e-05 +37 *2066:16 *2328:18 0.000110846 +38 *2155:23 *2328:30 0.000102851 +39 *2171:19 *2328:30 0.000110257 +40 *2309:25 *2328:18 0.00133267 +41 *2318:28 *2328:30 0.000564773 +42 *2318:54 *2328:43 0.000159297 +43 *2320:19 *2328:18 0.0025443 +44 *2320:25 *2328:18 0.00327669 +45 *2320:25 *2328:30 0.000494712 +46 *2320:36 *2328:43 0.000545683 +47 *2322:18 *2328:18 0.00772398 +48 *2322:18 *2328:30 2.3891e-05 +49 *2322:20 *2328:30 0.0245536 +50 *2322:20 *2328:43 0.0138644 +51 *2327:7 *2328:18 0 +*RES +1 *22570:HI[84] *2328:18 45.1744 +2 *2328:18 *2328:30 49.3195 +3 *2328:30 *2328:43 34.6027 +4 *2328:43 *4713:DIODE 13.7491 +5 *2328:43 *22383:B 18.5443 +*END + +*D_NET *2329 0.159031 +*CONN +*I *22394:B I *D sky130_fd_sc_hd__and2b_1 +*I *4735:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[85] O *D mprj_logic_high +*CAP +1 *22394:B 2.11853e-05 +2 *4735:DIODE 9.44172e-05 +3 *22570:HI[85] 0.00325472 +4 *2329:60 0.000115603 +5 *2329:58 0.0121809 +6 *2329:57 0.0121809 +7 *2329:55 0.00341374 +8 *2329:37 0.00403714 +9 *2329:27 0.00208282 +10 *2329:16 0.00471414 +11 *4735:DIODE *2545:6 0 +12 *4735:DIODE *2819:30 2.33103e-06 +13 *4735:DIODE *2819:40 2.00195e-05 +14 *2329:16 *2330:20 0.000833857 +15 *2329:16 *2333:13 2.55422e-05 +16 *2329:16 *2344:17 0.00011069 +17 *2329:16 *2344:18 0.00031128 +18 *2329:16 *2475:12 0.000119289 +19 *2329:27 *2615:30 0 +20 *2329:27 *2903:53 7.44425e-06 +21 *2329:27 *3145:15 0.00337345 +22 *2329:37 *2344:26 0.000624393 +23 *2329:55 *2330:28 0.00624824 +24 *2329:55 *2330:30 1.28434e-05 +25 *2329:55 *3117:6 7.72394e-06 +26 *2329:58 *2331:12 0 +27 *2329:58 *2333:14 0 +28 *2329:58 *2343:8 0.000793896 +29 *2329:58 *2344:58 0.000228408 +30 *2329:58 *3017:19 0.00240136 +31 *2329:58 *3138:41 0.00302697 +32 *4927:DIODE *2329:16 7.94462e-05 +33 *22526:A *2329:37 0.000123099 +34 *22547:TE *2329:16 6.39153e-06 +35 *23524:TE *2329:55 3.58525e-05 +36 *1167:12 *2329:37 4.07419e-05 +37 *1168:36 *2329:27 0.000529839 +38 *1230:10 *22394:B 0.000224395 +39 *1379:14 *2329:58 0.000112424 +40 *1379:26 *2329:58 0.0011878 +41 *1900:9 *2329:55 0.000119808 +42 *1927:34 *2329:58 0.000209987 +43 *1936:70 *2329:37 0.000415855 +44 *1947:36 *2329:16 0.000101365 +45 *1947:36 *2329:55 0.000152056 +46 *1948:80 *2329:16 9.17814e-05 +47 *1952:32 *2329:27 0.00641862 +48 *1952:32 *2329:55 0.00582924 +49 *1952:32 *2329:58 0.00688093 +50 *1952:43 *2329:16 0.0060797 +51 *1955:35 *2329:16 1.91391e-05 +52 *2030:17 *2329:55 5.36085e-05 +53 *2047:29 *2329:37 7.57527e-05 +54 *2089:19 *2329:37 0.000177913 +55 *2131:20 *2329:27 0.00159935 +56 *2216:8 *2329:55 0 +57 *2238:8 *2329:37 0.000107496 +58 *2249:8 *2329:37 0.000623405 +59 *2309:25 *2329:16 2.9322e-05 +60 *2314:13 *2329:16 0 +61 *2318:18 *2329:37 0.000131333 +62 *2318:26 *2329:55 8.94611e-05 +63 *2320:25 *2329:55 0.00319951 +64 *2322:18 *2329:16 0.00483202 +65 *2322:20 *2329:55 0.00582101 +66 *2322:20 *2329:58 0.040591 +67 *2324:20 *2329:37 0.0021834 +68 *2324:20 *2329:55 0.000165394 +69 *2324:47 *2329:58 0.000324262 +70 *2324:52 *22394:B 0.000220183 +71 *2324:52 *2329:58 0.000115848 +72 *2327:7 *2329:16 0 +73 *2328:18 *2329:16 0.00036357 +74 *2328:18 *2329:55 6.22732e-06 +75 *2328:30 *2329:55 0.00941921 +*RES +1 *22570:HI[85] *2329:16 47.2271 +2 *2329:16 *2329:27 26.7726 +3 *2329:27 *2329:37 47.655 +4 *2329:37 *2329:55 48.7727 +5 *2329:55 *2329:57 3.36879 +6 *2329:57 *2329:58 53.9755 +7 *2329:58 *2329:60 3.36879 +8 *2329:60 *4735:DIODE 15.7888 +9 *2329:60 *22394:B 16.1364 +*END + +*D_NET *2330 0.140577 +*CONN +*I *4753:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22403:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[86] O *D mprj_logic_high +*CAP +1 *4753:DIODE 0 +2 *22403:B 0.000132805 +3 *22570:HI[86] 0.00374834 +4 *2330:33 0.000143903 +5 *2330:30 0.012379 +6 *2330:28 0.0186994 +7 *2330:20 0.0100798 +8 *22403:B *2828:33 1.75164e-05 +9 *2330:20 *2331:11 0 +10 *2330:20 *3045:22 5.76913e-05 +11 *2330:30 *2344:57 0.00102543 +12 *2330:30 *2845:34 0.000173271 +13 *2330:30 *2881:20 0.000266924 +14 *2330:30 *3188:29 0.00516632 +15 *2330:30 *3380:20 0.000378328 +16 *22547:TE *2330:20 2.14522e-05 +17 *1256:13 *2330:30 0.000159297 +18 *1751:6 *22403:B 7.41354e-05 +19 *1751:6 *2330:33 1.19737e-05 +20 *1910:13 *2330:30 0.000139016 +21 *1936:70 *2330:28 0.000159297 +22 *1946:48 *2330:28 0.000530428 +23 *1950:14 *2330:28 0.00609491 +24 *1950:14 *2330:30 0.00671656 +25 *1950:22 *2330:20 0.00084589 +26 *1950:22 *2330:28 0.0150962 +27 *1950:26 *2330:20 0.0045104 +28 *2066:16 *2330:28 0.000116268 +29 *2155:23 *2330:30 0.00148139 +30 *2309:25 *2330:20 0.00155676 +31 *2318:18 *2330:28 0.000143882 +32 *2320:25 *2330:28 0.0115203 +33 *2320:36 *2330:30 0.00531289 +34 *2323:13 *2330:20 6.22259e-05 +35 *2328:18 *2330:20 0.00380596 +36 *2328:18 *2330:28 0.000531488 +37 *2328:30 *2330:28 0.00131083 +38 *2328:30 *2330:30 0.0127034 +39 *2328:43 *2330:30 0.00830873 +40 *2329:16 *2330:20 0.000833857 +41 *2329:55 *2330:28 0.00624824 +42 *2329:55 *2330:30 1.28434e-05 +*RES +1 *22570:HI[86] *2330:20 33.4636 +2 *2330:20 *2330:28 32.5516 +3 *2330:28 *2330:30 48.8889 +4 *2330:30 *2330:33 3.7474 +5 *2330:33 *22403:B 16.9002 +6 *2330:33 *4753:DIODE 13.7491 +*END + +*D_NET *2331 0.156212 +*CONN +*I *4755:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22404:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[87] O *D mprj_logic_high +*CAP +1 *4755:DIODE 7.05783e-05 +2 *22404:B 0 +3 *22570:HI[87] 0.00160406 +4 *2331:15 8.58875e-05 +5 *2331:12 0.0186402 +6 *2331:11 0.020229 +7 *2331:11 *2332:15 1.60034e-06 +8 *2331:11 *2333:13 0 +9 *2331:11 *2444:8 0.000212295 +10 *2331:11 *2924:35 7.08723e-06 +11 *2331:11 *3406:8 0 +12 *2331:12 *2333:14 0.0682369 +13 *2331:12 *2339:8 0 +14 *2331:12 *2470:9 0.000355623 +15 *2331:12 *2842:44 0.000220514 +16 *2331:12 *3100:19 0.00364539 +17 *2331:12 *3113:28 4.42985e-05 +18 *2331:12 *3136:23 0.0256144 +19 *2331:12 *3147:25 0.000244161 +20 *2331:12 *3149:29 0.00763402 +21 *2331:12 *3176:24 0.00444096 +22 *2331:12 *3383:16 0.00141631 +23 *2331:12 *3406:22 4.90055e-05 +24 *2331:12 *3445:9 0.000393802 +25 *1136:9 *2331:12 0.000304095 +26 *1430:11 *2331:12 0.00010101 +27 *1752:9 *4755:DIODE 3.60268e-05 +28 *1752:9 *2331:15 5.22654e-06 +29 *2132:38 *2331:12 0.00231128 +30 *2309:25 *2331:11 3.77568e-05 +31 *2310:14 *2331:12 0.000270139 +32 *2329:58 *2331:12 0 +33 *2330:20 *2331:11 0 +*RES +1 *22570:HI[87] *2331:11 33.4257 +2 *2331:11 *2331:12 94.7447 +3 *2331:12 *2331:15 3.7474 +4 *2331:15 *22404:B 13.7491 +5 *2331:15 *4755:DIODE 15.5811 +*END + +*D_NET *2332 0.158039 +*CONN +*I *22405:B I *D sky130_fd_sc_hd__and2b_1 +*I *4757:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[88] O *D mprj_logic_high +*CAP +1 *22405:B 2.8428e-05 +2 *4757:DIODE 0.000112025 +3 *22570:HI[88] 0.000464429 +4 *2332:80 0.00518027 +5 *2332:72 0.00861595 +6 *2332:58 0.00545468 +7 *2332:48 0.00417656 +8 *2332:34 0.0117846 +9 *2332:15 0.00995097 +10 *4757:DIODE *2395:6 0 +11 *4757:DIODE *2874:12 0 +12 *22405:B *2395:6 0 +13 *22405:B *2874:12 0 +14 *2332:34 *2382:40 0.000225034 +15 *2332:34 *2598:20 0.000113478 +16 *2332:34 *2615:21 0.000385776 +17 *2332:34 *2900:17 2.87112e-05 +18 *2332:34 *2903:28 0.000168069 +19 *2332:34 *3195:14 0.000159964 +20 *2332:48 *3668:DIODE 0.00011884 +21 *2332:48 *2335:34 0.00058147 +22 *2332:48 *2593:18 6.21462e-05 +23 *2332:48 *2595:20 5.36085e-05 +24 *2332:48 *2877:15 5.60804e-05 +25 *2332:48 *2879:33 0.000489669 +26 *2332:58 *2591:16 0.000150081 +27 *2332:58 *2869:28 4.93653e-05 +28 *2332:58 *2870:32 1.5714e-05 +29 *2332:58 *3125:22 0.000703953 +30 *2332:72 *2865:18 4.69495e-06 +31 *2332:72 *2867:12 1.5714e-05 +32 *2332:80 *2784:39 0.000118367 +33 *2332:80 *2795:39 0.000125451 +34 *1155:9 *2332:34 0.00606233 +35 *1155:22 *2332:34 0.000348257 +36 *1160:55 *2332:48 0.000209378 +37 *1207:15 *2332:15 0.0010503 +38 *1207:19 *2332:15 9.68716e-06 +39 *1393:28 *2332:58 0.000513994 +40 *1883:20 *2332:58 3.52699e-05 +41 *1894:7 *2332:58 0.00107214 +42 *1894:7 *2332:72 0.00549708 +43 *1894:7 *2332:80 0.00765748 +44 *1912:20 *2332:48 4.73072e-05 +45 *1929:14 *2332:48 8.64066e-05 +46 *1930:7 *2332:48 1.9537e-05 +47 *1932:47 *2332:34 0.000358807 +48 *1932:68 *2332:34 0.000227173 +49 *1933:7 *2332:48 0.000309671 +50 *1936:21 *2332:48 3.93117e-06 +51 *1936:37 *2332:34 0.000386404 +52 *1947:22 *2332:48 0.00129269 +53 *2013:20 *2332:58 1.91246e-05 +54 *2022:22 *2332:34 0.00608013 +55 *2025:7 *2332:58 0.000213182 +56 *2034:20 *2332:34 0.000337376 +57 *2089:19 *2332:34 0 +58 *2097:14 *2332:34 0.0205422 +59 *2121:27 *2332:34 0.000254466 +60 *2155:51 *2332:80 0.000438564 +61 *2156:41 *2332:58 0.000480689 +62 *2158:37 *2332:80 0.000199958 +63 *2159:30 *2332:34 0.0039016 +64 *2159:57 *2332:72 1.77593e-05 +65 *2159:57 *2332:80 0.000692551 +66 *2162:29 *2332:34 0.000343101 +67 *2163:40 *2332:58 0.00360776 +68 *2163:40 *2332:72 0.0178717 +69 *2167:24 *2332:34 0.000959672 +70 *2167:32 *2332:34 0.000157534 +71 *2167:42 *2332:72 0.000523554 +72 *2167:65 *2332:72 0.00405426 +73 *2169:35 *2332:34 0.000115706 +74 *2169:52 *2332:58 0.000487711 +75 *2177:23 *2332:34 0.000170023 +76 *2178:13 *2332:58 0.000496866 +77 *2179:25 *2332:34 0.000117153 +78 *2180:38 *2332:34 0.000201353 +79 *2181:12 *2332:34 0.000401572 +80 *2181:20 *2332:34 0.000120901 +81 *2181:36 *2332:34 0.000133733 +82 *2186:47 *2332:34 0.000201462 +83 *2190:33 *2332:34 2.25698e-05 +84 *2191:17 *2332:34 6.78049e-05 +85 *2194:23 *2332:34 0.000116084 +86 *2195:25 *2332:34 0.000116084 +87 *2196:25 *2332:34 0.000493652 +88 *2198:29 *2332:34 3.10903e-05 +89 *2199:22 *2332:34 0.000507077 +90 *2202:33 *2332:34 0.000116084 +91 *2203:24 *2332:34 1.93857e-05 +92 *2208:19 *2332:34 0.000331838 +93 *2209:27 *2332:34 0.00100595 +94 *2210:19 *2332:34 0.000121638 +95 *2212:19 *2332:34 0.000256936 +96 *2213:20 *2332:34 0.000170023 +97 *2214:16 *2332:34 6.21462e-05 +98 *2217:26 *2332:34 0.000168546 +99 *2218:20 *2332:34 0.000121638 +100 *2219:19 *2332:34 6.21462e-05 +101 *2220:19 *2332:34 6.21462e-05 +102 *2221:19 *2332:34 5.24659e-05 +103 *2222:16 *2332:34 0.000121638 +104 *2223:19 *2332:34 1.93857e-05 +105 *2224:23 *2332:34 4.79156e-05 +106 *2225:20 *2332:34 0.000116084 +107 *2226:33 *2332:34 6.21462e-05 +108 *2228:29 *2332:34 8.41266e-06 +109 *2229:21 *2332:34 0.000116084 +110 *2230:24 *2332:34 6.21462e-05 +111 *2231:16 *2332:34 5.0476e-05 +112 *2232:19 *2332:34 1.498e-05 +113 *2233:23 *2332:34 0.000116084 +114 *2234:25 *2332:34 0.000217714 +115 *2235:35 *2332:34 0.00056694 +116 *2237:27 *2332:34 0.0003992 +117 *2241:38 *2332:34 0.00157242 +118 *2243:23 *2332:15 0.000214726 +119 *2243:23 *2332:34 0.000833388 +120 *2246:25 *2332:34 6.21462e-05 +121 *2248:26 *2332:34 0.00060649 +122 *2264:16 *2332:34 0.000846939 +123 *2270:23 *2332:34 0.000195309 +124 *2270:24 *2332:15 0.00264749 +125 *2273:18 *2332:34 0.000387158 +126 *2275:10 *2332:34 0.000557579 +127 *2322:20 *2332:48 0.000956633 +128 *2325:10 *2332:15 0.00156255 +129 *2325:16 *2332:80 0 +130 *2328:30 *2332:48 0.00404689 +131 *2331:11 *2332:15 1.60034e-06 +*RES +1 *22570:HI[88] *2332:15 44.3032 +2 *2332:15 *2332:34 47.0093 +3 *2332:34 *2332:48 45.151 +4 *2332:48 *2332:58 46.9637 +5 *2332:58 *2332:72 46.0197 +6 *2332:72 *2332:80 22.5994 +7 *2332:80 *4757:DIODE 15.6817 +8 *2332:80 *22405:B 14.543 +*END + +*D_NET *2333 0.154415 +*CONN +*I *4759:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22406:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[89] O *D mprj_logic_high +*CAP +1 *4759:DIODE 0.000107503 +2 *22406:B 0 +3 *22570:HI[89] 0.00182162 +4 *2333:17 0.000169889 +5 *2333:14 0.0219807 +6 *2333:13 0.02374 +7 *4759:DIODE *2832:28 1.96722e-05 +8 *4759:DIODE *3083:5 6.50586e-05 +9 *2333:13 *2334:7 1.30094e-05 +10 *2333:13 *2335:17 0 +11 *2333:13 *2435:8 0 +12 *2333:13 *2444:8 0.000146228 +13 *2333:14 *2343:8 0.00383674 +14 *2333:14 *2470:39 0.00010238 +15 *2333:14 *2889:18 0.000400929 +16 *2333:14 *2905:20 5.93953e-05 +17 *2333:14 *3093:5 0.000311337 +18 *2333:14 *3098:20 0.00146391 +19 *2333:14 *3098:43 0.00284198 +20 *2333:14 *3141:35 0.0027708 +21 *2333:14 *3147:15 0.000113329 +22 *2333:14 *3147:31 0.000362043 +23 *2333:14 *3149:29 0.00168638 +24 *2333:14 *3406:22 0.00045904 +25 *2333:17 *2369:8 0 +26 *2333:17 *2832:12 3.93117e-06 +27 *2333:17 *2832:28 9.84756e-06 +28 *1137:61 *2333:14 0.000133887 +29 *1137:71 *2333:14 0.000202038 +30 *1160:48 *2333:13 0.000126245 +31 *1373:25 *2333:14 0.000346541 +32 *1385:22 *2333:14 0.00187982 +33 *1430:13 *2333:14 0.000220514 +34 *1754:9 *4759:DIODE 3.48436e-05 +35 *1754:9 *2333:17 3.5534e-06 +36 *1902:19 *2333:14 0.00529296 +37 *1927:33 *2333:14 0.000273629 +38 *1950:26 *2333:13 1.58634e-05 +39 *1952:43 *2333:13 6.29708e-05 +40 *1966:16 *2333:13 6.62145e-05 +41 *2028:17 *2333:14 0.000112 +42 *2072:17 *2333:14 0.0102734 +43 *2132:38 *2333:14 0.00075397 +44 *2134:19 *2333:14 0.0036479 +45 *2176:30 *2333:14 2.72393e-05 +46 *2309:25 *2333:13 0.000193071 +47 *2327:7 *2333:13 0 +48 *2329:16 *2333:13 2.55422e-05 +49 *2329:58 *2333:14 0 +50 *2331:11 *2333:13 0 +51 *2331:12 *2333:14 0.0682369 +*RES +1 *22570:HI[89] *2333:13 39.3383 +2 *2333:13 *2333:14 91.2262 +3 *2333:14 *2333:17 4.5779 +4 *2333:17 *22406:B 13.7491 +5 *2333:17 *4759:DIODE 16.3045 +*END + +*D_NET *2334 0.0108735 +*CONN +*I *5090:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22575:TE I *D sky130_fd_sc_hd__einvp_8 +*I *22570:HI[8] O *D mprj_logic_high +*CAP +1 *5090:DIODE 0.000174676 +2 *22575:TE 0 +3 *22570:HI[8] 9.28676e-05 +4 *2334:8 0.000681258 +5 *2334:7 0.00059945 +6 *5090:DIODE *2916:22 0 +7 *2334:7 *2335:17 1.84002e-05 +8 *1160:48 *2334:7 1.48605e-05 +9 *1201:17 *5090:DIODE 0.000263305 +10 *1201:17 *2334:8 0.00427489 +11 *2080:49 *5090:DIODE 0.000131727 +12 *2101:32 *5090:DIODE 6.08467e-05 +13 *2301:8 *5090:DIODE 0.00027329 +14 *2301:8 *2334:8 0.00427489 +15 *2333:13 *2334:7 1.30094e-05 +*RES +1 *22570:HI[8] *2334:7 6.45413 +2 *2334:7 *2334:8 46.7555 +3 *2334:8 *22575:TE 9.24915 +4 *2334:8 *5090:DIODE 24.5769 +*END + +*D_NET *2335 0.129738 +*CONN +*I *22407:B I *D sky130_fd_sc_hd__and2b_1 +*I *4761:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[90] O *D mprj_logic_high +*CAP +1 *22407:B 0 +2 *4761:DIODE 8.12633e-05 +3 *22570:HI[90] 0.00651973 +4 *2335:47 0.00900584 +5 *2335:34 0.0155743 +6 *2335:17 0.0131694 +7 *4761:DIODE *2373:8 0 +8 *4761:DIODE *2833:16 0 +9 *2335:17 *2336:7 6.47614e-05 +10 *2335:17 *2903:32 0.000940676 +11 *2335:17 *2903:53 0.000636692 +12 *2335:34 *2595:20 1.79334e-05 +13 *2335:34 *2600:26 0.000279664 +14 *2335:34 *2612:24 0.000234554 +15 *2335:47 *2336:17 0.00972106 +16 *2335:47 *2373:8 0 +17 *2335:47 *2833:29 0 +18 *2335:47 *2875:28 0.000743059 +19 *1160:48 *2335:17 0 +20 *1160:55 *2335:17 0.0113588 +21 *1160:55 *2335:34 0.0136107 +22 *1160:55 *2335:47 0.00929291 +23 *1164:35 *2335:17 0.000528581 +24 *1164:35 *2335:34 0.000459803 +25 *1165:14 *2335:17 0.0002834 +26 *1167:12 *2335:17 1.5714e-05 +27 *1169:99 *2335:47 0.000204778 +28 *1185:34 *2335:17 0 +29 *1255:9 *2335:47 0.000193001 +30 *1326:20 *2335:17 7.40684e-06 +31 *1755:9 *2335:47 6.1096e-05 +32 *1927:16 *2335:34 0.00216927 +33 *1951:18 *2335:17 0.00174657 +34 *1955:35 *2335:17 3.55117e-05 +35 *2054:19 *2335:34 0.00033197 +36 *2089:19 *2335:17 7.08723e-06 +37 *2123:20 *2335:17 0.00358908 +38 *2123:20 *2335:34 0.00724844 +39 *2155:41 *2335:47 0.00232855 +40 *2156:16 *2335:34 3.91106e-05 +41 *2174:19 *2335:34 0.00128555 +42 *2238:8 *2335:34 0 +43 *2247:19 *2335:17 0.00386483 +44 *2320:36 *2335:47 0.0115917 +45 *2326:8 *2335:34 0.00187768 +46 *2326:8 *2335:47 1.77321e-05 +47 *2332:48 *2335:34 0.00058147 +48 *2333:13 *2335:17 0 +49 *2334:7 *2335:17 1.84002e-05 +*RES +1 *22570:HI[90] *2335:17 49.8862 +2 *2335:17 *2335:34 41.4537 +3 *2335:34 *2335:47 47.369 +4 *2335:47 *4761:DIODE 15.5811 +5 *2335:47 *22407:B 13.7491 +*END + +*D_NET *2336 0.122717 +*CONN +*I *4763:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22408:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[91] O *D mprj_logic_high +*CAP +1 *4763:DIODE 2.7855e-05 +2 *22408:B 5.96302e-05 +3 *22570:HI[91] 0.000473477 +4 *2336:17 0.00743431 +5 *2336:13 0.00742514 +6 *2336:8 0.0059892 +7 *2336:7 0.00638436 +8 *22408:B *2369:8 0.000168775 +9 *22408:B *2832:12 0.000166077 +10 *2336:7 *2337:9 0.000144765 +11 *2336:8 *2340:10 0 +12 *2336:8 *2342:8 0.000157517 +13 *2336:17 *2369:8 1.04747e-05 +14 *2336:17 *2832:12 1.5714e-05 +15 *2336:17 *2875:28 0.00074848 +16 *1162:25 *2336:8 0.0303505 +17 *1165:14 *2336:7 7.95157e-05 +18 *1185:34 *2336:7 2.40767e-05 +19 *1393:41 *2336:8 3.29841e-05 +20 *1668:17 *2336:13 8.4653e-05 +21 *1905:39 *2336:8 0.00109 +22 *1905:48 *4763:DIODE 6.08467e-05 +23 *1905:48 *2336:17 0.000202055 +24 *1927:24 *2336:8 1.15389e-05 +25 *1930:14 *2336:8 0.000819207 +26 *1935:13 *2336:13 8.80635e-05 +27 *1945:28 *2336:8 0.00455949 +28 *2135:24 *2336:8 0.000999247 +29 *2155:41 *2336:17 0.00232855 +30 *2156:25 *2336:8 0.00126422 +31 *2168:8 *2336:8 0.00715174 +32 *2188:8 *2336:8 0.00129771 +33 *2250:8 *2336:8 0.000161123 +34 *2320:36 *2336:17 0.000384295 +35 *2326:8 *2336:17 0.0327353 +36 *2335:17 *2336:7 6.47614e-05 +37 *2335:47 *2336:17 0.00972106 +*RES +1 *22570:HI[91] *2336:7 15.1744 +2 *2336:7 *2336:8 358.997 +3 *2336:8 *2336:13 9.90841 +4 *2336:13 *2336:17 47.1672 +5 *2336:17 *22408:B 16.8269 +6 *2336:17 *4763:DIODE 14.4725 +*END + +*D_NET *2337 0.123748 +*CONN +*I *4765:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22409:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[92] O *D mprj_logic_high +*CAP +1 *4765:DIODE 0 +2 *22409:B 0.0002655 +3 *22570:HI[92] 0.000364165 +4 *2337:38 0.00137686 +5 *2337:36 0.00114794 +6 *2337:34 0.00297329 +7 *2337:32 0.00320883 +8 *2337:26 0.00274262 +9 *2337:21 0.00494144 +10 *2337:16 0.00289971 +11 *2337:10 0.00612397 +12 *2337:9 0.00605937 +13 *22409:B *2372:42 0 +14 *22409:B *2552:12 0.000163911 +15 *22409:B *2835:9 0.000164815 +16 *22409:B *2835:15 4.66492e-05 +17 *2337:9 *2338:7 7.16554e-05 +18 *2337:10 *2340:10 0.0380757 +19 *2337:10 *2340:16 1.67988e-05 +20 *2337:10 *2925:25 0.000577085 +21 *2337:16 *2340:16 0.00110195 +22 *2337:21 *2340:16 1.41689e-05 +23 *2337:21 *2340:18 0.00372961 +24 *2337:21 *2852:14 5.39054e-05 +25 *2337:32 *2340:28 0.000645246 +26 *2337:32 *2846:20 0.000105837 +27 *2337:34 *3640:DIODE 0.000128091 +28 *2337:34 *3645:DIODE 0.000464113 +29 *2337:34 *4782:DIODE 6.50586e-05 +30 *2337:34 *22418:A_N 0.000118166 +31 *2337:34 *2340:28 0.000962213 +32 *2337:34 *2340:36 0.000609646 +33 *2337:34 *2340:48 0.000404233 +34 *2337:34 *2560:13 9.42594e-05 +35 *2337:34 *2845:22 0.000167076 +36 *2337:36 *2560:13 4.80635e-06 +37 *2337:38 *2340:48 0.000397447 +38 *2337:38 *2340:54 0.00190645 +39 *2337:38 *2560:13 1.41976e-05 +40 *5002:DIODE *2337:34 9.40969e-05 +41 *1169:88 *2337:26 0.00072211 +42 *1185:34 *2337:9 8.42542e-05 +43 *1391:9 *2337:10 0.000166889 +44 *1393:32 *2337:10 0.00364706 +45 *1441:9 *22409:B 0.000220183 +46 *1441:9 *2337:38 0.00486785 +47 *1763:8 *2337:38 4.41269e-05 +48 *1885:28 *2337:32 0.000109262 +49 *1905:40 *2337:26 0.000499213 +50 *1911:18 *2337:10 0.000247443 +51 *1925:18 *2337:10 0.000107496 +52 *1932:47 *2337:10 0.00114737 +53 *1949:29 *2337:32 0.000154145 +54 *2004:19 *2337:21 0.000158665 +55 *2085:26 *2337:10 0.000246362 +56 *2135:24 *2337:10 0.000221519 +57 *2178:8 *2337:10 0.000389775 +58 *2188:19 *2337:10 0.00079499 +59 *2245:17 *2337:10 0.000661576 +60 *2319:8 *2337:10 0.0265415 +61 *2319:14 *2337:34 0.000141764 +62 *2321:13 *2337:10 0.0001286 +63 *2336:7 *2337:9 0.000144765 +*RES +1 *22570:HI[92] *2337:9 13.0005 +2 *2337:9 *2337:10 419.449 +3 *2337:10 *2337:16 12.9729 +4 *2337:16 *2337:21 49.2025 +5 *2337:21 *2337:26 48.5456 +6 *2337:26 *2337:32 14.4273 +7 *2337:32 *2337:34 79.7544 +8 *2337:34 *2337:36 0.988641 +9 *2337:36 *2337:38 60.066 +10 *2337:38 *22409:B 26.1013 +11 *2337:38 *4765:DIODE 9.24915 +*END + +*D_NET *2338 0.14367 +*CONN +*I *22410:B I *D sky130_fd_sc_hd__and2b_1 +*I *4767:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[93] O *D mprj_logic_high +*CAP +1 *22410:B 0 +2 *4767:DIODE 7.36295e-05 +3 *22570:HI[93] 0.00254253 +4 *2338:17 0.0032335 +5 *2338:16 0.00315987 +6 *2338:14 0.00426065 +7 *2338:13 0.00426065 +8 *2338:11 0.00293542 +9 *2338:9 0.0029706 +10 *2338:7 0.00257771 +11 *4767:DIODE *2373:8 0 +12 *4767:DIODE *2836:22 0 +13 *4767:DIODE *3097:10 3.77659e-05 +14 *2338:7 *2339:7 0 +15 *2338:7 *2340:9 0 +16 *2338:7 *2341:7 0 +17 *2338:7 *3417:14 0 +18 *2338:7 *3453:12 4.62168e-05 +19 *2338:11 *23051:A 0.000168272 +20 *2338:11 *23052:A 0 +21 *2338:11 *2998:142 0 +22 *2338:11 *3160:22 0.000339443 +23 *2338:11 *3168:24 0 +24 *2338:11 *3417:14 0 +25 *2338:11 *3444:8 0 +26 *2338:14 *2435:13 0.0611697 +27 *2338:14 *2436:9 9.33097e-05 +28 *2338:14 *2978:9 0.0483837 +29 *2338:17 *22600:A 0 +30 *2338:17 *22601:A 0 +31 *2338:17 *2372:42 0 +32 *2338:17 *2372:46 0 +33 *2338:17 *2373:8 0 +34 *2338:17 *2981:8 1.92489e-05 +35 *2338:17 *2982:11 0 +36 la_data_in_core[67] *2338:11 0 +37 mprj_adr_o_user[18] *2338:17 0.00010611 +38 mprj_dat_o_user[17] *2338:17 0.00015685 +39 *4315:DIODE *2338:17 0 +40 *4428:DIODE *2338:11 1.18802e-05 +41 *351:9 *2338:11 0.000295418 +42 *1008:5 *2338:17 0 +43 *1185:34 *2338:7 0.000155573 +44 *1248:8 *2338:17 0 +45 *1821:16 *2338:7 5.04584e-05 +46 *1942:22 *2338:14 0.0065291 +47 *1943:47 *2338:7 2.08881e-05 +48 *2337:9 *2338:7 7.16554e-05 +*RES +1 *22570:HI[93] *2338:7 49.6959 +2 *2338:7 *2338:9 0.732798 +3 *2338:9 *2338:11 58.2208 +4 *2338:11 *2338:13 4.5 +5 *2338:13 *2338:14 656.265 +6 *2338:14 *2338:16 4.5 +7 *2338:16 *2338:17 69.1029 +8 *2338:17 *4767:DIODE 15.5811 +9 *2338:17 *22410:B 13.7491 +*END + +*D_NET *2339 0.126056 +*CONN +*I *22412:B I *D sky130_fd_sc_hd__and2b_1 +*I *4771:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[94] O *D mprj_logic_high +*CAP +1 *22412:B 0.000222655 +2 *4771:DIODE 0 +3 *22570:HI[94] 0.00182725 +4 *2339:11 0.000234597 +5 *2339:8 0.024175 +6 *2339:7 0.0259903 +7 *22412:B *22412:A_N 1.72144e-05 +8 *22412:B *2818:22 0 +9 *22412:B *2838:6 0.0001403 +10 *22412:B *3200:17 2.81584e-05 +11 *2339:7 *21500:A 0 +12 *2339:7 *2340:9 0.000151804 +13 *2339:7 *2341:7 0 +14 *2339:7 *2622:30 0 +15 *2339:8 *2470:9 0.00127124 +16 *2339:8 *2483:11 0.010904 +17 *2339:8 *2619:19 0.000115521 +18 *2339:8 *2784:11 0.00299124 +19 *2339:8 *2836:23 0.000283233 +20 *2339:8 *2887:18 5.83451e-05 +21 *2339:8 *3083:15 0.000307401 +22 *2339:8 *3097:15 0.000370189 +23 *2339:8 *3097:24 0.000115848 +24 *2339:8 *3099:11 0.000547256 +25 *2339:8 *3100:19 0.00175202 +26 *2339:8 *3108:9 0.00199797 +27 *2339:8 *3142:11 1.76025e-05 +28 *2339:8 *3143:17 0.00654868 +29 *2339:8 *3143:35 0.00896346 +30 *2339:8 *3169:17 0.000133887 +31 *2339:8 *3273:23 0.00340153 +32 *2339:8 *3295:21 0.000277853 +33 *2339:11 *2818:22 0 +34 *2339:11 *2838:6 1.91391e-05 +35 *1136:15 *2339:8 0.000115848 +36 *1381:11 *2339:8 0.000104638 +37 *1381:28 *2339:8 0.000461778 +38 *1923:24 *2339:8 0.000144814 +39 *1935:24 *2339:8 0.00100239 +40 *1935:36 *2339:8 0.00504833 +41 *1937:11 *2339:8 0.00421223 +42 *1937:28 *2339:8 0.00165956 +43 *1943:47 *2339:7 0.00010817 +44 *1984:34 *2339:8 0.000341623 +45 *2031:27 *2339:8 0.00104039 +46 *2052:29 *2339:8 0.00291115 +47 *2061:19 *2339:8 0.000212793 +48 *2091:17 *2339:8 0 +49 *2118:20 *2339:8 0.00447929 +50 *2126:25 *2339:8 0.00500855 +51 *2175:10 *2339:8 0.00520649 +52 *2175:24 *2339:8 0.000988137 +53 *2298:8 *2339:8 0.000133887 +54 *2316:19 *2339:7 1.24491e-05 +55 *2331:12 *2339:8 0 +56 *2338:7 *2339:7 0 +*RES +1 *22570:HI[94] *2339:7 38.3355 +2 *2339:7 *2339:8 89.6964 +3 *2339:8 *2339:11 3.7474 +4 *2339:11 *4771:DIODE 13.7491 +5 *2339:11 *22412:B 18.823 +*END + +*D_NET *2340 0.118203 +*CONN +*I *4773:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22413:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[95] O *D mprj_logic_high +*CAP +1 *4773:DIODE 0 +2 *22413:B 0.000119086 +3 *22570:HI[95] 0.000439152 +4 *2340:54 0.00209379 +5 *2340:48 0.00352576 +6 *2340:36 0.00192772 +7 *2340:28 0.00147511 +8 *2340:18 0.00282844 +9 *2340:16 0.00190925 +10 *2340:10 0.00633314 +11 *2340:9 0.00659302 +12 *22413:B *2374:40 0.000184876 +13 *2340:9 *2341:7 0 +14 *2340:10 *4830:DIODE 0.000150632 +15 *2340:10 *2871:23 6.08467e-05 +16 *2340:10 *2925:25 0.000101987 +17 *2340:18 *3776:DIODE 0.000139038 +18 *2340:18 *2847:35 0.000354564 +19 *2340:18 *2855:33 0.000922747 +20 *2340:28 *3645:DIODE 0.000415514 +21 *2340:28 *22420:A_N 0.000118166 +22 *2340:28 *2847:23 0.000358863 +23 *2340:28 *2847:35 0.000104546 +24 *2340:36 *3645:DIODE 2.15184e-05 +25 *2340:48 *3640:DIODE 0.000265537 +26 *2340:48 *2560:13 0.000492297 +27 *4795:DIODE *2340:18 6.92705e-05 +28 *4980:DIODE *2340:18 4.02438e-05 +29 *5002:DIODE *2340:48 0.000224395 +30 *22519:TE *2340:18 9.14669e-05 +31 *22530:TE *2340:36 0.000247431 +32 *1160:61 *2340:18 0.000118252 +33 *1171:32 *2340:36 0.000141764 +34 *1393:40 *2340:10 0.000944206 +35 *1889:29 *2340:18 0.00121876 +36 *1905:48 *22413:B 1.03403e-05 +37 *1908:8 *2340:10 0.00424131 +38 *1930:14 *2340:10 0.000514873 +39 *1949:10 *2340:10 1.65872e-05 +40 *1949:12 *2340:10 5.51483e-06 +41 *1949:16 *2340:18 4.89898e-06 +42 *1949:29 *2340:28 2.91262e-05 +43 *1949:32 *2340:28 0.00305857 +44 *1949:34 *2340:28 3.57037e-05 +45 *1949:34 *2340:36 0.00185136 +46 *2002:21 *22413:B 0.000181278 +47 *2135:24 *2340:10 0.000232276 +48 *2158:19 *2340:10 0.000535951 +49 *2160:14 *2340:10 0.000826949 +50 *2160:22 *2340:10 0.000204241 +51 *2160:22 *2340:16 0.001186 +52 *2160:22 *2340:18 0.000715568 +53 *2196:8 *2340:10 0.00824612 +54 *2245:17 *2340:10 0.000608222 +55 *2250:8 *2340:10 0.0136511 +56 *2336:8 *2340:10 0 +57 *2337:10 *2340:10 0.0380757 +58 *2337:10 *2340:16 1.67988e-05 +59 *2337:16 *2340:16 0.00110195 +60 *2337:21 *2340:16 1.41689e-05 +61 *2337:21 *2340:18 0.00372961 +62 *2337:32 *2340:28 0.000645246 +63 *2337:34 *2340:28 0.000962213 +64 *2337:34 *2340:36 0.000609646 +65 *2337:34 *2340:48 0.000404233 +66 *2337:38 *2340:48 0.000397447 +67 *2337:38 *2340:54 0.00190645 +68 *2338:7 *2340:9 0 +69 *2339:7 *2340:9 0.000151804 +*RES +1 *22570:HI[95] *2340:9 13.4157 +2 *2340:9 *2340:10 423.886 +3 *2340:10 *2340:16 14.0821 +4 *2340:16 *2340:18 71.7127 +5 *2340:18 *2340:28 46.8519 +6 *2340:28 *2340:36 24.499 +7 *2340:36 *2340:48 38.2918 +8 *2340:48 *2340:54 37.5201 +9 *2340:54 *22413:B 22.4655 +10 *2340:54 *4773:DIODE 9.24915 +*END + +*D_NET *2341 0.114081 +*CONN +*I *22414:B I *D sky130_fd_sc_hd__and2b_1 +*I *4775:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[96] O *D mprj_logic_high +*CAP +1 *22414:B 0.000140733 +2 *4775:DIODE 4.45914e-05 +3 *22570:HI[96] 0.00189702 +4 *2341:45 0.00763537 +5 *2341:36 0.0128028 +6 *2341:18 0.00648112 +7 *2341:16 0.0022091 +8 *2341:8 0.00514151 +9 *2341:7 0.00595782 +10 *22414:B *2841:12 3.91526e-05 +11 *22414:B *2951:32 0.000184769 +12 *2341:7 *21500:A 5.69621e-05 +13 *2341:7 *2342:7 0.000119172 +14 *2341:7 *2343:7 0 +15 *2341:7 *2443:8 0 +16 *2341:7 *2622:30 7.13655e-06 +17 *2341:7 *3428:8 0 +18 *2341:8 *3165:11 0.00566045 +19 *2341:8 *3169:17 0.000203527 +20 *2341:8 *3169:23 0.00014472 +21 *2341:16 *2465:8 0 +22 *2341:18 *3156:7 0.00504626 +23 *2341:36 *2894:23 3.62276e-05 +24 *2341:36 *2901:20 3.32917e-05 +25 *2341:36 *3040:27 0.00718974 +26 *2341:36 *3133:29 0.000149641 +27 *2341:36 *3134:17 0.00225492 +28 *2341:36 *3141:36 2.0456e-06 +29 *2341:36 *3143:35 1.15279e-05 +30 *2341:36 *3143:45 2.80141e-05 +31 *2341:45 *2594:20 5.83451e-05 +32 *2341:45 *2597:17 0.000169628 +33 *2341:45 *2841:12 2.33103e-06 +34 *2341:45 *2863:24 5.83513e-05 +35 *2341:45 *2951:32 1.9101e-05 +36 *2341:45 *3040:27 0.0131724 +37 *2341:45 *3099:11 0.00514716 +38 *2341:45 *3108:9 0.000454382 +39 *2341:45 *3133:21 0.000101365 +40 *2341:45 *3134:17 5.4289e-05 +41 *2341:45 *3142:11 0.00063386 +42 *2341:45 *3152:11 0.00103275 +43 *2341:45 *3378:16 0.000141023 +44 *22542:TE *2341:7 5.41377e-05 +45 *22548:TE *2341:7 4.49912e-05 +46 *1203:28 *2341:16 4.22247e-05 +47 *1309:8 *2341:7 4.46778e-05 +48 *1370:16 *4775:DIODE 6.08467e-05 +49 *1410:17 *2341:36 0.000130331 +50 *1410:19 *2341:36 0.00010238 +51 *1818:8 *2341:18 0.00281216 +52 *1931:30 *2341:36 0.000137573 +53 *1940:35 *2341:36 0.0114508 +54 *1940:35 *2341:45 0.000879756 +55 *2008:45 *2341:45 0.000750148 +56 *2008:53 *2341:45 0.00147929 +57 *2026:37 *2341:36 6.21462e-05 +58 *2041:19 *2341:36 0.000171568 +59 *2108:22 *2341:36 0.00432402 +60 *2108:24 *2341:36 0.00644049 +61 *2131:20 *2341:16 0.000458659 +62 *2131:24 *2341:16 6.36477e-05 +63 *2286:8 *2341:16 9.00479e-06 +64 *2286:8 *2341:18 3.02812e-05 +65 *2316:19 *2341:7 9.17849e-06 +66 *2338:7 *2341:7 0 +67 *2339:7 *2341:7 0 +68 *2340:9 *2341:7 0 +*RES +1 *22570:HI[96] *2341:7 40.9201 +2 *2341:7 *2341:8 71.7127 +3 *2341:8 *2341:16 27.6602 +4 *2341:16 *2341:18 56.1838 +5 *2341:18 *2341:36 44.2663 +6 *2341:36 *2341:45 33.1146 +7 *2341:45 *4775:DIODE 14.4725 +8 *2341:45 *22414:B 17.6574 +*END + +*D_NET *2342 0.141283 +*CONN +*I *4777:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22415:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[97] O *D mprj_logic_high +*CAP +1 *4777:DIODE 6.66451e-06 +2 *22415:B 8.28293e-05 +3 *22570:HI[97] 0.000486553 +4 *2342:22 8.94939e-05 +5 *2342:20 0.00460685 +6 *2342:8 0.0163099 +7 *2342:7 0.0121896 +8 *4777:DIODE *2559:10 1.91391e-05 +9 *22415:B *2559:10 3.27424e-05 +10 *2342:7 *2343:7 0.000119172 +11 *2342:20 *2582:16 1.5714e-05 +12 *1162:25 *2342:8 0.000194161 +13 *1165:9 *2342:8 0.00115302 +14 *1441:15 *2342:20 0.000130331 +15 *1997:35 *4777:DIODE 6.34651e-06 +16 *1997:35 *22415:B 8.89752e-05 +17 *2123:19 *2342:8 0.00442905 +18 *2123:20 *2342:8 0.000522846 +19 *2135:20 *2342:8 0.00101704 +20 *2135:24 *2342:8 0.0238888 +21 *2154:18 *2342:8 0.00859271 +22 *2173:20 *2342:8 0.000144523 +23 *2183:24 *2342:20 0.000417627 +24 *2319:14 *2342:8 0.00174584 +25 *2319:14 *2342:20 0.00664906 +26 *2326:8 *2342:8 0.042089 +27 *2326:8 *2342:20 0.0159787 +28 *2336:8 *2342:8 0.000157517 +29 *2341:7 *2342:7 0.000119172 +*RES +1 *22570:HI[97] *2342:7 14.2508 +2 *2342:7 *2342:8 61.548 +3 *2342:8 *2342:20 49.6052 +4 *2342:20 *2342:22 3.36879 +5 *2342:22 *22415:B 16.1063 +6 *2342:22 *4777:DIODE 14.1278 +*END + +*D_NET *2343 0.132328 +*CONN +*I *4779:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22416:B I *D sky130_fd_sc_hd__and2b_1 +*I *22570:HI[98] O *D mprj_logic_high +*CAP +1 *4779:DIODE 0.000107256 +2 *22416:B 0.000128454 +3 *22570:HI[98] 0.00115886 +4 *2343:11 0.000305507 +5 *2343:8 0.0192179 +6 *2343:7 0.020307 +7 *4779:DIODE *2842:40 6.22259e-05 +8 *4779:DIODE *2843:29 5.51293e-05 +9 *4779:DIODE *2843:41 9.69016e-05 +10 *4779:DIODE *2844:28 0 +11 *22416:B *22416:A_N 2.14842e-06 +12 *22416:B *3110:12 6.22259e-05 +13 *2343:7 *2344:7 0.000163057 +14 *2343:7 *2443:8 0.000394065 +15 *2343:7 *3190:10 3.98675e-05 +16 *2343:8 *2470:20 0.00342691 +17 *2343:8 *2622:30 1.93857e-05 +18 *2343:8 *2899:27 0.00017686 +19 *2343:8 *2905:20 1.93857e-05 +20 *2343:8 *3017:19 0.0112814 +21 *2343:8 *3098:20 0.00355091 +22 *2343:8 *3098:43 0.00113068 +23 *2343:8 *3131:9 0.000238938 +24 *2343:8 *3138:41 0.000734124 +25 *2343:8 *3141:35 0.00092865 +26 *2343:8 *3145:11 0.0189792 +27 *2343:8 *3145:15 0.000122156 +28 *2343:8 *3149:29 0.0168793 +29 *2343:8 *3384:23 0.00101741 +30 *2343:8 *3406:22 0.00184463 +31 *2343:8 *3417:35 0.000462214 +32 *2343:8 *3423:7 0.000343802 +33 *2343:8 *3428:29 4.31864e-05 +34 *2343:8 *3439:26 6.60205e-05 +35 *2343:11 *2842:40 0.000117736 +36 *2343:11 *2843:29 0.000121241 +37 *22542:TE *2343:7 0 +38 *1168:36 *2343:8 0.0105901 +39 *1326:20 *2343:7 1.91391e-05 +40 *1373:23 *22416:B 0.000357898 +41 *1379:14 *2343:8 0.000102573 +42 *1379:26 *2343:8 0.000193001 +43 *1385:22 *2343:8 0.000235134 +44 *1392:37 *2343:8 0.000133887 +45 *1430:13 *22416:B 0.000353686 +46 *1902:19 *2343:8 0.00155536 +47 *1927:33 *2343:8 0.00235407 +48 *1939:34 *2343:8 0.000581644 +49 *1939:38 *2343:8 0.00012309 +50 *2132:38 *2343:8 0.00507227 +51 *2134:19 *2343:8 0.0002923 +52 *2176:30 *2343:8 0.000387714 +53 *2324:35 *2343:8 0.00155629 +54 *2324:47 *2343:8 6.55817e-05 +55 *2329:58 *2343:8 0.000793896 +56 *2333:14 *2343:8 0.00383674 +57 *2341:7 *2343:7 0 +58 *2342:7 *2343:7 0.000119172 +*RES +1 *22570:HI[98] *2343:7 29.1999 +2 *2343:7 *2343:8 82.5063 +3 *2343:8 *2343:11 5.82366 +4 *2343:11 *22416:B 28.2169 +5 *2343:11 *4779:DIODE 16.8269 +*END + +*D_NET *2344 0.116313 +*CONN +*I *22417:B I *D sky130_fd_sc_hd__and2b_1 +*I *4781:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[99] O *D mprj_logic_high +*CAP +1 *22417:B 0 +2 *4781:DIODE 0.000108776 +3 *22570:HI[99] 0.000980137 +4 *2344:58 0.00076007 +5 *2344:57 0.00153568 +6 *2344:46 0.00274823 +7 *2344:44 0.00265849 +8 *2344:30 0.00257906 +9 *2344:28 0.00182377 +10 *2344:26 0.00179465 +11 *2344:24 0.00220275 +12 *2344:18 0.00125655 +13 *2344:17 0.00158093 +14 *2344:7 0.00175197 +15 *4781:DIODE *2843:16 0 +16 *4781:DIODE *2844:28 0 +17 *2344:7 *2345:12 0.000161217 +18 *2344:7 *3190:10 0.00034025 +19 *2344:18 *2475:12 2.29454e-05 +20 *2344:26 *2388:14 6.36477e-05 +21 *2344:26 *2434:7 0.000260038 +22 *2344:30 *3481:DIODE 0.000333397 +23 *2344:30 *2388:14 0.000178872 +24 *2344:30 *2896:43 0.000114594 +25 *2344:44 *2383:14 0.000158451 +26 *2344:44 *2875:56 0.00109422 +27 *2344:46 *3771:DIODE 0.000319954 +28 *2344:46 *21476:A 0.000171288 +29 *2344:46 *22446:A_N 0.000162663 +30 *2344:46 *22447:A_N 6.08467e-05 +31 *2344:46 *2848:56 0.000107496 +32 *2344:57 *3084:12 0.000123931 +33 *2344:57 *3112:8 4.22091e-05 +34 *2344:57 *3116:11 9.12416e-06 +35 *2344:57 *3380:20 0.000372893 +36 *2344:57 *3450:6 2.30741e-05 +37 *4588:DIODE *2344:44 0.00011818 +38 *4984:DIODE *2344:44 2.41483e-05 +39 *22296:TE *2344:46 0.000209232 +40 *22321:A *2344:44 6.08467e-05 +41 *22321:TE *2344:44 2.16355e-05 +42 *22526:A *2344:26 0.000207751 +43 *22526:TE *2344:30 2.81181e-05 +44 *22538:A *2344:24 5.62765e-05 +45 *22538:TE *2344:26 0.000159322 +46 *22542:A *2344:7 0 +47 *22567:A *2344:17 1.5714e-05 +48 *23524:A *2344:30 6.50727e-05 +49 *23524:TE *2344:30 0.000203595 +50 *1179:50 *2344:7 1.44467e-05 +51 *1183:28 *2344:17 0 +52 *1326:20 *2344:7 4.69495e-06 +53 *1385:5 *2344:46 0.000895929 +54 *1387:27 *2344:46 1.41853e-05 +55 *1772:11 *2344:46 1.55995e-05 +56 *1911:18 *2344:30 0.000256037 +57 *1911:18 *2344:44 0.000286064 +58 *1911:33 *2344:44 0.00204581 +59 *1927:34 *2344:46 6.41157e-05 +60 *1927:34 *2344:58 0.00623372 +61 *1927:39 *4781:DIODE 1.26168e-05 +62 *1938:14 *2344:46 0.00618561 +63 *1947:36 *2344:18 0.00640731 +64 *1947:36 *2344:24 1.15389e-05 +65 *1948:44 *2344:30 0.00935174 +66 *1948:54 *2344:30 0.000682209 +67 *1948:80 *2344:18 0.00143949 +68 *1948:84 *2344:18 0.00417772 +69 *1948:86 *2344:17 0.00323276 +70 *1952:43 *2344:17 0.000117719 +71 *2249:8 *2344:26 0.00227965 +72 *2315:26 *2344:17 0.00254484 +73 *2315:30 *2344:17 0.000241835 +74 *2318:26 *2344:30 0.00144801 +75 *2318:28 *2344:30 0.000134145 +76 *2318:42 *2344:30 0.000167459 +77 *2318:42 *2344:44 0.000305058 +78 *2318:46 *2344:44 0.000246926 +79 *2318:46 *2344:46 0.000361973 +80 *2322:18 *2344:24 0.000200227 +81 *2322:20 *2344:30 0.000782018 +82 *2324:20 *2344:24 0.00146717 +83 *2324:20 *2344:26 2.66012e-05 +84 *2324:20 *2344:30 0.0147458 +85 *2324:24 *2344:30 0.000176085 +86 *2324:24 *2344:44 0.00153139 +87 *2324:24 *2344:46 0.000150364 +88 *2324:36 *2344:46 0.00914332 +89 *2324:48 *4781:DIODE 6.92705e-05 +90 *2324:48 *2344:58 0.00626841 +91 *2328:18 *2344:26 0.00012309 +92 *2328:30 *2344:57 0.00291503 +93 *2329:16 *2344:17 0.00011069 +94 *2329:16 *2344:18 0.00031128 +95 *2329:37 *2344:26 0.000624393 +96 *2329:58 *2344:58 0.000228408 +97 *2330:30 *2344:57 0.00102543 +98 *2343:7 *2344:7 0.000163057 +*RES +1 *22570:HI[99] *2344:7 26.8015 +2 *2344:7 *2344:17 49.5315 +3 *2344:17 *2344:18 70.0488 +4 *2344:18 *2344:24 17.8197 +5 *2344:24 *2344:26 52.3015 +6 *2344:26 *2344:28 0.988641 +7 *2344:28 *2344:30 164.331 +8 *2344:30 *2344:44 43.3073 +9 *2344:44 *2344:46 119.409 +10 *2344:46 *2344:57 24.8605 +11 *2344:57 *2344:58 67.8304 +12 *2344:58 *4781:DIODE 20.8045 +13 *2344:58 *22417:B 9.24915 +*END + +*D_NET *2345 0.0341171 +*CONN +*I *22576:TE I *D sky130_fd_sc_hd__einvp_8 +*I *5092:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22570:HI[9] O *D mprj_logic_high +*CAP +1 *22576:TE 1.60075e-05 +2 *5092:DIODE 0 +3 *22570:HI[9] 0.00113881 +4 *2345:50 0.000365033 +5 *2345:48 0.000766405 +6 *2345:44 0.00182122 +7 *2345:36 0.0021866 +8 *2345:34 0.00118465 +9 *2345:12 0.00154069 +10 *2345:36 *2919:73 6.3657e-05 +11 *2345:36 *2919:85 0.000149957 +12 *2345:44 *2457:7 0.000627661 +13 *4670:DIODE *2345:12 0.00016553 +14 *4909:DIODE *2345:44 0.000105847 +15 *4909:DIODE *2345:48 0.000258128 +16 *22486:B *2345:44 9.96342e-05 +17 *22540:TE *2345:34 0.000138268 +18 *22559:A *2345:48 6.50727e-05 +19 *22559:TE *2345:44 4.33655e-05 +20 *1137:13 *22576:TE 0.000118166 +21 *1137:13 *2345:48 1.67988e-05 +22 *1137:13 *2345:50 0.00335854 +23 *1137:19 *2345:48 0.000644079 +24 *1137:21 *2345:48 0.000339654 +25 *1137:32 *2345:36 0.000587442 +26 *1192:35 *22576:TE 4.33655e-05 +27 *1192:35 *2345:50 6.98314e-05 +28 *1192:42 *2345:50 0.00314413 +29 *1197:21 *2345:48 0.00226263 +30 *1197:21 *2345:50 1.41689e-05 +31 *1943:48 *2345:12 0.00128693 +32 *1943:48 *2345:34 0.000838624 +33 *1943:54 *2345:34 0.000283559 +34 *1943:56 *2345:34 4.54766e-05 +35 *1943:56 *2345:36 0.00430945 +36 *1946:80 *2345:44 2.18145e-05 +37 *2090:21 *2345:44 4.21215e-05 +38 *2153:20 *2345:12 1.5634e-05 +39 *2294:28 *2345:12 0.00249775 +40 *2294:28 *2345:34 0.000542111 +41 *2314:14 *2345:34 0.00076427 +42 *2314:14 *2345:36 0.000499168 +43 *2314:26 *2345:44 0.00102675 +44 *2316:34 *2345:36 0.00044687 +45 *2344:7 *2345:12 0.000161217 +*RES +1 *22570:HI[9] *2345:12 49.4979 +2 *2345:12 *2345:34 25.6685 +3 *2345:34 *2345:36 46.4782 +4 *2345:36 *2345:44 34.7335 +5 *2345:44 *2345:48 26.2593 +6 *2345:48 *2345:50 36.218 +7 *2345:50 *5092:DIODE 9.24915 +8 *2345:50 *22576:TE 10.5271 +*END + +*D_NET *2346 0.0120523 +*CONN +*I *5095:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22578:A I *D sky130_fd_sc_hd__buf_6 +*I *23078:mprj_vdd_logic1 O *D mgmt_protect_hv +*CAP +1 *5095:DIODE 0.000101335 +2 *22578:A 0 +3 *23078:mprj_vdd_logic1 0.00188732 +4 *2346:13 0.000742534 +5 *2346:12 0.00252852 +6 *5095:DIODE *2478:5 0.000215704 +7 *2346:12 *2411:20 0.00152279 +8 *2346:12 *2949:6 0.000280381 +9 *2346:12 *3014:12 0.000763778 +10 *2346:13 *2802:17 3.81675e-05 +11 *1148:13 *5095:DIODE 0.000532938 +12 *1148:13 *2346:13 0.00201208 +13 *1989:63 *2346:12 0.00137148 +14 *2130:25 *2346:12 5.52886e-05 +*RES +1 *23078:mprj_vdd_logic1 *2346:12 39.7927 +2 *2346:12 *2346:13 22.3529 +3 *2346:13 *22578:A 9.24915 +4 *2346:13 *5095:DIODE 14.964 +*END + +*D_NET *2347 0.00301373 +*CONN +*I *3541:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21351:A I *D sky130_fd_sc_hd__inv_2 +*I *21618:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *3541:DIODE 0.000156198 +2 *21351:A 0 +3 *21618:X 0.00120885 +4 *2347:9 0.00136505 +5 *3918:DIODE *2347:9 0.000164829 +6 *1135:7 *2347:9 0.000118796 +*RES +1 *21618:X *2347:9 37.1963 +2 *2347:9 *21351:A 9.24915 +3 *2347:9 *3541:DIODE 12.7456 +*END + +*D_NET *2348 0.0610009 +*CONN +*I *6008:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23351:A I *D sky130_fd_sc_hd__nand2_1 +*I *21619:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6008:DIODE 0 +2 *23351:A 0.00044699 +3 *21619:X 0.000443213 +4 *2348:16 0.00624623 +5 *2348:15 0.00709219 +6 *2348:9 0.00425241 +7 *2348:8 0.00340268 +8 *23351:A *2371:10 0 +9 *23351:A *2503:18 0 +10 *23351:A *2625:23 0.00041971 +11 *23351:A *2797:10 0.000178537 +12 *23351:A *2934:13 0.000183345 +13 *2348:8 *6564:DIODE 2.69426e-05 +14 *2348:8 *22712:A 0 +15 *2348:8 *23645:A 1.2693e-05 +16 *2348:8 *2403:8 0.000333411 +17 *2348:8 *2980:57 0.000187483 +18 *2348:8 *3067:20 0 +19 *2348:9 *2399:14 4.58003e-05 +20 *2348:9 *2401:7 0.000124736 +21 *2348:9 *2432:8 0.000664221 +22 *2348:9 *2433:10 0.00087538 +23 *2348:9 *2508:9 0.0155041 +24 *2348:9 *2662:9 0.00398637 +25 *2348:9 *2977:29 0.00010238 +26 *2348:9 *3065:9 0.000561433 +27 *2348:9 *3065:15 0.00112685 +28 *2348:9 *3353:9 0.00322624 +29 *2348:15 *2508:9 0.000650819 +30 *2348:15 *2508:15 8.69538e-05 +31 *2348:16 *2358:6 0.00169002 +32 *2348:16 *2382:9 0 +33 *2348:16 *2436:6 0 +34 *2348:16 *2447:6 0 +35 *2348:16 *2503:18 0.00113048 +36 *2348:16 *2628:42 0 +37 *2348:16 *2797:10 0.000678132 +38 *2348:16 *2934:13 0.00060835 +39 *2348:16 *3170:18 0 +40 la_oenb_core[105] *2348:8 4.72727e-05 +41 *3818:DIODE *2348:8 4.28889e-05 +42 *6513:DIODE *2348:9 0.00025296 +43 *6515:DIODE *2348:9 0.000617005 +44 *6538:DIODE *2348:9 0.000628348 +45 *6543:DIODE *2348:9 0.000623463 +46 *6544:DIODE *2348:9 0.000623449 +47 *1175:18 *23351:A 3.84926e-05 +48 *1470:10 *23351:A 3.96828e-05 +49 *1851:15 *2348:9 0.000127986 +50 *1853:9 *2348:9 0.000689459 +51 *1860:8 *2348:8 0.000669304 +52 *1876:25 *2348:9 0.000171288 +53 *1880:9 *2348:9 0.00186372 +54 *1882:9 *2348:9 0.000277502 +*RES +1 *21619:X *2348:8 34.615 +2 *2348:8 *2348:9 216.464 +3 *2348:9 *2348:15 36.7152 +4 *2348:15 *2348:16 135.128 +5 *2348:16 *23351:A 34.756 +6 *2348:16 *6008:DIODE 13.7491 +*END + +*D_NET *2349 0.0709343 +*CONN +*I *23441:A I *D sky130_fd_sc_hd__nand2_1 +*I *6188:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21620:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23441:A 8.2334e-05 +2 *6188:DIODE 0 +3 *21620:X 0.00116593 +4 *2349:64 0.000398343 +5 *2349:57 0.00383115 +6 *2349:56 0.00356322 +7 *2349:51 0.000567626 +8 *2349:50 0.00606872 +9 *2349:36 0.00833489 +10 *2349:17 0.00395165 +11 *23441:A *2896:17 6.98287e-05 +12 *2349:17 *5319:DIODE 3.02981e-05 +13 *2349:17 *22801:A 5.08751e-05 +14 *2349:17 *23056:A 0.000197055 +15 *2349:17 *2460:15 0.00145006 +16 *2349:17 *2982:33 5.16628e-05 +17 *2349:17 *2985:45 1.67988e-05 +18 *2349:17 *3008:58 0 +19 *2349:17 *3163:28 1.4026e-05 +20 *2349:17 *3166:23 0.000113968 +21 *2349:17 *3448:14 0.000151962 +22 *2349:17 *3461:6 0 +23 *2349:36 *2415:26 0.000648909 +24 *2349:36 *2453:21 0.00205726 +25 *2349:36 *2456:20 0.00317773 +26 *2349:36 *2460:15 0.000623285 +27 *2349:36 *2461:24 5.40924e-05 +28 *2349:36 *2475:19 0.000177016 +29 *2349:36 *2977:29 0.00846286 +30 *2349:36 *3149:36 0.000210049 +31 *2349:36 *3295:6 0 +32 *2349:36 *3432:8 7.8848e-05 +33 *2349:36 *3445:16 1.5714e-05 +34 *2349:50 *2360:33 0 +35 *2349:50 *2382:40 0.000232355 +36 *2349:50 *2393:49 0.000603706 +37 *2349:50 *2393:70 4.59164e-06 +38 *2349:50 *2475:19 0.000181805 +39 *2349:50 *2482:40 0.000737953 +40 *2349:50 *2623:18 0 +41 *2349:50 *3295:21 6.59255e-05 +42 *2349:51 *5954:DIODE 0.000375013 +43 *2349:51 *2770:9 0.00056273 +44 *2349:51 *3406:49 0.00124623 +45 *2349:51 *3439:33 0.00300813 +46 *2349:56 *2769:8 6.3609e-05 +47 *2349:57 *3406:63 0.000818407 +48 *2349:64 *2896:17 8.86481e-05 +49 *2349:64 *3173:31 0.00111997 +50 *2349:64 *3239:35 0.00168908 +51 *2349:64 *3406:63 0.000589769 +52 *2349:64 *3406:65 1.37531e-05 +53 la_data_in_core[71] *2349:17 9.28291e-05 +54 *5941:DIODE *2349:57 0.000268824 +55 *356:8 *2349:17 0.000277488 +56 *1159:25 *2349:51 1.41689e-05 +57 *1876:11 *2349:17 0.000180711 +58 *2011:20 *23441:A 2.16355e-05 +59 *2077:19 *2349:50 0.00264252 +60 *2092:24 *2349:57 0.000761306 +61 *2092:28 *2349:51 0.000160617 +62 *2092:28 *2349:57 0.00112449 +63 *2092:30 *2349:51 0.00139935 +64 *2118:13 *2349:56 6.3609e-05 +65 *2121:27 *2349:50 0.00149765 +66 *2133:30 *2349:50 7.97971e-06 +67 *2140:8 *23441:A 6.08467e-05 +68 *2233:23 *2349:50 0.000142944 +69 *2235:35 *2349:50 3.21704e-05 +70 *2236:19 *2349:50 0.000426843 +71 *2268:28 *2349:51 0.000110257 +72 *2279:28 *2349:50 8.89328e-05 +73 *2280:13 *2349:36 6.73662e-05 +74 *2283:18 *2349:17 0.00150041 +75 *2284:17 *2349:50 0.00297345 +*RES +1 *21620:X *2349:17 47.3751 +2 *2349:17 *2349:36 49.4583 +3 *2349:36 *2349:50 34.0379 +4 *2349:50 *2349:51 46.2009 +5 *2349:51 *2349:56 10.4167 +6 *2349:56 *2349:57 60.066 +7 *2349:57 *2349:64 25.936 +8 *2349:64 *6188:DIODE 13.7491 +9 *2349:64 *23441:A 15.8893 +*END + +*D_NET *2350 0.0409791 +*CONN +*I *22580:A I *D sky130_fd_sc_hd__buf_2 +*I *5098:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23590:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22580:A 4.08697e-05 +2 *5098:DIODE 0 +3 *23590:Z 0.000300528 +4 *2350:20 0.00125174 +5 *2350:17 0.00261541 +6 *2350:16 0.00140454 +7 *2350:14 0.00133869 +8 *2350:13 0.00163921 +9 *22580:A *2980:21 0.000164829 +10 *22580:A *3191:21 0.000101133 +11 *2350:13 *2371:10 0.000239984 +12 *2350:13 *2494:16 0.000239984 +13 *2350:14 *2494:16 0.000323817 +14 *2350:14 *3187:6 8.52335e-05 +15 *2350:14 *3187:10 0.00216331 +16 *2350:17 *2501:24 0.00030988 +17 *2350:17 *2503:15 0.0119502 +18 *2350:17 *3028:25 0.000344035 +19 *2350:20 *2433:10 3.77659e-05 +20 *2350:20 *2498:8 0 +21 *2350:20 *2607:20 0.000137414 +22 *2350:20 *2607:22 0.00026617 +23 *2350:20 *3191:21 0.000937516 +24 *2350:20 *3470:14 0.000172867 +25 *1881:8 *22580:A 0.000164829 +26 *1943:48 *2350:13 0.000154145 +27 *1945:34 *2350:13 0.000111722 +28 *2086:67 *2350:14 0.00154293 +29 *2280:26 *2350:17 0.0128329 +30 *2311:24 *2350:13 0.000107496 +*RES +1 *23590:Z *2350:13 31.0138 +2 *2350:13 *2350:14 47.0945 +3 *2350:14 *2350:16 4.5 +4 *2350:16 *2350:17 138.82 +5 *2350:17 *2350:20 37.4759 +6 *2350:20 *5098:DIODE 13.7491 +7 *2350:20 *22580:A 16.5832 +*END + +*D_NET *2351 0.0214594 +*CONN +*I *22581:A I *D sky130_fd_sc_hd__buf_2 +*I *5099:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23591:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22581:A 0.000236574 +2 *5099:DIODE 0 +3 *23591:Z 0 +4 *2351:12 0.00143979 +5 *2351:9 0.00228354 +6 *2351:8 0.00108033 +7 *2351:6 0.00291965 +8 *2351:5 0.00291965 +9 *22581:A *22824:A 0 +10 *22581:A *2985:45 6.50586e-05 +11 *22581:A *3361:27 0 +12 *22581:A *3361:45 8.22406e-05 +13 *2351:6 *2636:24 0 +14 *2351:6 *2913:18 0 +15 *2351:6 *2913:42 0 +16 *2351:6 *2915:56 2.33103e-06 +17 *2351:6 *3183:8 0.00217205 +18 *2351:9 *2354:9 0.0046368 +19 *2351:9 *2476:9 0.00223336 +20 *2351:12 *22582:A 0 +21 *2351:12 *2352:14 0 +22 *2351:12 *2455:6 0.000215491 +23 *2351:12 *2474:6 0.000523143 +24 *2351:12 *2573:12 0 +25 *2351:12 *3192:8 0 +26 *2351:12 *3196:6 7.09666e-06 +27 *2351:12 *3478:237 7.4235e-06 +28 la_oenb_core[92] *22581:A 0 +29 la_oenb_core[92] *2351:12 0 +30 *4913:DIODE *2351:6 0 +31 *22480:B *2351:6 0.000180601 +32 *22482:B *2351:6 8.69672e-05 +33 *379:12 *22581:A 8.62625e-06 +34 *1179:28 *2351:6 0 +35 *1191:20 *2351:6 0 +36 *1203:20 *2351:6 0 +37 *1829:13 *2351:6 0.00021657 +38 *1864:33 *2351:12 0 +39 *2101:43 *2351:6 1.69247e-05 +40 *2111:45 *2351:9 0.000118134 +41 *2270:33 *2351:6 7.08723e-06 +*RES +1 *23591:Z *2351:5 13.7491 +2 *2351:5 *2351:6 70.3486 +3 *2351:6 *2351:8 4.5 +4 *2351:8 *2351:9 50.0831 +5 *2351:9 *2351:12 32.9081 +6 *2351:12 *5099:DIODE 13.7491 +7 *2351:12 *22581:A 19.2113 +*END + +*D_NET *2352 0.0234442 +*CONN +*I *22582:A I *D sky130_fd_sc_hd__buf_2 +*I *5100:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23592:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22582:A 0.000113872 +2 *5100:DIODE 0 +3 *23592:Z 4.10896e-05 +4 *2352:14 0.000828461 +5 *2352:11 0.00314956 +6 *2352:10 0.00243497 +7 *2352:8 0.00306137 +8 *2352:6 0.00310246 +9 *22582:A *2980:21 6.50727e-05 +10 *2352:8 *2414:6 0 +11 *2352:8 *2450:6 0.000200764 +12 *2352:8 *2911:30 0 +13 *2352:8 *2911:42 0 +14 *2352:8 *3178:12 0.00177758 +15 *2352:11 *2362:9 0.0033844 +16 *2352:11 *2573:9 0.00067013 +17 *2352:14 *22825:A 0 +18 *2352:14 *3069:10 0 +19 *2352:14 *3192:8 0.000120156 +20 *2352:14 *3478:237 4.62613e-05 +21 *23592:A *2352:8 4.99006e-05 +22 *1185:28 *2352:8 7.60356e-05 +23 *1191:27 *2352:8 8.92585e-05 +24 *1447:10 *2352:8 0.000474858 +25 *1448:10 *2352:8 0.000191347 +26 *1832:20 *2352:8 2.46491e-05 +27 *1864:33 *22582:A 0.000105589 +28 *1881:8 *22582:A 6.50727e-05 +29 *2281:16 *2352:11 0.00337138 +30 *2351:12 *22582:A 0 +31 *2351:12 *2352:14 0 +*RES +1 *23592:Z *2352:6 14.4819 +2 *2352:6 *2352:8 72.8401 +3 *2352:8 *2352:10 4.5 +4 *2352:10 *2352:11 91.6784 +5 *2352:11 *2352:14 19.2048 +6 *2352:14 *5100:DIODE 13.7491 +7 *2352:14 *22582:A 17.135 +*END + +*D_NET *2353 0.0302944 +*CONN +*I *5101:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22583:A I *D sky130_fd_sc_hd__buf_2 +*I *23593:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5101:DIODE 7.30368e-06 +2 *22583:A 0.000148727 +3 *23593:Z 0.000647812 +4 *2353:27 0.000981958 +5 *2353:21 0.00475622 +6 *2353:20 0.00393029 +7 *2353:18 0.0033327 +8 *2353:16 0.00398051 +9 *5101:DIODE *2980:21 6.50727e-05 +10 *22583:A *22826:A 0.000198507 +11 *22583:A *2504:12 0 +12 *2353:16 *2540:30 0.000751929 +13 *2353:16 *2668:12 6.22259e-05 +14 *2353:16 *2930:54 0.000160039 +15 *2353:16 *3065:6 0 +16 *2353:18 *2456:6 0.00093626 +17 *2353:18 *2540:24 0.000654215 +18 *2353:18 *2916:43 9.4385e-05 +19 *2353:18 *3065:6 0 +20 *2353:18 *3466:8 0.00110696 +21 *2353:21 *2399:15 0.00645626 +22 *2353:27 *6547:DIODE 6.50727e-05 +23 *2353:27 *22826:A 2.1558e-05 +24 *2353:27 *2501:8 0 +25 *2353:27 *2607:17 1.75682e-05 +26 *2353:27 *2980:21 0.000213725 +27 *2353:27 *3193:20 0.000206827 +28 *2353:27 *3196:15 0.000228997 +29 la_oenb_core[94] *22583:A 0 +30 *3834:DIODE *2353:27 0 +31 *6492:DIODE *2353:16 0.000107496 +32 *22543:A *2353:18 2.53289e-05 +33 *381:12 *22583:A 5.22654e-06 +34 *1181:22 *2353:18 3.22732e-05 +35 *1198:32 *2353:18 0.000100741 +36 *1213:27 *2353:16 0.000153464 +37 *1852:13 *5101:DIODE 2.41483e-05 +38 *1852:13 *2353:27 6.49003e-05 +39 *1864:39 *2353:27 0.000374983 +40 *1947:50 *2353:18 8.6931e-05 +41 *1961:38 *2353:16 0.000128309 +42 *1975:28 *2353:16 0.000158371 +43 *2097:19 *2353:18 7.12632e-06 +*RES +1 *23593:Z *2353:16 41.9447 +2 *2353:16 *2353:18 84.4061 +3 *2353:18 *2353:20 4.5 +4 *2353:20 *2353:21 70.0488 +5 *2353:21 *2353:27 32.3208 +6 *2353:27 *22583:A 22.1574 +7 *2353:27 *5101:DIODE 9.97254 +*END + +*D_NET *2354 0.0328603 +*CONN +*I *22584:A I *D sky130_fd_sc_hd__buf_2 +*I *5102:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23594:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22584:A 0.000149596 +2 *5102:DIODE 0 +3 *23594:Z 0 +4 *2354:12 0.00102746 +5 *2354:9 0.00205931 +6 *2354:8 0.00118145 +7 *2354:6 0.00333479 +8 *2354:5 0.00333479 +9 *22584:A *22827:A 0 +10 *22584:A *2502:8 0.000193856 +11 *22584:A *2980:21 6.50727e-05 +12 *22584:A *3194:16 0 +13 *2354:6 *2414:6 0 +14 *2354:6 *2482:8 1.57662e-05 +15 *2354:6 *2518:14 0.00369024 +16 *2354:6 *3190:24 2.26084e-05 +17 *2354:6 *3463:10 0 +18 *2354:9 *2411:9 0.0086839 +19 *2354:9 *2476:9 0.00113492 +20 *2354:9 *3187:13 0.000204875 +21 *2354:9 *3196:11 0.00128799 +22 *2354:12 *2413:10 0.000997636 +23 *2354:12 *2430:8 0 +24 *2354:12 *2502:8 0.000627937 +25 *2354:12 *3194:16 0 +26 *2354:12 *3196:15 0 +27 *1852:13 *22584:A 6.50727e-05 +28 *2087:47 *2354:6 0 +29 *2091:17 *2354:6 0.000146194 +30 *2351:9 *2354:9 0.0046368 +*RES +1 *23594:Z *2354:5 13.7491 +2 *2354:5 *2354:6 83.2214 +3 *2354:6 *2354:8 4.5 +4 *2354:8 *2354:9 98.8882 +5 *2354:9 *2354:12 30.4166 +6 *2354:12 *5102:DIODE 13.7491 +7 *2354:12 *22584:A 18.3808 +*END + +*D_NET *2355 0.0143161 +*CONN +*I *5103:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22585:A I *D sky130_fd_sc_hd__buf_2 +*I *23595:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5103:DIODE 1.78551e-05 +2 *22585:A 0 +3 *23595:Z 0.000201594 +4 *2355:19 6.72291e-05 +5 *2355:16 0.00174006 +6 *2355:10 0.00305604 +7 *2355:7 0.00156695 +8 *5103:DIODE *2980:21 0.000169041 +9 *2355:10 *2618:20 0.0022999 +10 *2355:10 *2932:32 6.96177e-05 +11 *2355:10 *2932:47 0.00016353 +12 *2355:16 *22827:A 0 +13 *2355:16 *2413:10 0 +14 *2355:16 *2503:6 0.00130534 +15 *2355:16 *2618:20 0.00034336 +16 *2355:16 *3194:16 0.000340722 +17 *2355:19 *2980:21 0.000311249 +18 *3836:DIODE *2355:16 1.77537e-06 +19 *6496:DIODE *2355:7 0.0002646 +20 *1185:17 *2355:7 0.000318389 +21 *1460:10 *2355:10 0 +22 *1852:13 *5103:DIODE 0.000152878 +23 *1852:13 *2355:19 0.000311249 +24 *2102:23 *2355:10 0.000523927 +25 *2137:50 *2355:7 0.00106048 +26 *2280:43 *2355:16 3.02954e-05 +*RES +1 *23595:Z *2355:7 25.5646 +2 *2355:7 *2355:10 44.5908 +3 *2355:10 *2355:16 47.0823 +4 *2355:16 *2355:19 7.99641 +5 *2355:19 *22585:A 9.24915 +6 *2355:19 *5103:DIODE 11.0817 +*END + +*D_NET *2356 0.0163603 +*CONN +*I *22586:A I *D sky130_fd_sc_hd__buf_2 +*I *5104:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23596:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22586:A 0.000239351 +2 *5104:DIODE 7.98254e-05 +3 *23596:Z 0.00106 +4 *2356:12 0.00209401 +5 *2356:11 0.00283484 +6 *5104:DIODE *2978:31 0.000107496 +7 *22586:A *22830:A 0.000175612 +8 *22586:A *2505:8 0 +9 *22586:A *2985:45 6.08467e-05 +10 *2356:11 *2932:32 0 +11 *2356:12 *22587:A 0 +12 *2356:12 *22830:A 0.000137795 +13 *2356:12 *2505:8 0 +14 *2356:12 *3060:6 0.00393544 +15 *2356:12 *3198:32 0.00390452 +16 la_data_in_core[98] *22586:A 6.42675e-05 +17 la_oenb_core[97] *22586:A 3.93117e-06 +18 *1137:5 *2356:11 0.00160893 +19 *1863:17 *22586:A 7.92757e-06 +20 *1962:13 *2356:11 4.5539e-05 +*RES +1 *23596:Z *2356:11 42.3579 +2 *2356:11 *2356:12 76.5774 +3 *2356:12 *5104:DIODE 15.0271 +4 *2356:12 *22586:A 20.1151 +*END + +*D_NET *2357 0.00888474 +*CONN +*I *5105:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22587:A I *D sky130_fd_sc_hd__buf_2 +*I *23597:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5105:DIODE 6.19783e-06 +2 *22587:A 0.00014898 +3 *23597:Z 0.000147659 +4 *2357:15 0.00029694 +5 *2357:10 0.00175317 +6 *2357:7 0.00175907 +7 *5105:DIODE *2983:113 5.31074e-05 +8 *5105:DIODE *3349:17 6.50727e-05 +9 *22587:A *2505:8 0 +10 *2357:10 *3065:29 0.000220551 +11 *2357:10 *3197:6 0 +12 *2357:10 *3349:6 0.000424253 +13 *2357:10 *3349:15 0.000943144 +14 *2357:15 *2983:109 0.000688558 +15 *2357:15 *2983:111 9.95922e-06 +16 *2357:15 *2983:113 0.000271044 +17 *2357:15 *3349:15 0.000633474 +18 *2357:15 *3349:17 0.000331879 +19 *6500:DIODE *2357:7 0.000171288 +20 *1194:11 *2357:7 0.000642796 +21 *2111:45 *2357:10 0.000317601 +22 *2356:12 *22587:A 0 +*RES +1 *23597:Z *2357:7 20.5732 +2 *2357:7 *2357:10 48.2725 +3 *2357:10 *2357:15 11.4538 +4 *2357:15 *22587:A 20.9116 +5 *2357:15 *5105:DIODE 9.97254 +*END + +*D_NET *2358 0.0467626 +*CONN +*I *5106:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22588:A I *D sky130_fd_sc_hd__buf_2 +*I *23598:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5106:DIODE 3.05044e-05 +2 *22588:A 0 +3 *23598:Z 0 +4 *2358:16 0.000852016 +5 *2358:9 0.0039263 +6 *2358:8 0.00310479 +7 *2358:6 0.00418708 +8 *2358:5 0.00418708 +9 *5106:DIODE *2978:41 0.000381471 +10 *5106:DIODE *2980:31 0.000381471 +11 *2358:6 *21505:A 0 +12 *2358:6 *2393:6 0 +13 *2358:6 *2628:42 0 +14 *2358:6 *2916:30 6.16595e-06 +15 *2358:6 *3170:18 1.40216e-05 +16 *2358:9 *2459:9 0.00399652 +17 *2358:9 *2496:13 2.99978e-05 +18 *2358:9 *2506:11 0.000307517 +19 *2358:9 *2596:15 0.00679199 +20 *2358:9 *3139:9 0.0153111 +21 *2358:9 *3170:15 3.86121e-05 +22 *2358:16 *22831:A 0.000185764 +23 *2358:16 *2508:8 0.000142865 +24 *2358:16 *2640:20 9.34404e-05 +25 *2358:16 *3061:23 1.91391e-05 +26 *2358:16 *3199:16 0.00098011 +27 *2125:20 *2358:9 0.000104638 +28 *2348:16 *2358:6 0.00169002 +*RES +1 *23598:Z *2358:5 13.7491 +2 *2358:5 *2358:6 90.2807 +3 *2358:6 *2358:8 4.5 +4 *2358:8 *2358:9 195.944 +5 *2358:9 *2358:16 32.3274 +6 *2358:16 *22588:A 9.24915 +7 *2358:16 *5106:DIODE 13.3002 +*END + +*D_NET *2359 0.0476994 +*CONN +*I *5107:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22589:A I *D sky130_fd_sc_hd__buf_2 +*I *23599:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5107:DIODE 1.47608e-05 +2 *22589:A 0.000199051 +3 *23599:Z 0 +4 *2359:16 0.00107637 +5 *2359:15 0.00161869 +6 *2359:9 0.0100021 +7 *2359:8 0.00924598 +8 *2359:6 0.00457452 +9 *2359:5 0.00457452 +10 *5107:DIODE *2978:41 6.08467e-05 +11 *5107:DIODE *2980:31 6.08467e-05 +12 *22589:A *22706:A 6.27907e-05 +13 *22589:A *2508:8 0 +14 *22589:A *2985:45 6.08467e-05 +15 *2359:6 *2917:12 0 +16 *2359:6 *3172:16 0.00110257 +17 *2359:6 *3361:52 0.000927198 +18 *2359:6 *3455:8 0 +19 *2359:9 *2431:9 0.000246439 +20 *2359:9 *2474:19 0.000115848 +21 *2359:9 *3191:11 0.00837825 +22 *2359:9 *3194:11 0.00154899 +23 *2359:9 *3457:9 0.00032818 +24 *2359:15 *2362:17 0.000689445 +25 *2359:15 *2431:9 0.00067347 +26 *2359:15 *3077:14 0.000901889 +27 *2359:16 *22706:A 0.000162512 +28 *2359:16 *2429:13 2.56676e-05 +29 *2359:16 *2508:8 0 +30 *2359:16 *2662:12 0.000140354 +31 *2359:16 *2917:8 0 +32 *2359:16 *3066:18 0 +33 la_data_in_core[100] *22589:A 5.41227e-05 +34 *3841:DIODE *22589:A 0 +35 *22574:TE *2359:6 4.49912e-05 +36 *1462:10 *2359:6 5.47232e-06 +37 *1863:17 *22589:A 6.08467e-05 +38 *2101:37 *2359:6 3.73754e-05 +39 *2309:31 *2359:6 0.00011191 +40 *2323:33 *2359:6 0.000592511 +*RES +1 *23599:Z *2359:5 13.7491 +2 *2359:5 *2359:6 104.399 +3 *2359:6 *2359:8 4.5 +4 *2359:8 *2359:9 158.508 +5 *2359:9 *2359:15 31.5912 +6 *2359:15 *2359:16 19.2726 +7 *2359:16 *22589:A 19.1136 +8 *2359:16 *5107:DIODE 14.4725 +*END + +*D_NET *2360 0.0739208 +*CONN +*I *23442:A I *D sky130_fd_sc_hd__nand2_1 +*I *6190:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21621:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23442:A 9.38951e-05 +2 *6190:DIODE 0.000219569 +3 *21621:X 0.0019827 +4 *2360:39 0.00554229 +5 *2360:38 0.00563186 +6 *2360:33 0.00843956 +7 *2360:17 0.0100192 +8 *6190:DIODE *3439:39 0.000265216 +9 *23442:A *2758:8 0.000107052 +10 *2360:17 *22802:A 3.20146e-05 +11 *2360:17 *2382:9 0.00289533 +12 *2360:17 *2382:27 0.000463326 +13 *2360:17 *2404:21 0.00154664 +14 *2360:17 *2437:22 4.75359e-05 +15 *2360:17 *3167:20 0.000123972 +16 *2360:17 *3171:8 0.000413775 +17 *2360:17 *3451:20 0 +18 *2360:33 *2371:13 0.000181713 +19 *2360:33 *2393:49 0.000232687 +20 *2360:33 *2426:26 0.00412703 +21 *2360:33 *2438:13 0.00457236 +22 *2360:33 *2463:25 3.71926e-05 +23 *2360:33 *2465:15 0.00301478 +24 *2360:33 *2490:20 0.00132976 +25 *2360:33 *2493:21 0.00163579 +26 *2360:33 *2621:26 0 +27 *2360:33 *2623:18 0.00633493 +28 *2360:33 *3161:26 0.000289137 +29 *2360:33 *3262:20 0 +30 *2360:33 *3295:21 0.00175616 +31 *2360:33 *3428:8 0.000284531 +32 *2360:33 *3451:16 0.00110824 +33 *2360:38 *5958:DIODE 2.45002e-05 +34 *2360:39 *3439:39 0.00734525 +35 la_oenb_core[72] *2360:17 9.7112e-06 +36 *3809:DIODE *2360:17 3.3239e-06 +37 *1154:28 *2360:38 3.32224e-05 +38 *1154:29 *2360:39 0.000569122 +39 *1159:22 *2360:38 0 +40 *1689:11 *6190:DIODE 1.79807e-05 +41 *1990:9 *23442:A 0 +42 *1991:30 *2360:33 0.00176505 +43 *2031:27 *2360:33 4.76716e-05 +44 *2066:16 *2360:33 0.000756253 +45 *2069:29 *2360:33 5.60804e-05 +46 *2077:19 *2360:33 0 +47 *2214:19 *6190:DIODE 2.12377e-05 +48 *2254:35 *2360:33 0 +49 *2278:13 *2360:33 0.00054311 +50 *2349:50 *2360:33 0 +*RES +1 *21621:X *2360:17 46.8756 +2 *2360:17 *2360:33 48.0005 +3 *2360:33 *2360:38 14.8914 +4 *2360:38 *2360:39 93.3422 +5 *2360:39 *6190:DIODE 22.6077 +6 *2360:39 *23442:A 20.4964 +*END + +*D_NET *2361 0.0201859 +*CONN +*I *22590:A I *D sky130_fd_sc_hd__buf_2 +*I *5108:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23600:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22590:A 0.000278717 +2 *5108:DIODE 0 +3 *23600:Z 0.00148185 +4 *2361:14 0.00111985 +5 *2361:11 0.0014392 +6 *2361:10 0.00207991 +7 *22590:A *22716:A 6.98045e-05 +8 *22590:A *2980:9 2.16355e-05 +9 *22590:A *2998:71 6.08467e-05 +10 *22590:A *3071:18 3.5534e-06 +11 *2361:10 *2461:64 0 +12 *2361:10 *2476:34 1.24808e-05 +13 *2361:10 *2836:39 6.36477e-05 +14 *2361:10 *2951:32 0.000297538 +15 *2361:10 *3006:50 0 +16 *2361:10 *3102:18 0 +17 *2361:10 *3368:13 2.99287e-05 +18 *2361:10 *3387:14 0 +19 *2361:11 *2367:27 0.000137573 +20 *2361:11 *2441:11 0.00636927 +21 *2361:11 *2450:49 0.00637646 +22 *2361:14 *3071:18 0.000137053 +23 la_data_in_core[10] *22590:A 6.1438e-05 +24 *22286:TE *2361:10 3.64734e-05 +25 *1762:6 *2361:10 0.00010872 +*RES +1 *23600:Z *2361:10 49.0417 +2 *2361:10 *2361:11 68.385 +3 *2361:11 *2361:14 20.0353 +4 *2361:14 *5108:DIODE 13.7491 +5 *2361:14 *22590:A 19.9441 +*END + +*D_NET *2362 0.0379303 +*CONN +*I *5109:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22591:A I *D sky130_fd_sc_hd__buf_2 +*I *23602:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5109:DIODE 1.26312e-05 +2 *22591:A 0.000175665 +3 *23602:Y 0.000302501 +4 *2362:18 0.00635549 +5 *2362:17 0.00736005 +6 *2362:9 0.00607792 +7 *2362:8 0.00518757 +8 *5109:DIODE *2824:13 2.65831e-05 +9 *5109:DIODE *3013:15 6.50727e-05 +10 *22591:A *2813:30 0 +11 *22591:A *3047:10 3.14978e-05 +12 *2362:8 *2462:6 0.000121713 +13 *2362:8 *2494:10 0 +14 *2362:8 *3186:8 7.23673e-05 +15 *2362:9 *2573:9 0.000110346 +16 *2362:9 *2629:13 0.00443309 +17 *2362:17 *2431:9 0.000132798 +18 *2362:17 *3077:14 0.000213918 +19 *2362:17 *3199:16 7.14746e-05 +20 *2362:18 *21353:A 0 +21 *2362:18 *2813:30 0 +22 *2362:18 *2813:62 6.43412e-05 +23 *2362:18 *2972:8 0 +24 *2362:18 *3028:6 0.00059946 +25 *2362:18 *3345:14 0 +26 *2362:18 *3351:10 3.1566e-05 +27 *2362:18 *3353:6 0 +28 *4160:DIODE *22591:A 0 +29 *22248:TE *2362:18 2.04806e-05 +30 *22389:B *2362:18 9.02771e-05 +31 *1143:10 *22591:A 8.51186e-05 +32 *1143:10 *2362:18 0.000503279 +33 *1190:32 *2362:18 0.000199467 +34 *1494:10 *2362:18 0 +35 *1622:8 *2362:18 0.000264099 +36 *1724:8 *2362:18 3.00073e-05 +37 *1981:23 *2362:18 0.00121769 +38 *2352:11 *2362:9 0.0033844 +39 *2359:15 *2362:17 0.000689445 +*RES +1 *23602:Y *2362:8 25.0642 +2 *2362:8 *2362:9 87.2416 +3 *2362:9 *2362:17 33.9421 +4 *2362:17 *2362:18 146.755 +5 *2362:18 *22591:A 18.3808 +6 *2362:18 *5109:DIODE 14.4725 +*END + +*D_NET *2363 0.00936293 +*CONN +*I *22592:A I *D sky130_fd_sc_hd__buf_2 +*I *5110:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22503:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22592:A 0.000173569 +2 *5110:DIODE 5.30446e-05 +3 *22503:Z 0.000494011 +4 *2363:8 0.00304443 +5 *2363:7 0.00331182 +6 *5110:DIODE *22624:A 7.92757e-06 +7 *5110:DIODE *22694:A 5.04829e-06 +8 *22592:A *22624:A 2.21765e-05 +9 *22592:A *22657:A 0 +10 *22592:A *22694:A 4.70104e-05 +11 *22592:A *2398:34 1.77537e-06 +12 *2363:8 *22694:A 0.000179256 +13 *2363:8 *2398:34 0.000584899 +14 *2363:8 *2434:14 0 +15 *2363:8 *2475:36 0 +16 *2363:8 *2476:38 0.00137018 +17 mprj_adr_o_user[0] *22592:A 0 +18 mprj_we_o_user *22592:A 0 +19 *4948:DIODE *2363:7 5.36612e-05 +20 *1144:13 *2363:7 1.41291e-05 +*RES +1 *22503:Z *2363:7 26.1192 +2 *2363:7 *2363:8 85.2977 +3 *2363:8 *5110:DIODE 14.4725 +4 *2363:8 *22592:A 18.4879 +*END + +*D_NET *2364 0.215896 +*CONN +*I *22593:A I *D sky130_fd_sc_hd__buf_2 +*I *5111:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22504:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22593:A 0 +2 *5111:DIODE 0.000271829 +3 *22504:Z 2.46826e-05 +4 *2364:18 0.000363047 +5 *2364:15 0.0418799 +6 *2364:14 0.0417887 +7 *2364:12 0.00180951 +8 *2364:10 0.00185001 +9 *2364:8 0.00268576 +10 *2364:7 0.00266995 +11 *5111:DIODE *5176:DIODE 3.14978e-05 +12 *2364:7 *2673:17 6.08467e-05 +13 *2364:8 *3551:DIODE 0.00014663 +14 *2364:8 *21335:A 1.9559e-05 +15 *2364:8 *21392:A 6.89449e-05 +16 *2364:8 *21527:A 2.82225e-05 +17 *2364:8 *2429:14 0 +18 *2364:8 *2429:18 0 +19 *2364:8 *2431:12 0 +20 *2364:8 *2514:20 0.000397061 +21 *2364:8 *2537:22 0 +22 *2364:8 *2810:30 1.75625e-05 +23 *2364:8 *3009:10 0.00184701 +24 *2364:8 *3064:8 0.000129791 +25 *2364:12 *22709:A 0.000383267 +26 *2364:12 *2429:14 0 +27 *2364:12 *3064:8 0.00227716 +28 *2364:12 *3250:6 0 +29 *2364:12 *3349:23 6.85778e-05 +30 *2364:15 *2978:41 0 +31 *2364:15 *2987:17 0 +32 *2364:15 *2990:28 0.000765371 +33 *2364:15 *2998:88 0.000816734 +34 *2364:15 *2998:130 0.00369388 +35 *2364:15 *3003:9 0.0160454 +36 *2364:15 *3003:22 0.00183463 +37 *2364:15 *3004:26 3.03034e-05 +38 *2364:15 *3007:45 0.000156557 +39 *2364:15 *3007:70 0.00237772 +40 *2364:15 *3007:76 5.78798e-05 +41 *2364:15 *3065:29 0 +42 *2364:15 *3361:27 5.58435e-06 +43 la_data_in_core[103] *2364:12 5.37426e-05 +44 mprj_dat_o_user[10] *5111:DIODE 0.000312929 +45 mprj_dat_o_user[10] *2364:18 0.000153564 +46 *4422:DIODE *2364:12 3.09155e-05 +47 *264:5 *2364:12 3.18938e-05 +48 *1001:10 *2364:18 0 +49 *1187:8 *2364:8 6.65271e-05 +50 *1233:8 *2364:8 0 +51 *1871:11 *2364:15 0.0898798 +52 *2120:31 *2364:8 0.000762686 +*RES +1 *22504:Z *2364:7 14.4725 +2 *2364:7 *2364:8 73.3409 +3 *2364:8 *2364:10 0.732798 +4 *2364:10 *2364:12 52.6149 +5 *2364:12 *2364:14 3.36879 +6 *2364:14 *2364:15 138.344 +7 *2364:15 *2364:18 6.23891 +8 *2364:18 *5111:DIODE 20.4571 +9 *2364:18 *22593:A 13.7491 +*END + +*D_NET *2365 0.139756 +*CONN +*I *22594:A I *D sky130_fd_sc_hd__buf_2 +*I *5112:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22505:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22594:A 0.000284921 +2 *5112:DIODE 0 +3 *22505:Z 0.00161143 +4 *2365:44 0.00618541 +5 *2365:43 0.0225968 +6 *2365:39 0.0245228 +7 *2365:27 0.00971951 +8 *2365:13 0.00377735 +9 *2365:11 0.00349577 +10 *22594:A *2975:15 0.00018985 +11 *22594:A *2998:23 0.000283098 +12 *2365:13 *3306:34 0.000463439 +13 *2365:13 *3395:35 0.00183651 +14 *2365:27 *23449:A 2.80888e-05 +15 *2365:27 *2415:26 8.51784e-06 +16 *2365:27 *2460:38 1.5656e-05 +17 *2365:27 *3339:23 7.54669e-05 +18 *2365:27 *3339:34 0.00287663 +19 *2365:27 *3362:32 0.000252884 +20 *2365:27 *3395:42 0.00535364 +21 *2365:39 *23433:A 0.000485869 +22 *2365:39 *3124:17 0.00104211 +23 *2365:39 *3128:26 0.00143277 +24 *2365:39 *3306:34 0.00148715 +25 *2365:39 *3384:29 0.00554133 +26 *2365:43 *2372:41 0 +27 *2365:43 *3124:17 0.000874484 +28 *2365:43 *3450:20 0 +29 *2365:44 *5804:DIODE 0 +30 *2365:44 *2546:6 0.000780517 +31 *2365:44 *2687:12 0 +32 *2365:44 *2819:16 0 +33 *2365:44 *2819:22 0 +34 *2365:44 *2819:30 1.05934e-05 +35 *2365:44 *2819:40 0.000154737 +36 *2365:44 *2975:15 4.87595e-05 +37 *2365:44 *3237:10 0 +38 *4309:DIODE *22594:A 0 +39 *4956:DIODE *2365:27 7.50872e-05 +40 *6205:DIODE *2365:27 0.000224395 +41 *22276:A *2365:44 0 +42 *22534:TE *2365:39 1.5714e-05 +43 *1147:23 *2365:39 0.000108464 +44 *1151:63 *2365:39 0.0148259 +45 *1151:74 *2365:39 0.00196828 +46 *1151:74 *2365:43 0.0125912 +47 *1153:45 *2365:39 0.000117719 +48 *1175:36 *2365:11 0.000204778 +49 *1175:56 *2365:27 0.000977974 +50 *1230:10 *2365:44 0 +51 *1240:6 *2365:44 0 +52 *1323:10 *2365:11 0.000197293 +53 *1394:21 *2365:39 0.000299281 +54 *1463:8 *2365:44 0.00012243 +55 *1499:11 *2365:44 7.50722e-05 +56 *1627:9 *2365:44 0 +57 *1696:8 *2365:27 3.50378e-05 +58 *1710:18 *2365:11 0.000792743 +59 *1980:10 *2365:39 0.000275145 +60 *1980:40 *2365:11 3.8122e-05 +61 *1980:40 *2365:13 0.00686628 +62 *1980:46 *2365:11 9.82896e-06 +63 *1985:40 *2365:27 0.00129658 +64 *2094:5 *2365:27 4.69204e-06 +65 *2141:31 *2365:43 0 +66 *2150:8 *2365:39 0.000283528 +67 *2150:23 *2365:43 0.000350066 +68 *2151:37 *2365:27 5.86681e-05 +69 *2174:25 *2365:43 0.00053777 +70 *2177:23 *2365:39 0.00176326 +71 *2220:19 *2365:39 0.000204248 +*RES +1 *22505:Z *2365:11 47.1625 +2 *2365:11 *2365:13 73.9311 +3 *2365:13 *2365:27 48.3663 +4 *2365:27 *2365:39 38.0081 +5 *2365:39 *2365:43 44.9911 +6 *2365:43 *2365:44 142.81 +7 *2365:44 *5112:DIODE 13.7491 +8 *2365:44 *22594:A 21.8719 +*END + +*D_NET *2366 0.0787836 +*CONN +*I *5113:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22595:A I *D sky130_fd_sc_hd__buf_2 +*I *22506:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5113:DIODE 0 +2 *22595:A 0.000473449 +3 *22506:Z 0 +4 *2366:20 0.00183624 +5 *2366:15 0.0161225 +6 *2366:14 0.0147597 +7 *2366:12 0.00414181 +8 *2366:10 0.0050414 +9 *2366:5 0.0030311 +10 *2366:4 0.0021315 +11 *22595:A *5177:DIODE 0 +12 *22595:A *2975:15 0 +13 *22595:A *2976:8 0 +14 *22595:A *2998:34 7.48114e-05 +15 *2366:5 *2374:17 0.000505809 +16 *2366:5 *2374:19 0.00260575 +17 *2366:10 *2726:6 0 +18 *2366:12 *2385:40 4.69495e-06 +19 *2366:12 *2726:6 6.96317e-05 +20 *2366:12 *2762:6 1.76037e-05 +21 *2366:12 *3094:22 0 +22 *2366:12 *3098:46 0.0013231 +23 *2366:12 *3117:24 0.000916717 +24 *2366:12 *3131:8 4.55435e-05 +25 *2366:12 *3151:58 0 +26 *2366:12 *3379:6 0.000143901 +27 *2366:15 *2388:41 0.000118134 +28 *2366:15 *2394:27 0.00353725 +29 *2366:15 *2475:35 0.00133228 +30 *2366:15 *2895:11 0.0169578 +31 *2366:20 *5177:DIODE 2.652e-05 +32 *2366:20 *22596:A 0 +33 *2366:20 *22660:A 0 +34 *2366:20 *2367:30 0 +35 mprj_adr_o_user[12] *22595:A 2.33103e-06 +36 *4310:DIODE *2366:20 1.79672e-05 +37 *1169:72 *2366:12 0.000140307 +38 *1272:10 *2366:12 0 +39 *1368:34 *2366:12 0.000146855 +40 *1394:17 *2366:5 0.00242242 +41 *1888:18 *2366:12 0.000120624 +42 *2011:43 *2366:10 0.000145793 +43 *2011:43 *2366:12 0 +44 *2014:22 *2366:10 8.58138e-05 +45 *2071:22 *2366:10 0.000100727 +46 *2101:20 *2366:5 0.00013507 +47 *2105:23 *2366:12 0.000210464 +48 *2173:45 *2366:12 3.8071e-05 +*RES +1 *22506:Z *2366:4 9.24915 +2 *2366:4 *2366:5 66.1666 +3 *2366:5 *2366:10 21.9528 +4 *2366:10 *2366:12 88.8273 +5 *2366:12 *2366:14 4.5 +6 *2366:14 *2366:15 265.269 +7 *2366:15 *2366:20 40.3149 +8 *2366:20 *22595:A 26.4843 +9 *2366:20 *5113:DIODE 9.24915 +*END + +*D_NET *2367 0.116776 +*CONN +*I *22596:A I *D sky130_fd_sc_hd__buf_2 +*I *5114:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22507:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22596:A 0.000180475 +2 *5114:DIODE 0 +3 *22507:Z 0.000298798 +4 *2367:30 0.000765336 +5 *2367:27 0.0118458 +6 *2367:26 0.0116788 +7 *2367:23 0.00743427 +8 *2367:9 0.00842393 +9 *2367:8 0.00170633 +10 *22596:A *22660:A 0 +11 *22596:A *2977:8 0 +12 *2367:9 *2378:9 0.000121638 +13 *2367:23 *2384:21 0.00312905 +14 *2367:23 *2438:25 0.00327986 +15 *2367:23 *2465:15 2.7321e-05 +16 *2367:23 *2465:19 0.00011425 +17 *2367:23 *2599:18 0 +18 *2367:23 *3073:33 0.000465716 +19 *2367:23 *3408:19 1.5714e-05 +20 *2367:26 *2895:8 0.000206318 +21 *2367:26 *3108:18 0.000573102 +22 *2367:27 *2383:25 0.00029687 +23 *2367:27 *2390:9 0.000110257 +24 *2367:27 *2441:11 0.000353172 +25 *2367:27 *2443:11 0.000325406 +26 *2367:27 *2446:45 0.000200486 +27 *2367:27 *2446:54 0.00371583 +28 *2367:27 *2450:49 0.000477706 +29 *2367:27 *2451:27 0.00581742 +30 *2367:27 *2451:36 0.0073134 +31 *2367:27 *2462:46 0.0166787 +32 *2367:27 *2473:51 0 +33 *2367:27 *3107:9 0.000588117 +34 *2367:27 *3387:11 0.00030663 +35 *2367:30 *2977:8 0 +36 *4311:DIODE *22596:A 0.000124145 +37 *6203:DIODE *2367:8 1.05456e-05 +38 *22511:TE *2367:8 2.3438e-05 +39 *23448:B *2367:8 4.87997e-06 +40 *1695:9 *2367:8 1.28646e-05 +41 *1954:10 *2367:9 0.00039653 +42 *1955:8 *2367:9 0.00726847 +43 *1967:15 *2367:9 1.65872e-05 +44 *1981:8 *2367:9 0.00499436 +45 *1983:8 *2367:9 0.0011844 +46 *1988:25 *2367:23 0.00017686 +47 *2009:19 *2367:23 5.01835e-05 +48 *2023:19 *2367:9 0.000117422 +49 *2023:19 *2367:23 0.000906638 +50 *2026:37 *2367:23 0.00362871 +51 *2027:14 *2367:23 0.00010238 +52 *2029:30 *2367:23 0.0011553 +53 *2030:16 *2367:23 0.00309338 +54 *2055:17 *2367:23 0.00103396 +55 *2065:26 *2367:23 0.000518896 +56 *2078:8 *2367:9 0.000112427 +57 *2082:5 *2367:8 5.38465e-05 +58 *2091:10 *2367:23 0.00103401 +59 *2101:20 *2367:23 0.00143399 +60 *2128:13 *2367:9 0.00168053 +61 *2132:8 *2367:9 0.000596218 +62 *2209:27 *2367:23 0.000120627 +63 *2226:33 *2367:8 0.000335732 +64 *2361:11 *2367:27 0.000137573 +65 *2366:20 *22596:A 0 +66 *2366:20 *2367:30 0 +*RES +1 *22507:Z *2367:8 25.4794 +2 *2367:8 *2367:9 106.653 +3 *2367:9 *2367:23 39.5715 +4 *2367:23 *2367:26 15.1668 +5 *2367:26 *2367:27 47.7798 +6 *2367:27 *2367:30 16.6202 +7 *2367:30 *5114:DIODE 13.7491 +8 *2367:30 *22596:A 18.5612 +*END + +*D_NET *2368 0.0944536 +*CONN +*I *22597:A I *D sky130_fd_sc_hd__buf_2 +*I *5115:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22508:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22597:A 0.000320031 +2 *5115:DIODE 2.59387e-05 +3 *22508:Z 0 +4 *2368:38 0.00448195 +5 *2368:37 0.00413598 +6 *2368:35 0.00510537 +7 *2368:34 0.0114111 +8 *2368:23 0.00764296 +9 *2368:22 0.00145476 +10 *2368:17 0.000625023 +11 *2368:11 0.00109051 +12 *2368:4 0.00058303 +13 *5115:DIODE *3002:9 6.50586e-05 +14 *22597:A *22661:A 8.86418e-05 +15 *22597:A *2439:38 1.77537e-06 +16 *22597:A *2977:8 0 +17 *22597:A *2978:8 0 +18 *2368:11 *2372:13 0.00425657 +19 *2368:11 *3409:19 6.92705e-05 +20 *2368:17 *2372:13 0.000889895 +21 *2368:17 *2374:9 0.00323117 +22 *2368:23 *2378:9 0.00786284 +23 *2368:23 *2378:17 0.000144659 +24 *2368:23 *3123:17 0.000254475 +25 *2368:23 *3273:33 0.000144814 +26 *2368:34 *2378:17 0.000831504 +27 *2368:34 *2586:12 1.19682e-05 +28 *2368:34 *2870:23 1.84334e-05 +29 *2368:34 *3073:41 0.00430555 +30 *2368:34 *3263:6 0 +31 *2368:35 *2828:11 0.00155361 +32 *2368:35 *2840:11 0.00253 +33 *2368:38 *2439:38 0.000343834 +34 *2368:38 *2547:8 0.00337029 +35 *2368:38 *2690:8 0 +36 *2368:38 *2977:8 0 +37 *2368:38 *3059:22 0.000839906 +38 *2368:38 *3099:8 0 +39 *4958:DIODE *2368:11 0.000166951 +40 *6370:DIODE *2368:11 3.39773e-05 +41 *6370:DIODE *2368:17 9.82896e-06 +42 *6371:DIODE *2368:11 0.000385088 +43 *22513:A *2368:17 0.000165521 +44 *23532:A *2368:11 1.09551e-05 +45 *1005:8 *22597:A 3.12828e-05 +46 *1395:15 *2368:17 0.0034085 +47 *1646:8 *2368:34 0.000330688 +48 *1756:15 *2368:38 0 +49 *1848:12 *2368:38 0.000406634 +50 *1967:16 *2368:11 0.00157077 +51 *1998:10 *2368:34 0 +52 *1998:10 *2368:35 0.000133887 +53 *2000:22 *2368:34 0 +54 *2009:42 *2368:35 0.00636363 +55 *2010:19 *2368:34 0.000237377 +56 *2027:13 *2368:22 7.09666e-06 +57 *2060:8 *2368:23 0.0107716 +58 *2093:10 *2368:23 0.00116952 +59 *2093:14 *2368:23 2.48346e-05 +60 *2148:13 *2368:22 7.60612e-05 +61 *2153:43 *2368:38 2.87136e-06 +62 *2179:25 *2368:23 0.000168916 +63 *2179:25 *2368:34 0.000213113 +64 *2181:49 *2368:34 0.00107363 +*RES +1 *22508:Z *2368:4 9.24915 +2 *2368:4 *2368:11 48.0817 +3 *2368:11 *2368:17 45.6945 +4 *2368:17 *2368:22 11.2472 +5 *2368:22 *2368:23 121.072 +6 *2368:23 *2368:34 39.9073 +7 *2368:34 *2368:35 149.357 +8 *2368:35 *2368:37 4.5 +9 *2368:37 *2368:38 123.086 +10 *2368:38 *5115:DIODE 14.4725 +11 *2368:38 *22597:A 21.3947 +*END + +*D_NET *2369 0.0193435 +*CONN +*I *22598:A I *D sky130_fd_sc_hd__buf_2 +*I *5116:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22509:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22598:A 0.000152772 +2 *5116:DIODE 0 +3 *22509:Z 0 +4 *2369:8 0.00438199 +5 *2369:7 0.00422921 +6 *2369:5 0.00140016 +7 *2369:4 0.00140016 +8 *22598:A *22662:A 0 +9 *2369:5 *2762:29 0.00486146 +10 *2369:8 *21441:A 0 +11 *2369:8 *22662:A 0 +12 *2369:8 *2440:30 0 +13 *2369:8 *2549:12 0 +14 *2369:8 *2832:12 0.000885051 +15 *2369:8 *2832:28 0 +16 *2369:8 *2923:30 0 +17 *2369:8 *3241:8 0.000858987 +18 *4312:DIODE *22598:A 0 +19 *4313:DIODE *22598:A 0.000124145 +20 *5655:DIODE *2369:5 0.00033061 +21 *22280:A *2369:8 0 +22 *22408:B *2369:8 0.000168775 +23 *1242:11 *2369:8 0.000539761 +24 *1244:6 *2369:8 0 +25 *2333:17 *2369:8 0 +26 *2336:17 *2369:8 1.04747e-05 +*RES +1 *22509:Z *2369:4 9.24915 +2 *2369:4 *2369:5 52.8561 +3 *2369:5 *2369:7 4.5 +4 *2369:7 *2369:8 106.06 +5 *2369:8 *5116:DIODE 13.7491 +6 *2369:8 *22598:A 17.6574 +*END + +*D_NET *2370 0.0258058 +*CONN +*I *22599:A I *D sky130_fd_sc_hd__buf_2 +*I *5117:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22510:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22599:A 0.000361768 +2 *5117:DIODE 0 +3 *22510:Z 0 +4 *2370:12 0.00155578 +5 *2370:9 0.00351342 +6 *2370:8 0.00231941 +7 *2370:6 0.00403833 +8 *2370:5 0.00403833 +9 *22599:A *22663:A 0 +10 *22599:A *2441:14 0 +11 *22599:A *2980:8 0.000164377 +12 *22599:A *2998:35 6.50727e-05 +13 *2370:6 *3759:DIODE 3.42271e-05 +14 *2370:6 *21452:A 9.97495e-06 +15 *2370:6 *2384:26 7.94196e-05 +16 *2370:6 *2392:6 0 +17 *2370:6 *2563:6 0.000263756 +18 *2370:6 *2844:10 0 +19 *2370:6 *2844:19 0 +20 *2370:6 *2844:28 0 +21 *2370:6 *3098:20 0 +22 *2370:6 *3138:41 0 +23 *2370:6 *3176:24 3.4082e-05 +24 *2370:6 *3387:10 0.000200218 +25 *2370:9 *2465:23 0.000571999 +26 *2370:9 *3059:23 0.00839722 +27 *2370:12 *2441:14 0 +28 mprj_dat_o_user[15] *22599:A 7.31016e-05 +29 *23504:A *2370:6 0 +30 *1007:12 *22599:A 2.1203e-06 +31 *1255:8 *2370:6 6.96196e-05 +32 *1367:18 *2370:6 0 +33 *2010:23 *2370:6 0 +34 *2318:65 *2370:6 1.35981e-05 +*RES +1 *22510:Z *2370:5 13.7491 +2 *2370:5 *2370:6 83.2214 +3 *2370:6 *2370:8 4.5 +4 *2370:8 *2370:9 88.9054 +5 *2370:9 *2370:12 30.4166 +6 *2370:12 *5117:DIODE 13.7491 +7 *2370:12 *22599:A 22.1181 +*END + +*D_NET *2371 0.0529226 +*CONN +*I *6192:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23443:A I *D sky130_fd_sc_hd__nand2_1 +*I *21622:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6192:DIODE 0 +2 *23443:A 0.000121482 +3 *21622:X 0 +4 *2371:19 0.000571424 +5 *2371:13 0.00718809 +6 *2371:12 0.00673815 +7 *2371:10 0.00444541 +8 *2371:8 0.00447862 +9 *2371:6 0.00218835 +10 *2371:5 0.00215513 +11 *2371:6 *22803:A 0 +12 *2371:6 *23059:A 6.2757e-05 +13 *2371:6 *2494:16 0.000768438 +14 *2371:6 *2508:16 0.000125808 +15 *2371:6 *3168:28 0 +16 *2371:6 *3452:16 0.000556943 +17 *2371:10 *2494:16 0.00161385 +18 *2371:10 *2508:16 0.00136655 +19 *2371:13 *5956:DIODE 6.50727e-05 +20 *2371:13 *5964:DIODE 0.000116755 +21 *2371:13 *5982:DIODE 6.50586e-05 +22 *2371:13 *23446:A 0.000135686 +23 *2371:13 *23457:A 0.000414533 +24 *2371:13 *23466:A 0.000216535 +25 *2371:13 *2479:39 7.68538e-06 +26 *2371:13 *2479:45 0.000480428 +27 *2371:13 *2625:15 0.0022567 +28 *2371:13 *2625:21 0.0015656 +29 *2371:13 *2625:23 0.00060635 +30 *2371:13 *2776:13 0.00031989 +31 *2371:13 *2779:19 0.0016395 +32 *2371:13 *3295:21 0.00012309 +33 *2371:19 *23318:A 6.36477e-05 +34 *2371:19 *23446:A 0.000216458 +35 la_oenb_core[73] *2371:6 0.000111569 +36 *3810:DIODE *2371:6 0 +37 *5089:DIODE *2371:10 0.000116971 +38 *5753:DIODE *2371:13 0.000277488 +39 *6193:DIODE *23443:A 0.000175485 +40 *6199:DIODE *2371:19 4.58907e-05 +41 *6221:DIODE *2371:13 3.66984e-05 +42 *6239:DIODE *2371:13 5.31074e-05 +43 *23318:B *2371:19 6.74255e-05 +44 *23329:B *2371:13 4.78782e-05 +45 *23349:B *2371:13 0.00011818 +46 *23351:A *2371:10 0 +47 *1151:47 *2371:13 0.00254882 +48 *1152:20 *2371:13 0.00154697 +49 *1175:18 *2371:10 0 +50 *1470:10 *2371:10 0 +51 *1565:5 *2371:19 3.07133e-05 +52 *1576:5 *2371:13 0.000588835 +53 *1585:5 *2371:13 0.000271058 +54 *1704:10 *2371:13 0.000271745 +55 *1713:8 *2371:13 0.000423936 +56 *1833:11 *2371:10 0.000653189 +57 *1944:25 *2371:6 0 +58 *2142:19 *2371:13 0.002017 +59 *2151:38 *23443:A 0.000185223 +60 *2151:38 *2371:13 9.82896e-06 +61 *2151:38 *2371:19 0.00164312 +62 *2255:34 *2371:13 0.000585763 +63 *2350:13 *2371:10 0.000239984 +64 *2360:33 *2371:13 0.000181713 +*RES +1 *21622:X *2371:5 13.7491 +2 *2371:5 *2371:6 49.5005 +3 *2371:6 *2371:8 0.732798 +4 *2371:8 *2371:10 107.392 +5 *2371:10 *2371:12 4.5 +6 *2371:12 *2371:13 224.229 +7 *2371:13 *2371:19 27.9176 +8 *2371:19 *23443:A 14.4335 +9 *2371:19 *6192:DIODE 9.24915 +*END + +*D_NET *2372 0.0985767 +*CONN +*I *22600:A I *D sky130_fd_sc_hd__buf_2 +*I *5118:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22511:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22600:A 0.000152633 +2 *5118:DIODE 2.59387e-05 +3 *22511:Z 0.000259876 +4 *2372:46 0.00218154 +5 *2372:42 0.00503121 +6 *2372:41 0.0120016 +7 *2372:29 0.0141683 +8 *2372:13 0.00752383 +9 *2372:11 0.00301462 +10 *2372:7 0.000945568 +11 *5118:DIODE *3002:9 6.50586e-05 +12 *22600:A *22664:A 0 +13 *2372:11 *6202:DIODE 0.000329185 +14 *2372:13 *2374:9 0.000716556 +15 *2372:29 *2374:9 0.000179901 +16 *2372:29 *2376:13 0.000628703 +17 *2372:29 *2378:17 0.00251651 +18 *2372:29 *2379:11 0.000786233 +19 *2372:29 *2394:7 0.000464083 +20 *2372:29 *2739:21 0.000116216 +21 *2372:29 *3051:24 0.00423815 +22 *2372:29 *3073:33 0.000241605 +23 *2372:29 *3262:48 0.00204315 +24 *2372:41 *2378:17 0.00744044 +25 *2372:41 *3051:24 0.000151613 +26 *2372:42 *21443:A 0 +27 *2372:42 *2552:10 0.00014533 +28 *2372:42 *2552:12 0 +29 *2372:42 *2836:8 0.00442236 +30 *2372:42 *3097:10 5.66868e-06 +31 *2372:42 *3100:10 0.000191822 +32 *2372:46 *22664:A 0 +33 *2372:46 *2807:12 0 +34 *4315:DIODE *22600:A 0.000116493 +35 *4515:DIODE *2372:42 0 +36 *4515:DIODE *2372:46 5.03201e-05 +37 *4958:DIODE *2372:11 0.000160617 +38 *4958:DIODE *2372:13 1.41689e-05 +39 *4959:DIODE *2372:11 0.000150019 +40 *4969:DIODE *2372:13 0.000122378 +41 *4978:DIODE *2372:13 6.3657e-05 +42 *6203:DIODE *2372:7 0.000160617 +43 *22409:B *2372:42 0 +44 *22511:TE *2372:7 8.78673e-05 +45 *23448:B *2372:7 2.65667e-05 +46 *1153:54 *2372:41 0.00615816 +47 *1408:14 *2372:42 8.62706e-05 +48 *1501:9 *2372:42 8.5064e-05 +49 *1760:12 *2372:42 0 +50 *1967:16 *2372:7 1.53708e-05 +51 *1967:16 *2372:11 0.00142268 +52 *1986:19 *2372:29 0.0012202 +53 *2049:8 *2372:11 0.000322606 +54 *2080:10 *2372:29 0.00047556 +55 *2086:18 *2372:7 0.000865932 +56 *2100:10 *2372:11 0.000447948 +57 *2100:10 *2372:13 0.00108843 +58 *2100:23 *2372:11 0.00270489 +59 *2100:26 *2372:7 0.000772633 +60 *2101:20 *2372:29 0.00587007 +61 *2104:8 *2372:13 0.000308614 +62 *2128:19 *2372:11 0.00010238 +63 *2174:25 *2372:41 0.000138498 +64 *2199:22 *2372:29 0.000404556 +65 *2338:17 *22600:A 0 +66 *2338:17 *2372:42 0 +67 *2338:17 *2372:46 0 +68 *2365:43 *2372:41 0 +69 *2368:11 *2372:13 0.00425657 +70 *2368:17 *2372:13 0.000889895 +*RES +1 *22511:Z *2372:7 22.7525 +2 *2372:7 *2372:11 46.225 +3 *2372:11 *2372:13 79.4771 +4 *2372:13 *2372:29 48.0006 +5 *2372:29 *2372:41 33.9432 +6 *2372:41 *2372:42 92.2348 +7 *2372:42 *2372:46 43.7358 +8 *2372:46 *5118:DIODE 14.4725 +9 *2372:46 *22600:A 17.6574 +*END + +*D_NET *2373 0.025339 +*CONN +*I *22601:A I *D sky130_fd_sc_hd__buf_2 +*I *5119:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22512:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22601:A 0.00031173 +2 *5119:DIODE 2.59387e-05 +3 *22512:Z 0 +4 *2373:8 0.00440036 +5 *2373:7 0.0040627 +6 *2373:5 0.00151913 +7 *2373:4 0.00151913 +8 *5119:DIODE *3002:9 6.50586e-05 +9 *22601:A *22665:A 7.50678e-05 +10 *22601:A *2443:14 1.77537e-06 +11 *22601:A *2982:11 0.000123752 +12 *2373:5 *3084:29 0.00679996 +13 *2373:8 *21444:A 0.000248268 +14 *2373:8 *2443:14 0.000168423 +15 *2373:8 *2554:6 0.00294083 +16 *2373:8 *2693:15 4.42033e-05 +17 *2373:8 *2829:30 0.00021909 +18 *2373:8 *2833:16 0 +19 *2373:8 *2836:22 1.47102e-05 +20 *2373:8 *3097:10 0 +21 *2373:8 *3245:8 0.000313583 +22 mprj_adr_o_user[18] *22601:A 0 +23 mprj_dat_o_user[17] *22601:A 1.77439e-05 +24 *4761:DIODE *2373:8 0 +25 *4767:DIODE *2373:8 0 +26 *4966:DIODE *2373:5 0.000122378 +27 *1009:12 *22601:A 9.12416e-06 +28 *1153:61 *2373:5 5.31074e-05 +29 *1248:8 *2373:8 0.000438596 +30 *1452:8 *2373:8 0 +31 *1630:11 *2373:8 0.000315609 +32 *1755:9 *2373:8 0.000146135 +33 *2060:24 *2373:5 0.0013826 +34 *2335:47 *2373:8 0 +35 *2338:17 *22601:A 0 +36 *2338:17 *2373:8 0 +*RES +1 *22512:Z *2373:4 9.24915 +2 *2373:4 *2373:5 73.9311 +3 *2373:5 *2373:7 4.5 +4 *2373:7 *2373:8 112.704 +5 *2373:8 *5119:DIODE 14.4725 +6 *2373:8 *22601:A 21.3947 +*END + +*D_NET *2374 0.0734737 +*CONN +*I *22602:A I *D sky130_fd_sc_hd__buf_2 +*I *5120:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22513:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22602:A 0.000162899 +2 *5120:DIODE 2.59387e-05 +3 *22513:Z 0.000473377 +4 *2374:40 0.00437031 +5 *2374:39 0.00580442 +6 *2374:36 0.00204924 +7 *2374:31 0.00534434 +8 *2374:29 0.00534654 +9 *2374:19 0.00155578 +10 *2374:17 0.00149291 +11 *2374:11 0.00168148 +12 *2374:9 0.00178925 +13 *5120:DIODE *3002:9 6.50586e-05 +14 *22602:A *22666:A 0 +15 *22602:A *22667:A 0 +16 *2374:9 *2394:7 7.34948e-06 +17 *2374:11 *23294:A 5.39501e-05 +18 *2374:11 *23295:A 3.82228e-05 +19 *2374:11 *23298:A 0.000110583 +20 *2374:11 *23426:A 0.000165521 +21 *2374:11 *2394:7 0.000958929 +22 *2374:11 *2394:11 0.00358474 +23 *2374:17 *2394:11 0.000656328 +24 *2374:17 *2394:17 0.00123197 +25 *2374:19 *2394:17 0.000839141 +26 *2374:19 *2751:22 0.000523297 +27 *2374:29 *22431:A_N 1.92172e-05 +28 *2374:29 *23275:A 6.50727e-05 +29 *2374:29 *2717:17 1.99131e-05 +30 *2374:31 *3650:DIODE 6.50586e-05 +31 *2374:31 *4808:DIODE 0.000167076 +32 *2374:31 *22431:A_N 0.000217951 +33 *2374:31 *2378:17 0.000133887 +34 *2374:31 *2397:33 0.0056537 +35 *2374:31 *2717:17 9.80747e-05 +36 *2374:36 *2701:8 0.000355884 +37 *2374:36 *2842:12 0.000331022 +38 *2374:40 *22666:A 0 +39 *2374:40 *2390:6 0.00329281 +40 *2374:40 *2445:30 0 +41 *2374:40 *2838:6 0 +42 *2374:40 *2838:30 0 +43 *2374:40 *2839:8 8.16718e-05 +44 *2374:40 *2839:34 7.36391e-05 +45 *2374:40 *3246:6 0.000713528 +46 *4318:DIODE *22602:A 0.000116493 +47 *4954:DIODE *2374:11 0.000331221 +48 *4969:DIODE *2374:9 0.000122378 +49 *6159:DIODE *2374:11 6.50727e-05 +50 *22413:B *2374:40 0.000184876 +51 *22431:B *2374:29 4.0744e-05 +52 *22531:TE *2374:9 0.000218017 +53 *23156:A *2374:19 0.000128091 +54 *23255:B *2374:40 4.49912e-05 +55 *23275:B *2374:19 0.000321919 +56 *23294:B *2374:11 6.50586e-05 +57 *23298:B *2374:11 0.000315527 +58 *23423:B *2374:11 6.36477e-05 +59 *23426:B *2374:11 2.33978e-05 +60 *1147:37 *2374:11 0.0007792 +61 *1174:33 *2374:31 0.000410286 +62 *1174:37 *2374:31 0.00430391 +63 *1394:9 *2374:19 0.000665974 +64 *1394:9 *2374:29 5.08817e-05 +65 *1394:9 *2374:31 0.000182184 +66 *1394:17 *2374:11 0.000327905 +67 *1394:17 *2374:17 0.00156714 +68 *1395:15 *2374:9 1.63804e-05 +69 *1395:15 *2374:11 0.0035841 +70 *1522:10 *2374:19 0.000629893 +71 *1542:5 *2374:11 6.3657e-05 +72 *1545:5 *2374:11 0.000324088 +73 *1659:9 *2374:19 2.33638e-05 +74 *1673:16 *2374:11 1.5613e-05 +75 *2002:21 *2374:40 0.000887244 +76 *2080:10 *2374:9 0.000106647 +77 *2104:8 *2374:9 0.000321985 +78 *2159:57 *2374:40 1.91246e-05 +79 *2179:25 *2374:11 0.000104416 +80 *2202:33 *2374:11 0.000189024 +81 *2366:5 *2374:17 0.000505809 +82 *2366:5 *2374:19 0.00260575 +83 *2368:17 *2374:9 0.00323117 +84 *2372:13 *2374:9 0.000716556 +85 *2372:29 *2374:9 0.000179901 +*RES +1 *22513:Z *2374:9 45.5154 +2 *2374:9 *2374:11 83.3593 +3 *2374:11 *2374:17 30.4429 +4 *2374:17 *2374:19 58.4022 +5 *2374:19 *2374:29 9.00628 +6 *2374:29 *2374:31 143.257 +7 *2374:31 *2374:36 23.7048 +8 *2374:36 *2374:39 37.3904 +9 *2374:39 *2374:40 118.518 +10 *2374:40 *5120:DIODE 14.4725 +11 *2374:40 *22602:A 17.6574 +*END + +*D_NET *2375 0.0172857 +*CONN +*I *22603:A I *D sky130_fd_sc_hd__buf_2 +*I *5121:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22514:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22603:A 0.000188766 +2 *5121:DIODE 9.77382e-05 +3 *22514:Z 4.83704e-05 +4 *2375:17 0.000684037 +5 *2375:13 0.00137817 +6 *2375:8 0.00411591 +7 *2375:7 0.00318364 +8 *5121:DIODE *22668:A 0 +9 *22603:A *22668:A 0 +10 *2375:8 *22621:A 0 +11 *2375:8 *22685:A 0.000226281 +12 *2375:8 *2396:6 0 +13 *2375:8 *2466:18 0.00170243 +14 *2375:8 *2650:6 0 +15 *2375:8 *2935:8 0.000307894 +16 *2375:13 *22679:A 6.50727e-05 +17 *2375:13 *22682:A 6.50727e-05 +18 *2375:13 *22684:A 0.000228593 +19 *2375:13 *22685:A 0.000171273 +20 *2375:13 *22691:A 6.50727e-05 +21 *2375:13 *22692:A 6.50727e-05 +22 *2375:13 *2986:5 0.00414944 +23 *2375:17 *2986:5 8.90486e-05 +24 mprj_dat_o_user[1] *22603:A 6.42675e-05 +25 *4338:DIODE *2375:8 0.000130777 +26 *4689:DIODE *2375:8 0 +27 *22147:A *2375:13 6.50727e-05 +28 *1029:5 *2375:8 2.24484e-05 +29 *1335:10 *2375:8 0 +30 *1441:7 *2375:7 0.000171288 +31 *1847:6 *2375:8 0 +*RES +1 *22514:Z *2375:7 15.5817 +2 *2375:7 *2375:8 86.5434 +3 *2375:8 *2375:13 49.0612 +4 *2375:13 *2375:17 14.0971 +5 *2375:17 *5121:DIODE 15.9964 +6 *2375:17 *22603:A 18.3808 +*END + +*D_NET *2376 0.0980381 +*CONN +*I *22604:A I *D sky130_fd_sc_hd__buf_2 +*I *5122:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22515:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22604:A 0.000350501 +2 *5122:DIODE 0 +3 *22515:Z 0 +4 *2376:16 0.00643796 +5 *2376:15 0.00608746 +6 *2376:13 0.00539633 +7 *2376:11 0.00582845 +8 *2376:5 0.00214388 +9 *2376:4 0.00171176 +10 *22604:A *22667:A 0 +11 *22604:A *2985:8 0.000178286 +12 *22604:A *2998:35 6.08467e-05 +13 *22604:A *3001:29 2.16355e-05 +14 *2376:13 *23431:A 0.000117135 +15 *2376:13 *2379:11 0.0285478 +16 *2376:16 *6076:DIODE 6.98648e-06 +17 *2376:16 *6078:DIODE 5.21235e-05 +18 *2376:16 *22667:A 0 +19 *2376:16 *23257:A 0 +20 *2376:16 *2445:30 0 +21 *2376:16 *2555:6 0 +22 *2376:16 *2698:6 0 +23 *2376:16 *2699:6 0 +24 *2376:16 *2795:32 9.32891e-05 +25 *2376:16 *2830:38 0 +26 *2376:16 *2862:20 0 +27 *2376:16 *3100:16 0.000150641 +28 *2376:16 *3104:6 0 +29 mprj_adr_o_user[20] *22604:A 1.26131e-05 +30 *4320:DIODE *22604:A 0 +31 *4809:DIODE *2376:13 6.08467e-05 +32 *5973:DIODE *2376:13 0.000164815 +33 *5995:DIODE *2376:13 0.000171273 +34 *6169:DIODE *2376:13 0.000278114 +35 *6229:DIODE *2376:13 5.73392e-05 +36 *6251:DIODE *2376:13 0.000175485 +37 *23257:B *2376:16 1.80141e-05 +38 *1249:10 *2376:16 0 +39 *1504:9 *2376:16 0.000341451 +40 *1505:8 *2376:16 0.000479099 +41 *1550:5 *2376:13 2.33664e-05 +42 *1580:7 *2376:13 0.000377273 +43 *1591:5 *2376:13 0.000489932 +44 *1632:9 *2376:16 7.50872e-05 +45 *1708:11 *2376:13 5.51483e-06 +46 *1761:8 *2376:16 0 +47 *1985:22 *2376:11 1.74855e-05 +48 *1985:22 *2376:13 0.00159213 +49 *1985:24 *2376:5 0.00495205 +50 *1985:24 *2376:11 0.000216273 +51 *1985:38 *2376:5 2.29454e-05 +52 *2116:8 *2376:5 0.000217937 +53 *2141:10 *2376:13 0.0033951 +54 *2146:16 *2376:13 6.12079e-05 +55 *2146:30 *2376:13 0.00278989 +56 *2146:36 *2376:13 2.11937e-05 +57 *2147:28 *2376:13 0.000236843 +58 *2147:34 *2376:13 0.000825383 +59 *2147:85 *2376:13 0.000550756 +60 *2147:86 *2376:13 0.000558391 +61 *2148:20 *2376:13 0.0116254 +62 *2148:26 *2376:13 2.83378e-05 +63 *2148:28 *2376:13 0.00938223 +64 *2148:34 *2376:13 0.000777251 +65 *2157:32 *2376:16 0.000178416 +66 *2161:43 *2376:16 4.02217e-05 +67 *2162:35 *2376:16 4.60221e-06 +68 *2372:29 *2376:13 0.000628703 +*RES +1 *22515:Z *2376:4 9.24915 +2 *2376:4 *2376:5 54.2426 +3 *2376:5 *2376:11 7.70417 +4 *2376:11 *2376:13 385.618 +5 *2376:13 *2376:15 4.5 +6 *2376:15 *2376:16 135.128 +7 *2376:16 *5122:DIODE 13.7491 +8 *2376:16 *22604:A 22.1181 +*END + +*D_NET *2377 0.022006 +*CONN +*I *22605:A I *D sky130_fd_sc_hd__buf_2 +*I *5123:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22516:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22605:A 0.000204533 +2 *5123:DIODE 0 +3 *22516:Z 0 +4 *2377:8 0.00577151 +5 *2377:7 0.00556698 +6 *2377:5 0.00461951 +7 *2377:4 0.00461951 +8 *22605:A *22669:A 4.46461e-05 +9 *22605:A *2986:5 5.07314e-05 +10 *2377:5 *23271:A 0.000117376 +11 *2377:5 *2379:19 0.000591037 +12 *2377:5 *2712:11 0.000148114 +13 *2377:8 *22669:A 2.45382e-05 +14 *2377:8 *2557:6 0 +15 *2377:8 *2699:6 0 +16 *2377:8 *2862:20 0 +17 *2377:8 *3096:16 0 +18 *2377:8 *3104:6 0 +19 *2377:8 *3138:26 3.60327e-05 +20 *2377:8 *3247:8 0 +21 *2377:8 *3248:6 0 +22 *4321:DIODE *22605:A 2.53992e-05 +23 *4974:DIODE *2377:5 4.87439e-05 +24 *23271:B *2377:5 0.000137363 +25 *1635:10 *2377:8 0 +*RES +1 *22516:Z *2377:4 9.24915 +2 *2377:4 *2377:5 108.871 +3 *2377:5 *2377:7 4.5 +4 *2377:7 *2377:8 115.611 +5 *2377:8 *5123:DIODE 13.7491 +6 *2377:8 *22605:A 18.9354 +*END + +*D_NET *2378 0.0996978 +*CONN +*I *22606:A I *D sky130_fd_sc_hd__buf_2 +*I *5124:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22517:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22606:A 0.000342415 +2 *5124:DIODE 0 +3 *22517:Z 0.000163523 +4 *2378:18 0.00618193 +5 *2378:17 0.0176318 +6 *2378:9 0.0145585 +7 *2378:8 0.00292973 +8 *22606:A *22670:A 0 +9 *22606:A *2438:40 6.70195e-05 +10 *2378:17 *2397:41 0.000102438 +11 *2378:17 *2592:15 7.51272e-05 +12 *2378:17 *2593:11 0.000189401 +13 *2378:18 *3636:DIODE 1.08001e-05 +14 *2378:18 *21447:A 6.87106e-05 +15 *2378:18 *22670:A 0 +16 *2378:18 *2438:40 0.0012257 +17 *2378:18 *2449:12 0 +18 *2378:18 *2556:8 0.000967662 +19 *2378:18 *2700:8 0 +20 *2378:18 *2884:28 0 +21 *2378:18 *3252:6 0 +22 mprj_adr_o_user[22] *22606:A 0 +23 mprj_dat_o_user[21] *22606:A 0 +24 *4322:DIODE *22606:A 0.000107013 +25 *1014:7 *22606:A 9.12416e-06 +26 *1174:37 *2378:17 0.000133887 +27 *1250:15 *2378:18 6.23715e-06 +28 *1369:15 *2378:18 3.42037e-06 +29 *1967:15 *2378:9 0.00337524 +30 *1967:27 *2378:8 7.22263e-05 +31 *1981:8 *2378:9 7.8459e-05 +32 *1983:8 *2378:9 0.000199619 +33 *1988:8 *2378:9 0.00521729 +34 *2027:10 *2378:9 0.00395659 +35 *2030:16 *2378:9 0.000393785 +36 *2040:14 *2378:9 0.00121221 +37 *2093:10 *2378:9 0.000321932 +38 *2098:11 *2378:9 0.0018577 +39 *2101:20 *2378:17 0.000536932 +40 *2122:13 *2378:8 1.273e-05 +41 *2128:13 *2378:9 0.000128584 +42 *2132:8 *2378:9 0.00804754 +43 *2146:10 *2378:9 0.00637417 +44 *2151:24 *2378:8 0.000143835 +45 *2152:8 *2378:9 0.0020606 +46 *2179:25 *2378:17 0.00188239 +47 *2367:9 *2378:9 0.000121638 +48 *2368:23 *2378:9 0.00786284 +49 *2368:23 *2378:17 0.000144659 +50 *2368:34 *2378:17 0.000831504 +51 *2372:29 *2378:17 0.00251651 +52 *2372:41 *2378:17 0.00744044 +53 *2374:31 *2378:17 0.000133887 +*RES +1 *22517:Z *2378:8 22.1574 +2 *2378:8 *2378:9 249.74 +3 *2378:9 *2378:17 48.7967 +4 *2378:17 *2378:18 132.844 +5 *2378:18 *5124:DIODE 13.7491 +6 *2378:18 *22606:A 21.3947 +*END + +*D_NET *2379 0.0871927 +*CONN +*I *22607:A I *D sky130_fd_sc_hd__buf_2 +*I *5125:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22518:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22607:A 0.000198512 +2 *5125:DIODE 4.35649e-05 +3 *22518:Z 0.000109653 +4 *2379:26 0.00498012 +5 *2379:25 0.00473805 +6 *2379:23 0.00265537 +7 *2379:19 0.00439809 +8 *2379:11 0.0190227 +9 *2379:10 0.0173897 +10 *5125:DIODE *3002:9 0.000116 +11 *22607:A *22671:A 0.000127636 +12 *22607:A *2450:52 2.81678e-06 +13 *22607:A *2988:5 6.36477e-05 +14 *22607:A *2998:40 0 +15 *2379:19 *2706:8 0 +16 *2379:19 *3258:8 0 +17 *2379:23 *3256:8 0.000247779 +18 *2379:26 *23389:A 0 +19 *2379:26 *2450:52 0.000255899 +20 *2379:26 *2451:36 0 +21 *2379:26 *2701:8 0.000194819 +22 *2379:26 *2842:12 0.000478806 +23 *2379:26 *2842:24 7.64707e-05 +24 *2379:26 *2873:22 0.000479731 +25 *2379:26 *2895:14 0 +26 *2379:26 *3096:16 0.000106217 +27 *4962:DIODE *2379:23 6.73186e-05 +28 *22510:TE *2379:23 0.000158357 +29 *23136:A *2379:23 0.000112985 +30 *23261:B *2379:26 1.32509e-05 +31 *23495:A *2379:26 1.06618e-05 +32 *1154:38 *2379:10 1.24189e-05 +33 *1358:8 *2379:26 0.000168388 +34 *1367:17 *2379:26 1.03986e-05 +35 *1508:8 *2379:26 0.000284017 +36 *1634:11 *2379:26 0.000446298 +37 *1639:13 *2379:23 0.000161254 +38 *1982:14 *2379:23 0.000106002 +39 *1999:11 *2379:26 0 +40 *2001:25 *2379:23 0 +41 *2152:23 *2379:10 9.84424e-06 +42 *2164:47 *2379:26 2.09205e-05 +43 *2372:29 *2379:11 0.000786233 +44 *2376:13 *2379:11 0.0285478 +45 *2377:5 *2379:19 0.000591037 +*RES +1 *22518:Z *2379:10 19.9834 +2 *2379:10 *2379:11 309.083 +3 *2379:11 *2379:19 47.637 +4 *2379:19 *2379:23 46.0114 +5 *2379:23 *2379:25 4.5 +6 *2379:25 *2379:26 110.213 +7 *2379:26 *5125:DIODE 15.0271 +8 *2379:26 *22607:A 18.3808 +*END + +*D_NET *2380 0.0381066 +*CONN +*I *22608:A I *D sky130_fd_sc_hd__buf_2 +*I *5126:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22519:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22608:A 0.000240241 +2 *5126:DIODE 0 +3 *22519:Z 0 +4 *2380:18 0.00214844 +5 *2380:15 0.00284443 +6 *2380:14 0.00159125 +7 *2380:8 0.00257413 +8 *2380:5 0.00191911 +9 *22608:A *2987:16 0 +10 *22608:A *2991:8 0 +11 *2380:8 *3095:22 2.2497e-06 +12 *2380:8 *3095:24 0.000629207 +13 *2380:8 *3098:43 0.000123778 +14 *2380:8 *3113:28 3.77568e-05 +15 *2380:8 *3383:16 5.81031e-05 +16 *2380:14 *2836:44 0.000221208 +17 *2380:14 *2841:30 1.41689e-05 +18 *2380:14 *3103:21 0.00315057 +19 *2380:14 *3164:16 2.96167e-05 +20 *2380:14 *3200:53 0.00221397 +21 *2380:14 *3368:13 0.000187575 +22 *2380:14 *3435:16 6.99177e-05 +23 *2380:15 *2457:41 0.000152056 +24 *2380:15 *2464:25 0.00011251 +25 *2380:15 *2838:48 0.00473797 +26 *2380:15 *2862:17 0.0103166 +27 *2380:15 *2929:21 0.000118134 +28 *2380:15 *3126:17 0.00348483 +29 *2380:15 *3126:21 1.41689e-05 +30 *2380:15 *3164:9 0.00091652 +31 *2380:18 *22672:A 0 +32 *2380:18 *2451:36 0 +33 *2380:18 *2452:22 0 +34 *2380:18 *2469:37 4.51485e-05 +35 *2380:18 *2873:22 0 +36 *4324:DIODE *22608:A 2.32768e-05 +37 *23509:A *2380:8 1.27402e-05 +38 *1016:7 *22608:A 9.12416e-06 +39 *1388:8 *2380:8 7.81902e-05 +40 *2183:29 *2380:8 2.96534e-05 +*RES +1 *22519:Z *2380:5 13.7491 +2 *2380:5 *2380:8 44.9505 +3 *2380:8 *2380:14 49.3994 +4 *2380:14 *2380:15 109.98 +5 *2380:15 *2380:18 43.2894 +6 *2380:18 *5126:DIODE 13.7491 +7 *2380:18 *22608:A 18.4879 +*END + +*D_NET *2381 0.101899 +*CONN +*I *22609:A I *D sky130_fd_sc_hd__buf_2 +*I *5127:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22520:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22609:A 0.000263257 +2 *5127:DIODE 0 +3 *22520:Z 0.000154763 +4 *2381:30 0.000555639 +5 *2381:29 0.0116243 +6 *2381:26 0.0121025 +7 *2381:18 0.00416595 +8 *2381:17 0.00470908 +9 *2381:13 0.00169315 +10 *2381:9 0.000534135 +11 *22609:A *22673:A 0 +12 *22609:A *2989:7 6.50727e-05 +13 *22609:A *3001:29 2.65831e-05 +14 *2381:9 *3161:15 0.000636092 +15 *2381:13 *3145:11 0.000244161 +16 *2381:13 *3161:7 0.0012914 +17 *2381:13 *3161:15 0.000919608 +18 *2381:17 *3145:11 0.00012601 +19 *2381:18 *2890:22 0.000156734 +20 *2381:18 *3040:8 0 +21 *2381:18 *3051:6 0 +22 *2381:18 *3123:62 0.000236965 +23 *2381:18 *3407:6 0 +24 *2381:26 *2483:24 0.0022798 +25 *2381:26 *3017:10 2.65974e-05 +26 *2381:26 *3128:11 0.00238737 +27 *2381:29 *2442:19 0.000252021 +28 *2381:29 *2444:17 0.00012426 +29 *2381:29 *2447:15 0.000137573 +30 *2381:29 *2453:21 0.000304302 +31 *2381:29 *2456:21 0.00345009 +32 *2381:29 *2469:25 0.000252021 +33 *2381:29 *2472:37 0.0351712 +34 *2381:29 *2483:24 0.008884 +35 *2381:29 *2977:23 0 +36 *2381:29 *3112:13 0.000137573 +37 *2381:29 *3119:27 0.000107534 +38 *2381:29 *3128:26 0.00283165 +39 *2381:30 *2452:22 0 +40 *2381:30 *2453:24 0 +41 *2381:30 *2992:8 0 +42 mprj_adr_o_user[25] *22609:A 1.45067e-05 +43 *4325:DIODE *22609:A 0 +44 *4618:DIODE *2381:13 1.67988e-05 +45 *4618:DIODE *2381:17 0.000164829 +46 *4619:DIODE *2381:17 0.000114594 +47 *4865:DIODE *2381:18 2.02004e-05 +48 *22336:TE *2381:17 0.000217887 +49 *22520:TE *2381:9 2.33638e-05 +50 *22520:TE *2381:13 5.08751e-05 +51 *1017:7 *22609:A 2.1203e-06 +52 *1400:10 *2381:18 0.000250856 +53 *1939:34 *2381:9 0.000140585 +54 *2108:22 *2381:18 2.61574e-05 +55 *2171:26 *2381:13 4.31603e-06 +56 *2171:26 *2381:17 0.00158171 +57 *2171:28 *2381:13 0.00338032 +58 *2171:28 *2381:17 3.83336e-05 +*RES +1 *22520:Z *2381:9 17.2306 +2 *2381:9 *2381:13 37.3513 +3 *2381:13 *2381:17 44.6003 +4 *2381:17 *2381:18 62.6664 +5 *2381:18 *2381:26 15.1243 +6 *2381:26 *2381:29 49.3128 +7 *2381:29 *2381:30 5.36164 +8 *2381:30 *5127:DIODE 13.7491 +9 *2381:30 *22609:A 19.2113 +*END + +*D_NET *2382 0.0756791 +*CONN +*I *23444:A I *D sky130_fd_sc_hd__nand2_1 +*I *6194:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21623:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23444:A 8.44951e-05 +2 *6194:DIODE 0 +3 *21623:X 0.00180651 +4 *2382:40 0.00874464 +5 *2382:27 0.0110568 +6 *2382:9 0.00420316 +7 *23444:A *3228:31 7.06457e-05 +8 *23444:A *3439:39 0.000436686 +9 *2382:9 *22805:A 0 +10 *2382:9 *23060:A 0 +11 *2382:9 *2404:21 0.000392525 +12 *2382:9 *2436:6 0.000442604 +13 *2382:9 *2437:22 0.00460697 +14 *2382:9 *3453:24 0 +15 *2382:27 *2404:21 0.00142628 +16 *2382:27 *2437:22 0.00995656 +17 *2382:27 *2441:10 1.91246e-05 +18 *2382:27 *3163:28 1.9101e-05 +19 *2382:27 *3170:15 5.04291e-05 +20 *2382:27 *3445:16 1.5714e-05 +21 *2382:40 *2393:49 2.07156e-05 +22 *2382:40 *2393:70 0.00410944 +23 *2382:40 *2489:21 0.000374449 +24 *2382:40 *2622:23 0.000144883 +25 *2382:40 *2763:13 2.02035e-05 +26 *2382:40 *2912:21 0.000800089 +27 *2382:40 *3417:35 2.05612e-05 +28 la_oenb_core[74] *2382:9 4.1752e-05 +29 *6195:DIODE *23444:A 0.000175485 +30 *1150:9 *2382:40 3.93984e-05 +31 *1154:29 *2382:40 0.000130331 +32 *1970:57 *2382:40 0.000312672 +33 *2048:28 *2382:40 3.7339e-05 +34 *2083:23 *2382:27 0.00538834 +35 *2229:21 *2382:40 0.00374865 +36 *2230:24 *2382:40 0.000831182 +37 *2231:16 *2382:40 0.00613245 +38 *2234:25 *2382:40 0.00109036 +39 *2236:19 *2382:40 0.00421293 +40 *2268:28 *2382:40 0.000899533 +41 *2332:34 *2382:40 0.000225034 +42 *2348:16 *2382:9 0 +43 *2349:50 *2382:40 0.000232355 +44 *2360:17 *2382:9 0.00289533 +45 *2360:17 *2382:27 0.000463326 +*RES +1 *21623:X *2382:9 48.4278 +2 *2382:9 *2382:27 33.8251 +3 *2382:27 *2382:40 41.7899 +4 *2382:40 *6194:DIODE 9.24915 +5 *2382:40 *23444:A 14.8434 +*END + +*D_NET *2383 0.0607359 +*CONN +*I *22610:A I *D sky130_fd_sc_hd__buf_2 +*I *5128:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22521:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22610:A 0.000260475 +2 *5128:DIODE 0 +3 *22521:Z 0.00149953 +4 *2383:28 0.00108142 +5 *2383:25 0.00114092 +6 *2383:24 0.000520364 +7 *2383:19 0.0023963 +8 *2383:18 0.00380758 +9 *2383:14 0.0031112 +10 *22610:A *22674:A 0 +11 *22610:A *2980:9 2.65831e-05 +12 *22610:A *2989:27 6.50727e-05 +13 *2383:18 *2880:20 5.81031e-05 +14 *2383:18 *2880:43 0.000149805 +15 *2383:18 *2906:6 0 +16 *2383:18 *2929:21 0.000164439 +17 *2383:18 *3029:30 7.48482e-05 +18 *2383:18 *3110:18 0.000125703 +19 *2383:18 *3121:22 0 +20 *2383:19 *2445:27 7.6719e-06 +21 *2383:19 *2452:19 0.01938 +22 *2383:19 *2474:33 0.00379557 +23 *2383:19 *2474:45 0.000137573 +24 *2383:19 *2940:11 1.88152e-05 +25 *2383:19 *2977:23 0.000362252 +26 *2383:19 *3104:9 0.00255114 +27 *2383:24 *23659:B 9.70097e-06 +28 *2383:24 *3059:26 0 +29 *2383:25 *2441:11 0.00442367 +30 *2383:25 *2450:49 0.00443208 +31 *2383:28 *2453:24 0 +32 *2383:28 *2454:82 0 +33 *2383:28 *2993:8 0 +34 mprj_adr_o_user[26] *22610:A 9.97495e-06 +35 *4326:DIODE *22610:A 4.56516e-05 +36 *22323:TE *2383:14 4.49912e-05 +37 *22443:B *2383:18 0.000131559 +38 *1402:26 *2383:18 5.81618e-05 +39 *1799:6 *2383:14 0.000235956 +40 *1883:31 *2383:19 0.00923207 +41 *1911:18 *2383:14 0.000161262 +42 *1915:7 *2383:14 0.000463973 +43 *1915:7 *2383:18 0 +44 *1918:7 *2383:14 0.000157657 +45 *1918:7 *2383:18 0.000138465 +46 *2344:44 *2383:14 0.000158451 +47 *2367:27 *2383:25 0.00029687 +*RES +1 *22521:Z *2383:14 44.6128 +2 *2383:14 *2383:18 37.8057 +3 *2383:18 *2383:19 209.254 +4 *2383:19 *2383:24 12.493 +5 *2383:24 *2383:25 46.7555 +6 *2383:25 *2383:28 20.0353 +7 *2383:28 *5128:DIODE 13.7491 +8 *2383:28 *22610:A 19.2113 +*END + +*D_NET *2384 0.0759223 +*CONN +*I *22611:A I *D sky130_fd_sc_hd__buf_2 +*I *5129:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22522:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22611:A 0.000230942 +2 *5129:DIODE 4.18965e-05 +3 *22522:Z 0 +4 *2384:26 0.00310255 +5 *2384:21 0.00950736 +6 *2384:6 0.00938805 +7 *2384:5 0.00271039 +8 *5129:DIODE *2988:5 6.50586e-05 +9 *22611:A *22675:A 0 +10 *2384:6 *2385:12 0 +11 *2384:6 *3029:8 0 +12 *2384:21 *2388:41 0.0107727 +13 *2384:21 *2438:25 0.00204445 +14 *2384:21 *2440:15 0.000220514 +15 *2384:21 *2465:15 0.00998112 +16 *2384:21 *2465:19 0.0143975 +17 *2384:21 *2895:8 2.95972e-05 +18 *2384:21 *2906:21 0.000108607 +19 *2384:21 *3006:47 0.000220514 +20 *2384:21 *3109:59 8.56518e-05 +21 *2384:26 *2388:41 0.00327077 +22 *2384:26 *2454:82 0 +23 *2384:26 *2455:26 0 +24 *2384:26 *2465:19 0.00225666 +25 *2384:26 *2465:23 0.000234026 +26 *2384:26 *2475:35 0.0013331 +27 *2384:26 *3387:10 0.000285708 +28 mprj_adr_o_user[27] *22611:A 0 +29 *4327:DIODE *22611:A 0.000129908 +30 *23537:TE *2384:6 0 +31 *1163:32 *2384:6 0 +32 *1376:9 *2384:21 0.00010238 +33 *1806:11 *2384:6 0 +34 *1933:16 *2384:21 0.000224455 +35 *2018:19 *2384:21 0.000339811 +36 *2043:23 *2384:6 0 +37 *2125:19 *2384:21 0.00163009 +38 *2367:23 *2384:21 0.00312905 +39 *2370:6 *2384:26 7.94196e-05 +*RES +1 *22522:Z *2384:5 13.7491 +2 *2384:5 *2384:6 47.3021 +3 *2384:6 *2384:21 49.6264 +4 *2384:21 *2384:26 41.9946 +5 *2384:26 *5129:DIODE 14.4725 +6 *2384:26 *22611:A 18.4879 +*END + +*D_NET *2385 0.0623031 +*CONN +*I *22612:A I *D sky130_fd_sc_hd__buf_2 +*I *5130:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22523:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22612:A 0.000188826 +2 *5130:DIODE 0 +3 *22523:Z 0.000806819 +4 *2385:44 0.00214705 +5 *2385:41 0.00667694 +6 *2385:40 0.00484698 +7 *2385:31 0.0031434 +8 *2385:30 0.00345753 +9 *2385:22 0.00121525 +10 *2385:15 0.00112165 +11 *2385:12 0.00219004 +12 *2385:9 0.00264806 +13 *22612:A *22613:A 0.000122149 +14 *22612:A *2989:27 0.000222149 +15 *22612:A *2996:15 0.000222149 +16 *2385:12 *3405:8 0 +17 *2385:15 *2863:42 0.00362189 +18 *2385:15 *3151:45 0.00362826 +19 *2385:22 *3797:DIODE 1.65872e-05 +20 *2385:22 *2434:11 0.000133887 +21 *2385:22 *2454:76 8.93085e-05 +22 *2385:22 *2865:58 0.00250527 +23 *2385:22 *2885:38 0 +24 *2385:22 *2929:9 8.57676e-05 +25 *2385:22 *3116:40 0.000480086 +26 *2385:22 *3127:14 0 +27 *2385:22 *3130:23 1.58551e-05 +28 *2385:30 *2870:76 8.08437e-05 +29 *2385:30 *3130:23 0.000538103 +30 *2385:31 *3786:DIODE 0.000417339 +31 *2385:31 *2434:11 0.000110257 +32 *2385:31 *2865:54 0.00760857 +33 *2385:31 *3109:55 0.00267308 +34 *2385:31 *3130:23 0.000130655 +35 *2385:41 *2434:11 0.000417415 +36 *2385:41 *2867:34 0.000876101 +37 *2385:41 *3102:15 0.00290065 +38 *2385:41 *3130:20 1.78942e-05 +39 *2385:44 *22613:A 0.00010503 +40 *2385:44 *22677:A 0 +41 *2385:44 *2386:38 0 +42 *2385:44 *2456:24 0 +43 *2385:44 *2996:15 0 +44 *2385:44 *2998:56 1.49935e-05 +45 *2385:44 *3343:10 0 +46 mprj_adr_o_user[29] *22612:A 8.72256e-06 +47 *4562:DIODE *2385:41 1.57593e-05 +48 *4563:DIODE *2385:41 6.11359e-06 +49 *4855:DIODE *2385:22 0 +50 *1286:9 *2385:30 8.42542e-05 +51 *1374:9 *2385:41 0.00189309 +52 *1402:11 *2385:31 0.000872033 +53 *1402:13 *2385:31 2.77625e-06 +54 *1414:7 *2385:22 0.00235885 +55 *1784:9 *2385:41 1.53125e-05 +56 *1928:28 *2385:15 0.000267774 +57 *1941:35 *2385:12 0 +58 *1946:38 *2385:9 0.0012804 +59 *2031:27 *2385:12 4.69495e-06 +60 *2052:29 *2385:12 4.69495e-06 +61 *2182:9 *2385:12 0 +62 *2197:13 *2385:12 7.09666e-06 +63 *2366:12 *2385:40 4.69495e-06 +64 *2384:6 *2385:12 0 +*RES +1 *22523:Z *2385:9 28.3617 +2 *2385:9 *2385:12 36.2302 +3 *2385:12 *2385:15 43.491 +4 *2385:15 *2385:22 47.7588 +5 *2385:22 *2385:30 21.6014 +6 *2385:30 *2385:31 108.316 +7 *2385:31 *2385:40 11.0518 +8 *2385:40 *2385:41 129.946 +9 *2385:41 *2385:44 41.6284 +10 *2385:44 *5130:DIODE 13.7491 +11 *2385:44 *22612:A 19.5317 +*END + +*D_NET *2386 0.063307 +*CONN +*I *5131:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22613:A I *D sky130_fd_sc_hd__buf_2 +*I *22524:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5131:DIODE 0 +2 *22613:A 0.000483287 +3 *22524:Z 0.00162232 +4 *2386:38 0.00199817 +5 *2386:33 0.00743544 +6 *2386:31 0.00708459 +7 *2386:25 0.00168642 +8 *2386:24 0.00175515 +9 *2386:12 0.00292537 +10 *2386:9 0.00331492 +11 *22613:A *2988:15 0.000175584 +12 *2386:9 *2388:18 0 +13 *2386:12 *2446:14 0.000705064 +14 *2386:12 *3124:28 0.00202706 +15 *2386:24 *2870:87 0.000749581 +16 *2386:24 *3414:7 0.000674377 +17 *2386:25 *2440:15 0.00317192 +18 *2386:25 *2465:15 0.000224455 +19 *2386:25 *2870:79 0.00013754 +20 *2386:25 *2870:87 0.00130951 +21 *2386:25 *3402:23 0.00353289 +22 *2386:31 *2439:22 0.00284791 +23 *2386:31 *2906:12 0.000990712 +24 *2386:31 *3006:33 1.41291e-05 +25 *2386:31 *3006:35 0.000127625 +26 *2386:31 *3402:23 7.21718e-05 +27 *2386:33 *2465:19 0.000370154 +28 *2386:33 *2906:12 0.00115961 +29 *2386:33 *2906:21 0.00012417 +30 *2386:33 *3006:35 0.000299149 +31 *2386:33 *3006:45 4.10714e-05 +32 *2386:33 *3006:47 0.00239112 +33 *2386:33 *3059:23 0.00191093 +34 *2386:33 *3388:14 0.000217923 +35 *2386:38 *22678:A 7.09666e-06 +36 *2386:38 *2457:44 0 +37 *2386:38 *2996:15 7.62009e-05 +38 *4330:DIODE *22613:A 4.13289e-05 +39 *6381:DIODE *2386:24 6.36477e-05 +40 *22612:A *22613:A 0.000122149 +41 *23513:A *2386:33 0.000118166 +42 *23513:TE *2386:33 0.000162663 +43 *1376:9 *2386:33 0.00741644 +44 *1800:8 *2386:31 0.000156334 +45 *1812:6 *2386:9 0 +46 *1933:16 *2386:25 0.00086774 +47 *1933:16 *2386:31 1.61631e-05 +48 *1948:54 *2386:9 0.000476966 +49 *2318:26 *2386:9 1.6383e-05 +50 *2318:28 *2386:9 0.00191503 +51 *2328:30 *2386:9 0.000165394 +52 *2385:44 *22613:A 0.00010503 +53 *2385:44 *2386:38 0 +*RES +1 *22524:Z *2386:9 47.5621 +2 *2386:9 *2386:12 47.8572 +3 *2386:12 *2386:24 41.4842 +4 *2386:24 *2386:25 55.0746 +5 *2386:25 *2386:31 47.081 +6 *2386:31 *2386:33 197.885 +7 *2386:33 *2386:38 37.8234 +8 *2386:38 *22613:A 27.5935 +9 *2386:38 *5131:DIODE 9.24915 +*END + +*D_NET *2387 0.011048 +*CONN +*I *22614:A I *D sky130_fd_sc_hd__buf_2 +*I *5132:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22525:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22614:A 0.000414849 +2 *5132:DIODE 0 +3 *22525:Z 0.000780141 +4 *2387:8 0.00358325 +5 *2387:7 0.00394854 +6 *22614:A *2472:48 1.45944e-05 +7 *2387:8 *2472:48 2.31855e-05 +8 *2387:8 *2793:14 0 +9 *2387:8 *2793:22 0 +10 *2387:8 *2837:16 0.00134297 +11 *2387:8 *2837:36 0.000198191 +12 mprj_dat_o_user[2] *22614:A 3.25751e-05 +13 *4331:DIODE *22614:A 6.51789e-05 +14 *4691:DIODE *2387:8 0 +15 *4992:DIODE *2387:7 0.000118166 +16 *22143:A *22614:A 6.50727e-05 +17 *1720:8 *2387:8 0.000461289 +18 *1759:9 *2387:8 0 +19 *2317:13 *22614:A 0 +20 *2317:13 *2387:8 0 +*RES +1 *22525:Z *2387:7 31.6653 +2 *2387:7 *2387:8 89.035 +3 *2387:8 *5132:DIODE 13.7491 +4 *2387:8 *22614:A 24.2945 +*END + +*D_NET *2388 0.0856612 +*CONN +*I *22615:A I *D sky130_fd_sc_hd__buf_2 +*I *5133:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22526:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22615:A 0.000498611 +2 *5133:DIODE 7.98254e-05 +3 *22526:Z 0.00081514 +4 *2388:42 0.0020463 +5 *2388:41 0.00868842 +6 *2388:29 0.0108864 +7 *2388:23 0.00379784 +8 *2388:18 0.00106494 +9 *2388:14 0.00174809 +10 *5133:DIODE *2993:9 0.000107496 +11 *22615:A *6583:DIODE 7.82103e-05 +12 *22615:A *22616:A 0 +13 *22615:A *2980:9 2.65667e-05 +14 *22615:A *2989:48 6.50586e-05 +15 *22615:A *3008:14 9.53559e-05 +16 *2388:18 *3106:15 1.24189e-05 +17 *2388:23 *2470:35 0.00130296 +18 *2388:23 *3147:15 0.00124986 +19 *2388:23 *3147:25 1.92172e-05 +20 *2388:29 *2465:15 0.0010232 +21 *2388:29 *2475:31 0.00701116 +22 *2388:29 *3125:26 0 +23 *2388:29 *3146:11 0.000137573 +24 *2388:29 *3410:14 0.000237174 +25 *2388:41 *2465:15 0.00166699 +26 *2388:41 *2475:31 0.0166824 +27 *2388:41 *2475:35 0.00327077 +28 *2388:41 *2882:15 6.03237e-05 +29 *2388:41 *2882:27 0.000338506 +30 *2388:41 *2895:11 0.000108607 +31 *2388:41 *2906:21 0.00012854 +32 *2388:41 *3006:28 0.00122304 +33 *2388:41 *3029:27 0.00012601 +34 *2388:41 *3436:5 0.000115848 +35 *2388:42 *6583:DIODE 6.22114e-05 +36 *2388:42 *22616:A 0 +37 *2388:42 *22680:A 8.73244e-06 +38 *2388:42 *2389:20 0 +39 *2388:42 *2461:74 0 +40 *2388:42 *2476:34 4.19711e-05 +41 mprj_adr_o_user[31] *22615:A 6.50206e-05 +42 mprj_dat_o_user[30] *22615:A 0 +43 *4991:DIODE *2388:18 0.000205985 +44 *22331:A *2388:29 6.76194e-05 +45 *22336:A *2388:18 0 +46 *1165:20 *2388:18 2.08128e-05 +47 *1295:8 *2388:29 0.000415728 +48 *1296:11 *2388:29 4.60243e-05 +49 *1300:8 *2388:18 0 +50 *1409:16 *2388:29 0.000110696 +51 *1936:64 *2388:18 2.41916e-05 +52 *1936:70 *2388:18 0.000834465 +53 *1946:40 *2388:18 0.0029147 +54 *1948:67 *2388:18 0.000316233 +55 *1950:22 *2388:18 0.000159297 +56 *2043:23 *2388:29 6.3076e-05 +57 *2045:17 *2388:29 0.000768691 +58 *2089:19 *2388:14 2.34902e-05 +59 *2215:8 *2388:18 0.000376295 +60 *2227:13 *2388:14 1.8949e-05 +61 *2344:26 *2388:14 6.36477e-05 +62 *2344:30 *2388:14 0.000178872 +63 *2366:15 *2388:41 0.000118134 +64 *2384:21 *2388:41 0.0107727 +65 *2384:26 *2388:41 0.00327077 +66 *2386:9 *2388:18 0 +*RES +1 *22526:Z *2388:14 40.2315 +2 *2388:14 *2388:18 46.2789 +3 *2388:18 *2388:23 23.0339 +4 *2388:23 *2388:29 46.8892 +5 *2388:29 *2388:41 40.2154 +6 *2388:41 *2388:42 27.7853 +7 *2388:42 *5133:DIODE 15.0271 +8 *2388:42 *22615:A 24.3409 +*END + +*D_NET *2389 0.0802837 +*CONN +*I *22616:A I *D sky130_fd_sc_hd__buf_2 +*I *5134:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22527:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22616:A 0.000590885 +2 *5134:DIODE 0 +3 *22527:Z 9.8874e-05 +4 *2389:20 0.000679484 +5 *2389:17 0.00566519 +6 *2389:16 0.00679153 +7 *2389:11 0.00579182 +8 *2389:10 0.00630444 +9 *2389:7 0.00182643 +10 *22616:A *2488:93 0 +11 *22616:A *3008:14 2.16686e-05 +12 *2389:10 *2470:20 0 +13 *2389:10 *2615:30 0.000350112 +14 *2389:10 *2899:53 0 +15 *2389:11 *3131:17 0.00153002 +16 *2389:11 *3144:5 0.00052233 +17 *2389:11 *3144:11 0.000672579 +18 *2389:11 *3144:13 0.00173846 +19 *2389:16 *3062:8 0 +20 *2389:16 *3073:6 0.0013986 +21 *2389:17 *5262:DIODE 1.92926e-05 +22 *2389:17 *23656:B 0.000175485 +23 *2389:17 *2449:9 0.0301785 +24 *2389:17 *2456:21 0.000598545 +25 *2389:17 *2488:103 0.000330596 +26 *2389:17 *3137:7 0.00144799 +27 *2389:17 *3137:9 0.0058889 +28 *2389:17 *3394:35 0.00519083 +29 *2389:20 *2488:93 0 +30 mprj_adr_o_user[31] *22616:A 0 +31 *4333:DIODE *22616:A 0.000424607 +32 *4576:DIODE *2389:17 0.000317693 +33 *22332:TE *2389:16 6.72104e-05 +34 *22341:A *2389:10 0.000206819 +35 *22467:B *2389:10 3.13383e-05 +36 *22615:A *22616:A 0 +37 *23550:TE *2389:10 0 +38 *1279:11 *2389:17 0.00011818 +39 *1305:20 *2389:10 0.000407438 +40 *1307:15 *2389:10 0.000254598 +41 *1808:8 *2389:16 0.00014069 +42 *1815:11 *2389:10 5.25645e-05 +43 *1932:71 *2389:10 2.88632e-05 +44 *1946:48 *2389:7 0.000266707 +45 *2133:30 *2389:11 0.000154417 +46 *2388:42 *22616:A 0 +47 *2388:42 *2389:20 0 +*RES +1 *22527:Z *2389:7 16.691 +2 *2389:7 *2389:10 42.8742 +3 *2389:10 *2389:11 79.4771 +4 *2389:11 *2389:16 38.6539 +5 *2389:16 *2389:17 324.612 +6 *2389:17 *2389:20 6.332 +7 *2389:20 *5134:DIODE 13.7491 +8 *2389:20 *22616:A 25.8647 +*END + +*D_NET *2390 0.0221248 +*CONN +*I *22617:A I *D sky130_fd_sc_hd__buf_2 +*I *5135:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22528:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22617:A 0.000319507 +2 *5135:DIODE 0 +3 *22528:Z 0 +4 *2390:12 0.000865581 +5 *2390:9 0.00592909 +6 *2390:8 0.00538301 +7 *2390:6 0.00183656 +8 *2390:5 0.00183656 +9 *22617:A *22682:A 0 +10 *22617:A *22691:A 0 +11 *22617:A *2463:58 0 +12 *22617:A *2998:10 1.43983e-05 +13 *2390:9 *2441:11 0.000986452 +14 *2390:12 *22691:A 0 +15 *2390:12 *2463:58 0 +16 *2390:12 *2473:52 0 +17 mprj_adr_o_user[3] *22617:A 2.15266e-05 +18 mprj_sel_o_user[2] *22617:A 0 +19 *4334:DIODE *22617:A 6.31665e-05 +20 *2002:21 *2390:6 0.00146585 +21 *2367:27 *2390:9 0.000110257 +22 *2374:40 *2390:6 0.00329281 +*RES +1 *22528:Z *2390:5 13.7491 +2 *2390:5 *2390:6 62.4588 +3 *2390:6 *2390:8 4.5 +4 *2390:8 *2390:9 132.719 +5 *2390:9 *2390:12 17.5438 +6 *2390:12 *5135:DIODE 13.7491 +7 *2390:12 *22617:A 22.1181 +*END + +*D_NET *2391 0.00935735 +*CONN +*I *22618:A I *D sky130_fd_sc_hd__buf_2 +*I *5136:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22529:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22618:A 0.000355396 +2 *5136:DIODE 0.000112397 +3 *22529:Z 8.10383e-06 +4 *2391:8 0.00399213 +5 *2391:7 0.00353244 +6 *22618:A *22683:A 0 +7 *22618:A *22692:A 0 +8 *22618:A *3001:11 0.000164829 +9 *2391:7 *2626:9 3.14978e-05 +10 *2391:8 *22692:A 0 +11 *2391:8 *2464:28 0 +12 *2391:8 *2474:54 0 +13 *2391:8 *2614:17 0 +14 *2391:8 *2861:39 0.000304194 +15 *2391:8 *3126:8 0.000431957 +16 mprj_dat_o_user[4] *22618:A 1.36556e-05 +17 *22147:A *22618:A 3.00073e-05 +18 *22305:A *2391:8 0.000257424 +19 *1026:5 *22618:A 5.82465e-05 +20 *1166:10 *2391:8 0 +21 *1269:15 *2391:8 0 +22 *1313:11 *2391:7 6.50727e-05 +23 *1792:11 *2391:8 0 +*RES +1 *22529:Z *2391:7 14.4725 +2 *2391:7 *2391:8 91.9417 +3 *2391:8 *5136:DIODE 16.1364 +4 *2391:8 *22618:A 23.2273 +*END + +*D_NET *2392 0.0467214 +*CONN +*I *22619:A I *D sky130_fd_sc_hd__buf_2 +*I *5137:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22530:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22619:A 0.000291302 +2 *5137:DIODE 0 +3 *22530:Z 0 +4 *2392:12 0.00134257 +5 *2392:9 0.00292058 +6 *2392:8 0.00186931 +7 *2392:6 0.00215184 +8 *2392:5 0.00215184 +9 *22619:A *22683:A 0.00012246 +10 *22619:A *3001:11 6.08467e-05 +11 *22619:A *3002:8 0 +12 *2392:6 *2829:26 0.000147884 +13 *2392:6 *3098:20 3.77568e-05 +14 *2392:6 *3138:41 0.000164752 +15 *2392:6 *3176:24 5.19951e-05 +16 *2392:6 *3387:10 0.000258848 +17 *2392:9 *2394:27 0.0159218 +18 *2392:9 *2398:33 0.000779727 +19 *2392:9 *2466:15 0.0154992 +20 *2392:9 *2475:35 0.000593723 +21 *2392:12 *22683:A 1.77537e-06 +22 *2392:12 *2464:28 0.000493352 +23 mprj_adr_o_user[5] *22619:A 5.05252e-05 +24 *4336:DIODE *22619:A 0 +25 *2010:23 *2392:6 0.0017823 +26 *2318:65 *2392:6 2.69862e-05 +27 *2370:6 *2392:6 0 +*RES +1 *22530:Z *2392:5 13.7491 +2 *2392:5 *2392:6 52.908 +3 *2392:6 *2392:8 4.5 +4 *2392:8 *2392:9 182.079 +5 *2392:9 *2392:12 34.1539 +6 *2392:12 *5137:DIODE 13.7491 +7 *2392:12 *22619:A 22.1181 +*END + +*D_NET *2393 0.0803803 +*CONN +*I *6196:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23445:A I *D sky130_fd_sc_hd__nand2_1 +*I *21624:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6196:DIODE 0 +2 *23445:A 8.75971e-05 +3 *21624:X 0.00185517 +4 *2393:70 0.00239749 +5 *2393:49 0.0141246 +6 *2393:6 0.0136699 +7 *23445:A *3406:60 0.000137518 +8 *23445:A *3406:63 0.000209388 +9 *2393:6 *22805:A 0 +10 *2393:6 *23061:A 0 +11 *2393:6 *3170:18 0 +12 *2393:6 *3174:10 0 +13 *2393:6 *3454:16 0 +14 *2393:49 *2404:47 0.000221483 +15 *2393:49 *2465:15 0.00104234 +16 *2393:49 *2475:19 3.61468e-05 +17 *2393:49 *2482:32 0.0132498 +18 *2393:49 *2482:40 0.000835586 +19 *2393:49 *2490:20 0.011736 +20 *2393:49 *3451:16 0.000892309 +21 *2393:70 *23328:A 0.00016635 +22 *2393:70 *23455:A 1.48605e-05 +23 *2393:70 *2489:21 0.000543122 +24 *2393:70 *2490:30 3.18648e-05 +25 *2393:70 *2912:21 0.000508158 +26 *2393:70 *3417:35 0.000994132 +27 *2393:70 *3417:43 0.00310309 +28 la_oenb_core[75] *2393:6 1.21831e-05 +29 *3812:DIODE *2393:6 2.13749e-05 +30 *6197:DIODE *23445:A 0.000161243 +31 *1159:39 *2393:70 0.000105064 +32 *1699:6 *2393:70 0 +33 *2022:22 *2393:49 0.000907225 +34 *2048:28 *2393:49 0.00137722 +35 *2081:27 *2393:49 5.83513e-05 +36 *2092:24 *23445:A 0.000185459 +37 *2229:21 *2393:70 5.20388e-05 +38 *2232:19 *2393:70 2.02035e-05 +39 *2233:23 *2393:70 0.00395348 +40 *2234:25 *2393:49 0.000558123 +41 *2235:35 *2393:49 0.00133627 +42 *2235:35 *2393:70 0.000803973 +43 *2349:50 *2393:49 0.000603706 +44 *2349:50 *2393:70 4.59164e-06 +45 *2358:6 *2393:6 0 +46 *2360:33 *2393:49 0.000232687 +47 *2382:40 *2393:49 2.07156e-05 +48 *2382:40 *2393:70 0.00410944 +*RES +1 *21624:X *2393:6 48.1784 +2 *2393:6 *2393:49 48.5778 +3 *2393:49 *2393:70 47.3356 +4 *2393:70 *23445:A 14.6023 +5 *2393:70 *6196:DIODE 9.24915 +*END + +*D_NET *2394 0.0917535 +*CONN +*I *22620:A I *D sky130_fd_sc_hd__buf_2 +*I *5138:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22531:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22620:A 0.000173617 +2 *5138:DIODE 0 +3 *22531:Z 0 +4 *2394:30 0.00126678 +5 *2394:27 0.00464456 +6 *2394:26 0.00568937 +7 *2394:18 0.00628071 +8 *2394:17 0.00457738 +9 *2394:11 0.00354904 +10 *2394:10 0.00319847 +11 *2394:7 0.00163417 +12 *2394:4 0.0015501 +13 *22620:A *22621:A 5.36397e-05 +14 *22620:A *3001:11 6.08467e-05 +15 *2394:7 *5902:DIODE 6.36477e-05 +16 *2394:7 *23298:A 0.000366486 +17 *2394:18 *2853:20 0 +18 *2394:18 *2866:33 0.000725745 +19 *2394:18 *2868:8 0.00131112 +20 *2394:18 *3096:40 0 +21 *2394:18 *3380:32 0.000122907 +22 *2394:26 *2466:14 0.000263237 +23 *2394:26 *2509:12 1.71045e-05 +24 *2394:26 *2829:20 0.00048987 +25 *2394:26 *3121:18 0.00167651 +26 *2394:26 *3129:17 0.0021399 +27 *2394:26 *3200:54 0.000247537 +28 *2394:26 *3471:10 9.0164e-06 +29 *2394:27 *2398:33 0.000357163 +30 *2394:27 *2466:15 0.00429854 +31 *2394:27 *2475:31 0.000108607 +32 *2394:27 *2475:35 0.0013519 +33 *2394:27 *2773:17 2.20702e-05 +34 *2394:27 *2806:11 0.000213725 +35 *2394:27 *2895:11 0.013531 +36 *2394:30 *22621:A 7.58739e-05 +37 *2394:30 *2395:6 0.000732524 +38 *2394:30 *2465:26 0 +39 *2394:30 *2466:18 0 +40 mprj_adr_o_user[6] *22620:A 0 +41 mprj_dat_o_user[6] *22620:A 0.000140425 +42 *4821:DIODE *2394:18 0 +43 *23298:B *2394:10 1.42932e-05 +44 *1028:10 *22620:A 6.08467e-05 +45 *1394:9 *2394:17 0.00315063 +46 *1886:22 *2394:18 0 +47 *1900:19 *2394:18 4.42987e-06 +48 *1986:19 *2394:7 0.00017378 +49 *2011:43 *2394:18 0 +50 *2144:9 *2394:10 8.20942e-05 +51 *2179:25 *2394:11 0.000122141 +52 *2366:15 *2394:27 0.00353725 +53 *2372:29 *2394:7 0.000464083 +54 *2374:9 *2394:7 7.34948e-06 +55 *2374:11 *2394:7 0.000958929 +56 *2374:11 *2394:11 0.00358474 +57 *2374:17 *2394:11 0.000656328 +58 *2374:17 *2394:17 0.00123197 +59 *2374:19 *2394:17 0.000839141 +60 *2392:9 *2394:27 0.0159218 +*RES +1 *22531:Z *2394:4 9.24915 +2 *2394:4 *2394:7 49.0371 +3 *2394:7 *2394:10 6.332 +4 *2394:10 *2394:11 55.0746 +5 *2394:11 *2394:17 39.6329 +6 *2394:17 *2394:18 87.9968 +7 *2394:18 *2394:26 32.3956 +8 *2394:26 *2394:27 276.916 +9 *2394:27 *2394:30 36.6454 +10 *2394:30 *5138:DIODE 13.7491 +11 *2394:30 *22620:A 19.2113 +*END + +*D_NET *2395 0.0105941 +*CONN +*I *5139:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22621:A I *D sky130_fd_sc_hd__buf_2 +*I *22532:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5139:DIODE 1.93778e-05 +2 *22621:A 0.000270268 +3 *22532:Z 0 +4 *2395:9 0.000374249 +5 *2395:6 0.00377606 +6 *2395:5 0.00369146 +7 *5139:DIODE *3002:9 6.50727e-05 +8 *22621:A *22685:A 0 +9 *2395:6 *2465:26 0.000444443 +10 *2395:6 *2546:14 0.000253677 +11 *2395:6 *2650:6 0 +12 *2395:6 *2961:54 0 +13 *2395:6 *3176:8 0.000237382 +14 *2395:9 *3002:9 0.000213739 +15 mprj_adr_o_user[7] *22621:A 0 +16 mprj_dat_o_user[6] *22621:A 0.000136827 +17 *4337:DIODE *22621:A 0 +18 *4757:DIODE *2395:6 0 +19 *22405:B *2395:6 0 +20 *22620:A *22621:A 5.36397e-05 +21 *1258:16 *2395:6 0 +22 *1335:10 *2395:6 0.000249472 +23 *1894:12 *2395:6 0 +24 *2375:8 *22621:A 0 +25 *2394:30 *22621:A 7.58739e-05 +26 *2394:30 *2395:6 0.000732524 +*RES +1 *22532:Z *2395:5 13.7491 +2 *2395:5 *2395:6 102.323 +3 *2395:6 *2395:9 6.88721 +4 *2395:9 *22621:A 25.8947 +5 *2395:9 *5139:DIODE 9.97254 +*END + +*D_NET *2396 0.0129906 +*CONN +*I *22622:A I *D sky130_fd_sc_hd__buf_2 +*I *5140:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22533:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22622:A 0.000325071 +2 *5140:DIODE 0.000382249 +3 *22533:Z 0 +4 *2396:6 0.00438617 +5 *2396:5 0.00367885 +6 *5140:DIODE *2998:23 0.000192862 +7 *22622:A *2998:10 0.000144546 +8 *22622:A *3001:11 0.000100705 +9 *22622:A *3001:27 0.000381471 +10 *2396:6 *2898:12 0 +11 *2396:6 *2898:18 0 +12 *2396:6 *2935:8 0 +13 mprj_dat_o_user[7] *22622:A 7.75615e-05 +14 *4338:DIODE *22622:A 0 +15 *4338:DIODE *2396:6 0 +16 *4689:DIODE *2396:6 0.000149628 +17 *4971:DIODE *2396:6 0 +18 *5009:DIODE *2396:6 0 +19 *22499:B *2396:6 0.000148129 +20 *22514:A *2396:6 6.3559e-05 +21 *1029:5 *22622:A 0 +22 *1030:8 *22622:A 6.92705e-05 +23 *1155:26 *2396:6 4.53485e-05 +24 *1814:9 *2396:6 0.000151094 +25 *1847:6 *2396:6 0.000529234 +26 *1905:55 *2396:6 0 +27 *2327:17 *22622:A 7.84494e-05 +28 *2327:17 *2396:6 0.00111661 +29 *2327:21 *2396:6 0.000969823 +30 *2375:8 *2396:6 0 +*RES +1 *22533:Z *2396:5 13.7491 +2 *2396:5 *2396:6 105.23 +3 *2396:6 *5140:DIODE 20.0186 +4 *2396:6 *22622:A 25.3119 +*END + +*D_NET *2397 0.0949983 +*CONN +*I *22623:A I *D sky130_fd_sc_hd__buf_2 +*I *5141:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22534:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22623:A 3.92419e-05 +2 *5141:DIODE 0.00032964 +3 *22534:Z 1.55939e-05 +4 *2397:54 0.000368882 +5 *2397:52 0.00332334 +6 *2397:50 0.003353 +7 *2397:48 0.00187768 +8 *2397:47 0.00186866 +9 *2397:43 0.00388655 +10 *2397:41 0.00675445 +11 *2397:38 0.00292675 +12 *2397:33 0.000934291 +13 *2397:32 0.00148611 +14 *2397:19 0.00160418 +15 *2397:17 0.00279556 +16 *2397:7 0.00645699 +17 *2397:5 0.00469118 +18 *5141:DIODE *2998:23 0.000115042 +19 *2397:7 *5894:DIODE 6.3657e-05 +20 *2397:7 *6152:DIODE 4.04995e-05 +21 *2397:7 *23306:A 0.000263135 +22 *2397:7 *23429:A 1.41689e-05 +23 *2397:7 *23434:A 0.000119957 +24 *2397:7 *3306:34 0.000144814 +25 *2397:17 *3124:17 0.000420172 +26 *2397:17 *3287:12 0.000253916 +27 *2397:19 *2529:11 0.000335913 +28 *2397:19 *2995:79 0.00416744 +29 *2397:32 *2448:13 0.000271044 +30 *2397:32 *2509:26 0.00127289 +31 *2397:32 *2529:11 3.51227e-05 +32 *2397:32 *2529:15 2.20702e-05 +33 *2397:32 *3450:6 0 +34 *2397:33 *21460:A 0.000260388 +35 *2397:38 *2568:6 2.961e-05 +36 *2397:38 *2850:18 7.74361e-05 +37 *2397:48 *2534:6 0 +38 *2397:48 *2805:12 0.00144397 +39 *2397:52 *22687:A 0 +40 *2397:52 *22688:A 0 +41 *2397:52 *2468:34 0 +42 *2397:52 *2534:6 0 +43 *2397:52 *2805:12 0.000552655 +44 *2397:52 *3114:16 0 +45 *2397:52 *3164:8 0 +46 *4340:DIODE *2397:52 2.99929e-05 +47 *4341:DIODE *2397:52 7.50722e-05 +48 *4552:DIODE *2397:19 0.000152878 +49 *5672:DIODE *2397:32 0.000176263 +50 *5895:DIODE *2397:7 6.08467e-05 +51 *5909:DIODE *2397:7 0.000169041 +52 *5919:DIODE *2397:7 0.000161234 +53 *6065:DIODE *2397:48 7.50872e-05 +54 *6165:DIODE *2397:7 0.000254756 +55 *6175:DIODE *2397:7 0.000309284 +56 *6369:DIODE *2397:7 0.000111802 +57 *22303:TE *2397:19 0.000211478 +58 *22303:TE *2397:32 6.1478e-06 +59 *22383:B *2397:52 4.52621e-05 +60 *23143:A *2397:33 0.000275256 +61 *23166:A *2397:17 0.000621144 +62 *23168:A *2397:7 0.000460456 +63 *23379:B *2397:48 3.42931e-05 +64 *1157:27 *2397:7 1.30449e-05 +65 *1157:37 *2397:7 0.000180424 +66 *1157:41 *2397:7 0.0010342 +67 *1157:43 *2397:7 9.92162e-05 +68 *1157:43 *2397:17 8.06391e-05 +69 *1157:47 *2397:19 0.0019401 +70 *1157:61 *2397:32 0.00158313 +71 *1157:65 *2397:32 0.000283279 +72 *1172:27 *2397:7 0.000290871 +73 *1172:35 *2397:7 0.00222624 +74 *1174:33 *2397:33 0.00185176 +75 *1174:33 *2397:41 0.0017087 +76 *1174:37 *2397:41 0.000673401 +77 *1174:37 *2397:43 0.00925951 +78 *1174:43 *2397:43 0.000674434 +79 *1267:15 *2397:19 0.000822976 +80 *1394:9 *2397:33 2.41274e-06 +81 *1548:5 *2397:7 6.78596e-05 +82 *1553:5 *2397:7 6.3657e-05 +83 *1671:21 *2397:7 0.00055679 +84 *1676:8 *2397:7 0.00126288 +85 *1731:6 *2397:52 0 +86 *1980:22 *2397:7 0.0043242 +87 *1980:26 *2397:5 3.41459e-05 +88 *1980:26 *2397:7 0.000229545 +89 *2147:42 *2397:7 0.00030722 +90 *2147:42 *2397:17 6.4627e-05 +91 *2147:49 *2397:17 0.000819717 +92 *2150:26 *2397:32 2.41483e-05 +93 *2152:23 *2397:7 0.000834875 +94 *2152:33 *2397:7 0.000704106 +95 *2210:20 *2397:7 0.00134762 +96 *2328:43 *2397:52 3.01656e-05 +97 *2374:31 *2397:33 0.0056537 +98 *2378:17 *2397:41 0.000102438 +*RES +1 *22534:Z *2397:5 9.82786 +2 *2397:5 *2397:7 165.995 +3 *2397:7 *2397:17 49.4923 +4 *2397:17 *2397:19 62.5617 +5 *2397:19 *2397:32 36.9999 +6 *2397:32 *2397:33 61.1752 +7 *2397:33 *2397:38 10.4167 +8 *2397:38 *2397:41 48.576 +9 *2397:41 *2397:43 130.778 +10 *2397:43 *2397:47 5.07872 +11 *2397:47 *2397:48 56.6575 +12 *2397:48 *2397:50 0.732798 +13 *2397:50 *2397:52 79.0567 +14 *2397:52 *2397:54 4.5 +15 *2397:54 *5141:DIODE 14.4094 +16 *2397:54 *22623:A 10.2378 +*END + +*D_NET *2398 0.126088 +*CONN +*I *22624:A I *D sky130_fd_sc_hd__buf_2 +*I *5142:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22537:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22624:A 0.000357372 +2 *5142:DIODE 0 +3 *22537:Z 0.00162003 +4 *2398:34 0.00100385 +5 *2398:33 0.00615613 +6 *2398:21 0.0151576 +7 *2398:8 0.011268 +8 *22624:A *22657:A 8.52652e-05 +9 *22624:A *22693:A 0.000117568 +10 *22624:A *22694:A 0.000224381 +11 *2398:8 *2470:31 0.000252807 +12 *2398:8 *3106:8 0 +13 *2398:8 *3106:15 0 +14 *2398:8 *3117:6 0 +15 *2398:8 *3130:46 2.54271e-05 +16 *2398:8 *3147:25 0.000326398 +17 *2398:8 *3413:34 0 +18 *2398:8 *3438:22 2.72643e-05 +19 *2398:21 *2434:11 0.00688316 +20 *2398:21 *2458:21 0.00010238 +21 *2398:21 *2458:30 0.000120587 +22 *2398:21 *2466:15 0.000108607 +23 *2398:21 *2475:31 0.0283248 +24 *2398:21 *2475:35 0.000287289 +25 *2398:21 *2773:17 0.000220514 +26 *2398:21 *3062:27 0.0149407 +27 *2398:33 *2434:11 0.00875857 +28 *2398:33 *2466:15 0.00157581 +29 *2398:33 *2475:35 0.0236505 +30 *2398:34 *22657:A 0.00017066 +31 *2398:34 *2434:14 0.00174742 +32 mprj_stb_o_user *22624:A 5.56461e-05 +33 mprj_we_o_user *22624:A 5.56461e-05 +34 *4871:DIODE *2398:8 0 +35 *5110:DIODE *22624:A 7.92757e-06 +36 *22337:A *2398:8 0.000228228 +37 *22592:A *22624:A 2.21765e-05 +38 *22592:A *2398:34 1.77537e-06 +39 *1298:11 *2398:8 1.42713e-05 +40 *1301:8 *2398:8 0.000235142 +41 *1810:14 *2398:8 0.000173463 +42 *2019:17 *2398:21 5.83451e-05 +43 *2363:8 *2398:34 0.000584899 +44 *2392:9 *2398:33 0.000779727 +45 *2394:27 *2398:33 0.000357163 +*RES +1 *22537:Z *2398:8 49.1833 +2 *2398:8 *2398:21 49.0983 +3 *2398:21 *2398:33 42.1277 +4 *2398:33 *2398:34 32.7683 +5 *2398:34 *5142:DIODE 13.7491 +6 *2398:34 *22624:A 25.8963 +*END + +*D_NET *2399 0.046298 +*CONN +*I *5143:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22625:A I *D sky130_fd_sc_hd__buf_2 +*I *23604:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5143:DIODE 4.27376e-05 +2 *22625:A 0.000144805 +3 *23604:Y 0.000352166 +4 *2399:22 0.00391424 +5 *2399:20 0.00383391 +6 *2399:18 0.0017689 +7 *2399:17 0.00166169 +8 *2399:15 0.0026047 +9 *2399:14 0.00295687 +10 *5143:DIODE *3013:11 6.3657e-05 +11 *22625:A *2537:18 0.000235454 +12 *22625:A *3009:8 0 +13 *2399:14 *2490:9 7.24777e-05 +14 *2399:14 *3177:6 0.000123497 +15 *2399:15 *2431:9 0.0134402 +16 *2399:15 *2474:19 0.000104638 +17 *2399:15 *2640:17 0.00230727 +18 *2399:18 *2428:8 0.00298331 +19 *2399:18 *2431:12 0 +20 *2399:18 *3139:8 9.24241e-05 +21 *2399:22 *2428:8 0 +22 *2399:22 *2428:14 0.00035524 +23 *2399:22 *2431:12 0 +24 *2399:22 *2514:20 0 +25 *2399:22 *2537:18 0.00179308 +26 *2399:22 *3009:10 0 +27 *4342:DIODE *22625:A 0 +28 *1032:9 *22625:A 0 +29 *1176:8 *2399:22 9.34404e-05 +30 *1211:9 *2399:18 0.000178995 +31 *1212:10 *2399:18 7.99886e-05 +32 *1737:11 *2399:18 0.000478224 +33 *1851:15 *2399:14 0.000113968 +34 *2348:9 *2399:14 4.58003e-05 +35 *2353:21 *2399:15 0.00645626 +*RES +1 *23604:Y *2399:14 27.246 +2 *2399:14 *2399:15 145.475 +3 *2399:15 *2399:17 4.5 +4 *2399:17 *2399:18 55.6072 +5 *2399:18 *2399:20 1.85642 +6 *2399:20 *2399:22 89.2426 +7 *2399:22 *22625:A 18.0727 +8 *2399:22 *5143:DIODE 14.4725 +*END + +*D_NET *2400 0.0361753 +*CONN +*I *5144:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22626:A I *D sky130_fd_sc_hd__buf_2 +*I *23605:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5144:DIODE 4.51842e-05 +2 *22626:A 0.000118635 +3 *23605:Y 0 +4 *2400:12 0.00132618 +5 *2400:9 0.00382081 +6 *2400:8 0.00265845 +7 *2400:6 0.00424265 +8 *2400:5 0.00424265 +9 *5144:DIODE *3013:11 6.08467e-05 +10 *22626:A *2939:13 0.000226704 +11 *22626:A *3010:11 9.27546e-05 +12 *2400:6 *2505:8 0.00548242 +13 *2400:6 *2681:8 0.00136799 +14 *2400:6 *3060:6 0 +15 *2400:9 *2401:15 0.0054159 +16 *2400:9 *2432:19 0.000924424 +17 *2400:9 *2519:19 0.00191395 +18 *2400:9 *3231:8 0.000377273 +19 *2400:12 *2401:18 0 +20 *2400:12 *2939:13 7.14746e-05 +21 *2400:12 *3010:11 0.000763496 +22 *22245:A *2400:6 0 +23 *23116:A *2400:9 0.000271044 +24 *1209:8 *2400:6 0 +25 *1332:6 *2400:6 0.00020809 +26 *1340:11 *2400:6 0 +27 *1615:16 *2400:6 0 +28 *1976:39 *2400:9 0.000370171 +29 *1995:32 *2400:9 0 +30 *2120:28 *2400:9 0.00217417 +*RES +1 *23605:Y *2400:5 13.7491 +2 *2400:5 *2400:6 121.84 +3 *2400:6 *2400:8 4.5 +4 *2400:8 *2400:9 116.636 +5 *2400:9 *2400:12 37.0607 +6 *2400:12 *22626:A 18.0727 +7 *2400:12 *5144:DIODE 14.4725 +*END + +*D_NET *2401 0.0345247 +*CONN +*I *5145:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22627:A I *D sky130_fd_sc_hd__buf_2 +*I *23606:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5145:DIODE 5.38389e-05 +2 *22627:A 0.000202841 +3 *23606:Y 0.00113123 +4 *2401:18 0.0015311 +5 *2401:15 0.00205594 +6 *2401:14 0.000781523 +7 *2401:12 0.00176376 +8 *2401:10 0.00318196 +9 *2401:7 0.00254943 +10 *22627:A *2939:13 0 +11 *22627:A *2941:9 0 +12 *22627:A *3013:10 0 +13 *2401:7 *3353:9 0.00261058 +14 *2401:10 *2402:8 0 +15 *2401:10 *2432:14 0.000911096 +16 *2401:10 *2433:14 0.000883155 +17 *2401:12 *2432:14 0.00381791 +18 *2401:12 *2433:14 4.15208e-05 +19 *2401:12 *2541:16 0.00175523 +20 *2401:12 *3012:16 0.000122209 +21 *2401:12 *3012:26 0.000862205 +22 *2401:12 *3046:10 3.41475e-05 +23 *2401:15 *2519:19 0.000207883 +24 *2401:15 *3014:9 0.00245468 +25 *2401:18 *2941:9 0 +26 *6515:DIODE *2401:7 0.000160617 +27 *1140:8 *2401:12 4.23384e-05 +28 *1148:11 *2401:18 0.000597557 +29 *1728:10 *2401:12 0.000891001 +30 *1976:39 *2401:15 0.000340318 +31 *2348:9 *2401:7 0.000124736 +32 *2400:9 *2401:15 0.0054159 +33 *2400:12 *2401:18 0 +*RES +1 *23606:Y *2401:7 47.1942 +2 *2401:7 *2401:10 43.8824 +3 *2401:10 *2401:12 76.3698 +4 *2401:12 *2401:14 4.5 +5 *2401:14 *2401:15 62.2844 +6 *2401:15 *2401:18 36.6454 +7 *2401:18 *22627:A 18.0727 +8 *2401:18 *5145:DIODE 14.4725 +*END + +*D_NET *2402 0.0328361 +*CONN +*I *5146:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22628:A I *D sky130_fd_sc_hd__buf_2 +*I *23607:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5146:DIODE 0 +2 *22628:A 0.000204199 +3 *23607:Y 4.85009e-05 +4 *2402:14 0.00151853 +5 *2402:11 0.00200179 +6 *2402:10 0.000687459 +7 *2402:8 0.00389865 +8 *2402:7 0.00394715 +9 *22628:A *2413:37 6.50586e-05 +10 *22628:A *2827:12 0 +11 *22628:A *2942:16 0 +12 *2402:8 *21356:A 6.96846e-05 +13 *2402:8 *2432:14 0.00122759 +14 *2402:8 *2519:22 0.0036026 +15 *2402:8 *3037:12 1.08183e-05 +16 *2402:8 *3046:10 0.00292196 +17 *2402:8 *3068:8 0 +18 *2402:11 *2409:15 0.00577539 +19 *2402:11 *2816:27 0.000340318 +20 *2402:11 *3014:9 0.00632088 +21 *2402:14 *2405:23 0 +22 *2402:14 *2827:12 0 +23 *2402:14 *2941:9 0 +24 *2402:14 *2942:16 0 +25 mprj_dat_i_core[12] *22628:A 1.84293e-05 +26 *4345:DIODE *22628:A 0 +27 *6516:DIODE *2402:7 1.43983e-05 +28 *1149:8 *2402:14 9.51945e-05 +29 *1216:8 *2402:8 0 +30 *2131:41 *2402:8 6.75456e-05 +31 *2401:10 *2402:8 0 +*RES +1 *23607:Y *2402:7 14.4725 +2 *2402:7 *2402:8 123.501 +3 *2402:8 *2402:10 4.5 +4 *2402:10 *2402:11 67.8304 +5 *2402:11 *2402:14 35.3997 +6 *2402:14 *22628:A 18.7961 +7 *2402:14 *5146:DIODE 13.7491 +*END + +*D_NET *2403 0.0325854 +*CONN +*I *5147:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22629:A I *D sky130_fd_sc_hd__buf_2 +*I *23608:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5147:DIODE 0 +2 *22629:A 0.00017035 +3 *23608:Y 0.00105584 +4 *2403:18 0.0137793 +5 *2403:8 0.0146648 +6 *22629:A *2943:12 6.16671e-05 +7 *2403:8 *6564:DIODE 9.68902e-05 +8 *2403:8 *2427:12 1.82719e-05 +9 *2403:8 *3067:20 0 +10 *2403:8 *3068:8 0 +11 *2403:18 *2405:23 0.00104849 +12 *2403:18 *2408:17 0 +13 *2403:18 *2410:22 0.000763018 +14 *2403:18 *2416:22 3.67328e-05 +15 *2403:18 *2827:26 1.088e-05 +16 *2403:18 *2943:12 2.0456e-06 +17 *1150:8 *22629:A 0 +18 *1154:9 *2403:18 0.000219698 +19 *1156:11 *2403:18 6.03915e-05 +20 *1860:8 *2403:8 7.69735e-05 +21 *2124:34 *2403:18 0.000186638 +22 *2133:30 *2403:18 0 +23 *2348:8 *2403:8 0.000333411 +*RES +1 *23608:Y *2403:8 43.6574 +2 *2403:8 *2403:18 32.1957 +3 *2403:18 *22629:A 18.0727 +4 *2403:18 *5147:DIODE 13.7491 +*END + +*D_NET *2404 0.0765532 +*CONN +*I *6198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23446:A I *D sky130_fd_sc_hd__nand2_1 +*I *21625:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6198:DIODE 0 +2 *23446:A 8.16682e-05 +3 *21625:X 0.0011775 +4 *2404:50 0.000145444 +5 *2404:47 0.00445892 +6 *2404:21 0.0130108 +7 *2404:8 0.00979312 +8 *2404:8 *22807:A 0 +9 *2404:8 *23662:A 0.000103047 +10 *2404:8 *23662:B 0 +11 *2404:8 *3172:16 0 +12 *2404:8 *3174:10 0 +13 *2404:21 *2437:22 0.000308 +14 *2404:21 *2474:19 0.0156074 +15 *2404:21 *2482:32 0.00530596 +16 *2404:21 *3454:13 0.000101365 +17 *2404:21 *3457:9 0.000101365 +18 *2404:47 *2460:33 5.93953e-05 +19 *2404:47 *2471:18 0.00205427 +20 *2404:47 *2479:39 0.000120744 +21 *2404:47 *2482:32 0.000640211 +22 *2404:47 *2779:19 0.000118134 +23 *2404:47 *3228:19 0.00145092 +24 *2404:47 *3350:47 0.000478993 +25 *2404:47 *3362:26 3.77568e-05 +26 *2404:47 *3373:25 5.69062e-05 +27 *2404:47 *3373:36 0.00080417 +28 *2404:47 *3428:29 0.000977136 +29 *2404:47 *3428:36 0.00297061 +30 la_oenb_core[76] *2404:8 4.52324e-05 +31 *3813:DIODE *2404:8 2.72929e-05 +32 *6199:DIODE *23446:A 0.000168313 +33 *1151:63 *2404:50 5.01835e-05 +34 *1174:26 *2404:47 0.00198582 +35 *1174:27 *2404:47 0.000131336 +36 *1877:18 *2404:8 2.1971e-05 +37 *1977:41 *2404:47 5.63958e-06 +38 *1989:52 *2404:21 4.54378e-05 +39 *2048:19 *2404:47 9.97444e-05 +40 *2083:23 *2404:21 0.00458893 +41 *2102:19 *2404:47 2.9167e-05 +42 *2113:7 *2404:47 0.000493309 +43 *2115:19 *2404:47 0.000110505 +44 *2136:17 *2404:47 7.24311e-06 +45 *2140:7 *2404:47 0.000140133 +46 *2151:38 *23446:A 0.000183629 +47 *2234:25 *2404:47 0.00017686 +48 *2257:21 *2404:47 0.00426524 +49 *2258:29 *2404:47 6.21462e-05 +50 *2274:24 *2404:21 1.22083e-05 +51 *2360:17 *2404:21 0.00154664 +52 *2371:13 *23446:A 0.000135686 +53 *2371:19 *23446:A 0.000216458 +54 *2382:9 *2404:21 0.000392525 +55 *2382:27 *2404:21 0.00142628 +56 *2393:49 *2404:47 0.000221483 +*RES +1 *21625:X *2404:8 39.9202 +2 *2404:8 *2404:21 38.8579 +3 *2404:21 *2404:47 48.9271 +4 *2404:47 *2404:50 5.70912 +5 *2404:50 *23446:A 14.6023 +6 *2404:50 *6198:DIODE 9.24915 +*END + +*D_NET *2405 0.0208475 +*CONN +*I *5148:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22630:A I *D sky130_fd_sc_hd__buf_2 +*I *23609:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5148:DIODE 0 +2 *22630:A 0.000339591 +3 *23609:Y 0.000631576 +4 *2405:26 0.000654657 +5 *2405:23 0.00185111 +6 *2405:8 0.0054371 +7 *2405:7 0.00453263 +8 *22630:A *2413:37 6.50586e-05 +9 *22630:A *3014:6 0 +10 *2405:7 *2640:9 0.000751898 +11 *2405:7 *2640:15 2.41483e-05 +12 *2405:7 *3079:11 0.00140927 +13 *2405:8 *2416:8 0 +14 *2405:8 *2817:41 0 +15 *2405:8 *2827:26 0 +16 *2405:8 *3372:8 0 +17 *2405:23 *2416:22 0.00119128 +18 *2405:23 *2477:35 0.000174905 +19 *2405:23 *2477:39 0.000128542 +20 *2405:23 *2827:12 0.00139 +21 *2405:26 *3014:6 0 +22 mprj_dat_i_core[14] *22630:A 1.84293e-05 +23 *4347:DIODE *22630:A 5.99802e-05 +24 *6518:DIODE *2405:7 0.000171288 +25 *22393:B *2405:8 8.96665e-05 +26 *1037:5 *22630:A 3.20069e-06 +27 *1150:8 *22630:A 0 +28 *1362:10 *2405:8 0 +29 *1986:31 *2405:8 0.000766073 +30 *1991:30 *2405:23 0.000108607 +31 *2402:14 *2405:23 0 +32 *2403:18 *2405:23 0.00104849 +*RES +1 *23609:Y *2405:7 48.3034 +2 *2405:7 *2405:8 94.6409 +3 *2405:8 *2405:23 49.9963 +4 *2405:23 *2405:26 11.315 +5 *2405:26 *22630:A 22.1181 +6 *2405:26 *5148:DIODE 13.7491 +*END + +*D_NET *2406 0.0300795 +*CONN +*I *5149:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22631:A I *D sky130_fd_sc_hd__buf_2 +*I *23610:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5149:DIODE 0 +2 *22631:A 0.000206451 +3 *23610:Y 4.85009e-05 +4 *2406:20 0.00681361 +5 *2406:8 0.0106554 +6 *2406:7 0.00409673 +7 *22631:A *22632:A 0.000102958 +8 *22631:A *2413:37 0.000212189 +9 *22631:A *3015:13 0 +10 *2406:8 *21399:A 0 +11 *2406:8 *2412:8 0 +12 *2406:8 *2430:18 0.000100364 +13 *2406:8 *2707:22 0.000511747 +14 *2406:8 *2811:54 0 +15 *2406:8 *2812:50 0 +16 *2406:8 *2817:34 0 +17 *2406:8 *2821:39 3.22726e-05 +18 *2406:8 *3042:18 0 +19 *2406:8 *3086:6 0.00101794 +20 *2406:8 *3354:8 0 +21 *2406:8 *3358:14 0 +22 *2406:20 *22632:A 7.12632e-06 +23 *2406:20 *2410:22 0.00362561 +24 *2406:20 *2416:22 4.72502e-05 +25 *2406:20 *2533:15 0.000158655 +26 *2406:20 *2684:11 0.000148522 +27 *2406:20 *2718:9 0.000634701 +28 *2406:20 *2729:9 0.00014106 +29 *2406:20 *2740:15 0.000292005 +30 *2406:20 *2827:26 3.27616e-06 +31 *2406:20 *2827:27 0.000172192 +32 *2406:20 *3042:15 3.27616e-06 +33 *2406:20 *3043:15 3.27616e-06 +34 *2406:20 *3044:15 3.27616e-06 +35 *4278:DIODE *22631:A 2.81181e-05 +36 *4348:DIODE *22631:A 2.61377e-05 +37 *6519:DIODE *2406:7 1.43983e-05 +38 *22382:B *2406:8 0.000114364 +39 *1154:9 *2406:20 0.000340861 +40 *1156:11 *2406:20 0.000104995 +41 *1232:16 *2406:8 0.000160889 +42 *1734:5 *2406:20 0.000110257 +43 *1986:30 *2406:20 0 +44 *2128:44 *2406:20 0.00014106 +45 *2136:48 *2406:20 0 +*RES +1 *23610:Y *2406:7 14.4725 +2 *2406:7 *2406:8 99.2086 +3 *2406:8 *2406:20 23.6717 +4 *2406:20 *22631:A 20.4599 +5 *2406:20 *5149:DIODE 13.7491 +*END + +*D_NET *2407 0.0213289 +*CONN +*I *5150:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22632:A I *D sky130_fd_sc_hd__buf_2 +*I *23611:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5150:DIODE 5.38389e-05 +2 *22632:A 0.000305173 +3 *23611:Y 0.00659433 +4 *2407:18 0.0012441 +5 *2407:17 0.00747942 +6 *22632:A *3015:13 0 +7 *22632:A *3016:11 0 +8 *2407:17 *2408:17 0.00230624 +9 *2407:17 *2410:22 0 +10 *2407:17 *2538:26 0.000464928 +11 *2407:17 *2816:27 0 +12 *2407:17 *2826:20 0.00185239 +13 *2407:17 *3010:20 0 +14 *2407:17 *3075:6 0.000264661 +15 *2407:17 *3076:10 0.000180211 +16 *2407:17 *3357:12 7.9775e-05 +17 *2407:17 *3372:8 0.000113903 +18 *2407:18 *3015:13 0 +19 *2407:18 *3016:11 0 +20 *22631:A *22632:A 0.000102958 +21 *1152:8 *2407:18 0 +22 *1153:15 *2407:18 0.000243296 +23 *1985:83 *2407:17 3.65817e-05 +24 *1990:46 *2407:17 0 +25 *2406:20 *22632:A 7.12632e-06 +*RES +1 *23611:Y *2407:17 47.9026 +2 *2407:17 *2407:18 21.1412 +3 *2407:18 *22632:A 21.3947 +4 *2407:18 *5150:DIODE 14.4725 +*END + +*D_NET *2408 0.0211113 +*CONN +*I *5151:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22633:A I *D sky130_fd_sc_hd__buf_2 +*I *23612:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5151:DIODE 0 +2 *22633:A 0.000201844 +3 *23612:Y 0.00471522 +4 *2408:18 0.00134495 +5 *2408:17 0.00585832 +6 *22633:A *3569:DIODE 0 +7 *22633:A *2413:37 0.00032843 +8 *22633:A *2947:9 0.000247246 +9 *2408:17 *2410:22 0.000774788 +10 *2408:17 *2416:22 2.96608e-05 +11 *2408:17 *2824:32 0.00400224 +12 *2408:17 *2827:26 8.00592e-05 +13 *2408:17 *3015:13 4.71392e-05 +14 *2408:17 *3016:11 2.81568e-05 +15 *2408:17 *3359:8 0.000157747 +16 *2408:17 *3372:8 0.000152859 +17 *2408:18 *3569:DIODE 0 +18 *2408:18 *21378:A 0 +19 *2408:18 *2409:18 0 +20 *2408:18 *2947:9 0.000808548 +21 *4280:DIODE *22633:A 2.78496e-05 +22 *2403:18 *2408:17 0 +23 *2407:17 *2408:17 0.00230624 +*RES +1 *23612:Y *2408:17 48.9737 +2 *2408:17 *2408:18 31.9378 +3 *2408:18 *22633:A 21.5691 +4 *2408:18 *5151:DIODE 13.7491 +*END + +*D_NET *2409 0.0314182 +*CONN +*I *5152:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22634:A I *D sky130_fd_sc_hd__buf_2 +*I *23613:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5152:DIODE 4.85009e-05 +2 *22634:A 0.000164672 +3 *23613:Y 0 +4 *2409:18 0.00147924 +5 *2409:15 0.00406913 +6 *2409:14 0.00280306 +7 *2409:12 0.00129739 +8 *2409:11 0.00143875 +9 *2409:6 0.00218607 +10 *2409:5 0.00204471 +11 *5152:DIODE *2948:9 1.43983e-05 +12 *22634:A *2413:37 6.50586e-05 +13 *22634:A *2947:9 0 +14 *22634:A *2948:9 4.58259e-05 +15 *2409:6 *21396:A 0 +16 *2409:6 *2427:12 0.000187885 +17 *2409:6 *2459:8 0 +18 *2409:6 *3038:20 0 +19 *2409:6 *3067:20 0.00227964 +20 *2409:12 *2427:12 0.00325752 +21 *2409:12 *2696:22 0.000377704 +22 *2409:12 *2965:15 0.000158886 +23 *2409:15 *2429:29 0 +24 *2409:15 *2816:27 0.000719435 +25 *2409:15 *3014:9 0.000606176 +26 *2409:18 *3570:DIODE 0 +27 *2409:18 *2947:9 0 +28 *4351:DIODE *22634:A 5.39608e-05 +29 *1156:10 *2409:18 1.66771e-05 +30 *1157:15 *2409:18 0 +31 *1193:20 *2409:12 0.000118485 +32 *1202:8 *2409:6 0 +33 *1228:15 *2409:11 0.000211478 +34 *1989:63 *2409:12 0.00173074 +35 *1989:67 *2409:12 0.00023171 +36 *1995:57 *2409:6 3.57315e-05 +37 *2402:11 *2409:15 0.00577539 +38 *2408:18 *2409:18 0 +*RES +1 *23613:Y *2409:5 13.7491 +2 *2409:5 *2409:6 57.891 +3 *2409:6 *2409:11 11.3872 +4 *2409:11 *2409:12 59.5521 +5 *2409:12 *2409:14 4.5 +6 *2409:14 *2409:15 102.216 +7 *2409:15 *2409:18 34.9844 +8 *2409:18 *22634:A 18.7961 +9 *2409:18 *5152:DIODE 14.4725 +*END + +*D_NET *2410 0.0327534 +*CONN +*I *5153:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22635:A I *D sky130_fd_sc_hd__buf_2 +*I *23614:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5153:DIODE 0.000152746 +2 *22635:A 0 +3 *23614:Y 0 +4 *2410:22 0.00648025 +5 *2410:6 0.00963417 +6 *2410:5 0.00330667 +7 *5153:DIODE *2948:9 0 +8 *5153:DIODE *3020:11 0 +9 *2410:6 *21398:A 4.3116e-06 +10 *2410:6 *2496:8 5.48648e-05 +11 *2410:6 *2804:36 0 +12 *2410:6 *2967:24 0.000166523 +13 *2410:6 *3041:18 2.41958e-05 +14 *2410:6 *3352:16 0.00103258 +15 *2410:6 *3356:9 6.42637e-05 +16 *2410:22 *2416:22 2.73717e-05 +17 *2410:22 *2417:18 0 +18 *2410:22 *2533:15 0.000371646 +19 *2410:22 *2539:22 0 +20 *2410:22 *2684:11 0.000244126 +21 *2410:22 *2718:9 0.000114504 +22 *2410:22 *2729:9 0.000210568 +23 *2410:22 *2740:15 0.000148271 +24 *2410:22 *2827:26 0.00118015 +25 *2410:22 *2948:9 0 +26 *2410:22 *3018:18 0.000113623 +27 *2410:22 *3019:20 0.000100588 +28 *2410:22 *3020:11 0 +29 *2410:22 *3045:11 0 +30 *2410:22 *3045:13 0 +31 *4352:DIODE *2410:22 7.86825e-06 +32 *4739:DIODE *2410:6 9.60216e-05 +33 *1150:9 *2410:22 0.00010362 +34 *1154:9 *2410:22 0.00018009 +35 *1156:11 *2410:22 0 +36 *1204:8 *2410:6 0 +37 *1231:18 *2410:6 7.14746e-05 +38 *1233:14 *2410:6 5.19349e-05 +39 *1350:10 *2410:6 0.000586849 +40 *1353:14 *2410:6 0 +41 *1354:8 *2410:6 0 +42 *1734:5 *2410:22 0.000136313 +43 *1744:9 *2410:6 0.000669437 +44 *1856:9 *2410:6 0.000222077 +45 *1986:30 *2410:22 0 +46 *1987:26 *2410:22 0.000252021 +47 *1988:39 *2410:6 0.000680309 +48 *2118:25 *2410:6 0 +49 *2119:45 *2410:6 0 +50 *2120:38 *2410:6 0.000958783 +51 *2128:44 *2410:22 0.000103981 +52 *2137:69 *2410:6 3.77568e-05 +53 *2403:18 *2410:22 0.000763018 +54 *2406:20 *2410:22 0.00362561 +55 *2407:17 *2410:22 0 +56 *2408:17 *2410:22 0.000774788 +*RES +1 *23614:Y *2410:5 13.7491 +2 *2410:5 *2410:6 98.3781 +3 *2410:6 *2410:22 29.2747 +4 *2410:22 *22635:A 13.7491 +5 *2410:22 *5153:DIODE 16.7198 +*END + +*D_NET *2411 0.0500125 +*CONN +*I *5154:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22636:A I *D sky130_fd_sc_hd__buf_2 +*I *23615:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5154:DIODE 0 +2 *22636:A 0.000220483 +3 *23615:Y 0.000999326 +4 *2411:20 0.00166733 +5 *2411:18 0.00149 +6 *2411:16 0.00265917 +7 *2411:15 0.00297339 +8 *2411:9 0.0022759 +9 *2411:8 0.00291786 +10 *22636:A *2949:6 7.81625e-05 +11 *22636:A *3013:11 2.65667e-05 +12 *22636:A *3021:6 3.33173e-06 +13 *2411:8 *2489:8 0 +14 *2411:8 *3463:10 0 +15 *2411:9 *2494:13 0.000440312 +16 *2411:9 *2503:14 0.000678817 +17 *2411:9 *2585:9 0.00126647 +18 *2411:9 *2618:19 0.00372606 +19 *2411:9 *3028:24 0.00305079 +20 *2411:9 *3187:13 0.003055 +21 *2411:15 *2585:9 0.0026358 +22 *2411:15 *2618:15 0.00259365 +23 *2411:15 *2618:19 1.67988e-05 +24 *2411:16 *21393:A 3.5577e-05 +25 *2411:16 *21404:A 0.000155355 +26 *2411:16 *2429:14 0.000353879 +27 *2411:16 *2429:18 0.000916709 +28 *2411:16 *2433:14 0 +29 *2411:16 *2802:20 0.000136244 +30 *2411:16 *2813:62 7.20513e-05 +31 *2411:16 *3012:26 0.000210977 +32 *2411:16 *3021:6 0.000915009 +33 *2411:20 *2429:18 0.000767266 +34 *2411:20 *2949:6 0.000157887 +35 *2411:20 *3014:12 8.45896e-06 +36 *2411:20 *3021:6 0.000315328 +37 mprj_dat_i_core[1] *22636:A 2.65831e-05 +38 *6542:DIODE *2411:8 0 +39 *22504:A *2411:20 0.000220795 +40 *1145:8 *22636:A 0.000170693 +41 *1145:8 *2411:20 0.00197163 +42 *1160:26 *2411:16 8.98943e-05 +43 *1225:16 *2411:16 0.000224315 +44 *1344:9 *2411:16 0 +45 *2111:45 *2411:9 0.00021471 +46 *2115:31 *2411:16 6.72629e-05 +47 *2346:12 *2411:20 0.00152279 +48 *2354:9 *2411:9 0.0086839 +*RES +1 *23615:Y *2411:8 37.5217 +2 *2411:8 *2411:9 137.71 +3 *2411:9 *2411:15 34.0869 +4 *2411:15 *2411:16 74.8553 +5 *2411:16 *2411:18 0.732798 +6 *2411:18 *2411:20 56.7064 +7 *2411:20 *22636:A 20.1151 +8 *2411:20 *5154:DIODE 13.7491 +*END + +*D_NET *2412 0.0284312 +*CONN +*I *5155:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22637:A I *D sky130_fd_sc_hd__buf_2 +*I *23616:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5155:DIODE 5.38389e-05 +2 *22637:A 0.000178579 +3 *23616:Y 2.59228e-05 +4 *2412:26 0.00163465 +5 *2412:25 0.00233394 +6 *2412:11 0.00254578 +7 *2412:10 0.00161407 +8 *2412:8 0.00347503 +9 *2412:7 0.00350095 +10 *22637:A *2950:11 0 +11 *22637:A *3022:14 0 +12 *2412:8 *21399:A 1.58565e-05 +13 *2412:8 *21541:A 3.1718e-05 +14 *2412:8 *2518:10 0.000788225 +15 *2412:8 *2523:30 0.000635529 +16 *2412:8 *2531:20 0.00019659 +17 *2412:8 *2811:54 1.5714e-05 +18 *2412:8 *2817:34 7.01543e-05 +19 *2412:8 *3042:18 0.000194612 +20 *2412:8 *3070:12 0 +21 *2412:8 *3072:6 0 +22 *2412:8 *3080:8 0.00020834 +23 *2412:11 *2480:9 0.000437209 +24 *2412:11 *2815:15 0.000339606 +25 *2412:25 *2827:30 0.000593451 +26 *2412:25 *3022:14 0.00110622 +27 *2412:25 *3022:20 0 +28 *2412:25 *3023:17 0 +29 *2412:25 *3023:18 0 +30 *2412:25 *3024:29 0 +31 *2412:26 *21381:A 6.86637e-05 +32 *2412:26 *2414:18 0.000108025 +33 *2412:26 *2950:11 0 +34 *2412:26 *3020:11 0 +35 *2412:26 *3022:14 0 +36 *6525:DIODE *2412:7 6.50727e-05 +37 *1158:8 *2412:26 4.75721e-06 +38 *1220:8 *2412:8 0 +39 *1227:10 *2412:8 0.00010604 +40 *1232:16 *2412:8 0 +41 *1732:9 *2412:8 0 +42 *1991:39 *2412:25 0.000110153 +43 *1996:52 *2412:11 0.00754386 +44 *2136:48 *2412:11 0.00042863 +45 *2406:8 *2412:8 0 +*RES +1 *23616:Y *2412:7 14.4725 +2 *2412:7 *2412:8 91.5265 +3 *2412:8 *2412:10 4.5 +4 *2412:10 *2412:11 81.1409 +5 *2412:11 *2412:25 30.2087 +6 *2412:25 *2412:26 35.2598 +7 *2412:26 *22637:A 18.0727 +8 *2412:26 *5155:DIODE 14.4725 +*END + +*D_NET *2413 0.0454314 +*CONN +*I *5156:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22638:A I *D sky130_fd_sc_hd__buf_2 +*I *23617:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5156:DIODE 0.000114221 +2 *22638:A 2.06324e-05 +3 *23617:Y 0.000101086 +4 *2413:37 0.00521325 +5 *2413:36 0.00651052 +6 *2413:32 0.0019637 +7 *2413:15 0.00629497 +8 *2413:14 0.00576339 +9 *2413:12 0.00262408 +10 *2413:10 0.00410622 +11 *2413:7 0.00158323 +12 *5156:DIODE *2953:8 2.14603e-05 +13 *2413:7 *2428:5 0.000171288 +14 *2413:10 *21540:A 0 +15 *2413:10 *2503:14 3.19566e-05 +16 *2413:10 *2530:16 0 +17 *2413:10 *2618:20 0 +18 *2413:10 *2814:26 0 +19 *2413:10 *3194:16 0 +20 *2413:12 *21509:A 0 +21 *2413:12 *2530:16 0 +22 *2413:12 *2532:14 0 +23 *2413:12 *2633:74 0 +24 *2413:12 *2794:40 0 +25 *2413:12 *2814:26 0 +26 *2413:15 *2505:11 0.000277172 +27 *2413:15 *2707:23 0 +28 *2413:32 *2427:12 0.000338354 +29 *2413:32 *2684:25 0.000293045 +30 *2413:36 *2427:12 0.000350331 +31 *2413:36 *2965:15 0.00113494 +32 *2413:37 *22651:A 6.50586e-05 +33 *2413:37 *22652:A 6.50727e-05 +34 *2413:37 *22653:A 0.000273152 +35 *2413:37 *22655:A 0.000217937 +36 *2413:37 *22656:A 6.50586e-05 +37 *2413:37 *2967:10 6.78549e-05 +38 *2413:37 *3049:11 0.000118112 +39 mprj_dat_i_core[10] *2413:37 0.000357979 +40 mprj_dat_i_core[12] *2413:37 1.62206e-05 +41 mprj_dat_i_core[13] *2413:37 1.89511e-05 +42 mprj_dat_i_core[14] *2413:37 1.62206e-05 +43 mprj_dat_i_core[15] *2413:37 3.27067e-05 +44 mprj_dat_i_core[16] *2413:37 2.32594e-05 +45 mprj_dat_i_core[17] *2413:37 1.92926e-05 +46 mprj_dat_i_core[18] *2413:37 1.92926e-05 +47 mprj_dat_i_core[19] *2413:37 2.41916e-05 +48 mprj_dat_i_core[20] *2413:37 2.93863e-05 +49 mprj_dat_i_core[21] *5156:DIODE 1.00937e-05 +50 mprj_dat_i_core[21] *2413:37 9.19886e-06 +51 mprj_dat_i_core[4] *2413:37 1.62206e-05 +52 mprj_dat_i_core[5] *2413:37 7.34238e-05 +53 mprj_dat_i_core[6] *2413:37 1.92926e-05 +54 mprj_dat_i_core[8] *2413:37 1.40978e-05 +55 mprj_dat_i_core[9] *2413:37 1.62206e-05 +56 *4271:DIODE *2413:37 2.02114e-05 +57 *4272:DIODE *2413:37 0.000141561 +58 *4274:DIODE *2413:37 1.71806e-05 +59 *4275:DIODE *2413:37 1.69574e-05 +60 *4276:DIODE *2413:37 2.37285e-05 +61 *4278:DIODE *2413:37 6.11359e-06 +62 *4279:DIODE *2413:37 1.87271e-05 +63 *4281:DIODE *2413:37 1.31897e-05 +64 *4283:DIODE *2413:37 5.20546e-06 +65 *4285:DIODE *2413:37 1.31872e-05 +66 *4299:DIODE *2413:37 2.93863e-05 +67 *4303:DIODE *2413:37 1.93033e-05 +68 *4304:DIODE *2413:37 2.93863e-05 +69 *4343:DIODE *2413:37 5.85117e-05 +70 *4352:DIODE *2413:37 8.65522e-05 +71 *4374:DIODE *2413:37 7.02416e-05 +72 *4375:DIODE *2413:37 2.32834e-05 +73 *4382:DIODE *2413:36 0 +74 *4723:DIODE *2413:12 4.12533e-05 +75 *22112:A *2413:37 0.000107496 +76 *22159:A *2413:37 0.000167701 +77 *22194:A *2413:37 0.000161243 +78 *22628:A *2413:37 6.50586e-05 +79 *22630:A *2413:37 6.50586e-05 +80 *22631:A *2413:37 0.000212189 +81 *22633:A *2413:37 0.00032843 +82 *22634:A *2413:37 6.50586e-05 +83 *1182:24 *2413:12 0.000150472 +84 *1184:22 *2413:12 0.000209916 +85 *1228:13 *2413:12 0.000184946 +86 *1319:8 *2413:12 1.29348e-05 +87 *1721:10 *2413:12 0.000399079 +88 *1726:10 *2413:12 0.000101133 +89 *1738:9 *2413:10 0 +90 *1849:12 *2413:32 0.000328026 +91 *1975:40 *2413:15 0.000183261 +92 *1975:40 *2413:32 6.50727e-05 +93 *1980:67 *2413:12 5.77841e-05 +94 *1983:38 *2413:12 0 +95 *1995:41 *2413:32 0.000522894 +96 *2103:23 *2413:12 0.00114166 +97 *2273:35 *2413:12 0.000768267 +98 *2354:12 *2413:10 0.000997636 +99 *2355:16 *2413:10 0 +*RES +1 *23617:Y *2413:7 15.5817 +2 *2413:7 *2413:10 36.1147 +3 *2413:10 *2413:12 71.2646 +4 *2413:12 *2413:14 4.5 +5 *2413:14 *2413:15 84.4685 +6 *2413:15 *2413:32 26.5471 +7 *2413:32 *2413:36 42.6666 +8 *2413:36 *2413:37 117.745 +9 *2413:37 *22638:A 9.82786 +10 *2413:37 *5156:DIODE 20.8045 +*END + +*D_NET *2414 0.0564909 +*CONN +*I *5157:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22639:A I *D sky130_fd_sc_hd__buf_2 +*I *23618:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5157:DIODE 0 +2 *22639:A 0.000185502 +3 *23618:Y 0.00183431 +4 *2414:18 0.00472769 +5 *2414:11 0.0195895 +6 *2414:6 0.0168817 +7 *22639:A *2954:8 9.11981e-05 +8 *22639:A *2955:11 0 +9 *2414:6 *2471:8 0 +10 *2414:6 *2482:8 0 +11 *2414:6 *2518:14 0 +12 *2414:6 *3178:12 0 +13 *2414:11 *2457:13 0.000519275 +14 *2414:11 *2489:17 0.000226145 +15 *2414:11 *2507:9 0.000115848 +16 *2414:11 *3465:5 0.00012601 +17 *2414:11 *3466:7 0.00012601 +18 *2414:18 *2417:18 0.000134137 +19 *2414:18 *2418:20 0.000117321 +20 *2414:18 *2539:22 0.00589988 +21 *2414:18 *2954:8 2.0456e-06 +22 *2414:18 *3022:14 0.00128941 +23 *2414:18 *3022:20 0.00012424 +24 *2414:18 *3023:17 0 +25 *2414:18 *3025:17 0 +26 *2414:18 *3045:11 0 +27 *4287:DIODE *22639:A 5.54078e-05 +28 *1046:10 *22639:A 6.92705e-05 +29 *1851:11 *2414:6 0.000136248 +30 *1991:39 *2414:18 0 +31 *2129:56 *2414:11 0 +32 *2133:30 *2414:11 0.0041317 +33 *2352:8 *2414:6 0 +34 *2354:6 *2414:6 0 +35 *2412:26 *2414:18 0.000108025 +*RES +1 *23618:Y *2414:6 49.4242 +2 *2414:6 *2414:11 46.0561 +3 *2414:11 *2414:18 23.3582 +4 *2414:18 *22639:A 19.9294 +5 *2414:18 *5157:DIODE 13.7491 +*END + +*D_NET *2415 0.0654846 +*CONN +*I *6200:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23447:A I *D sky130_fd_sc_hd__nand2_1 +*I *21626:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6200:DIODE 0 +2 *23447:A 6.70288e-05 +3 *21626:X 0.00219796 +4 *2415:27 7.67272e-05 +5 *2415:26 0.00857406 +6 *2415:14 0.0107623 +7 *2415:14 *22807:A 0 +8 *2415:14 *22808:A 0 +9 *2415:14 *23062:A 0.000197846 +10 *2415:14 *23063:A 0 +11 *2415:14 *2453:19 0.00105642 +12 *2415:14 *2456:20 0.00740198 +13 *2415:14 *2504:30 0.00211893 +14 *2415:14 *3185:14 7.14923e-05 +15 *2415:14 *3428:8 0 +16 *2415:14 *3445:16 9.06916e-05 +17 *2415:14 *3455:8 0.000300418 +18 *2415:26 *23449:A 0.000152854 +19 *2415:26 *2453:19 0.000768373 +20 *2415:26 *2453:21 0.000280485 +21 *2415:26 *2456:21 0.0119604 +22 *2415:26 *2460:15 3.65304e-05 +23 *2415:26 *2460:33 0.00739071 +24 *2415:26 *2483:24 0.00300831 +25 *2415:26 *2504:42 0.00236827 +26 *2415:26 *3195:14 0.000360702 +27 *2415:26 *3395:35 0.000379178 +28 *2415:26 *3395:42 0.000114397 +29 la_oenb_core[77] *2415:14 1.21831e-05 +30 *3814:DIODE *2415:14 2.40359e-05 +31 *4956:DIODE *2415:26 1.9101e-05 +32 *6201:DIODE *23447:A 0.000167701 +33 *1157:27 *23447:A 0.000415249 +34 *1157:27 *2415:27 6.50586e-05 +35 *1175:56 *2415:26 0 +36 *1980:34 *23447:A 0.000102817 +37 *1980:34 *2415:27 1.41291e-05 +38 *2126:25 *2415:26 0 +39 *2134:19 *2415:26 0 +40 *2251:25 *2415:26 0.00274521 +41 *2252:27 *2415:26 0.000108446 +42 *2253:28 *2415:26 0.000687692 +43 *2254:35 *2415:26 0.000453562 +44 *2255:19 *2415:26 0.000257696 +45 *2256:25 *2415:26 1.82335e-05 +46 *2349:36 *2415:26 0.000648909 +47 *2365:27 *2415:26 8.51784e-06 +*RES +1 *21626:X *2415:14 49.1183 +2 *2415:14 *2415:26 49.8402 +3 *2415:26 *2415:27 0.723396 +4 *2415:27 *23447:A 13.8548 +5 *2415:27 *6200:DIODE 9.24915 +*END + +*D_NET *2416 0.0241132 +*CONN +*I *5158:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22640:A I *D sky130_fd_sc_hd__buf_2 +*I *23619:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5158:DIODE 0.000129765 +2 *22640:A 0.000218724 +3 *23619:Y 0.000405452 +4 *2416:26 0.00124414 +5 *2416:23 0.00344006 +6 *2416:22 0.00375369 +7 *2416:8 0.00568522 +8 *2416:7 0.0048814 +9 *22640:A *22641:A 0 +10 *22640:A *2955:11 0 +11 *2416:7 *2607:9 0.000208826 +12 *2416:8 *2419:14 7.2789e-05 +13 *2416:8 *2573:8 0 +14 *2416:8 *3360:8 0 +15 *2416:22 *2827:12 0 +16 *2416:22 *2827:26 0.00134968 +17 *2416:23 *2542:24 0.000199486 +18 *2416:26 *2955:11 0 +19 *2416:26 *3026:11 0 +20 *4357:DIODE *22640:A 3.90891e-05 +21 *4358:DIODE *22640:A 0.000127194 +22 *6528:DIODE *2416:7 0.000169041 +23 *22393:B *2416:8 5.77197e-05 +24 *1157:15 *2416:23 0.000115848 +25 *1197:10 *2416:8 0.000165339 +26 *1743:10 *2416:8 5.01737e-05 +27 *1985:68 *2416:23 0 +28 *1986:31 *2416:8 0.000467315 +29 *2403:18 *2416:22 3.67328e-05 +30 *2405:8 *2416:8 0 +31 *2405:23 *2416:22 0.00119128 +32 *2406:20 *2416:22 4.72502e-05 +33 *2408:17 *2416:22 2.96608e-05 +34 *2410:22 *2416:22 2.73717e-05 +*RES +1 *23619:Y *2416:7 24.4554 +2 *2416:7 *2416:8 106.268 +3 *2416:8 *2416:22 29.3941 +4 *2416:22 *2416:23 66.7212 +5 *2416:23 *2416:26 26.2641 +6 *2416:26 *22640:A 20.2544 +7 *2416:26 *5158:DIODE 15.5817 +*END + +*D_NET *2417 0.0214839 +*CONN +*I *5159:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22641:A I *D sky130_fd_sc_hd__buf_2 +*I *23620:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5159:DIODE 0 +2 *22641:A 0.000193996 +3 *23620:Y 0.00604952 +4 *2417:18 0.00624352 +5 *22641:A *3026:11 7.04404e-05 +6 *2417:18 *2418:20 0.00172172 +7 *2417:18 *2539:22 0.000239699 +8 *2417:18 *2824:32 0.00380417 +9 *2417:18 *2956:19 1.29018e-05 +10 *2417:18 *3019:20 0 +11 *2417:18 *3020:18 0.00165225 +12 *2417:18 *3026:11 4.19401e-06 +13 *2417:18 *3081:6 5.25197e-05 +14 *2417:18 *3082:6 0.000194453 +15 *2417:18 *3087:6 0.000213714 +16 *2417:18 *3366:6 0.000113077 +17 *4358:DIODE *22641:A 0 +18 *4359:DIODE *22641:A 2.41274e-06 +19 *22640:A *22641:A 0 +20 *1159:9 *2417:18 0 +21 *1991:39 *2417:18 0.000781142 +22 *2410:22 *2417:18 0 +23 *2414:18 *2417:18 0.000134137 +*RES +1 *23620:Y *2417:18 49.0894 +2 *2417:18 *22641:A 18.7961 +3 *2417:18 *5159:DIODE 13.7491 +*END + +*D_NET *2418 0.0212416 +*CONN +*I *5160:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22642:A I *D sky130_fd_sc_hd__buf_2 +*I *23621:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5160:DIODE 0 +2 *22642:A 0.000144538 +3 *23621:Y 0.00608885 +4 *2418:20 0.00623338 +5 *22642:A *2956:19 6.32486e-05 +6 *22642:A *2957:21 0 +7 *2418:20 *2483:33 0 +8 *2418:20 *2539:22 0 +9 *2418:20 *2542:24 0 +10 *2418:20 *2955:20 0.00013632 +11 *2418:20 *2956:19 7.90353e-05 +12 *2418:20 *3023:17 0.00398538 +13 *2418:20 *3025:17 0.0010855 +14 *2418:20 *3025:18 0.000221618 +15 *2418:20 *3082:6 4.51619e-05 +16 *2418:20 *3087:6 4.04556e-05 +17 *2418:20 *3370:12 0.000305552 +18 *2418:20 *3375:6 0.000895647 +19 mprj_dat_i_core[25] *22642:A 1.19721e-05 +20 *4289:DIODE *22642:A 5.04829e-06 +21 *4360:DIODE *22642:A 0 +22 *6530:DIODE *2418:20 6.08467e-05 +23 *2414:18 *2418:20 0.000117321 +24 *2417:18 *2418:20 0.00172172 +*RES +1 *23621:Y *2418:20 49.7496 +2 *2418:20 *22642:A 17.9655 +3 *2418:20 *5160:DIODE 13.7491 +*END + +*D_NET *2419 0.0286839 +*CONN +*I *5161:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22643:A I *D sky130_fd_sc_hd__buf_2 +*I *23622:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5161:DIODE 0 +2 *22643:A 0.000298553 +3 *23622:Y 0.00803245 +4 *2419:18 0.00430425 +5 *2419:14 0.0120381 +6 *22643:A *22644:A 0 +7 *22643:A *2420:18 9.12416e-06 +8 *22643:A *2958:19 0 +9 *2419:14 *2483:33 0 +10 *2419:14 *2585:6 8.27589e-05 +11 *2419:18 *2420:18 1.91246e-05 +12 *2419:18 *2479:45 0.00346078 +13 *2419:18 *2542:24 0 +14 *2419:18 *2955:11 0 +15 *2419:18 *2958:19 0 +16 *2419:18 *3027:13 0 +17 *4291:DIODE *22643:A 2.85274e-05 +18 *4363:DIODE *22643:A 0 +19 *1151:15 *2419:18 0.000337391 +20 *1153:15 *2419:18 0 +21 *1157:15 *2419:18 0 +22 *2416:8 *2419:14 7.2789e-05 +*RES +1 *23622:Y *2419:14 42.2357 +2 *2419:14 *2419:18 28.9031 +3 *2419:18 *22643:A 21.0145 +4 *2419:18 *5161:DIODE 13.7491 +*END + +*D_NET *2420 0.0174984 +*CONN +*I *5162:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22644:A I *D sky130_fd_sc_hd__buf_2 +*I *23623:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5162:DIODE 8.70456e-05 +2 *22644:A 0.000170983 +3 *23623:Y 0.00498931 +4 *2420:21 0.000465837 +5 *2420:18 0.00519712 +6 *22644:A *2959:13 0 +7 *2420:18 *2421:18 7.93457e-06 +8 *2420:18 *2422:20 0.000106552 +9 *2420:18 *2696:8 0.000211115 +10 *2420:18 *2707:12 0.000117693 +11 *2420:18 *2957:21 0.00331801 +12 *2420:18 *2958:19 0.000482827 +13 *2420:18 *3030:17 0.00117952 +14 *2420:18 *3031:27 0.000205985 +15 *2420:18 *3089:16 0.000349953 +16 *2420:18 *3376:10 0.000364475 +17 *2420:18 *3376:15 0.000215822 +18 *22643:A *22644:A 0 +19 *22643:A *2420:18 9.12416e-06 +20 *2419:18 *2420:18 1.91246e-05 +*RES +1 *23623:Y *2420:18 46.4661 +2 *2420:18 *2420:21 7.44181 +3 *2420:21 *22644:A 22.5727 +4 *2420:21 *5162:DIODE 10.5271 +*END + +*D_NET *2421 0.0193551 +*CONN +*I *5163:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22645:A I *D sky130_fd_sc_hd__buf_2 +*I *23624:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5163:DIODE 0 +2 *22645:A 0.000240344 +3 *23624:Y 0.00862009 +4 *2421:18 0.00886044 +5 *22645:A *2960:13 0.000152316 +6 *2421:18 *2960:13 7.12632e-06 +7 *2421:18 *2960:23 0.00104849 +8 *2421:18 *3088:8 2.26985e-05 +9 mprj_dat_i_core[28] *22645:A 2.41483e-05 +10 *4364:DIODE *22645:A 0.000218299 +11 *4365:DIODE *22645:A 0.00015324 +12 *2420:18 *2421:18 7.93457e-06 +*RES +1 *23624:Y *2421:18 45.4784 +2 *2421:18 *22645:A 23.0879 +3 *2421:18 *5163:DIODE 13.7491 +*END + +*D_NET *2422 0.0232939 +*CONN +*I *5164:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22646:A I *D sky130_fd_sc_hd__buf_2 +*I *23625:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5164:DIODE 0 +2 *22646:A 0.000299701 +3 *23625:Y 0.000722173 +4 *2422:34 0.00540629 +5 *2422:22 0.00649163 +6 *2422:20 0.0017606 +7 *2422:17 0.00109772 +8 *2422:17 *2707:8 1.27321e-05 +9 *2422:17 *2707:12 0.000845038 +10 *2422:17 *3053:15 0.000302478 +11 *2422:17 *3474:10 0.000528136 +12 *2422:17 *3475:10 0.000717592 +13 *2422:20 *2696:8 0.000381009 +14 *2422:20 *2707:12 0.000104212 +15 *2422:20 *2707:14 0.000223573 +16 *2422:22 *2424:8 0 +17 *2422:22 *2696:8 0 +18 *2422:22 *2707:14 0.00298857 +19 *2422:22 *3030:20 0 +20 *2422:34 *2479:45 1.85597e-05 +21 *2422:34 *2963:13 0 +22 *2422:34 *3030:17 1.93857e-05 +23 user2_vcc_powergood *2422:34 0.000157687 +24 *4366:DIODE *22646:A 4.59978e-05 +25 *6537:DIODE *2422:17 2.41274e-06 +26 *1053:5 *22646:A 4.94e-06 +27 *1168:12 *2422:22 0.00103679 +28 *1238:16 *2422:22 0 +29 *2280:49 *2422:20 2.01653e-05 +30 *2420:18 *2422:20 0.000106552 +*RES +1 *23625:Y *2422:17 46.6437 +2 *2422:17 *2422:20 15.5842 +3 *2422:20 *2422:22 57.4758 +4 *2422:22 *2422:34 22.0413 +5 *2422:34 *22646:A 21.3947 +6 *2422:34 *5164:DIODE 13.7491 +*END + +*D_NET *2423 0.0631419 +*CONN +*I *5165:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22647:A I *D sky130_fd_sc_hd__buf_2 +*I *23626:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5165:DIODE 2.82279e-05 +2 *22647:A 0.000162635 +3 *23626:Y 0.00115359 +4 *2423:21 0.00207643 +5 *2423:19 0.00307095 +6 *2423:14 0.00576673 +7 *2423:13 0.00458135 +8 *2423:11 0.0102319 +9 *2423:10 0.0113855 +10 *5165:DIODE *3048:11 1.65872e-05 +11 *22647:A *2541:16 0.000362634 +12 *22647:A *3046:10 1.37385e-05 +13 *22647:A *3048:10 0.000305814 +14 *2423:10 *2472:9 9.14669e-05 +15 *2423:10 *3165:22 0.000393006 +16 *2423:10 *3448:14 0.00136278 +17 *2423:10 *3461:6 0 +18 *2423:11 *2518:11 0.00178843 +19 *2423:11 *2562:13 0.00313974 +20 *2423:11 *2917:9 0.00107523 +21 *2423:11 *3168:27 0.00172805 +22 *2423:11 *3172:13 7.5555e-05 +23 *2423:11 *3174:7 0.000896029 +24 *2423:14 *23360:A 0 +25 *2423:14 *2470:8 1.91391e-05 +26 *2423:14 *2474:6 0.0020232 +27 *2423:14 *2532:8 3.86459e-05 +28 *2423:14 *2533:6 0.0009238 +29 *2423:14 *2573:12 0 +30 *2423:14 *3196:6 0.000265976 +31 *2423:19 *5374:DIODE 6.50586e-05 +32 *2423:19 *2511:9 0.000469116 +33 *2423:19 *3050:9 0.0014637 +34 *2423:21 *5378:DIODE 0.000228812 +35 *2423:21 *22861:A 2.65831e-05 +36 *2423:21 *3048:11 0.00085359 +37 *2423:21 *3050:9 0.00386683 +38 *2423:21 *3232:9 9.65932e-05 +39 *1139:9 *2423:14 0 +40 *1331:8 *2423:14 0.00297714 +41 *1607:10 *2423:14 0 +42 *2101:54 *2423:14 8.80405e-06 +43 *2133:30 *2423:11 0.000108607 +*RES +1 *23626:Y *2423:10 48.6292 +2 *2423:10 *2423:11 172.096 +3 *2423:11 *2423:13 4.5 +4 *2423:13 *2423:14 123.501 +5 *2423:14 *2423:19 41.574 +6 *2423:19 *2423:21 64.7801 +7 *2423:21 *22647:A 25.0642 +8 *2423:21 *5165:DIODE 9.97254 +*END + +*D_NET *2424 0.0207099 +*CONN +*I *5166:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22648:A I *D sky130_fd_sc_hd__buf_2 +*I *23627:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5166:DIODE 0 +2 *22648:A 0.000187064 +3 *23627:Y 4.72776e-05 +4 *2424:26 0.00042025 +5 *2424:25 0.000659012 +6 *2424:19 0.00112194 +7 *2424:8 0.0036755 +8 *2424:7 0.00302666 +9 *22648:A *2963:13 0.000440211 +10 *22648:A *3033:10 0.000440211 +11 *2424:7 *2486:7 1.88152e-05 +12 *2424:8 *21391:A 4.41118e-05 +13 *2424:8 *2484:8 0.000443119 +14 *2424:8 *2707:14 0 +15 *2424:8 *2718:6 0 +16 *2424:8 *3090:8 0.00252133 +17 *2424:8 *3092:8 0 +18 *2424:19 *2729:9 0.000168973 +19 *2424:19 *2740:14 2.75449e-05 +20 *2424:19 *2740:15 0.00159847 +21 *2424:19 *2963:19 2.32702e-05 +22 *2424:19 *3033:12 0.000304742 +23 *2424:19 *3035:13 0 +24 *2424:25 *3035:8 0.00206535 +25 *2424:25 *3036:12 0.00206535 +26 *2424:26 *2963:13 0.000594579 +27 *2424:26 *3033:10 0.000601342 +28 user2_vdd_powergood *2424:19 3.52699e-05 +29 *4368:DIODE *22648:A 0.000113107 +30 *23627:A *2424:7 6.64392e-05 +31 *2422:22 *2424:8 0 +*RES +1 *23627:Y *2424:7 15.0271 +2 *2424:7 *2424:8 88.6197 +3 *2424:8 *2424:19 39.3712 +4 *2424:19 *2424:25 44.0161 +5 *2424:25 *2424:26 11.3828 +6 *2424:26 *22648:A 23.0879 +7 *2424:26 *5166:DIODE 13.7491 +*END + +*D_NET *2425 0.0171052 +*CONN +*I *5167:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22649:A I *D sky130_fd_sc_hd__buf_2 +*I *23628:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5167:DIODE 0 +2 *22649:A 0.000168422 +3 *23628:Y 0.00126115 +4 *2425:12 0.00315681 +5 *2425:10 0.00424955 +6 *22649:A *2964:8 0.000128365 +7 *22649:A *3032:24 8.89679e-05 +8 *2425:10 *2486:8 8.2967e-05 +9 *2425:10 *3057:9 7.50872e-05 +10 *2425:10 *3377:20 0.000252638 +11 *2425:12 *5214:DIODE 0.000149628 +12 *2425:12 *5216:DIODE 8.21849e-06 +13 *2425:12 *22702:A 8.52652e-05 +14 *2425:12 *2485:8 0.00293507 +15 *2425:12 *2486:8 3.54076e-05 +16 *2425:12 *2964:8 0.00178431 +17 *2425:12 *3032:24 0.00154758 +18 *2425:12 *3377:18 0.000329476 +19 *2425:12 *3377:20 0.00011583 +20 user1_vdd_powergood *2425:12 0.000112657 +21 user_irq[1] *2425:12 2.27135e-05 +22 user_irq[2] *2425:12 2.27135e-05 +23 *4297:DIODE *22649:A 0.000127194 +24 *4369:DIODE *22649:A 0.000123582 +25 *4378:DIODE *22649:A 2.16355e-05 +26 *6537:DIODE *2425:10 0.000161234 +27 *1121:10 *2425:12 4.41269e-05 +28 *1122:10 *2425:10 1.45944e-05 +*RES +1 *23628:Y *2425:10 46.6566 +2 *2425:10 *2425:12 135.165 +3 *2425:12 *22649:A 21.2876 +4 *2425:12 *5167:DIODE 13.7491 +*END + +*D_NET *2426 0.0750868 +*CONN +*I *23448:A I *D sky130_fd_sc_hd__nand2_1 +*I *6202:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21627:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23448:A 0 +2 *6202:DIODE 2.61541e-05 +3 *21627:X 0.00130091 +4 *2426:32 0.000144988 +5 *2426:26 0.00893202 +6 *2426:14 0.0101141 +7 *2426:14 *23063:A 0 +8 *2426:14 *23650:B 0.000184265 +9 *2426:14 *2472:6 0.000802334 +10 *2426:14 *2985:45 6.48838e-05 +11 *2426:14 *3456:16 0 +12 *2426:14 *3458:6 0.000251956 +13 *2426:26 *2438:13 0.00110002 +14 *2426:26 *2438:25 0.00429402 +15 *2426:26 *2439:13 0.000354418 +16 *2426:26 *2461:24 0.00336349 +17 *2426:26 *2463:25 0.0105897 +18 *2426:26 *2468:26 0.00395933 +19 *2426:26 *2473:15 0.000325835 +20 *2426:26 *2493:21 0.00826429 +21 *2426:26 *2499:21 0.00807994 +22 *2426:26 *2620:19 0.00097676 +23 *2426:26 *2903:28 0.00152883 +24 *2426:26 *3157:5 0.000165394 +25 *2426:32 *2903:28 8.22964e-06 +26 la_data_in_core[78] *2426:14 2.02035e-05 +27 *3815:DIODE *2426:14 0.000109354 +28 *21627:A *2426:14 6.50727e-05 +29 *22511:TE *2426:32 1.00698e-05 +30 *23448:B *2426:32 7.09666e-06 +31 *1942:25 *2426:14 0 +32 *1967:16 *6202:DIODE 0.000329185 +33 *1996:20 *2426:32 1.57119e-05 +34 *2056:28 *2426:26 0.00247726 +35 *2059:20 *2426:26 0.000729968 +36 *2062:19 *2426:26 0.000973745 +37 *2070:7 *2426:32 0 +38 *2082:5 *2426:32 2.57465e-06 +39 *2087:38 *2426:32 0.000118545 +40 *2125:20 *2426:26 0.000151444 +41 *2226:33 *2426:26 0.000368631 +42 *2246:25 *2426:26 0.000419883 +43 *2360:33 *2426:26 0.00412703 +44 *2372:11 *6202:DIODE 0.000329185 +*RES +1 *21627:X *2426:14 49.8552 +2 *2426:14 *2426:26 49.837 +3 *2426:26 *2426:32 9.24252 +4 *2426:32 *6202:DIODE 12.7456 +5 *2426:32 *23448:A 9.24915 +*END + +*D_NET *2427 0.0573405 +*CONN +*I *5168:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22650:A I *D sky130_fd_sc_hd__buf_2 +*I *23629:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5168:DIODE 4.51842e-05 +2 *22650:A 0.000161406 +3 *23629:Y 0.000667261 +4 *2427:12 0.00411919 +5 *2427:11 0.0039126 +6 *2427:9 0.00296124 +7 *2427:8 0.0036285 +8 *5168:DIODE *3013:11 6.08467e-05 +9 *22650:A *2965:15 0.000227049 +10 *22650:A *3034:6 0 +11 *2427:8 *3189:12 0 +12 *2427:8 *3462:11 0.000173147 +13 *2427:8 *3464:12 0.000963534 +14 *2427:9 *2491:9 0.00411854 +15 *2427:9 *2551:9 0.0138707 +16 *2427:9 *3028:25 0.0120476 +17 *2427:9 *3079:5 0.000988046 +18 *2427:12 *2684:28 0 +19 *2427:12 *2696:22 0.000117093 +20 *2427:12 *2823:12 0 +21 *2427:12 *2965:15 0.0011576 +22 *2427:12 *3067:20 0.000609612 +23 *2427:12 *3068:8 0 +24 *4381:DIODE *22650:A 0 +25 *4470:DIODE *2427:9 0.000100493 +26 *1173:10 *22650:A 0 +27 *1173:10 *2427:12 0 +28 *1216:8 *2427:12 0 +29 *1849:12 *2427:12 0.00184694 +30 *1989:67 *2427:12 1.29348e-05 +31 *1995:41 *2427:12 6.04912e-06 +32 *1995:57 *2427:12 0.000934197 +33 *2131:41 *2427:12 0 +34 *2280:44 *2427:9 0.00045831 +35 *2403:8 *2427:12 1.82719e-05 +36 *2409:6 *2427:12 0.000187885 +37 *2409:12 *2427:12 0.00325752 +38 *2413:32 *2427:12 0.000338354 +39 *2413:36 *2427:12 0.000350331 +*RES +1 *23629:Y *2427:8 37.1065 +2 *2427:8 *2427:9 207.591 +3 *2427:9 *2427:11 4.5 +4 *2427:11 *2427:12 132.636 +5 *2427:12 *22650:A 18.0727 +6 *2427:12 *5168:DIODE 14.4725 +*END + +*D_NET *2428 0.0452549 +*CONN +*I *5169:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22651:A I *D sky130_fd_sc_hd__buf_2 +*I *23630:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5169:DIODE 0 +2 *22651:A 0.000233828 +3 *23630:Y 0 +4 *2428:18 0.00101941 +5 *2428:17 0.00289724 +6 *2428:14 0.00375656 +7 *2428:8 0.00415041 +8 *2428:7 0.00250551 +9 *2428:5 0.00533474 +10 *2428:4 0.00533474 +11 *22651:A *2968:11 0 +12 *2428:5 *3179:9 0.0043247 +13 *2428:8 *3139:8 0.000216146 +14 *2428:8 *3348:22 0.00502085 +15 *2428:14 *2513:22 0 +16 *2428:14 *2537:18 0.000335842 +17 *2428:14 *2810:12 0 +18 *2428:14 *3052:8 0 +19 *2428:14 *3348:22 1.1431e-06 +20 *2428:18 *21366:A 0 +21 *2428:18 *2968:11 0 +22 mprj_dat_i_core[4] *22651:A 1.84293e-05 +23 *4370:DIODE *22651:A 0 +24 *4382:DIODE *22651:A 0 +25 *6514:DIODE *2428:5 9.65932e-05 +26 *6526:DIODE *2428:5 0.000221446 +27 *22386:B *2428:14 6.88728e-05 +28 *22504:TE *2428:14 5.64867e-05 +29 *1172:10 *2428:18 0.000239746 +30 *1172:11 *2428:17 0.00332112 +31 *1176:8 *2428:8 7.05739e-06 +32 *1852:17 *2428:5 0.000274495 +33 *1864:39 *2428:5 0.00020205 +34 *1866:39 *2428:5 0.0016947 +35 *1978:49 *2428:14 0.000208663 +36 *2005:45 *2428:14 0.000115588 +37 *2135:41 *2428:8 2.36582e-05 +38 *2399:18 *2428:8 0.00298331 +39 *2399:22 *2428:8 0 +40 *2399:22 *2428:14 0.00035524 +41 *2413:7 *2428:5 0.000171288 +42 *2413:37 *22651:A 6.50586e-05 +*RES +1 *23630:Y *2428:4 9.24915 +2 *2428:4 *2428:5 142.702 +3 *2428:5 *2428:7 4.5 +4 *2428:7 *2428:8 94.7874 +5 *2428:8 *2428:14 47.4053 +6 *2428:14 *2428:17 41.2726 +7 *2428:17 *2428:18 18.0268 +8 *2428:18 *22651:A 18.7961 +9 *2428:18 *5169:DIODE 13.7491 +*END + +*D_NET *2429 0.0361263 +*CONN +*I *5170:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22652:A I *D sky130_fd_sc_hd__buf_2 +*I *23631:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5170:DIODE 0 +2 *22652:A 0.00037651 +3 *23631:Y 0 +4 *2429:30 0.00146011 +5 *2429:29 0.00217614 +6 *2429:26 0.00182795 +7 *2429:18 0.00276668 +8 *2429:16 0.00206021 +9 *2429:14 0.00187474 +10 *2429:13 0.0034927 +11 *2429:5 0.00347766 +12 *2429:4 0.00183076 +13 *22652:A *3557:DIODE 0 +14 *22652:A *3039:13 0 +15 *2429:5 *2540:17 0.00191478 +16 *2429:13 *3066:18 1.43055e-05 +17 *2429:13 *3069:18 1.25946e-05 +18 *2429:14 *3078:8 0.000122948 +19 *2429:14 *3250:6 0.000776605 +20 *2429:18 *3594:DIODE 2.66039e-05 +21 *2429:18 *3596:DIODE 0.000118485 +22 *2429:18 *2537:22 0 +23 *2429:18 *3014:12 0.00123807 +24 *2429:26 *2537:22 0.000570229 +25 *2429:26 *2673:17 0.000247443 +26 *2429:26 *2825:14 0.000211642 +27 *2429:26 *2825:21 8.62993e-05 +28 *2429:26 *3009:10 0 +29 *2429:30 *3039:13 0 +30 *4372:DIODE *22652:A 0 +31 *6540:DIODE *2429:5 0.000158371 +32 *22504:A *2429:26 6.08467e-05 +33 *1147:13 *2429:29 0.000181147 +34 *1160:26 *2429:18 9.34769e-05 +35 *1174:15 *2429:30 7.12632e-06 +36 *1225:16 *2429:14 4.49084e-05 +37 *1233:8 *2429:18 0.000328484 +38 *1854:11 *2429:14 0 +39 *1866:39 *2429:13 0.00255322 +40 *1985:68 *2429:29 0.00360244 +41 *2120:31 *2429:26 0.000284167 +42 *2359:16 *2429:13 2.56676e-05 +43 *2364:8 *2429:14 0 +44 *2364:8 *2429:18 0 +45 *2364:12 *2429:14 0 +46 *2409:15 *2429:29 0 +47 *2411:16 *2429:14 0.000353879 +48 *2411:16 *2429:18 0.000916709 +49 *2411:20 *2429:18 0.000767266 +50 *2413:37 *22652:A 6.50727e-05 +*RES +1 *23631:Y *2429:4 9.24915 +2 *2429:4 *2429:5 50.0831 +3 *2429:5 *2429:13 43.231 +4 *2429:13 *2429:14 50.6241 +5 *2429:14 *2429:16 0.732798 +6 *2429:16 *2429:18 60.1749 +7 *2429:18 *2429:26 36.1297 +8 *2429:26 *2429:29 44.0456 +9 *2429:29 *2429:30 23.0099 +10 *2429:30 *22652:A 22.1181 +11 *2429:30 *5170:DIODE 13.7491 +*END + +*D_NET *2430 0.0435824 +*CONN +*I *5171:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22653:A I *D sky130_fd_sc_hd__buf_2 +*I *23632:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5171:DIODE 0 +2 *22653:A 0.000238891 +3 *23632:Y 0.000845018 +4 *2430:18 0.0108921 +5 *2430:8 0.0114982 +6 *22653:A *3557:DIODE 0 +7 *22653:A *2969:9 0.000164017 +8 *2430:8 *2502:8 0 +9 *2430:8 *2504:12 0 +10 *2430:8 *3196:15 0.000371798 +11 *2430:18 *3557:DIODE 0 +12 *2430:18 *2531:20 0.000384888 +13 *2430:18 *2811:46 0.00390428 +14 *2430:18 *3041:17 0.00433927 +15 *2430:18 *3086:6 0.000100693 +16 *4301:DIODE *22653:A 4.2389e-05 +17 *4372:DIODE *22653:A 0 +18 *4374:DIODE *22653:A 5.04829e-06 +19 *1154:9 *2430:18 6.44644e-05 +20 *1156:11 *2430:18 1.57481e-05 +21 *2125:20 *2430:18 0.010342 +22 *2354:12 *2430:8 0 +23 *2406:8 *2430:18 0.000100364 +24 *2413:37 *22653:A 0.000273152 +*RES +1 *23632:Y *2430:8 36.1829 +2 *2430:8 *2430:18 40.6422 +3 *2430:18 *22653:A 21.0145 +4 *2430:18 *5171:DIODE 13.7491 +*END + +*D_NET *2431 0.0494173 +*CONN +*I *5172:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22654:A I *D sky130_fd_sc_hd__buf_2 +*I *23633:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5172:DIODE 4.18856e-05 +2 *22654:A 0.000318815 +3 *23633:Y 0.000338028 +4 *2431:18 0.00122789 +5 *2431:15 0.00268939 +6 *2431:14 0.00182219 +7 *2431:12 0.00514337 +8 *2431:11 0.00514337 +9 *2431:9 0.00207397 +10 *2431:8 0.00241199 +11 *5172:DIODE *3013:11 6.50727e-05 +12 *22654:A *2969:9 5.71487e-05 +13 *22654:A *3042:15 0 +14 *2431:8 *2489:8 0 +15 *2431:8 *3177:6 0 +16 *2431:9 *2640:17 0.000150326 +17 *2431:9 *3077:14 0.00141363 +18 *2431:9 *3079:11 0.000168023 +19 *2431:9 *3191:11 0.00196052 +20 *2431:9 *3194:11 0.00400158 +21 *2431:12 *21527:A 2.21352e-05 +22 *2431:12 *2514:20 0.00104046 +23 *2431:12 *3064:8 0.000674062 +24 *2431:12 *3139:8 0 +25 *2431:15 *2433:17 0 +26 *2431:15 *2696:23 0.00192984 +27 *2431:18 *21368:A 2.961e-05 +28 *2431:18 *3042:15 0 +29 *4302:DIODE *22654:A 6.81008e-05 +30 *4375:DIODE *22654:A 4.80148e-05 +31 *931:13 *22654:A 3.20069e-06 +32 *1155:8 *2431:12 4.23622e-05 +33 *1157:15 *2431:15 0.000267904 +34 *1172:11 *2431:15 0 +35 *1175:8 *2431:18 0.00057106 +36 *1211:9 *2431:12 0 +37 *1212:10 *2431:12 0 +38 *1737:11 *2431:12 0 +39 *1985:68 *2431:15 0 +40 *2128:43 *2431:12 0.00118267 +41 *2135:52 *2431:12 1.77894e-05 +42 *2359:9 *2431:9 0.000246439 +43 *2359:15 *2431:9 0.00067347 +44 *2362:17 *2431:9 0.000132798 +45 *2364:8 *2431:12 0 +46 *2399:15 *2431:9 0.0134402 +47 *2399:18 *2431:12 0 +48 *2399:22 *2431:12 0 +*RES +1 *23633:Y *2431:8 24.6489 +2 *2431:8 *2431:9 153.239 +3 *2431:9 *2431:11 4.5 +4 *2431:11 *2431:12 123.501 +5 *2431:12 *2431:14 4.5 +6 *2431:14 *2431:15 51.7469 +7 *2431:15 *2431:18 26.2641 +8 *2431:18 *22654:A 21.8099 +9 *2431:18 *5172:DIODE 14.4725 +*END + +*D_NET *2432 0.0347861 +*CONN +*I *5173:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22655:A I *D sky130_fd_sc_hd__buf_2 +*I *23634:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5173:DIODE 4.51842e-05 +2 *22655:A 0.000193768 +3 *23634:Y 0.00110558 +4 *2432:20 0.00156669 +5 *2432:19 0.00256921 +6 *2432:14 0.00432164 +7 *2432:13 0.00513569 +8 *2432:8 0.00316111 +9 *5173:DIODE *3013:11 6.08467e-05 +10 *22655:A *2971:13 0.000223294 +11 *22655:A *3042:15 5.8966e-05 +12 *2432:8 *2662:12 5.30921e-05 +13 *2432:8 *3066:18 0.000207394 +14 *2432:13 *2629:13 0.00330249 +15 *2432:14 *21356:A 5.97908e-05 +16 *2432:14 *3046:10 0.00278547 +17 *2432:20 *2970:9 0 +18 *2432:20 *2971:13 0.000139166 +19 *2432:20 *3042:15 0.000473919 +20 *2432:20 *3043:15 0 +21 mprj_dat_i_core[8] *22655:A 1.43983e-05 +22 *6515:DIODE *2432:8 0.000301544 +23 *6543:DIODE *2432:8 0.000169041 +24 *1853:9 *2432:8 0.000693657 +25 *1976:39 *2432:19 0.000285645 +26 *1995:32 *2432:19 9.52942e-05 +27 *2348:9 *2432:8 0.000664221 +28 *2400:9 *2432:19 0.000924424 +29 *2401:10 *2432:14 0.000911096 +30 *2401:12 *2432:14 0.00381791 +31 *2402:8 *2432:14 0.00122759 +32 *2413:37 *22655:A 0.000217937 +*RES +1 *23634:Y *2432:8 46.6656 +2 *2432:8 *2432:13 45.218 +3 *2432:13 *2432:14 113.95 +4 *2432:14 *2432:19 49.1003 +5 *2432:19 *2432:20 33.8064 +6 *2432:20 *22655:A 20.4599 +7 *2432:20 *5173:DIODE 14.4725 +*END + +*D_NET *2433 0.0510006 +*CONN +*I *5174:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22656:A I *D sky130_fd_sc_hd__buf_2 +*I *23635:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5174:DIODE 0 +2 *22656:A 0.000222686 +3 *23635:Y 0.000374548 +4 *2433:20 0.00128024 +5 *2433:17 0.00231966 +6 *2433:16 0.0012621 +7 *2433:14 0.00422686 +8 *2433:13 0.00422686 +9 *2433:11 0.0063126 +10 *2433:10 0.00668715 +11 *22656:A *3043:15 0 +12 *22656:A *3044:15 0 +13 *2433:10 *2607:20 9.24241e-05 +14 *2433:10 *3065:9 0.00221403 +15 *2433:11 *2502:9 0.00302696 +16 *2433:14 *2813:62 0 +17 *2433:14 *2821:12 0.000304272 +18 *2433:14 *3012:16 0.00228916 +19 *2433:14 *3012:26 0.000195273 +20 *2433:17 *2531:20 0.00031762 +21 *2433:20 *3043:15 0 +22 *2433:20 *3044:15 0 +23 mprj_dat_i_core[9] *22656:A 1.84293e-05 +24 *4376:DIODE *22656:A 0 +25 *4377:DIODE *22656:A 0 +26 *6544:DIODE *2433:10 0.000167076 +27 *1140:8 *2433:14 0.00032403 +28 *1146:8 *2433:20 0.000193812 +29 *1147:10 *2433:20 0 +30 *1190:17 *2433:14 0.000306863 +31 *1344:9 *2433:14 0.000296714 +32 *1728:10 *2433:14 0.000251855 +33 *1973:47 *2433:14 0.000940297 +34 *1985:68 *2433:17 0.00480454 +35 *2281:16 *2433:11 0.000628015 +36 *2281:20 *2433:11 0.00581361 +37 *2348:9 *2433:10 0.00087538 +38 *2350:20 *2433:10 3.77659e-05 +39 *2401:10 *2433:14 0.000883155 +40 *2401:12 *2433:14 4.15208e-05 +41 *2411:16 *2433:14 0 +42 *2413:37 *22656:A 6.50586e-05 +43 *2431:15 *2433:17 0 +*RES +1 *23635:Y *2433:10 44.0979 +2 *2433:10 *2433:11 111.644 +3 *2433:11 *2433:13 4.5 +4 *2433:13 *2433:14 124.331 +5 *2433:14 *2433:16 4.5 +6 *2433:16 *2433:17 51.7469 +7 *2433:17 *2433:20 30.0014 +8 *2433:20 *22656:A 18.7961 +9 *2433:20 *5174:DIODE 13.7491 +*END + +*D_NET *2434 0.137706 +*CONN +*I *22657:A I *D sky130_fd_sc_hd__buf_2 +*I *5175:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22538:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22657:A 0.00022068 +2 *5175:DIODE 4.36938e-05 +3 *22538:Z 0.00010693 +4 *2434:14 0.0011835 +5 *2434:11 0.0171637 +6 *2434:10 0.0177512 +7 *2434:7 0.00161357 +8 *22657:A *22689:A 0 +9 *2434:10 *2904:22 0 +10 *2434:10 *3429:10 0 +11 *2434:11 *2458:31 0.049902 +12 *2434:11 *2475:19 0.000675366 +13 *2434:11 *2475:31 0.00075706 +14 *2434:11 *2608:18 0.00377504 +15 *2434:11 *2865:58 0.000231696 +16 *2434:11 *3029:21 0.000115582 +17 *2434:11 *3062:27 0.0165511 +18 *2434:11 *3102:15 0.00110056 +19 *2434:11 *3130:23 0.000111178 +20 *2434:11 *3130:34 0.000318812 +21 *2434:11 *3130:35 0.000102363 +22 *2434:11 *3135:17 0.00229087 +23 *2434:11 *3158:19 0.00017378 +24 *2434:11 *3163:11 0.000156964 +25 *2434:14 *2470:62 0 +26 mprj_dat_o_user[0] *22657:A 5.92342e-05 +27 *4307:DIODE *22657:A 3.94997e-05 +28 *22538:TE *2434:7 0.000175485 +29 *22592:A *22657:A 0 +30 *22624:A *22657:A 8.52652e-05 +31 *1414:7 *2434:11 0.000133887 +32 *1414:20 *2434:11 0.000220514 +33 *2046:19 *2434:11 3.83604e-05 +34 *2048:29 *2434:10 0.0018135 +35 *2133:30 *2434:11 0.000571382 +36 *2249:8 *2434:7 0.000428003 +37 *2322:18 *2434:7 0.000156794 +38 *2324:20 *2434:7 0.00115721 +39 *2344:26 *2434:7 0.000260038 +40 *2363:8 *2434:14 0 +41 *2385:22 *2434:11 0.000133887 +42 *2385:31 *2434:11 0.000110257 +43 *2385:41 *2434:11 0.000417415 +44 *2398:21 *2434:11 0.00688316 +45 *2398:33 *2434:11 0.00875857 +46 *2398:34 *22657:A 0.00017066 +47 *2398:34 *2434:14 0.00174742 +*RES +1 *22538:Z *2434:7 26.1192 +2 *2434:7 *2434:10 40.2896 +3 *2434:10 *2434:11 93.5974 +4 *2434:11 *2434:14 35.7218 +5 *2434:14 *5175:DIODE 14.4725 +6 *2434:14 *22657:A 21.3947 +*END + +*D_NET *2435 0.149354 +*CONN +*I *22658:A I *D sky130_fd_sc_hd__buf_2 +*I *5176:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22539:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22658:A 4.1243e-05 +2 *5176:DIODE 8.00271e-05 +3 *22539:Z 0.00117036 +4 *2435:18 0.000645442 +5 *2435:13 0.00497612 +6 *2435:12 0.00445194 +7 *2435:10 0.00301458 +8 *2435:8 0.00418494 +9 *5176:DIODE *3002:9 0.000386499 +10 *22658:A *3002:9 0.00015281 +11 *2435:8 *2444:8 0 +12 *2435:10 *22794:A 7.48482e-05 +13 *2435:10 *23049:A 0.00019362 +14 *2435:10 *2444:8 0 +15 *2435:10 *3441:22 4.4978e-05 +16 *2435:10 *3443:10 0 +17 *2435:13 *2436:9 2.81824e-05 +18 *2435:13 *2467:13 0.0659832 +19 *2435:13 *2978:9 0.00133722 +20 *2435:18 *3008:14 1.86487e-05 +21 la_data_in_core[65] *2435:10 6.64086e-05 +22 mprj_adr_o_user[11] *2435:18 0 +23 mprj_dat_o_user[10] *5176:DIODE 2.65667e-05 +24 mprj_dat_o_user[10] *22658:A 2.57986e-05 +25 mprj_dat_o_user[10] *2435:18 0.000694131 +26 *5111:DIODE *5176:DIODE 3.14978e-05 +27 *349:10 *2435:10 0.000118757 +28 *2327:7 *2435:8 0.000271988 +29 *2327:7 *2435:10 3.20069e-06 +30 *2327:11 *2435:10 0 +31 *2327:14 *2435:13 0.000161493 +32 *2333:13 *2435:8 0 +33 *2338:14 *2435:13 0.0611697 +*RES +1 *22539:Z *2435:8 38.4078 +2 *2435:8 *2435:10 57.8055 +3 *2435:10 *2435:12 4.5 +4 *2435:12 *2435:13 696.196 +5 *2435:13 *2435:18 23.7048 +6 *2435:18 *5176:DIODE 13.3002 +7 *2435:18 *22658:A 11.0817 +*END + +*D_NET *2436 0.161286 +*CONN +*I *22659:A I *D sky130_fd_sc_hd__buf_2 +*I *5177:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22540:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22659:A 0 +2 *5177:DIODE 0.000319343 +3 *22540:Z 0 +4 *2436:12 0.000509378 +5 *2436:9 0.00804676 +6 *2436:8 0.00785672 +7 *2436:6 0.00358692 +8 *2436:5 0.00358692 +9 *5177:DIODE *2975:15 0 +10 *5177:DIODE *3002:9 1.84293e-05 +11 *2436:6 *22804:A 0 +12 *2436:6 *2447:6 0.00176203 +13 *2436:6 *2468:10 0 +14 *2436:6 *2473:12 0 +15 *2436:6 *2503:18 0.000113164 +16 *2436:6 *2920:87 0.000109598 +17 *2436:6 *3169:30 0 +18 *2436:6 *3169:32 0 +19 *2436:6 *3185:6 0.000708614 +20 *2436:9 *2974:9 0.0704468 +21 la_oenb_core[74] *2436:6 0 +22 mprj_dat_o_user[12] *5177:DIODE 5.03412e-05 +23 mprj_dat_o_user[12] *2436:12 0.000149048 +24 *3811:DIODE *2436:6 0.000126589 +25 *4310:DIODE *5177:DIODE 0 +26 *22595:A *5177:DIODE 0 +27 *359:5 *2436:6 0.000301739 +28 *1003:10 *2436:12 9.37227e-05 +29 *1177:44 *2436:6 3.88655e-06 +30 *1321:16 *2436:6 0.000306018 +31 *1942:22 *2436:9 0 +32 *2317:10 *2436:9 0.0620482 +33 *2327:14 *2436:9 0.000550879 +34 *2338:14 *2436:9 9.33097e-05 +35 *2348:16 *2436:6 0 +36 *2366:20 *5177:DIODE 2.652e-05 +37 *2382:9 *2436:6 0.000442604 +38 *2435:13 *2436:9 2.81824e-05 +*RES +1 *22540:Z *2436:5 13.7491 +2 *2436:5 *2436:6 89.035 +3 *2436:6 *2436:8 4.5 +4 *2436:8 *2436:9 756.094 +5 *2436:9 *2436:12 10.8998 +6 *2436:12 *5177:DIODE 20.4571 +7 *2436:12 *22659:A 13.7491 +*END + +*D_NET *2437 0.0712648 +*CONN +*I *6204:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23449:A I *D sky130_fd_sc_hd__nand2_1 +*I *21628:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6204:DIODE 8.43753e-05 +2 *23449:A 0.00027401 +3 *21628:X 0.00106308 +4 *2437:23 0.00103999 +5 *2437:22 0.00796782 +6 *2437:8 0.0083493 +7 *23449:A *3362:32 0.000637622 +8 *23449:A *3395:42 1.32779e-05 +9 *2437:8 *5329:DIODE 0 +10 *2437:8 *5582:DIODE 0.000117975 +11 *2437:8 *22809:A 0 +12 *2437:8 *2451:6 0.000104583 +13 *2437:8 *3175:6 0.000956098 +14 *2437:8 *3457:14 0 +15 *2437:8 *3464:12 0 +16 *2437:22 *2468:27 0.00924285 +17 *2437:22 *2471:18 0 +18 *2437:22 *2474:19 0.000317254 +19 *2437:22 *2907:22 0 +20 *2437:22 *3239:21 0.0056468 +21 *2437:23 *2460:33 0.00119496 +22 *2437:23 *3362:32 0.00227323 +23 *2437:23 *3395:35 7.19088e-05 +24 la_oenb_core[79] *2437:8 1.21831e-05 +25 *3816:DIODE *2437:8 2.21422e-05 +26 *6205:DIODE *23449:A 0.000114594 +27 *1175:56 *6204:DIODE 6.08467e-05 +28 *1876:25 *2437:8 0 +29 *1985:40 *23449:A 0.000111802 +30 *2083:23 *2437:22 0.00139296 +31 *2091:17 *2437:22 0.000964331 +32 *2094:5 *23449:A 7.08723e-06 +33 *2118:13 *6204:DIODE 3.55859e-05 +34 *2125:20 *2437:22 0.0113272 +35 *2130:19 *2437:22 0.000324138 +36 *2252:27 *2437:23 0.000139849 +37 *2255:19 *23449:A 5.83513e-05 +38 *2255:19 *2437:23 0.00031695 +39 *2258:25 *2437:22 0.000227772 +40 *2269:29 *2437:22 0.00169385 +41 *2360:17 *2437:22 4.75359e-05 +42 *2365:27 *23449:A 2.80888e-05 +43 *2382:9 *2437:22 0.00460697 +44 *2382:27 *2437:22 0.00995656 +45 *2404:21 *2437:22 0.000308 +46 *2415:26 *23449:A 0.000152854 +*RES +1 *21628:X *2437:8 43.2422 +2 *2437:8 *2437:22 47.2695 +3 *2437:22 *2437:23 3.07125 +4 *2437:23 *23449:A 19.6658 +5 *2437:23 *6204:DIODE 18.6352 +*END + +*D_NET *2438 0.131268 +*CONN +*I *22660:A I *D sky130_fd_sc_hd__buf_2 +*I *5178:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22541:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22660:A 9.73031e-05 +2 *5178:DIODE 4.27774e-05 +3 *22541:Z 3.30472e-05 +4 *2438:41 0.00246587 +5 *2438:40 0.00322947 +6 *2438:37 0.00593646 +7 *2438:25 0.0125091 +8 *2438:13 0.0102862 +9 *2438:7 0.00284292 +10 *5178:DIODE *3002:9 7.97944e-05 +11 *2438:13 *2463:12 0.000622849 +12 *2438:13 *2463:25 0.00296574 +13 *2438:13 *2465:15 0.000633615 +14 *2438:13 *2466:8 1.91391e-05 +15 *2438:13 *3146:19 0.000165394 +16 *2438:13 *3339:23 0.000663432 +17 *2438:13 *3436:26 0.000256486 +18 *2438:25 *2439:34 0.00296226 +19 *2438:25 *2463:25 0.00119115 +20 *2438:25 *2465:15 6.95182e-05 +21 *2438:25 *2465:19 7.48191e-05 +22 *2438:25 *2476:21 0.0168897 +23 *2438:25 *2951:29 0.000101365 +24 *2438:25 *3157:5 0.00017378 +25 *2438:25 *3420:7 0.000189024 +26 *2438:37 *2439:34 0.000244254 +27 *2438:37 *2439:37 0.00219166 +28 *2438:37 *2465:19 0.00797867 +29 *2438:37 *2465:23 0.00105366 +30 *2438:37 *2476:21 3.09836e-05 +31 *2438:37 *2476:34 0.0148196 +32 *2438:37 *2476:37 0.00720679 +33 *2438:40 *2463:54 6.09999e-05 +34 *2438:41 *22670:A 6.50727e-05 +35 *2438:41 *3002:9 0.00308272 +36 mprj_dat_o_user[21] *2438:41 0.000122378 +37 *4310:DIODE *5178:DIODE 6.50586e-05 +38 *4310:DIODE *22660:A 0.000120548 +39 *4310:DIODE *2438:41 6.50727e-05 +40 *4311:DIODE *2438:41 0.000175485 +41 *4313:DIODE *2438:41 0.000175485 +42 *4315:DIODE *2438:41 0.000175485 +43 *4318:DIODE *2438:41 0.000175485 +44 *4321:DIODE *2438:41 0.000175485 +45 *22596:A *22660:A 0 +46 *22606:A *2438:40 6.70195e-05 +47 *1402:33 *2438:25 0.000253421 +48 *1403:13 *2438:25 0.000110257 +49 *2057:17 *2438:25 0.00409628 +50 *2062:19 *2438:25 0.00393545 +51 *2076:19 *2438:25 0.00186082 +52 *2125:19 *2438:25 0.00163338 +53 *2305:5 *2438:13 0.000309004 +54 *2360:33 *2438:13 0.00457236 +55 *2366:20 *22660:A 0 +56 *2367:23 *2438:25 0.00327986 +57 *2378:18 *2438:40 0.0012257 +58 *2384:21 *2438:25 0.00204445 +59 *2426:26 *2438:13 0.00110002 +60 *2426:26 *2438:25 0.00429402 +*RES +1 *22541:Z *2438:7 14.4725 +2 *2438:7 *2438:13 47.4029 +3 *2438:13 *2438:25 37.5833 +4 *2438:25 *2438:37 36.9264 +5 *2438:37 *2438:40 29.7937 +6 *2438:40 *2438:41 75.5949 +7 *2438:41 *5178:DIODE 11.0817 +8 *2438:41 *22660:A 20.9116 +*END + +*D_NET *2439 0.149133 +*CONN +*I *22661:A I *D sky130_fd_sc_hd__buf_2 +*I *5179:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22542:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22661:A 0.000309495 +2 *5179:DIODE 0 +3 *22542:Z 0.00024589 +4 *2439:38 0.0010461 +5 *2439:37 0.00535173 +6 *2439:34 0.00575382 +7 *2439:22 0.00310516 +8 *2439:13 0.00826548 +9 *2439:12 0.00801746 +10 *2439:8 0.00196434 +11 *22661:A *22662:A 5.01167e-05 +12 *22661:A *2440:30 3.67528e-06 +13 *22661:A *2998:35 6.50727e-05 +14 *2439:12 *2501:24 0 +15 *2439:12 *3163:28 8.19134e-05 +16 *2439:12 *3445:16 0.00197193 +17 *2439:13 *21617:A 7.48797e-05 +18 *2439:13 *2463:38 0.000101365 +19 *2439:13 *2473:15 0.018937 +20 *2439:13 *2476:21 0.000130801 +21 *2439:13 *2951:23 0.000778454 +22 *2439:13 *2984:21 0.00218433 +23 *2439:13 *3157:5 0.0177104 +24 *2439:13 *3443:10 0.000483474 +25 *2439:22 *2454:76 3.83003e-05 +26 *2439:22 *2884:19 2.29568e-05 +27 *2439:22 *2929:9 0.00013521 +28 *2439:22 *3391:20 0 +29 *2439:34 *2465:19 0.00155654 +30 *2439:34 *3394:34 4.13487e-05 +31 *2439:37 *2440:27 0.000129237 +32 *2439:37 *2463:38 0.00599262 +33 *2439:37 *2463:54 0.012087 +34 *2439:37 *2463:58 0.00645634 +35 *2439:37 *2476:34 0.0177539 +36 *2439:37 *2476:37 0.0144192 +37 *2439:37 *2951:29 0.00010238 +38 *2439:38 *2440:30 0.000308211 +39 mprj_dat_o_user[14] *22661:A 2.52949e-05 +40 *4312:DIODE *22661:A 0 +41 *4610:DIODE *2439:13 8.90486e-05 +42 *6386:DIODE *2439:13 0.000113197 +43 *22597:A *22661:A 8.86418e-05 +44 *22597:A *2439:38 1.77537e-06 +45 *23563:TE *2439:13 4.31539e-05 +46 *1005:8 *22661:A 7.47839e-05 +47 *1179:50 *2439:8 3.20461e-05 +48 *1179:50 *2439:12 6.24754e-05 +49 *1296:11 *2439:13 9.9028e-05 +50 *1402:26 *2439:13 1.88014e-05 +51 *1402:31 *2439:13 0.000200887 +52 *1402:33 *2439:13 0.00148765 +53 *1403:13 *2439:13 9.82896e-06 +54 *1403:19 *2439:13 0.000653452 +55 *1425:8 *2439:13 0.000370676 +56 *1426:9 *2439:13 5.04926e-05 +57 *1429:10 *2439:13 0.00108853 +58 *1909:7 *2439:22 2.55661e-06 +59 *2368:38 *2439:38 0.000343834 +60 *2386:31 *2439:22 0.00284791 +61 *2426:26 *2439:13 0.000354418 +62 *2438:25 *2439:34 0.00296226 +63 *2438:37 *2439:34 0.000244254 +64 *2438:37 *2439:37 0.00219166 +*RES +1 *22542:Z *2439:8 18.1826 +2 *2439:8 *2439:12 48.0648 +3 *2439:12 *2439:13 332.376 +4 *2439:13 *2439:22 49.0135 +5 *2439:22 *2439:34 17.3915 +6 *2439:34 *2439:37 44.9528 +7 *2439:37 *2439:38 22.387 +8 *2439:38 *5179:DIODE 13.7491 +9 *2439:38 *22661:A 22.1181 +*END + +*D_NET *2440 0.177033 +*CONN +*I *22662:A I *D sky130_fd_sc_hd__buf_2 +*I *5180:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22543:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22662:A 0.000257863 +2 *5180:DIODE 0 +3 *22543:Z 0 +4 *2440:30 0.00102252 +5 *2440:27 0.00749518 +6 *2440:26 0.00770588 +7 *2440:15 0.00597407 +8 *2440:14 0.00505692 +9 *2440:9 0.00351833 +10 *2440:8 0.00346013 +11 *2440:6 0.00293405 +12 *2440:5 0.00293405 +13 *22662:A *2986:5 0.000115632 +14 *2440:6 *2461:8 0 +15 *2440:6 *2490:9 0.000116064 +16 *2440:6 *2540:24 0 +17 *2440:6 *2634:38 7.60715e-05 +18 *2440:6 *2808:18 0 +19 *2440:6 *3177:6 0 +20 *2440:6 *3465:8 0.000285674 +21 *2440:14 *3139:14 8.3506e-05 +22 *2440:15 *2465:15 0.000181147 +23 *2440:15 *2476:9 0.0265324 +24 *2440:15 *2870:87 0.00196858 +25 *2440:15 *2870:89 0.00312176 +26 *2440:15 *2891:36 0.00133263 +27 *2440:15 *2893:64 0.000259835 +28 *2440:15 *3143:45 0.000993125 +29 *2440:15 *3144:21 0.000261013 +30 *2440:15 *3159:36 0.00057471 +31 *2440:15 *3187:13 0.00095265 +32 *2440:15 *3412:11 0.000676555 +33 *2440:15 *3451:5 0.00173263 +34 *2440:15 *3451:17 0.00355182 +35 *2440:26 *2473:31 0.00309694 +36 *2440:26 *2918:12 1.34848e-05 +37 *2440:26 *2918:19 9.16402e-05 +38 *2440:26 *2984:20 1.75682e-05 +39 *2440:26 *3115:40 0 +40 *2440:26 *3116:40 0 +41 *2440:26 *3127:14 0 +42 *2440:26 *3162:47 0.000154778 +43 *2440:27 *2454:79 0.000637928 +44 *2440:27 *2461:47 0.0115164 +45 *2440:27 *2461:64 0.00846848 +46 *2440:27 *2463:54 0.00948545 +47 *2440:27 *2463:58 0.00260448 +48 *2440:27 *2468:27 0.0379661 +49 *2440:27 *2807:9 0.000318578 +50 *2440:27 *3110:15 0.00012089 +51 *2440:27 *3114:19 0.000934026 +52 *4312:DIODE *22662:A 0 +53 *6446:DIODE *2440:15 0.000381551 +54 *6456:DIODE *2440:15 1.19726e-05 +55 *22598:A *22662:A 0 +56 *22661:A *22662:A 5.01167e-05 +57 *22661:A *2440:30 3.67528e-06 +58 *23570:TE *2440:15 0.00011818 +59 *23575:A *2440:15 6.50586e-05 +60 *23575:TE *2440:15 2.65831e-05 +61 *1188:44 *2440:6 7.08723e-06 +62 *1320:9 *2440:6 0 +63 *1424:10 *2440:15 0.000530123 +64 *1433:9 *2440:15 0.000113968 +65 *1444:9 *2440:6 0.000600879 +66 *1800:8 *2440:26 0.00012611 +67 *1834:25 *2440:6 0 +68 *1933:16 *2440:15 0.00912137 +69 *1942:25 *2440:14 8.6931e-05 +70 *2076:19 *2440:15 0.000291404 +71 *2083:23 *2440:26 0.00296456 +72 *2092:57 *2440:6 0 +73 *2111:45 *2440:15 0.00010238 +74 *2369:8 *22662:A 0 +75 *2369:8 *2440:30 0 +76 *2384:21 *2440:15 0.000220514 +77 *2386:25 *2440:15 0.00317192 +78 *2439:37 *2440:27 0.000129237 +79 *2439:38 *2440:30 0.000308211 +*RES +1 *22543:Z *2440:5 13.7491 +2 *2440:5 *2440:6 62.4588 +3 *2440:6 *2440:8 4.5 +4 *2440:8 *2440:9 48.4193 +5 *2440:9 *2440:14 10.832 +6 *2440:14 *2440:15 386.728 +7 *2440:15 *2440:26 29.5646 +8 *2440:26 *2440:27 49.3861 +9 *2440:27 *2440:30 23.2643 +10 *2440:30 *5180:DIODE 13.7491 +11 *2440:30 *22662:A 20.5992 +*END + +*D_NET *2441 0.14168 +*CONN +*I *22663:A I *D sky130_fd_sc_hd__buf_2 +*I *5181:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22544:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22663:A 0.000419135 +2 *5181:DIODE 0 +3 *22544:Z 0.000418108 +4 *2441:14 0.000976215 +5 *2441:11 0.00811483 +6 *2441:10 0.00917032 +7 *2441:7 0.00203068 +8 *22663:A *22664:A 0 +9 *2441:7 *3169:23 0.00269815 +10 *2441:10 *2445:8 0.000518083 +11 *2441:10 *2493:21 0.000137871 +12 *2441:10 *2501:24 7.83498e-05 +13 *2441:10 *3190:10 0.000644501 +14 *2441:10 *3445:16 0.000768598 +15 *2441:11 *3685:DIODE 0.000268954 +16 *2441:11 *21601:A 4.82966e-05 +17 *2441:11 *2443:11 0.0613987 +18 *2441:11 *2450:9 1.15389e-05 +19 *2441:11 *2450:19 0.00149221 +20 *2441:11 *2450:21 0.00644652 +21 *2441:11 *2450:27 0.000788772 +22 *2441:11 *2450:35 0.00246348 +23 *2441:11 *2450:37 0.00542664 +24 *2441:11 *2450:43 0.0012574 +25 *2441:11 *2450:47 5.97264e-05 +26 *2441:11 *2450:49 0.00270984 +27 *2441:11 *2462:34 0.000240377 +28 *2441:11 *2462:46 0.000263583 +29 *2441:14 *22664:A 0 +30 mprj_dat_o_user[15] *22663:A 4.77858e-05 +31 mprj_dat_o_user[16] *22663:A 3.07808e-05 +32 *4314:DIODE *22663:A 0 +33 *4594:DIODE *2441:11 0.000425982 +34 *6438:DIODE *2441:11 0.000423922 +35 *22544:TE *2441:7 0.000171273 +36 *22599:A *22663:A 0 +37 *22599:A *2441:14 0 +38 *23553:TE *2441:11 0.00011818 +39 *1007:12 *22663:A 2.1203e-06 +40 *1279:5 *2441:11 0.00577651 +41 *1883:27 *2441:11 0.00366431 +42 *2070:43 *2441:10 2.27135e-05 +43 *2073:22 *2441:11 0.000385908 +44 *2118:20 *2441:7 0.00010238 +45 *2281:16 *2441:11 0.00865151 +46 *2298:8 *2441:7 0.000854379 +47 *2361:11 *2441:11 0.00636927 +48 *2367:27 *2441:11 0.000353172 +49 *2370:12 *2441:14 0 +50 *2382:27 *2441:10 1.91246e-05 +51 *2383:25 *2441:11 0.00442367 +52 *2390:9 *2441:11 0.000986452 +*RES +1 *22544:Z *2441:7 42.7573 +2 *2441:7 *2441:10 48.2725 +3 *2441:10 *2441:11 678.449 +4 *2441:11 *2441:14 16.7133 +5 *2441:14 *5181:DIODE 13.7491 +6 *2441:14 *22663:A 22.1275 +*END + +*D_NET *2442 0.117721 +*CONN +*I *22664:A I *D sky130_fd_sc_hd__buf_2 +*I *5182:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22545:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22664:A 0.000240955 +2 *5182:DIODE 4.47599e-05 +3 *22545:Z 0.00110908 +4 *2442:23 0.00362019 +5 *2442:21 0.00336256 +6 *2442:19 0.00714907 +7 *2442:18 0.0088898 +8 *2442:12 0.00285366 +9 *2442:8 0.00219392 +10 *5182:DIODE *2469:39 1.31657e-05 +11 *2442:8 *2465:8 0 +12 *2442:8 *2469:8 0 +13 *2442:8 *3153:44 3.40825e-05 +14 *2442:8 *3161:22 0.000421528 +15 *2442:8 *3433:20 1.35732e-05 +16 *2442:12 *3149:36 0 +17 *2442:12 *3433:20 0.00141652 +18 *2442:18 *2450:9 0.000261305 +19 *2442:18 *3273:8 0 +20 *2442:18 *3430:12 0.000534602 +21 *2442:18 *3432:8 0.00136914 +22 *2442:19 *2447:15 0.0479182 +23 *2442:19 *2469:25 0.00811391 +24 *2442:19 *2469:37 0.00114516 +25 *2442:19 *2483:24 0.000347544 +26 *2442:19 *2982:33 0.00587973 +27 *2442:19 *3112:13 0.00118236 +28 *2442:19 *3112:17 0.00751321 +29 *2442:19 *3119:25 0.0014171 +30 *2442:19 *3119:27 0.00656653 +31 *2442:21 *2447:19 3.75603e-05 +32 *2442:23 *5184:DIODE 2.65667e-05 +33 *2442:23 *5187:DIODE 6.50586e-05 +34 *2442:23 *2444:21 0.000648604 +35 *2442:23 *2447:15 9.82896e-06 +36 *2442:23 *2447:19 0.00228244 +37 *2442:23 *2469:39 0.000255194 +38 *2442:23 *2472:48 0.000101365 +39 *4314:DIODE *22664:A 0.000116493 +40 *22565:A *2442:8 4.75721e-06 +41 *22600:A *22664:A 0 +42 *22663:A *22664:A 0 +43 *1306:18 *2442:8 5.2852e-05 +44 *1434:14 *2442:8 3.12926e-05 +45 *1434:14 *2442:12 0.000215831 +46 *2291:22 *2442:8 9.12416e-06 +47 *2298:7 *2442:8 0 +48 *2372:46 *22664:A 0 +49 *2381:29 *2442:19 0.000252021 +50 *2441:14 *22664:A 0 +*RES +1 *22545:Z *2442:8 38.4078 +2 *2442:8 *2442:12 33.2379 +3 *2442:12 *2442:18 42.1811 +4 *2442:18 *2442:19 514.841 +5 *2442:19 *2442:21 0.988641 +6 *2442:21 *2442:23 57.293 +7 *2442:23 *5182:DIODE 9.97254 +8 *2442:23 *22664:A 23.8184 +*END + +*D_NET *2443 0.147276 +*CONN +*I *22665:A I *D sky130_fd_sc_hd__buf_2 +*I *5183:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22546:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22665:A 0.000390922 +2 *5183:DIODE 0 +3 *22546:Z 6.0994e-05 +4 *2443:14 0.000867318 +5 *2443:11 0.0371535 +6 *2443:10 0.0366771 +7 *2443:8 0.00179451 +8 *2443:7 0.0018555 +9 *22665:A *22666:A 0 +10 *2443:8 *2445:8 0.00241647 +11 *2443:8 *3167:16 0.00167263 +12 *2443:8 *3190:10 0.000654402 +13 *2443:8 *3428:8 0.000195432 +14 *2443:11 *2462:34 0.000126868 +15 *2443:11 *2462:46 0.000265489 +16 *2443:14 *22666:A 0 +17 mprj_dat_o_user[17] *22665:A 4.77858e-05 +18 mprj_dat_o_user[18] *22665:A 3.07808e-05 +19 *4316:DIODE *22665:A 0 +20 *22601:A *22665:A 7.50678e-05 +21 *22601:A *2443:14 1.77537e-06 +22 *1009:12 *22665:A 2.1203e-06 +23 *1946:74 *2443:7 0.000127809 +24 *2073:22 *2443:11 0.000260662 +25 *2323:20 *2443:7 0.000312566 +26 *2341:7 *2443:8 0 +27 *2343:7 *2443:8 0.000394065 +28 *2367:27 *2443:11 0.000325406 +29 *2373:8 *2443:14 0.000168423 +30 *2441:11 *2443:11 0.0613987 +*RES +1 *22546:Z *2443:7 17.2456 +2 *2443:7 *2443:8 61.6283 +3 *2443:8 *2443:10 4.5 +4 *2443:10 *2443:11 660.702 +5 *2443:11 *2443:14 16.298 +6 *2443:14 *5183:DIODE 13.7491 +7 *2443:14 *22665:A 22.1275 +*END + +*D_NET *2444 0.128503 +*CONN +*I *22666:A I *D sky130_fd_sc_hd__buf_2 +*I *5184:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22547:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22666:A 0.000309054 +2 *5184:DIODE 1.1401e-05 +3 *22547:Z 7.34875e-05 +4 *2444:21 0.000816223 +5 *2444:17 0.00847664 +6 *2444:16 0.00883081 +7 *2444:11 0.00450801 +8 *2444:10 0.00365807 +9 *2444:8 0.00275877 +10 *2444:7 0.00283226 +11 *5184:DIODE *2469:39 3.14978e-05 +12 *2444:8 *3159:32 0.000103791 +13 *2444:8 *3406:8 0 +14 *2444:8 *3443:10 2.78668e-05 +15 *2444:16 *3150:16 0 +16 *2444:16 *3317:8 0.000290959 +17 *2444:17 *5284:DIODE 6.08467e-05 +18 *2444:17 *5529:DIODE 6.08467e-05 +19 *2444:17 *6593:DIODE 6.3657e-05 +20 *2444:17 *2447:15 0.0506783 +21 *2444:17 *2447:19 7.68538e-06 +22 *2444:17 *2469:19 0.0373129 +23 *2444:17 *2469:37 0.000167076 +24 *2444:17 *2483:24 0.000598545 +25 *2444:17 *2488:67 0.000659428 +26 *2444:21 *5187:DIODE 6.92705e-05 +27 *2444:21 *2447:19 0.00225546 +28 *2444:21 *2469:37 1.8627e-05 +29 *2444:21 *2469:39 0.0016358 +30 *2444:21 *2472:48 0.000110257 +31 *4316:DIODE *22666:A 4.52324e-05 +32 *22547:TE *2444:8 1.37385e-05 +33 *22602:A *22666:A 0 +34 *22665:A *22666:A 0 +35 *1426:9 *2444:8 0 +36 *1838:7 *2444:7 0.000203585 +37 *2294:28 *2444:7 0.000466199 +38 *2309:25 *2444:8 3.63738e-05 +39 *2314:13 *2444:8 0.000152445 +40 *2331:11 *2444:8 0.000212295 +41 *2333:13 *2444:8 0.000146228 +42 *2374:40 *22666:A 0 +43 *2381:29 *2444:17 0.00012426 +44 *2435:8 *2444:8 0 +45 *2435:10 *2444:8 0 +46 *2442:23 *5184:DIODE 2.65667e-05 +47 *2442:23 *2444:21 0.000648604 +48 *2443:14 *22666:A 0 +*RES +1 *22547:Z *2444:7 18.9094 +2 *2444:7 *2444:8 55.3995 +3 *2444:8 *2444:10 4.5 +4 *2444:10 *2444:11 50.6377 +5 *2444:11 *2444:16 26.1963 +6 *2444:16 *2444:17 545.344 +7 *2444:17 *2444:21 41.0889 +8 *2444:21 *5184:DIODE 9.97254 +9 *2444:21 *22666:A 24.6489 +*END + +*D_NET *2445 0.135669 +*CONN +*I *22667:A I *D sky130_fd_sc_hd__buf_2 +*I *5185:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22548:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22667:A 0.000393325 +2 *5185:DIODE 0 +3 *22548:Z 4.15953e-05 +4 *2445:30 0.000885254 +5 *2445:27 0.0201272 +6 *2445:25 0.0196583 +7 *2445:23 0.00194416 +8 *2445:21 0.00425201 +9 *2445:11 0.0134344 +10 *2445:10 0.0111035 +11 *2445:8 0.00148177 +12 *2445:7 0.00152336 +13 *22667:A *2998:35 6.08467e-05 +14 *22667:A *3001:29 2.16355e-05 +15 *2445:8 *2493:21 0.000142376 +16 *2445:8 *2498:26 4.19401e-06 +17 *2445:8 *3167:16 1.9946e-05 +18 *2445:8 *3190:10 0.000847232 +19 *2445:8 *3445:16 0 +20 *2445:11 *2471:11 0.000101365 +21 *2445:11 *2977:29 0.000106512 +22 *2445:11 *3442:15 0.000276265 +23 *2445:11 *3446:11 0.0177424 +24 *2445:21 *2452:19 0.000485988 +25 *2445:21 *3442:15 1.41976e-05 +26 *2445:21 *3449:5 1.15389e-05 +27 *2445:23 *2452:19 0.000189533 +28 *2445:23 *2474:33 0.00468465 +29 *2445:27 *2446:45 0.000149641 +30 *2445:27 *2446:54 0.000653699 +31 *2445:27 *2462:34 0.000120873 +32 *2445:27 *2474:33 0.00102074 +33 *2445:27 *2940:11 0.00582941 +34 *2445:27 *3104:9 0.0240851 +35 mprj_adr_o_user[20] *22667:A 6.98287e-05 +36 mprj_dat_o_user[19] *22667:A 0 +37 *4318:DIODE *22667:A 0 +38 *6444:DIODE *2445:21 0.00015511 +39 *22602:A *22667:A 0 +40 *22604:A *22667:A 0 +41 *23569:TE *2445:21 0.000133333 +42 *1010:5 *22667:A 0 +43 *1192:61 *2445:7 0.000114518 +44 *1432:9 *2445:21 0.000589703 +45 *1883:35 *2445:27 0.000143044 +46 *2068:23 *2445:23 0.000108607 +47 *2374:40 *2445:30 0 +48 *2376:16 *22667:A 0 +49 *2376:16 *2445:30 0 +50 *2383:19 *2445:27 7.6719e-06 +51 *2441:10 *2445:8 0.000518083 +52 *2443:8 *2445:8 0.00241647 +*RES +1 *22548:Z *2445:7 15.0271 +2 *2445:7 *2445:8 49.1707 +3 *2445:8 *2445:10 4.5 +4 *2445:10 *2445:11 198.717 +5 *2445:11 *2445:21 42.2825 +6 *2445:21 *2445:23 58.4022 +7 *2445:23 *2445:25 0.578717 +8 *2445:25 *2445:27 352.619 +9 *2445:27 *2445:30 15.0523 +10 *2445:30 *5185:DIODE 13.7491 +11 *2445:30 *22667:A 22.1181 +*END + +*D_NET *2446 0.143088 +*CONN +*I *22668:A I *D sky130_fd_sc_hd__buf_2 +*I *5186:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22549:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22668:A 0.000288928 +2 *5186:DIODE 0 +3 *22549:Z 2.0864e-05 +4 *2446:54 0.0076484 +5 *2446:45 0.0148178 +6 *2446:34 0.00911628 +7 *2446:14 0.00476491 +8 *2446:13 0.00310699 +9 *2446:11 0.00361962 +10 *2446:9 0.00364145 +11 *2446:7 0.00243926 +12 *2446:5 0.00243829 +13 *2446:11 *2896:40 0.00250089 +14 *2446:14 *2606:34 0 +15 *2446:14 *2891:36 0 +16 *2446:14 *3062:8 0 +17 *2446:14 *3124:28 0.000156631 +18 *2446:14 *3151:43 2.63468e-05 +19 *2446:34 *2451:27 0.00802678 +20 *2446:34 *3029:8 1.56847e-05 +21 *2446:34 *3148:8 3.63738e-05 +22 *2446:34 *3405:8 6.20492e-05 +23 *2446:45 *2462:34 0.00026061 +24 *2446:45 *2462:46 0.0111171 +25 *2446:45 *2474:45 0.0177327 +26 *2446:45 *2977:29 0.00618201 +27 *2446:45 *3104:9 0.000137573 +28 *2446:54 *2472:48 0 +29 *2446:54 *2473:51 0 +30 *2446:54 *2474:45 3.09836e-05 +31 *2446:54 *2474:54 0.0216293 +32 mprj_dat_o_user[1] *22668:A 1.27331e-05 +33 mprj_sel_o_user[1] *22668:A 0.000320057 +34 *4867:DIODE *2446:14 0 +35 *4889:DIODE *2446:7 6.36477e-05 +36 *5121:DIODE *22668:A 0 +37 *22461:B *2446:14 0 +38 *22549:TE *2446:7 0.000118796 +39 *22603:A *22668:A 0 +40 *1288:10 *2446:34 1.93825e-05 +41 *1300:8 *2446:11 0.000145294 +42 *1407:9 *2446:34 0.000439074 +43 *1423:8 *2446:14 0 +44 *1943:26 *2446:7 0.00191587 +45 *1943:26 *2446:11 0.000318535 +46 *1943:35 *2446:5 9.95922e-06 +47 *1951:18 *2446:7 0.000538339 +48 *1951:18 *2446:11 0.000159297 +49 *2073:22 *2446:45 0.000270879 +50 *2075:27 *2446:45 0.00205099 +51 *2079:20 *2446:34 0.00305404 +52 *2079:27 *2446:34 0.00357862 +53 *2197:13 *2446:14 7.09666e-06 +54 *2200:9 *2446:14 0 +55 *2209:10 *2446:11 0.00184614 +56 *2260:8 *2446:7 0.00255002 +57 *2324:16 *2446:7 0.00042666 +58 *2367:27 *2446:45 0.000200486 +59 *2367:27 *2446:54 0.00371583 +60 *2386:12 *2446:14 0.000705064 +61 *2445:27 *2446:45 0.000149641 +62 *2445:27 *2446:54 0.000653699 +*RES +1 *22549:Z *2446:5 9.82786 +2 *2446:5 *2446:7 75.8722 +3 *2446:7 *2446:9 0.578717 +4 *2446:9 *2446:11 63.6709 +5 *2446:11 *2446:13 4.5 +6 *2446:13 *2446:14 60.1749 +7 *2446:14 *2446:34 46.06 +8 *2446:34 *2446:45 32.8791 +9 *2446:45 *2446:54 47.1784 +10 *2446:54 *5186:DIODE 13.7491 +11 *2446:54 *22668:A 22.1181 +*END + +*D_NET *2447 0.140944 +*CONN +*I *22669:A I *D sky130_fd_sc_hd__buf_2 +*I *5187:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22550:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22669:A 0.00026503 +2 *5187:DIODE 6.67041e-06 +3 *22550:Z 0.00098555 +4 *2447:19 0.000512791 +5 *2447:15 0.00575114 +6 *2447:14 0.00667213 +7 *2447:9 0.00412977 +8 *2447:8 0.00296768 +9 *2447:6 0.00098555 +10 *2447:6 *2503:18 0.000687886 +11 *2447:9 *2491:9 0.00219461 +12 *2447:9 *2893:75 0.000211492 +13 *2447:9 *3028:25 0.00352098 +14 *2447:9 *3165:19 0.000943267 +15 *2447:14 *2488:8 0.000438722 +16 *2447:14 *3160:16 0.000211767 +17 *2447:14 *3441:18 0.000124464 +18 *2447:15 *2483:24 0.000598545 +19 *2447:15 *2982:33 0.00181608 +20 *2447:15 *3441:22 0.000167076 +21 *2447:19 *2472:48 0.000110257 +22 *4320:DIODE *22669:A 2.72929e-05 +23 *22605:A *22669:A 4.46461e-05 +24 *1439:10 *2447:9 0.000319815 +25 *2280:14 *2447:9 0.000775635 +26 *2280:20 *2447:9 0.00103959 +27 *2280:24 *2447:9 0.000187426 +28 *2348:16 *2447:6 0 +29 *2377:8 *22669:A 2.45382e-05 +30 *2381:29 *2447:15 0.000137573 +31 *2436:6 *2447:6 0.00176203 +32 *2442:19 *2447:15 0.0479182 +33 *2442:21 *2447:19 3.75603e-05 +34 *2442:23 *5187:DIODE 6.50586e-05 +35 *2442:23 *2447:15 9.82896e-06 +36 *2442:23 *2447:19 0.00228244 +37 *2444:17 *2447:15 0.0506783 +38 *2444:17 *2447:19 7.68538e-06 +39 *2444:21 *5187:DIODE 6.92705e-05 +40 *2444:21 *2447:19 0.00225546 +*RES +1 *22550:Z *2447:6 47.5556 +2 *2447:6 *2447:8 4.5 +3 *2447:8 *2447:9 102.216 +4 *2447:9 *2447:14 34.9166 +5 *2447:14 *2447:15 562.537 +6 *2447:15 *2447:19 25.56 +7 *2447:19 *5187:DIODE 9.97254 +8 *2447:19 *22669:A 24.2337 +*END + +*D_NET *2448 0.0505934 +*CONN +*I *6206:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23450:A I *D sky130_fd_sc_hd__nand2_2 +*I *21629:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6206:DIODE 0 +2 *23450:A 0.000133581 +3 *21629:X 0.000135727 +4 *2448:19 0.00159937 +5 *2448:17 0.00216791 +6 *2448:13 0.00264435 +7 *2448:8 0.00805108 +8 *2448:7 0.00624458 +9 *23450:A *2479:9 6.50727e-05 +10 *23450:A *2691:8 0 +11 *23450:A *2834:6 0.000163982 +12 *23450:A *2962:17 3.14978e-05 +13 *2448:7 *3001:29 0.000217937 +14 *2448:8 *22810:A 2.97944e-05 +15 *2448:8 *23066:A 0 +16 *2448:8 *2497:8 0 +17 *2448:8 *2509:26 7.14746e-05 +18 *2448:8 *2579:12 0 +19 *2448:8 *2762:24 4.15017e-05 +20 *2448:8 *2856:34 0 +21 *2448:8 *3129:17 0 +22 *2448:8 *3176:30 2.50481e-05 +23 *2448:8 *3450:6 0 +24 *2448:8 *3459:6 0.00368529 +25 *2448:13 *23398:A 0.000100705 +26 *2448:17 *23270:A 5.87688e-05 +27 *2448:17 *2509:33 0.00358734 +28 *2448:19 *23228:A 1.58551e-05 +29 *2448:19 *2479:9 0.010733 +30 *2448:19 *2509:33 1.41853e-05 +31 *2448:19 *2962:17 0.00354028 +32 *3817:DIODE *2448:8 6.85742e-05 +33 *5847:DIODE *2448:17 0.000171273 +34 *6103:DIODE *2448:13 0.000278771 +35 *23228:B *2448:17 0.000315447 +36 *1151:77 *2448:19 0.00235245 +37 *1157:65 *2448:8 0 +38 *1271:10 *2448:8 0 +39 *1517:5 *2448:13 1.41853e-05 +40 *1517:5 *2448:17 0.000160617 +41 *1569:7 *23450:A 6.08467e-05 +42 *1885:25 *2448:8 0 +43 *1896:31 *2448:8 0 +44 *2105:27 *2448:8 0.00217549 +45 *2146:36 *23450:A 6.08467e-05 +46 *2150:26 *2448:13 0.00050919 +47 *2150:28 *2448:17 0.000694949 +48 *2174:25 *2448:13 0.000101365 +49 *2397:32 *2448:13 0.000271044 +*RES +1 *21629:X *2448:7 16.1364 +2 *2448:7 *2448:8 144.264 +3 *2448:8 *2448:13 36.3053 +4 *2448:13 *2448:17 40.679 +5 *2448:17 *2448:19 116.636 +6 *2448:19 *23450:A 22.7737 +7 *2448:19 *6206:DIODE 9.24915 +*END + +*D_NET *2449 0.115168 +*CONN +*I *22670:A I *D sky130_fd_sc_hd__buf_2 +*I *5188:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22551:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22670:A 0.000255919 +2 *5188:DIODE 0 +3 *22551:Z 0 +4 *2449:12 0.000324213 +5 *2449:9 0.0340561 +6 *2449:8 0.0358181 +7 *2449:5 0.00183029 +8 *22670:A *3002:9 6.28701e-05 +9 *2449:8 *3155:40 0 +10 *2449:8 *3156:16 0 +11 *2449:8 *3362:11 0.000514513 +12 *2449:8 *3437:28 0 +13 *2449:9 *23656:A 0.000222149 +14 *2449:9 *23656:B 4.82966e-05 +15 *2449:9 *2456:21 0.000695088 +16 *2449:9 *2472:9 0.000364973 +17 *2449:9 *2472:13 0.00409237 +18 *2449:9 *2996:15 0.000271058 +19 *2449:9 *3137:9 3.07726e-05 +20 *2449:9 *3137:13 0.00630597 +21 *2449:9 *3166:5 9.82896e-06 +22 *22606:A *22670:A 0 +23 *1871:10 *2449:9 2.16355e-05 +24 *2317:7 *2449:8 0 +25 *2378:18 *22670:A 0 +26 *2378:18 *2449:12 0 +27 *2389:17 *2449:9 0.0301785 +28 *2438:41 *22670:A 6.50727e-05 +*RES +1 *22551:Z *2449:5 13.7491 +2 *2449:5 *2449:8 42.0437 +3 *2449:8 *2449:9 586.385 +4 *2449:9 *2449:12 5.91674 +5 *2449:12 *5188:DIODE 13.7491 +6 *2449:12 *22670:A 19.2113 +*END + +*D_NET *2450 0.133194 +*CONN +*I *22671:A I *D sky130_fd_sc_hd__buf_2 +*I *5189:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22552:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22671:A 0.000291977 +2 *5189:DIODE 0 +3 *22552:Z 0 +4 *2450:52 0.000868908 +5 *2450:49 0.00353989 +6 *2450:47 0.00371266 +7 *2450:43 0.00121892 +8 *2450:37 0.00114358 +9 *2450:35 0.0010875 +10 *2450:27 0.000977344 +11 *2450:21 0.0019092 +12 *2450:19 0.00173659 +13 *2450:9 0.00578836 +14 *2450:8 0.00539677 +15 *2450:6 0.00285665 +16 *2450:5 0.00285665 +17 *22671:A *22672:A 0 +18 *22671:A *2986:5 0.000423859 +19 *22671:A *2988:5 0.00015233 +20 *22671:A *2990:8 0 +21 *2450:6 *2507:12 0 +22 *2450:6 *2921:50 0.000812281 +23 *2450:6 *3178:12 0.000654743 +24 *2450:6 *3460:6 0 +25 *2450:9 *3432:8 0.000621345 +26 *2450:19 *3432:8 0.000429151 +27 *2450:21 *2451:15 0.000126868 +28 *2450:21 *3427:23 0.00223542 +29 *2450:27 *3685:DIODE 0.000264612 +30 *2450:27 *3442:11 0.000723976 +31 *2450:27 *3446:10 0.000820728 +32 *2450:35 *3442:11 0.000565226 +33 *2450:37 *2451:27 0.000108607 +34 *2450:43 *21601:A 0 +35 *2450:43 *2451:27 0.00012875 +36 *2450:47 *3791:DIODE 0.000271058 +37 *2450:47 *21601:A 0.000377273 +38 *2450:49 *2451:36 0.000178875 +39 *2450:49 *3107:9 0.0224098 +40 *2450:52 *2451:36 0 +41 *4594:DIODE *2450:43 0.000425982 +42 *6412:DIODE *2450:19 0.000164829 +43 *6438:DIODE *2450:27 0.000423922 +44 *22443:B *2450:47 6.08467e-05 +45 *22607:A *22671:A 0.000127636 +46 *22607:A *2450:52 2.81678e-06 +47 *23553:TE *2450:19 0.000122378 +48 *23562:TE *2450:37 0.000220183 +49 *23566:TE *2450:35 0.000171288 +50 *1191:27 *2450:6 0.000143717 +51 *1279:5 *2450:47 0.000501302 +52 *1279:5 *2450:49 0.00408248 +53 *1304:11 *2450:27 0.000149018 +54 *1407:7 *2450:43 0.000352055 +55 *1407:7 *2450:47 0.000260374 +56 *1407:9 *2450:35 0.000636603 +57 *1407:9 *2450:37 0.00227215 +58 *1407:9 *2450:43 0.002446 +59 *1407:18 *2450:35 0.00063421 +60 *1448:10 *2450:6 0.000706 +61 *1832:20 *2450:6 3.81606e-05 +62 *1883:27 *2450:49 0.00366851 +63 *1909:20 *2450:47 0.000197295 +64 *2281:16 *2450:9 0.0180361 +65 *2352:8 *2450:6 0.000200764 +66 *2361:11 *2450:49 0.00637646 +67 *2367:27 *2450:49 0.000477706 +68 *2379:26 *2450:52 0.000255899 +69 *2383:25 *2450:49 0.00443208 +70 *2441:11 *2450:9 1.15389e-05 +71 *2441:11 *2450:19 0.00149221 +72 *2441:11 *2450:21 0.00644652 +73 *2441:11 *2450:27 0.000788772 +74 *2441:11 *2450:35 0.00246348 +75 *2441:11 *2450:37 0.00542664 +76 *2441:11 *2450:43 0.0012574 +77 *2441:11 *2450:47 5.97264e-05 +78 *2441:11 *2450:49 0.00270984 +79 *2442:18 *2450:9 0.000261305 +*RES +1 *22552:Z *2450:5 13.7491 +2 *2450:5 *2450:6 69.5181 +3 *2450:6 *2450:8 4.5 +4 *2450:8 *2450:9 195.944 +5 *2450:9 *2450:19 20.3757 +6 *2450:19 *2450:21 69.2169 +7 *2450:21 *2450:27 29.3337 +8 *2450:27 *2450:35 31.7209 +9 *2450:35 *2450:37 58.4022 +10 *2450:37 *2450:43 36.5436 +11 *2450:43 *2450:47 23.7636 +12 *2450:47 *2450:49 265.547 +13 *2450:49 *2450:52 17.5438 +14 *2450:52 *5189:DIODE 13.7491 +15 *2450:52 *22671:A 23.257 +*END + +*D_NET *2451 0.141441 +*CONN +*I *22672:A I *D sky130_fd_sc_hd__buf_2 +*I *5190:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22553:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22672:A 0.000209389 +2 *5190:DIODE 0 +3 *22553:Z 0 +4 *2451:36 0.007224 +5 *2451:27 0.01318 +6 *2451:15 0.0117898 +7 *2451:6 0.00787754 +8 *2451:5 0.00225313 +9 *22672:A *2990:8 0.000107855 +10 *2451:6 *22484:A_N 0 +11 *2451:6 *2915:42 0 +12 *2451:6 *2915:70 0 +13 *2451:6 *3175:6 0.000439359 +14 *2451:6 *3191:8 0.00329424 +15 *2451:6 *3457:14 0 +16 *2451:15 *2462:19 0.00138733 +17 *2451:15 *2462:34 0.0030423 +18 *2451:15 *2474:19 0.0186298 +19 *2451:15 *2474:32 0.00199396 +20 *2451:15 *2492:21 0.00592068 +21 *2451:15 *2498:14 0.00628714 +22 *2451:15 *2498:36 0.00319637 +23 *2451:27 *2462:34 0.000598592 +24 *2451:27 *2462:46 0.00102676 +25 *2451:27 *2473:43 0.000342548 +26 *2451:27 *2474:32 0.00690147 +27 *2451:27 *2589:18 0.000116253 +28 *2451:27 *2616:22 0.000115521 +29 *2451:36 *2461:74 0.000812668 +30 *2451:36 *2469:37 2.32122e-05 +31 *2451:36 *2473:43 0.0035266 +32 *2451:36 *2473:51 0.00105836 +33 *2451:36 *3107:9 0.000152056 +34 *22671:A *22672:A 0 +35 *1407:9 *2451:27 0.000220514 +36 *2059:20 *2451:15 0.00521904 +37 *2067:17 *2451:27 0.000579881 +38 *2073:22 *2451:27 0.00704282 +39 *2079:20 *2451:27 0.00269695 +40 *2079:27 *2451:27 0.00124501 +41 *2083:18 *2451:27 0.00107109 +42 *2083:23 *2451:27 5.39137e-05 +43 *2367:27 *2451:27 0.00581742 +44 *2367:27 *2451:36 0.0073134 +45 *2379:26 *2451:36 0 +46 *2380:18 *22672:A 0 +47 *2380:18 *2451:36 0 +48 *2437:8 *2451:6 0.000104583 +49 *2446:34 *2451:27 0.00802678 +50 *2450:21 *2451:15 0.000126868 +51 *2450:37 *2451:27 0.000108607 +52 *2450:43 *2451:27 0.00012875 +53 *2450:49 *2451:36 0.000178875 +54 *2450:52 *2451:36 0 +*RES +1 *22553:Z *2451:5 13.7491 +2 *2451:5 *2451:6 65.5732 +3 *2451:6 *2451:15 40.701 +4 *2451:15 *2451:27 32.8775 +5 *2451:27 *2451:36 45.9367 +6 *2451:36 *5190:DIODE 13.7491 +7 *2451:36 *22672:A 18.3902 +*END + +*D_NET *2452 0.104682 +*CONN +*I *22673:A I *D sky130_fd_sc_hd__buf_2 +*I *5191:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22554:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22673:A 0.00025354 +2 *5191:DIODE 0 +3 *22554:Z 0.000854555 +4 *2452:22 0.00082874 +5 *2452:19 0.0122417 +6 *2452:18 0.0119705 +7 *2452:13 0.00335257 +8 *2452:12 0.00444029 +9 *2452:8 0.00224629 +10 *22673:A *2989:7 6.50727e-05 +11 *22673:A *2991:8 0 +12 *22673:A *3001:29 2.65831e-05 +13 *2452:8 *2467:8 0 +14 *2452:8 *3373:8 0 +15 *2452:8 *3478:35 0 +16 *2452:12 *2468:26 2.70455e-05 +17 *2452:12 *3373:8 0 +18 *2452:12 *3438:50 0 +19 *2452:12 *3478:35 5.98776e-05 +20 *2452:13 *3148:13 0.000111708 +21 *2452:13 *3454:5 0.00112056 +22 *2452:13 *3454:9 0.00217873 +23 *2452:18 *3148:16 5.50379e-05 +24 *2452:18 *3431:16 5.89064e-05 +25 *2452:19 *6592:DIODE 6.50586e-05 +26 *2452:19 *2455:9 0.0221244 +27 *2452:19 *2474:33 0.00282866 +28 *2452:19 *2474:45 0.00012426 +29 *2452:19 *2474:54 0.000294305 +30 *2452:19 *2977:29 0.000740309 +31 *2452:19 *3400:13 0.00247158 +32 *2452:19 *3449:5 0.0100666 +33 mprj_adr_o_user[25] *22673:A 2.6333e-05 +34 mprj_dat_o_user[24] *22673:A 1.45067e-05 +35 *22551:A *2452:8 1.36556e-05 +36 *22609:A *22673:A 0 +37 *23569:TE *2452:19 2.85274e-05 +38 *23572:A *2452:8 4.99006e-05 +39 *1189:14 *2452:8 1.79807e-05 +40 *1432:9 *2452:19 0.000229024 +41 *1883:31 *2452:19 1.41689e-05 +42 *1883:35 *2452:19 0.00536178 +43 *2083:23 *2452:12 2.02035e-05 +44 *2134:35 *2452:8 0.000116283 +45 *2281:15 *2452:18 0.000126934 +46 *2380:18 *2452:22 0 +47 *2381:30 *2452:22 0 +48 *2383:19 *2452:19 0.01938 +49 *2445:21 *2452:19 0.000485988 +50 *2445:23 *2452:19 0.000189533 +*RES +1 *22554:Z *2452:8 31.7638 +2 *2452:8 *2452:12 31.9921 +3 *2452:12 *2452:13 52.8561 +4 *2452:13 *2452:18 15.3998 +5 *2452:18 *2452:19 516.505 +6 *2452:19 *2452:22 16.298 +7 *2452:22 *5191:DIODE 13.7491 +8 *2452:22 *22673:A 19.2113 +*END + +*D_NET *2453 0.152121 +*CONN +*I *22674:A I *D sky130_fd_sc_hd__buf_2 +*I *5192:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22555:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22674:A 0.000262131 +2 *5192:DIODE 0 +3 *22555:Z 7.92233e-05 +4 *2453:24 0.000755983 +5 *2453:21 0.013432 +6 *2453:19 0.0141393 +7 *2453:10 0.00358693 +8 *2453:9 0.00246505 +9 *22674:A *2980:9 2.16355e-05 +10 *22674:A *2989:27 6.08467e-05 +11 *22674:A *2992:8 0 +12 *2453:10 *2459:12 0.000961638 +13 *2453:10 *2472:6 0 +14 *2453:10 *3185:14 0.000174314 +15 *2453:10 *3456:16 0.0013763 +16 *2453:19 *2456:20 0.00577807 +17 *2453:19 *2460:15 0.00748607 +18 *2453:21 *2455:25 0.0255696 +19 *2453:21 *2456:20 0.00303144 +20 *2453:21 *2456:21 0.0573158 +21 *2453:21 *2472:13 0.000238938 +22 *2453:21 *2504:42 0.00236827 +23 *2453:21 *2977:23 0.000425458 +24 *2453:21 *2977:29 0.00575049 +25 *2453:21 *3137:7 0.000118134 +26 *2453:21 *3137:9 0.000108607 +27 *2453:21 *3166:5 0.000149641 +28 *2453:21 *3166:9 0.000110257 +29 *2453:21 *3422:5 0.000252021 +30 *2453:24 *2992:8 0 +31 mprj_adr_o_user[26] *22674:A 6.50206e-05 +32 mprj_dat_o_user[25] *22674:A 1.18458e-05 +33 *22610:A *22674:A 0 +34 *1947:36 *2453:9 2.32834e-05 +35 *2051:19 *2453:21 0.000614818 +36 *2084:25 *2453:10 0.000650494 +37 *2313:21 *2453:10 9.75356e-05 +38 *2315:38 *2453:9 0.000173357 +39 *2349:36 *2453:21 0.00205726 +40 *2381:29 *2453:21 0.000304302 +41 *2381:30 *2453:24 0 +42 *2383:28 *2453:24 0 +43 *2415:14 *2453:19 0.00105642 +44 *2415:26 *2453:19 0.000768373 +45 *2415:26 *2453:21 0.000280485 +*RES +1 *22555:Z *2453:9 16.5704 +2 *2453:9 *2453:10 65.158 +3 *2453:10 *2453:19 14.8473 +4 *2453:19 *2453:21 82.2386 +5 *2453:21 *2453:24 12.8829 +6 *2453:24 *5192:DIODE 13.7491 +7 *2453:24 *22674:A 19.2113 +*END + +*D_NET *2454 0.115393 +*CONN +*I *22675:A I *D sky130_fd_sc_hd__buf_2 +*I *5193:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22556:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22675:A 0.000269127 +2 *5193:DIODE 0 +3 *22556:Z 2.06324e-05 +4 *2454:82 0.00144129 +5 *2454:79 0.00417863 +6 *2454:78 0.00300647 +7 *2454:76 0.00131144 +8 *2454:59 0.00190726 +9 *2454:58 0.00135487 +10 *2454:47 0.00136039 +11 *2454:41 0.00106515 +12 *2454:35 0.00216783 +13 *2454:33 0.00210515 +14 *2454:21 0.00311691 +15 *2454:20 0.00271578 +16 *2454:18 0.000822561 +17 *2454:13 0.00206625 +18 *2454:9 0.00189837 +19 *2454:5 0.000675313 +20 *22675:A *2980:9 2.65831e-05 +21 *22675:A *2989:27 6.50727e-05 +22 *22675:A *2993:8 0 +23 *2454:9 *3692:DIODE 0.000115842 +24 *2454:9 *4896:DIODE 2.15184e-05 +25 *2454:9 *3453:17 0.00361117 +26 *2454:13 *4896:DIODE 7.68538e-06 +27 *2454:18 *2464:11 0 +28 *2454:18 *2465:8 0 +29 *2454:18 *3437:27 0.00153648 +30 *2454:18 *3438:49 0.00301285 +31 *2454:21 *21499:A 6.63134e-05 +32 *2454:21 *3167:5 0.000209228 +33 *2454:33 *4890:DIODE 2.41483e-05 +34 *2454:33 *2904:31 0.000191573 +35 *2454:33 *2907:22 3.74433e-05 +36 *2454:33 *3145:16 3.26015e-05 +37 *2454:33 *3153:37 0.00105695 +38 *2454:33 *3438:35 0.000307884 +39 *2454:33 *3438:37 4.54075e-05 +40 *2454:35 *2904:31 1.92336e-05 +41 *2454:35 *3153:21 0.0001842 +42 *2454:35 *3153:29 0.000879201 +43 *2454:35 *3160:10 0.00149872 +44 *2454:35 *3438:35 0.000105352 +45 *2454:41 *3153:17 9.95922e-06 +46 *2454:41 *3153:21 0.00166832 +47 *2454:41 *3155:5 6.36999e-05 +48 *2454:47 *3153:9 0.0003425 +49 *2454:47 *3153:17 0.00298874 +50 *2454:47 *3153:21 1.41689e-05 +51 *2454:47 *3155:5 0.0032924 +52 *2454:47 *3437:9 0.000775146 +53 *2454:58 *3129:26 2.1203e-06 +54 *2454:58 *3433:19 0.000995634 +55 *2454:59 *3116:39 0.000856852 +56 *2454:59 *3153:7 0.00034067 +57 *2454:59 *3153:9 7.02172e-06 +58 *2454:59 *3437:7 6.25562e-05 +59 *2454:76 *2929:9 5.39418e-05 +60 *2454:76 *2951:27 0.000712522 +61 *2454:76 *3127:11 7.58067e-06 +62 *2454:76 *3402:16 3.89381e-05 +63 *2454:76 *3413:21 7.22263e-05 +64 *2454:79 *2461:64 0.000355794 +65 *2454:79 *2973:15 0.00755786 +66 *2454:79 *3110:15 0.0233554 +67 *2454:79 *3114:19 0.00584888 +68 *2454:82 *2993:8 0 +69 mprj_adr_o_user[27] *22675:A 2.28932e-05 +70 mprj_dat_o_user[26] *22675:A 1.18458e-05 +71 *4606:DIODE *2454:47 0.000413127 +72 *4616:DIODE *2454:35 0.000436825 +73 *6400:DIODE *2454:35 0.000152128 +74 *6425:DIODE *2454:58 3.83492e-06 +75 *22328:A *2454:59 0.000322677 +76 *22330:TE *2454:47 0.000111722 +77 *22335:TE *2454:41 0.000129646 +78 *22472:B *2454:33 4.50601e-05 +79 *22474:B *2454:21 5.31074e-05 +80 *22556:TE *2454:9 3.25584e-05 +81 *22611:A *22675:A 0 +82 *23547:TE *2454:35 2.29454e-05 +83 *23558:TE *2454:59 5.07314e-05 +84 *1189:11 *2454:9 0.000175207 +85 *1293:13 *2454:58 9.84424e-06 +86 *1311:10 *2454:9 4.81714e-05 +87 *1402:26 *2454:76 0.00071672 +88 *1410:29 *2454:35 0.000261013 +89 *1782:9 *2454:76 2.60747e-05 +90 *1806:11 *2454:47 0.000167076 +91 *1820:14 *2454:33 0.000122378 +92 *1823:8 *2454:9 0.00237597 +93 *1901:18 *2454:76 0.000157304 +94 *1909:7 *2454:76 0.000965395 +95 *1926:20 *2454:59 0.00440982 +96 *1931:23 *2454:59 0.000395909 +97 *1940:36 *2454:21 0.000317663 +98 *1941:54 *2454:13 9.58056e-05 +99 *1941:54 *2454:18 0.000720238 +100 *1941:56 *2454:13 0.00150253 +101 *2056:28 *2454:35 0.000995237 +102 *2129:56 *2454:58 0.00269503 +103 *2129:56 *2454:59 0.000378048 +104 *2134:20 *2454:21 0.000492902 +105 *2134:35 *2454:18 0.000213725 +106 *2288:8 *2454:21 0.00161446 +107 *2383:28 *2454:82 0 +108 *2384:26 *2454:82 0 +109 *2385:22 *2454:76 8.93085e-05 +110 *2439:22 *2454:76 3.83003e-05 +111 *2440:27 *2454:79 0.000637928 +*RES +1 *22556:Z *2454:5 9.82786 +2 *2454:5 *2454:9 44.0066 +3 *2454:9 *2454:13 21.8224 +4 *2454:13 *2454:18 48.5085 +5 *2454:18 *2454:20 4.5 +6 *2454:20 *2454:21 45.6463 +7 *2454:21 *2454:33 27.7138 +8 *2454:33 *2454:35 57.293 +9 *2454:35 *2454:41 19.4835 +10 *2454:41 *2454:47 49.7214 +11 *2454:47 *2454:58 21.3855 +12 *2454:58 *2454:59 47.3101 +13 *2454:59 *2454:76 49.3284 +14 *2454:76 *2454:78 4.5 +15 *2454:78 *2454:79 255.286 +16 *2454:79 *2454:82 27.0946 +17 *2454:82 *5193:DIODE 13.7491 +18 *2454:82 *22675:A 19.2113 +*END + +*D_NET *2455 0.162517 +*CONN +*I *22676:A I *D sky130_fd_sc_hd__buf_2 +*I *5194:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22557:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22676:A 0.000270397 +2 *5194:DIODE 0 +3 *22557:Z 0 +4 *2455:26 0.000817852 +5 *2455:25 0.00510233 +6 *2455:9 0.0340838 +7 *2455:8 0.0295289 +8 *2455:6 0.00203765 +9 *2455:5 0.00203765 +10 *22676:A *2980:9 2.16355e-05 +11 *22676:A *2989:27 6.08467e-05 +12 *22676:A *2994:9 0 +13 *2455:6 *3192:8 0.00375819 +14 *2455:6 *3196:6 0.00111951 +15 *2455:9 *2508:9 0.0130913 +16 *2455:9 *2508:15 7.71696e-05 +17 *2455:9 *2977:29 0.000492358 +18 *2455:9 *3167:19 3.59302e-05 +19 *2455:9 *3449:5 0.00477576 +20 *2455:9 *3453:24 0.00211427 +21 *2455:25 *2474:45 0.000271971 +22 *2455:25 *2483:20 0.00417626 +23 *2455:25 *2929:9 1.0992e-05 +24 *2455:25 *2977:23 0.00730611 +25 *2455:25 *2977:29 0.00323607 +26 *2455:25 *3397:17 1.4249e-05 +27 mprj_adr_o_user[28] *22676:A 6.50206e-05 +28 mprj_dat_o_user[27] *22676:A 1.18458e-05 +29 *4329:DIODE *22676:A 0 +30 *1196:18 *2455:6 8.98279e-05 +31 *2351:12 *2455:6 0.000215491 +32 *2384:26 *2455:26 0 +33 *2452:19 *2455:9 0.0221244 +34 *2453:21 *2455:25 0.0255696 +*RES +1 *22557:Z *2455:5 13.7491 +2 *2455:5 *2455:6 71.1791 +3 *2455:6 *2455:8 4.5 +4 *2455:8 *2455:9 524.269 +5 *2455:9 *2455:25 48.2574 +6 *2455:25 *2455:26 10.3447 +7 *2455:26 *5194:DIODE 13.7491 +8 *2455:26 *22676:A 19.2113 +*END + +*D_NET *2456 0.164081 +*CONN +*I *22677:A I *D sky130_fd_sc_hd__buf_2 +*I *5195:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22558:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22677:A 0.000282616 +2 *5195:DIODE 0 +3 *22558:Z 0 +4 *2456:24 0.000568849 +5 *2456:21 0.0139553 +6 *2456:20 0.0175714 +7 *2456:6 0.00578816 +8 *2456:5 0.00188577 +9 *22677:A *2988:15 6.31036e-06 +10 *22677:A *2991:17 2.1203e-06 +11 *22677:A *2994:9 1.7747e-05 +12 *22677:A *2998:56 1.81797e-05 +13 *2456:6 *2491:6 0.00115631 +14 *2456:6 *3065:6 0.00071194 +15 *2456:6 *3179:39 4.04447e-05 +16 *2456:6 *3466:8 0.000121147 +17 *2456:20 *2460:15 0.000894358 +18 *2456:20 *2467:10 1.71186e-05 +19 *2456:20 *2483:33 0.000940529 +20 *2456:20 *2504:30 0.00723881 +21 *2456:20 *2977:29 2.17779e-05 +22 *2456:20 *3157:8 9.15136e-05 +23 *2456:20 *3448:14 0 +24 *2456:21 *2483:24 0.00120742 +25 *2456:21 *3128:11 0.0163596 +26 *2456:21 *3128:26 0.00073553 +27 *2456:21 *3137:13 0.00010238 +28 *2349:36 *2456:20 0.00317773 +29 *2353:18 *2456:6 0.00093626 +30 *2381:29 *2456:21 0.00345009 +31 *2385:44 *22677:A 0 +32 *2385:44 *2456:24 0 +33 *2389:17 *2456:21 0.000598545 +34 *2415:14 *2456:20 0.00740198 +35 *2415:26 *2456:21 0.0119604 +36 *2449:9 *2456:21 0.000695088 +37 *2453:19 *2456:20 0.00577807 +38 *2453:21 *2456:20 0.00303144 +39 *2453:21 *2456:21 0.0573158 +*RES +1 *22558:Z *2456:5 13.7491 +2 *2456:5 *2456:6 56.8529 +3 *2456:6 *2456:20 44.2432 +4 *2456:20 *2456:21 74.8573 +5 *2456:21 *2456:24 8.73042 +6 *2456:24 *5195:DIODE 13.7491 +7 *2456:24 *22677:A 19.1874 +*END + +*D_NET *2457 0.144754 +*CONN +*I *5196:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22678:A I *D sky130_fd_sc_hd__buf_2 +*I *22559:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5196:DIODE 0 +2 *22678:A 0.000382665 +3 *22559:Z 9.44688e-05 +4 *2457:44 0.00249317 +5 *2457:41 0.00870642 +6 *2457:29 0.0163014 +7 *2457:13 0.0137347 +8 *2457:7 0.00412359 +9 *22678:A *2988:15 6.99486e-05 +10 *22678:A *2989:27 6.50727e-05 +11 *22678:A *3001:29 2.65831e-05 +12 *2457:13 *4902:DIODE 0 +13 *2457:13 *2489:17 0.00250681 +14 *2457:13 *2518:14 0.00180735 +15 *2457:13 *2911:42 0 +16 *2457:29 *2464:11 0.00811803 +17 *2457:29 *2464:23 0.0130232 +18 *2457:29 *2489:17 0.00228685 +19 *2457:29 *2891:18 6.03122e-05 +20 *2457:29 *2892:19 5.76799e-05 +21 *2457:29 *3123:50 0.000532336 +22 *2457:29 *3132:9 1.58061e-05 +23 *2457:29 *3339:23 0.00996342 +24 *2457:41 *2458:31 0.000240875 +25 *2457:41 *2464:23 0.00886461 +26 *2457:41 *2464:25 0.0160273 +27 *2457:41 *2601:20 6.03122e-05 +28 *2457:41 *2862:17 0.000137341 +29 *2457:41 *2873:19 0.000127605 +30 *2457:41 *2878:19 0.000106353 +31 *2457:41 *2878:40 0.00133044 +32 *2457:41 *2880:20 6.21462e-05 +33 *2457:41 *2884:19 0.0027799 +34 *2457:41 *2885:18 6.21462e-05 +35 *2457:41 *2929:21 0.00576006 +36 *2457:41 *3123:50 0.0011587 +37 *2457:41 *3126:17 0.000154795 +38 *2457:41 *3127:11 0.000231712 +39 *2457:41 *3132:9 0.00290514 +40 *2457:41 *3164:9 0.000145548 +41 *2457:41 *3413:21 0.000252038 +42 *2457:44 *2461:74 0 +43 *2457:44 *2999:9 0 +44 mprj_adr_o_user[30] *22678:A 2.34394e-05 +45 mprj_dat_o_user[29] *22678:A 1.32543e-05 +46 *4909:DIODE *2457:7 0.000171288 +47 *22478:B *2457:13 0.000178 +48 *1404:43 *2457:29 0.00104542 +49 *1871:10 *2457:44 0 +50 *1943:59 *2457:13 3.94849e-05 +51 *1946:80 *2457:7 0.000187123 +52 *2044:20 *2457:29 0.00010238 +53 *2088:33 *2457:29 0.00899086 +54 *2129:56 *2457:13 0 +55 *2129:56 *2457:29 0 +56 *2133:28 *2457:29 0.00763564 +57 *2133:30 *2457:29 0.00028396 +58 *2345:44 *2457:7 0.000627661 +59 *2380:15 *2457:41 0.000152056 +60 *2386:38 *22678:A 7.09666e-06 +61 *2386:38 *2457:44 0 +62 *2414:11 *2457:13 0.000519275 +*RES +1 *22559:Z *2457:7 20.5732 +2 *2457:7 *2457:13 47.0641 +3 *2457:13 *2457:29 49.3461 +4 *2457:29 *2457:41 40.9404 +5 *2457:41 *2457:44 43.9123 +6 *2457:44 *22678:A 25.5439 +7 *2457:44 *5196:DIODE 9.24915 +*END + +*D_NET *2458 0.137114 +*CONN +*I *22679:A I *D sky130_fd_sc_hd__buf_2 +*I *5197:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22560:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22679:A 0.000138236 +2 *5197:DIODE 0 +3 *22560:Z 2.12994e-05 +4 *2458:34 0.00121258 +5 *2458:31 0.0130842 +6 *2458:30 0.012979 +7 *2458:21 0.00602035 +8 *2458:19 0.00507304 +9 *2458:17 0.00165347 +10 *2458:16 0.00171936 +11 *2458:11 0.00331575 +12 *2458:10 0.0048662 +13 *2458:7 0.00165947 +14 *22679:A *22691:A 0 +15 *22679:A *2473:52 0 +16 *2458:10 *3155:30 0.000107063 +17 *2458:10 *3156:12 0.000174791 +18 *2458:10 *3284:6 0 +19 *2458:10 *3431:16 0.000275845 +20 *2458:11 *3159:11 0.0053522 +21 *2458:16 *3141:36 0 +22 *2458:17 *2475:19 0.000117719 +23 *2458:17 *2475:31 0.000107534 +24 *2458:17 *3436:23 0.00150804 +25 *2458:21 *3029:21 0.000626312 +26 *2458:21 *3129:27 0.00567646 +27 *2458:21 *3132:17 8.66138e-05 +28 *2458:21 *3132:27 0.000782772 +29 *2458:21 *3146:5 9.68627e-06 +30 *2458:21 *3150:7 2.39581e-05 +31 *2458:21 *3436:21 9.39633e-05 +32 *2458:30 *2870:76 7.26959e-06 +33 *2458:30 *2882:39 0.00111861 +34 *2458:30 *3146:5 0.000386934 +35 *2458:31 *2464:25 0 +36 *2458:31 *2862:17 0.000112976 +37 *2458:31 *2865:54 0.000101365 +38 *2458:31 *2873:19 0.000118462 +39 *2458:31 *2880:43 0.00144084 +40 *2458:31 *2929:9 3.71926e-05 +41 *2458:31 *2929:21 0.0028947 +42 *2458:31 *3029:31 0.000110257 +43 *2458:31 *3130:23 0.000110257 +44 *2458:31 *3135:17 0.00116888 +45 *2458:34 *2473:52 0 +46 *4598:DIODE *2458:21 0.000561423 +47 *6377:DIODE *2458:17 0.000164843 +48 *22326:TE *2458:21 2.41483e-05 +49 *22326:TE *2458:30 6.50727e-05 +50 *22560:A *2458:7 6.08467e-05 +51 *23535:A *2458:17 0.000102003 +52 *1374:9 *2458:31 0.00010238 +53 *1398:15 *2458:17 0.00173425 +54 *1398:15 *2458:21 1.67988e-05 +55 *1402:13 *2458:31 0.000101365 +56 *1414:36 *2458:17 0.00130741 +57 *1946:58 *2458:7 2.15184e-05 +58 *2021:34 *2458:31 0.00539336 +59 *2063:29 *2458:31 0.000219165 +60 *2126:25 *2458:10 0.000384352 +61 *2285:10 *2458:7 0.000113896 +62 *2286:7 *2458:10 0 +63 *2287:8 *2458:10 0.000757422 +64 *2288:7 *2458:10 0.000289435 +65 *2317:13 *22679:A 0.000104412 +66 *2317:13 *2458:34 0.000865296 +67 *2375:13 *22679:A 6.50727e-05 +68 *2398:21 *2458:21 0.00010238 +69 *2398:21 *2458:30 0.000120587 +70 *2434:11 *2458:31 0.049902 +71 *2457:41 *2458:31 0.000240875 +*RES +1 *22560:Z *2458:7 15.0271 +2 *2458:7 *2458:10 45.781 +3 *2458:10 *2458:11 57.8476 +4 *2458:11 *2458:16 10.832 +5 *2458:16 *2458:17 58.4022 +6 *2458:17 *2458:19 0.578717 +7 *2458:19 *2458:21 90.0146 +8 *2458:21 *2458:30 34.0858 +9 *2458:30 *2458:31 64.9136 +10 *2458:31 *2458:34 36.5523 +11 *2458:34 *5197:DIODE 13.7491 +12 *2458:34 *22679:A 18.3808 +*END + +*D_NET *2459 0.0591088 +*CONN +*I *6010:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23352:A I *D sky130_fd_sc_hd__nand2_1 +*I *21630:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6010:DIODE 0 +2 *23352:A 7.61332e-05 +3 *21630:X 0.000952898 +4 *2459:14 7.61332e-05 +5 *2459:12 0.00537157 +6 *2459:11 0.00537157 +7 *2459:9 0.00273202 +8 *2459:8 0.00368492 +9 *23352:A *2631:17 8.64186e-05 +10 *23352:A *2794:19 0.000481227 +11 *2459:8 *5485:DIODE 2.99929e-05 +12 *2459:8 *22713:A 0 +13 *2459:8 *22968:A 6.96958e-05 +14 *2459:8 *2985:52 1.2693e-05 +15 *2459:8 *3067:20 0 +16 *2459:8 *3069:37 0.000537688 +17 *2459:8 *3351:14 0.000246616 +18 *2459:9 *2496:11 0.00080426 +19 *2459:9 *2496:13 0.019558 +20 *2459:9 *2596:11 0.000830827 +21 *2459:9 *2596:15 0.0102767 +22 *2459:12 *2496:16 0.00130003 +23 *2459:12 *2920:93 6.88784e-05 +24 *2459:12 *3185:14 0.000210061 +25 la_oenb_core[106] *2459:8 0.000120584 +26 *6011:DIODE *23352:A 0.000277488 +27 *23491:TE *2459:9 6.50727e-05 +28 *1333:6 *2459:12 0 +29 *2084:25 *2459:12 0.00068198 +30 *2125:20 *2459:9 0.00012601 +31 *2313:21 *2459:12 0.000101133 +32 *2358:9 *2459:9 0.00399652 +33 *2409:6 *2459:8 0 +34 *2453:10 *2459:12 0.000961638 +*RES +1 *21630:X *2459:8 44.9963 +2 *2459:8 *2459:9 232.548 +3 *2459:9 *2459:11 4.5 +4 *2459:11 *2459:12 125.577 +5 *2459:12 *2459:14 4.5 +6 *2459:14 *23352:A 14.9881 +7 *2459:14 *6010:DIODE 9.24915 +*END + +*D_NET *2460 0.074438 +*CONN +*I *6208:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23451:A I *D sky130_fd_sc_hd__nand2_1 +*I *21631:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6208:DIODE 0 +2 *23451:A 4.62474e-05 +3 *21631:X 0.00224342 +4 *2460:38 0.000142077 +5 *2460:33 0.00968296 +6 *2460:15 0.0118306 +7 *2460:15 *23067:A 4.05054e-05 +8 *2460:15 *23647:A 6.1449e-05 +9 *2460:15 *2483:33 0.00757144 +10 *2460:15 *2982:48 4.63742e-05 +11 *2460:15 *2986:26 0.000116986 +12 *2460:15 *3004:26 2.01653e-05 +13 *2460:15 *3460:6 5.62813e-05 +14 *2460:15 *3478:140 3.02881e-05 +15 *2460:15 *3478:574 5.0792e-05 +16 *2460:33 *2483:24 0.00410343 +17 *2460:33 *3306:21 0.000684649 +18 *2460:33 *3306:34 0.00070537 +19 *2460:33 *3362:32 1.87318e-05 +20 *2460:33 *3395:26 0 +21 *2460:33 *3395:35 0.000467433 +22 la_oenb_core[80] *2460:15 6.34651e-06 +23 *6209:DIODE *23451:A 0.000171273 +24 *1147:22 *2460:33 0.000152345 +25 *1157:24 *2460:33 0.000471801 +26 *1157:27 *23451:A 0.000423922 +27 *1175:56 *2460:38 8.56161e-05 +28 *1980:38 *23451:A 1.41853e-05 +29 *1980:40 *23451:A 0.000222149 +30 *1984:33 *2460:33 0.00224035 +31 *2081:27 *2460:33 0.000148835 +32 *2084:15 *2460:33 0.000118134 +33 *2241:38 *2460:33 0.00277567 +34 *2251:25 *2460:33 0.00292777 +35 *2252:27 *2460:33 0.00421374 +36 *2258:29 *2460:33 0.00102644 +37 *2283:18 *2460:15 0.00122985 +38 *2283:18 *2460:33 0.00113943 +39 *2349:17 *2460:15 0.00145006 +40 *2349:36 *2460:15 0.000623285 +41 *2365:27 *2460:38 1.5656e-05 +42 *2404:47 *2460:33 5.93953e-05 +43 *2415:26 *2460:15 3.65304e-05 +44 *2415:26 *2460:33 0.00739071 +45 *2437:23 *2460:33 0.00119496 +46 *2453:19 *2460:15 0.00748607 +47 *2456:20 *2460:15 0.000894358 +*RES +1 *21631:X *2460:15 42.8312 +2 *2460:15 *2460:33 47.861 +3 *2460:33 *2460:38 9.90841 +4 *2460:38 *23451:A 13.8548 +5 *2460:38 *6208:DIODE 9.24915 +*END + +*D_NET *2461 0.168603 +*CONN +*I *22680:A I *D sky130_fd_sc_hd__buf_2 +*I *5198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22561:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22680:A 0.00036013 +2 *5198:DIODE 0.000149813 +3 *22561:Z 0 +4 *2461:74 0.00203662 +5 *2461:64 0.00368959 +6 *2461:47 0.00941013 +7 *2461:30 0.00887847 +8 *2461:24 0.00673979 +9 *2461:8 0.00672557 +10 *2461:5 0.00161704 +11 *5198:DIODE *6587:DIODE 5.88009e-05 +12 *5198:DIODE *2993:9 0.000107496 +13 *5198:DIODE *2999:9 8.23644e-05 +14 *22680:A *6583:DIODE 0.000239367 +15 *22680:A *6587:DIODE 0.000115313 +16 *22680:A *23655:A 5.08751e-05 +17 *22680:A *2991:23 0.000111722 +18 *22680:A *2992:12 5.1493e-06 +19 *22680:A *2994:9 6.08467e-05 +20 *22680:A *2999:9 1.72105e-05 +21 *2461:8 *2489:8 0 +22 *2461:8 *2808:22 7.04316e-05 +23 *2461:8 *3177:6 0.00144306 +24 *2461:24 *2468:26 0.00395933 +25 *2461:24 *2493:21 0.00838123 +26 *2461:24 *2499:21 0.00458981 +27 *2461:24 *3170:15 0.000101365 +28 *2461:24 *3458:6 0.000186906 +29 *2461:30 *3430:9 0.000373718 +30 *2461:47 *2463:25 0.0154643 +31 *2461:47 *2463:38 0.0156958 +32 *2461:47 *2468:27 0.00447988 +33 *2461:47 *2599:18 0.000111724 +34 *2461:47 *3110:15 0.000101365 +35 *2461:47 *3127:15 0.000220514 +36 *2461:47 *3427:7 0.000365328 +37 *2461:47 *3427:15 0.00017378 +38 *2461:64 *2463:54 0.00887111 +39 *2461:64 *2751:6 0 +40 *2461:64 *2807:9 0.000252021 +41 *2461:64 *2962:8 0 +42 *2461:64 *2973:15 0.000355794 +43 *2461:64 *3093:30 6.74182e-05 +44 *2461:64 *3094:22 1.00009e-05 +45 *2461:64 *3110:15 0.000137573 +46 *2461:74 *2473:51 0.00217797 +47 *2461:74 *2999:9 0.000368227 +48 *2461:74 *3102:18 1.81263e-05 +49 *2461:74 *3114:19 0.000302792 +50 *2461:74 *3343:14 0.000149641 +51 *1192:48 *2461:8 0.000123168 +52 *1314:9 *2461:8 0.000540541 +53 *1418:9 *2461:47 0.000108607 +54 *1834:25 *2461:8 0.000344975 +55 *1942:25 *2461:24 7.22951e-05 +56 *2055:17 *2461:47 0.00102284 +57 *2111:45 *2461:24 0.0018845 +58 *2125:20 *2461:24 0.0153469 +59 *2125:20 *2461:47 0.0156942 +60 *2349:36 *2461:24 5.40924e-05 +61 *2361:10 *2461:64 0 +62 *2388:42 *22680:A 8.73244e-06 +63 *2388:42 *2461:74 0 +64 *2426:26 *2461:24 0.00336349 +65 *2440:6 *2461:8 0 +66 *2440:27 *2461:47 0.0115164 +67 *2440:27 *2461:64 0.00846848 +68 *2451:36 *2461:74 0.000812668 +69 *2454:79 *2461:64 0.000355794 +70 *2457:44 *2461:74 0 +*RES +1 *22561:Z *2461:5 13.7491 +2 *2461:5 *2461:8 48.5946 +3 *2461:8 *2461:24 48.7638 +4 *2461:24 *2461:30 32.2641 +5 *2461:30 *2461:47 47.6368 +6 *2461:47 *2461:64 44.8844 +7 *2461:64 *2461:74 37.7489 +8 *2461:74 *5198:DIODE 17.0668 +9 *2461:74 *22680:A 22.5139 +*END + +*D_NET *2462 0.148056 +*CONN +*I *22681:A I *D sky130_fd_sc_hd__buf_2 +*I *5199:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22562:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22681:A 0.000170012 +2 *5199:DIODE 0 +3 *22562:Z 0 +4 *2462:46 0.00732267 +5 *2462:34 0.0118606 +6 *2462:19 0.012993 +7 *2462:6 0.0113413 +8 *2462:5 0.00305621 +9 *22681:A *22705:A 2.75867e-05 +10 *22681:A *2806:11 5.35702e-05 +11 *2462:6 *2494:10 0 +12 *2462:6 *2562:16 0 +13 *2462:6 *3180:8 0 +14 *2462:6 *3186:8 0 +15 *2462:19 *2471:11 0.00657352 +16 *2462:19 *2492:21 0.0191778 +17 *2462:19 *2498:14 0.000638129 +18 *2462:19 *2498:26 0.00389874 +19 *2462:19 *2498:36 0.00319327 +20 *2462:19 *2977:29 0.00216655 +21 *2462:34 *2474:32 4.55162e-05 +22 *2462:34 *2616:22 3.76894e-05 +23 *2462:34 *2977:29 0.001237 +24 *2462:34 *3396:6 7.69842e-05 +25 *2462:46 *6592:DIODE 0.000230051 +26 *2462:46 *22705:A 1.98486e-05 +27 *2462:46 *2488:80 0.000103337 +28 *2462:46 *2806:11 1.88878e-05 +29 *2462:46 *3059:26 6.46134e-05 +30 *2462:46 *3411:21 0.000564277 +31 *1831:8 *2462:6 0.000300327 +32 *2059:20 *2462:19 0.00431032 +33 *2068:23 *2462:34 0.00572058 +34 *2073:22 *2462:34 0.0105588 +35 *2073:22 *2462:46 0.00297003 +36 *2075:27 *2462:34 0.00406949 +37 *2123:25 *2462:6 5.22654e-06 +38 *2362:8 *2462:6 0.000121713 +39 *2367:27 *2462:46 0.0166787 +40 *2441:11 *2462:34 0.000240377 +41 *2441:11 *2462:46 0.000263583 +42 *2443:11 *2462:34 0.000126868 +43 *2443:11 *2462:46 0.000265489 +44 *2445:27 *2462:34 0.000120873 +45 *2446:45 *2462:34 0.00026061 +46 *2446:45 *2462:46 0.0111171 +47 *2451:15 *2462:19 0.00138733 +48 *2451:15 *2462:34 0.0030423 +49 *2451:27 *2462:34 0.000598592 +50 *2451:27 *2462:46 0.00102676 +*RES +1 *22562:Z *2462:5 13.7491 +2 *2462:5 *2462:6 64.3275 +3 *2462:6 *2462:19 46.9566 +4 *2462:19 *2462:34 33.2543 +5 *2462:34 *2462:46 47.6154 +6 *2462:46 *5199:DIODE 13.7491 +7 *2462:46 *22681:A 17.5597 +*END + +*D_NET *2463 0.155438 +*CONN +*I *22682:A I *D sky130_fd_sc_hd__buf_2 +*I *5200:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22563:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22682:A 0.00017367 +2 *5200:DIODE 0 +3 *22563:Z 0.000567573 +4 *2463:58 0.0034409 +5 *2463:54 0.00726952 +6 *2463:38 0.00659168 +7 *2463:25 0.00775734 +8 *2463:12 0.0070713 +9 *2463:8 0.00247092 +10 *22682:A *22692:A 0 +11 *22682:A *2474:54 0 +12 *2463:8 *3350:18 0 +13 *2463:12 *3154:36 0 +14 *2463:12 *3168:17 0 +15 *2463:12 *3350:6 0 +16 *2463:12 *3350:18 8.22306e-05 +17 *2463:12 *3436:26 0.000304364 +18 *2463:12 *3451:16 1.86242e-05 +19 *2463:25 *2473:15 0.00010238 +20 *2463:25 *2476:21 0.00756113 +21 *2463:25 *3431:16 1.89595e-05 +22 *2463:38 *2476:21 0.00802559 +23 *2463:38 *2476:34 2.05602e-05 +24 *2463:38 *2599:18 0.000114668 +25 *2463:38 *2751:6 0 +26 *2463:38 *2951:29 0.000364218 +27 *2463:38 *2984:21 0.000466051 +28 *2463:38 *3093:30 3.29488e-05 +29 *2463:38 *3094:22 4.88764e-06 +30 *2463:54 *2476:34 0.00232886 +31 *2463:54 *2807:9 0.000441045 +32 *2463:54 *3110:15 0.000253421 +33 *2463:58 *2468:27 0.000337252 +34 *2463:58 *2468:34 0.00141053 +35 *2463:58 *2473:51 0.00114867 +36 *2463:58 *2474:54 0 +37 *2463:58 *2476:37 0.00387177 +38 *22617:A *22682:A 0 +39 *22617:A *2463:58 0 +40 *1204:22 *2463:12 0 +41 *1946:66 *2463:8 0 +42 *2125:20 *2463:25 0.00069041 +43 *2281:15 *2463:25 8.19081e-05 +44 *2305:5 *2463:8 0.000123986 +45 *2305:5 *2463:12 0 +46 *2310:26 *2463:12 0 +47 *2360:33 *2463:25 3.71926e-05 +48 *2375:13 *22682:A 6.50727e-05 +49 *2390:12 *2463:58 0 +50 *2426:26 *2463:25 0.0105897 +51 *2438:13 *2463:12 0.000622849 +52 *2438:13 *2463:25 0.00296574 +53 *2438:25 *2463:25 0.00119115 +54 *2438:40 *2463:54 6.09999e-05 +55 *2439:13 *2463:38 0.000101365 +56 *2439:37 *2463:38 0.00599262 +57 *2439:37 *2463:54 0.012087 +58 *2439:37 *2463:58 0.00645634 +59 *2440:27 *2463:54 0.00948545 +60 *2440:27 *2463:58 0.00260448 +61 *2461:47 *2463:25 0.0154643 +62 *2461:47 *2463:38 0.0156958 +63 *2461:64 *2463:54 0.00887111 +*RES +1 *22563:Z *2463:8 23.7274 +2 *2463:8 *2463:12 47.5565 +3 *2463:12 *2463:25 41.4387 +4 *2463:25 *2463:38 42.3463 +5 *2463:38 *2463:54 46.594 +6 *2463:54 *2463:58 45.3215 +7 *2463:58 *5200:DIODE 13.7491 +8 *2463:58 *22682:A 18.3808 +*END + +*D_NET *2464 0.152107 +*CONN +*I *22683:A I *D sky130_fd_sc_hd__buf_2 +*I *5201:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22564:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22683:A 0.000260667 +2 *5201:DIODE 3.80166e-05 +3 *22564:Z 0.00343283 +4 *2464:28 0.00172514 +5 *2464:25 0.0143502 +6 *2464:23 0.0179957 +7 *2464:11 0.00850475 +8 *2464:11 *3155:36 0.000265259 +9 *2464:11 *3251:24 1.87318e-05 +10 *2464:11 *3317:17 2.29116e-05 +11 *2464:11 *3433:19 0.00033276 +12 *2464:23 *3073:33 0.000402479 +13 *2464:23 *3123:50 0.0025416 +14 *2464:23 *3251:24 0.0117069 +15 *2464:23 *3251:32 0.00688206 +16 *2464:23 *3413:23 0.000152056 +17 *2464:25 *2470:61 0.00176436 +18 *2464:25 *2884:27 0.0253126 +19 *2464:25 *3071:9 0.00175225 +20 *2464:25 *3101:9 0.00122075 +21 *2464:25 *3123:50 8.54995e-05 +22 *2464:25 *3126:9 0.000682576 +23 *2464:25 *3126:17 0.00011251 +24 *2464:25 *3164:9 0.000404439 +25 *2464:28 *3126:8 0.000114141 +26 mprj_dat_o_user[4] *22683:A 5.41377e-05 +27 *22342:A *2464:11 7.18345e-05 +28 *22618:A *22683:A 0 +29 *22619:A *22683:A 0.00012246 +30 *1203:28 *2464:11 0 +31 *1306:18 *2464:11 5.88631e-05 +32 *1404:27 *2464:23 0.000382623 +33 *1404:31 *2464:23 0.00010238 +34 *1404:43 *2464:23 0.00104539 +35 *1427:9 *2464:11 0.000101365 +36 *2024:41 *2464:23 5.83451e-05 +37 *2074:25 *2464:11 0.00338783 +38 *2129:56 *2464:11 0 +39 *2380:15 *2464:25 0.00011251 +40 *2391:8 *2464:28 0 +41 *2392:12 *22683:A 1.77537e-06 +42 *2392:12 *2464:28 0.000493352 +43 *2454:18 *2464:11 0 +44 *2457:29 *2464:11 0.00811803 +45 *2457:29 *2464:23 0.0130232 +46 *2457:41 *2464:23 0.00886461 +47 *2457:41 *2464:25 0.0160273 +48 *2458:31 *2464:25 0 +*RES +1 *22564:Z *2464:11 42.2361 +2 *2464:11 *2464:23 33.0704 +3 *2464:23 *2464:25 53.2488 +4 *2464:25 *2464:28 42.3659 +5 *2464:28 *5201:DIODE 14.4725 +6 *2464:28 *22683:A 21.3947 +*END + +*D_NET *2465 0.12403 +*CONN +*I *22684:A I *D sky130_fd_sc_hd__buf_2 +*I *5202:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22565:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22684:A 9.65395e-05 +2 *5202:DIODE 0 +3 *22565:Z 0.00126369 +4 *2465:26 0.00115686 +5 *2465:23 0.00557077 +6 *2465:19 0.0102845 +7 *2465:15 0.0152399 +8 *2465:8 0.0107295 +9 *2465:8 *3150:16 0 +10 *2465:8 *3153:44 0.000944701 +11 *2465:8 *3433:20 4.81127e-05 +12 *2465:8 *3438:49 0 +13 *2465:15 *2475:19 0.00187732 +14 *2465:15 *2475:31 0.000546333 +15 *2465:15 *2476:9 0.000166538 +16 *2465:15 *2870:79 0.00012601 +17 *2465:15 *2870:89 0.000291404 +18 *2465:15 *2882:15 5.83513e-05 +19 *2465:15 *2882:27 0.000358644 +20 *2465:15 *2893:64 0.000441827 +21 *2465:15 *3006:28 0.00130096 +22 *2465:15 *3142:21 0.00017378 +23 *2465:15 *3402:23 0.00012601 +24 *2465:15 *3451:5 0.000118367 +25 *2465:19 *3059:23 0.000241456 +26 *2465:23 *2475:35 0.00430982 +27 *2465:23 *2476:37 0.00246082 +28 *2465:23 *3059:23 0.000622175 +29 *2465:26 *3002:8 0 +30 *1203:28 *2465:8 0 +31 *1306:18 *2465:8 7.58407e-05 +32 *2018:19 *2465:19 0.000355814 +33 *2043:23 *2465:15 0.000168785 +34 *2045:17 *2465:15 0.00383916 +35 *2076:19 *2465:15 0.0138906 +36 *2341:16 *2465:8 0 +37 *2360:33 *2465:15 0.00301478 +38 *2367:23 *2465:15 2.7321e-05 +39 *2367:23 *2465:19 0.00011425 +40 *2370:9 *2465:23 0.000571999 +41 *2375:13 *22684:A 0.000228593 +42 *2384:21 *2465:15 0.00998112 +43 *2384:21 *2465:19 0.0143975 +44 *2384:26 *2465:19 0.00225666 +45 *2384:26 *2465:23 0.000234026 +46 *2386:25 *2465:15 0.000224455 +47 *2386:33 *2465:19 0.000370154 +48 *2388:29 *2465:15 0.0010232 +49 *2388:41 *2465:15 0.00166699 +50 *2393:49 *2465:15 0.00104234 +51 *2394:30 *2465:26 0 +52 *2395:6 *2465:26 0.000444443 +53 *2438:13 *2465:15 0.000633615 +54 *2438:25 *2465:15 6.95182e-05 +55 *2438:25 *2465:19 7.48191e-05 +56 *2438:37 *2465:19 0.00797867 +57 *2438:37 *2465:23 0.00105366 +58 *2439:34 *2465:19 0.00155654 +59 *2440:15 *2465:15 0.000181147 +60 *2442:8 *2465:8 0 +61 *2454:18 *2465:8 0 +*RES +1 *22565:Z *2465:8 46.9795 +2 *2465:8 *2465:15 38.6325 +3 *2465:15 *2465:19 32.3387 +4 *2465:19 *2465:23 27.0159 +5 *2465:23 *2465:26 33.9463 +6 *2465:26 *5202:DIODE 9.24915 +7 *2465:26 *22684:A 12.625 +*END + +*D_NET *2466 0.148192 +*CONN +*I *22685:A I *D sky130_fd_sc_hd__buf_2 +*I *5203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22566:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22685:A 0.000156265 +2 *5203:DIODE 0 +3 *22566:Z 9.18093e-06 +4 *2466:18 0.00105206 +5 *2466:15 0.00796154 +6 *2466:14 0.00763315 +7 *2466:9 0.0138213 +8 *2466:8 0.0132631 +9 *2466:9 *2904:22 5.69128e-05 +10 *2466:9 *2907:22 5.69128e-05 +11 *2466:9 *3116:39 0.000149641 +12 *2466:9 *3129:17 0.0122202 +13 *2466:9 *3134:17 0.000144668 +14 *2466:9 *3217:22 0.0119003 +15 *2466:9 *3217:25 0.0151041 +16 *2466:14 *2509:12 0 +17 *2466:14 *3471:10 0.000826983 +18 *2466:15 *2773:17 0.00669557 +19 *2466:15 *2806:11 0.000217951 +20 *22621:A *22685:A 0 +21 *2008:53 *2466:9 0.00126078 +22 *2108:24 *2466:9 0.00166408 +23 *2129:56 *2466:9 0.0301133 +24 *2305:5 *2466:8 1.91391e-05 +25 *2375:8 *22685:A 0.000226281 +26 *2375:8 *2466:18 0.00170243 +27 *2375:13 *22685:A 0.000171273 +28 *2392:9 *2466:15 0.0154992 +29 *2394:26 *2466:14 0.000263237 +30 *2394:27 *2466:15 0.00429854 +31 *2394:30 *2466:18 0 +32 *2398:21 *2466:15 0.000108607 +33 *2398:33 *2466:15 0.00157581 +34 *2438:13 *2466:8 1.91391e-05 +*RES +1 *22566:Z *2466:8 17.4965 +2 *2466:8 *2466:9 57.5705 +3 *2466:9 *2466:14 24.4422 +4 *2466:14 *2466:15 271.37 +5 *2466:15 *2466:18 34.5691 +6 *2466:18 *5203:DIODE 13.7491 +7 *2466:18 *22685:A 19.49 +*END + +*D_NET *2467 0.154935 +*CONN +*I *22686:A I *D sky130_fd_sc_hd__buf_2 +*I *5204:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22567:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22686:A 1.47608e-05 +2 *5204:DIODE 0.000245315 +3 *22567:Z 0.00100691 +4 *2467:16 0.000496437 +5 *2467:13 0.00451691 +6 *2467:12 0.00428055 +7 *2467:10 0.00308157 +8 *2467:8 0.00408849 +9 *5204:DIODE *22687:A 0 +10 *22686:A *3001:27 6.08467e-05 +11 *2467:8 *3384:6 0 +12 *2467:8 *3478:35 0 +13 *2467:10 *2483:24 1.71107e-05 +14 *2467:10 *2492:21 0.000111454 +15 *2467:10 *2983:88 1.48215e-05 +16 *2467:10 *2998:130 0.000321922 +17 *2467:10 *3008:58 1.15862e-05 +18 *2467:10 *3157:8 0 +19 *2467:10 *3373:8 0 +20 *2467:10 *3384:6 0.000978585 +21 *2467:13 *2988:33 0.000517879 +22 *2467:13 *3001:87 0.000453569 +23 la_oenb_core[64] *2467:10 0 +24 mprj_dat_o_user[8] *5204:DIODE 0.000137839 +25 mprj_dat_o_user[8] *2467:16 0.000394678 +26 *4340:DIODE *5204:DIODE 0 +27 *4424:DIODE *2467:10 3.77755e-05 +28 *5021:DIODE *2467:8 0 +29 *22554:A *2467:8 1.67245e-05 +30 *348:8 *2467:10 0.000326532 +31 *1030:8 *22686:A 6.08467e-05 +32 *1030:8 *2467:16 0 +33 *1137:50 *2467:8 0 +34 *1183:28 *2467:8 1.5714e-05 +35 *2131:24 *2467:8 0.000102923 +36 *2327:14 *2467:13 0.067623 +37 *2435:13 *2467:13 0.0659832 +38 *2452:8 *2467:8 0 +39 *2456:20 *2467:10 1.71186e-05 +*RES +1 *22567:Z *2467:8 32.4966 +2 *2467:8 *2467:10 67.2342 +3 *2467:10 *2467:12 4.5 +4 *2467:12 *2467:13 707.288 +5 *2467:13 *2467:16 12.1455 +6 *2467:16 *5204:DIODE 19.7337 +7 *2467:16 *22686:A 14.4725 +*END + +*D_NET *2468 0.18359 +*CONN +*I *22687:A I *D sky130_fd_sc_hd__buf_2 +*I *5205:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22568:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22687:A 0.000160591 +2 *5205:DIODE 2.59387e-05 +3 *22568:Z 2.11943e-05 +4 *2468:34 0.00161457 +5 *2468:27 0.0115787 +6 *2468:26 0.0118945 +7 *2468:10 0.00320269 +8 *2468:7 0.00148001 +9 *5205:DIODE *3002:9 6.50586e-05 +10 *2468:7 *2920:87 0.000224381 +11 *2468:10 *2473:12 0 +12 *2468:10 *2914:21 0.000104368 +13 *2468:10 *3169:30 0.000411039 +14 *2468:10 *3185:6 0.000475379 +15 *2468:26 *2490:20 0.000904287 +16 *2468:26 *2499:21 0.0020681 +17 *2468:26 *3167:16 0.000207759 +18 *2468:26 *3373:8 4.41587e-05 +19 *2468:26 *3428:8 0.000212354 +20 *2468:27 *2473:31 0.0162945 +21 *2468:27 *2473:43 0.0183282 +22 *2468:27 *2473:51 0.0175268 +23 *2468:27 *2973:15 0.000546165 +24 *2468:27 *3114:19 0.00012875 +25 *2468:27 *3418:11 0.000224455 +26 *2468:34 *2473:51 0.00248702 +27 *4340:DIODE *22687:A 3.4475e-05 +28 *5204:DIODE *22687:A 0 +29 *1161:49 *2468:7 0.000220183 +30 *1321:16 *2468:10 1.29348e-05 +31 *1416:7 *2468:27 0.000220514 +32 *1443:10 *2468:10 0.000489936 +33 *1827:11 *2468:10 0.000759185 +34 *1944:25 *2468:10 4.9932e-05 +35 *2055:17 *2468:27 0.00107124 +36 *2083:23 *2468:27 0.00170897 +37 *2111:45 *2468:26 0.00359092 +38 *2125:20 *2468:27 0.0238181 +39 *2397:52 *22687:A 0 +40 *2397:52 *2468:34 0 +41 *2426:26 *2468:26 0.00395933 +42 *2436:6 *2468:10 0 +43 *2437:22 *2468:27 0.00924285 +44 *2440:27 *2468:27 0.0379661 +45 *2452:12 *2468:26 2.70455e-05 +46 *2461:24 *2468:26 0.00395933 +47 *2461:47 *2468:27 0.00447988 +48 *2463:58 *2468:27 0.000337252 +49 *2463:58 *2468:34 0.00141053 +*RES +1 *22568:Z *2468:7 16.1364 +2 *2468:7 *2468:10 45.2726 +3 *2468:10 *2468:26 32.3238 +4 *2468:26 *2468:27 91.8763 +5 *2468:27 *2468:34 28.0283 +6 *2468:34 *5205:DIODE 14.4725 +7 *2468:34 *22687:A 17.6574 +*END + +*D_NET *2469 0.128383 +*CONN +*I *22688:A I *D sky130_fd_sc_hd__buf_2 +*I *5206:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22569:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22688:A 0.000188352 +2 *5206:DIODE 3.59397e-05 +3 *22569:Z 0.00068911 +4 *2469:39 0.00480824 +5 *2469:37 0.00643839 +6 *2469:25 0.00357662 +7 *2469:24 0.00181738 +8 *2469:19 0.0223392 +9 *2469:18 0.0234726 +10 *2469:14 0.00267378 +11 *2469:8 0.00213424 +12 *2469:14 *3147:34 0.000330362 +13 *2469:14 *3284:11 0.000367224 +14 *2469:14 *3317:17 0.00172935 +15 *2469:14 *3317:21 1.41689e-05 +16 *2469:18 *3147:34 0.00191684 +17 *2469:18 *3440:21 0.00128455 +18 *2469:19 *2483:24 0.000456151 +19 *2469:24 *3164:16 0 +20 *2469:24 *3450:6 1.60415e-05 +21 *2469:25 *6582:DIODE 6.3657e-05 +22 *2469:25 *6587:DIODE 6.50727e-05 +23 *2469:25 *6588:DIODE 6.50727e-05 +24 *2469:25 *23653:A 0.000150646 +25 *2469:25 *2488:47 0.000195941 +26 *2469:25 *2488:49 0.000511678 +27 *2469:25 *2488:51 0.000258275 +28 *2469:25 *2488:80 0.000195315 +29 *2469:25 *2488:82 0.000159322 +30 *2469:25 *2992:21 0.000111708 +31 *2469:25 *3112:13 0.0026051 +32 *2469:39 *2472:48 0.00014667 +33 *4333:DIODE *2469:25 0.000122378 +34 *5182:DIODE *2469:39 1.31657e-05 +35 *5184:DIODE *2469:39 3.14978e-05 +36 *1308:10 *2469:14 0.000113265 +37 *1308:10 *2469:18 3.6994e-05 +38 *2281:7 *2469:14 0 +39 *2281:7 *2469:18 0 +40 *2289:17 *2469:8 3.3239e-06 +41 *2291:22 *2469:8 0 +42 *2296:9 *2469:8 4.95836e-05 +43 *2298:7 *2469:8 0.000226538 +44 *2380:18 *2469:37 4.51485e-05 +45 *2381:29 *2469:25 0.000252021 +46 *2397:52 *22688:A 0 +47 *2442:8 *2469:8 0 +48 *2442:19 *2469:25 0.00811391 +49 *2442:19 *2469:37 0.00114516 +50 *2442:23 *2469:39 0.000255194 +51 *2444:17 *2469:19 0.0373129 +52 *2444:17 *2469:37 0.000167076 +53 *2444:21 *2469:37 1.8627e-05 +54 *2444:21 *2469:39 0.0016358 +55 *2451:36 *2469:37 2.32122e-05 +*RES +1 *22569:Z *2469:8 32.1235 +2 *2469:8 *2469:14 45.9768 +3 *2469:14 *2469:18 43.2039 +4 *2469:18 *2469:19 401.147 +5 *2469:19 *2469:24 10.832 +6 *2469:24 *2469:25 87.5189 +7 *2469:25 *2469:37 42.4136 +8 *2469:37 *2469:39 112.199 +9 *2469:39 *5206:DIODE 9.97254 +10 *2469:39 *22688:A 22.5727 +*END + +*D_NET *2470 0.173842 +*CONN +*I *22689:A I *D sky130_fd_sc_hd__buf_2 +*I *5207:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22573:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22689:A 0.000209166 +2 *5207:DIODE 0 +3 *22573:Z 1.23545e-05 +4 *2470:62 0.00196613 +5 *2470:61 0.0160109 +6 *2470:58 0.0144428 +7 *2470:53 0.0067321 +8 *2470:52 0.00738658 +9 *2470:46 0.00161696 +10 *2470:43 0.00344687 +11 *2470:39 0.00379712 +12 *2470:35 0.00195467 +13 *2470:31 0.00239553 +14 *2470:20 0.00311323 +15 *2470:9 0.0134379 +16 *2470:8 0.0119017 +17 *2470:8 *2573:12 4.20184e-06 +18 *2470:9 *2914:21 0.00483904 +19 *2470:9 *3149:29 0.00186937 +20 *2470:9 *3284:13 0.000259915 +21 *2470:9 *3295:21 0.00141652 +22 *2470:9 *3317:23 0.00021387 +23 *2470:20 *2903:53 0 +24 *2470:20 *3141:36 0 +25 *2470:20 *3145:15 0.00330653 +26 *2470:31 *3147:25 0.000264545 +27 *2470:35 *3677:DIODE 0.000268824 +28 *2470:35 *2890:27 0.000380854 +29 *2470:35 *3106:15 0.0013052 +30 *2470:35 *3147:15 0.000746203 +31 *2470:35 *3147:25 7.68538e-06 +32 *2470:39 *2890:27 1.65872e-05 +33 *2470:39 *3120:27 0.000876061 +34 *2470:39 *3147:15 0.00425306 +35 *2470:43 *3147:15 0.000752565 +36 *2470:52 *2918:23 3.03403e-05 +37 *2470:53 *3116:13 0.000998427 +38 *2470:53 *3116:23 2.97421e-05 +39 *2470:53 *3133:9 0.00222862 +40 *2470:53 *3133:17 0.000915287 +41 *2470:53 *3355:7 0.00207178 +42 *2470:58 *2497:8 0.000268314 +43 *2470:58 *3188:44 4.85776e-05 +44 *2470:61 *2818:19 0.000187155 +45 *2470:61 *2829:21 0.00017754 +46 *2470:61 *2829:27 0.000412718 +47 *2470:61 *2836:44 0.000115848 +48 *2470:61 *2838:42 0.000624932 +49 *2470:61 *2841:30 0.00012601 +50 *2470:61 *2884:27 0.00218079 +51 *2470:61 *3103:9 0.000253087 +52 *2470:61 *3121:18 0.00209881 +53 *2470:61 *3129:17 0.00017777 +54 *2470:61 *3138:47 0.00109583 +55 *2470:61 *3152:11 0 +56 *2470:61 *3368:5 0.000682483 +57 *2470:61 *3368:13 0.00012601 +58 *2470:61 *3378:16 0.000395772 +59 *2470:62 *2695:10 0 +60 mprj_sel_o_user[0] *22689:A 2.41274e-06 +61 *5017:DIODE *2470:31 1.41291e-05 +62 *5017:DIODE *2470:35 1.61631e-05 +63 *22343:A *2470:20 8.24277e-06 +64 *22456:B *2470:46 8.22553e-05 +65 *22537:A *2470:31 0.000228593 +66 *22537:TE *2470:31 4.41051e-05 +67 *22657:A *22689:A 0 +68 *23507:A *2470:58 1.24122e-05 +69 *23554:A *2470:52 9.70097e-06 +70 *1137:71 *2470:31 0.00166493 +71 *1186:35 *2470:9 0.000228391 +72 *1270:28 *2470:52 0.00183247 +73 *1284:11 *2470:52 0.00177598 +74 *1287:9 *2470:46 4.49767e-05 +75 *1375:15 *2470:61 0.000112976 +76 *1404:10 *2470:53 0.000829308 +77 *1409:10 *2470:43 0.000901256 +78 *1417:8 *2470:52 2.00098e-05 +79 *1463:9 *2470:61 0.000506841 +80 *1463:15 *2470:61 0.000118134 +81 *1463:17 *2470:61 0.000535823 +82 *1758:11 *2470:61 0.000120571 +83 *1804:9 *2470:46 0.000378639 +84 *1815:11 *2470:20 0 +85 *1913:5 *2470:46 0.000126192 +86 *1915:7 *2470:46 0.000208326 +87 *1931:16 *2470:52 0.00143217 +88 *1941:16 *2470:53 0.000472693 +89 *2031:27 *2470:9 0.00415267 +90 *2072:17 *2470:31 0.000199641 +91 *2118:20 *2470:9 0.0016511 +92 *2132:38 *2470:9 0.01502 +93 *2132:49 *2470:9 0.00805559 +94 *2216:8 *2470:31 8.89924e-05 +95 *2331:12 *2470:9 0.000355623 +96 *2333:14 *2470:39 0.00010238 +97 *2339:8 *2470:9 0.00127124 +98 *2343:8 *2470:20 0.00342691 +99 *2388:23 *2470:35 0.00130296 +100 *2389:10 *2470:20 0 +101 *2398:8 *2470:31 0.000252807 +102 *2423:14 *2470:8 1.91391e-05 +103 *2434:14 *2470:62 0 +104 *2464:25 *2470:61 0.00176436 +*RES +1 *22573:Z *2470:8 17.4965 +2 *2470:8 *2470:9 47.1678 +3 *2470:9 *2470:20 29.0073 +4 *2470:20 *2470:31 33.011 +5 *2470:31 *2470:35 36.7967 +6 *2470:35 *2470:39 46.9122 +7 *2470:39 *2470:43 47.6506 +8 *2470:43 *2470:46 21.6963 +9 *2470:46 *2470:52 46.4954 +10 *2470:52 *2470:53 112.199 +11 *2470:53 *2470:58 13.2304 +12 *2470:58 *2470:61 48.0889 +13 *2470:61 *2470:62 43.1496 +14 *2470:62 *5207:DIODE 13.7491 +15 *2470:62 *22689:A 18.9354 +*END + +*D_NET *2471 0.0726679 +*CONN +*I *6210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23452:A I *D sky130_fd_sc_hd__nand2_1 +*I *21632:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6210:DIODE 0 +2 *23452:A 0.00018341 +3 *21632:X 0.000825029 +4 *2471:18 0.00533556 +5 *2471:11 0.0121817 +6 *2471:8 0.00785458 +7 *23452:A *5956:DIODE 1.82957e-05 +8 *23452:A *2771:8 3.67528e-06 +9 *2471:8 *6545:DIODE 0 +10 *2471:8 *22812:A 3.933e-05 +11 *2471:8 *2974:12 0 +12 *2471:8 *3178:12 0.000166199 +13 *2471:11 *2492:21 0.00095949 +14 *2471:11 *2498:26 0.00149885 +15 *2471:11 *2977:29 0.0235009 +16 *2471:11 *3442:15 0.000110257 +17 *2471:11 *3446:11 0.000110257 +18 *2471:11 *3449:5 0.000101365 +19 *2471:18 *2619:19 0.000718315 +20 *2471:18 *2621:26 0.000112093 +21 *2471:18 *2907:22 0.00588731 +22 *2471:18 *3228:19 0.000177461 +23 *2471:18 *3239:21 0 +24 *2471:18 *3262:20 0 +25 *2471:18 *3373:25 0.000646455 +26 la_oenb_core[81] *2471:8 0.000113242 +27 *3820:DIODE *2471:8 4.00894e-05 +28 *6211:DIODE *23452:A 3.20069e-06 +29 *1152:44 *23452:A 2.89576e-05 +30 *1851:11 *2471:8 0 +31 *2142:13 *23452:A 9.11074e-06 +32 *2142:13 *2471:18 8.61447e-05 +33 *2268:28 *2471:18 0.00322752 +34 *2404:47 *2471:18 0.00205427 +35 *2414:6 *2471:8 0 +36 *2437:22 *2471:18 0 +37 *2445:11 *2471:11 0.000101365 +38 *2462:19 *2471:11 0.00657352 +*RES +1 *21632:X *2471:8 34.1066 +2 *2471:8 *2471:11 31.2138 +3 *2471:11 *2471:18 27.7997 +4 *2471:18 *23452:A 17.7307 +5 *2471:18 *6210:DIODE 13.7491 +*END + +*D_NET *2472 0.168755 +*CONN +*I *22690:A I *D sky130_fd_sc_hd__buf_2 +*I *5208:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22574:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22690:A 0 +2 *5208:DIODE 9.62223e-05 +3 *22574:Z 0 +4 *2472:48 0.00725432 +5 *2472:37 0.0117288 +6 *2472:25 0.00614279 +7 *2472:13 0.0029406 +8 *2472:11 0.00139158 +9 *2472:9 0.0039356 +10 *2472:8 0.00391257 +11 *2472:6 0.00363737 +12 *2472:5 0.00363737 +13 *2472:6 *3139:23 0 +14 *2472:6 *3456:16 0.000289818 +15 *2472:6 *3458:6 0 +16 *2472:9 *3166:9 0.00690216 +17 *2472:13 *3166:5 0.00398538 +18 *2472:13 *3166:9 0.00233535 +19 *2472:25 *2483:24 0.000802862 +20 *2472:25 *2975:31 4.67244e-05 +21 *2472:25 *2975:39 0.0107996 +22 *2472:25 *2982:32 0.00959827 +23 *2472:25 *3136:24 6.99585e-05 +24 *2472:25 *3137:19 0.000195459 +25 *2472:25 *3420:8 4.4196e-06 +26 *2472:37 *2483:24 0.000148994 +27 *2472:37 *2975:23 0.0179775 +28 *2472:37 *2975:31 0.0188374 +29 *2472:37 *2977:23 0 +30 *2472:48 *2474:54 0 +31 *2472:48 *2975:15 0.00587469 +32 *2472:48 *2975:23 0.000483127 +33 *2472:48 *2977:23 0 +34 *2472:48 *3005:19 0.000800121 +35 mprj_sel_o_user[1] *5208:DIODE 7.97944e-05 +36 *6535:DIODE *2472:9 0.000620189 +37 *22553:TE *2472:6 1.49589e-05 +38 *22555:A *2472:6 1.19576e-05 +39 *22614:A *2472:48 1.45944e-05 +40 *1137:32 *2472:6 2.39126e-05 +41 *1866:39 *2472:9 0.00221702 +42 *1873:9 *2472:9 0.000318338 +43 *1942:29 *2472:6 0 +44 *2084:25 *2472:6 0.000316044 +45 *2307:15 *2472:6 5.55611e-05 +46 *2381:29 *2472:37 0.0351712 +47 *2387:8 *2472:48 2.31855e-05 +48 *2423:10 *2472:9 9.14669e-05 +49 *2426:14 *2472:6 0.000802334 +50 *2442:23 *2472:48 0.000101365 +51 *2444:21 *2472:48 0.000110257 +52 *2446:54 *2472:48 0 +53 *2447:19 *2472:48 0.000110257 +54 *2449:9 *2472:9 0.000364973 +55 *2449:9 *2472:13 0.00409237 +56 *2453:10 *2472:6 0 +57 *2453:21 *2472:13 0.000238938 +58 *2469:39 *2472:48 0.00014667 +*RES +1 *22574:Z *2472:5 13.7491 +2 *2472:5 *2472:6 79.8994 +3 *2472:6 *2472:8 4.5 +4 *2472:8 *2472:9 141.315 +5 *2472:9 *2472:11 0.578717 +6 *2472:11 *2472:13 100.829 +7 *2472:13 *2472:25 27.397 +8 *2472:25 *2472:37 48.7475 +9 *2472:37 *2472:48 35.4075 +10 *2472:48 *5208:DIODE 12.191 +11 *2472:48 *22690:A 9.24915 +*END + +*D_NET *2473 0.161357 +*CONN +*I *22691:A I *D sky130_fd_sc_hd__buf_2 +*I *5209:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22575:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22691:A 0.000176081 +2 *5209:DIODE 0 +3 *22575:Z 0.000393526 +4 *2473:52 0.000961528 +5 *2473:51 0.00685041 +6 *2473:43 0.0105509 +7 *2473:31 0.00816849 +8 *2473:15 0.0179722 +9 *2473:14 0.0142897 +10 *2473:12 0.0019297 +11 *2473:11 0.00232323 +12 *2473:11 *3187:6 7.44803e-05 +13 *2473:12 *2508:16 0.0031837 +14 *2473:43 *2589:18 1.42881e-05 +15 *2473:51 *2476:37 0.000104963 +16 *2473:51 *3114:19 0.000154778 +17 *22617:A *22691:A 0 +18 *22679:A *22691:A 0 +19 *22679:A *2473:52 0 +20 *1185:29 *2473:11 0.000357912 +21 *1429:10 *2473:31 0.0001584 +22 *1944:25 *2473:12 0.00206943 +23 *2083:18 *2473:31 0.000351134 +24 *2083:18 *2473:43 0.000508896 +25 *2083:23 *2473:31 0.00513604 +26 *2086:67 *2473:11 0.00020906 +27 *2367:27 *2473:51 0 +28 *2375:13 *22691:A 6.50727e-05 +29 *2390:12 *22691:A 0 +30 *2390:12 *2473:52 0 +31 *2426:26 *2473:15 0.000325835 +32 *2436:6 *2473:12 0 +33 *2439:13 *2473:15 0.018937 +34 *2440:26 *2473:31 0.00309694 +35 *2446:54 *2473:51 0 +36 *2451:27 *2473:43 0.000342548 +37 *2451:36 *2473:43 0.0035266 +38 *2451:36 *2473:51 0.00105836 +39 *2458:34 *2473:52 0 +40 *2461:74 *2473:51 0.00217797 +41 *2463:25 *2473:15 0.00010238 +42 *2463:58 *2473:51 0.00114867 +43 *2468:10 *2473:12 0 +44 *2468:27 *2473:31 0.0162945 +45 *2468:27 *2473:43 0.0183282 +46 *2468:27 *2473:51 0.0175268 +47 *2468:34 *2473:51 0.00248702 +*RES +1 *22575:Z *2473:11 31.1237 +2 *2473:11 *2473:12 63.2893 +3 *2473:12 *2473:14 4.5 +4 *2473:14 *2473:15 244.749 +5 *2473:15 *2473:31 34.1972 +6 *2473:31 *2473:43 25.8021 +7 *2473:43 *2473:51 37.7079 +8 *2473:51 *2473:52 19.065 +9 *2473:52 *5209:DIODE 13.7491 +10 *2473:52 *22691:A 18.3808 +*END + +*D_NET *2474 0.210233 +*CONN +*I *22692:A I *D sky130_fd_sc_hd__buf_2 +*I *5210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22576:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22692:A 0.000176081 +2 *5210:DIODE 0 +3 *22576:Z 0 +4 *2474:54 0.0049765 +5 *2474:45 0.00873538 +6 *2474:33 0.00548548 +7 *2474:32 0.00406283 +8 *2474:19 0.0110306 +9 *2474:6 0.0102879 +10 *2474:5 0.00176968 +11 *2474:6 *2573:12 0 +12 *2474:6 *3196:6 0.00101249 +13 *2474:19 *2498:14 0.00223295 +14 *2474:19 *2977:29 0 +15 *2474:19 *3148:13 0.000165394 +16 *2474:19 *3191:11 0.00012601 +17 *2474:32 *3051:6 0 +18 *2474:32 *3117:6 2.61574e-05 +19 *2474:32 *3124:28 5.27691e-05 +20 *2474:32 *3414:12 0 +21 *2474:33 *2940:11 0.00578784 +22 *2474:33 *3400:13 0.00246501 +23 *2474:45 *2977:23 0.0114708 +24 *2474:45 *2977:29 0.0067679 +25 *2474:45 *3104:19 7.13655e-06 +26 *2474:54 *2977:23 0.0148768 +27 *22618:A *22692:A 0 +28 *22682:A *22692:A 0 +29 *22682:A *2474:54 0 +30 *1416:15 *2474:19 0.000152056 +31 *1883:31 *2474:45 0.000149641 +32 *1883:35 *2474:54 0.000330558 +33 *1883:39 *2474:54 0.000431923 +34 *1942:19 *2474:45 2.33103e-06 +35 *2059:20 *2474:32 0.000957249 +36 *2073:22 *2474:32 0.00384871 +37 *2075:27 *2474:33 0.000338648 +38 *2079:27 *2474:32 0.00806787 +39 *2083:23 *2474:19 0.00398061 +40 *2083:23 *2474:32 0.000436691 +41 *2125:20 *2474:19 0.00114335 +42 *2351:12 *2474:6 0.000523143 +43 *2359:9 *2474:19 0.000115848 +44 *2375:13 *22692:A 6.50727e-05 +45 *2383:19 *2474:33 0.00379557 +46 *2383:19 *2474:45 0.000137573 +47 *2391:8 *22692:A 0 +48 *2391:8 *2474:54 0 +49 *2399:15 *2474:19 0.000104638 +50 *2404:21 *2474:19 0.0156074 +51 *2423:14 *2474:6 0.0020232 +52 *2437:22 *2474:19 0.000317254 +53 *2445:23 *2474:33 0.00468465 +54 *2445:27 *2474:33 0.00102074 +55 *2446:45 *2474:45 0.0177327 +56 *2446:54 *2474:45 3.09836e-05 +57 *2446:54 *2474:54 0.0216293 +58 *2451:15 *2474:19 0.0186298 +59 *2451:15 *2474:32 0.00199396 +60 *2451:27 *2474:32 0.00690147 +61 *2452:19 *2474:33 0.00282866 +62 *2452:19 *2474:45 0.00012426 +63 *2452:19 *2474:54 0.000294305 +64 *2455:25 *2474:45 0.000271971 +65 *2462:34 *2474:32 4.55162e-05 +66 *2463:58 *2474:54 0 +67 *2472:48 *2474:54 0 +*RES +1 *22576:Z *2474:5 13.7491 +2 *2474:5 *2474:6 57.2682 +3 *2474:6 *2474:19 47.2625 +4 *2474:19 *2474:32 37.4108 +5 *2474:32 *2474:33 137.71 +6 *2474:33 *2474:45 32.8822 +7 *2474:45 *2474:54 42.9058 +8 *2474:54 *5210:DIODE 13.7491 +9 *2474:54 *22692:A 18.3808 +*END + +*D_NET *2475 0.145686 +*CONN +*I *22693:A I *D sky130_fd_sc_hd__buf_2 +*I *5211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22577:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22693:A 0.000274504 +2 *5211:DIODE 0 +3 *22577:Z 0.00135683 +4 *2475:36 0.00144044 +5 *2475:35 0.00832916 +6 *2475:31 0.016504 +7 *2475:19 0.0145447 +8 *2475:12 0.00656076 +9 *22693:A *22694:A 5.04829e-06 +10 *22693:A *22704:A 0 +11 *22693:A *2476:38 0 +12 *2475:12 *3295:21 0.000186845 +13 *2475:19 *3146:11 0.00017378 +14 *2475:19 *3150:9 0.000291404 +15 *2475:19 *3295:6 0 +16 *2475:19 *3436:23 0.000472552 +17 *2475:31 *2882:39 0.00012601 +18 *2475:31 *3146:5 0.000115848 +19 *2475:31 *3436:21 0.00010238 +20 *2475:31 *3436:23 0.000108607 +21 *2475:35 *2476:37 0 +22 *2475:35 *2895:11 0.000645822 +23 *2475:36 *22704:A 0 +24 *2475:36 *2476:38 0 +25 *2475:36 *2487:8 0 +26 *22565:TE *2475:12 4.66171e-05 +27 *22624:A *22693:A 0.000117568 +28 *1398:15 *2475:31 0.000107534 +29 *1414:36 *2475:31 0.000108607 +30 *1435:10 *2475:12 0.000121801 +31 *1948:80 *2475:12 0.000202287 +32 *2019:17 *2475:31 5.60804e-05 +33 *2045:17 *2475:31 0.000457248 +34 *2077:19 *2475:19 5.09457e-05 +35 *2131:20 *2475:12 0 +36 *2132:38 *2475:12 0.000201904 +37 *2133:30 *2475:19 0 +38 *2280:13 *2475:12 0 +39 *2280:13 *2475:19 0.000101351 +40 *2293:12 *2475:12 0 +41 *2329:16 *2475:12 0.000119289 +42 *2344:18 *2475:12 2.29454e-05 +43 *2349:36 *2475:19 0.000177016 +44 *2349:50 *2475:19 0.000181805 +45 *2363:8 *2475:36 0 +46 *2366:15 *2475:35 0.00133228 +47 *2384:26 *2475:35 0.0013331 +48 *2388:29 *2475:31 0.00701116 +49 *2388:41 *2475:31 0.0166824 +50 *2388:41 *2475:35 0.00327077 +51 *2392:9 *2475:35 0.000593723 +52 *2393:49 *2475:19 3.61468e-05 +53 *2394:27 *2475:31 0.000108607 +54 *2394:27 *2475:35 0.0013519 +55 *2398:21 *2475:31 0.0283248 +56 *2398:21 *2475:35 0.000287289 +57 *2398:33 *2475:35 0.0236505 +58 *2434:11 *2475:19 0.000675366 +59 *2434:11 *2475:31 0.00075706 +60 *2458:17 *2475:19 0.000117719 +61 *2458:17 *2475:31 0.000107534 +62 *2465:15 *2475:19 0.00187732 +63 *2465:15 *2475:31 0.000546333 +64 *2465:23 *2475:35 0.00430982 +*RES +1 *22577:Z *2475:12 44.1411 +2 *2475:12 *2475:19 30.2044 +3 *2475:19 *2475:31 48.8273 +4 *2475:31 *2475:35 43.5378 +5 *2475:35 *2475:36 29.031 +6 *2475:36 *5211:DIODE 13.7491 +7 *2475:36 *22693:A 21.0949 +*END + +*D_NET *2476 0.206503 +*CONN +*I *22694:A I *D sky130_fd_sc_hd__buf_2 +*I *5212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22579:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22694:A 0.000169956 +2 *5212:DIODE 0 +3 *22579:Z 0 +4 *2476:38 0.000788371 +5 *2476:37 0.00590228 +6 *2476:34 0.0080201 +7 *2476:21 0.00726389 +8 *2476:9 0.0254839 +9 *2476:8 0.0209563 +10 *2476:6 0.00225313 +11 *2476:5 0.00225313 +12 *2476:6 *3180:8 0 +13 *2476:6 *3182:12 9.77993e-05 +14 *2476:6 *3193:12 0.00081819 +15 *2476:6 *3361:48 0.000590881 +16 *2476:6 *3469:8 0.00124661 +17 *2476:9 *3187:13 0.00017482 +18 *2476:9 *3462:11 0.00180186 +19 *2476:21 *2900:18 2.77235e-05 +20 *2476:21 *2984:21 0.000101365 +21 *2476:21 *3131:18 8.56161e-05 +22 *2476:34 *2951:32 4.35741e-05 +23 *5110:DIODE *22694:A 5.04829e-06 +24 *22592:A *22694:A 4.70104e-05 +25 *22624:A *22694:A 0.000224381 +26 *22693:A *22694:A 5.04829e-06 +27 *22693:A *2476:38 0 +28 *1317:9 *2476:6 0.000272191 +29 *1828:10 *2476:6 0.000136823 +30 *1830:8 *2476:6 0.000147102 +31 *2076:19 *2476:9 0.00017378 +32 *2111:45 *2476:9 0.000115848 +33 *2123:25 *2476:6 0 +34 *2351:9 *2476:9 0.00223336 +35 *2354:9 *2476:9 0.00113492 +36 *2361:10 *2476:34 1.24808e-05 +37 *2363:8 *22694:A 0.000179256 +38 *2363:8 *2476:38 0.00137018 +39 *2388:42 *2476:34 4.19711e-05 +40 *2438:25 *2476:21 0.0168897 +41 *2438:37 *2476:21 3.09836e-05 +42 *2438:37 *2476:34 0.0148196 +43 *2438:37 *2476:37 0.00720679 +44 *2439:13 *2476:21 0.000130801 +45 *2439:37 *2476:34 0.0177539 +46 *2439:37 *2476:37 0.0144192 +47 *2440:15 *2476:9 0.0265324 +48 *2463:25 *2476:21 0.00756113 +49 *2463:38 *2476:21 0.00802559 +50 *2463:38 *2476:34 2.05602e-05 +51 *2463:54 *2476:34 0.00232886 +52 *2463:58 *2476:37 0.00387177 +53 *2465:15 *2476:9 0.000166538 +54 *2465:23 *2476:37 0.00246082 +55 *2473:51 *2476:37 0.000104963 +56 *2475:35 *2476:37 0 +57 *2475:36 *2476:38 0 +*RES +1 *22579:Z *2476:5 13.7491 +2 *2476:5 *2476:6 64.1198 +3 *2476:6 *2476:8 4.5 +4 *2476:8 *2476:9 371.199 +5 *2476:9 *2476:21 35.9965 +6 *2476:21 *2476:34 40.4537 +7 *2476:34 *2476:37 39.1396 +8 *2476:37 *2476:38 23.2175 +9 *2476:38 *5212:DIODE 13.7491 +10 *2476:38 *22694:A 20.0446 +*END + +*D_NET *2477 0.0736679 +*CONN +*I *5213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22695:A I *D sky130_fd_sc_hd__buf_2 +*I *22571:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *5213:DIODE 3.67592e-05 +2 *22695:A 0.000100409 +3 *22571:X 0.000219266 +4 *2477:39 0.00445143 +5 *2477:37 0.00433729 +6 *2477:35 0.00449382 +7 *2477:33 0.00449382 +8 *2477:31 0.00152195 +9 *2477:29 0.00289275 +10 *2477:25 0.00196909 +11 *2477:21 0.001314 +12 *2477:17 0.00215758 +13 *2477:14 0.00164318 +14 *2477:9 0.00212893 +15 *2477:7 0.00212386 +16 *22695:A *3033:10 0.00034873 +17 *22695:A *3036:8 0.000377461 +18 *2477:7 *3461:31 0.000667262 +19 *2477:9 *5980:DIODE 6.92705e-05 +20 *2477:9 *6244:DIODE 0.000175485 +21 *2477:9 *23341:A 0.000107496 +22 *2477:9 *2500:34 0.000311343 +23 *2477:9 *2785:11 0.000811077 +24 *2477:9 *3461:23 0.00283798 +25 *2477:9 *3461:31 0.000440173 +26 *2477:14 *2655:15 0.000278237 +27 *2477:14 *2791:6 0 +28 *2477:17 *23346:A 5.04829e-06 +29 *2477:17 *2514:11 0.00111582 +30 *2477:17 *2917:15 0.00151178 +31 *2477:21 *2514:11 0.00112129 +32 *2477:21 *2517:25 0.0033155 +33 *2477:21 *2665:9 0.001466 +34 *2477:25 *2517:25 0.000295192 +35 *2477:25 *2517:29 2.39581e-05 +36 *2477:29 *23236:A 6.50727e-05 +37 *2477:29 *2517:29 0.00119473 +38 *2477:31 *3223:11 6.08467e-05 +39 *2477:39 *3573:DIODE 7.48633e-05 +40 *2477:39 *21383:A 0.000428887 +41 *5749:DIODE *2477:17 0.000271058 +42 *5779:DIODE *2477:31 0.000260388 +43 *5791:DIODE *2477:31 1.43848e-05 +44 *5989:DIODE *2477:9 2.82583e-05 +45 *6245:DIODE *2477:9 1.777e-05 +46 *23109:A *2477:31 0.000260188 +47 *23218:B *2477:14 0 +48 *1151:30 *2477:9 0.000115848 +49 *1151:39 *2477:7 0.000659913 +50 *1151:39 *2477:9 0.00153759 +51 *1152:9 *2477:17 8.22276e-05 +52 *1152:9 *2477:21 0.000459184 +53 *1152:9 *2477:29 0.001195 +54 *1152:9 *2477:31 0.00531865 +55 *1152:9 *2477:35 0.00560019 +56 *1152:9 *2477:39 0.00126562 +57 *1159:9 *2477:35 0.00020273 +58 *1174:26 *2477:7 0.000170531 +59 *1174:26 *2477:9 0.000110257 +60 *1175:19 *2477:9 0.00434935 +61 *1468:8 *2477:17 0.00011818 +62 *1588:5 *2477:9 0.0001935 +63 *1991:30 *2477:17 0.000118134 +64 *2256:46 *2477:9 2.78892e-05 +65 *2405:23 *2477:35 0.000174905 +66 *2405:23 *2477:39 0.000128542 +*RES +1 *22571:X *2477:7 25.8029 +2 *2477:7 *2477:9 87.5189 +3 *2477:9 *2477:14 14.5693 +4 *2477:14 *2477:17 46.225 +5 *2477:17 *2477:21 48.8533 +6 *2477:21 *2477:25 10.0311 +7 *2477:25 *2477:29 44.5612 +8 *2477:29 *2477:31 58.1249 +9 *2477:31 *2477:33 0.578717 +10 *2477:33 *2477:35 141.593 +11 *2477:35 *2477:37 0.578717 +12 *2477:37 *2477:39 107.485 +13 *2477:39 *22695:A 24.6489 +14 *2477:39 *5213:DIODE 9.97254 +*END + +*D_NET *2478 0.0166582 +*CONN +*I *22696:A I *D sky130_fd_sc_hd__buf_2 +*I *5214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22578:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *22696:A 0 +2 *5214:DIODE 9.78315e-05 +3 *22578:X 0 +4 *2478:9 0.000186208 +5 *2478:5 0.0065605 +6 *2478:4 0.00647212 +7 *5214:DIODE *2964:8 0.000153225 +8 *2478:5 *21368:A 0.000295393 +9 *2478:5 *21376:A 0.000114584 +10 *2478:5 *21378:A 0.000246153 +11 *2478:5 *21381:A 6.50586e-05 +12 *2478:5 *22697:A 6.08467e-05 +13 *2478:5 *2947:9 0.000114398 +14 *2478:5 *2970:9 0.000293712 +15 user2_vcc_powergood *2478:5 0.000179834 +16 user2_vcc_powergood *2478:9 5.04829e-06 +17 *5095:DIODE *2478:5 0.000215704 +18 *1148:11 *2478:5 0.00023981 +19 *1148:13 *2478:5 0.00120811 +20 *2425:12 *5214:DIODE 0.000149628 +*RES +1 *22578:X *2478:4 9.24915 +2 *2478:4 *2478:5 168.214 +3 *2478:5 *2478:9 1.85672 +4 *2478:9 *5214:DIODE 21.635 +5 *2478:9 *22696:A 9.24915 +*END + +*D_NET *2479 0.197944 +*CONN +*I *22697:A I *D sky130_fd_sc_hd__buf_2 +*I *5215:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22501:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *22697:A 7.95988e-05 +2 *5215:DIODE 0 +3 *22501:X 0.000865617 +4 *2479:48 8.71208e-05 +5 *2479:45 0.0223033 +6 *2479:44 0.0223424 +7 *2479:39 0.0013635 +8 *2479:38 0.00140342 +9 *2479:33 0.00403293 +10 *2479:32 0.00433386 +11 *2479:21 0.00668571 +12 *2479:20 0.006442 +13 *2479:17 0.00264993 +14 *2479:14 0.00264172 +15 *2479:9 0.00282829 +16 *2479:8 0.00269268 +17 *2479:6 0.000865617 +18 *22697:A *3035:8 0.000223534 +19 *22697:A *3036:12 0.00021441 +20 *2479:6 *2546:14 0.000176997 +21 *2479:6 *2650:6 0 +22 *2479:6 *2923:6 0.000765861 +23 *2479:6 *2961:54 0 +24 *2479:9 *5994:DIODE 5.31074e-05 +25 *2479:9 *23472:A 0.000547237 +26 *2479:9 *2509:33 0.0010257 +27 *2479:9 *2792:11 0.0150155 +28 *2479:9 *2962:17 0.00173486 +29 *2479:14 *2704:10 0.000165481 +30 *2479:14 *3259:8 0 +31 *2479:17 *23376:A 0.000234068 +32 *2479:17 *2497:28 0.00262791 +33 *2479:17 *2529:25 7.49402e-05 +34 *2479:17 *2751:23 0.000446985 +35 *2479:20 *2715:6 0.000107743 +36 *2479:20 *2846:20 0.000273887 +37 *2479:21 *23273:A 6.50727e-05 +38 *2479:21 *23282:A 9.97706e-05 +39 *2479:21 *2724:11 0.00301486 +40 *2479:21 *3328:9 0.00136051 +41 *2479:32 *6166:DIODE 0 +42 *2479:33 *5930:DIODE 0.000365048 +43 *2479:33 *23432:A 1.92336e-05 +44 *2479:33 *3373:36 0.00010238 +45 *2479:33 *3461:53 0.0010246 +46 *2479:38 *2769:8 9.66809e-05 +47 *2479:39 *23457:A 3.66984e-05 +48 *2479:39 *2625:15 0.000207776 +49 *2479:44 *23457:A 4.15661e-05 +50 *2479:45 *2625:15 0.000309164 +51 *2479:45 *2922:25 0.00077599 +52 *2479:45 *2957:21 1.78765e-05 +53 *2479:45 *3350:47 0.000994222 +54 *2479:45 *3428:29 0.00290995 +55 *2479:48 *3035:8 2.02035e-05 +56 *2479:48 *3036:12 2.02035e-05 +57 user2_vcc_powergood *22697:A 2.16355e-05 +58 *5853:DIODE *2479:21 0.000160617 +59 *5911:DIODE *2479:32 4.04556e-05 +60 *6059:DIODE *2479:17 0.000127604 +61 *6167:DIODE *2479:21 9.54357e-06 +62 *6171:DIODE *2479:33 0.00011818 +63 *6221:DIODE *2479:39 0.000265917 +64 *23282:B *2479:21 0.000319954 +65 *23329:B *2479:39 0.000321918 +66 *23440:B *2479:33 0.000220809 +67 *23450:A *2479:9 6.50727e-05 +68 *1138:34 *2479:45 0.00160799 +69 *1151:15 *2479:45 0.00232953 +70 *1151:30 *2479:45 0.00446335 +71 *1151:36 *2479:45 0.00225405 +72 *1151:47 *2479:39 0.00510267 +73 *1152:20 *2479:45 0.00012309 +74 *1159:9 *2479:45 0.000195609 +75 *1174:27 *2479:39 0.00348173 +76 *1495:5 *2479:17 2.82583e-05 +77 *1549:5 *2479:21 3.07159e-05 +78 *1559:5 *2479:33 0.000117376 +79 *1576:5 *2479:39 0.000160067 +80 *1677:9 *2479:32 7.20173e-06 +81 *1679:11 *2479:32 1.51914e-05 +82 *1991:26 *2479:33 0.00078782 +83 *1991:30 *2479:45 0.00960414 +84 *2118:13 *2479:38 9.32704e-05 +85 *2140:7 *2479:44 5.49916e-05 +86 *2141:19 *2479:21 0.0016574 +87 *2142:22 *2479:33 0.0018911 +88 *2142:26 *2479:33 0.00153693 +89 *2142:28 *2479:33 0.00536605 +90 *2142:39 *2479:32 0.000172483 +91 *2142:40 *2479:21 0.00972087 +92 *2142:46 *2479:21 0.00041161 +93 *2142:50 *2479:21 0.00260431 +94 *2142:52 *2479:21 0.00174824 +95 *2151:37 *2479:33 0.000107496 +96 *2152:48 *2479:17 2.52287e-06 +97 *2177:24 *2479:21 6.11359e-06 +98 *2177:30 *2479:21 0.00107784 +99 *2186:47 *2479:21 0.000567055 +100 *2191:17 *2479:32 0.00189672 +101 *2206:32 *2479:32 0.00130114 +102 *2257:21 *2479:39 0.000354435 +103 *2257:21 *2479:45 0.00372334 +104 *2371:13 *2479:39 7.68538e-06 +105 *2371:13 *2479:45 0.000480428 +106 *2404:47 *2479:39 0.000120744 +107 *2419:18 *2479:45 0.00346078 +108 *2422:34 *2479:45 1.85597e-05 +109 *2448:19 *2479:9 0.010733 +110 *2478:5 *22697:A 6.08467e-05 +*RES +1 *22501:X *2479:6 46.3098 +2 *2479:6 *2479:8 4.5 +3 *2479:8 *2479:9 193.171 +4 *2479:9 *2479:14 12.0778 +5 *2479:14 *2479:17 47.9279 +6 *2479:17 *2479:20 9.65401 +7 *2479:20 *2479:21 223.119 +8 *2479:21 *2479:32 19.8229 +9 *2479:32 *2479:33 129.946 +10 *2479:33 *2479:38 11.2472 +11 *2479:38 *2479:39 68.385 +12 *2479:39 *2479:44 9.0779 +13 *2479:44 *2479:45 77.2285 +14 *2479:45 *2479:48 3.7474 +15 *2479:48 *5215:DIODE 13.7491 +16 *2479:48 *22697:A 18.3808 +*END + +*D_NET *2480 0.0155548 +*CONN +*I *5216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22698:A I *D sky130_fd_sc_hd__buf_2 +*I *22502:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5216:DIODE 0.000161864 +2 *22698:A 0 +3 *22502:X 8.07578e-05 +4 *2480:12 0.000231021 +5 *2480:9 0.00467097 +6 *2480:8 0.00468258 +7 *5216:DIODE *2964:8 3.04407e-05 +8 *5216:DIODE *3377:18 7.50722e-05 +9 *2480:8 *2804:36 4.44553e-05 +10 *2480:8 *2812:50 0.000169093 +11 *2480:9 *2673:11 0 +12 *2480:9 *2696:11 0 +13 *2480:9 *2707:17 0 +14 *2480:9 *2812:45 6.50586e-05 +15 *2480:9 *2815:15 0.000359078 +16 *2480:9 *2825:23 0 +17 *2480:12 *2964:8 0.000193604 +18 user2_vdd_powergood *2480:12 0.000149628 +19 user_irq[0] *2480:12 1.41396e-05 +20 *1190:9 *2480:9 0.00358601 +21 *1750:11 *2480:9 0.000595653 +22 *1996:52 *2480:9 0 +23 *2412:11 *2480:9 0.000437209 +24 *2425:12 *5216:DIODE 8.21849e-06 +*RES +1 *22502:X *2480:8 21.3269 +2 *2480:8 *2480:9 155.458 +3 *2480:9 *2480:12 7.993 +4 *2480:12 *22698:A 13.7491 +5 *2480:12 *5216:DIODE 17.135 +*END + +*D_NET *2481 0.0113974 +*CONN +*I *22699:A I *D sky130_fd_sc_hd__buf_2 +*I *5217:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22536:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22699:A 0.000204577 +2 *5217:DIODE 0 +3 *22536:Z 0.000933205 +4 *2481:8 0.00369013 +5 *2481:7 0.00441876 +6 *22699:A *22704:A 0 +7 *22699:A *2487:8 0 +8 *2481:8 *2487:8 0 +9 *2481:8 *2510:6 0.00170762 +10 *2481:8 *2553:6 0 +11 user_reset *22699:A 2.65831e-05 +12 *4029:DIODE *2481:8 4.4147e-05 +13 *4269:DIODE *22699:A 6.50727e-05 +14 *5014:DIODE *2481:7 0.000171904 +15 *21729:A *2481:8 7.86825e-06 +16 *3:10 *2481:8 5.82763e-05 +17 *1135:11 *2481:7 6.92705e-05 +*RES +1 *22536:Z *2481:7 36.6567 +2 *2481:7 *2481:8 98.5858 +3 *2481:8 *5217:DIODE 13.7491 +4 *2481:8 *22699:A 19.2113 +*END + +*D_NET *2482 0.0749784 +*CONN +*I *23453:A I *D sky130_fd_sc_hd__nand2_1 +*I *6212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21633:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23453:A 0.000117884 +2 *6212:DIODE 0 +3 *21633:X 0.00130929 +4 *2482:40 0.00133473 +5 *2482:32 0.0130585 +6 *2482:8 0.0131509 +7 *2482:8 *5331:DIODE 0.000284494 +8 *2482:8 *23068:A 0.000141823 +9 *2482:8 *2985:45 2.65831e-05 +10 *2482:8 *3179:41 6.50727e-05 +11 *2482:8 *3462:14 0.00133001 +12 *2482:8 *3478:162 3.94849e-05 +13 *2482:32 *2490:20 0.00105356 +14 *2482:32 *2495:18 0.00780588 +15 *2482:32 *2501:34 3.84439e-05 +16 *2482:32 *3362:11 0 +17 la_oenb_core[82] *2482:8 2.03531e-05 +18 *3821:DIODE *2482:8 5.1493e-06 +19 *6213:DIODE *23453:A 0.000171288 +20 *1152:29 *2482:40 0.000210287 +21 *1152:44 *23453:A 0 +22 *1156:25 *23453:A 0.000224381 +23 *1156:27 *23453:A 1.67988e-05 +24 *1159:18 *2482:40 0.000112942 +25 *1159:22 *23453:A 9.12416e-06 +26 *1159:22 *2482:40 0.000247872 +27 *1700:9 *2482:40 0 +28 *1851:11 *2482:8 0 +29 *1991:30 *2482:40 0.00255572 +30 *2081:27 *2482:32 6.03237e-05 +31 *2092:46 *2482:40 4.16807e-05 +32 *2110:43 *2482:32 0 +33 *2111:39 *2482:32 0.00355611 +34 *2111:45 *2482:32 0.00324921 +35 *2122:21 *23453:A 7.09666e-06 +36 *2124:34 *2482:32 1.99895e-05 +37 *2133:30 *2482:32 0 +38 *2140:8 *23453:A 0.000432613 +39 *2232:19 *2482:40 0.00122777 +40 *2233:23 *2482:40 0.00223508 +41 *2235:35 *2482:40 3.26728e-05 +42 *2349:50 *2482:40 0.000737953 +43 *2354:6 *2482:8 1.57662e-05 +44 *2393:49 *2482:32 0.0132498 +45 *2393:49 *2482:40 0.000835586 +46 *2404:21 *2482:32 0.00530596 +47 *2404:47 *2482:32 0.000640211 +48 *2414:6 *2482:8 0 +*RES +1 *21633:X *2482:8 48.0713 +2 *2482:8 *2482:32 49.2677 +3 *2482:32 *2482:40 9.78513 +4 *2482:40 *6212:DIODE 13.7491 +5 *2482:40 *23453:A 19.7715 +*END + +*D_NET *2483 0.206636 +*CONN +*I *22700:A I *D sky130_fd_sc_hd__buf_2 +*I *5218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22535:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22700:A 0.000300795 +2 *5218:DIODE 0 +3 *22535:Z 0.00266051 +4 *2483:36 0.000576233 +5 *2483:33 0.0166394 +6 *2483:32 0.0172125 +7 *2483:24 0.0120263 +8 *2483:20 0.0117889 +9 *2483:12 0.00290972 +10 *2483:11 0.00495914 +11 *22700:A *22990:A 1.93122e-05 +12 *22700:A *3053:11 7.08646e-05 +13 *22700:A *3054:11 0.000520062 +14 *2483:11 *3108:9 0.00235602 +15 *2483:11 *3116:11 2.26985e-05 +16 *2483:12 *2829:6 0 +17 *2483:12 *3101:20 0.00051592 +18 *2483:12 *3119:18 0 +19 *2483:12 *3119:22 0 +20 *2483:12 *3394:30 0 +21 *2483:20 *2977:29 0.0041817 +22 *2483:20 *3108:18 0.000236988 +23 *2483:20 *3391:20 0.000236988 +24 *2483:24 *2975:39 0.00161811 +25 *2483:24 *2982:32 0.00964406 +26 *2483:24 *2982:33 0.00012426 +27 *2483:24 *3128:11 0.00566667 +28 *2483:24 *3128:26 0.000228808 +29 *2483:24 *3157:8 9.15101e-05 +30 *2483:32 *2975:52 0.00601586 +31 *2483:32 *2982:48 1.32841e-05 +32 *2483:33 *2504:30 0.000685596 +33 *2483:33 *2977:29 0 +34 *2483:36 *22990:A 2.61377e-05 +35 *2483:36 *2729:6 0 +36 *2483:36 *2740:8 0 +37 *4387:DIODE *22700:A 0.000374968 +38 *1119:10 *22700:A 5.92206e-05 +39 *1277:11 *2483:12 0.00045924 +40 *1404:10 *2483:12 4.46342e-05 +41 *1783:8 *2483:11 1.91246e-05 +42 *1904:26 *2483:12 0.000194765 +43 *1906:18 *2483:11 0.000103712 +44 *2283:18 *2483:24 0.00667336 +45 *2283:18 *2483:32 0.00601586 +46 *2283:18 *2483:33 0.0452976 +47 *2339:8 *2483:11 0.010904 +48 *2381:26 *2483:24 0.0022798 +49 *2381:29 *2483:24 0.008884 +50 *2415:26 *2483:24 0.00300831 +51 *2418:20 *2483:33 0 +52 *2419:14 *2483:33 0 +53 *2442:19 *2483:24 0.000347544 +54 *2444:17 *2483:24 0.000598545 +55 *2447:15 *2483:24 0.000598545 +56 *2455:25 *2483:20 0.00417626 +57 *2456:20 *2483:33 0.000940529 +58 *2456:21 *2483:24 0.00120742 +59 *2460:15 *2483:33 0.00757144 +60 *2460:33 *2483:24 0.00410343 +61 *2467:10 *2483:24 1.71107e-05 +62 *2469:19 *2483:24 0.000456151 +63 *2472:25 *2483:24 0.000802862 +64 *2472:37 *2483:24 0.000148994 +*RES +1 *22535:Z *2483:11 35.2954 +2 *2483:11 *2483:12 47.5097 +3 *2483:12 *2483:20 20.7411 +4 *2483:20 *2483:24 49.6915 +5 *2483:24 *2483:32 19.8667 +6 *2483:32 *2483:33 61.701 +7 *2483:33 *2483:36 9.56093 +8 *2483:36 *5218:DIODE 13.7491 +9 *2483:36 *22700:A 25.9445 +*END + +*D_NET *2484 0.0130073 +*CONN +*I *5219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22701:A I *D sky130_fd_sc_hd__buf_2 +*I *23080:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5219:DIODE 4.34621e-05 +2 *22701:A 6.25309e-05 +3 *23080:Y 5.42586e-05 +4 *2484:11 0.00115553 +5 *2484:8 0.00217007 +6 *2484:7 0.0011748 +7 *5219:DIODE *3377:11 4.66492e-05 +8 *22701:A *2740:14 7.14746e-05 +9 *22701:A *2963:23 7.50722e-05 +10 *22701:A *2964:11 0.000158451 +11 *22701:A *3036:17 0.000162663 +12 *2484:7 *23080:A 0.000107496 +13 *2484:8 *2707:12 3.34427e-05 +14 *2484:8 *2707:14 0.00319603 +15 *2484:8 *3090:8 0.000387356 +16 *2484:8 *3374:14 0.00149567 +17 *2484:8 *3475:10 0.000488819 +18 *2484:11 *3377:11 0.00168038 +19 *2424:8 *2484:8 0.000443119 +*RES +1 *23080:Y *2484:7 15.0271 +2 *2484:7 *2484:8 64.9503 +3 *2484:8 *2484:11 22.9707 +4 *2484:11 *22701:A 21.4985 +5 *2484:11 *5219:DIODE 9.97254 +*END + +*D_NET *2485 0.00958337 +*CONN +*I *5220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22702:A I *D sky130_fd_sc_hd__buf_2 +*I *23081:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5220:DIODE 5.01082e-05 +2 *22702:A 1.8587e-05 +3 *23081:Y 0.00114502 +4 *2485:8 0.000779093 +5 *2485:7 0.00185542 +6 *22702:A *3377:20 4.33056e-05 +7 *2485:7 *5606:DIODE 0.000156955 +8 *2485:7 *5607:DIODE 0.000101716 +9 *2485:7 *3053:15 6.08467e-05 +10 *2485:8 *22703:A 8.52802e-05 +11 *2485:8 *2486:8 0.00175969 +12 *2485:8 *3377:20 0.000507007 +13 *2425:12 *22702:A 8.52652e-05 +14 *2425:12 *2485:8 0.00293507 +*RES +1 *23081:Y *2485:7 38.3205 +2 *2485:7 *2485:8 49.586 +3 *2485:8 *22702:A 15.1659 +4 *2485:8 *5220:DIODE 14.4725 +*END + +*D_NET *2486 0.00732798 +*CONN +*I *5221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22703:A I *D sky130_fd_sc_hd__buf_2 +*I *23082:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5221:DIODE 0 +2 *22703:A 5.25866e-05 +3 *23082:Y 0.00105296 +4 *2486:8 0.000481024 +5 *2486:7 0.0014814 +6 *22703:A *3377:20 8.88627e-05 +7 *2486:8 *3377:20 0.00191603 +8 *6536:DIODE *2486:7 0.000158371 +9 *23627:A *2486:7 0.000114584 +10 *2424:7 *2486:7 1.88152e-05 +11 *2425:10 *2486:8 8.2967e-05 +12 *2425:12 *2486:8 3.54076e-05 +13 *2485:8 *22703:A 8.52802e-05 +14 *2485:8 *2486:8 0.00175969 +*RES +1 *23082:Y *2486:7 37.7659 +2 *2486:7 *2486:8 32.5607 +3 *2486:8 *22703:A 15.8893 +4 *2486:8 *5221:DIODE 13.7491 +*END + +*D_NET *2487 0.00262494 +*CONN +*I *22704:A I *D sky130_fd_sc_hd__buf_2 +*I *5222:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22572:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22704:A 0.000183122 +2 *5222:DIODE 0 +3 *22572:Z 0.00111999 +4 *2487:8 0.00130311 +5 *2487:8 *22572:A 1.87271e-05 +6 *22693:A *22704:A 0 +7 *22699:A *22704:A 0 +8 *22699:A *2487:8 0 +9 *2475:36 *22704:A 0 +10 *2475:36 *2487:8 0 +11 *2481:8 *2487:8 0 +*RES +1 *22572:Z *2487:8 37.9285 +2 *2487:8 *5222:DIODE 13.7491 +3 *2487:8 *22704:A 18.4879 +*END + +*D_NET *2488 0.114632 +*CONN +*I *23652:B I *D sky130_fd_sc_hd__nand2_8 +*I *6578:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23660:B I *D sky130_fd_sc_hd__nand2_8 +*I *6594:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6580:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23653:B I *D sky130_fd_sc_hd__nand2_8 +*I *23654:B I *D sky130_fd_sc_hd__nand2_8 +*I *6584:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23655:B I *D sky130_fd_sc_hd__nand2_8 +*I *23657:B I *D sky130_fd_sc_hd__nand2_8 +*I *6586:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23656:B I *D sky130_fd_sc_hd__nand2_8 +*I *23659:B I *D sky130_fd_sc_hd__nand2_8 +*I *6588:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6592:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6582:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23651:B I *D sky130_fd_sc_hd__nand2_8 +*I *6576:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23079:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23652:B 2.09599e-05 +2 *6578:DIODE 0 +3 *23660:B 7.29911e-05 +4 *6594:DIODE 0 +5 *6580:DIODE 0 +6 *23653:B 0.000319747 +7 *23654:B 0 +8 *6584:DIODE 0 +9 *23655:B 0.000167717 +10 *23657:B 0 +11 *6586:DIODE 0 +12 *23656:B 6.77528e-05 +13 *23659:B 0.000214472 +14 *6588:DIODE 4.24784e-05 +15 *6592:DIODE 0.000170604 +16 *6582:DIODE 4.32583e-05 +17 *23651:B 0 +18 *6576:DIODE 0 +19 *23079:X 1.58776e-05 +20 *2488:133 0.000472366 +21 *2488:115 0.000324495 +22 *2488:103 0.000148222 +23 *2488:93 0.000570619 +24 *2488:90 0.000432456 +25 *2488:82 0.000140413 +26 *2488:80 0.000323051 +27 *2488:67 0.000984726 +28 *2488:59 0.000741624 +29 *2488:51 0.000204726 +30 *2488:49 0.000479991 +31 *2488:47 0.000536146 +32 *2488:43 0.000823802 +33 *2488:39 0.000792287 +34 *2488:34 0.000899056 +35 *2488:31 0.00336283 +36 *2488:30 0.0033843 +37 *2488:21 0.000808472 +38 *2488:14 0.000249714 +39 *2488:11 0.00310797 +40 *2488:10 0.00302626 +41 *2488:8 0.00313867 +42 *2488:7 0.00315454 +43 *6592:DIODE *3059:26 0.000237074 +44 *23652:B *2988:19 7.48633e-05 +45 *23652:B *2998:63 0.000171273 +46 *23653:B *3000:8 0 +47 *23653:B *3008:29 4.20184e-06 +48 *23660:B *23011:A 3.83492e-06 +49 *23660:B *2989:48 3.29488e-05 +50 *23660:B *2990:17 6.08467e-05 +51 *23660:B *3002:17 6.08467e-05 +52 *2488:7 *23601:A 6.08467e-05 +53 *2488:8 *3160:16 0 +54 *2488:8 *3167:10 0 +55 *2488:8 *3350:6 0 +56 *2488:8 *3437:28 0.0022016 +57 *2488:11 *2982:33 0.00506582 +58 *2488:14 *3146:20 3.601e-05 +59 *2488:21 *22783:A 0.000175959 +60 *2488:21 *23038:A 0 +61 *2488:21 *23651:A 0 +62 *2488:21 *3146:20 5.22654e-06 +63 *2488:30 *22783:A 0.00019146 +64 *2488:30 *23038:A 0 +65 *2488:31 *2978:9 0.00096631 +66 *2488:31 *2981:9 0.0043442 +67 *2488:34 *22777:A 0 +68 *2488:34 *23022:A 2.1203e-06 +69 *2488:34 *2985:17 0.000174628 +70 *2488:39 *23022:A 6.16418e-05 +71 *2488:39 *3206:6 0 +72 *2488:39 *3411:23 6.50586e-05 +73 *2488:43 *3411:23 0.000891122 +74 *2488:47 *22755:A 1.64564e-05 +75 *2488:47 *23011:A 8.72256e-06 +76 *2488:67 *6593:DIODE 0 +77 *2488:67 *22744:A 1.75454e-05 +78 *2488:67 *23653:A 2.1203e-06 +79 *2488:80 *22705:A 3.32273e-05 +80 *2488:133 *23653:A 7.39223e-06 +81 la_data_in_core[4] *2488:34 0.000142637 +82 la_data_in_core[55] *2488:30 6.63077e-05 +83 la_oenb_core[3] *2488:34 3.21497e-05 +84 *22551:TE *2488:8 5.56367e-05 +85 *22616:A *2488:93 0 +86 *338:5 *2488:30 0.000108575 +87 *1869:10 *23653:B 1.00004e-05 +88 *1869:10 *2488:133 6.22259e-05 +89 *1872:8 *23655:B 0 +90 *1874:8 *23655:B 0 +91 *1874:8 *2488:93 0 +92 *1874:9 *2488:31 0.0395728 +93 *1875:8 *23660:B 5.30873e-05 +94 *1875:8 *2488:47 9.12416e-06 +95 *1941:54 *2488:8 2.12286e-05 +96 *1942:22 *2488:31 4.0752e-05 +97 *1943:36 *2488:7 2.57847e-05 +98 *1944:22 *2488:31 0.0262428 +99 *2310:14 *2488:8 0 +100 *2312:11 *2488:8 0.000463047 +101 *2313:7 *2488:8 0 +102 *2383:24 *23659:B 9.70097e-06 +103 *2389:17 *23656:B 0.000175485 +104 *2389:17 *2488:103 0.000330596 +105 *2389:20 *2488:93 0 +106 *2444:17 *2488:67 0.000659428 +107 *2447:14 *2488:8 0.000438722 +108 *2449:9 *23656:B 4.82966e-05 +109 *2452:19 *6592:DIODE 6.50586e-05 +110 *2462:46 *6592:DIODE 0.000230051 +111 *2462:46 *2488:80 0.000103337 +112 *2469:25 *6582:DIODE 6.3657e-05 +113 *2469:25 *6588:DIODE 6.50727e-05 +114 *2469:25 *2488:47 0.000195941 +115 *2469:25 *2488:49 0.000511678 +116 *2469:25 *2488:51 0.000258275 +117 *2469:25 *2488:80 0.000195315 +118 *2469:25 *2488:82 0.000159322 +*RES +1 *23079:X *2488:7 14.4725 +2 *2488:7 *2488:8 74.5011 +3 *2488:8 *2488:10 4.5 +4 *2488:10 *2488:11 54.5199 +5 *2488:11 *2488:14 6.332 +6 *2488:14 *6576:DIODE 13.7491 +7 *2488:14 *2488:21 3.90826 +8 *2488:21 *23651:B 13.7491 +9 *2488:21 *2488:30 18.3743 +10 *2488:30 *2488:31 413.348 +11 *2488:31 *2488:34 18.3743 +12 *2488:34 *2488:39 9.5469 +13 *2488:39 *2488:43 14.0971 +14 *2488:43 *2488:47 8.30395 +15 *2488:47 *2488:49 5.71483 +16 *2488:49 *2488:51 2.94181 +17 *2488:51 *6582:DIODE 9.97254 +18 *2488:51 *2488:59 4.5 +19 *2488:59 *2488:67 19.3587 +20 *2488:67 *6592:DIODE 19.2113 +21 *2488:67 *2488:80 9.13445 +22 *2488:80 *2488:82 1.8326 +23 *2488:82 *6588:DIODE 9.97254 +24 *2488:82 *2488:90 4.5 +25 *2488:90 *2488:93 5.05631 +26 *2488:93 *23659:B 17.6574 +27 *2488:93 *2488:103 7.99641 +28 *2488:103 *23656:B 12.191 +29 *2488:103 *6586:DIODE 9.24915 +30 *2488:90 *2488:115 2.6625 +31 *2488:115 *23657:B 13.7491 +32 *2488:115 *23655:B 16.8269 +33 *2488:80 *6584:DIODE 9.24915 +34 *2488:59 *2488:133 2.6625 +35 *2488:133 *23654:B 13.7491 +36 *2488:133 *23653:B 18.8543 +37 *2488:49 *6580:DIODE 9.24915 +38 *2488:47 *6594:DIODE 9.24915 +39 *2488:43 *23660:B 15.8893 +40 *2488:39 *6578:DIODE 9.24915 +41 *2488:34 *23652:B 15.5817 +*END + +*D_NET *2489 0.0736441 +*CONN +*I *6214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23454:A I *D sky130_fd_sc_hd__nand2_1 +*I *21634:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6214:DIODE 5.21554e-05 +2 *23454:A 5.434e-05 +3 *21634:X 0 +4 *2489:21 0.000756402 +5 *2489:17 0.0101687 +6 *2489:8 0.0117513 +7 *2489:5 0.00223251 +8 *23454:A *5460:DIODE 1.15367e-05 +9 *2489:8 *5588:DIODE 2.49672e-05 +10 *2489:8 *22814:A 0 +11 *2489:8 *23069:A 0 +12 *2489:8 *3177:6 0 +13 *2489:8 *3177:13 0 +14 *2489:8 *3180:20 0 +15 *2489:8 *3463:10 0 +16 *2489:17 *2490:30 0.00240643 +17 *2489:17 *2492:28 0 +18 *2489:17 *2493:30 0.00185575 +19 *2489:17 *2622:23 0.000811481 +20 *2489:17 *3172:13 0.000123288 +21 *2489:17 *3174:7 0.000108607 +22 *2489:17 *3373:25 0.00584463 +23 *2489:17 *3417:35 0.00020635 +24 *2489:21 *2622:23 8.78543e-05 +25 *2489:21 *3417:35 0.00336348 +26 la_oenb_core[83] *2489:8 0.000106645 +27 *3822:DIODE *2489:8 1.8714e-05 +28 *6542:DIODE *2489:8 0.000115585 +29 *1154:28 *6214:DIODE 4.94768e-05 +30 *1154:28 *23454:A 1.9101e-05 +31 *2110:37 *2489:17 0.000347865 +32 *2118:19 *2489:17 0.00605022 +33 *2124:33 *2489:17 0 +34 *2133:30 *2489:17 0.0178819 +35 *2236:19 *2489:21 0.000576034 +36 *2268:28 *2489:21 0.0010828 +37 *2268:45 *2489:17 0.00159856 +38 *2382:40 *2489:21 0.000374449 +39 *2393:70 *2489:21 0.000543122 +40 *2411:8 *2489:8 0 +41 *2414:11 *2489:17 0.000226145 +42 *2431:8 *2489:8 0 +43 *2457:13 *2489:17 0.00250681 +44 *2457:29 *2489:17 0.00228685 +45 *2461:8 *2489:8 0 +*RES +1 *21634:X *2489:5 13.7491 +2 *2489:5 *2489:8 46.9336 +3 *2489:8 *2489:17 46.7441 +4 *2489:17 *2489:21 7.81686 +5 *2489:21 *23454:A 14.9583 +6 *2489:21 *6214:DIODE 14.9583 +*END + +*D_NET *2490 0.0750843 +*CONN +*I *6216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23455:A I *D sky130_fd_sc_hd__nand2_1 +*I *21635:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6216:DIODE 0 +2 *23455:A 0.00016068 +3 *21635:X 0 +4 *2490:30 0.00136049 +5 *2490:20 0.00961938 +6 *2490:9 0.012041 +7 *2490:5 0.00362145 +8 *2490:9 *22815:A 0 +9 *2490:9 *23071:A 0 +10 *2490:9 *2501:24 0.00487823 +11 *2490:9 *3177:6 0 +12 *2490:9 *3177:13 0 +13 *2490:9 *3181:14 0 +14 *2490:9 *3465:8 0 +15 *2490:20 *2493:21 0.000123637 +16 *2490:20 *2498:36 0 +17 *2490:20 *2499:21 0.000485094 +18 *2490:20 *2905:20 0.000165186 +19 *2490:20 *3045:22 0 +20 *2490:20 *3451:16 8.20799e-06 +21 *2490:20 *3458:6 6.62173e-05 +22 *2490:30 *5966:DIODE 0.000133228 +23 *2490:30 *2622:23 0.00231202 +24 *2490:30 *2625:14 2.99603e-05 +25 *2490:30 *3417:35 0.00213029 +26 la_oenb_core[84] *2490:9 4.17115e-05 +27 *3823:DIODE *2490:9 3.82386e-05 +28 *6217:DIODE *23455:A 0.000175485 +29 *23630:A *2490:9 0 +30 *1154:17 *23455:A 0.000220044 +31 *1154:28 *23455:A 1.67988e-05 +32 *1156:22 *2490:30 9.42362e-06 +33 *1942:25 *2490:20 0.000192686 +34 *2069:29 *2490:20 7.93457e-06 +35 *2088:33 *2490:20 0.00474325 +36 *2092:46 *2490:30 0.000900753 +37 *2110:37 *2490:20 0 +38 *2111:45 *2490:20 0.00736728 +39 *2122:39 *2490:30 9.47718e-05 +40 *2124:34 *2490:9 0.00202586 +41 *2235:35 *2490:30 0.00118578 +42 *2239:13 *2490:20 0.00280909 +43 *2245:17 *2490:20 0.00043313 +44 *2268:28 *23455:A 6.75845e-06 +45 *2268:28 *2490:30 1.49101e-05 +46 *2360:33 *2490:20 0.00132976 +47 *2393:49 *2490:20 0.011736 +48 *2393:70 *23455:A 1.48605e-05 +49 *2393:70 *2490:30 3.18648e-05 +50 *2399:14 *2490:9 7.24777e-05 +51 *2440:6 *2490:9 0.000116064 +52 *2468:26 *2490:20 0.000904287 +53 *2482:32 *2490:20 0.00105356 +54 *2489:17 *2490:30 0.00240643 +*RES +1 *21635:X *2490:5 13.7491 +2 *2490:5 *2490:9 47.8246 +3 *2490:9 *2490:20 49.67 +4 *2490:20 *2490:30 21.8197 +5 *2490:30 *23455:A 19.3563 +6 *2490:30 *6216:DIODE 13.7491 +*END + +*D_NET *2491 0.0649396 +*CONN +*I *6218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23456:A I *D sky130_fd_sc_hd__nand2_1 +*I *21636:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6218:DIODE 0 +2 *23456:A 0.000126192 +3 *21636:X 0.00121988 +4 *2491:37 0.00454383 +5 *2491:36 0.00464315 +6 *2491:31 0.000991256 +7 *2491:24 0.00162227 +8 *2491:12 0.00510506 +9 *2491:11 0.00424854 +10 *2491:9 0.00648176 +11 *2491:8 0.00648176 +12 *2491:6 0.00121988 +13 *23456:A *3406:33 0.000106513 +14 *23456:A *3406:40 9.82896e-06 +15 *23456:A *3439:33 0.000422382 +16 *2491:6 *22817:A 0 +17 *2491:6 *3065:6 0.000913236 +18 *2491:6 *3179:39 0.000178224 +19 *2491:6 *3183:8 0 +20 *2491:9 *2495:18 0.000108607 +21 *2491:9 *3028:25 0.00180262 +22 *2491:12 *2506:14 0.00545087 +23 *2491:12 *2635:18 0 +24 *2491:12 *3448:14 0 +25 *2491:24 *2649:9 7.09666e-06 +26 *2491:24 *2654:14 0.000413252 +27 *2491:24 *2791:6 0.000910858 +28 *2491:24 *3203:9 7.23007e-05 +29 *2491:24 *3341:6 0.000169358 +30 *2491:31 *2494:33 0.00104175 +31 *2491:31 *2624:35 0.00109072 +32 *2491:31 *2628:38 2.1203e-06 +33 *2491:31 *2628:39 0.00210093 +34 *2491:31 *2639:14 7.09666e-06 +35 *2491:31 *2643:14 0 +36 *2491:31 *3338:12 0.000342996 +37 *2491:31 *3340:13 1.92336e-05 +38 *2491:36 *2631:16 3.89561e-05 +39 *2491:36 *2787:9 5.36316e-05 +40 *2491:37 *23459:A 5.07314e-05 +41 *2491:37 *2494:35 0.00251842 +42 *2491:37 *3406:25 9.47862e-06 +43 *2491:37 *3406:33 0.000124137 +44 la_oenb_core[85] *2491:6 0.000111569 +45 *3824:DIODE *2491:6 3.82791e-05 +46 *6219:DIODE *23456:A 0.000165521 +47 *1138:7 *2491:31 0.00073823 +48 *1147:22 *2491:12 5.65354e-05 +49 *1158:9 *2491:31 0.000139024 +50 *1321:10 *2491:12 0 +51 *1704:10 *23456:A 6.22259e-05 +52 *1959:25 *2491:12 2.46988e-05 +53 *1961:24 *2491:12 5.18246e-05 +54 *1975:15 *2491:12 2.55661e-06 +55 *2084:22 *2491:24 0.000417478 +56 *2092:30 *23456:A 2.15348e-05 +57 *2122:39 *2491:37 0.000238938 +58 *2235:36 *2491:37 0.000663024 +59 *2244:19 *2491:36 1.5714e-05 +60 *2250:13 *2491:12 0 +61 *2250:15 *2491:12 0 +62 *2253:35 *2491:36 1.86229e-05 +63 *2253:44 *2491:31 2.58639e-05 +64 *2269:51 *2491:12 1.17351e-05 +65 *2310:33 *2491:12 1.78017e-05 +66 *2427:9 *2491:9 0.00411854 +67 *2447:9 *2491:9 0.00219461 +68 *2456:6 *2491:6 0.00115631 +*RES +1 *21636:X *2491:6 49.2166 +2 *2491:6 *2491:8 4.5 +3 *2491:8 *2491:9 113.308 +4 *2491:9 *2491:11 4.5 +5 *2491:11 *2491:12 108.137 +6 *2491:12 *2491:24 38.6972 +7 *2491:24 *2491:31 47.7706 +8 *2491:31 *2491:36 13.7388 +9 *2491:36 *2491:37 75.0403 +10 *2491:37 *23456:A 24.2715 +11 *2491:37 *6218:DIODE 9.24915 +*END + +*D_NET *2492 0.0769312 +*CONN +*I *6220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23457:A I *D sky130_fd_sc_hd__nand2_1 +*I *21637:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6220:DIODE 0 +2 *23457:A 0.000129083 +3 *21637:X 0.000932095 +4 *2492:28 0.00599449 +5 *2492:21 0.00901588 +6 *2492:8 0.00408257 +7 *23457:A *2625:14 3.60933e-06 +8 *23457:A *2625:15 0.000162117 +9 *23457:A *2779:19 0 +10 *2492:8 *6607:DIODE 4.34229e-05 +11 *2492:8 *22817:A 0 +12 *2492:8 *22818:A 0 +13 *2492:8 *23667:A 2.57465e-06 +14 *2492:8 *23667:B 0.000112148 +15 *2492:8 *3183:8 0 +16 *2492:8 *3478:170 0.000164784 +17 *2492:21 *2498:14 0.0126406 +18 *2492:21 *2498:26 0.000173417 +19 *2492:21 *3157:8 6.52046e-06 +20 *2492:28 *2495:18 0 +21 *2492:28 *2495:22 0.001104 +22 *2492:28 *2501:34 0 +23 *2492:28 *2779:19 0 +24 *2492:28 *3373:25 0 +25 *2492:28 *3384:23 0.00416599 +26 *2492:28 *3428:29 0.00131644 +27 *2492:28 *3461:31 0.000307158 +28 la_oenb_core[86] *2492:8 4.1752e-05 +29 *3825:DIODE *2492:8 2.13749e-05 +30 *6221:DIODE *23457:A 0.00016553 +31 *1174:26 *2492:28 0.00424846 +32 *1882:9 *2492:8 0 +33 *2069:29 *2492:28 0 +34 *2078:29 *2492:28 0.00438677 +35 *2110:37 *2492:28 0 +36 *2124:33 *2492:28 0.000561126 +37 *2140:7 *23457:A 7.5909e-06 +38 *2140:7 *2492:28 4.84228e-05 +39 *2242:23 *2492:28 0.000148618 +40 *2256:38 *2492:28 0.000282387 +41 *2371:13 *23457:A 0.000414533 +42 *2451:15 *2492:21 0.00592068 +43 *2462:19 *2492:21 0.0191778 +44 *2467:10 *2492:21 0.000111454 +45 *2471:11 *2492:21 0.00095949 +46 *2479:39 *23457:A 3.66984e-05 +47 *2479:44 *23457:A 4.15661e-05 +48 *2489:17 *2492:28 0 +*RES +1 *21637:X *2492:8 36.5981 +2 *2492:8 *2492:21 35.9812 +3 *2492:21 *2492:28 30.8551 +4 *2492:28 *23457:A 19.7715 +5 *2492:28 *6220:DIODE 13.7491 +*END + +*D_NET *2493 0.0707002 +*CONN +*I *6222:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23458:A I *D sky130_fd_sc_hd__nand2_1 +*I *21638:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6222:DIODE 0 +2 *23458:A 0.000347382 +3 *21638:X 0.000989199 +4 *2493:30 0.0058787 +5 *2493:21 0.00893466 +6 *2493:8 0.00439255 +7 *23458:A *2624:27 1.18649e-05 +8 *23458:A *2624:31 6.50727e-05 +9 *23458:A *2779:10 1.67245e-05 +10 *2493:8 *23073:A 0.000380128 +11 *2493:8 *3180:17 1.9101e-05 +12 *2493:8 *3467:8 0.000946576 +13 *2493:8 *3468:12 0.000180969 +14 *2493:21 *2499:21 0.0092161 +15 *2493:30 *2499:21 0 +16 *2493:30 *2504:42 0 +17 *2493:30 *2622:23 3.84042e-05 +18 *2493:30 *2908:28 0.000268824 +19 *2493:30 *3331:9 1.9101e-05 +20 *2493:30 *3417:35 0.00850105 +21 la_oenb_core[87] *2493:8 0.000277045 +22 *3826:DIODE *2493:8 2.77392e-05 +23 *1154:17 *23458:A 0.000160617 +24 *1156:11 *2493:30 0.000295324 +25 *1158:23 *2493:30 0.00393375 +26 *1850:11 *2493:8 0.000133777 +27 *1966:13 *2493:30 0.00299125 +28 *2111:39 *2493:30 0.000351826 +29 *2125:20 *2493:21 0.00178157 +30 *2360:33 *2493:21 0.00163579 +31 *2426:26 *2493:21 0.00826429 +32 *2441:10 *2493:21 0.000137871 +33 *2445:8 *2493:21 0.000142376 +34 *2461:24 *2493:21 0.00838123 +35 *2489:17 *2493:30 0.00185575 +36 *2490:20 *2493:21 0.000123637 +*RES +1 *21638:X *2493:8 46.5642 +2 *2493:8 *2493:21 33.763 +3 *2493:21 *2493:30 33.8202 +4 *2493:30 *23458:A 23.8563 +5 *2493:30 *6222:DIODE 9.24915 +*END + +*D_NET *2494 0.0659798 +*CONN +*I *6224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23459:A I *D sky130_fd_sc_hd__nand2_1 +*I *21639:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6224:DIODE 0 +2 *23459:A 0.000181652 +3 *21639:X 0.00155441 +4 *2494:35 0.00119661 +5 *2494:33 0.00225686 +6 *2494:16 0.00616458 +7 *2494:15 0.00492267 +8 *2494:13 0.0068699 +9 *2494:12 0.0068699 +10 *2494:10 0.00155441 +11 *23459:A *3406:25 0.00011818 +12 *2494:10 *6599:DIODE 7.53044e-05 +13 *2494:10 *6601:DIODE 2.6278e-05 +14 *2494:10 *22819:A 0 +15 *2494:10 *3003:22 0.000153087 +16 *2494:10 *3004:26 1.46169e-05 +17 *2494:10 *3180:8 0.000311383 +18 *2494:10 *3186:8 0 +19 *2494:10 *3478:250 0.000120584 +20 *2494:13 *3187:13 0.0102694 +21 *2494:16 *23223:A 0 +22 *2494:16 *2654:8 0 +23 *2494:16 *3187:6 0 +24 *2494:16 *3187:10 2.80094e-05 +25 *2494:33 *2628:39 0.00087833 +26 *2494:33 *2632:51 0.000729678 +27 *2494:33 *2633:40 4.25398e-05 +28 *2494:33 *2656:11 5.73392e-05 +29 *2494:33 *2920:36 1.32841e-05 +30 *2494:33 *3340:13 7.5301e-06 +31 *2494:35 *5984:DIODE 4.6535e-05 +32 *2494:35 *2628:38 0.000573916 +33 *2494:35 *2787:9 2.29454e-05 +34 *2494:35 *3340:13 0.000575246 +35 *2494:35 *3406:25 0.00370741 +36 *2494:35 *3439:31 0.00101605 +37 *2494:35 *3439:33 0.000114874 +38 la_oenb_core[88] *2494:10 0.000111569 +39 *5985:DIODE *2494:35 0.000260263 +40 *23208:A *23459:A 4.49767e-05 +41 *1138:22 *2494:35 0.000141416 +42 *1156:11 *2494:33 0.000535381 +43 *1158:9 *2494:33 0.00253861 +44 *1329:10 *2494:33 5.49916e-05 +45 *1577:11 *23459:A 9.12416e-06 +46 *1586:10 *2494:35 0.000176112 +47 *1711:8 *23459:A 0.000121451 +48 *1833:11 *2494:16 0.000651755 +49 *2005:17 *2494:16 0 +50 *2086:61 *2494:16 0 +51 *2086:67 *2494:16 0.00356599 +52 *2122:39 *2494:35 0.000149641 +53 *2253:44 *2494:35 8.92437e-05 +54 *2253:51 *2494:33 5.85325e-05 +55 *2350:13 *2494:16 0.000239984 +56 *2350:14 *2494:16 0.000323817 +57 *2362:8 *2494:10 0 +58 *2371:6 *2494:16 0.000768438 +59 *2371:10 *2494:16 0.00161385 +60 *2411:9 *2494:13 0.000440312 +61 *2462:6 *2494:10 0 +62 *2491:31 *2494:33 0.00104175 +63 *2491:37 *23459:A 5.07314e-05 +64 *2491:37 *2494:35 0.00251842 +*RES +1 *21639:X *2494:10 48.8746 +2 *2494:10 *2494:12 4.5 +3 *2494:12 *2494:13 122.182 +4 *2494:13 *2494:15 4.5 +5 *2494:15 *2494:16 128.899 +6 *2494:16 *2494:33 47.9807 +7 *2494:33 *2494:35 73.9311 +8 *2494:35 *23459:A 23.0201 +9 *2494:35 *6224:DIODE 9.24915 +*END + +*D_NET *2495 0.0776907 +*CONN +*I *6226:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23460:A I *D sky130_fd_sc_hd__nand2_1 +*I *21640:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6226:DIODE 0.000103252 +2 *23460:A 6.20869e-05 +3 *21640:X 0.00169762 +4 *2495:22 0.00203277 +5 *2495:18 0.0112254 +6 *2495:6 0.0110556 +7 *6226:DIODE *3461:31 0.000167692 +8 *2495:6 *5338:DIODE 6.36326e-05 +9 *2495:6 *22822:A 0 +10 *2495:6 *3007:76 0.000118055 +11 *2495:6 *3182:12 0 +12 *2495:6 *3189:21 0.000316667 +13 *2495:6 *3194:8 0 +14 *2495:18 *2501:24 0.0138817 +15 *2495:18 *2501:34 0.00558482 +16 *2495:18 *2924:35 0.0014474 +17 *2495:18 *3406:22 0.00461878 +18 *2495:22 *3350:47 0.000500472 +19 *2495:22 *3373:25 3.30148e-05 +20 *2495:22 *3428:29 0.00211515 +21 la_oenb_core[89] *2495:6 5.77352e-05 +22 *3828:DIODE *2495:6 0 +23 *1172:11 *2495:22 0.000152056 +24 *1174:26 *2495:22 2.43181e-05 +25 *2069:29 *2495:18 0.000570691 +26 *2111:39 *2495:18 0.00354414 +27 *2111:45 *2495:18 0.00106204 +28 *2124:33 *2495:18 2.00564e-05 +29 *2124:34 *2495:18 4.63344e-05 +30 *2258:25 *2495:22 0.00112209 +31 *2261:21 *2495:22 0.0056833 +32 *2284:17 *2495:22 0.00136535 +33 *2482:32 *2495:18 0.00780588 +34 *2491:9 *2495:18 0.000108607 +35 *2492:28 *2495:18 0 +36 *2492:28 *2495:22 0.001104 +*RES +1 *21640:X *2495:6 49.8394 +2 *2495:6 *2495:18 48.358 +3 *2495:18 *2495:22 14.2273 +4 *2495:22 *23460:A 14.7506 +5 *2495:22 *6226:DIODE 15.5817 +*END + +*D_NET *2496 0.0653077 +*CONN +*I *6012:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23353:A I *D sky130_fd_sc_hd__nand2_1 +*I *21641:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6012:DIODE 0 +2 *23353:A 9.51081e-05 +3 *21641:X 0.000873545 +4 *2496:16 0.00536402 +5 *2496:15 0.00526891 +6 *2496:13 0.00319813 +7 *2496:11 0.00492049 +8 *2496:8 0.00259591 +9 *23353:A *2515:16 5.15415e-05 +10 *23353:A *2625:23 1.41853e-05 +11 *23353:A *2625:29 0.000111708 +12 *23353:A *2659:16 0.000164843 +13 *23353:A *2659:19 0.000217937 +14 *2496:8 *6555:DIODE 0.000162767 +15 *2496:8 *23642:A 8.92568e-06 +16 *2496:8 *2979:12 0.000188544 +17 *2496:8 *3478:469 5.67593e-05 +18 *2496:11 *2596:11 6.14944e-05 +19 *2496:11 *3364:5 0.000139764 +20 *2496:13 *2506:11 0.0153786 +21 *2496:16 *2515:16 0.00119248 +22 *2496:16 *2920:93 2.71366e-05 +23 *2496:16 *3185:14 6.77071e-05 +24 *2496:16 *3361:52 0.000942952 +25 *2496:16 *3455:8 0.000922074 +26 la_oenb_core[107] *2496:8 1.44611e-05 +27 *6013:DIODE *23353:A 0.000169041 +28 *6523:DIODE *2496:8 0 +29 *23491:TE *2496:11 0.000122378 +30 *1354:8 *2496:8 1.81126e-05 +31 *1856:9 *2496:8 0.00108501 +32 *1861:12 *2496:8 0 +33 *1863:60 *2496:8 0 +34 *2125:20 *2496:13 0.00012601 +35 *2358:9 *2496:13 2.99978e-05 +36 *2410:6 *2496:8 5.48648e-05 +37 *2459:9 *2496:11 0.00080426 +38 *2459:9 *2496:13 0.019558 +39 *2459:12 *2496:16 0.00130003 +*RES +1 *21641:X *2496:8 45.8268 +2 *2496:8 *2496:11 29.3096 +3 *2496:11 *2496:13 213.414 +4 *2496:13 *2496:15 4.5 +5 *2496:15 *2496:16 128.484 +6 *2496:16 *23353:A 18.8017 +7 *2496:16 *6012:DIODE 13.7491 +*END + +*D_NET *2497 0.0384107 +*CONN +*I *6228:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23461:A I *D sky130_fd_sc_hd__nand2_2 +*I *21642:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6228:DIODE 0 +2 *23461:A 0.000408172 +3 *21642:X 8.14693e-05 +4 *2497:36 0.000408172 +5 *2497:34 0.00102986 +6 *2497:28 0.00214635 +7 *2497:20 0.00162837 +8 *2497:15 0.00235931 +9 *2497:14 0.00248604 +10 *2497:8 0.00652351 +11 *2497:7 0.00596638 +12 *23461:A *2558:12 0 +13 *23461:A *2702:8 2.71542e-05 +14 *2497:7 *22821:A 0.000118166 +15 *2497:7 *2985:33 0.000371925 +16 *2497:7 *3001:29 0.000570356 +17 *2497:8 *3764:DIODE 3.92921e-05 +18 *2497:8 *22821:A 0 +19 *2497:8 *23066:A 0.000180799 +20 *2497:8 *2578:6 0.000967153 +21 *2497:8 *2579:12 0 +22 *2497:8 *3129:17 0 +23 *2497:8 *3188:44 0 +24 *2497:8 *3378:16 1.66771e-05 +25 *2497:14 *2578:6 4.1946e-06 +26 *2497:14 *2579:12 0 +27 *2497:14 *2860:12 7.39022e-06 +28 *2497:15 *2651:25 0.000584907 +29 *2497:15 *3123:8 0.00145159 +30 *2497:20 *2709:8 0 +31 *2497:20 *2841:12 0.000659777 +32 *2497:28 *6018:DIODE 7.48797e-05 +33 *2497:28 *2529:25 0.00207459 +34 *2497:28 *2529:29 7.18738e-05 +35 *2497:28 *2686:11 6.08467e-05 +36 *2497:28 *2686:19 5.59947e-05 +37 *2497:28 *2706:8 5.05252e-05 +38 *2497:34 *2560:6 0 +39 *2497:34 *2686:11 0.00291084 +40 *2497:34 *2845:6 0.00011412 +41 la_data_in_core[8] *2497:8 4.77858e-05 +42 la_oenb_core[7] *2497:8 0 +43 *4550:DIODE *2497:15 0.000120546 +44 *6019:DIODE *2497:28 5.481e-05 +45 *6229:DIODE *23461:A 4.0752e-05 +46 *23146:A *2497:15 0.000103123 +47 *23507:A *2497:8 3.50232e-05 +48 *1266:11 *2497:15 2.65667e-05 +49 *1271:10 *2497:8 0 +50 *1379:26 *2497:8 2.33103e-06 +51 *1391:8 *2497:8 3.00073e-05 +52 *1580:7 *23461:A 3.21683e-05 +53 *1772:11 *2497:8 0.000401565 +54 *2006:13 *2497:8 0 +55 *2006:13 *2497:14 4.05375e-05 +56 *2093:21 *2497:14 0.000804447 +57 *2147:85 *23461:A 0.000324151 +58 *2448:8 *2497:8 0 +59 *2470:58 *2497:8 0.000268314 +60 *2479:17 *2497:28 0.00262791 +*RES +1 *21642:X *2497:7 20.0186 +2 *2497:7 *2497:8 119.141 +3 *2497:8 *2497:14 21.8062 +4 *2497:14 *2497:15 48.4193 +5 *2497:15 *2497:20 22.4591 +6 *2497:20 *2497:28 45.7946 +7 *2497:28 *2497:34 45.7959 +8 *2497:34 *2497:36 4.5 +9 *2497:36 *23461:A 25.5201 +10 *2497:36 *6228:DIODE 9.24915 +*END + +*D_NET *2498 0.070769 +*CONN +*I *6230:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23462:A I *D sky130_fd_sc_hd__nand2_1 +*I *21643:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6230:DIODE 0 +2 *23462:A 0.000325755 +3 *21643:X 0.000872292 +4 *2498:36 0.00483557 +5 *2498:26 0.00670796 +6 *2498:14 0.0063925 +7 *2498:8 0.00506664 +8 *2498:8 *22823:A 3.98226e-05 +9 *2498:8 *23076:A 0 +10 *2498:8 *2499:10 0 +11 *2498:8 *2607:20 0.000202006 +12 *2498:8 *3190:28 0.000149576 +13 *2498:8 *3191:21 0 +14 *2498:14 *2977:29 0.000236173 +15 *2498:26 *2502:9 0.000929086 +16 *2498:26 *3157:8 8.14896e-05 +17 *2498:26 *3445:16 1.5714e-05 +18 *2498:36 *2905:20 0.00391287 +19 *2498:36 *3362:26 0.0057926 +20 *2498:36 *3395:26 0.000477617 +21 la_data_in_core[91] *2498:8 0.000122098 +22 la_oenb_core[90] *2498:8 0 +23 *6231:DIODE *23462:A 6.92705e-05 +24 *23462:B *23462:A 2.53145e-06 +25 *1992:12 *2498:36 1.57481e-05 +26 *2087:46 *2498:36 6.44644e-05 +27 *2269:36 *23462:A 1.75682e-05 +28 *2281:16 *2498:14 0.000115848 +29 *2281:16 *2498:26 0.000560083 +30 *2350:20 *2498:8 0 +31 *2445:8 *2498:26 4.19401e-06 +32 *2451:15 *2498:14 0.00628714 +33 *2451:15 *2498:36 0.00319637 +34 *2462:19 *2498:14 0.000638129 +35 *2462:19 *2498:26 0.00389874 +36 *2462:19 *2498:36 0.00319327 +37 *2471:11 *2498:26 0.00149885 +38 *2474:19 *2498:14 0.00223295 +39 *2490:20 *2498:36 0 +40 *2492:21 *2498:14 0.0126406 +41 *2492:21 *2498:26 0.000173417 +*RES +1 *21643:X *2498:8 37.4286 +2 *2498:8 *2498:14 30.8838 +3 *2498:14 *2498:26 45.9319 +4 *2498:26 *2498:36 25.242 +5 *2498:36 *23462:A 20.0875 +6 *2498:36 *6230:DIODE 13.7491 +*END + +*D_NET *2499 0.0527404 +*CONN +*I *23463:A I *D sky130_fd_sc_hd__nand2_2 +*I *6232:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21644:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23463:A 5.09197e-05 +2 *6232:DIODE 0.000129016 +3 *21644:X 0.00147601 +4 *2499:21 0.00806475 +5 *2499:10 0.00936082 +6 *2499:10 *22823:A 0 +7 *2499:10 *22824:A 0 +8 *2499:10 *2573:12 0 +9 *2499:10 *3179:6 0.000196577 +10 *2499:10 *3190:28 0 +11 *2499:10 *3361:45 2.57986e-05 +12 *2499:21 *2908:28 0.000752447 +13 *2499:21 *2914:21 0 +14 *2499:21 *3428:29 0.00165489 +15 *3831:DIODE *2499:10 3.00073e-05 +16 *1326:20 *2499:21 0.0030199 +17 *1710:11 *23463:A 8.6297e-06 +18 *1864:33 *2499:10 2.33334e-05 +19 *1878:15 *2499:10 3.52038e-05 +20 *1967:39 *6232:DIODE 0.000225118 +21 *1967:39 *23463:A 3.77568e-05 +22 *1992:12 *6232:DIODE 1.35382e-05 +23 *1992:12 *2499:21 1.57481e-05 +24 *2087:46 *6232:DIODE 0.000248321 +25 *2087:46 *2499:21 2.91946e-05 +26 *2111:45 *2499:21 0.00229428 +27 *2125:20 *2499:21 0.000550532 +28 *2253:35 *6232:DIODE 5.8518e-05 +29 *2426:26 *2499:21 0.00807994 +30 *2461:24 *2499:21 0.00458981 +31 *2468:26 *2499:21 0.0020681 +32 *2490:20 *2499:21 0.000485094 +33 *2493:21 *2499:21 0.0092161 +34 *2493:30 *2499:21 0 +35 *2498:8 *2499:10 0 +*RES +1 *21644:X *2499:10 47.2876 +2 *2499:10 *2499:21 43.0908 +3 *2499:21 *6232:DIODE 18.6829 +4 *2499:21 *23463:A 18.327 +*END + +*D_NET *2500 0.0688951 +*CONN +*I *6234:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23464:A I *D sky130_fd_sc_hd__nand2_1 +*I *21645:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6234:DIODE 0 +2 *23464:A 0.000284376 +3 *21645:X 9.33949e-05 +4 *2500:37 0.00306089 +5 *2500:36 0.00277651 +6 *2500:34 0.00185628 +7 *2500:17 0.00619179 +8 *2500:16 0.00569374 +9 *2500:10 0.00523726 +10 *2500:9 0.00397243 +11 *23464:A *2625:15 2.65831e-05 +12 *2500:9 *22825:A 0.00011818 +13 *2500:9 *2985:45 1.15389e-05 +14 *2500:9 *3478:340 3.25906e-05 +15 *2500:10 *22825:A 9.22013e-06 +16 *2500:10 *2501:8 0 +17 *2500:10 *2629:24 0.000110935 +18 *2500:10 *2796:50 0.000426954 +19 *2500:10 *2796:59 0 +20 *2500:10 *2796:71 0 +21 *2500:10 *2975:52 0.00024028 +22 *2500:10 *3069:10 0.004441 +23 *2500:10 *3193:20 0 +24 *2500:10 *3226:10 5.59381e-05 +25 *2500:10 *3478:305 0.000252031 +26 *2500:10 *3478:340 0.000130517 +27 *2500:16 *2629:24 0.000322836 +28 *2500:16 *2796:42 0.00114801 +29 *2500:16 *2796:50 4.12392e-05 +30 *2500:16 *2801:36 0.000154058 +31 *2500:16 *2817:14 0.00101071 +32 *2500:17 *23229:A 0.000158371 +33 *2500:17 *23357:A 0.000100272 +34 *2500:17 *2506:26 0.00124387 +35 *2500:17 *2540:31 0.00246641 +36 *2500:17 *2636:23 0.000880282 +37 *2500:17 *2790:14 0.00127931 +38 *2500:17 *2928:15 0.00813779 +39 *2500:17 *3199:13 0.00538963 +40 *2500:34 *2505:26 0 +41 *2500:37 *2625:15 0.00148512 +42 *2500:37 *3428:29 0.000156778 +43 *2500:37 *3461:30 0.00123705 +44 la_data_in_core[93] *2500:9 0.000160617 +45 *3833:DIODE *2500:9 4.88955e-05 +46 *6021:DIODE *2500:17 0.000265211 +47 *6497:DIODE *2500:10 3.32553e-05 +48 *22364:A *2500:16 6.50465e-05 +49 *23103:A *2500:17 0.000266832 +50 *23229:B *2500:17 3.31745e-05 +51 *23338:B *2500:34 7.09666e-06 +52 *379:12 *2500:9 0.000115934 +53 *1147:22 *2500:34 0.000115848 +54 *1149:31 *2500:17 0.000182414 +55 *1149:31 *2500:34 0.00074432 +56 *1158:28 *23464:A 3.29402e-05 +57 *1172:11 *2500:17 0.00079411 +58 *1175:36 *2500:34 0.00031994 +59 *1195:42 *2500:10 6.00927e-05 +60 *1217:8 *2500:10 2.89857e-05 +61 *1326:11 *2500:34 0.000100673 +62 *1329:13 *2500:17 0.00272776 +63 *1334:9 *2500:17 0.00152397 +64 *1476:5 *2500:17 0.000144004 +65 *1606:9 *2500:17 0.000250402 +66 *1715:8 *2500:34 1.38384e-05 +67 *1716:9 *2500:34 1.05272e-06 +68 *1717:9 *2500:34 0 +69 *2121:39 *2500:10 8.56376e-05 +70 *2136:45 *2500:10 0.000125161 +71 *2257:21 *2500:37 0.00010238 +72 *2477:9 *2500:34 0.000311343 +*RES +1 *21645:X *2500:9 17.8243 +2 *2500:9 *2500:10 108.075 +3 *2500:10 *2500:16 45.7443 +4 *2500:16 *2500:17 205.095 +5 *2500:17 *2500:34 46.986 +6 *2500:34 *2500:36 4.5 +7 *2500:36 *2500:37 48.9739 +8 *2500:37 *23464:A 23.2961 +9 *2500:37 *6234:DIODE 9.24915 +*END + +*D_NET *2501 0.0667073 +*CONN +*I *6236:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23465:A I *D sky130_fd_sc_hd__nand2_1 +*I *21646:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6236:DIODE 0 +2 *23465:A 0.00020686 +3 *21646:X 0 +4 *2501:34 0.0050634 +5 *2501:24 0.011411 +6 *2501:8 0.00821989 +7 *2501:5 0.00166544 +8 *23465:A *2925:17 0.000197525 +9 *2501:8 *2975:52 1.15826e-05 +10 *2501:8 *3193:20 0.000380081 +11 *2501:24 *2503:15 0.00030988 +12 *2501:24 *3445:16 7.48886e-05 +13 *2501:24 *3452:13 0.000309145 +14 *2501:24 *3456:13 0.000317568 +15 *2501:34 *2924:35 0.00146205 +16 *2501:34 *2925:17 7.08288e-05 +17 la_oenb_core[93] *2501:8 5.77352e-05 +18 *3834:DIODE *2501:8 4.28891e-05 +19 *6237:DIODE *23465:A 1.24189e-05 +20 *1172:11 *23465:A 6.92705e-05 +21 *1587:11 *23465:A 6.50586e-05 +22 *1710:18 *23465:A 8.03676e-06 +23 *1710:18 *2501:34 1.18505e-05 +24 *1881:8 *2501:8 7.08005e-05 +25 *2111:45 *2501:24 0.000330656 +26 *2124:33 *2501:34 0.00242973 +27 *2124:34 *2501:24 0.00744583 +28 *2124:34 *2501:34 0.00157308 +29 *2251:25 *2501:34 6.23101e-05 +30 *2252:27 *2501:34 5.60804e-05 +31 *2350:17 *2501:24 0.00030988 +32 *2353:27 *2501:8 0 +33 *2439:12 *2501:24 0 +34 *2441:10 *2501:24 7.83498e-05 +35 *2482:32 *2501:34 3.84439e-05 +36 *2490:9 *2501:24 0.00487823 +37 *2492:28 *2501:34 0 +38 *2495:18 *2501:24 0.0138817 +39 *2495:18 *2501:34 0.00558482 +40 *2500:10 *2501:8 0 +*RES +1 *21646:X *2501:5 13.7491 +2 *2501:5 *2501:8 40.2896 +3 *2501:8 *2501:24 49.182 +4 *2501:24 *2501:34 25.9583 +5 *2501:34 *23465:A 18.7961 +6 *2501:34 *6236:DIODE 13.7491 +*END + +*D_NET *2502 0.0608803 +*CONN +*I *6238:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23466:A I *D sky130_fd_sc_hd__nand2_1 +*I *21647:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6238:DIODE 0 +2 *23466:A 0.000253838 +3 *21647:X 0.000697543 +4 *2502:15 0.00240349 +5 *2502:12 0.00753554 +6 *2502:11 0.00538589 +7 *2502:9 0.00544136 +8 *2502:8 0.0061389 +9 *23466:A *2625:15 0.000251196 +10 *23466:A *2625:21 3.12783e-05 +11 *23466:A *2928:8 0 +12 *2502:8 *2504:12 0.00011136 +13 *2502:12 *23220:A 3.69489e-05 +14 *2502:12 *2624:36 0 +15 *2502:12 *2928:14 9.59075e-05 +16 *2502:12 *2933:18 0.00144089 +17 *2502:12 *3461:6 0.00532065 +18 *2502:12 *3478:45 0 +19 *2502:15 *2625:21 0.000360325 +20 *2502:15 *2625:23 0.00197635 +21 la_data_in_core[95] *2502:8 9.35753e-06 +22 la_oenb_core[94] *2502:8 8.5575e-05 +23 *3835:DIODE *2502:8 0 +24 *22347:TE *2502:12 0 +25 *22584:A *2502:8 0.000193856 +26 *1717:9 *23466:A 2.53092e-05 +27 *1823:8 *2502:12 0 +28 *1956:13 *2502:12 0 +29 *2252:27 *2502:12 1.94602e-05 +30 *2257:21 *2502:15 0.000133887 +31 *2281:16 *2502:9 0.0181308 +32 *2354:12 *2502:8 0.000627937 +33 *2371:13 *23466:A 0.000216535 +34 *2430:8 *2502:8 0 +35 *2433:11 *2502:9 0.00302696 +36 *2498:26 *2502:9 0.000929086 +*RES +1 *21647:X *2502:8 37.5217 +2 *2502:8 *2502:9 195.944 +3 *2502:9 *2502:11 4.5 +4 *2502:11 *2502:12 135.958 +5 *2502:12 *2502:15 41.2726 +6 *2502:15 *23466:A 24.4403 +7 *2502:15 *6238:DIODE 9.24915 +*END + +*D_NET *2503 0.0641884 +*CONN +*I *6240:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23467:A I *D sky130_fd_sc_hd__nand2_1 +*I *21648:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6240:DIODE 0 +2 *23467:A 0.000343632 +3 *21648:X 0.00126565 +4 *2503:27 0.0022198 +5 *2503:26 0.00324486 +6 *2503:18 0.00710775 +7 *2503:17 0.00573905 +8 *2503:15 0.00156947 +9 *2503:14 0.00179103 +10 *2503:6 0.00148722 +11 *23467:A *2643:14 7.20593e-05 +12 *2503:6 *22828:A 0 +13 *2503:6 *3349:6 0 +14 *2503:14 *2585:9 0.000683029 +15 *2503:15 *3028:25 0.0150225 +16 *2503:18 *3511:DIODE 9.84424e-06 +17 *2503:18 *2920:87 1.55462e-05 +18 *2503:18 *2933:46 5.11736e-05 +19 *2503:18 *2934:13 0.000373303 +20 *2503:18 *3250:27 6.50465e-05 +21 *2503:26 *3204:9 1.75682e-05 +22 la_oenb_core[95] *2503:6 0.000217942 +23 *3836:DIODE *2503:6 0 +24 *6241:DIODE *23467:A 8.41883e-05 +25 *23351:A *2503:18 0 +26 *1149:15 *2503:26 0.00218219 +27 *1152:9 *2503:27 0.00171 +28 *1177:44 *2503:18 7.97983e-05 +29 *1334:8 *2503:26 6.22114e-05 +30 *1881:24 *2503:6 0 +31 *2122:39 *2503:26 0.000108607 +32 *2255:26 *23467:A 1.74775e-05 +33 *2255:26 *2503:27 6.98227e-05 +34 *2268:45 *2503:27 0.000133887 +35 *2280:26 *2503:15 0.000639777 +36 *2280:43 *2503:15 0.0015962 +37 *2348:16 *2503:18 0.00113048 +38 *2350:17 *2503:15 0.0119502 +39 *2355:16 *2503:6 0.00130534 +40 *2411:9 *2503:14 0.000678817 +41 *2413:10 *2503:14 3.19566e-05 +42 *2436:6 *2503:18 0.000113164 +43 *2447:6 *2503:18 0.000687886 +44 *2501:24 *2503:15 0.00030988 +*RES +1 *21648:X *2503:6 47.5556 +2 *2503:6 *2503:14 23.9564 +3 *2503:14 *2503:15 162.113 +4 *2503:15 *2503:17 4.5 +5 *2503:17 *2503:18 125.162 +6 *2503:18 *2503:26 38.3789 +7 *2503:26 *2503:27 30.672 +8 *2503:27 *23467:A 24.4403 +9 *2503:27 *6240:DIODE 9.24915 +*END + +*D_NET *2504 0.0631371 +*CONN +*I *6242:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23468:A I *D sky130_fd_sc_hd__nand2_1 +*I *21649:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6242:DIODE 0 +2 *23468:A 9.84207e-05 +3 *21649:X 0.00169589 +4 *2504:42 0.00586477 +5 *2504:30 0.0113705 +6 *2504:12 0.00730008 +7 *2504:12 *22826:A 0 +8 *2504:12 *2985:45 2.60185e-05 +9 *2504:12 *3196:15 0 +10 *2504:12 *3478:340 0.000649672 +11 *2504:30 *2977:29 0.0139099 +12 *2504:30 *3161:26 1.49935e-05 +13 *2504:30 *3166:9 0.000211573 +14 *2504:30 *3444:8 3.93182e-05 +15 *2504:42 *2925:17 0.00012107 +16 la_data_in_core[95] *2504:12 0.000118166 +17 la_data_in_core[96] *2504:12 0.000169041 +18 la_oenb_core[94] *2504:12 0.000179026 +19 la_oenb_core[96] *2504:12 3.4685e-05 +20 *3837:DIODE *2504:12 1.00846e-05 +21 *22583:A *2504:12 0 +22 *383:8 *2504:12 6.08167e-05 +23 *1149:31 *23468:A 0.000433229 +24 *1153:32 *2504:42 6.23101e-05 +25 *1157:24 *2504:42 5.60804e-05 +26 *1172:11 *23468:A 0.000437441 +27 *1326:11 *23468:A 6.22259e-05 +28 *1326:11 *2504:42 5.45571e-05 +29 *1955:35 *2504:42 4.8968e-05 +30 *2069:29 *2504:42 0.00108518 +31 *2111:39 *2504:42 0 +32 *2136:37 *2504:42 0.000852941 +33 *2243:23 *2504:42 0.00286419 +34 *2246:25 *2504:42 2.22014e-05 +35 *2253:28 *2504:42 0.000392389 +36 *2415:14 *2504:30 0.00211893 +37 *2415:26 *2504:42 0.00236827 +38 *2430:8 *2504:12 0 +39 *2453:21 *2504:42 0.00236827 +40 *2456:20 *2504:30 0.00723881 +41 *2483:33 *2504:30 0.000685596 +42 *2493:30 *2504:42 0 +43 *2502:8 *2504:12 0.00011136 +*RES +1 *21649:X *2504:12 49.1348 +2 *2504:12 *2504:30 47.7033 +3 *2504:30 *2504:42 30.427 +4 *2504:42 *23468:A 19.7715 +5 *2504:42 *6242:DIODE 13.7491 +*END + +*D_NET *2505 0.0603955 +*CONN +*I *23469:A I *D sky130_fd_sc_hd__nand2_1 +*I *6244:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21650:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23469:A 0 +2 *6244:DIODE 3.339e-05 +3 *21650:X 1.26312e-05 +4 *2505:26 0.00429329 +5 *2505:16 0.00562312 +6 *2505:11 0.00619906 +7 *2505:10 0.00483583 +8 *2505:8 0.00368115 +9 *2505:7 0.00369378 +10 *2505:7 *2985:45 2.65831e-05 +11 *2505:8 *23648:B 4.49912e-05 +12 *2505:8 *2801:42 0.000317804 +13 *2505:8 *2978:31 0 +14 *2505:8 *3060:6 0 +15 *2505:8 *3061:14 0.000751512 +16 *2505:8 *3478:347 0.000141562 +17 *2505:11 *4702:DIODE 0.000151436 +18 *2505:11 *22378:A_N 2.16355e-05 +19 *2505:16 *22493:A_N 0 +20 *2505:26 *2631:17 0.000218228 +21 *2505:26 *3428:29 0.00148299 +22 la_oenb_core[96] *2505:7 6.50727e-05 +23 la_oenb_core[97] *2505:8 2.11776e-05 +24 *3838:DIODE *2505:8 4.55535e-05 +25 *4444:DIODE *2505:11 0.000417464 +26 *4933:DIODE *2505:16 0 +27 *6245:DIODE *6244:DIODE 5.3103e-05 +28 *22245:TE *2505:8 0.000212932 +29 *22586:A *2505:8 0 +30 *22587:A *2505:8 0 +31 *23593:TE *2505:16 0 +32 *1153:32 *2505:26 0.000691387 +33 *1174:26 *2505:26 0.01444 +34 *1213:27 *2505:11 0.00011818 +35 *1332:6 *2505:8 0.000889427 +36 *1588:5 *6244:DIODE 6.92705e-05 +37 *1717:9 *2505:26 1.91246e-05 +38 *1725:8 *2505:16 0 +39 *1726:10 *2505:11 0.000304791 +40 *1841:9 *2505:16 0 +41 *1973:28 *2505:11 8.99933e-05 +42 *2005:35 *2505:16 8.11463e-06 +43 *2092:49 *2505:16 0.00161132 +44 *2256:48 *2505:26 0.00012601 +45 *2261:21 *2505:26 0.00374848 +46 *2356:12 *2505:8 0 +47 *2400:6 *2505:8 0.00548242 +48 *2413:15 *2505:11 0.000277172 +49 *2477:9 *6244:DIODE 0.000175485 +50 *2500:34 *2505:26 0 +*RES +1 *21650:X *2505:7 14.4725 +2 *2505:7 *2505:8 118.933 +3 *2505:8 *2505:10 4.5 +4 *2505:10 *2505:11 102.77 +5 *2505:11 *2505:16 40.6371 +6 *2505:16 *2505:26 29.9274 +7 *2505:26 *6244:DIODE 11.6605 +8 *2505:26 *23469:A 9.24915 +*END + +*D_NET *2506 0.0710435 +*CONN +*I *6246:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23470:A I *D sky130_fd_sc_hd__nand2_1 +*I *21651:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6246:DIODE 0 +2 *23470:A 4.40156e-05 +3 *21651:X 0.00109769 +4 *2506:27 0.000256993 +5 *2506:26 0.000732223 +6 *2506:14 0.00537969 +7 *2506:13 0.00486045 +8 *2506:11 0.0121265 +9 *2506:10 0.0132242 +10 *2506:10 *22830:A 0.000361002 +11 *2506:10 *23638:B 0 +12 *2506:10 *2985:45 8.41174e-05 +13 *2506:10 *3077:6 0 +14 *2506:10 *3198:32 0.00105678 +15 *2506:11 *3170:15 0.00269619 +16 *2506:14 *5992:DIODE 0 +17 *2506:14 *2916:20 0 +18 *2506:14 *2920:48 0 +19 *2506:14 *2933:27 0 +20 *2506:14 *3448:14 0 +21 *2506:14 *3453:20 0 +22 *2506:26 *23220:A 0.000154145 +23 *2506:26 *2655:15 0.000718994 +24 *2506:26 *2790:14 0.00134179 +25 *2506:26 *2922:25 0.000187803 +26 la_data_in_core[98] *2506:10 6.50727e-05 +27 *3839:DIODE *2506:10 4.5539e-05 +28 *6247:DIODE *23470:A 0.000171149 +29 *21651:A *2506:10 0.000171288 +30 *1146:34 *2506:14 7.83498e-05 +31 *1147:22 *2506:14 8.77982e-05 +32 *1148:25 *23470:A 0.000198133 +33 *1148:25 *2506:27 0.000617345 +34 *1149:22 *2506:26 2.43314e-05 +35 *1149:31 *2506:26 6.08467e-05 +36 *1164:14 *2506:14 0 +37 *1172:11 *2506:26 0.00158208 +38 *1595:8 *2506:14 0 +39 *1863:17 *2506:10 0.000132414 +40 *1945:33 *2506:14 4.99006e-05 +41 *1980:48 *23470:A 0.000120742 +42 *1980:48 *2506:27 0.000609995 +43 *2096:27 *2506:14 0 +44 *2125:20 *2506:11 0.000115848 +45 *2261:21 *2506:26 0.000123271 +46 *2269:51 *2506:14 8.5809e-05 +47 *2358:9 *2506:11 0.000307517 +48 *2491:12 *2506:14 0.00545087 +49 *2496:13 *2506:11 0.0153786 +50 *2500:17 *2506:26 0.00124387 +*RES +1 *21651:X *2506:10 49.8778 +2 *2506:10 *2506:11 214.246 +3 *2506:11 *2506:13 4.5 +4 *2506:13 *2506:14 121.84 +5 *2506:14 *2506:26 47.1639 +6 *2506:26 *2506:27 15.1431 +7 *2506:27 *23470:A 13.8548 +8 *2506:27 *6246:DIODE 9.24915 +*END + +*D_NET *2507 0.055668 +*CONN +*I *23354:A I *D sky130_fd_sc_hd__nand2_1 +*I *6014:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21652:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23354:A 4.76607e-05 +2 *6014:DIODE 0.000110835 +3 *21652:X 0 +4 *2507:12 0.0041711 +5 *2507:11 0.00401261 +6 *2507:9 0.0147203 +7 *2507:8 0.0158492 +8 *2507:5 0.00112884 +9 *6014:DIODE *3199:7 0.00011818 +10 *23354:A *3199:7 0.000368635 +11 *2507:8 *22715:A 0 +12 *2507:8 *22969:A 0 +13 *2507:8 *22970:A 0 +14 *2507:8 *23643:A 0 +15 *2507:8 *3354:8 0.000925612 +16 *2507:8 *3358:14 0.00221641 +17 *2507:9 *3515:DIODE 7.48797e-05 +18 *2507:9 *21540:A 1.92336e-05 +19 *2507:9 *3250:14 0.00255765 +20 *2507:9 *3465:5 0.000145187 +21 *2507:9 *3466:7 0.00286201 +22 *2507:12 *2663:10 0 +23 *2507:12 *2921:50 0.000134119 +24 *2507:12 *3460:6 0 +25 la_oenb_core[108] *2507:8 7.86847e-05 +26 *3851:DIODE *2507:8 3.00073e-05 +27 *22390:B *2507:9 3.28898e-06 +28 *1191:27 *2507:12 0 +29 *1226:10 *2507:9 0.000954996 +30 *1858:9 *2507:8 0 +31 *1983:38 *2507:9 4.69326e-06 +32 *1985:68 *23354:A 0.000315527 +33 *2090:21 *6014:DIODE 5.01737e-05 +34 *2090:21 *2507:12 0.0045585 +35 *2259:23 *2507:12 0 +36 *2269:52 *23354:A 2.41483e-05 +37 *2313:27 *2507:12 6.96979e-05 +38 *2414:11 *2507:9 0.000115848 +39 *2450:6 *2507:12 0 +*RES +1 *21652:X *2507:5 13.7491 +2 *2507:5 *2507:8 49.103 +3 *2507:8 *2507:9 222.01 +4 *2507:9 *2507:11 4.5 +5 *2507:11 *2507:12 97.7553 +6 *2507:12 *6014:DIODE 16.0286 +7 *2507:12 *23354:A 17.8002 +*END + +*D_NET *2508 0.0556026 +*CONN +*I *6248:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23471:A I *D sky130_fd_sc_hd__nand2_1 +*I *21653:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6248:DIODE 0 +2 *23471:A 0.00017277 +3 *21653:X 0.000709825 +4 *2508:19 0.000258087 +5 *2508:16 0.00411129 +6 *2508:15 0.00430166 +7 *2508:9 0.00368625 +8 *2508:8 0.00412038 +9 *2508:8 *22831:A 0 +10 *2508:8 *3061:23 4.69495e-06 +11 *2508:9 *2977:29 0.00010238 +12 *2508:15 *3453:24 0.0007828 +13 *2508:16 *2933:46 0 +14 *2508:16 *3452:16 0.0010946 +15 *3841:DIODE *2508:8 3.00073e-05 +16 *5089:DIODE *2508:16 0.000116971 +17 *6249:DIODE *23471:A 5.31074e-05 +18 *22359:TE *23471:A 0 +19 *22589:A *2508:8 0 +20 *23471:B *23471:A 6.92705e-05 +21 *1944:25 *2508:16 0.000223181 +22 *1962:10 *23471:A 0.00013243 +23 *1962:10 *2508:19 0.000570342 +24 *2086:66 *2508:19 0.000266846 +25 *2107:18 *23471:A 0.000446543 +26 *2107:18 *2508:19 0.000119821 +27 *2348:9 *2508:9 0.0155041 +28 *2348:15 *2508:9 0.000650819 +29 *2348:15 *2508:15 8.69538e-05 +30 *2358:16 *2508:8 0.000142865 +31 *2359:16 *2508:8 0 +32 *2371:6 *2508:16 0.000125808 +33 *2371:10 *2508:16 0.00136655 +34 *2455:9 *2508:9 0.0130913 +35 *2455:9 *2508:15 7.71696e-05 +36 *2473:12 *2508:16 0.0031837 +*RES +1 *21653:X *2508:8 33.3692 +2 *2508:8 *2508:9 196.776 +3 *2508:9 *2508:15 15.5077 +4 *2508:15 *2508:16 109.382 +5 *2508:16 *2508:19 10.7694 +6 *2508:19 *23471:A 24.8969 +7 *2508:19 *6248:DIODE 9.24915 +*END + +*D_NET *2509 0.0412868 +*CONN +*I *6250:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23472:A I *D sky130_fd_sc_hd__nand2_2 +*I *21654:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6250:DIODE 3.00303e-05 +2 *23472:A 0.000380544 +3 *21654:X 0.000135727 +4 *2509:33 0.00325517 +5 *2509:32 0.00472588 +6 *2509:26 0.00274161 +7 *2509:20 0.00206288 +8 *2509:12 0.00354819 +9 *2509:10 0.00470468 +10 *2509:7 0.00249477 +11 *6250:DIODE *2706:8 7.50872e-05 +12 *23472:A *3256:8 0 +13 *2509:7 *3001:29 0.000217937 +14 *2509:10 *22832:A 5.71239e-05 +15 *2509:10 *3200:54 0.000809932 +16 *2509:12 *2722:14 0.000692698 +17 *2509:12 *2864:35 0 +18 *2509:12 *2918:34 0.000609027 +19 *2509:12 *3093:27 0.000283256 +20 *2509:12 *3200:54 1.0645e-05 +21 *2509:12 *3471:10 0 +22 *2509:20 *2722:14 8.75131e-05 +23 *2509:20 *2852:14 0 +24 *2509:20 *2859:14 2.57298e-05 +25 *2509:20 *2859:23 7.41833e-06 +26 *2509:20 *2859:32 3.95735e-05 +27 *2509:32 *2570:10 7.50872e-05 +28 *2509:32 *3265:8 7.14746e-05 +29 *3842:DIODE *2509:10 6.85742e-05 +30 *4553:DIODE *2509:20 5.65354e-05 +31 *1157:61 *2509:20 1.9101e-05 +32 *1262:26 *2509:12 0 +33 *1527:10 *2509:12 0 +34 *1652:8 *2509:20 0.000160019 +35 *1719:8 *23472:A 4.61732e-05 +36 *1892:26 *2509:20 0.000107496 +37 *2014:27 *2509:12 0.00259446 +38 *2014:27 *2509:20 0.000690556 +39 *2071:27 *2509:20 0.000818771 +40 *2146:16 *2509:32 0.00303145 +41 *2147:65 *2509:20 1.5714e-05 +42 *2183:29 *2509:12 0 +43 *2394:26 *2509:12 1.71045e-05 +44 *2397:32 *2509:26 0.00127289 +45 *2448:8 *2509:26 7.14746e-05 +46 *2448:17 *2509:33 0.00358734 +47 *2448:19 *2509:33 1.41853e-05 +48 *2466:14 *2509:12 0 +49 *2479:9 *23472:A 0.000547237 +50 *2479:9 *2509:33 0.0010257 +*RES +1 *21654:X *2509:7 16.1364 +2 *2509:7 *2509:10 49.4028 +3 *2509:10 *2509:12 64.6206 +4 *2509:12 *2509:20 46.0929 +5 *2509:20 *2509:26 24.4506 +6 *2509:26 *2509:32 43.3071 +7 *2509:32 *2509:33 50.6377 +8 *2509:33 *23472:A 26.2112 +9 *2509:33 *6250:DIODE 19.6659 +*END + +*D_NET *2510 0.0122806 +*CONN +*I *21424:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3614:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21655:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21424:A 0.000108133 +2 *3614:DIODE 0 +3 *21655:X 0 +4 *2510:9 0.00177506 +5 *2510:6 0.00465143 +6 *2510:5 0.0029845 +7 *2510:6 *2553:6 0 +8 *2510:6 *2793:10 0.00054826 +9 *3843:DIODE *2510:6 0.000339753 +10 *3985:DIODE *2510:6 0.000148144 +11 *1:10 *2510:6 1.77113e-05 +12 *516:8 *2510:6 0 +13 *772:8 *2510:6 0 +14 *2481:8 *2510:6 0.00170762 +*RES +1 *21655:X *2510:5 13.7491 +2 *2510:5 *2510:6 95.679 +3 *2510:6 *2510:9 28.5167 +4 *2510:9 *3614:DIODE 9.24915 +5 *2510:9 *21424:A 11.9257 +*END + +*D_NET *2511 0.0366157 +*CONN +*I *3714:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21524:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21656:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3714:DIODE 0.000135199 +2 *21524:A 2.3034e-05 +3 *21656:X 0.000278163 +4 *2511:15 0.000835363 +5 *2511:9 0.0105425 +6 *2511:8 0.0101435 +7 *2511:8 *3708:DIODE 0 +8 *2511:8 *2655:15 0 +9 *2511:8 *2919:49 6.98648e-06 +10 *2511:8 *3204:9 0.000205499 +11 *2511:9 *5353:DIODE 6.08467e-05 +12 *2511:9 *21354:A 0.000334808 +13 *2511:9 *21358:A 2.15184e-05 +14 *2511:9 *2639:21 0.000110652 +15 *2511:9 *2639:25 2.51237e-05 +16 *2511:9 *3050:9 0.000454015 +17 *2511:9 *3050:13 0.00415722 +18 *2511:9 *3050:21 0.000126099 +19 *2511:9 *3050:23 0.00669149 +20 *2511:9 *3203:9 0.000513023 +21 *2511:15 *3715:DIODE 0.000317707 +22 *2511:15 *22856:A 2.81678e-06 +23 *2511:15 *2512:25 0.000267146 +24 *2511:15 *2520:12 0 +25 *2511:15 *3226:16 3.13073e-05 +26 *3986:DIODE *2511:8 7.86847e-05 +27 *4267:DIODE *2511:8 3.34802e-05 +28 *1142:7 *2511:9 0.000353672 +29 *1210:7 *3714:DIODE 0.000129589 +30 *1210:7 *2511:15 0.000267146 +31 *2423:19 *2511:9 0.000469116 +*RES +1 *21656:X *2511:8 25.0642 +2 *2511:8 *2511:9 169.877 +3 *2511:9 *2511:15 27.6389 +4 *2511:15 *21524:A 9.82786 +5 *2511:15 *3714:DIODE 12.7456 +*END + +*D_NET *2512 0.0323449 +*CONN +*I *3715:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21525:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21657:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3715:DIODE 5.39217e-05 +2 *21525:A 0 +3 *21657:X 0.000387712 +4 *2512:27 7.69557e-05 +5 *2512:25 0.00167645 +6 *2512:24 0.00165342 +7 *2512:22 0.00240935 +8 *2512:18 0.00449477 +9 *2512:12 0.00247313 +10 *2512:12 *2654:8 8.6639e-05 +11 *2512:12 *2658:12 0 +12 *2512:18 *2639:21 7.68538e-06 +13 *2512:18 *2639:25 0.0029488 +14 *2512:18 *2660:15 0 +15 *2512:18 *2794:16 5.61e-05 +16 *2512:18 *3208:8 0.000202228 +17 *2512:22 *2911:30 3.58047e-05 +18 *2512:22 *2930:24 3.58047e-05 +19 *2512:25 *3709:DIODE 0.000164829 +20 *2512:25 *3710:DIODE 0.000260374 +21 *2512:25 *21519:A 0.000107496 +22 *2512:25 *2632:63 0.000294369 +23 *2512:25 *2632:65 0.00237636 +24 *2512:25 *2931:53 0.00477689 +25 *3845:DIODE *2512:12 0.000126209 +26 *390:7 *2512:12 1.77439e-05 +27 *518:10 *2512:12 3.98259e-05 +28 *1138:7 *2512:18 1.41976e-05 +29 *1149:9 *3715:DIODE 2.97421e-05 +30 *1149:9 *2512:25 0.000944692 +31 *1152:9 *2512:22 0.00372101 +32 *1210:7 *3715:DIODE 0.000169041 +33 *1331:7 *2512:25 0.00171864 +34 *1596:9 *2512:12 0.00012791 +35 *1600:9 *2512:18 4.29653e-05 +36 *2080:47 *2512:12 5.67796e-06 +37 *2080:49 *2512:12 0.000223312 +38 *2511:15 *3715:DIODE 0.000317707 +39 *2511:15 *2512:25 0.000267146 +*RES +1 *21657:X *2512:12 29.29 +2 *2512:12 *2512:18 48.1508 +3 *2512:18 *2512:22 47.4021 +4 *2512:22 *2512:24 4.5 +5 *2512:24 *2512:25 95.006 +6 *2512:25 *2512:27 0.578717 +7 *2512:27 *21525:A 9.24915 +8 *2512:27 *3715:DIODE 12.7456 +*END + +*D_NET *2513 0.0582137 +*CONN +*I *3716:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21526:A I *D sky130_fd_sc_hd__inv_2 +*I *21658:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3716:DIODE 3.28341e-05 +2 *21526:A 0 +3 *21658:X 0.000985644 +4 *2513:29 9.84866e-05 +5 *2513:26 0.00209216 +6 *2513:24 0.0021536 +7 *2513:22 0.00148319 +8 *2513:19 0.00328096 +9 *2513:17 0.003243 +10 *2513:13 0.00248617 +11 *2513:7 0.00215368 +12 *3716:DIODE *2813:62 0.000257548 +13 *2513:7 *2796:10 0.000130399 +14 *2513:7 *2932:9 1.75155e-06 +15 *2513:7 *2934:9 0.000534349 +16 *2513:13 *2631:17 9.80242e-07 +17 *2513:13 *2657:8 0 +18 *2513:13 *2926:12 0.00116422 +19 *2513:17 *2794:19 0.00365337 +20 *2513:17 *2794:21 1.6383e-05 +21 *2513:19 *2514:17 0.0150597 +22 *2513:19 *2794:21 0.0096533 +23 *2513:22 *2810:12 0.00211414 +24 *2513:22 *2972:8 0.000502971 +25 *2513:26 *21353:A 0 +26 *2513:26 *2972:8 0 +27 *2513:26 *3345:14 0 +28 *2513:26 *3348:22 0.0029108 +29 *2513:29 *2813:62 0.000200794 +30 *3847:DIODE *2513:7 0.000101817 +31 *3990:DIODE *2513:13 0.00010586 +32 *23224:B *2513:13 0.000210496 +33 *392:8 *2513:7 0.00011818 +34 *393:8 *2513:7 3.24105e-05 +35 *521:5 *2513:13 0.000252898 +36 *1146:14 *2513:22 0.000333905 +37 *1148:13 *2513:19 0.00231795 +38 *1189:11 *3716:DIODE 0.000119986 +39 *1189:11 *2513:29 7.13972e-05 +40 *1599:10 *2513:17 0.000118166 +41 *1723:11 *2513:26 0 +42 *2256:56 *2513:13 0.000220183 +43 *2428:14 *2513:22 0 +*RES +1 *21658:X *2513:7 30.0014 +2 *2513:7 *2513:13 33.6641 +3 *2513:13 *2513:17 40.679 +4 *2513:17 *2513:19 164.331 +5 *2513:19 *2513:22 49.164 +6 *2513:22 *2513:24 1.85642 +7 *2513:24 *2513:26 55.7537 +8 *2513:26 *2513:29 6.88721 +9 *2513:29 *21526:A 9.24915 +10 *2513:29 *3716:DIODE 12.191 +*END + +*D_NET *2514 0.0589666 +*CONN +*I *21527:A I *D sky130_fd_sc_hd__inv_2 +*I *3717:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21659:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21527:A 0.000126089 +2 *3717:DIODE 0 +3 *21659:X 0.000659948 +4 *2514:20 0.00269036 +5 *2514:19 0.00256427 +6 *2514:17 0.00195967 +7 *2514:16 0.00214539 +8 *2514:11 0.00308424 +9 *2514:10 0.00355848 +10 *2514:10 *2796:10 0.000324166 +11 *2514:10 *2797:10 0 +12 *2514:10 *2798:8 8.22549e-05 +13 *2514:10 *2909:18 0.000488555 +14 *2514:10 *2930:23 4.51619e-05 +15 *2514:10 *2932:9 0.00031994 +16 *2514:11 *2665:9 0.000814322 +17 *2514:16 *2663:10 0 +18 *2514:16 *2930:24 0.000275269 +19 *2514:17 *2802:17 0.0153807 +20 *2514:20 *21392:A 6.18026e-05 +21 *2514:20 *3009:10 0.00344889 +22 la_data_in_mprj[104] *2514:10 9.34404e-05 +23 *4131:DIODE *2514:10 0.00026718 +24 *1148:13 *2514:17 0.000181473 +25 *1155:8 *2514:20 4.23622e-05 +26 *1232:11 *21527:A 0.000214355 +27 *1598:14 *2514:10 7.68607e-05 +28 *2128:43 *2514:20 0.00126095 +29 *2135:52 *2514:20 1.5714e-05 +30 *2364:8 *21527:A 2.82225e-05 +31 *2364:8 *2514:20 0.000397061 +32 *2399:22 *2514:20 0 +33 *2431:12 *21527:A 2.21352e-05 +34 *2431:12 *2514:20 0.00104046 +35 *2477:17 *2514:11 0.00111582 +36 *2477:21 *2514:11 0.00112129 +37 *2513:19 *2514:17 0.0150597 +*RES +1 *21659:X *2514:10 38.9419 +2 *2514:10 *2514:11 49.5285 +3 *2514:11 *2514:16 14.154 +4 *2514:16 *2514:17 172.096 +5 *2514:17 *2514:19 4.5 +6 *2514:19 *2514:20 86.1282 +7 *2514:20 *3717:DIODE 13.7491 +8 *2514:20 *21527:A 17.5531 +*END + +*D_NET *2515 0.00916137 +*CONN +*I *3718:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21528:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21660:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3718:DIODE 0.000245346 +2 *21528:A 4.86025e-05 +3 *21660:X 0.00022652 +4 *2515:19 0.000538143 +5 *2515:16 0.00209084 +6 *2515:15 0.00207317 +7 *2515:15 *22839:A 6.92705e-05 +8 *2515:15 *2932:9 9.43653e-05 +9 *2515:16 *2516:17 5.8393e-05 +10 *2515:16 *2648:17 0.000485579 +11 *2515:16 *2659:16 1.42932e-05 +12 la_data_in_mprj[105] *2515:15 0.000171288 +13 *4133:DIODE *2515:16 5.59674e-05 +14 *6007:DIODE *2515:19 0.000152878 +15 *21661:A *2515:15 0.000160617 +16 *23353:A *2515:16 5.15415e-05 +17 *393:8 *2515:15 0.000175485 +18 *522:8 *2515:15 0.000160617 +19 *777:8 *2515:15 0.000220183 +20 *778:7 *2515:16 8.62625e-06 +21 *1469:5 *2515:19 6.08467e-05 +22 *1597:9 *2515:16 0.000601841 +23 *1599:10 *2515:16 0 +24 *1600:9 *2515:16 0 +25 *1978:24 *3718:DIODE 7.45288e-05 +26 *1978:24 *21528:A 6.50727e-05 +27 *1978:24 *2515:19 3.37866e-05 +28 *2092:46 *2515:16 3.10964e-05 +29 *2496:16 *2515:16 0.00119248 +*RES +1 *21660:X *2515:15 24.2866 +2 *2515:15 *2515:16 45.8487 +3 *2515:16 *2515:19 8.55102 +4 *2515:19 *21528:A 10.9612 +5 *2515:19 *3718:DIODE 13.3002 +*END + +*D_NET *2516 0.0259712 +*CONN +*I *3719:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21529:A I *D sky130_fd_sc_hd__inv_2 +*I *21661:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3719:DIODE 1.67664e-05 +2 *21529:A 9.36721e-06 +3 *21661:X 0.00136844 +4 *2516:30 0.000849773 +5 *2516:25 0.00198542 +6 *2516:24 0.00277668 +7 *2516:17 0.00298334 +8 *2516:17 *2625:29 5.51483e-06 +9 *2516:17 *2659:16 0.000432613 +10 *2516:17 *2919:60 0 +11 *2516:17 *3210:9 0 +12 *2516:24 *2519:14 0.000232429 +13 *2516:24 *2625:29 0.000560875 +14 *2516:25 *2631:17 0.00520712 +15 *2516:25 *2794:21 0.00687244 +16 *2516:30 *23237:A 0.000203986 +17 *2516:30 *2533:6 0 +18 *2516:30 *2674:8 0.000441715 +19 *2516:30 *2675:16 0 +20 *2516:30 *2816:13 0.000723518 +21 la_data_in_mprj[106] *2516:17 3.20069e-06 +22 *3850:DIODE *2516:17 0 +23 *3991:DIODE *2516:17 2.62745e-05 +24 *4133:DIODE *2516:17 0.000176049 +25 *23230:B *2516:24 4.43691e-05 +26 *522:8 *2516:17 4.58003e-05 +27 *523:8 *2516:17 0 +28 *778:7 *2516:17 9.2346e-06 +29 *1175:9 *2516:24 0.000231858 +30 *1978:38 *3719:DIODE 0.000210197 +31 *1978:38 *21529:A 6.50586e-05 +32 *2005:36 *3719:DIODE 0.000224395 +33 *2005:36 *21529:A 6.50586e-05 +34 *2092:46 *2516:17 0.000129969 +35 *2269:58 *2516:30 1.12969e-05 +36 *2515:16 *2516:17 5.8393e-05 +*RES +1 *21661:X *2516:17 45.8577 +2 *2516:17 *2516:24 47.7384 +3 *2516:24 *2516:25 74.4857 +4 *2516:25 *2516:30 31.5946 +5 *2516:30 *21529:A 9.97254 +6 *2516:30 *3719:DIODE 11.6364 +*END + +*D_NET *2517 0.025929 +*CONN +*I *3720:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21530:A I *D sky130_fd_sc_hd__inv_2 +*I *21662:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3720:DIODE 5.63112e-05 +2 *21530:A 0 +3 *21662:X 0.000936997 +4 *2517:35 0.00175422 +5 *2517:32 0.00349252 +6 *2517:29 0.00192987 +7 *2517:25 0.00068698 +8 *2517:18 0.00148872 +9 *3720:DIODE *2525:29 0.000162583 +10 *2517:18 *22840:A 0.000169062 +11 *2517:18 *2921:28 1.84846e-05 +12 *2517:18 *2921:32 0 +13 *2517:25 *2808:11 0.000519467 +14 *2517:32 *2526:15 0.00105463 +15 *2517:32 *2797:16 3.03351e-05 +16 *2517:32 *2913:14 9.4151e-05 +17 *2517:32 *3065:6 0.000228995 +18 *2517:32 *3218:8 0 +19 *2517:35 *6030:DIODE 6.50586e-05 +20 *2517:35 *23234:A 2.85274e-05 +21 *2517:35 *2525:29 2.42273e-05 +22 *2517:35 *2670:9 1.03403e-05 +23 *2517:35 *3470:7 0.000944354 +24 la_data_in_mprj[106] *2517:18 0.000164829 +25 *4134:DIODE *2517:18 5.59364e-05 +26 *5775:DIODE *2517:35 4.33819e-05 +27 *6031:DIODE *2517:35 6.49003e-05 +28 *523:8 *2517:18 1.53057e-05 +29 *779:8 *2517:18 6.97239e-05 +30 *1152:9 *2517:25 0.00344837 +31 *1152:9 *2517:29 0.00130516 +32 *1180:8 *2517:18 0.000436933 +33 *1213:27 *2517:32 0 +34 *1481:7 *2517:35 0.00122202 +35 *1973:33 *2517:32 4.39573e-05 +36 *2114:17 *2517:32 0.000530361 +37 *2263:25 *2517:32 2.87136e-06 +38 *2477:21 *2517:25 0.0033155 +39 *2477:25 *2517:25 0.000295192 +40 *2477:25 *2517:29 2.39581e-05 +41 *2477:29 *2517:29 0.00119473 +*RES +1 *21662:X *2517:18 39.9161 +2 *2517:18 *2517:25 45.3087 +3 *2517:25 *2517:29 18.5339 +4 *2517:29 *2517:32 48.6877 +5 *2517:32 *2517:35 43.3073 +6 *2517:35 *21530:A 9.24915 +7 *2517:35 *3720:DIODE 11.0817 +*END + +*D_NET *2518 0.0520136 +*CONN +*I *6016:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23355:A I *D sky130_fd_sc_hd__nand2_1 +*I *21663:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6016:DIODE 4.04396e-05 +2 *23355:A 0 +3 *21663:X 8.78665e-05 +4 *2518:16 4.04396e-05 +5 *2518:14 0.00349262 +6 *2518:13 0.00349262 +7 *2518:11 0.00345046 +8 *2518:10 0.00473161 +9 *2518:7 0.00136901 +10 *2518:10 *22715:A 0.000104607 +11 *2518:10 *22717:A 0 +12 *2518:10 *3070:12 0.000852642 +13 *2518:10 *3072:6 0 +14 *2518:11 *2562:9 0.00386768 +15 *2518:11 *2562:13 0.0065128 +16 *2518:11 *2814:40 0.000455016 +17 *2518:11 *2917:9 0.0134919 +18 *2518:11 *3063:7 0.000418075 +19 *2518:14 *2911:30 0 +20 *3862:DIODE *2518:10 7.50872e-05 +21 *4440:DIODE *2518:11 0.000163418 +22 *4701:DIODE *2518:14 0 +23 *6017:DIODE *6016:DIODE 0.000171288 +24 *21663:A *2518:7 9.19886e-06 +25 *22247:TE *2518:11 0.000211464 +26 *1211:9 *2518:11 0.000218638 +27 *1474:10 *2518:14 4.5926e-05 +28 *1723:11 *2518:11 2.39581e-05 +29 *1943:59 *2518:14 3.9703e-05 +30 *2087:47 *2518:14 0 +31 *2113:8 *6016:DIODE 8.90486e-05 +32 *2114:12 *6016:DIODE 0.000381471 +33 *2133:30 *2518:11 0.00010238 +34 *2262:19 *2518:14 0 +35 *2354:6 *2518:14 0.00369024 +36 *2412:8 *2518:10 0.000788225 +37 *2414:6 *2518:14 0 +38 *2423:11 *2518:11 0.00178843 +39 *2457:13 *2518:14 0.00180735 +*RES +1 *21663:X *2518:7 15.0271 +2 *2518:7 *2518:10 46.6115 +3 *2518:10 *2518:11 216.464 +4 *2518:11 *2518:13 4.5 +5 *2518:13 *2518:14 93.6027 +6 *2518:14 *2518:16 4.5 +7 *2518:16 *23355:A 9.24915 +8 *2518:16 *6016:DIODE 13.3002 +*END + +*D_NET *2519 0.0488385 +*CONN +*I *3721:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21531:A I *D sky130_fd_sc_hd__inv_2 +*I *21664:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3721:DIODE 7.71282e-05 +2 *21531:A 0 +3 *21664:X 0.000439137 +4 *2519:25 0.000113894 +5 *2519:22 0.00192501 +6 *2519:21 0.00188825 +7 *2519:19 0.00239625 +8 *2519:17 0.00241928 +9 *2519:15 0.00715846 +10 *2519:14 0.00888127 +11 *2519:7 0.00218498 +12 *2519:7 *22842:A 0.0003112 +13 *2519:7 *2521:7 0.000679358 +14 *2519:7 *2802:11 0.00011818 +15 *2519:7 *2932:9 0.00217724 +16 *2519:14 *23230:A 0.000210599 +17 *2519:14 *2665:9 0.000208257 +18 *2519:14 *2801:14 0 +19 *2519:14 *2802:16 0.000206589 +20 *2519:14 *2804:15 0 +21 *2519:14 *2928:24 0 +22 *2519:15 *2797:19 0.00389336 +23 *2519:19 *3014:9 0.000977188 +24 *2519:19 *3231:8 0.000377273 +25 *2519:22 *21394:A 2.29959e-05 +26 *2519:22 *2823:12 0 +27 *2519:22 *3034:6 0.000174403 +28 *2519:22 *3037:12 0.00225835 +29 la_data_in_mprj[108] *2519:7 4.50571e-05 +30 *3856:DIODE *2519:14 3.42931e-05 +31 *3998:DIODE *2519:14 0.000120052 +32 *4136:DIODE *2519:7 2.65667e-05 +33 *23116:A *2519:19 0.000275256 +34 *528:5 *2519:14 0.000156578 +35 *781:8 *2519:7 2.65831e-05 +36 *1232:11 *3721:DIODE 0.000261325 +37 *1232:11 *2519:25 0.00011818 +38 *1605:9 *2519:14 0 +39 *1975:49 *2519:22 0 +40 *2087:47 *2519:14 0 +41 *2102:20 *2519:15 0.000177535 +42 *2113:8 *2519:15 4.89898e-06 +43 *2114:12 *2519:15 0.00241127 +44 *2130:25 *2519:22 0.000125458 +45 *2262:19 *2519:14 0 +46 *2400:9 *2519:19 0.00191395 +47 *2401:15 *2519:19 0.000207883 +48 *2402:8 *2519:22 0.0036026 +49 *2516:24 *2519:14 0.000232429 +*RES +1 *21664:X *2519:7 37.7659 +2 *2519:7 *2519:14 43.778 +3 *2519:14 *2519:15 114.694 +4 *2519:15 *2519:17 0.578717 +5 *2519:17 *2519:19 66.9985 +6 *2519:19 *2519:21 4.5 +7 *2519:21 *2519:22 70.7639 +8 *2519:22 *2519:25 5.778 +9 *2519:25 *21531:A 9.24915 +10 *2519:25 *3721:DIODE 12.191 +*END + +*D_NET *2520 0.0234061 +*CONN +*I *3722:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21532:A I *D sky130_fd_sc_hd__inv_2 +*I *21665:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3722:DIODE 3.52087e-05 +2 *21532:A 9.36721e-06 +3 *21665:X 0.000320048 +4 *2520:14 4.45759e-05 +5 *2520:12 0.00334843 +6 *2520:11 0.00334843 +7 *2520:9 0.00233468 +8 *2520:8 0.00265473 +9 *3722:DIODE *2803:31 0.000209388 +10 *21532:A *2803:31 6.50586e-05 +11 *2520:8 *2803:8 0 +12 *2520:8 *2911:22 0 +13 *2520:9 *2630:11 0.00049452 +14 *2520:9 *2645:19 0.00244846 +15 *2520:9 *2800:9 0 +16 *2520:9 *2803:9 0.00152134 +17 *2520:9 *2911:28 0.00123342 +18 *2520:9 *2966:9 0.00259927 +19 *2520:12 *22856:A 0 +20 *2520:12 *2532:8 0.000967304 +21 *2520:12 *2533:6 0 +22 *2520:12 *3192:8 0.000978772 +23 *2520:12 *3226:16 0 +24 la_data_in_mprj[120] *2520:12 0.000119107 +25 *4008:DIODE *2520:12 0.000139038 +26 *4150:DIODE *2520:12 5.18965e-05 +27 *397:5 *2520:8 2.70914e-05 +28 *525:8 *2520:8 0.00013223 +29 *793:12 *2520:12 6.35066e-05 +30 *1143:18 *2520:12 0 +31 *1331:8 *2520:12 0 +32 *2273:32 *3722:DIODE 0.00019519 +33 *2273:32 *21532:A 6.50586e-05 +34 *2511:15 *2520:12 0 +*RES +1 *21665:X *2520:8 24.6489 +2 *2520:8 *2520:9 98.8882 +3 *2520:9 *2520:11 4.5 +4 *2520:11 *2520:12 77.4079 +5 *2520:12 *2520:14 4.5 +6 *2520:14 *21532:A 9.97254 +7 *2520:14 *3722:DIODE 11.6364 +*END + +*D_NET *2521 0.0546181 +*CONN +*I *3723:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21533:A I *D sky130_fd_sc_hd__inv_2 +*I *21666:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3723:DIODE 0.00010749 +2 *21533:A 0 +3 *21666:X 0.00104344 +4 *2521:34 0.00704334 +5 *2521:14 0.00852232 +6 *2521:11 0.0021952 +7 *2521:7 0.00165217 +8 *2521:7 *22846:A 6.08467e-05 +9 *2521:7 *22848:A 6.08467e-05 +10 *2521:7 *2523:13 0.00021569 +11 *2521:7 *2524:10 0.00021569 +12 *2521:7 *2809:10 0.000122378 +13 *2521:7 *2932:9 0.000780854 +14 *2521:11 *2811:11 0.000933403 +15 *2521:14 *2528:6 0.000367928 +16 *2521:34 *2526:15 0.0106156 +17 *2521:34 *2526:32 0.00980347 +18 *2521:34 *2531:20 0 +19 *2521:34 *3043:15 0.000357993 +20 *2521:34 *3357:12 1.59052e-05 +21 *2521:34 *3371:8 4.47123e-05 +22 la_data_in_mprj[110] *2521:7 0.000238083 +23 la_data_in_mprj[111] *2521:7 2.85274e-05 +24 la_data_in_mprj[113] *2521:7 2.80281e-05 +25 la_data_in_mprj[114] *2521:7 2.41274e-06 +26 la_data_in_mprj[114] *2521:11 1.75637e-06 +27 *4137:DIODE *2521:7 6.08467e-05 +28 *4143:DIODE *2521:7 6.50586e-05 +29 *4144:DIODE *2521:7 6.50586e-05 +30 *4145:DIODE *2521:14 0.000241652 +31 *21668:A *2521:7 6.50727e-05 +32 *21669:A *2521:7 1.00846e-05 +33 *21671:A *2521:7 2.61147e-05 +34 *405:11 *2521:14 0.000135406 +35 *782:8 *2521:7 0.000113968 +36 *786:8 *2521:7 6.50727e-05 +37 *787:8 *2521:7 0.00011818 +38 *1147:13 *2521:34 0.00116219 +39 *1218:10 *3723:DIODE 8.88534e-05 +40 *1606:9 *2521:14 0 +41 *1976:39 *2521:34 0 +42 *1990:46 *2521:34 0 +43 *1996:32 *2521:34 0.00428017 +44 *1996:45 *2521:34 0.00298288 +45 *2094:13 *2521:14 0 +46 *2094:15 *2521:14 0 +47 *2122:51 *2521:34 0 +48 *2129:56 *2521:34 0 +49 *2519:7 *2521:7 0.000679358 +*RES +1 *21666:X *2521:7 48.6742 +2 *2521:7 *2521:11 15.2063 +3 *2521:11 *2521:14 38.6286 +4 *2521:14 *2521:34 46.7422 +5 *2521:34 *21533:A 9.24915 +6 *2521:34 *3723:DIODE 12.191 +*END + +*D_NET *2522 0.0144783 +*CONN +*I *21434:A I *D sky130_fd_sc_hd__inv_2 +*I *3624:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21667:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21434:A 8.2937e-05 +2 *3624:DIODE 0 +3 *21667:X 0.000408563 +4 *2522:18 8.2937e-05 +5 *2522:16 0.0019467 +6 *2522:14 0.00235526 +7 *21434:A *3059:15 0.000175485 +8 *2522:14 *2638:23 8.18715e-05 +9 *2522:14 *2732:15 0 +10 *2522:14 *2849:16 1.79429e-05 +11 *2522:14 *2898:12 0.000470311 +12 *2522:16 *2849:16 0.00452886 +13 *2522:16 *2849:36 0.000953222 +14 *2522:16 *2898:12 0.000877118 +15 *2522:16 *2898:18 0 +16 *2522:16 *2898:41 1.87125e-05 +17 *3997:DIODE *2522:14 0.000315206 +18 *4791:DIODE *2522:16 3.98296e-05 +19 *4879:DIODE *2522:16 0 +20 *527:10 *2522:14 0.000209232 +21 *1174:46 *2522:16 0.00181093 +22 *1302:8 *2522:16 9.60366e-05 +23 *1814:9 *2522:16 0 +24 *2319:17 *2522:16 7.13655e-06 +*RES +1 *21667:X *2522:14 27.8003 +2 *2522:14 *2522:16 96.8881 +3 *2522:16 *2522:18 4.5 +4 *2522:18 *3624:DIODE 9.24915 +5 *2522:18 *21434:A 12.0704 +*END + +*D_NET *2523 0.0569414 +*CONN +*I *3724:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21534:A I *D sky130_fd_sc_hd__inv_2 +*I *21668:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3724:DIODE 3.36435e-05 +2 *21534:A 0 +3 *21668:X 0.000426348 +4 *2523:33 6.15273e-05 +5 *2523:30 0.00234136 +6 *2523:29 0.00231347 +7 *2523:27 0.00597744 +8 *2523:26 0.00609972 +9 *2523:21 0.00335755 +10 *2523:20 0.00323527 +11 *2523:18 0.00269231 +12 *2523:17 0.00326073 +13 *2523:13 0.000994762 +14 *3724:DIODE *3356:9 0.000254881 +15 *2523:13 *5364:DIODE 0.000260374 +16 *2523:13 *22845:A 6.32596e-05 +17 *2523:13 *22846:A 0 +18 *2523:13 *2808:11 0 +19 *2523:13 *2931:50 0.00043038 +20 *2523:13 *2932:9 0.000211464 +21 *2523:13 *3013:15 6.21391e-05 +22 *2523:13 *3215:5 0.000426168 +23 *2523:17 *5365:DIODE 2.16355e-05 +24 *2523:17 *2525:15 0.000984559 +25 *2523:17 *2932:21 0.0002646 +26 *2523:17 *3013:15 7.34455e-05 +27 *2523:17 *3216:9 8.40003e-05 +28 *2523:18 *2636:24 0 +29 *2523:18 *2913:14 0.000975197 +30 *2523:18 *3199:12 0.000297071 +31 *2523:18 *3216:8 0 +32 *2523:26 *2629:24 0.000153975 +33 *2523:26 *3226:16 0.000157572 +34 *2523:27 *2525:29 7.02172e-06 +35 *2523:27 *2525:31 0.0118803 +36 *2523:27 *2533:14 0.000258128 +37 *2523:27 *2718:9 0.000981314 +38 *2523:30 *21345:A 0 +39 *2523:30 *2822:18 0 +40 *2523:30 *3042:18 0 +41 *2523:30 *3043:20 0 +42 *2523:33 *3356:9 0.000111722 +43 *3857:DIODE *2523:13 0 +44 *4141:DIODE *2523:13 0 +45 *4721:DIODE *2523:30 8.74104e-05 +46 *22384:B *2523:30 9.17326e-05 +47 *23103:A *2523:18 0 +48 *529:13 *2523:13 1.15389e-05 +49 *529:13 *2523:17 6.08467e-05 +50 *784:8 *2523:13 0.00015298 +51 *1189:11 *3724:DIODE 6.9815e-05 +52 *1189:11 *2523:33 4.31703e-05 +53 *1193:13 *2523:30 0 +54 *1236:14 *2523:30 0 +55 *1730:13 *3724:DIODE 0.000113968 +56 *1730:13 *2523:30 0 +57 *1732:9 *2523:30 0.000323325 +58 *1735:10 *2523:30 0 +59 *1976:39 *2523:30 1.05746e-05 +60 *1979:31 *2523:30 0.00115233 +61 *1987:26 *2523:21 0.0051644 +62 *2132:49 *2523:30 5.47506e-05 +63 *2412:8 *2523:30 0.000635529 +64 *2521:7 *2523:13 0.00021569 +*RES +1 *21668:X *2523:13 35.1328 +2 *2523:13 *2523:17 22.4161 +3 *2523:17 *2523:18 58.3063 +4 *2523:18 *2523:20 4.5 +5 *2523:20 *2523:21 56.7384 +6 *2523:21 *2523:26 12.493 +7 *2523:26 *2523:27 134.383 +8 *2523:27 *2523:29 4.5 +9 *2523:29 *2523:30 62.4588 +10 *2523:30 *2523:33 5.778 +11 *2523:33 *21534:A 9.24915 +12 *2523:33 *3724:DIODE 12.191 +*END + +*D_NET *2524 0.0478355 +*CONN +*I *3725:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21535:A I *D sky130_fd_sc_hd__inv_2 +*I *21669:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3725:DIODE 0.000122349 +2 *21535:A 0 +3 *21669:X 0.000141789 +4 *2524:52 0.000644286 +5 *2524:47 0.00304393 +6 *2524:45 0.00333635 +7 *2524:38 0.00252401 +8 *2524:28 0.00357943 +9 *2524:25 0.00266442 +10 *2524:17 0.00202589 +11 *2524:10 0.00137304 +12 *2524:10 *2627:14 5.41206e-05 +13 *2524:10 *2932:9 0.000211478 +14 *2524:17 *22850:A 0.00032445 +15 *2524:17 *2668:10 0.000530137 +16 *2524:17 *2804:17 0.000964568 +17 *2524:25 *2804:17 0.000547429 +18 *2524:25 *2813:13 0.000773737 +19 *2524:28 *22853:A 0 +20 *2524:28 *23363:A 6.76686e-05 +21 *2524:28 *2525:22 0 +22 *2524:28 *2525:24 0 +23 *2524:28 *2675:16 0.000340405 +24 *2524:28 *2797:22 0.000157711 +25 *2524:38 *23361:A 4.23858e-05 +26 *2524:38 *2675:16 0.000818998 +27 *2524:38 *2677:14 0 +28 *2524:38 *2797:22 0.000186783 +29 *2524:38 *2930:61 9.45019e-05 +30 *2524:38 *3198:27 0.00134713 +31 *2524:45 *2801:42 0.000814908 +32 *2524:45 *2804:33 0.00072779 +33 *2524:45 *3052:15 0.00161043 +34 *2524:47 *2804:33 0.00798922 +35 *2524:47 *3348:21 0.00207975 +36 *2524:52 *2820:20 0.000568937 +37 *2524:52 *2820:41 9.24241e-05 +38 *2524:52 *3010:20 9.6321e-06 +39 *2524:52 *3044:20 0 +40 *3861:DIODE *2524:17 2.41483e-05 +41 *3865:DIODE *2524:25 0.000208218 +42 *3999:DIODE *2524:17 6.08467e-05 +43 *4001:DIODE *2524:17 0.000175485 +44 *4142:DIODE *2524:10 0.00017393 +45 *4737:DIODE *3725:DIODE 2.16355e-05 +46 *4737:DIODE *2524:52 5.5524e-05 +47 *21812:A *2524:17 6.08467e-05 +48 *21814:A *2524:17 6.50727e-05 +49 *21816:A *2524:25 6.50727e-05 +50 *23105:A *2524:28 1.06805e-05 +51 *408:8 *2524:25 0.00011818 +52 *529:13 *2524:10 3.31882e-05 +53 *531:8 *2524:17 0.000158371 +54 *1141:10 *2524:28 0.00131223 +55 *1193:5 *3725:DIODE 0.000162728 +56 *1195:17 *2524:52 4.69495e-06 +57 *1238:9 *2524:47 6.36902e-05 +58 *1238:13 *2524:47 0 +59 *1352:20 *2524:52 2.33193e-05 +60 *1482:10 *2524:28 0.000129292 +61 *1608:6 *2524:28 2.00008e-05 +62 *1734:10 *2524:52 0.000855818 +63 *2120:34 *2524:47 0.00326089 +64 *2122:51 *2524:52 0.000102893 +65 *2128:44 *2524:47 0.000642978 +66 *2521:7 *2524:10 0.00021569 +*RES +1 *21669:X *2524:10 24.1294 +2 *2524:10 *2524:17 35.3258 +3 *2524:17 *2524:25 30.2288 +4 *2524:25 *2524:28 47.2044 +5 *2524:28 *2524:38 49.9609 +6 *2524:38 *2524:45 31.9982 +7 *2524:45 *2524:47 115.526 +8 *2524:47 *2524:52 28.2726 +9 *2524:52 *21535:A 9.24915 +10 *2524:52 *3725:DIODE 13.3002 +*END + +*D_NET *2525 0.0491507 +*CONN +*I *3726:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21536:A I *D sky130_fd_sc_hd__inv_2 +*I *21670:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3726:DIODE 2.1535e-05 +2 *21536:A 0 +3 *21670:X 0.00022724 +4 *2525:36 0.000794159 +5 *2525:31 0.00274582 +6 *2525:29 0.00301169 +7 *2525:24 0.00322181 +8 *2525:22 0.00255554 +9 *2525:15 0.00100593 +10 *2525:8 0.000860942 +11 *2525:8 *22847:A 0 +12 *2525:8 *2667:9 4.59975e-05 +13 *2525:15 *5367:DIODE 2.65667e-05 +14 *2525:15 *5369:DIODE 6.50586e-05 +15 *2525:15 *5370:DIODE 1.41689e-05 +16 *2525:15 *2932:21 0.0019441 +17 *2525:15 *2932:28 2.97556e-05 +18 *2525:15 *3013:15 0.000529301 +19 *2525:15 *3216:9 0.00119476 +20 *2525:15 *3221:11 0.000709036 +21 *2525:15 *3222:5 2.41483e-05 +22 *2525:22 *5370:DIODE 0.000213739 +23 *2525:22 *22853:A 6.16595e-06 +24 *2525:22 *2531:10 0 +25 *2525:22 *2804:23 0.000612779 +26 *2525:22 *2932:28 3.31745e-05 +27 *2525:22 *3013:15 7.6719e-06 +28 *2525:24 *2531:10 0.000286537 +29 *2525:24 *2607:22 0.000594109 +30 *2525:24 *2632:68 0 +31 *2525:29 *2533:14 0.000211761 +32 *2525:31 *2718:9 0.000306727 +33 *2525:31 *2820:13 0.0129613 +34 *2525:36 *2820:20 0 +35 *3720:DIODE *2525:29 0.000162583 +36 *4000:DIODE *2525:8 0.000122098 +37 *4143:DIODE *2525:8 0 +38 *23105:A *2525:24 7.51112e-06 +39 *23108:A *2525:15 0.000179013 +40 *23108:A *2525:22 2.15348e-05 +41 *530:5 *2525:8 0.000151481 +42 *1160:9 *3726:DIODE 0.000277502 +43 *1200:13 *3726:DIODE 0.000277502 +44 *1328:10 *2525:24 0.000402683 +45 *1608:6 *2525:24 0.000153861 +46 *1987:29 *2525:36 0.000237324 +47 *2517:35 *2525:29 2.42273e-05 +48 *2523:17 *2525:15 0.000984559 +49 *2523:27 *2525:29 7.02172e-06 +50 *2523:27 *2525:31 0.0118803 +51 *2524:28 *2525:22 0 +52 *2524:28 *2525:24 0 +*RES +1 *21670:X *2525:8 24.2337 +2 *2525:8 *2525:15 43.0903 +3 *2525:15 *2525:22 15.4499 +4 *2525:22 *2525:24 50.7951 +5 *2525:24 *2525:29 27.6969 +6 *2525:29 *2525:31 149.357 +7 *2525:31 *2525:36 28.2726 +8 *2525:36 *21536:A 9.24915 +9 *2525:36 *3726:DIODE 12.191 +*END + +*D_NET *2526 0.0548793 +*CONN +*I *3727:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21537:A I *D sky130_fd_sc_hd__inv_2 +*I *21671:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3727:DIODE 0 +2 *21537:A 2.93502e-05 +3 *21671:X 0.0003019 +4 *2526:32 0.00301378 +5 *2526:15 0.00685774 +6 *2526:8 0.0041752 +7 *2526:8 *22848:A 0 +8 *2526:8 *2913:14 0.000378674 +9 *2526:8 *2932:21 4.03125e-05 +10 *2526:8 *3218:8 0 +11 *2526:15 *2816:13 0.00416193 +12 *2526:15 *2816:27 0.00116407 +13 *2526:15 *2913:14 0.000481851 +14 *2526:15 *3218:8 0 +15 *2526:32 *2811:72 1.68091e-05 +16 *2526:32 *2816:27 0.00914532 +17 *2526:32 *3044:15 0.00055951 +18 *2526:32 *3357:10 0 +19 *22257:A *2526:32 1.57386e-05 +20 *531:8 *2526:8 0.000135391 +21 *1141:16 *2526:15 0.00018235 +22 *1147:13 *2526:32 6.91674e-05 +23 *1177:20 *2526:32 4.04447e-05 +24 *1197:13 *21537:A 0.00012196 +25 *1992:12 *2526:15 0.00115108 +26 *1996:32 *2526:15 0.000361055 +27 *2122:51 *2526:32 0.000723779 +28 *2123:38 *21537:A 0.000278114 +29 *2517:32 *2526:15 0.00105463 +30 *2521:34 *2526:15 0.0106156 +31 *2521:34 *2526:32 0.00980347 +*RES +1 *21671:X *2526:8 22.1641 +2 *2526:8 *2526:15 48.109 +3 *2526:15 *2526:32 29.8283 +4 *2526:32 *21537:A 12.191 +5 *2526:32 *3727:DIODE 9.24915 +*END + +*D_NET *2527 0.0160512 +*CONN +*I *21538:A I *D sky130_fd_sc_hd__inv_2 +*I *3728:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21672:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21538:A 0.000441612 +2 *3728:DIODE 0.000491708 +3 *21672:X 0.000936123 +4 *2527:20 0.00190472 +5 *2527:18 0.00238157 +6 *2527:15 0.00234629 +7 *21538:A *2596:18 0.000189816 +8 *21538:A *2630:18 0.000191938 +9 *2527:15 *2672:14 0.000415332 +10 *2527:15 *2811:11 0.000409033 +11 *2527:18 *2630:16 0.00193256 +12 *2527:18 *2630:18 1.02841e-05 +13 *2527:18 *2646:14 0 +14 *2527:18 *2804:22 7.10077e-05 +15 *2527:18 *2931:56 0 +16 *2527:20 *23362:A 7.50722e-05 +17 *2527:20 *2596:18 0.000376582 +18 *2527:20 *2630:18 0.00154678 +19 *2527:20 *2931:56 0 +20 *3860:DIODE *2527:15 6.50727e-05 +21 *3863:DIODE *2527:15 5.08751e-05 +22 *4003:DIODE *2527:15 0.000108551 +23 *21676:A *2527:15 0.000113968 +24 *21957:A *2527:15 0.000111722 +25 *22367:TE *21538:A 2.53145e-06 +26 *23106:A *2527:18 5.41377e-05 +27 *406:8 *2527:15 0.000220183 +28 *533:10 *2527:15 6.92705e-05 +29 *535:8 *2527:18 1.42855e-05 +30 *788:8 *2527:15 0.000319954 +31 *1148:24 *2527:18 1.17147e-05 +32 *1479:5 *3728:DIODE 0.00012835 +33 *1609:8 *2527:18 0.000352937 +34 *1609:8 *2527:20 0.000412965 +35 *1611:10 *2527:18 0.000134157 +36 *2273:20 *21538:A 0.000128592 +37 *2273:32 *3728:DIODE 3.14978e-05 +*RES +1 *21672:X *2527:15 39.8155 +2 *2527:15 *2527:18 39.7299 +3 *2527:18 *2527:20 31.1073 +4 *2527:20 *3728:DIODE 21.1278 +5 *2527:20 *21538:A 25.0602 +*END + +*D_NET *2528 0.0334623 +*CONN +*I *3729:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21539:A I *D sky130_fd_sc_hd__inv_2 +*I *21673:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3729:DIODE 0.000115501 +2 *21539:A 0 +3 *21673:X 0 +4 *2528:25 0.00219646 +5 *2528:15 0.00300633 +6 *2528:11 0.00354327 +7 *2528:6 0.00732337 +8 *2528:5 0.00470547 +9 *2528:6 *2562:16 0.000504563 +10 *2528:6 *2803:16 0.00342874 +11 *2528:6 *3181:8 0.000118742 +12 *4442:DIODE *3729:DIODE 2.07503e-05 +13 *4697:DIODE *2528:25 0.000171288 +14 *22248:A *3729:DIODE 0.000190823 +15 *22248:A *2528:25 0.000158357 +16 *22248:TE *2528:25 0.000111722 +17 *23474:A *2528:25 0.000159635 +18 *23474:TE *2528:25 0.000122378 +19 *405:11 *2528:6 2.71366e-05 +20 *1337:9 *2528:25 0.000989708 +21 *1606:9 *2528:6 0 +22 *2094:15 *2528:6 0 +23 *2134:40 *2528:11 0.00169543 +24 *2134:40 *2528:15 0.00268671 +25 *2134:40 *2528:25 0.000692916 +26 *2134:44 *3729:DIODE 0.000457606 +27 *2134:44 *2528:25 0.000667468 +28 *2521:14 *2528:6 0.000367928 +*RES +1 *21673:X *2528:5 13.7491 +2 *2528:5 *2528:6 116.442 +3 *2528:6 *2528:11 48.5066 +4 *2528:11 *2528:15 29.3096 +5 *2528:15 *2528:25 46.864 +6 *2528:25 *21539:A 9.24915 +7 *2528:25 *3729:DIODE 14.4094 +*END + +*D_NET *2529 0.0372706 +*CONN +*I *23356:A I *D sky130_fd_sc_hd__nand2_2 +*I *6018:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21674:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23356:A 0 +2 *6018:DIODE 3.25945e-05 +3 *21674:X 0 +4 *2529:29 0.00013492 +5 *2529:25 0.00120613 +6 *2529:15 0.00262805 +7 *2529:11 0.00221217 +8 *2529:6 0.00595448 +9 *2529:5 0.00526656 +10 *6018:DIODE *2686:19 5.99691e-05 +11 *2529:6 *22972:A 2.91042e-05 +12 *2529:6 *2580:14 0.000819529 +13 *2529:6 *2580:16 0.000244887 +14 *2529:6 *2581:6 0 +15 *2529:6 *2940:28 0 +16 *2529:6 *3051:24 0 +17 *2529:6 *3071:18 0 +18 *2529:6 *3095:22 0 +19 *2529:6 *3122:16 0.00455421 +20 *2529:6 *3123:16 0 +21 *2529:6 *3355:10 0.000525128 +22 *2529:25 *5802:DIODE 0.000102968 +23 *2529:25 *2571:6 0 +24 *2529:25 *2686:19 0.00124501 +25 *2529:29 *2686:19 0.000470557 +26 la_oenb_core[10] *2529:6 1.07248e-05 +27 *3873:DIODE *2529:6 1.50057e-05 +28 *4553:DIODE *2529:15 0.000160617 +29 *5803:DIODE *2529:25 2.29454e-05 +30 *6019:DIODE *2529:29 0.000158357 +31 *6325:DIODE *2529:6 0.000104962 +32 *1157:61 *2529:15 2.15348e-05 +33 *1522:10 *2529:6 0 +34 *1645:10 *2529:15 6.08467e-05 +35 *1650:11 *2529:6 0.000174946 +36 *2010:19 *2529:6 8.54931e-06 +37 *2015:34 *2529:6 0.00323787 +38 *2150:26 *2529:15 0.00416982 +39 *2150:26 *2529:25 1.41853e-05 +40 *2150:28 *2529:25 0.000920647 +41 *2152:48 *2529:25 1.39873e-05 +42 *2397:19 *2529:11 0.000335913 +43 *2397:32 *2529:11 3.51227e-05 +44 *2397:32 *2529:15 2.20702e-05 +45 *2479:17 *2529:25 7.49402e-05 +46 *2497:28 *6018:DIODE 7.48797e-05 +47 *2497:28 *2529:25 0.00207459 +48 *2497:28 *2529:29 7.18738e-05 +*RES +1 *21674:X *2529:5 13.7491 +2 *2529:5 *2529:6 146.34 +3 *2529:6 *2529:11 15.785 +4 *2529:11 *2529:15 47.3342 +5 *2529:15 *2529:25 47.5135 +6 *2529:25 *2529:29 5.73894 +7 *2529:29 *6018:DIODE 11.0817 +8 *2529:29 *23356:A 9.24915 +*END + +*D_NET *2530 0.0262929 +*CONN +*I *21540:A I *D sky130_fd_sc_hd__inv_2 +*I *3730:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21675:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21540:A 0.000116782 +2 *3730:DIODE 0 +3 *21675:X 0.000118873 +4 *2530:16 0.00406411 +5 *2530:14 0.00441623 +6 *2530:9 0.000883719 +7 *2530:8 0.000533688 +8 *21540:A *2618:20 8.2643e-05 +9 *2530:9 *2536:9 0.00028978 +10 *2530:9 *2646:9 0.000702362 +11 *2530:9 *2800:9 0.004135 +12 *2530:9 *2810:9 0.001677 +13 *2530:9 *2821:9 0.000330596 +14 *2530:9 *3045:13 0.000405492 +15 *2530:14 *22858:A 2.29151e-05 +16 *2530:14 *2537:8 0.000144067 +17 *2530:16 *2618:20 0.00315639 +18 *2530:16 *2618:24 0.000696991 +19 *2530:16 *2812:43 0.00015382 +20 *2530:16 *2932:32 0 +21 *22246:TE *2530:16 4.79289e-05 +22 *534:5 *2530:8 0.000138075 +23 *541:8 *2530:14 0.000100016 +24 *790:7 *2530:8 3.15515e-05 +25 *1214:11 *2530:16 0.000635368 +26 *1226:10 *21540:A 0.000224381 +27 *1722:10 *2530:16 0.000496947 +28 *2103:23 *2530:14 0.000617316 +29 *2103:23 *2530:16 0.00205168 +30 *2413:10 *21540:A 0 +31 *2413:10 *2530:16 0 +32 *2413:12 *2530:16 0 +33 *2507:9 *21540:A 1.92336e-05 +*RES +1 *21675:X *2530:8 21.3269 +2 *2530:8 *2530:9 50.0831 +3 *2530:9 *2530:14 17.898 +4 *2530:14 *2530:16 120.142 +5 *2530:16 *3730:DIODE 13.7491 +6 *2530:16 *21540:A 18.1077 +*END + +*D_NET *2531 0.0481967 +*CONN +*I *21541:A I *D sky130_fd_sc_hd__inv_2 +*I *3731:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21676:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21541:A 6.25466e-05 +2 *3731:DIODE 0 +3 *21676:X 7.10286e-05 +4 *2531:20 0.00665377 +5 *2531:10 0.00776187 +6 *2531:7 0.00124168 +7 *2531:7 *2811:25 0.000364356 +8 *2531:10 *22853:A 0.000352796 +9 *2531:10 *2630:16 0 +10 *2531:10 *2632:68 0.00102044 +11 *2531:10 *2932:28 0 +12 *2531:20 *2811:46 0.00964055 +13 *2531:20 *2826:20 0.000102747 +14 *2531:20 *3042:15 0.00116396 +15 *4005:DIODE *2531:10 1.79124e-05 +16 *535:8 *2531:7 8.39059e-05 +17 *791:8 *2531:7 4.23874e-05 +18 *1147:13 *2531:20 0.0158295 +19 *1157:15 *2531:20 0.00030859 +20 *1157:24 *2531:20 0.000427553 +21 *1227:10 *21541:A 2.65831e-05 +22 *1232:16 *21541:A 2.99644e-05 +23 *1232:16 *2531:20 9.24824e-05 +24 *1976:39 *2531:20 0.00136364 +25 *1984:34 *2531:20 7.24524e-06 +26 *1985:68 *2531:20 0.000292005 +27 *2132:49 *2531:20 2.18346e-05 +28 *2412:8 *21541:A 3.1718e-05 +29 *2412:8 *2531:20 0.00019659 +30 *2430:18 *2531:20 0.000384888 +31 *2433:17 *2531:20 0.00031762 +32 *2521:34 *2531:20 0 +33 *2525:22 *2531:10 0 +34 *2525:24 *2531:10 0.000286537 +*RES +1 *21676:X *2531:7 17.8002 +2 *2531:7 *2531:10 35.7218 +3 *2531:10 *2531:20 39.943 +4 *2531:20 *3731:DIODE 13.7491 +5 *2531:20 *21541:A 15.8893 +*END + +*D_NET *2532 0.0176107 +*CONN +*I *21542:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3732:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21677:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21542:A 0.000128449 +2 *3732:DIODE 0.000126451 +3 *21677:X 0.000225537 +4 *2532:14 0.00145218 +5 *2532:13 0.00225501 +6 *2532:8 0.00290936 +7 *2532:7 0.00207717 +8 *21542:A *2633:74 9.07574e-05 +9 *2532:7 *22854:A 0.000321985 +10 *2532:7 *2813:13 0.00101601 +11 *2532:7 *3224:15 0.00011818 +12 *2532:8 *5372:DIODE 3.77804e-05 +13 *2532:8 *2533:6 0 +14 *2532:14 *2633:74 0.000260984 +15 *2532:14 *2794:24 0.000796513 +16 la_data_in_mprj[119] *2532:7 1.31897e-05 +17 *3865:DIODE *2532:7 0.000169041 +18 *4723:DIODE *2532:14 3.60268e-05 +19 *1178:21 *21542:A 6.08467e-05 +20 *1184:22 *21542:A 0.000230774 +21 *1184:22 *2532:14 0.000577779 +22 *1205:17 *3732:DIODE 2.32834e-05 +23 *1331:8 *2532:8 0.000397688 +24 *1485:14 *2532:14 0.000162899 +25 *1612:10 *2532:8 0.000965789 +26 *1722:10 *21542:A 6.08467e-05 +27 *1973:34 *2532:13 0.00167634 +28 *1980:67 *2532:14 0.000356397 +29 *2274:33 *2532:14 5.74984e-05 +30 *2413:12 *2532:14 0 +31 *2423:14 *2532:8 3.86459e-05 +32 *2520:12 *2532:8 0.000967304 +*RES +1 *21677:X *2532:7 25.01 +2 *2532:7 *2532:8 50.4165 +3 *2532:8 *2532:13 27.4707 +4 *2532:13 *2532:14 34.6369 +5 *2532:14 *3732:DIODE 15.5817 +6 *2532:14 *21542:A 18.7961 +*END + +*D_NET *2533 0.0544053 +*CONN +*I *21543:A I *D sky130_fd_sc_hd__inv_2 +*I *3733:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21678:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21543:A 0.000106448 +2 *3733:DIODE 0 +3 *21678:X 0 +4 *2533:18 0.00185913 +5 *2533:17 0.00175268 +6 *2533:15 0.00619568 +7 *2533:14 0.00676417 +8 *2533:6 0.00332 +9 *2533:5 0.00275151 +10 *21543:A *3081:6 0.000115067 +11 *21543:A *3366:6 0.00026818 +12 *2533:6 *5372:DIODE 2.1588e-05 +13 *2533:6 *2816:13 0 +14 *2533:14 *2677:14 0 +15 *2533:14 *2796:42 0.00018333 +16 *2533:14 *2930:61 0.000100727 +17 *2533:15 *2684:11 0.00950524 +18 *2533:15 *2729:9 0.000210846 +19 *2533:15 *2740:15 0.000577355 +20 *2533:15 *2827:27 0.00357887 +21 *2533:18 *2820:28 8.39054e-05 +22 *2533:18 *3081:6 0.000275565 +23 *2533:18 *3366:6 0.00101393 +24 *3866:DIODE *2533:6 1.49869e-05 +25 *4008:DIODE *2533:6 0 +26 *6293:DIODE *2533:18 0 +27 *22264:A *2533:18 0.000171461 +28 *22269:TE *21543:A 6.50586e-05 +29 *1234:18 *21543:A 6.50586e-05 +30 *1328:17 *2533:6 0 +31 *1356:10 *2533:18 2.69064e-05 +32 *1607:10 *2533:6 0 +33 *1740:10 *2533:18 0 +34 *1987:26 *2533:15 0.0134536 +35 *2128:47 *2533:18 0 +36 *2406:20 *2533:15 0.000158655 +37 *2410:22 *2533:15 0.000371646 +38 *2423:14 *2533:6 0.0009238 +39 *2516:30 *2533:6 0 +40 *2520:12 *2533:6 0 +41 *2523:27 *2533:14 0.000258128 +42 *2525:29 *2533:14 0.000211761 +43 *2532:8 *2533:6 0 +*RES +1 *21678:X *2533:5 13.7491 +2 *2533:5 *2533:6 59.5521 +3 *2533:6 *2533:14 28.1145 +4 *2533:14 *2533:15 200.381 +5 *2533:15 *2533:17 4.5 +6 *2533:17 *2533:18 47.925 +7 *2533:18 *3733:DIODE 13.7491 +8 *2533:18 *21543:A 19.2113 +*END + +*D_NET *2534 0.0098489 +*CONN +*I *3625:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21435:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21679:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3625:DIODE 2.23191e-05 +2 *21435:A 0 +3 *21679:X 0 +4 *2534:9 0.000151936 +5 *2534:6 0.00425921 +6 *2534:5 0.0041296 +7 *2534:6 *22855:A 9.91932e-05 +8 *2534:6 *2732:15 0 +9 *2534:6 *2805:10 0 +10 *2534:6 *2805:12 0 +11 *2534:6 *2849:16 0 +12 *2534:6 *2849:36 0 +13 *3867:DIODE *2534:6 1.79672e-05 +14 *4009:DIODE *2534:6 0 +15 *4152:DIODE *2534:6 0 +16 *22383:B *2534:6 0 +17 *23379:B *2534:6 0 +18 *1230:10 *3625:DIODE 0.000175485 +19 *2318:94 *3625:DIODE 0.000210197 +20 *2318:94 *2534:9 0.000625696 +21 *2324:52 *3625:DIODE 1.00981e-05 +22 *2324:52 *2534:9 0.000147197 +23 *2328:43 *2534:6 0 +24 *2397:48 *2534:6 0 +25 *2397:52 *2534:6 0 +*RES +1 *21679:X *2534:5 13.7491 +2 *2534:5 *2534:6 98.5858 +3 *2534:6 *2534:9 11.324 +4 *2534:9 *21435:A 9.24915 +5 *2534:9 *3625:DIODE 11.6364 +*END + +*D_NET *2535 0.022355 +*CONN +*I *3734:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21544:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21680:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3734:DIODE 4.51484e-05 +2 *21544:A 8.32341e-05 +3 *21680:X 5.0364e-05 +4 *2535:18 0.000128383 +5 *2535:16 0.002534 +6 *2535:14 0.00296627 +7 *2535:9 0.00383183 +8 *2535:8 0.00344992 +9 *2535:9 *2647:9 0.00285053 +10 *2535:9 *2810:9 0.00107006 +11 *2535:14 *22863:A 0 +12 *2535:14 *2536:12 0.000170545 +13 *2535:14 *2685:8 8.67415e-05 +14 *2535:14 *3047:10 7.02602e-05 +15 *2535:16 *23247:A 0.000159299 +16 *2535:16 *2536:12 0.000459114 +17 *2535:16 *2536:16 0.000925746 +18 *2535:16 *2685:8 0.00174803 +19 *2535:16 *2809:14 0.000520707 +20 *2535:16 *2809:29 0 +21 *418:8 *2535:14 0.000143317 +22 *539:5 *2535:8 7.21868e-05 +23 *546:9 *2535:14 6.00837e-05 +24 *795:7 *2535:8 1.50057e-05 +25 *1184:16 *2535:16 0.0002243 +26 *1198:21 *3734:DIODE 2.38485e-05 +27 *1198:21 *21544:A 0.000203187 +28 *1621:15 *2535:16 0.00041888 +29 *2114:25 *2535:16 0 +30 *2279:39 *2535:16 4.40272e-05 +*RES +1 *21680:X *2535:8 19.6659 +2 *2535:8 *2535:9 58.4022 +3 *2535:9 *2535:14 16.237 +4 *2535:14 *2535:16 76.956 +5 *2535:16 *2535:18 4.5 +6 *2535:18 *21544:A 12.0704 +7 *2535:18 *3734:DIODE 9.97254 +*END + +*D_NET *2536 0.0214286 +*CONN +*I *21545:A I *D sky130_fd_sc_hd__inv_2 +*I *3735:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21681:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21545:A 0.000227379 +2 *3735:DIODE 4.18856e-05 +3 *21681:X 0.000182847 +4 *2536:16 0.00161254 +5 *2536:12 0.00402483 +6 *2536:11 0.00268155 +7 *2536:9 0.00104749 +8 *2536:8 0.00123033 +9 *21545:A *2813:30 0 +10 *21545:A *2813:37 0 +11 *21545:A *3066:14 3.19539e-05 +12 *2536:8 *2800:12 8.76895e-06 +13 *2536:9 *2537:9 0.0039419 +14 *2536:9 *2800:9 0.00011818 +15 *2536:9 *2821:9 9.82896e-06 +16 *2536:9 *3045:13 0.00058012 +17 *2536:12 *2683:6 0 +18 *2536:12 *2826:8 0.000630825 +19 *2536:12 *3066:10 0.000179514 +20 *2536:12 *3233:6 0.000158528 +21 *2536:16 *21331:A 6.1438e-05 +22 *2536:16 *2803:34 0.000612824 +23 *2536:16 *2813:30 0 +24 *2536:16 *3066:10 0 +25 *2536:16 *3066:14 6.10409e-05 +26 la_data_in_mprj[127] *2536:12 4.91673e-05 +27 *6256:DIODE *21545:A 0.000160617 +28 *412:5 *2536:8 2.60731e-05 +29 *418:8 *2536:12 0.000208495 +30 *540:5 *2536:8 0.000130408 +31 *1161:23 *3735:DIODE 6.50727e-05 +32 *1178:13 *2536:16 0 +33 *1188:25 *21545:A 0.000160617 +34 *1231:11 *2536:16 0.000157228 +35 *1232:10 *21545:A 5.05252e-05 +36 *1621:15 *2536:12 0.00104552 +37 *1968:55 *2536:12 0 +38 *2114:25 *2536:16 0 +39 *2117:21 *2536:16 7.01885e-05 +40 *2120:25 *2536:12 1.5714e-05 +41 *2530:9 *2536:9 0.00028978 +42 *2535:14 *2536:12 0.000170545 +43 *2535:16 *2536:12 0.000459114 +44 *2535:16 *2536:16 0.000925746 +*RES +1 *21681:X *2536:8 22.1574 +2 *2536:8 *2536:9 49.5285 +3 *2536:9 *2536:11 4.5 +4 *2536:11 *2536:12 72.4859 +5 *2536:12 *2536:16 35.8705 +6 *2536:16 *3735:DIODE 14.4725 +7 *2536:16 *21545:A 19.9053 +*END + +*D_NET *2537 0.0261416 +*CONN +*I *21546:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3736:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21682:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21546:A 3.4121e-05 +2 *3736:DIODE 4.88679e-05 +3 *21682:X 0.0001221 +4 *2537:24 8.29889e-05 +5 *2537:22 0.0024478 +6 *2537:21 0.00272074 +7 *2537:18 0.00162066 +8 *2537:14 0.00165411 +9 *2537:9 0.000960413 +10 *2537:8 0.000776125 +11 *2537:8 *2822:15 0 +12 *2537:9 *2541:9 0.00297952 +13 *2537:9 *2821:9 0.00117835 +14 *2537:9 *3045:13 0.00104755 +15 *2537:14 *2810:12 0 +16 *2537:18 *2810:12 0 +17 *2537:18 *3052:8 0 +18 *2537:22 *3551:DIODE 2.95308e-05 +19 *2537:22 *3594:DIODE 0.000111838 +20 *2537:22 *3596:DIODE 2.15153e-05 +21 *2537:22 *21335:A 7.25435e-05 +22 *2537:22 *2810:30 0.000269197 +23 *2537:22 *2825:21 0.00151662 +24 *2537:22 *2949:6 8.32204e-06 +25 *2537:22 *3014:12 3.96375e-05 +26 mprj_dat_i_core[0] *2537:14 0.000319681 +27 *4270:DIODE *2537:14 3.22726e-05 +28 *22625:A *2537:18 0.000235454 +29 *541:8 *2537:8 0.000129279 +30 *902:5 *2537:14 4.33209e-05 +31 *1032:9 *2537:14 0 +32 *1032:9 *2537:18 0 +33 *1187:8 *2537:22 6.74646e-05 +34 *1188:23 *21546:A 1.05106e-05 +35 *1188:25 *3736:DIODE 1.41181e-05 +36 *1995:32 *2537:21 0.000650769 +37 *2120:31 *2537:22 0.000111099 +38 *2364:8 *2537:22 0 +39 *2399:22 *2537:18 0.00179308 +40 *2428:14 *2537:18 0.000335842 +41 *2429:18 *2537:22 0 +42 *2429:26 *2537:22 0.000570229 +43 *2530:14 *2537:8 0.000144067 +44 *2536:9 *2537:9 0.0039419 +*RES +1 *21682:X *2537:8 21.7421 +2 *2537:8 *2537:9 58.4022 +3 *2537:9 *2537:14 12.6707 +4 *2537:14 *2537:18 44.3276 +5 *2537:18 *2537:21 11.8786 +6 *2537:21 *2537:22 62.8741 +7 *2537:22 *2537:24 4.5 +8 *2537:24 *3736:DIODE 9.97254 +9 *2537:24 *21546:A 10.2378 +*END + +*D_NET *2538 0.0490758 +*CONN +*I *3737:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21547:A I *D sky130_fd_sc_hd__inv_2 +*I *21683:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3737:DIODE 7.93125e-05 +2 *21547:A 0 +3 *21683:X 0.00264626 +4 *2538:26 0.00444556 +5 *2538:9 0.0070125 +6 *2538:9 *2647:12 0 +7 *2538:9 *2680:8 0 +8 *2538:9 *3224:8 0.00118849 +9 *2538:26 *2542:24 0.0117642 +10 *2538:26 *2824:32 0 +11 *2538:26 *2825:14 4.67164e-05 +12 *2538:26 *2826:20 0.0097188 +13 *2538:26 *3009:10 8.86344e-05 +14 *2538:26 *3018:18 9.69953e-05 +15 *2538:26 *3019:20 0.000337933 +16 *2538:26 *3020:18 0 +17 *4156:DIODE *2538:9 9.24241e-05 +18 *798:7 *2538:9 6.25101e-05 +19 *1153:15 *2538:9 0.00542538 +20 *1174:15 *2538:9 0.0012114 +21 *1234:18 *3737:DIODE 0.000228593 +22 *1741:11 *2538:26 4.97938e-05 +23 *1985:83 *2538:26 0.00411535 +24 *2407:17 *2538:26 0.000464928 +*RES +1 *21683:X *2538:9 49.5751 +2 *2538:9 *2538:26 48.081 +3 *2538:26 *21547:A 9.24915 +4 *2538:26 *3737:DIODE 12.191 +*END + +*D_NET *2539 0.0452724 +*CONN +*I *21548:A I *D sky130_fd_sc_hd__inv_2 +*I *3738:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21684:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21548:A 7.6989e-05 +2 *3738:DIODE 0 +3 *21684:X 0.000564195 +4 *2539:22 0.00702864 +5 *2539:10 0.00751584 +6 *21548:A *3022:23 0.000275322 +7 *2539:10 *22860:A 8.80213e-05 +8 *2539:10 *22861:A 8.32686e-05 +9 *2539:10 *2815:5 0.000315461 +10 *2539:22 *3022:20 2.27135e-05 +11 *2539:22 *3023:17 0 +12 *2539:22 *3024:17 0.000113487 +13 *2539:22 *3024:29 0.00112242 +14 la_data_in_mprj[125] *2539:10 6.85778e-05 +15 *4157:DIODE *2539:10 0.000250614 +16 *21827:A *2539:10 0 +17 *1156:11 *2539:22 0.0172189 +18 *1158:9 *2539:22 0.00398273 +19 *1192:10 *2539:22 1.91391e-05 +20 *1199:9 *21548:A 0.000330471 +21 *1995:57 *2539:22 5.60804e-05 +22 *2410:22 *2539:22 0 +23 *2414:18 *2539:22 0.00589988 +24 *2417:18 *2539:22 0.000239699 +25 *2418:20 *2539:22 0 +*RES +1 *21684:X *2539:10 30.1285 +2 *2539:10 *2539:22 45.8969 +3 *2539:22 *3738:DIODE 9.24915 +4 *2539:22 *21548:A 13.7342 +*END + +*D_NET *2540 0.0574675 +*CONN +*I *6020:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23357:A I *D sky130_fd_sc_hd__nand2_1 +*I *21685:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6020:DIODE 0 +2 *23357:A 0.000122372 +3 *21685:X 0.000353735 +4 *2540:31 0.00162974 +5 *2540:30 0.0031525 +6 *2540:24 0.00567908 +7 *2540:23 0.00524668 +8 *2540:17 0.00293567 +9 *2540:15 0.00256762 +10 *2540:8 0.00119842 +11 *23357:A *2911:30 2.4764e-05 +12 *2540:8 *22717:A 0 +13 *2540:8 *22718:A 0 +14 *2540:8 *22973:A 8.4761e-05 +15 *2540:8 *3072:6 0 +16 *2540:8 *3076:10 0 +17 *2540:8 *3356:12 5.87127e-05 +18 *2540:15 *5484:DIODE 6.78549e-05 +19 *2540:15 *2607:9 0.00428566 +20 *2540:15 *3069:19 0.000245991 +21 *2540:17 *2607:9 0.00797834 +22 *2540:17 *2607:17 0.000259407 +23 *2540:17 *3069:13 0.000187123 +24 *2540:17 *3069:19 6.17551e-05 +25 *2540:17 *3077:20 0.000494972 +26 *2540:17 *3348:30 0.00168698 +27 *2540:17 *3478:211 0.00240465 +28 *2540:17 *3478:292 0.000256172 +29 *2540:17 *3478:305 0.000106215 +30 *2540:23 *5336:DIODE 5.31074e-05 +31 *2540:23 *3185:15 0.00145386 +32 *2540:23 *3478:195 0.000210981 +33 *2540:23 *3478:201 6.44576e-05 +34 *2540:23 *3478:211 0.000133433 +35 *2540:24 *2668:12 0.00011286 +36 *2540:24 *2916:43 3.46843e-05 +37 *2540:24 *3465:8 0 +38 *2540:24 *3466:8 0.000691418 +39 *2540:30 *2636:20 0.000120583 +40 *2540:30 *2668:12 0.000628775 +41 *2540:30 *3218:8 0.000206653 +42 la_oenb_core[110] *2540:8 0.000130777 +43 *3884:DIODE *2540:8 3.58321e-05 +44 *6021:DIODE *23357:A 0.000161243 +45 *6517:DIODE *2540:15 0.000570356 +46 *6525:DIODE *2540:15 0.000634105 +47 *6540:DIODE *2540:17 0.000209232 +48 *22543:A *2540:24 6.05941e-05 +49 *23586:TE *2540:24 1.90335e-05 +50 *1172:11 *23357:A 0.000413127 +51 *1181:22 *2540:24 9.31248e-05 +52 *1198:32 *2540:24 3.55926e-05 +53 *1213:27 *2540:30 6.53664e-05 +54 *1444:9 *2540:24 0 +55 *1450:8 *2540:24 0.000883131 +56 *1602:10 *23357:A 7.25424e-05 +57 *1863:61 *2540:15 0.000863181 +58 *1878:15 *2540:17 0.00175736 +59 *1995:25 *2540:30 0.000205581 +60 *2092:57 *2540:24 0.000569775 +61 *2353:16 *2540:30 0.000751929 +62 *2353:18 *2540:24 0.000654215 +63 *2429:5 *2540:17 0.00191478 +64 *2440:6 *2540:24 0 +65 *2500:17 *23357:A 0.000100272 +66 *2500:17 *2540:31 0.00246641 +*RES +1 *21685:X *2540:8 27.9709 +2 *2540:8 *2540:15 49.1909 +3 *2540:15 *2540:17 121.904 +4 *2540:17 *2540:23 40.4648 +5 *2540:23 *2540:24 98.5247 +6 *2540:24 *2540:30 45.3291 +7 *2540:30 *2540:31 26.7898 +8 *2540:31 *23357:A 24.2715 +9 *2540:31 *6020:DIODE 9.24915 +*END + +*D_NET *2541 0.0269109 +*CONN +*I *3739:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21549:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21686:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3739:DIODE 3.28336e-05 +2 *21549:A 0 +3 *21686:X 0.000131745 +4 *2541:24 0.00127833 +5 *2541:21 0.00157805 +6 *2541:16 0.00184015 +7 *2541:14 0.0017369 +8 *2541:9 0.000828635 +9 *2541:8 0.000731075 +10 *2541:9 *2821:9 0.00440699 +11 *2541:9 *2827:9 2.72092e-05 +12 *2541:9 *3012:9 4.26431e-05 +13 *2541:9 *3045:13 0.000815722 +14 *2541:14 *3046:10 7.58395e-05 +15 *2541:14 *3048:10 1.91246e-05 +16 *2541:16 *3012:14 5.25036e-05 +17 *2541:16 *3012:16 0.00339751 +18 *2541:16 *3046:10 0.00038057 +19 *2541:16 *3048:10 7.84457e-06 +20 *2541:24 *3038:20 0 +21 *2541:24 *3351:14 0.000403251 +22 mprj_dat_i_core[2] *2541:14 0.000332521 +23 *4294:DIODE *2541:14 1.05862e-05 +24 *4294:DIODE *2541:16 0.000160195 +25 *6281:DIODE *2541:24 0.00022124 +26 *22647:A *2541:16 0.000362634 +27 *544:5 *2541:8 0.000130358 +28 *800:7 *2541:8 2.60655e-05 +29 *1054:7 *2541:14 3.59452e-05 +30 *1177:25 *3739:DIODE 0.000324166 +31 *1199:13 *3739:DIODE 0.000324166 +32 *1238:9 *2541:21 0.000620124 +33 *1349:13 *2541:24 0 +34 *1733:7 *2541:21 0.00153998 +35 *1733:12 *2541:24 0.000274038 +36 *2119:45 *2541:24 0 +37 *2121:39 *2541:24 2.72352e-05 +38 *2401:12 *2541:16 0.00175523 +39 *2537:9 *2541:9 0.00297952 +*RES +1 *21686:X *2541:8 21.3269 +2 *2541:8 *2541:9 49.5285 +3 *2541:9 *2541:14 12.2554 +4 *2541:14 *2541:16 67.2342 +5 *2541:16 *2541:21 26.3615 +6 *2541:21 *2541:24 34.1539 +7 *2541:24 *21549:A 9.24915 +8 *2541:24 *3739:DIODE 12.7456 +*END + +*D_NET *2542 0.0490242 +*CONN +*I *21550:A I *D sky130_fd_sc_hd__inv_2 +*I *3740:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21687:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21550:A 5.41852e-05 +2 *3740:DIODE 0 +3 *21687:X 0.00125959 +4 *2542:24 0.00690751 +5 *2542:8 0.00811291 +6 *21550:A *3089:15 6.92705e-05 +7 *2542:8 *2683:6 0.000527762 +8 *2542:8 *3199:16 0 +9 *2542:24 *2811:46 0.000184253 +10 *2542:24 *2825:29 0.000103364 +11 *2542:24 *2826:20 0.00247426 +12 *2542:24 *2955:11 5.76392e-05 +13 *2542:24 *2955:20 0.00107194 +14 *2542:24 *2956:19 0 +15 *2542:24 *3026:20 0 +16 *2542:24 *3369:6 1.91391e-05 +17 *4016:DIODE *2542:8 0.000122098 +18 *4159:DIODE *2542:8 0 +19 *545:5 *2542:8 0.000172431 +20 *1157:15 *2542:24 0.0159242 +21 *2416:23 *2542:24 0.000199486 +22 *2418:20 *2542:24 0 +23 *2419:18 *2542:24 0 +24 *2538:26 *2542:24 0.0117642 +*RES +1 *21687:X *2542:8 47.3947 +2 *2542:8 *2542:24 46.151 +3 *2542:24 *3740:DIODE 9.24915 +4 *2542:24 *21550:A 10.9612 +*END + +*D_NET *2543 0.00967634 +*CONN +*I *3741:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21551:A I *D sky130_fd_sc_hd__inv_2 +*I *21688:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3741:DIODE 3.89301e-05 +2 *21551:A 0 +3 *21688:X 2.04392e-05 +4 *2543:11 0.000139857 +5 *2543:8 0.00252285 +6 *2543:7 0.00244236 +7 *2543:8 *22863:A 6.65264e-05 +8 *2543:8 *2685:8 0 +9 *2543:8 *2809:14 0 +10 *2543:8 *2813:30 0.000936617 +11 *2543:8 *3234:6 0.000634765 +12 *3876:DIODE *2543:8 4.55535e-05 +13 *4018:DIODE *2543:8 0.000130777 +14 *4160:DIODE *2543:8 8.46999e-06 +15 *22385:B *2543:8 0.00020588 +16 *22385:B *2543:11 2.16355e-05 +17 *418:8 *2543:7 6.50586e-05 +18 *546:9 *2543:8 7.12662e-05 +19 *802:13 *2543:8 1.77537e-06 +20 *1238:7 *3741:DIODE 0.000217951 +21 *1733:7 *3741:DIODE 0.000268812 +22 *1733:7 *2543:11 6.92705e-05 +23 *1977:45 *2543:8 0.00064904 +24 *2114:25 *2543:8 0.00111851 +*RES +1 *21688:X *2543:7 14.4725 +2 *2543:7 *2543:8 70.3486 +3 *2543:8 *2543:11 6.88721 +4 *2543:11 *21551:A 9.24915 +5 *2543:11 *3741:DIODE 12.191 +*END + +*D_NET *2544 0.0103198 +*CONN +*I *21436:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3626:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21689:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21436:A 6.63875e-05 +2 *3626:DIODE 7.14857e-05 +3 *21689:X 8.03922e-05 +4 *2544:10 0.00317735 +5 *2544:9 0.00311986 +6 *2544:9 *2819:15 0.000317707 +7 *2544:10 *23439:A 0.000242149 +8 *2544:10 *2638:24 0 +9 *2544:10 *2689:16 2.61342e-05 +10 *2544:10 *2689:18 0.00136793 +11 *2544:10 *2744:15 4.76414e-05 +12 *2544:10 *3238:8 0 +13 la_data_in_mprj[13] *2544:10 7.77309e-06 +14 *4019:DIODE *2544:10 0.000336155 +15 *4161:DIODE *2544:10 6.74811e-05 +16 *547:10 *2544:9 0.000264586 +17 *803:5 *2544:10 0.00010556 +18 *1239:11 *21436:A 2.85139e-05 +19 *1324:11 *21436:A 0 +20 *1324:11 *2544:10 0 +21 *1408:5 *3626:DIODE 0.00011818 +22 *1408:5 *21436:A 0.000118166 +23 *1626:15 *2544:10 0.000376942 +24 *1664:11 *2544:10 0.000229806 +25 *2143:20 *2544:10 0.000149628 +26 *2155:51 *2544:10 0 +*RES +1 *21689:X *2544:9 17.8243 +2 *2544:9 *2544:10 92.7722 +3 *2544:10 *3626:DIODE 15.0271 +4 *2544:10 *21436:A 16.0286 +*END + +*D_NET *2545 0.0121148 +*CONN +*I *3627:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21437:A I *D sky130_fd_sc_hd__inv_2 +*I *21690:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3627:DIODE 2.29975e-05 +2 *21437:A 0 +3 *21690:X 0 +4 *2545:9 5.01436e-05 +5 *2545:6 0.0035775 +6 *2545:5 0.00355036 +7 *3627:DIODE *3059:15 0.000148541 +8 *2545:6 *22865:A 0.000233455 +9 *2545:6 *2819:16 0 +10 *2545:6 *2819:22 8.30517e-05 +11 *2545:6 *2819:30 0 +12 *2545:6 *2819:40 0 +13 *2545:6 *3236:8 0.00323832 +14 *2545:6 *3238:8 0 +15 *2545:9 *3059:15 0.000276087 +16 *3878:DIODE *2545:6 7.86847e-05 +17 *4163:DIODE *2545:6 2.12377e-05 +18 *4735:DIODE *2545:6 0 +19 *22360:A *2545:6 2.72834e-05 +20 *23300:B *2545:6 0.000153225 +21 *1430:7 *2545:9 4.33819e-05 +22 *1430:11 *3627:DIODE 0.000164044 +23 *1430:11 *2545:9 0.00017407 +24 *1675:8 *2545:6 0.000146128 +25 *1742:6 *2545:6 0.000126271 +*RES +1 *21690:X *2545:5 13.7491 +2 *2545:5 *2545:6 105.23 +3 *2545:6 *2545:9 7.44181 +4 *2545:9 *21437:A 9.24915 +5 *2545:9 *3627:DIODE 11.0817 +*END + +*D_NET *2546 0.0110521 +*CONN +*I *3628:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21438:A I *D sky130_fd_sc_hd__inv_2 +*I *21691:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3628:DIODE 0 +2 *21438:A 3.61884e-05 +3 *21691:X 0 +4 *2546:14 0.000620355 +5 *2546:9 0.00209248 +6 *2546:6 0.00303995 +7 *2546:5 0.00153164 +8 *21438:A *2831:9 0.000217937 +9 *2546:6 *22866:A 6.1613e-05 +10 *2546:6 *2819:16 0 +11 *2546:6 *3237:10 0.000808618 +12 *2546:9 *2806:25 0.00064776 +13 *2546:14 *2650:6 0.000601192 +14 *3879:DIODE *2546:6 2.99929e-05 +15 *549:8 *2546:6 6.17339e-05 +16 *1241:8 *21438:A 9.14669e-05 +17 *2365:44 *2546:6 0.000780517 +18 *2395:6 *2546:14 0.000253677 +19 *2479:6 *2546:14 0.000176997 +*RES +1 *21691:X *2546:5 13.7491 +2 *2546:5 *2546:6 52.908 +3 *2546:6 *2546:9 47.3733 +4 *2546:9 *2546:14 32.0099 +5 *2546:14 *21438:A 11.6364 +6 *2546:14 *3628:DIODE 9.24915 +*END + +*D_NET *2547 0.0141362 +*CONN +*I *3629:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21439:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21692:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3629:DIODE 3.37207e-05 +2 *21439:A 0 +3 *21692:X 0.000439886 +4 *2547:10 3.37207e-05 +5 *2547:8 0.00290495 +6 *2547:7 0.00334483 +7 *3629:DIODE *2830:33 0.000489932 +8 *2547:7 *2689:11 6.08467e-05 +9 *2547:8 *2548:8 0.00049997 +10 *2547:8 *2548:10 0.00211892 +11 *2547:8 *2690:8 0 +12 *2547:8 *2768:20 0 +13 la_data_in_mprj[17] *2547:8 0 +14 *4022:DIODE *2547:7 2.41483e-05 +15 *4023:DIODE *2547:8 4.70005e-05 +16 *22280:A *2547:8 0 +17 *423:16 *2547:7 6.23875e-05 +18 *550:8 *2547:7 2.65831e-05 +19 *551:5 *2547:8 0.000105589 +20 *807:10 *2547:8 3.20069e-06 +21 *1244:6 *2547:8 0 +22 *1430:11 *3629:DIODE 0.000489932 +23 *1697:12 *2547:8 0 +24 *1848:12 *2547:8 8.03333e-05 +25 *2368:38 *2547:8 0.00337029 +*RES +1 *21692:X *2547:7 23.3462 +2 *2547:7 *2547:8 106.891 +3 *2547:8 *2547:10 4.5 +4 *2547:10 *21439:A 9.24915 +5 *2547:10 *3629:DIODE 14.4094 +*END + +*D_NET *2548 0.0110431 +*CONN +*I *3630:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21440:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21693:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3630:DIODE 7.0986e-05 +2 *21440:A 0 +3 *21693:X 0.000299501 +4 *2548:13 0.000123793 +5 *2548:10 0.00246766 +6 *2548:8 0.00271435 +7 *3630:DIODE *2923:30 1.92336e-05 +8 *2548:8 *2549:12 0 +9 *2548:10 *2549:12 0 +10 *2548:10 *2923:12 0.0016286 +11 *2548:10 *2923:24 4.70104e-05 +12 la_data_in_mprj[17] *2548:8 0 +13 *3881:DIODE *2548:8 3.58457e-05 +14 *1239:11 *3630:DIODE 0.000334808 +15 *1239:11 *2548:13 6.04266e-05 +16 *1243:11 *3630:DIODE 0.000175485 +17 *1244:6 *2548:10 0.000153609 +18 *1408:5 *3630:DIODE 2.1801e-05 +19 *1408:5 *2548:13 0.000271058 +20 *2547:8 *2548:8 0.00049997 +21 *2547:8 *2548:10 0.00211892 +*RES +1 *21693:X *2548:8 23.4099 +2 *2548:8 *2548:10 84.4305 +3 *2548:10 *2548:13 7.44181 +4 *2548:13 *21440:A 9.24915 +5 *2548:13 *3630:DIODE 13.7342 +*END + +*D_NET *2549 0.00800518 +*CONN +*I *21441:A I *D sky130_fd_sc_hd__inv_2 +*I *3631:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21694:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21441:A 9.64046e-05 +2 *3631:DIODE 0 +3 *21694:X 0.000196997 +4 *2549:12 0.0034996 +5 *2549:11 0.00360019 +6 *21441:A *2923:12 0 +7 *21441:A *3096:5 7.48633e-05 +8 *2549:12 *22869:A 0 +9 *2549:12 *2795:36 5.50662e-05 +10 *2549:12 *2923:12 0 +11 *2549:12 *3241:8 0 +12 la_data_in_mprj[17] *2549:12 4.26859e-05 +13 *4166:DIODE *2549:11 2.65667e-05 +14 *4166:DIODE *2549:12 0.000289145 +15 *21694:A *2549:11 2.65831e-05 +16 *807:10 *2549:11 0 +17 *1938:26 *21441:A 7.48633e-05 +18 *2141:40 *2549:12 2.22198e-05 +19 *2369:8 *21441:A 0 +20 *2369:8 *2549:12 0 +21 *2548:8 *2549:12 0 +22 *2548:10 *2549:12 0 +*RES +1 *21694:X *2549:11 18.403 +2 *2549:11 *2549:12 81.5604 +3 *2549:12 *3631:DIODE 13.7491 +4 *2549:12 *21441:A 16.9985 +*END + +*D_NET *2550 0.010914 +*CONN +*I *3632:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21442:A I *D sky130_fd_sc_hd__inv_2 +*I *21695:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3632:DIODE 0 +2 *21442:A 0.00011747 +3 *21695:X 0 +4 *2550:9 0.000191625 +5 *2550:6 0.00284856 +6 *2550:5 0.0027744 +7 *21442:A *2828:16 4.01315e-05 +8 *21442:A *2828:18 4.31485e-06 +9 *21442:A *2835:6 1.77439e-05 +10 *2550:6 *6068:DIODE 0.000136838 +11 *2550:6 *6070:DIODE 3.59954e-05 +12 *2550:6 *22871:A 0.000247261 +13 *2550:6 *2552:10 0 +14 *2550:6 *2552:12 0.000315145 +15 *2550:6 *2692:8 0 +16 *2550:6 *2807:12 3.5577e-05 +17 *2550:6 *2818:28 3.12044e-05 +18 *2550:6 *2835:6 0 +19 *2550:6 *3243:8 0.00344129 +20 la_data_in_mprj[19] *2550:6 0.00015321 +21 *1441:9 *21442:A 0.000217951 +22 *1441:9 *2550:9 0.000208218 +23 *1500:11 *2550:6 0 +24 *1628:9 *2550:6 9.70693e-05 +*RES +1 *21695:X *2550:5 13.7491 +2 *2550:5 *2550:6 87.3739 +3 *2550:6 *2550:9 6.88721 +4 *2550:9 *21442:A 21.6378 +5 *2550:9 *3632:DIODE 9.24915 +*END + +*D_NET *2551 0.0605361 +*CONN +*I *6022:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23358:A I *D sky130_fd_sc_hd__nand2_1 +*I *21696:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6022:DIODE 0 +2 *23358:A 0.000145864 +3 *21696:X 0.00154599 +4 *2551:19 0.000945708 +5 *2551:16 0.00241004 +6 *2551:12 0.00436585 +7 *2551:11 0.00275566 +8 *2551:9 0.0116041 +9 *2551:8 0.0116041 +10 *2551:6 0.00154599 +11 *2551:6 *22718:A 0 +12 *2551:6 *22974:A 0 +13 *2551:6 *3074:10 0 +14 *2551:12 *3467:8 0 +15 *2551:12 *3468:12 0 +16 *2551:12 *3470:8 0.000497403 +17 *2551:16 *23233:A 5.41377e-05 +18 *2551:16 *2669:6 0.00224196 +19 *2551:16 *3470:8 2.83354e-05 +20 *2551:19 *2809:11 0.00200156 +21 la_oenb_core[111] *2551:6 5.88662e-05 +22 *3895:DIODE *2551:6 3.58321e-05 +23 *6023:DIODE *23358:A 0.000228593 +24 *23233:B *2551:16 4.70104e-05 +25 *1175:9 *23358:A 0.000120974 +26 *1175:9 *2551:19 0.000264483 +27 *1832:26 *2551:12 0.000340289 +28 *1859:23 *2551:6 0 +29 *2094:15 *2551:12 0.00263853 +30 *2094:15 *2551:16 0.000830553 +31 *2265:27 *2551:16 9.55769e-05 +32 *2280:44 *2551:9 0.000257998 +33 *2427:9 *2551:9 0.0138707 +*RES +1 *21696:X *2551:6 49.2166 +2 *2551:6 *2551:8 4.5 +3 *2551:8 *2551:9 196.498 +4 *2551:9 *2551:11 4.5 +5 *2551:11 *2551:12 73.1943 +6 *2551:12 *2551:16 48.3036 +7 *2551:16 *2551:19 32.9536 +8 *2551:19 *23358:A 14.9881 +9 *2551:19 *6022:DIODE 9.24915 +*END + +*D_NET *2552 0.0136188 +*CONN +*I *21443:A I *D sky130_fd_sc_hd__inv_2 +*I *3633:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21697:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21443:A 7.58838e-05 +2 *3633:DIODE 0 +3 *21697:X 2.79331e-05 +4 *2552:12 0.00248429 +5 *2552:10 0.00397519 +6 *2552:7 0.00159471 +7 *21443:A *2807:12 6.68367e-05 +8 *21443:A *3097:10 6.73022e-05 +9 *21443:A *3200:17 0.000164815 +10 *2552:10 *22871:A 7.1273e-05 +11 *2552:10 *2836:8 0 +12 *2552:10 *3243:8 0.00054408 +13 *2552:12 *6068:DIODE 0.000136838 +14 *2552:12 *2807:12 0.00153495 +15 *2552:12 *3243:8 0.00147921 +16 *3883:DIODE *2552:7 0.00011818 +17 *3883:DIODE *2552:10 5.54078e-05 +18 *4026:DIODE *2552:10 3.54138e-05 +19 *22409:B *2552:12 0.000163911 +20 *426:12 *2552:7 0.000171273 +21 *554:5 *2552:10 3.10886e-05 +22 *1408:14 *2552:12 0.000213898 +23 *1501:9 *2552:12 0.000138777 +24 *1760:12 *21443:A 7.09666e-06 +25 *2372:42 *21443:A 0 +26 *2372:42 *2552:10 0.00014533 +27 *2372:42 *2552:12 0 +28 *2550:6 *2552:10 0 +29 *2550:6 *2552:12 0.000315145 +*RES +1 *21697:X *2552:7 15.5817 +2 *2552:7 *2552:10 44.4442 +3 *2552:10 *2552:12 72.6325 +4 *2552:12 *3633:DIODE 13.7491 +5 *2552:12 *21443:A 16.9985 +*END + +*D_NET *2553 0.0107112 +*CONN +*I *3615:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21425:A I *D sky130_fd_sc_hd__inv_2 +*I *21698:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3615:DIODE 6.92635e-05 +2 *21425:A 0 +3 *21698:X 0 +4 *2553:9 0.00105965 +5 *2553:6 0.00475464 +6 *2553:5 0.00376425 +7 *2553:6 *22833:A 0.000372364 +8 *2553:6 *2793:10 0.000289557 +9 *3843:DIODE *2553:6 0 +10 *3886:DIODE *2553:6 0.000101133 +11 *4027:DIODE *2553:6 0.000120052 +12 *555:5 *2553:6 0.000151741 +13 *1136:9 *3615:DIODE 2.85274e-05 +14 *2481:8 *2553:6 0 +15 *2510:6 *2553:6 0 +*RES +1 *21698:X *2553:5 13.7491 +2 *2553:5 *2553:6 105.23 +3 *2553:6 *2553:9 27.9621 +4 *2553:9 *21425:A 9.24915 +5 *2553:9 *3615:DIODE 11.0817 +*END + +*D_NET *2554 0.0139878 +*CONN +*I *21444:A I *D sky130_fd_sc_hd__inv_2 +*I *3634:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21699:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21444:A 0.000108519 +2 *3634:DIODE 7.65377e-05 +3 *21699:X 0 +4 *2554:6 0.00366959 +5 *2554:5 0.00348453 +6 *21444:A *2829:30 0.000256894 +7 *21444:A *3200:17 6.08467e-05 +8 *2554:6 *6072:DIODE 0.000138988 +9 *2554:6 *22873:A 7.58735e-05 +10 *2554:6 *2697:8 0 +11 *2554:6 *2697:10 0 +12 *2554:6 *2829:30 0.00182808 +13 *2554:6 *3245:8 0.000755911 +14 la_data_in_mprj[20] *2554:6 0 +15 *3887:DIODE *2554:6 7.86847e-05 +16 *4030:DIODE *2554:6 5.36397e-05 +17 *4169:DIODE *2554:6 0 +18 *556:5 *2554:6 3.8079e-05 +19 *1358:5 *3634:DIODE 0.000111708 +20 *1502:8 *2554:6 0 +21 *1760:12 *21444:A 6.08467e-05 +22 *2373:8 *21444:A 0.000248268 +23 *2373:8 *2554:6 0.00294083 +*RES +1 *21699:X *2554:5 13.7491 +2 *2554:5 *2554:6 111.043 +3 *2554:6 *3634:DIODE 15.0271 +4 *2554:6 *21444:A 19.2113 +*END + +*D_NET *2555 0.00913296 +*CONN +*I *21445:A I *D sky130_fd_sc_hd__inv_2 +*I *3635:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21700:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21445:A 7.96405e-05 +2 *3635:DIODE 0 +3 *21700:X 0 +4 *2555:9 0.000197035 +5 *2555:6 0.00329627 +6 *2555:5 0.00317888 +7 *2555:6 *22874:A 0 +8 *2555:6 *23258:A 4.7503e-05 +9 *2555:6 *2676:20 0 +10 *2555:6 *2698:6 0.00108831 +11 *2555:6 *2839:8 0 +12 *2555:6 *3246:6 0 +13 *3888:DIODE *2555:6 0 +14 *557:11 *2555:6 0.000234961 +15 *1505:8 *2555:6 0 +16 *1633:11 *2555:6 0 +17 *1905:48 *21445:A 0.000122378 +18 *1905:48 *2555:9 2.63143e-05 +19 *2002:21 *2555:6 0.000861672 +20 *2162:35 *2555:6 0 +21 *2376:16 *2555:6 0 +*RES +1 *21700:X *2555:5 13.7491 +2 *2555:5 *2555:6 89.035 +3 *2555:6 *2555:9 6.3326 +4 *2555:9 *3635:DIODE 9.24915 +5 *2555:9 *21445:A 11.9257 +*END + +*D_NET *2556 0.0140546 +*CONN +*I *3636:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21446:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21701:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3636:DIODE 0.000111417 +2 *21446:A 0.00012528 +3 *21701:X 0.000704838 +4 *2556:8 0.0054054 +5 *2556:7 0.00587354 +6 *3636:DIODE *2832:29 0.000114594 +7 *2556:7 *2841:5 8.14272e-05 +8 *2556:8 *2557:6 0 +9 *2556:8 *2700:8 0 +10 *2556:8 *3096:16 2.55021e-05 +11 *2556:8 *3450:20 2.87136e-06 +12 la_data_in_mprj[24] *2556:8 1.39717e-06 +13 *4175:DIODE *2556:8 7.94911e-05 +14 *21702:A *2556:7 2.65831e-05 +15 *21986:A *2556:7 0.00011818 +16 *22509:A *2556:8 0 +17 *23523:A *2556:8 2.46823e-05 +18 *559:11 *2556:8 0 +19 *815:8 *2556:7 2.16355e-05 +20 *815:8 *2556:8 5.56367e-05 +21 *1150:26 *2556:8 0 +22 *1250:15 *3636:DIODE 8.62625e-06 +23 *1250:15 *21446:A 6.07007e-05 +24 *1386:8 *2556:8 0.000231477 +25 *1708:14 *2556:8 0 +26 *2141:31 *2556:8 2.87136e-06 +27 *2378:18 *3636:DIODE 1.08001e-05 +28 *2378:18 *2556:8 0.000967662 +*RES +1 *21701:X *2556:7 24.4554 +2 *2556:7 *2556:8 118.725 +3 *2556:8 *21446:A 17.0834 +4 *2556:8 *3636:DIODE 16.2363 +*END + +*D_NET *2557 0.0111473 +*CONN +*I *3637:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21447:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21702:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3637:DIODE 0.000173977 +2 *21447:A 0.000107843 +3 *21702:X 0 +4 *2557:9 0.000596358 +5 *2557:6 0.00328534 +6 *2557:5 0.0029708 +7 *2557:6 *22876:A 0 +8 *2557:6 *3096:16 3.98296e-05 +9 *2557:6 *3248:6 0 +10 *2557:9 *3096:5 0.000113968 +11 *3890:DIODE *2557:6 0 +12 *22509:A *2557:6 0.000232263 +13 *559:11 *2557:6 0.000229879 +14 *1150:26 *2557:6 0.00255226 +15 *1369:15 *3637:DIODE 2.16355e-05 +16 *1369:15 *21447:A 0.000109909 +17 *1369:15 *2557:9 0.000143984 +18 *1635:10 *2557:6 0.000129029 +19 *2157:24 *21447:A 0.000371516 +20 *2377:8 *2557:6 0 +21 *2378:18 *21447:A 6.87106e-05 +22 *2556:8 *2557:6 0 +*RES +1 *21702:X *2557:5 13.7491 +2 *2557:5 *2557:6 82.3909 +3 *2557:6 *2557:9 9.66022 +4 *2557:9 *21447:A 23.7169 +5 *2557:9 *3637:DIODE 12.191 +*END + +*D_NET *2558 0.0149889 +*CONN +*I *21448:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3638:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21703:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21448:A 0 +2 *3638:DIODE 9.31927e-05 +3 *21703:X 0.000529399 +4 *2558:14 9.31927e-05 +5 *2558:12 0.00318341 +6 *2558:10 0.00371281 +7 *3638:DIODE *3098:20 8.45043e-05 +8 *2558:10 *22877:A 6.78596e-05 +9 *2558:10 *2559:8 9.7467e-05 +10 *2558:10 *2702:8 0 +11 *2558:10 *2841:5 0.000166831 +12 *2558:12 *4776:DIODE 0.000126245 +13 *2558:12 *23367:A 0.000158642 +14 *2558:12 *2559:8 0 +15 *2558:12 *2559:10 0.00087253 +16 *2558:12 *2702:8 0 +17 *2558:12 *2842:40 0.000621916 +18 la_data_in_mprj[24] *2558:10 0.000169122 +19 la_data_in_mprj[25] *2558:10 0.000162739 +20 *6041:DIODE *2558:12 1.57662e-05 +21 *21704:A *2558:10 6.50727e-05 +22 *21988:A *2558:10 6.50727e-05 +23 *21989:A *2558:10 7.66539e-05 +24 *23333:B *2558:12 1.37086e-05 +25 *23367:B *2558:12 1.72594e-05 +26 *23461:A *2558:12 0 +27 *561:12 *2558:10 0.000124942 +28 *817:5 *2558:10 0.000165495 +29 *1252:8 *3638:DIODE 0.000211492 +30 *1408:15 *3638:DIODE 0.00041102 +31 *1441:14 *2558:12 6.1578e-06 +32 *1614:9 *2558:12 0.00044515 +33 *1997:35 *2558:12 0 +34 *1998:13 *2558:12 0.00297687 +35 *2146:30 *2558:12 0.000254405 +36 *2151:47 *2558:12 0 +*RES +1 *21703:X *2558:10 31.3803 +2 *2558:10 *2558:12 97.9629 +3 *2558:12 *2558:14 4.5 +4 *2558:14 *3638:DIODE 14.4335 +5 *2558:14 *21448:A 9.24915 +*END + +*D_NET *2559 0.0110901 +*CONN +*I *3639:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21449:A I *D sky130_fd_sc_hd__inv_2 +*I *21704:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3639:DIODE 0 +2 *21449:A 6.91664e-05 +3 *21704:X 0.000376102 +4 *2559:13 0.000247271 +5 *2559:10 0.00342903 +6 *2559:8 0.00362703 +7 *21449:A *3138:31 0.000164829 +8 *21449:A *3188:20 6.73186e-05 +9 *2559:10 *4776:DIODE 6.14756e-06 +10 *2559:10 *23239:A 0 +11 *2559:10 *23333:A 0.000231941 +12 *2559:10 *2780:14 7.14746e-05 +13 *2559:10 *2842:12 0 +14 *2559:10 *2842:40 0.000107674 +15 *2559:13 *3188:20 0.000253916 +16 *3892:DIODE *2559:8 0 +17 *4777:DIODE *2559:10 1.91391e-05 +18 *22415:B *2559:10 3.27424e-05 +19 *23239:B *2559:10 1.70219e-05 +20 *23333:B *2559:10 7.2465e-05 +21 *23367:B *2559:10 5.85325e-05 +22 *561:12 *2559:8 1.07248e-05 +23 *1441:14 *2559:10 0.000131183 +24 *1486:11 *2559:10 1.5714e-05 +25 *1614:9 *2559:10 0.000169229 +26 *1719:14 *2559:10 0.000134323 +27 *1997:35 *2559:10 0.000557126 +28 *1998:13 *21449:A 7.25424e-05 +29 *2009:45 *21449:A 6.89449e-05 +30 *2146:30 *2559:10 8.90468e-05 +31 *2151:47 *2559:10 1.94327e-05 +32 *2558:10 *2559:8 9.7467e-05 +33 *2558:12 *2559:8 0 +34 *2558:12 *2559:10 0.00087253 +*RES +1 *21704:X *2559:8 23.4099 +2 *2559:8 *2559:10 86.9221 +3 *2559:10 *2559:13 7.44181 +4 *2559:13 *21449:A 21.4985 +5 *2559:13 *3639:DIODE 9.24915 +*END + +*D_NET *2560 0.0101342 +*CONN +*I *3640:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21450:A I *D sky130_fd_sc_hd__inv_2 +*I *21705:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3640:DIODE 2.15616e-05 +2 *21450:A 0 +3 *21705:X 0 +4 *2560:13 9.67422e-05 +5 *2560:6 0.00371387 +6 *2560:5 0.00363869 +7 *2560:6 *6040:DIODE 2.22788e-05 +8 *2560:6 *22879:A 0.000252327 +9 *2560:6 *2651:28 0.000198917 +10 *2560:6 *2702:8 0 +11 *2560:6 *2845:6 0 +12 *2560:6 *2845:12 0 +13 *2560:13 *22418:A_N 0.000118166 +14 *2560:13 *2845:22 0.00015709 +15 *3893:DIODE *2560:6 0.000132396 +16 *434:8 *2560:6 0 +17 *562:12 *2560:6 1.07248e-05 +18 *818:5 *2560:6 0 +19 *1509:9 *2560:6 0.00011225 +20 *1884:30 *2560:6 0.00062263 +21 *2147:85 *2560:6 3.73898e-05 +22 *2337:34 *3640:DIODE 0.000128091 +23 *2337:34 *2560:13 9.42594e-05 +24 *2337:36 *2560:13 4.80635e-06 +25 *2337:38 *2560:13 1.41976e-05 +26 *2340:48 *3640:DIODE 0.000265537 +27 *2340:48 *2560:13 0.000492297 +28 *2497:34 *2560:6 0 +*RES +1 *21705:X *2560:5 13.7491 +2 *2560:5 *2560:6 86.1282 +3 *2560:6 *2560:13 10.9623 +4 *2560:13 *21450:A 9.24915 +5 *2560:13 *3640:DIODE 12.191 +*END + +*D_NET *2561 0.0135456 +*CONN +*I *3641:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21451:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21706:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3641:DIODE 2.69944e-05 +2 *21451:A 0 +3 *21706:X 0 +4 *2561:9 8.33124e-05 +5 *2561:6 0.00336133 +6 *2561:5 0.00330501 +7 *3641:DIODE *2845:34 0.0003122 +8 *2561:6 *22881:A 0 +9 *2561:6 *2843:14 0.000183492 +10 *2561:6 *2843:16 0.00469954 +11 *2561:6 *2844:10 0 +12 *2561:9 *2845:34 0.000583258 +13 *4179:DIODE *2561:6 7.88281e-05 +14 *1927:39 *2561:6 0 +15 *2318:75 *3641:DIODE 0.000328363 +16 *2318:75 *2561:9 0.000583258 +*RES +1 *21706:X *2561:5 13.7491 +2 *2561:5 *2561:6 95.679 +3 *2561:6 *2561:9 10.7694 +4 *2561:9 *21451:A 9.24915 +5 *2561:9 *3641:DIODE 12.7456 +*END + +*D_NET *2562 0.0493087 +*CONN +*I *6024:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23359:A I *D sky130_fd_sc_hd__nand2_1 +*I *21707:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6024:DIODE 0 +2 *23359:A 0.000116278 +3 *21707:X 0 +4 *2562:16 0.00350216 +5 *2562:15 0.00338588 +6 *2562:13 0.00150853 +7 *2562:11 0.00153035 +8 *2562:9 0.00758431 +9 *2562:8 0.00934674 +10 *2562:5 0.00178426 +11 *23359:A *2803:16 1.9366e-05 +12 *2562:8 *22719:A 0 +13 *2562:8 *22720:A 0 +14 *2562:8 *22975:A 5.04734e-05 +15 *2562:8 *3075:6 0 +16 *2562:8 *3359:8 0 +17 *2562:9 *3063:7 0.000413863 +18 *2562:9 *3371:11 0.00151562 +19 *2562:16 *2670:6 0.000273989 +20 *2562:16 *2803:16 0.000490685 +21 *2562:16 *3181:8 0.00109209 +22 *2562:16 *3186:8 0.00145925 +23 la_oenb_core[112] *2562:8 7.86847e-05 +24 *3906:DIODE *2562:8 1.79807e-05 +25 *4458:DIODE *2562:9 3.948e-05 +26 *4459:DIODE *2562:9 3.28416e-06 +27 *6025:DIODE *23359:A 0.0002817 +28 *22381:B *2562:16 4.37401e-05 +29 *1732:9 *2562:9 1.27226e-05 +30 *1965:40 *23359:A 0.000477015 +31 *1974:29 *2562:16 7.18329e-05 +32 *2113:13 *23359:A 5.13937e-05 +33 *2113:13 *2562:16 9.12416e-06 +34 *2123:25 *2562:16 0 +35 *2133:30 *2562:9 0.00012309 +36 *2423:11 *2562:13 0.00313974 +37 *2462:6 *2562:16 0 +38 *2518:11 *2562:9 0.00386768 +39 *2518:11 *2562:13 0.0065128 +40 *2528:6 *2562:16 0.000504563 +*RES +1 *21707:X *2562:5 13.7491 +2 *2562:5 *2562:8 45.781 +3 *2562:8 *2562:9 127.45 +4 *2562:9 *2562:11 0.578717 +5 *2562:11 *2562:13 70.3261 +6 *2562:13 *2562:15 4.5 +7 *2562:15 *2562:16 89.4502 +8 *2562:16 *23359:A 19.9109 +9 *2562:16 *6024:DIODE 13.7491 +*END + +*D_NET *2563 0.00926507 +*CONN +*I *21452:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3642:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21708:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21452:A 0.000101336 +2 *3642:DIODE 0.000104374 +3 *21708:X 0 +4 *2563:6 0.00321489 +5 *2563:5 0.00300918 +6 *3642:DIODE *3096:17 0.000167076 +7 *21452:A *2844:10 1.14139e-05 +8 *2563:6 *2844:10 0.00108948 +9 la_data_in_mprj[28] *2563:6 0 +10 *3896:DIODE *2563:6 5.04879e-05 +11 *4179:DIODE *2563:6 0 +12 *4180:DIODE *2563:6 0 +13 *436:8 *2563:6 0 +14 *564:12 *2563:6 1.07248e-05 +15 *820:5 *2563:6 0 +16 *1151:80 *2563:6 0.000690252 +17 *1369:31 *21452:A 0.000271058 +18 *2010:23 *2563:6 0 +19 *2157:24 *21452:A 0.000271058 +20 *2370:6 *21452:A 9.97495e-06 +21 *2370:6 *2563:6 0.000263756 +*RES +1 *21708:X *2563:5 13.7491 +2 *2563:5 *2563:6 82.3909 +3 *2563:6 *3642:DIODE 15.5817 +4 *2563:6 *21452:A 18.1077 +*END + +*D_NET *2564 0.016507 +*CONN +*I *3643:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21453:A I *D sky130_fd_sc_hd__inv_2 +*I *21709:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3643:DIODE 1.54421e-05 +2 *21453:A 0 +3 *21709:X 0.000337964 +4 *2564:14 1.54421e-05 +5 *2564:12 0.00365797 +6 *2564:11 0.00399594 +7 *2564:11 *2846:11 0.00186651 +8 *2564:11 *2966:15 0.00049882 +9 *2564:12 *23392:A 4.78118e-05 +10 *2564:12 *2566:20 9.90599e-06 +11 *2564:12 *2566:22 0.0047389 +12 *2564:12 *2567:8 0 +13 *2564:12 *2850:18 0 +14 *2564:12 *2852:10 0.000244552 +15 la_data_in_mprj[30] *2564:11 2.06059e-05 +16 la_data_in_mprj[32] *2564:12 0.000328526 +17 *439:8 *2564:11 0 +18 *441:8 *2564:12 8.88009e-05 +19 *821:10 *2564:11 0.000149209 +20 *1257:8 *2564:12 4.77858e-05 +21 *1259:9 *2564:12 0.00010025 +22 *1260:8 *2564:12 0 +23 *1372:11 *3643:DIODE 0.000171288 +24 *2020:23 *2564:12 0 +25 *2324:48 *3643:DIODE 0.000171288 +*RES +1 *21709:X *2564:11 45.4068 +2 *2564:11 *2564:12 103.569 +3 *2564:12 *2564:14 4.5 +4 *2564:14 *21453:A 9.24915 +5 *2564:14 *3643:DIODE 11.0817 +*END + +*D_NET *2565 0.0123547 +*CONN +*I *3616:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21426:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21710:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3616:DIODE 0 +2 *21426:A 0.000147393 +3 *21710:X 0.000924014 +4 *2565:14 0.000147393 +5 *2565:12 0.00147056 +6 *2565:11 0.00239458 +7 *2565:11 *2837:11 0.00138781 +8 *2565:12 *2577:12 0.00164892 +9 *2565:12 *2837:14 0.00211966 +10 *2565:12 *2837:16 0.000853946 +11 la_data_in_mprj[3] *2565:11 2.6833e-05 +12 *460:5 *2565:12 0 +13 *822:9 *2565:11 0.00011785 +14 *1258:10 *21426:A 7.88424e-05 +15 *1994:36 *2565:12 0.00103694 +*RES +1 *21710:X *2565:11 40.1395 +2 *2565:11 *2565:12 78.6536 +3 *2565:12 *2565:14 4.5 +4 *2565:14 *21426:A 13.3243 +5 *2565:14 *3616:DIODE 9.24915 +*END + +*D_NET *2566 0.016527 +*CONN +*I *3644:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21454:A I *D sky130_fd_sc_hd__inv_2 +*I *21711:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3644:DIODE 3.20361e-05 +2 *21454:A 0 +3 *21711:X 0.000723536 +4 *2566:24 3.20361e-05 +5 *2566:22 0.00247988 +6 *2566:20 0.00320341 +7 *3644:DIODE *2839:52 6.73186e-05 +8 *2566:20 *22884:A 9.04224e-05 +9 *2566:20 *2841:5 0.000490329 +10 *2566:20 *2848:11 0.000159317 +11 *2566:20 *2850:13 0.000538947 +12 *2566:22 *22885:A 0.000410666 +13 *2566:22 *23264:A 0 +14 *2566:22 *2704:10 0 +15 *2566:22 *3259:8 0.00274982 +16 la_data_in_mprj[30] *2566:20 7.50872e-05 +17 la_data_in_mprj[32] *2566:20 0.000258017 +18 *21995:A *2566:20 0.00015447 +19 *440:16 *2566:20 5.47736e-05 +20 *824:5 *2566:20 7.8795e-05 +21 *1169:89 *3644:DIODE 0.000164829 +22 *1169:98 *2566:22 5.39635e-06 +23 *1259:9 *2566:22 9.12416e-06 +24 *2161:39 *2566:22 0 +25 *2564:12 *2566:20 9.90599e-06 +26 *2564:12 *2566:22 0.0047389 +*RES +1 *21711:X *2566:20 45.3471 +2 *2566:20 *2566:22 90.0731 +3 *2566:22 *2566:24 4.5 +4 *2566:24 *21454:A 9.24915 +5 *2566:24 *3644:DIODE 11.0817 +*END + +*D_NET *2567 0.0116406 +*CONN +*I *3645:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21455:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21712:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3645:DIODE 9.8999e-05 +2 *21455:A 0 +3 *21712:X 9.65246e-05 +4 *2567:12 0.000155622 +5 *2567:8 0.00354325 +6 *2567:7 0.00358315 +7 *2567:7 *2841:5 0.000160345 +8 *2567:7 *2848:11 0.000514988 +9 *2567:8 *23392:A 7.4235e-06 +10 *2567:8 *2850:16 0.000491246 +11 *2567:8 *2850:18 0.0015853 +12 *2567:8 *2852:10 0.000245984 +13 *2567:8 *2918:61 0.000131716 +14 *441:8 *2567:7 0.000113968 +15 *441:8 *2567:8 1.09738e-05 +16 *2337:34 *3645:DIODE 0.000464113 +17 *2340:28 *3645:DIODE 0.000415514 +18 *2340:36 *3645:DIODE 2.15184e-05 +19 *2564:12 *2567:8 0 +*RES +1 *21712:X *2567:7 19.464 +2 *2567:7 *2567:8 86.5434 +3 *2567:8 *2567:12 5.2328 +4 *2567:12 *21455:A 9.24915 +5 *2567:12 *3645:DIODE 14.9881 +*END + +*D_NET *2568 0.00777329 +*CONN +*I *3646:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21456:A I *D sky130_fd_sc_hd__inv_2 +*I *21713:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3646:DIODE 6.84733e-05 +2 *21456:A 0 +3 *21713:X 0 +4 *2568:9 0.000282759 +5 *2568:6 0.00277286 +6 *2568:5 0.00255857 +7 *3646:DIODE *2569:13 0.00011818 +8 *2568:6 *22886:A 0 +9 *2568:6 *2710:16 0 +10 *2568:6 *2847:8 0.000118485 +11 *2568:6 *2850:16 0 +12 *2568:6 *2850:18 0.000641502 +13 *2568:6 *3260:8 0 +14 la_data_in_mprj[33] *2568:6 0 +15 *3901:DIODE *2568:6 3.12316e-05 +16 *4044:DIODE *2568:6 8.62321e-06 +17 *4186:DIODE *2568:6 0 +18 *569:5 *2568:6 5.77061e-05 +19 *825:13 *2568:6 0 +20 *1261:7 *3646:DIODE 0.00021569 +21 *1888:26 *2568:6 0.000314322 +22 *2020:23 *2568:6 0.000555279 +23 *2397:38 *2568:6 2.961e-05 +*RES +1 *21713:X *2568:5 13.7491 +2 *2568:5 *2568:6 66.6113 +3 *2568:6 *2568:9 9.10562 +4 *2568:9 *21456:A 9.24915 +5 *2568:9 *3646:DIODE 12.191 +*END + +*D_NET *2569 0.0103932 +*CONN +*I *3647:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21457:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21714:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3647:DIODE 7.02973e-05 +2 *21457:A 0 +3 *21714:X 2.70994e-05 +4 *2569:13 0.000313362 +5 *2569:8 0.00230231 +6 *2569:7 0.00208634 +7 *2569:7 *2848:11 0.000275256 +8 *2569:8 *22886:A 0 +9 *2569:8 *22887:A 0.000204233 +10 *2569:8 *2710:16 0.00326844 +11 *2569:8 *2712:8 0 +12 *2569:8 *2806:16 0 +13 *2569:8 *2840:8 0 +14 *2569:8 *3261:6 0.000605738 +15 la_data_in_mprj[33] *2569:8 0 +16 *3646:DIODE *2569:13 0.00011818 +17 *442:8 *2569:7 0.000271058 +18 *442:8 *2569:8 1.44467e-05 +19 *570:5 *2569:8 8.12881e-05 +20 *1261:7 *3647:DIODE 0.000313446 +21 *1261:7 *2569:13 0.000233002 +22 *1262:7 *3647:DIODE 0.000165521 +23 *2000:22 *2569:13 4.31539e-05 +*RES +1 *21714:X *2569:7 16.691 +2 *2569:7 *2569:8 67.0266 +3 *2569:8 *2569:13 12.4574 +4 *2569:13 *21457:A 9.24915 +5 *2569:13 *3647:DIODE 12.7456 +*END + +*D_NET *2570 0.0113826 +*CONN +*I *21458:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3648:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21715:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21458:A 7.69704e-05 +2 *3648:DIODE 0 +3 *21715:X 0.000439382 +4 *2570:10 0.00193853 +5 *2570:9 0.00230094 +6 *21458:A *2848:22 8.02893e-06 +7 *21458:A *2856:6 3.58525e-05 +8 *2570:9 *2713:7 0.000370895 +9 *2570:9 *2841:5 3.91432e-05 +10 *2570:9 *2848:11 0 +11 *2570:9 *2848:21 0.000311941 +12 *2570:10 *22890:A 0.000207092 +13 *2570:10 *2848:22 0.000344205 +14 *2570:10 *2856:6 0.00342861 +15 *2570:10 *3265:8 0.000633823 +16 la_data_in_mprj[36] *2570:9 6.50727e-05 +17 *4189:DIODE *2570:10 3.46206e-05 +18 *21716:A *2570:9 6.50727e-05 +19 *445:12 *2570:10 5.68225e-06 +20 *571:16 *2570:9 0.000354331 +21 *828:8 *2570:9 0.000224395 +22 *1261:7 *21458:A 0.000211464 +23 *1262:7 *21458:A 0.000211464 +24 *2509:32 *2570:10 7.50872e-05 +*RES +1 *21715:X *2570:9 28.9163 +2 *2570:9 *2570:10 66.1961 +3 *2570:10 *3648:DIODE 13.7491 +4 *2570:10 *21458:A 17.1378 +*END + +*D_NET *2571 0.00907855 +*CONN +*I *21459:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3649:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21716:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21459:A 4.17866e-05 +2 *3649:DIODE 0 +3 *21716:X 0 +4 *2571:9 0.000175618 +5 *2571:6 0.00228986 +6 *2571:5 0.00215603 +7 *21459:A *2762:29 2.61147e-05 +8 *21459:A *3017:29 0.00011818 +9 *2571:6 *5802:DIODE 0 +10 *2571:6 *5846:DIODE 5.64929e-05 +11 *2571:6 *22889:A 0 +12 *2571:6 *2711:12 0.000302666 +13 *2571:6 *2713:8 0.000393689 +14 *2571:6 *2841:12 0 +15 *2571:6 *2962:8 0.00167026 +16 *2571:6 *3084:12 0 +17 *2571:9 *2762:29 0.000131822 +18 *2571:9 *3017:29 0.000670111 +19 *3904:DIODE *2571:6 0 +20 *23272:B *2571:9 0.000114594 +21 *572:11 *2571:6 0.000229879 +22 *1623:8 *2571:6 0.000690873 +23 *2176:55 *2571:6 1.05746e-05 +24 *2529:25 *2571:6 0 +*RES +1 *21716:X *2571:5 13.7491 +2 *2571:5 *2571:6 64.1198 +3 *2571:6 *2571:9 11.8786 +4 *2571:9 *3649:DIODE 9.24915 +5 *2571:9 *21459:A 11.1059 +*END + +*D_NET *2572 0.00500163 +*CONN +*I *21460:A I *D sky130_fd_sc_hd__inv_2 +*I *3650:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21717:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21460:A 0.000107398 +2 *3650:DIODE 4.18965e-05 +3 *21717:X 0 +4 *2572:6 0.00138402 +5 *2572:5 0.00123473 +6 *21460:A *2846:20 2.1309e-05 +7 *21460:A *2848:22 1.95409e-05 +8 *2572:6 *22890:A 0.000131386 +9 *2572:6 *2714:10 0 +10 *2572:6 *2715:6 0 +11 *2572:6 *2846:20 0.000145656 +12 *2572:6 *2848:22 0.000526057 +13 *2572:6 *3265:8 4.29824e-05 +14 *3905:DIODE *2572:6 6.81008e-05 +15 *4048:DIODE *2572:6 5.66868e-06 +16 *445:12 *2572:6 2.36813e-05 +17 *573:13 *2572:6 1.64943e-05 +18 *1174:33 *21460:A 0.000260388 +19 *1645:10 *2572:6 0.000646876 +20 *2374:31 *3650:DIODE 6.50586e-05 +21 *2397:33 *21460:A 0.000260388 +*RES +1 *21717:X *2572:5 13.7491 +2 *2572:5 *2572:6 36.7132 +3 *2572:6 *3650:DIODE 14.4725 +4 *2572:6 *21460:A 18.1077 +*END + +*D_NET *2573 0.0415317 +*CONN +*I *6026:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23360:A I *D sky130_fd_sc_hd__nand2_1 +*I *21718:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6026:DIODE 0 +2 *23360:A 0.000314196 +3 *21718:X 0.000834238 +4 *2573:12 0.00427245 +5 *2573:11 0.00395826 +6 *2573:9 0.00206051 +7 *2573:8 0.00289475 +8 *23360:A *23238:A 6.3657e-05 +9 *23360:A *2585:12 9.80817e-06 +10 *2573:8 *22720:A 0 +11 *2573:8 *22721:A 0 +12 *2573:8 *3359:8 0 +13 *2573:8 *3372:8 0 +14 *2573:9 *2629:13 0.0151452 +15 *2573:12 *2585:12 0 +16 la_oenb_core[113] *2573:8 3.34802e-05 +17 *3917:DIODE *2573:8 1.79807e-05 +18 *6522:DIODE *2573:9 0.000640564 +19 *22367:TE *23360:A 0.000114594 +20 *22557:TE *2573:12 0 +21 *23238:B *23360:A 4.15661e-05 +22 *1139:9 *23360:A 4.03502e-05 +23 *1139:9 *2573:12 2.29151e-05 +24 *1200:50 *2573:12 2.36494e-05 +25 *1224:15 *23360:A 0.000292536 +26 *1828:16 *2573:12 0 +27 *2101:54 *2573:12 4.99151e-05 +28 *2115:26 *23360:A 0.000260374 +29 *2281:16 *2573:9 0.00357819 +30 *2281:20 *2573:9 0.00432977 +31 *2281:26 *2573:9 0.00174805 +32 *2351:12 *2573:12 0 +33 *2352:11 *2573:9 0.00067013 +34 *2362:9 *2573:9 0.000110346 +35 *2416:8 *2573:8 0 +36 *2423:14 *23360:A 0 +37 *2423:14 *2573:12 0 +38 *2470:8 *2573:12 4.20184e-06 +39 *2474:6 *2573:12 0 +40 *2499:10 *2573:12 0 +*RES +1 *21718:X *2573:8 37.5217 +2 *2573:8 *2573:9 173.76 +3 *2573:9 *2573:11 4.5 +4 *2573:11 *2573:12 79.4842 +5 *2573:12 *23360:A 32.3672 +6 *2573:12 *6026:DIODE 13.7491 +*END + +*D_NET *2574 0.00311031 +*CONN +*I *3651:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21461:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21719:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *3651:DIODE 2.75182e-05 +2 *21461:A 2.06324e-05 +3 *21719:X 0.000585468 +4 *2574:11 0.000633619 +5 *3651:DIODE *2852:11 0.000263305 +6 *2574:11 *22891:A 0 +7 *2574:11 *2852:11 0.000910579 +8 *2574:11 *2855:12 0 +9 *4049:DIODE *2574:11 5.56461e-05 +10 *4191:DIODE *2574:11 1.79807e-05 +11 *574:8 *2574:11 2.65831e-05 +12 *1150:23 *3651:DIODE 0.000123176 +13 *1150:23 *2574:11 0.000376527 +14 *1266:11 *2574:11 6.92705e-05 +*RES +1 *21719:X *2574:11 38.7094 +2 *2574:11 *21461:A 9.82786 +3 *2574:11 *3651:DIODE 12.191 +*END + +*D_NET *2575 0.00622948 +*CONN +*I *21462:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3652:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21720:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21462:A 2.24983e-05 +2 *3652:DIODE 0.000204999 +3 *21720:X 0.000326988 +4 *2575:12 0.000547975 +5 *2575:11 0.000647467 +6 *3652:DIODE *3267:19 0.000311249 +7 *21462:A *2579:9 5.41377e-05 +8 *21462:A *2719:16 1.90335e-05 +9 *2575:11 *2961:41 0.00221494 +10 *2575:11 *2961:43 3.96379e-06 +11 *2575:11 *2966:15 0.00102158 +12 *2575:12 *2579:9 0.000362735 +13 *2575:12 *2719:16 3.20069e-06 +14 *2575:12 *2859:14 2.47808e-05 +15 *2575:12 *2864:10 0 +16 *2575:12 *2864:12 0 +17 *3912:DIODE *2575:12 0.000165481 +18 *451:5 *2575:12 0.000164016 +19 *575:5 *2575:11 6.05327e-05 +20 *579:12 *2575:12 1.33709e-05 +21 *831:8 *2575:11 6.05327e-05 +*RES +1 *21720:X *2575:11 49.7043 +2 *2575:11 *2575:12 10.137 +3 *2575:12 *3652:DIODE 17.2456 +4 *2575:12 *21462:A 14.7506 +*END + +*D_NET *2576 0.00578969 +*CONN +*I *21463:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3653:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21721:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21463:A 5.70981e-05 +2 *3653:DIODE 0 +3 *21721:X 0.00048549 +4 *2576:18 0.000886774 +5 *2576:17 0.00131517 +6 *21463:A *2859:14 1.37385e-05 +7 *21463:A *3268:11 6.50727e-05 +8 *2576:17 *22895:A 9.81814e-05 +9 *2576:17 *2716:20 0.000201867 +10 *2576:17 *2716:23 0.000510748 +11 *2576:17 *2719:13 0.000693671 +12 *2576:17 *2721:11 7.43403e-05 +13 *2576:18 *22896:A 9.75356e-05 +14 *2576:18 *23273:A 5.74172e-05 +15 *2576:18 *2722:10 0 +16 *2576:18 *2859:14 0.000492951 +17 *3912:DIODE *2576:17 0.000107496 +18 *4052:DIODE *2576:17 0.000271044 +19 *4196:DIODE *2576:17 0.000164829 +20 *21866:A *2576:17 6.50727e-05 +21 *23149:A *21463:A 1.05272e-06 +22 *576:8 *2576:17 6.50727e-05 +23 *2152:47 *21463:A 6.50727e-05 +*RES +1 *21721:X *2576:17 34.7035 +2 *2576:17 *2576:18 23.0099 +3 *2576:18 *3653:DIODE 13.7491 +4 *2576:18 *21463:A 15.474 +*END + +*D_NET *2577 0.010284 +*CONN +*I *21427:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3617:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21722:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21427:A 9.27116e-05 +2 *3617:DIODE 0 +3 *21722:X 0.000280386 +4 *2577:14 0.000138245 +5 *2577:12 0.00298969 +6 *2577:11 0.00322455 +7 *21427:A *2837:16 4.80148e-05 +8 *2577:11 *22894:A 5.63755e-05 +9 *2577:12 *22905:A 0.000140125 +10 *2577:12 *2837:16 0 +11 la_data_in_mprj[3] *2577:11 0.00015574 +12 la_data_in_mprj[4] *2577:11 0.00011818 +13 *4194:DIODE *2577:11 0.000207338 +14 *21735:A *2577:11 6.99486e-05 +15 *460:5 *2577:12 5.68225e-06 +16 *833:10 *2577:11 6.64392e-05 +17 *1592:10 *2577:12 0 +18 *1994:36 *2577:12 0.00104162 +19 *2565:12 *2577:12 0.00164892 +*RES +1 *21722:X *2577:11 22.8398 +2 *2577:11 *2577:12 94.8485 +3 *2577:12 *2577:14 1.29461 +4 *2577:14 *3617:DIODE 13.7491 +5 *2577:14 *21427:A 16.4116 +*END + +*D_NET *2578 0.0159367 +*CONN +*I *3654:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21464:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21723:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3654:DIODE 5.54499e-05 +2 *21464:A 0 +3 *21723:X 0 +4 *2578:9 0.000106769 +5 *2578:6 0.00447871 +6 *2578:5 0.00442739 +7 *3654:DIODE *3116:13 0.000328363 +8 *2578:6 *2716:20 2.46281e-05 +9 *2578:6 *2860:12 0.0026438 +10 *2578:6 *2864:10 0 +11 *2578:6 *2864:12 0.000945481 +12 *2578:6 *2864:19 0 +13 *2578:6 *3188:42 3.74542e-05 +14 *2578:6 *3188:44 3.88655e-06 +15 *2578:9 *3094:14 0.000213725 +16 *2578:9 *3116:13 0.000258142 +17 *3911:DIODE *2578:6 6.63327e-05 +18 *4817:DIODE *2578:6 4.8451e-05 +19 *578:5 *2578:6 0 +20 *834:11 *2578:6 1.07248e-05 +21 *1270:7 *3654:DIODE 0.000169041 +22 *1772:11 *2578:6 0.000397967 +23 *1916:18 *2578:6 0.00055766 +24 *2093:21 *2578:6 0.000122778 +25 *2318:53 *2578:6 6.85778e-05 +26 *2497:8 *2578:6 0.000967153 +27 *2497:14 *2578:6 4.1946e-06 +*RES +1 *21723:X *2578:5 13.7491 +2 *2578:5 *2578:6 119.764 +3 *2578:6 *2578:9 7.44181 +4 *2578:9 *21464:A 9.24915 +5 *2578:9 *3654:DIODE 12.7456 +*END + +*D_NET *2579 0.0157817 +*CONN +*I *3655:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21465:A I *D sky130_fd_sc_hd__inv_2 +*I *21724:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3655:DIODE 5.03687e-05 +2 *21465:A 0 +3 *21724:X 0.000690923 +4 *2579:14 5.03687e-05 +5 *2579:12 0.00408503 +6 *2579:11 0.00408503 +7 *2579:9 0.000690923 +8 *2579:9 *2719:16 9.22698e-05 +9 *2579:9 *2852:14 0.000113333 +10 *2579:9 *2859:14 0.000999796 +11 *2579:12 *2762:20 0.000289037 +12 *2579:12 *2762:24 0.000592957 +13 *2579:12 *2848:56 0.000164682 +14 *2579:12 *2860:12 0 +15 *2579:12 *3268:15 0 +16 *2579:12 *3270:8 0 +17 *21462:A *2579:9 5.41377e-05 +18 *23589:TE *2579:12 3.58525e-05 +19 *1157:65 *2579:12 0 +20 *1783:8 *3655:DIODE 0.000171288 +21 *2006:13 *2579:12 0.00239402 +22 *2105:27 *2579:12 0 +23 *2140:8 *2579:9 0.000858955 +24 *2448:8 *2579:12 0 +25 *2497:8 *2579:12 0 +26 *2497:14 *2579:12 0 +27 *2575:12 *2579:9 0.000362735 +*RES +1 *21724:X *2579:9 45.873 +2 *2579:9 *2579:11 4.5 +3 *2579:11 *2579:12 96.0942 +4 *2579:12 *2579:14 4.5 +5 *2579:14 *21465:A 9.24915 +6 *2579:14 *3655:DIODE 11.0817 +*END + +*D_NET *2580 0.0177258 +*CONN +*I *3656:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21466:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21725:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3656:DIODE 8.70808e-05 +2 *21466:A 0 +3 *21725:X 0.000163096 +4 *2580:19 0.000156652 +5 *2580:16 0.00319429 +6 *2580:14 0.00489254 +7 *2580:10 0.00193092 +8 *3656:DIODE *3103:21 6.99486e-05 +9 *3656:DIODE *3368:13 5.9852e-05 +10 *2580:10 *2723:13 6.08467e-05 +11 *2580:14 *2581:6 0 +12 *2580:14 *2651:19 2.03583e-05 +13 *2580:14 *2716:24 0 +14 *2580:14 *3264:8 0 +15 *2580:14 *3266:8 0 +16 *2580:16 *2762:14 0.000167158 +17 *2580:16 *3095:24 0.000459635 +18 *2580:16 *3096:36 3.44118e-05 +19 *2580:16 *3113:28 3.77568e-05 +20 *2580:16 *3122:16 0.00321966 +21 *2580:19 *3103:21 5.20545e-05 +22 *2580:19 *3368:13 0.000260374 +23 la_data_in_mprj[43] *2580:10 9.78551e-06 +24 *3914:DIODE *2580:14 0 +25 *4055:DIODE *2580:10 5.56461e-05 +26 *4198:DIODE *2580:10 5.31074e-05 +27 *4198:DIODE *2580:14 0.000154914 +28 *6109:DIODE *2580:14 1.56631e-05 +29 *836:8 *2580:10 6.90038e-05 +30 *1262:26 *2580:16 6.62461e-05 +31 *1267:12 *2580:14 0 +32 *1272:10 *3656:DIODE 0.000164843 +33 *1393:16 *2580:16 3.77568e-05 +34 *1520:8 *2580:14 3.46029e-05 +35 *1648:10 *2580:14 0.000650686 +36 *1650:11 *2580:14 5.45258e-05 +37 *1651:8 *2580:14 0 +38 *1654:15 *2580:14 0 +39 *1654:15 *2580:16 0.00021903 +40 *1786:11 *3656:DIODE 4.81015e-05 +41 *1889:24 *2580:16 0 +42 *2179:25 *2580:14 8.10824e-05 +43 *2181:49 *2580:14 7.97983e-05 +44 *2529:6 *2580:14 0.000819529 +45 *2529:6 *2580:16 0.000244887 +*RES +1 *21725:X *2580:10 18.357 +2 *2580:10 *2580:14 46.5815 +3 *2580:14 *2580:16 80.9375 +4 *2580:16 *2580:19 7.44181 +5 *2580:19 *21466:A 9.24915 +6 *2580:19 *3656:DIODE 13.3243 +*END + +*D_NET *2581 0.0140382 +*CONN +*I *3657:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21467:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21726:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3657:DIODE 4.43106e-05 +2 *21467:A 0 +3 *21726:X 0 +4 *2581:9 0.00020168 +5 *2581:6 0.00412021 +6 *2581:5 0.00396284 +7 *3657:DIODE *2866:15 0.000304216 +8 *3657:DIODE *3380:31 0.000202442 +9 *2581:6 *22898:A 7.50098e-05 +10 *2581:6 *23281:A 0 +11 *2581:6 *2582:16 0 +12 *2581:6 *2723:14 0 +13 *2581:6 *2940:28 7.15202e-06 +14 *2581:6 *2995:86 6.19725e-05 +15 *2581:6 *3051:24 1.27831e-06 +16 *2581:6 *3062:40 4.69495e-06 +17 *2581:6 *3073:41 1.9101e-05 +18 *2581:6 *3123:16 2.28524e-05 +19 *2581:6 *3124:17 3.57291e-06 +20 *2581:6 *3272:9 0.000827119 +21 *2581:6 *3410:10 0.00120502 +22 *2581:9 *2866:15 0.000472818 +23 *2581:9 *3380:31 0.000401978 +24 *3914:DIODE *2581:6 0 +25 *22304:A *2581:6 4.76283e-05 +26 *581:11 *2581:6 0.000229879 +27 *1261:19 *2581:6 0.000670187 +28 *1384:7 *2581:9 0.000194668 +29 *1522:10 *2581:6 0.00013521 +30 *1648:10 *2581:6 0 +31 *1650:11 *2581:6 0 +32 *1938:19 *2581:6 0.000778116 +33 *2015:34 *2581:6 0 +34 *2150:23 *2581:6 1.63288e-05 +35 *2177:30 *2581:6 2.7961e-05 +36 *2529:6 *2581:6 0 +37 *2580:14 *2581:6 0 +*RES +1 *21726:X *2581:5 13.7491 +2 *2581:5 *2581:6 96.9248 +3 *2581:6 *2581:9 14.6517 +4 *2581:9 *21467:A 9.24915 +5 *2581:9 *3657:DIODE 12.7456 +*END + +*D_NET *2582 0.0165845 +*CONN +*I *3658:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21468:A I *D sky130_fd_sc_hd__inv_2 +*I *21727:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3658:DIODE 9.69909e-05 +2 *21468:A 8.70662e-05 +3 *21727:X 0.00058777 +4 *2582:19 0.00025824 +5 *2582:16 0.00374629 +6 *2582:14 0.0048903 +7 *2582:10 0.00180597 +8 *3658:DIODE *2918:25 0.000164829 +9 *21468:A *2651:8 4.42033e-05 +10 *21468:A *2818:11 1.41689e-05 +11 *21468:A *2818:17 1.61631e-05 +12 *21468:A *2918:25 8.92069e-05 +13 *21468:A *2918:31 3.92941e-06 +14 *2582:10 *22899:A 0.000213445 +15 *2582:10 *2723:14 0 +16 *2582:10 *2865:16 3.59302e-05 +17 *2582:10 *2866:6 0 +18 *2582:10 *3275:8 1.97049e-05 +19 *2582:14 *2723:14 0.000360987 +20 *2582:14 *2866:6 0 +21 *2582:14 *3275:8 7.98342e-05 +22 *2582:16 *23281:A 9.28783e-05 +23 *2582:16 *2651:8 0.000258045 +24 *2582:16 *2723:14 0.000378041 +25 *2582:16 *2940:28 0 +26 *2582:16 *3040:28 0.00253771 +27 *2582:16 *3083:24 0 +28 *2582:16 *3410:10 0 +29 *2582:19 *2918:25 0.000113968 +30 la_data_in_mprj[44] *2582:10 8.62625e-06 +31 *4199:DIODE *2582:10 0.000177601 +32 *454:16 *2582:10 0.000164829 +33 *837:12 *2582:10 6.50727e-05 +34 *1380:22 *21468:A 1.9026e-05 +35 *1380:22 *2582:16 8.62625e-06 +36 *1380:25 *21468:A 3.07848e-05 +37 *1656:9 *2582:14 0.000160819 +38 *1656:9 *2582:16 0 +39 *1892:21 *2582:16 3.77659e-05 +40 *1938:19 *2582:16 0 +41 *2342:20 *2582:16 1.5714e-05 +42 *2581:6 *2582:16 0 +*RES +1 *21727:X *2582:10 28.846 +2 *2582:10 *2582:14 30.1424 +3 *2582:14 *2582:16 84.2596 +4 *2582:16 *2582:19 5.778 +5 *2582:19 *21468:A 21.2761 +6 *2582:19 *3658:DIODE 11.0817 +*END + +*D_NET *2583 0.0162069 +*CONN +*I *21469:A I *D sky130_fd_sc_hd__inv_2 +*I *3659:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21728:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21469:A 0.000130189 +2 *3659:DIODE 0 +3 *21728:X 0.00115995 +4 *2583:20 0.00465297 +5 *2583:18 0.00568273 +6 *21469:A *2795:15 0.000357884 +7 *21469:A *3113:29 7.39264e-05 +8 *21469:A *3380:32 5.91038e-05 +9 *2583:18 *5420:DIODE 4.86647e-05 +10 *2583:18 *22901:A 1.1246e-05 +11 *2583:18 *22902:A 8.92568e-06 +12 *2583:18 *2586:11 0.000423922 +13 *2583:18 *2853:19 0.000129827 +14 *2583:18 *2868:8 0.000464651 +15 *2583:18 *2869:16 3.89332e-06 +16 *2583:18 *2871:16 0 +17 *2583:18 *2961:35 6.14949e-06 +18 *2583:20 *2868:8 0.00165663 +19 *2583:20 *2871:16 0 +20 *2583:20 *2871:20 0 +21 *2583:20 *2871:38 0 +22 *2583:20 *3136:8 0.000243507 +23 *2583:20 *3380:32 0.000554249 +24 *2583:20 *3402:6 0 +25 la_data_in_mprj[47] *2583:18 7.50872e-05 +26 *4202:DIODE *2583:18 0.000167601 +27 *4565:DIODE *21469:A 0.000164815 +28 *4831:DIODE *2583:20 1.66923e-05 +29 *455:5 *2583:18 2.12377e-05 +30 *583:5 *2583:18 7.50872e-05 +31 *584:8 *2583:18 0 +32 *840:10 *2583:18 1.79807e-05 +33 *1276:9 *21469:A 0 +34 *1276:9 *2583:20 0 +35 *1389:10 *2583:20 0 +36 *1660:10 *2583:20 0 +*RES +1 *21728:X *2583:18 49.7313 +2 *2583:18 *2583:20 100.21 +3 *2583:20 *3659:DIODE 13.7491 +4 *2583:20 *21469:A 19.2169 +*END + +*D_NET *2584 0.00341003 +*CONN +*I *21352:A I *D sky130_fd_sc_hd__inv_4 +*I *3542:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21729:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21352:A 0.000116672 +2 *3542:DIODE 0 +3 *21729:X 0.00158834 +4 *2584:8 0.00170502 +*RES +1 *21729:X *2584:8 49.9792 +2 *2584:8 *3542:DIODE 13.7491 +3 *2584:8 *21352:A 16.4439 +*END + +*D_NET *2585 0.0487955 +*CONN +*I *6028:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23361:A I *D sky130_fd_sc_hd__nand2_1 +*I *21730:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6028:DIODE 4.27376e-05 +2 *23361:A 0.000123559 +3 *21730:X 0.00131287 +4 *2585:12 0.00361295 +5 *2585:11 0.00344665 +6 *2585:9 0.010506 +7 *2585:8 0.010506 +8 *2585:6 0.00131287 +9 *6028:DIODE *3198:27 6.3657e-05 +10 *23361:A *2675:16 6.97218e-05 +11 *23361:A *3198:19 6.50586e-05 +12 *23361:A *3198:27 0.000105636 +13 *2585:6 *3360:8 0 +14 *2585:9 *2618:9 0.0079183 +15 *2585:9 *2618:15 2.52396e-05 +16 *2585:9 *3028:24 0.00305501 +17 *2585:12 *5782:DIODE 0.000110675 +18 *2585:12 *2675:16 0.000272282 +19 *2585:12 *2797:26 0 +20 *2585:12 *2797:30 0 +21 *2585:12 *3179:6 0.000525697 +22 la_oenb_core[114] *2585:6 3.05632e-05 +23 *6029:DIODE *23361:A 0.000169041 +24 *22557:TE *2585:12 0 +25 *22573:TE *2585:12 1.48503e-05 +26 *23238:B *2585:12 0 +27 *23360:A *2585:12 9.80817e-06 +28 *1139:9 *2585:12 0 +29 *1160:42 *2585:12 0 +30 *1196:26 *2585:12 0 +31 *1459:10 *2585:12 0.000194344 +32 *1607:10 *23361:A 1.19749e-05 +33 *1607:10 *2585:12 3.49323e-05 +34 *1828:16 *2585:12 0 +35 *1869:16 *2585:6 0.000513823 +36 *2137:48 *2585:12 6.16595e-06 +37 *2312:27 *2585:12 2.45002e-05 +38 *2411:9 *2585:9 0.00126647 +39 *2411:15 *2585:9 0.0026358 +40 *2419:14 *2585:6 8.27589e-05 +41 *2503:14 *2585:9 0.000683029 +42 *2524:38 *23361:A 4.23858e-05 +43 *2573:12 *2585:12 0 +*RES +1 *21730:X *2585:6 47.1403 +2 *2585:6 *2585:8 4.5 +3 *2585:8 *2585:9 186.516 +4 *2585:9 *2585:11 4.5 +5 *2585:11 *2585:12 74.5011 +6 *2585:12 *23361:A 18.6623 +7 *2585:12 *6028:DIODE 14.4725 +*END + +*D_NET *2586 0.0181594 +*CONN +*I *3660:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21470:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21731:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3660:DIODE 0 +2 *21470:A 7.19966e-05 +3 *21731:X 0.000334924 +4 *2586:15 8.69931e-05 +5 *2586:12 0.00462394 +6 *2586:11 0.00494387 +7 *21470:A *2795:15 0.000403819 +8 *21470:A *3113:29 0.000423922 +9 *2586:11 *2587:14 0.0005768 +10 *2586:11 *2853:20 4.12533e-05 +11 *2586:11 *2869:16 0.000835907 +12 *2586:11 *2961:35 0.000111031 +13 *2586:12 *5878:DIODE 0.000120052 +14 *2586:12 *21600:A 2.53314e-05 +15 *2586:12 *23418:A 4.12977e-05 +16 *2586:12 *2587:27 0.000981354 +17 *2586:12 *2587:28 0 +18 *2586:12 *2588:12 0.000522403 +19 *2586:12 *2588:14 0 +20 *2586:12 *2727:12 4.77437e-05 +21 *2586:12 *2728:8 0.000341252 +22 *2586:12 *2870:12 0 +23 *2586:12 *2870:23 0 +24 *2586:12 *3109:40 1.84334e-05 +25 *2586:12 *3119:15 0.000288792 +26 *2586:12 *3125:22 0.00144706 +27 *2586:12 *3217:26 0 +28 *2586:12 *3389:22 0.000191722 +29 *2586:12 *3394:12 1.3239e-05 +30 *2586:15 *2795:15 0.000171273 +31 *2586:15 *3113:29 0.00015511 +32 la_data_in_mprj[48] *2586:12 4.0327e-05 +33 *3921:DIODE *2586:12 0.000103459 +34 *23290:B *2586:12 1.48819e-05 +35 *458:7 *2586:12 0.000137936 +36 *584:8 *2586:11 9.60366e-05 +37 *1390:18 *2586:12 4.49682e-05 +38 *1895:18 *2586:12 6.74744e-06 +39 *1897:12 *2586:12 0 +40 *1898:15 *2586:12 4.22196e-05 +41 *2003:38 *2586:12 2.92269e-05 +42 *2170:23 *2586:12 6.14756e-06 +43 *2172:19 *2586:12 6.39226e-05 +44 *2175:27 *2586:12 0.000174074 +45 *2184:13 *2586:12 0.000136827 +46 *2194:23 *2586:12 7.20173e-06 +47 *2368:34 *2586:12 1.19682e-05 +48 *2583:18 *2586:11 0.000423922 +*RES +1 *21731:X *2586:11 39.7242 +2 *2586:11 *2586:12 112.289 +3 *2586:12 *2586:15 6.3326 +4 *2586:15 *21470:A 14.4335 +5 *2586:15 *3660:DIODE 9.24915 +*END + +*D_NET *2587 0.0220953 +*CONN +*I *3661:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21471:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21732:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3661:DIODE 4.65145e-05 +2 *21471:A 0 +3 *21732:X 0.00103414 +4 *2587:31 0.000159788 +5 *2587:28 0.00225256 +6 *2587:27 0.00314515 +7 *2587:16 0.00263361 +8 *2587:14 0.0026619 +9 *3661:DIODE *2818:11 3.81056e-05 +10 *3661:DIODE *2829:9 0.000246176 +11 *2587:14 *2733:8 1.9101e-05 +12 *2587:14 *2869:16 0.000267146 +13 *2587:14 *2869:20 6.04912e-06 +14 *2587:14 *2870:12 0 +15 *2587:16 *5876:DIODE 4.72872e-05 +16 *2587:16 *2733:8 0.000287655 +17 *2587:16 *2869:20 0.000422387 +18 *2587:16 *2869:22 0.000595408 +19 *2587:16 *3029:46 0.00147144 +20 *2587:16 *3123:27 0.000854746 +21 *2587:27 *2870:23 0 +22 *2587:27 *2995:72 0.000207266 +23 *2587:28 *21600:A 0 +24 *2587:28 *2784:6 0 +25 *2587:28 *2795:6 0.000228319 +26 *2587:28 *3389:22 0 +27 *2587:31 *2818:11 0.000172001 +28 *2587:31 *2829:9 0.000504318 +29 la_data_in_mprj[49] *2587:14 1.79807e-05 +30 *4204:DIODE *2587:14 7.58739e-05 +31 *23526:TE *2587:27 0 +32 *457:7 *2587:14 2.12377e-05 +33 *585:5 *2587:14 7.50872e-05 +34 *842:8 *2587:14 2.36494e-05 +35 *1277:11 *3661:DIODE 0.000164829 +36 *1380:25 *2587:31 6.50727e-05 +37 *1390:18 *2587:28 9.07689e-05 +38 *1393:17 *2587:27 0.000112244 +39 *1884:12 *2587:27 5.57333e-05 +40 *1884:13 *2587:27 1.92172e-05 +41 *1885:15 *2587:27 3.93117e-06 +42 *1888:18 *2587:27 1.36898e-05 +43 *1890:16 *2587:27 5.41988e-05 +44 *1891:15 *2587:27 0 +45 *1892:13 *2587:27 2.40904e-05 +46 *1895:18 *2587:27 1.42932e-05 +47 *1896:15 *2587:27 0.000413266 +48 *1897:13 *2587:27 0.000164815 +49 *2017:13 *2587:27 0 +50 *2017:18 *2587:28 0.00139915 +51 *2166:26 *2587:27 0.000266832 +52 *2167:39 *2587:27 5.04829e-06 +53 *2172:19 *2587:27 2.69795e-05 +54 *2172:19 *2587:28 0 +55 *2172:20 *2587:27 6.08467e-05 +56 *2175:24 *2587:28 2.68013e-05 +57 *2188:30 *2587:27 0 +58 *2189:31 *2587:16 4.04556e-05 +59 *2586:11 *2587:14 0.0005768 +60 *2586:12 *2587:27 0.000981354 +61 *2586:12 *2587:28 0 +*RES +1 *21732:X *2587:14 43.7468 +2 *2587:14 *2587:16 53.9461 +3 *2587:16 *2587:27 48.4235 +4 *2587:27 *2587:28 46.6792 +5 *2587:28 *2587:31 10.2148 +6 *2587:31 *21471:A 9.24915 +7 *2587:31 *3661:DIODE 12.191 +*END + +*D_NET *2588 0.0112018 +*CONN +*I *21472:A I *D sky130_fd_sc_hd__inv_2 +*I *3662:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21733:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21472:A 0.000102939 +2 *3662:DIODE 0 +3 *21733:X 0.000391615 +4 *2588:16 0.000102939 +5 *2588:14 0.00289836 +6 *2588:12 0.00328997 +7 *2588:12 *2870:9 0.000317693 +8 *2588:12 *2871:15 0.000138226 +9 *2588:12 *2871:16 0 +10 *2588:14 *23418:A 3.38973e-05 +11 *2588:14 *2871:16 0 +12 *2588:14 *3125:22 4.79692e-05 +13 *2588:14 *3217:26 0.00142063 +14 la_data_in_mprj[48] *2588:12 0.000174175 +15 *4203:DIODE *2588:12 7.69735e-05 +16 *1997:23 *2588:14 0.000140882 +17 *2003:38 *2588:14 1.79486e-05 +18 *2165:28 *21472:A 0.00027573 +19 *2175:27 *2588:14 0.00124948 +20 *2586:12 *2588:12 0.000522403 +21 *2586:12 *2588:14 0 +*RES +1 *21733:X *2588:12 29.0239 +2 *2588:12 *2588:14 70.312 +3 *2588:14 *2588:16 4.5 +4 *2588:16 *3662:DIODE 9.24915 +5 *2588:16 *21472:A 12.625 +*END + +*D_NET *2589 0.0211136 +*CONN +*I *21473:A I *D sky130_fd_sc_hd__inv_2 +*I *3663:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21734:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21473:A 4.5903e-05 +2 *3663:DIODE 0.000170468 +3 *21734:X 0.00640594 +4 *2589:18 0.00662231 +5 *3663:DIODE *3107:9 0.0002646 +6 *21473:A *3119:22 6.22259e-05 +7 *21473:A *3388:14 5.65123e-05 +8 *2589:18 *2592:15 0 +9 *2589:18 *2863:17 2.99894e-05 +10 *2589:18 *2872:15 0.000221971 +11 *2589:18 *2875:28 0 +12 *2589:18 *2876:21 0 +13 *2589:18 *3062:40 0.0012318 +14 *2589:18 *3119:22 5.01835e-05 +15 *2589:18 *3388:14 5.81031e-05 +16 *3922:DIODE *2589:18 2.01653e-05 +17 *587:8 *2589:18 7.56859e-06 +18 *843:8 *2589:18 4.51062e-05 +19 *1150:9 *2589:18 0 +20 *2019:17 *2589:18 0.00569024 +21 *2451:27 *2589:18 0.000116253 +22 *2473:43 *2589:18 1.42881e-05 +*RES +1 *21734:X *2589:18 46.26 +2 *2589:18 *3663:DIODE 16.691 +3 *2589:18 *21473:A 15.1659 +*END + +*D_NET *2590 0.011055 +*CONN +*I *21428:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3618:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21735:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21428:A 0.000143628 +2 *3618:DIODE 0 +3 *21735:X 0.000955929 +4 *2590:16 0.00187829 +5 *2590:15 0.00269059 +6 *21428:A *2602:6 7.45404e-05 +7 *21428:A *2886:6 0 +8 *2590:15 *2720:8 0 +9 *2590:15 *2837:14 0 +10 *2590:15 *2849:12 7.84205e-05 +11 *2590:16 *2602:6 0.00431644 +12 *2590:16 *2886:6 0 +13 la_data_in_mprj[5] *2590:15 0.00017419 +14 *4065:DIODE *2590:15 8.19079e-05 +15 *5741:DIODE *2590:15 6.50727e-05 +16 *588:20 *2590:15 0.000111708 +17 *844:11 *2590:15 0.000269694 +18 *1144:10 *2590:16 0 +19 *1280:11 *21428:A 6.50727e-05 +20 *1631:11 *2590:16 0.000101148 +21 *1653:11 *2590:16 4.83622e-05 +*RES +1 *21735:X *2590:15 48.3475 +2 *2590:15 *2590:16 71.5944 +3 *2590:16 *3618:DIODE 13.7491 +4 *2590:16 *21428:A 17.5531 +*END + +*D_NET *2591 0.0129692 +*CONN +*I *21474:A I *D sky130_fd_sc_hd__inv_2 +*I *3664:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21736:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21474:A 4.41368e-05 +2 *3664:DIODE 0 +3 *21736:X 0.000581767 +4 *2591:19 6.81596e-05 +5 *2591:16 0.00320746 +6 *2591:14 0.0037652 +7 *2591:14 *5422:DIODE 0 +8 *2591:14 *22903:A 0 +9 *2591:14 *22904:A 0 +10 *2591:14 *22906:A 3.45546e-05 +11 *2591:14 *2731:8 0.000220183 +12 *2591:14 *2872:15 5.22654e-06 +13 *2591:14 *2875:10 1.49927e-05 +14 *2591:14 *3279:8 0 +15 *2591:14 *3282:8 1.03607e-05 +16 *2591:16 *2869:20 0 +17 *2591:16 *2869:22 0 +18 *2591:16 *2869:28 0.00159865 +19 *2591:16 *2870:32 5.1493e-06 +20 *2591:16 *2875:21 0 +21 *2591:16 *3162:52 0.00050824 +22 *2591:16 *3279:8 0 +23 *2591:16 *3282:8 0 +24 la_data_in_mprj[50] *2591:14 5.93375e-06 +25 *3922:DIODE *2591:14 3.9739e-05 +26 *3924:DIODE *2591:14 6.75138e-05 +27 *4205:DIODE *2591:14 0.000379338 +28 *21876:A *2591:14 4.0752e-05 +29 *461:8 *2591:14 2.65831e-05 +30 *587:8 *2591:14 2.41483e-05 +31 *843:8 *2591:14 6.68422e-05 +32 *1392:13 *21474:A 4.0752e-05 +33 *1392:13 *2591:19 4.58003e-05 +34 *1665:12 *2591:16 0.000161503 +35 *1916:12 *21474:A 0.000113968 +36 *1916:12 *2591:19 0.000113968 +37 *1971:22 *2591:16 7.56142e-05 +38 *1982:13 *2591:16 7.83506e-06 +39 *2012:18 *2591:16 0.000385095 +40 *2147:49 *2591:16 5.09144e-05 +41 *2178:13 *2591:16 0.00110877 +42 *2332:58 *2591:16 0.000150081 +*RES +1 *21736:X *2591:14 31.1126 +2 *2591:14 *2591:16 84.8458 +3 *2591:16 *2591:19 5.778 +4 *2591:19 *3664:DIODE 9.24915 +5 *2591:19 *21474:A 11.1059 +*END + +*D_NET *2592 0.0133281 +*CONN +*I *3665:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21475:A I *D sky130_fd_sc_hd__inv_2 +*I *21737:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3665:DIODE 0 +2 *21475:A 2.5267e-05 +3 *21737:X 0 +4 *2592:20 0.00113466 +5 *2592:15 0.00394933 +6 *2592:5 0.00283994 +7 *2592:15 *23410:A 0 +8 *2592:15 *2730:8 0 +9 *2592:15 *2876:21 8.71876e-05 +10 *2592:20 *2876:25 0 +11 *2592:20 *2876:30 1.42855e-05 +12 *2592:20 *2876:42 5.88241e-05 +13 la_data_in_mprj[52] *2592:15 0 +14 *3925:DIODE *2592:15 0 +15 *4067:DIODE *2592:15 0.000116986 +16 *4209:DIODE *2592:15 0 +17 *590:5 *2592:15 0.000221185 +18 *846:8 *2592:15 3.67528e-06 +19 *1169:63 *2592:15 6.03122e-05 +20 *1282:8 *21475:A 0.000163418 +21 *1384:22 *2592:20 0 +22 *1938:11 *2592:20 0 +23 *1947:22 *2592:20 0.000536149 +24 *1948:14 *2592:20 0.000210627 +25 *2019:17 *2592:15 0 +26 *2042:19 *2592:15 0.00248219 +27 *2061:19 *2592:15 0.00097206 +28 *2101:20 *2592:15 0 +29 *2139:13 *2592:15 2.22923e-05 +30 *2176:42 *21475:A 0.000163418 +31 *2179:25 *2592:15 0.00017686 +32 *2325:16 *2592:15 1.43499e-05 +33 *2378:17 *2592:15 7.51272e-05 +34 *2589:18 *2592:15 0 +*RES +1 *21737:X *2592:5 13.7491 +2 *2592:5 *2592:15 48.6121 +3 *2592:15 *2592:20 30.2558 +4 *2592:20 *21475:A 11.0817 +5 *2592:20 *3665:DIODE 9.24915 +*END + +*D_NET *2593 0.0125756 +*CONN +*I *21476:A I *D sky130_fd_sc_hd__inv_2 +*I *3666:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21738:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21476:A 6.26024e-05 +2 *3666:DIODE 0 +3 *21738:X 0 +4 *2593:18 0.00175649 +5 *2593:11 0.00348996 +6 *2593:5 0.00179607 +7 *2593:11 *5426:DIODE 8.29304e-05 +8 *2593:11 *2876:21 3.39827e-05 +9 *2593:11 *2877:15 0 +10 *2593:11 *3285:10 1.36691e-05 +11 *2593:18 *2876:21 0.00263981 +12 *2593:18 *3128:26 0.000298483 +13 *3926:DIODE *2593:11 0.000127461 +14 *463:9 *2593:11 1.44467e-05 +15 *591:12 *2593:11 1.07248e-05 +16 *847:5 *2593:11 0 +17 *1384:22 *21476:A 1.49589e-05 +18 *1384:22 *2593:18 1.05746e-05 +19 *2011:38 *2593:11 4.83691e-05 +20 *2042:19 *2593:18 0.000228885 +21 *2101:20 *2593:11 0 +22 *2179:25 *2593:11 0.000237503 +23 *2181:49 *2593:18 0.00105764 +24 *2318:46 *21476:A 0.000171288 +25 *2322:20 *2593:18 5.69065e-05 +26 *2332:48 *2593:18 6.21462e-05 +27 *2344:46 *21476:A 0.000171288 +28 *2378:17 *2593:11 0.000189401 +*RES +1 *21738:X *2593:5 13.7491 +2 *2593:5 *2593:11 42.2296 +3 *2593:11 *2593:18 12.6068 +4 *2593:18 *3666:DIODE 13.7491 +5 *2593:18 *21476:A 16.5832 +*END + +*D_NET *2594 0.0181716 +*CONN +*I *3667:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21477:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21739:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3667:DIODE 0 +2 *21477:A 0.000234116 +3 *21739:X 0.00463957 +4 *2594:20 0.00487369 +5 *21477:A *3116:25 6.3657e-05 +6 *21477:A *3116:31 2.77564e-05 +7 *21477:A *3133:17 4.03362e-05 +8 *21477:A *3133:21 1.80122e-05 +9 *2594:20 *2872:15 9.22232e-05 +10 *2594:20 *2878:19 0.000793426 +11 *2594:20 *2879:33 2.62751e-05 +12 *2594:20 *2883:19 0.000194944 +13 *2594:20 *3142:11 1.79239e-05 +14 *3927:DIODE *2594:20 3.93117e-06 +15 *464:5 *2594:20 9.34396e-06 +16 *592:5 *2594:20 3.91685e-05 +17 *1150:9 *2594:20 0 +18 *1381:35 *2594:20 1.9101e-05 +19 *1920:7 *21477:A 0 +20 *1931:7 *2594:20 1.5714e-05 +21 *1933:7 *21477:A 7.01586e-06 +22 *2018:19 *2594:20 0.000855796 +23 *2063:29 *2594:20 0.000465583 +24 *2085:20 *2594:20 0.00160262 +25 *2192:25 *2594:20 0 +26 *2194:23 *2594:20 0.000176623 +27 *2195:25 *2594:20 3.2389e-06 +28 *2196:25 *2594:20 0.00389322 +29 *2341:45 *2594:20 5.83451e-05 +*RES +1 *21739:X *2594:20 45.0909 +2 *2594:20 *21477:A 22.1924 +3 *2594:20 *3667:DIODE 9.24915 +*END + +*D_NET *2595 0.013974 +*CONN +*I *3668:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21478:A I *D sky130_fd_sc_hd__inv_2 +*I *21740:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3668:DIODE 0.000136584 +2 *21478:A 0 +3 *21740:X 8.47774e-05 +4 *2595:20 0.000211258 +5 *2595:14 0.0031795 +6 *2595:7 0.0031896 +7 *2595:14 *22910:A 7.69423e-05 +8 *2595:14 *3073:33 9.5725e-05 +9 *2595:14 *3287:12 4.48332e-05 +10 *2595:14 *3288:9 0 +11 *4070:DIODE *2595:14 5.68225e-06 +12 *4212:DIODE *2595:14 0 +13 *4955:DIODE *2595:14 0.000284998 +14 *6151:DIODE *2595:14 2.2451e-05 +15 *23166:A *2595:14 1.24189e-05 +16 *593:5 *2595:14 5.3518e-05 +17 *849:8 *2595:14 7.09666e-06 +18 *1160:55 *2595:20 5.60804e-05 +19 *1541:8 *2595:14 0.000113916 +20 *1668:17 *2595:14 1.5296e-05 +21 *1669:6 *2595:14 3.59505e-05 +22 *1912:20 *3668:DIODE 0.000108217 +23 *1912:20 *2595:20 5.4678e-05 +24 *1948:32 *3668:DIODE 6.08467e-05 +25 *2018:19 *2595:14 0.00259318 +26 *2023:37 *2595:14 0 +27 *2024:29 *2595:14 0.00134858 +28 *2027:17 *2595:14 6.92257e-05 +29 *2135:20 *2595:14 4.49154e-05 +30 *2139:7 *2595:14 0 +31 *2195:25 *2595:14 0.00159239 +32 *2196:25 *2595:14 0.000284924 +33 *2332:48 *3668:DIODE 0.00011884 +34 *2332:48 *2595:20 5.36085e-05 +35 *2335:34 *2595:20 1.79334e-05 +*RES +1 *21740:X *2595:7 15.5817 +2 *2595:7 *2595:14 49.7098 +3 *2595:14 *2595:20 5.04267 +4 *2595:20 *21478:A 13.7491 +5 *2595:20 *3668:DIODE 17.135 +*END + +*D_NET *2596 0.0442042 +*CONN +*I *6030:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23362:A I *D sky130_fd_sc_hd__nand2_1 +*I *21741:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6030:DIODE 4.18965e-05 +2 *23362:A 4.72918e-05 +3 *21741:X 0.00112616 +4 *2596:18 0.00341782 +5 *2596:17 0.00332863 +6 *2596:15 0.0014149 +7 *2596:13 0.00143794 +8 *2596:11 0.00337227 +9 *2596:10 0.0044754 +10 *23362:A *2931:56 0 +11 *2596:10 *3080:16 0 +12 *2596:10 *3371:14 0 +13 *2596:11 *3364:5 0.0026759 +14 *2596:15 *3139:9 0.00101414 +15 *2596:18 *21506:A 1.95409e-05 +16 *2596:18 *21508:A 0 +17 *2596:18 *2607:22 0 +18 *2596:18 *2630:18 0.000296477 +19 *2596:18 *2632:68 0 +20 *2596:18 *2931:56 0 +21 *2596:18 *3194:8 0.00173945 +22 *2596:18 *3470:14 0.000431945 +23 la_oenb_core[115] *2596:10 7.50722e-05 +24 *3940:DIODE *2596:10 1.48017e-05 +25 *6288:DIODE *2596:11 0.000167076 +26 *21538:A *2596:18 0.000189816 +27 *23491:TE *2596:11 1.41291e-05 +28 *1318:9 *2596:18 0.000425817 +29 *2358:9 *2596:15 0.00679199 +30 *2459:9 *2596:11 0.000830827 +31 *2459:9 *2596:15 0.0102767 +32 *2496:11 *2596:11 6.14944e-05 +33 *2517:35 *6030:DIODE 6.50586e-05 +34 *2527:20 *23362:A 7.50722e-05 +35 *2527:20 *2596:18 0.000376582 +*RES +1 *21741:X *2596:10 44.0587 +2 *2596:10 *2596:11 93.6195 +3 *2596:11 *2596:13 0.578717 +4 *2596:13 *2596:15 111.367 +5 *2596:15 *2596:17 4.5 +6 *2596:17 *2596:18 89.035 +7 *2596:18 *23362:A 15.1659 +8 *2596:18 *6030:DIODE 14.4725 +*END + +*D_NET *2597 0.0259606 +*CONN +*I *21479:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3669:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21742:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21479:A 0.000182789 +2 *3669:DIODE 0 +3 *21742:X 0.00336965 +4 *2597:18 0.000533651 +5 *2597:17 0.00372051 +6 *21479:A *2870:76 3.85412e-05 +7 *21479:A *2887:33 0.000216805 +8 *2597:17 *2599:18 0.000212718 +9 *2597:17 *2863:17 5.13735e-05 +10 *2597:17 *2863:24 0 +11 *2597:17 *2872:15 0.00236543 +12 *2597:17 *2872:21 0.00729624 +13 *2597:17 *2878:19 0.000405099 +14 *2597:17 *2887:18 0.00686685 +15 *2597:18 *2887:33 0.000309319 +16 *466:8 *2597:17 2.90758e-05 +17 *594:22 *2597:17 8.05972e-06 +18 *1286:9 *21479:A 9.12416e-06 +19 *1940:35 *2597:17 0.000175733 +20 *2341:45 *2597:17 0.000169628 +*RES +1 *21742:X *2597:17 43.4073 +2 *2597:17 *2597:18 7.02265 +3 *2597:18 *3669:DIODE 13.7491 +4 *2597:18 *21479:A 18.4879 +*END + +*D_NET *2598 0.0198328 +*CONN +*I *3670:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21480:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21743:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3670:DIODE 0.000436212 +2 *21480:A 8.35533e-05 +3 *21743:X 4.88583e-05 +4 *2598:20 0.00271939 +5 *2598:8 0.00449149 +6 *2598:7 0.00234072 +7 *2598:8 *6146:DIODE 2.54809e-05 +8 *2598:8 *6152:DIODE 0 +9 *2598:8 *22912:A 0.000225324 +10 *2598:8 *23292:A 0 +11 *2598:8 *23426:A 0.000209495 +12 *2598:8 *2738:8 0 +13 *2598:8 *2879:8 0 +14 *2598:8 *2881:11 0 +15 *2598:8 *3289:9 0 +16 *2598:8 *3306:34 0.000184797 +17 *2598:20 *2879:33 0.00112642 +18 *4071:DIODE *2598:8 8.91956e-05 +19 *4072:DIODE *2598:8 1.47102e-05 +20 *21743:A *2598:7 3.072e-06 +21 *22451:B *21480:A 2.92771e-05 +22 *22451:B *2598:20 0.0001495 +23 *1169:63 *2598:20 0.000462701 +24 *1171:26 *2598:20 0.00142509 +25 *1392:30 *21480:A 1.72594e-05 +26 *1667:8 *2598:8 0 +27 *1912:20 *2598:20 0.000882724 +28 *1915:7 *3670:DIODE 1.5714e-05 +29 *1915:7 *21480:A 1.88878e-05 +30 *1915:7 *2598:20 0.000162847 +31 *1917:7 *2598:20 0.000509182 +32 *1927:16 *2598:20 7.67208e-05 +33 *1946:22 *21480:A 6.3657e-05 +34 *1948:32 *3670:DIODE 6.08467e-05 +35 *1948:32 *21480:A 2.57986e-05 +36 *1948:43 *3670:DIODE 3.45034e-05 +37 *1959:7 *2598:8 8.02435e-05 +38 *1988:7 *2598:8 0.000484619 +39 *2002:16 *2598:20 0.000436969 +40 *2073:22 *2598:20 0.00186601 +41 *2079:20 *2598:20 0.00042976 +42 *2085:20 *2598:20 3.23488e-05 +43 *2145:11 *2598:8 0 +44 *2148:19 *2598:8 0 +45 *2157:23 *2598:20 4.49767e-05 +46 *2200:25 *3670:DIODE 0.000410961 +47 *2332:34 *2598:20 0.000113478 +*RES +1 *21743:X *2598:7 14.4725 +2 *2598:7 *2598:8 49.3784 +3 *2598:8 *2598:20 29.4767 +4 *2598:20 *21480:A 16.0969 +5 *2598:20 *3670:DIODE 21.13 +*END + +*D_NET *2599 0.023162 +*CONN +*I *21481:A I *D sky130_fd_sc_hd__inv_2 +*I *3671:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21744:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21481:A 0.000144748 +2 *3671:DIODE 0 +3 *21744:X 0.00618446 +4 *2599:18 0.00632921 +5 *21481:A *2929:9 1.59075e-05 +6 *21481:A *2973:15 0.000247443 +7 *21481:A *3397:17 1.82679e-05 +8 *2599:18 *2863:17 1.55376e-05 +9 *2599:18 *2863:24 0.00724337 +10 *2599:18 *2872:21 0 +11 *2599:18 *2878:19 0.000230571 +12 *2599:18 *2882:15 0.000168872 +13 *2599:18 *2887:18 0.000606421 +14 *2599:18 *2929:9 4.20184e-06 +15 *2599:18 *3073:33 7.74612e-05 +16 *3932:DIODE *2599:18 3.67528e-06 +17 *468:5 *2599:18 7.20173e-06 +18 *596:5 *2599:18 1.91391e-05 +19 *1150:9 *2599:18 0 +20 *1909:7 *21481:A 6.29351e-05 +21 *1909:7 *2599:18 1.91391e-05 +22 *2028:17 *2599:18 0.00102788 +23 *2055:17 *2599:18 0 +24 *2159:30 *2599:18 0.000259281 +25 *2174:19 *2599:18 3.71452e-05 +26 *2367:23 *2599:18 0 +27 *2461:47 *2599:18 0.000111724 +28 *2463:38 *2599:18 0.000114668 +29 *2597:17 *2599:18 0.000212718 +*RES +1 *21744:X *2599:18 44.4814 +2 *2599:18 *3671:DIODE 13.7491 +3 *2599:18 *21481:A 18.1077 +*END + +*D_NET *2600 0.0170464 +*CONN +*I *21482:A I *D sky130_fd_sc_hd__inv_2 +*I *3672:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21745:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21482:A 1.30387e-05 +2 *3672:DIODE 1.24136e-05 +3 *21745:X 0.00125044 +4 *2600:26 0.00240972 +5 *2600:17 0.0036347 +6 *3672:DIODE *2881:23 0.000118166 +7 *21482:A *2881:23 4.66492e-05 +8 *2600:17 *22914:A 0.000140942 +9 *2600:17 *23287:A 2.84437e-05 +10 *2600:17 *2730:14 0.000493732 +11 *2600:17 *2742:7 2.054e-05 +12 *2600:17 *2742:8 0 +13 *2600:17 *2883:19 6.71379e-05 +14 *2600:17 *3291:12 2.34962e-05 +15 *2600:26 *2739:21 0.00290921 +16 *2600:26 *2880:20 0.00451101 +17 la_data_in_mprj[58] *2600:17 0.000162739 +18 *4215:DIODE *2600:17 3.12044e-05 +19 *469:10 *2600:17 0.000142797 +20 *1903:7 *2600:26 0 +21 *1946:22 *3672:DIODE 0.000118166 +22 *1946:22 *21482:A 6.08467e-05 +23 *2075:27 *2600:26 3.15532e-05 +24 *2155:23 *2600:26 0.000256838 +25 *2156:16 *2600:26 0.000164926 +26 *2189:31 *2600:17 7.49751e-05 +27 *2326:8 *2600:26 4.30499e-05 +28 *2335:34 *2600:26 0.000279664 +*RES +1 *21745:X *2600:17 43.2988 +2 *2600:17 *2600:26 24.7542 +3 *2600:26 *3672:DIODE 10.5271 +4 *2600:26 *21482:A 9.97254 +*END + +*D_NET *2601 0.0240372 +*CONN +*I *3673:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21483:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21746:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3673:DIODE 0 +2 *21483:A 0.000208905 +3 *21746:X 0.00582957 +4 *2601:20 0.00603847 +5 *21483:A *3144:5 4.03381e-05 +6 *2601:20 *2604:18 0.000381455 +7 *2601:20 *2605:20 8.22964e-06 +8 *2601:20 *2885:18 0.00140881 +9 *2601:20 *2887:18 0.00132899 +10 *2601:20 *2888:20 0.00427195 +11 *2601:20 *3051:24 0 +12 *2601:20 *3123:50 6.03122e-05 +13 *2601:20 *3124:17 0 +14 *2601:20 *3398:8 5.11321e-05 +15 *2601:20 *3404:12 5.57273e-05 +16 *470:7 *2601:20 7.20173e-06 +17 *598:9 *2601:20 1.91391e-05 +18 *1150:9 *2601:20 0 +19 *2058:17 *2601:20 0 +20 *2064:23 *2601:20 0.00271381 +21 *2068:23 *2601:20 0.000424749 +22 *2186:47 *2601:20 0.000151039 +23 *2201:23 *2601:20 0.00097709 +24 *2457:41 *2601:20 6.03122e-05 +*RES +1 *21746:X *2601:20 49.2473 +2 *2601:20 *21483:A 12.625 +3 *2601:20 *3673:DIODE 9.24915 +*END + +*D_NET *2602 0.0130083 +*CONN +*I *3619:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21429:A I *D sky130_fd_sc_hd__inv_2 +*I *21747:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3619:DIODE 5.58623e-05 +2 *21429:A 0 +3 *21747:X 0 +4 *2602:8 5.58623e-05 +5 *2602:6 0.00226483 +6 *2602:5 0.00226483 +7 *3619:DIODE *3102:9 7.48797e-05 +8 *2602:6 *22916:A 0 +9 *2602:6 *23217:A 0.000252327 +10 *2602:6 *2720:8 0.000481825 +11 *2602:6 *2793:14 0.0018414 +12 *2602:6 *2793:42 0.000268184 +13 *2602:6 *2886:6 0 +14 *2602:6 *3293:8 0 +15 *3935:DIODE *2602:6 7.50722e-05 +16 *4077:DIODE *2602:6 1.79672e-05 +17 *4219:DIODE *2602:6 0 +18 *4857:DIODE *2602:6 1.9101e-05 +19 *21428:A *2602:6 7.45404e-05 +20 *22455:B *2602:6 0.000181135 +21 *855:5 *2602:6 0 +22 *1280:11 *2602:6 0.000363685 +23 *1631:11 *2602:6 3.71118e-05 +24 *1803:13 *2602:6 0.000233911 +25 *2317:13 *2602:6 0.000129357 +26 *2590:16 *2602:6 0.00431644 +*RES +1 *21747:X *2602:5 13.7491 +2 *2602:5 *2602:6 111.874 +3 *2602:6 *2602:8 4.5 +4 *2602:8 *21429:A 9.24915 +5 *2602:8 *3619:DIODE 11.0817 +*END + +*D_NET *2603 0.0144767 +*CONN +*I *21484:A I *D sky130_fd_sc_hd__inv_2 +*I *3674:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21748:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21484:A 0.000128769 +2 *3674:DIODE 0 +3 *21748:X 0 +4 *2603:20 0.00339939 +5 *2603:11 0.00490479 +6 *2603:5 0.00163417 +7 *2603:11 *22917:A 0.000194686 +8 *2603:11 *3273:33 5.76799e-05 +9 *2603:11 *3294:8 3.92275e-05 +10 *2603:20 *2885:18 0 +11 *2603:20 *3017:19 0.000182281 +12 *2603:20 *3317:40 5.53093e-05 +13 *5696:DIODE *2603:11 5.50291e-05 +14 *21890:A *2603:11 7.81379e-05 +15 *600:5 *2603:11 0.00015409 +16 *1672:11 *2603:11 0 +17 *1674:9 *2603:11 0 +18 *1676:8 *2603:11 0.000355646 +19 *1899:9 *2603:20 0 +20 *1923:24 *21484:A 0.000333397 +21 *1952:32 *2603:20 0.000182281 +22 *1980:9 *2603:11 0 +23 *1986:13 *2603:11 0.000129828 +24 *2026:37 *2603:20 0.000413144 +25 *2030:16 *2603:20 4.81018e-05 +26 *2046:19 *2603:20 0.000659257 +27 *2054:19 *2603:20 0 +28 *2117:13 *2603:20 0.001098 +29 *2119:25 *2603:20 0.000309007 +30 *2123:19 *2603:20 0 +31 *2202:33 *2603:11 6.44502e-05 +32 *2203:24 *2603:11 0 +*RES +1 *21748:X *2603:5 13.7491 +2 *2603:5 *2603:11 42.0001 +3 *2603:11 *2603:20 28.3992 +4 *2603:20 *3674:DIODE 9.24915 +5 *2603:20 *21484:A 13.7342 +*END + +*D_NET *2604 0.0225709 +*CONN +*I *3675:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21485:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21749:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3675:DIODE 4.25719e-05 +2 *21485:A 0 +3 *21749:X 0.00472895 +4 *2604:20 4.25719e-05 +5 *2604:18 0.00472895 +6 *3675:DIODE *3133:31 0.00031827 +7 *3675:DIODE *3431:11 0.000264612 +8 *3675:DIODE *3431:13 2.15348e-05 +9 *2604:18 *2605:20 0.00176183 +10 *2604:18 *2608:18 0.00731395 +11 *2604:18 *2966:15 0.00010211 +12 *2604:18 *3134:17 5.71007e-05 +13 *2604:18 *3217:25 5.76799e-05 +14 *473:10 *2604:18 2.93099e-05 +15 *601:5 *2604:18 0.000105504 +16 *1293:13 *2604:18 4.69495e-06 +17 *2029:30 *2604:18 0.000160599 +18 *2034:20 *2604:18 0.00133044 +19 *2065:27 *2604:18 1.39989e-05 +20 *2072:17 *2604:18 0.000256444 +21 *2080:14 *2604:18 0.000110442 +22 *2133:28 *2604:18 0 +23 *2206:32 *2604:18 0.000737881 +24 *2601:20 *2604:18 0.000381455 +*RES +1 *21749:X *2604:18 45.604 +2 *2604:18 *2604:20 4.5 +3 *2604:20 *21485:A 9.24915 +4 *2604:20 *3675:DIODE 12.7456 +*END + +*D_NET *2605 0.0213103 +*CONN +*I *3676:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21486:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21750:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3676:DIODE 0.000281797 +2 *21486:A 0 +3 *21750:X 0.00548746 +4 *2605:20 0.00576925 +5 *3676:DIODE *3017:10 7.52574e-06 +6 *3676:DIODE *3426:7 9.14669e-05 +7 *3676:DIODE *3426:16 0.000171288 +8 *2605:20 *2606:29 0.000261329 +9 *2605:20 *2608:18 0 +10 *2605:20 *2890:22 0.00104696 +11 *2605:20 *3017:10 7.22263e-05 +12 *474:5 *2605:20 0 +13 *602:11 *2605:20 7.46124e-05 +14 *1150:9 *2605:20 0 +15 *1413:9 *3676:DIODE 0.000213725 +16 *1805:12 *2605:20 7.57672e-05 +17 *1934:11 *2605:20 5.60804e-05 +18 *1937:28 *2605:20 6.03237e-05 +19 *1986:19 *2605:20 0.00214662 +20 *2033:23 *2605:20 9.69769e-05 +21 *2035:20 *2605:20 0 +22 *2052:29 *2605:20 0.000124225 +23 *2125:19 *2605:20 0 +24 *2191:17 *2605:20 0.00343462 +25 *2209:27 *2605:20 6.79938e-05 +26 *2601:20 *2605:20 8.22964e-06 +27 *2604:18 *2605:20 0.00176183 +*RES +1 *21750:X *2605:20 48.6213 +2 *2605:20 *21486:A 9.24915 +3 *2605:20 *3676:DIODE 25.4979 +*END + +*D_NET *2606 0.0268263 +*CONN +*I *3677:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21487:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21751:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3677:DIODE 3.10971e-05 +2 *21487:A 0 +3 *21751:X 0.00448283 +4 *2606:34 0.000298019 +5 *2606:29 0.00474976 +6 *3677:DIODE *3147:15 0.000268824 +7 *2606:29 *2608:18 0.000106365 +8 *2606:29 *2610:22 0.000449124 +9 *2606:29 *2611:21 0.00170893 +10 *2606:29 *2890:22 0.00368876 +11 *2606:29 *2891:18 0.00603108 +12 *2606:29 *2892:19 0.000381614 +13 *2606:29 *3145:11 5.93953e-05 +14 *2606:29 *3408:19 0.00370997 +15 la_data_in_mprj[66] *2606:29 1.31715e-05 +16 *3939:DIODE *2606:29 4.89469e-06 +17 *475:5 *2606:29 9.34396e-06 +18 *603:5 *2606:29 4.51062e-05 +19 *861:8 *2606:29 8.63875e-05 +20 *1150:9 *2606:29 0 +21 *1952:32 *2606:29 6.21462e-05 +22 *2207:22 *2606:29 0.00010929 +23 *2446:14 *2606:34 0 +24 *2470:35 *3677:DIODE 0.000268824 +25 *2605:20 *2606:29 0.000261329 +*RES +1 *21751:X *2606:29 49.109 +2 *2606:29 *2606:34 12.3999 +3 *2606:34 *21487:A 9.24915 +4 *2606:34 *3677:DIODE 12.191 +*END + +*D_NET *2607 0.0500442 +*CONN +*I *23363:A I *D sky130_fd_sc_hd__nand2_1 +*I *6032:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21752:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23363:A 0.000120951 +2 *6032:DIODE 0 +3 *21752:X 0.000368143 +4 *2607:25 0.000257269 +5 *2607:22 0.00450396 +6 *2607:20 0.00495816 +7 *2607:17 0.00150361 +8 *2607:9 0.00499632 +9 *2607:8 0.00445138 +10 *23363:A *2797:22 6.76686e-05 +11 *2607:8 *22724:A 0 +12 *2607:8 *3079:14 0 +13 *2607:9 *5484:DIODE 6.50586e-05 +14 *2607:9 *6560:DIODE 0.000348193 +15 *2607:9 *6564:DIODE 0.000589703 +16 *2607:9 *3066:22 0.00327589 +17 *2607:9 *3069:13 0.00482573 +18 *2607:9 *3478:470 0.000717713 +19 *2607:9 *3478:490 0.000199527 +20 *2607:17 *3193:20 6.22259e-05 +21 *2607:20 *3190:28 0 +22 *2607:22 *21508:A 1.53606e-05 +23 *2607:22 *2632:68 0.00059427 +24 *2607:22 *2797:30 0 +25 *2607:22 *2931:77 0.000859585 +26 *2607:22 *3067:8 0.00036774 +27 *2607:22 *3179:6 0 +28 *2607:22 *3190:28 0 +29 *2607:22 *3470:14 0 +30 la_oenb_core[116] *2607:8 5.22654e-06 +31 *3951:DIODE *2607:8 3.00073e-05 +32 *6528:DIODE *2607:9 0.000252977 +33 *6531:DIODE *2607:9 0.00026397 +34 *1215:6 *2607:22 8.94181e-05 +35 *1318:9 *2607:22 0 +36 *1608:6 *2607:22 0 +37 *1610:10 *23363:A 9.32983e-05 +38 *1610:10 *2607:25 0.000217937 +39 *1866:45 *2607:9 2.16355e-05 +40 *1878:15 *2607:17 0.00170927 +41 *2283:18 *2607:9 0.00010238 +42 *2350:20 *2607:20 0.000137414 +43 *2350:20 *2607:22 0.00026617 +44 *2353:27 *2607:17 1.75682e-05 +45 *2416:7 *2607:9 0.000208826 +46 *2433:10 *2607:20 9.24241e-05 +47 *2498:8 *2607:20 0.000202006 +48 *2524:28 *23363:A 6.76686e-05 +49 *2525:24 *2607:22 0.000594109 +50 *2540:15 *2607:9 0.00428566 +51 *2540:17 *2607:9 0.00797834 +52 *2540:17 *2607:17 0.000259407 +53 *2596:18 *2607:22 0 +*RES +1 *21752:X *2607:8 26.7252 +2 *2607:8 *2607:9 182.633 +3 *2607:9 *2607:17 40.0427 +4 *2607:17 *2607:20 14.5705 +5 *2607:20 *2607:22 104.436 +6 *2607:22 *2607:25 6.88721 +7 *2607:25 *6032:DIODE 9.24915 +8 *2607:25 *23363:A 20.9439 +*END + +*D_NET *2608 0.0283209 +*CONN +*I *21488:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3678:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21753:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21488:A 6.05666e-05 +2 *3678:DIODE 0 +3 *21753:X 0.00524307 +4 *2608:20 6.05666e-05 +5 *2608:18 0.00524307 +6 *21488:A *3130:35 0.000111708 +7 *2608:18 *2890:22 0.000115313 +8 *2608:18 *3123:61 0.00051327 +9 *2608:18 *3124:28 1.5714e-05 +10 *2608:18 *3132:17 1.91391e-05 +11 *2608:18 *3135:17 0.000500697 +12 *476:5 *2608:18 6.75063e-06 +13 *604:8 *2608:18 3.73224e-05 +14 *1414:25 *21488:A 1.82679e-05 +15 *2029:30 *2608:18 0.0001858 +16 *2125:19 *2608:18 0.00493455 +17 *2133:28 *2608:18 0 +18 *2177:23 *2608:18 5.97062e-05 +19 *2191:17 *2608:18 0 +20 *2434:11 *2608:18 0.00377504 +21 *2604:18 *2608:18 0.00731395 +22 *2605:20 *2608:18 0 +23 *2606:29 *2608:18 0.000106365 +*RES +1 *21753:X *2608:18 46.4333 +2 *2608:18 *2608:20 4.5 +3 *2608:20 *3678:DIODE 9.24915 +4 *2608:20 *21488:A 11.1059 +*END + +*D_NET *2609 0.0265186 +*CONN +*I *3679:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21489:A I *D sky130_fd_sc_hd__inv_2 +*I *21754:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3679:DIODE 0.000123308 +2 *21489:A 0 +3 *21754:X 0.00076829 +4 *2609:26 0.0050749 +5 *2609:11 0.00571988 +6 *3679:DIODE *3151:27 0.000426168 +7 *2609:11 *2961:31 0.0019679 +8 *2609:26 *2610:22 0.000174132 +9 *2609:26 *2753:9 5.08359e-05 +10 *2609:26 *2866:52 1.16316e-05 +11 *2609:26 *2894:8 8.42687e-05 +12 *2609:26 *2897:20 0.00712452 +13 *2609:26 *3273:23 0.000669371 +14 *2609:26 *3417:43 0 +15 *2609:26 *3433:19 0.000110946 +16 la_data_in_mprj[68] *2609:26 1.37385e-05 +17 *4227:DIODE *2609:26 0.000335808 +18 *477:10 *2609:11 2.02914e-05 +19 *605:5 *2609:11 9.46387e-05 +20 *863:7 *2609:26 3.8784e-05 +21 *1150:9 *2609:26 0.00118417 +22 *1685:9 *2609:26 1.5714e-05 +23 *2124:9 *2609:26 0.000113223 +24 *2129:56 *2609:26 3.6211e-05 +25 *2203:24 *2609:26 0.000465836 +26 *2210:19 *2609:26 0.00189403 +*RES +1 *21754:X *2609:11 45.8249 +2 *2609:11 *2609:26 44.2809 +3 *2609:26 *21489:A 9.24915 +4 *2609:26 *3679:DIODE 13.8548 +*END + +*D_NET *2610 0.0286122 +*CONN +*I *3680:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21490:A I *D sky130_fd_sc_hd__inv_2 +*I *21755:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3680:DIODE 7.64366e-05 +2 *21490:A 0 +3 *21755:X 0.00587322 +4 *2610:24 7.64366e-05 +5 *2610:22 0.00587322 +6 *3680:DIODE *21493:A 0.000268812 +7 *3680:DIODE *3151:27 0.000414746 +8 *2610:22 *2611:21 0.00403947 +9 *2610:22 *2615:21 0.000155491 +10 *2610:22 *2752:10 2.1203e-06 +11 *2610:22 *2893:26 0.000564354 +12 *2610:22 *2897:20 0 +13 *2610:22 *2900:17 0.000843631 +14 *2610:22 *2966:15 0.000321357 +15 *2610:22 *3273:23 0.00472631 +16 *2610:22 *3433:19 0.00068035 +17 *478:5 *2610:22 1.62803e-05 +18 *606:8 *2610:22 9.28861e-05 +19 *1298:11 *3680:DIODE 8.60694e-05 +20 *2080:14 *2610:22 0.00032349 +21 *2124:14 *2610:22 0.000176586 +22 *2124:16 *2610:22 0.000160103 +23 *2129:56 *2610:22 0.000286253 +24 *2213:20 *2610:22 0.00293128 +25 *2606:29 *2610:22 0.000449124 +26 *2609:26 *2610:22 0.000174132 +*RES +1 *21755:X *2610:22 49.7589 +2 *2610:22 *2610:24 4.5 +3 *2610:24 *21490:A 9.24915 +4 *2610:24 *3680:DIODE 14.6023 +*END + +*D_NET *2611 0.0306201 +*CONN +*I *3681:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21491:A I *D sky130_fd_sc_hd__inv_2 +*I *21756:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3681:DIODE 0.0001214 +2 *21491:A 0 +3 *21756:X 0.0051732 +4 *2611:24 0.000308324 +5 *2611:21 0.00536012 +6 *2611:21 *2613:20 0.00244375 +7 *2611:21 *2615:21 0.000243664 +8 *2611:21 *2619:19 0.000600221 +9 *2611:21 *2892:19 4.97271e-05 +10 *2611:21 *2893:19 3.90956e-05 +11 *2611:21 *2894:23 0.00145163 +12 *2611:21 *2901:20 2.81887e-05 +13 *2611:21 *2902:15 5.52824e-05 +14 *2611:21 *2966:15 0.000194801 +15 *2611:21 *3141:35 0.00105276 +16 *479:7 *2611:21 1.92038e-05 +17 *607:7 *2611:21 9.28861e-05 +18 *1299:8 *3681:DIODE 4.84271e-05 +19 *2031:27 *2611:21 0.00110695 +20 *2044:19 *2611:24 3.6043e-05 +21 *2080:26 *2611:21 0.000234073 +22 *2124:16 *2611:21 0.000245189 +23 *2221:19 *2611:21 0.0030007 +24 *2223:19 *2611:21 0.00296602 +25 *2606:29 *2611:21 0.00170893 +26 *2610:22 *2611:21 0.00403947 +*RES +1 *21756:X *2611:21 48.4433 +2 *2611:21 *2611:24 8.20063 +3 *2611:24 *21491:A 9.24915 +4 *2611:24 *3681:DIODE 12.191 +*END + +*D_NET *2612 0.016655 +*CONN +*I *21492:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3682:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21757:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21492:A 5.7255e-05 +2 *3682:DIODE 0 +3 *21757:X 0.000571217 +4 *2612:24 0.000990382 +5 *2612:17 0.00361019 +6 *2612:10 0.00324828 +7 *21492:A *3410:14 4.49767e-05 +8 *2612:10 *5443:DIODE 6.91836e-05 +9 *2612:10 *5444:DIODE 3.87501e-05 +10 *2612:10 *22925:A 2.84537e-05 +11 *2612:10 *22926:A 0.000101935 +12 *2612:10 *2754:10 0 +13 *2612:10 *2893:19 6.3657e-05 +14 *2612:17 *2754:10 0 +15 *2612:24 *2896:26 0.000149915 +16 *2612:24 *2897:20 3.08441e-05 +17 *2612:24 *3410:14 1.91391e-05 +18 la_data_in_mprj[68] *2612:10 0.000116014 +19 la_data_in_mprj[69] *2612:10 0.00011818 +20 *4229:DIODE *2612:10 0.000355219 +21 *864:10 *2612:10 6.92705e-05 +22 *1160:55 *2612:24 0.000223179 +23 *1943:24 *21492:A 0.000111722 +24 *1989:52 *2612:17 0.000234988 +25 *2002:13 *2612:17 0.000138132 +26 *2024:29 *2612:17 8.56518e-05 +27 *2057:17 *2612:17 0.000747961 +28 *2067:17 *2612:17 0.000327745 +29 *2067:17 *2612:24 0.00251105 +30 *2111:9 *2612:17 0.000391765 +31 *2111:16 *2612:10 4.36805e-05 +32 *2111:16 *2612:17 8.62625e-06 +33 *2136:17 *2612:17 0.000228254 +34 *2203:24 *2612:24 1.48618e-05 +35 *2206:32 *21492:A 3.92776e-05 +36 *2206:32 *2612:24 1.91391e-05 +37 *2207:22 *2612:24 2.65815e-05 +38 *2208:19 *2612:24 0.00154176 +39 *2209:10 *21492:A 4.31703e-05 +40 *2210:19 *2612:17 0 +41 *2212:19 *2612:17 0 +42 *2335:34 *2612:24 0.000234554 +*RES +1 *21757:X *2612:10 30.6564 +2 *2612:10 *2612:17 47.9846 +3 *2612:17 *2612:24 10.093 +4 *2612:24 *3682:DIODE 13.7491 +5 *2612:24 *21492:A 16.0286 +*END + +*D_NET *2613 0.0219541 +*CONN +*I *21493:A I *D sky130_fd_sc_hd__inv_2 +*I *3683:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21758:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21493:A 0.000151264 +2 *3683:DIODE 0 +3 *21758:X 0.00650242 +4 *2613:22 0.000151264 +5 *2613:20 0.00650242 +6 *21493:A *3151:27 8.90486e-05 +7 *2613:20 *2617:20 0.000274068 +8 *2613:20 *2893:19 0.000219625 +9 *2613:20 *2893:26 0 +10 *2613:20 *2894:23 2.4448e-05 +11 *2613:20 *2897:20 0.000412825 +12 *2613:20 *2900:17 2.72989e-05 +13 *2613:20 *2901:20 0.000116253 +14 *2613:20 *3117:6 1.05746e-05 +15 *2613:20 *3155:13 1.91391e-05 +16 *2613:20 *3433:19 5.83451e-05 +17 la_data_in_mprj[70] *2613:20 8.68143e-06 +18 *3680:DIODE *21493:A 0.000268812 +19 *609:16 *2613:20 0.000160617 +20 *865:8 *2613:20 6.09999e-05 +21 *1298:11 *21493:A 0.000217937 +22 *2089:19 *2613:20 0 +23 *2129:56 *2613:20 1.79239e-05 +24 *2218:20 *2613:20 0.00021291 +25 *2219:19 *2613:20 0.00197529 +26 *2220:19 *2613:20 0.00202821 +27 *2221:19 *2613:20 0 +28 *2611:21 *2613:20 0.00244375 +*RES +1 *21758:X *2613:20 46.9841 +2 *2613:20 *2613:22 4.5 +3 *2613:22 *3683:DIODE 9.24915 +4 *2613:22 *21493:A 15.398 +*END + +*D_NET *2614 0.0122323 +*CONN +*I *3620:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21430:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21759:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3620:DIODE 0.000106268 +2 *21430:A 0 +3 *21759:X 0.000206943 +4 *2614:17 0.00184859 +5 *2614:13 0.00200828 +6 *2614:8 0.00193474 +7 *2614:7 0.00187572 +8 *2614:8 *22938:A 0.000409197 +9 *2614:8 *23267:A 0 +10 *2614:8 *23395:A 0 +11 *2614:8 *2626:6 0 +12 *2614:8 *2708:17 0 +13 *2614:8 *2806:30 0.00212558 +14 *2614:8 *3084:34 9.22013e-06 +15 *2614:8 *3305:11 0.000123582 +16 *2614:8 *3318:10 9.25069e-05 +17 *2614:13 *3084:29 0 +18 *2614:17 *2626:6 0 +19 *2614:17 *2861:39 0 +20 *2614:17 *2874:38 0 +21 *2614:17 *2910:6 0 +22 la_data_in_mprj[6] *2614:7 0.00011818 +23 la_data_in_mprj[7] *2614:7 0.00011818 +24 *3959:DIODE *2614:8 2.01595e-05 +25 *4231:DIODE *2614:7 0.000210197 +26 *4231:DIODE *2614:8 0.00015324 +27 *22529:A *2614:17 0 +28 *493:7 *2614:8 3.31882e-05 +29 *866:10 *2614:7 6.50586e-05 +30 *1302:8 *3620:DIODE 0.000175485 +31 *1313:11 *2614:17 8.9681e-05 +32 *1792:11 *2614:17 0 +33 *1825:8 *2614:17 0.000508284 +34 *2391:8 *2614:17 0 +*RES +1 *21759:X *2614:7 20.0186 +2 *2614:7 *2614:8 59.5521 +3 *2614:8 *2614:13 15.824 +4 *2614:13 *2614:17 49.8264 +5 *2614:17 *21430:A 9.24915 +6 *2614:17 *3620:DIODE 12.7456 +*END + +*D_NET *2615 0.0291802 +*CONN +*I *3684:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21494:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21760:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3684:DIODE 3.76121e-05 +2 *21494:A 0 +3 *21760:X 0.00482255 +4 *2615:30 0.00147993 +5 *2615:21 0.00626487 +6 *3684:DIODE *3161:17 0.000319829 +7 *2615:21 *2619:19 2.05612e-05 +8 *2615:21 *2620:19 0.00325476 +9 *2615:21 *2621:17 0.000866442 +10 *2615:21 *2899:27 0.00455665 +11 *2615:21 *2902:15 0.000137296 +12 *2615:21 *2903:28 0 +13 *2615:21 *2904:22 0.0014188 +14 *2615:21 *2907:22 0.000932421 +15 *2615:21 *2937:15 0.000920066 +16 *2615:30 *2903:53 0 +17 *483:7 *2615:21 2.34274e-05 +18 *611:8 *2615:21 0.000125549 +19 *1932:71 *2615:30 7.61983e-05 +20 *1939:34 *3684:DIODE 0.000319829 +21 *2059:20 *2615:21 0.00207181 +22 *2080:25 *2615:21 0 +23 *2235:35 *2615:21 0.000245442 +24 *2243:23 *2615:21 1.69772e-05 +25 *2250:7 *2615:30 0.000134105 +26 *2329:27 *2615:30 0 +27 *2332:34 *2615:21 0.000385776 +28 *2389:10 *2615:30 0.000350112 +29 *2610:22 *2615:21 0.000155491 +30 *2611:21 *2615:21 0.000243664 +*RES +1 *21760:X *2615:21 47.9602 +2 *2615:21 *2615:30 36.9731 +3 *2615:30 *21494:A 9.24915 +4 *2615:30 *3684:DIODE 12.7456 +*END + +*D_NET *2616 0.0266724 +*CONN +*I *3685:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21495:A I *D sky130_fd_sc_hd__inv_2 +*I *21761:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3685:DIODE 3.40988e-05 +2 *21495:A 0 +3 *21761:X 0.00111193 +4 *2616:22 0.00536036 +5 *2616:13 0.00643819 +6 *2616:13 *22929:A 3.14978e-05 +7 *2616:13 *22930:A 4.69495e-06 +8 *2616:13 *2617:20 0.000812188 +9 *2616:13 *2894:23 0.00159758 +10 *2616:22 *2617:20 0 +11 *2616:22 *2894:23 0.00035571 +12 *2616:22 *3132:28 1.15133e-05 +13 *2616:22 *3140:15 0.00748051 +14 *2616:22 *3416:8 0 +15 la_data_in_mprj[71] *2616:13 1.40978e-05 +16 *3950:DIODE *2616:13 3.93117e-06 +17 *4091:DIODE *2616:13 0.000113397 +18 *612:18 *2616:13 0.000137852 +19 *1990:28 *2616:13 0.000179593 +20 *2224:23 *2616:22 0.00200818 +21 *2229:21 *2616:22 0.000284837 +22 *2230:24 *2616:22 5.44212e-06 +23 *2441:11 *3685:DIODE 0.000268954 +24 *2450:27 *3685:DIODE 0.000264612 +25 *2451:27 *2616:22 0.000115521 +26 *2462:34 *2616:22 3.76894e-05 +*RES +1 *21761:X *2616:13 30.2355 +2 *2616:13 *2616:22 30.5149 +3 *2616:22 *21495:A 9.24915 +4 *2616:22 *3685:DIODE 12.191 +*END + +*D_NET *2617 0.0210555 +*CONN +*I *3686:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21496:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21762:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3686:DIODE 9.11762e-05 +2 *21496:A 0 +3 *21762:X 0.00445431 +4 *2617:20 0.00454549 +5 *3686:DIODE *3284:13 0.00033061 +6 *2617:20 *2758:8 0 +7 *2617:20 *2894:23 2.48636e-05 +8 *2617:20 *2901:20 0.0022186 +9 *2617:20 *3141:35 0.000115533 +10 la_data_in_mprj[72] *2617:20 1.07248e-05 +11 *3950:DIODE *2617:20 1.9101e-05 +12 *485:10 *2617:20 3.67528e-06 +13 *613:14 *2617:20 1.33977e-05 +14 *1990:28 *2617:20 0.000135039 +15 *2031:27 *2617:20 0.000116217 +16 *2041:19 *2617:20 0.000141999 +17 *2044:19 *2617:20 0.00310786 +18 *2230:24 *2617:20 0.000548298 +19 *2231:16 *2617:20 0.00407692 +20 *2232:19 *2617:20 1.53914e-05 +21 *2613:20 *2617:20 0.000274068 +22 *2616:13 *2617:20 0.000812188 +23 *2616:22 *2617:20 0 +*RES +1 *21762:X *2617:20 46.62 +2 *2617:20 *21496:A 9.24915 +3 *2617:20 *3686:DIODE 12.7456 +*END + +*D_NET *2618 0.0524276 +*CONN +*I *23364:A I *D sky130_fd_sc_hd__nand2_1 +*I *6034:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21763:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23364:A 0.000169454 +2 *6034:DIODE 0 +3 *21763:X 0.000726857 +4 *2618:35 0.00157156 +5 *2618:24 0.0029638 +6 *2618:20 0.00371471 +7 *2618:19 0.00327308 +8 *2618:15 0.00156663 +9 *2618:9 0.00349334 +10 *2618:8 0.00304678 +11 *2618:6 0.000726857 +12 *23364:A *2809:11 0.000226182 +13 *2618:6 *22725:A 9.45864e-05 +14 *2618:6 *3081:6 0.000689801 +15 *2618:6 *3365:8 0.000448163 +16 *2618:9 *3529:DIODE 7.24449e-05 +17 *2618:9 *2814:41 0.00111799 +18 *2618:15 *2814:41 0.00144979 +19 *2618:15 *3028:18 0.000854573 +20 *2618:19 *3028:18 0.00011818 +21 *2618:24 *2932:32 0.00025112 +22 *2618:35 *5790:DIODE 5.56461e-05 +23 *2618:35 *23370:A 0.000217951 +24 *2618:35 *2679:11 6.15409e-05 +25 *2618:35 *2809:11 0.000992318 +26 la_data_in_core[118] *2618:6 0.000130777 +27 *3973:DIODE *2618:6 0 +28 *6035:DIODE *23364:A 0.000171273 +29 *6047:DIODE *2618:35 4.56667e-05 +30 *21540:A *2618:20 8.2643e-05 +31 *23370:B *2618:35 2.0441e-05 +32 *1214:11 *2618:24 0.000636579 +33 *1489:5 *2618:35 4.03114e-05 +34 *1868:17 *2618:6 0.000962197 +35 *2102:23 *2618:20 0.000275615 +36 *2102:23 *2618:24 0.00138206 +37 *2355:10 *2618:20 0.0022999 +38 *2355:16 *2618:20 0.00034336 +39 *2411:9 *2618:19 0.00372606 +40 *2411:15 *2618:15 0.00259365 +41 *2411:15 *2618:19 1.67988e-05 +42 *2413:10 *2618:20 0 +43 *2530:16 *2618:20 0.00315639 +44 *2530:16 *2618:24 0.000696991 +45 *2585:9 *2618:9 0.0079183 +46 *2585:9 *2618:15 2.52396e-05 +*RES +1 *21763:X *2618:6 47.5556 +2 *2618:6 *2618:8 4.5 +3 *2618:8 *2618:9 113.031 +4 *2618:9 *2618:15 30.4429 +5 *2618:15 *2618:19 45.1549 +6 *2618:19 *2618:20 75.6858 +7 *2618:20 *2618:24 48.3036 +8 *2618:24 *2618:35 41.6584 +9 *2618:35 *6034:DIODE 9.24915 +10 *2618:35 *23364:A 15.5427 +*END + +*D_NET *2619 0.0391786 +*CONN +*I *3687:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21497:A I *D sky130_fd_sc_hd__inv_2 +*I *21764:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3687:DIODE 3.18661e-05 +2 *21497:A 0 +3 *21764:X 0.00496871 +4 *2619:24 9.05345e-05 +5 *2619:19 0.00502738 +6 *3687:DIODE *3165:7 1.00981e-05 +7 *3687:DIODE *3169:9 0.000273166 +8 *2619:19 *2621:17 0.000927357 +9 *2619:19 *2621:26 0.0066069 +10 *2619:19 *2623:18 0 +11 *2619:19 *2901:20 0.000620116 +12 *2619:19 *2902:15 0.00767011 +13 *2619:19 *2907:22 0.000341113 +14 *2619:24 *3145:16 1.6059e-05 +15 *486:5 *2619:19 2.11722e-05 +16 *614:8 *2619:19 0.000100407 +17 *1306:5 *3687:DIODE 0.000224256 +18 *2031:27 *2619:19 4.35313e-05 +19 *2080:26 *2619:19 0.00079548 +20 *2103:20 *2619:19 0.00513765 +21 *2118:19 *2619:19 0.000338389 +22 *2124:16 *2619:19 0.000731327 +23 *2268:28 *2619:19 0.00374833 +24 *2339:8 *2619:19 0.000115521 +25 *2471:18 *2619:19 0.000718315 +26 *2611:21 *2619:19 0.000600221 +27 *2615:21 *2619:19 2.05612e-05 +*RES +1 *21764:X *2619:19 48.8574 +2 *2619:19 *2619:24 9.0779 +3 *2619:24 *21497:A 9.24915 +4 *2619:24 *3687:DIODE 12.191 +*END + +*D_NET *2620 0.0235174 +*CONN +*I *21498:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3688:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21765:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21498:A 0.000287933 +2 *3688:DIODE 0 +3 *21765:X 0.00448699 +4 *2620:20 0.00051881 +5 *2620:19 0.00471787 +6 *21498:A *3161:15 2.29454e-05 +7 *21498:A *3184:6 6.63798e-05 +8 *2620:19 *2623:11 0.0010954 +9 *2620:19 *2902:15 0.000748247 +10 *2620:19 *2903:28 0.00211621 +11 *2620:19 *2937:15 0.000458559 +12 *2620:20 *3184:6 7.49849e-05 +13 la_data_in_mprj[74] *2620:19 6.08467e-05 +14 *22467:B *21498:A 0 +15 *615:8 *2620:19 0.000165644 +16 *871:10 *2620:19 2.50118e-05 +17 *1307:15 *21498:A 0.000163418 +18 *1939:34 *21498:A 0.000214355 +19 *1950:22 *2620:19 6.21462e-05 +20 *1951:18 *2620:19 6.21462e-05 +21 *2059:20 *2620:19 0.00316571 +22 *2062:19 *2620:19 5.57357e-06 +23 *2226:33 *2620:19 0.000363103 +24 *2246:25 *2620:19 0.000403655 +25 *2249:7 *2620:20 0 +26 *2426:26 *2620:19 0.00097676 +27 *2615:21 *2620:19 0.00325476 +*RES +1 *21765:X *2620:19 47.6379 +2 *2620:19 *2620:20 4.53113 +3 *2620:20 *3688:DIODE 13.7491 +4 *2620:20 *21498:A 20.8751 +*END + +*D_NET *2621 0.0327954 +*CONN +*I *3689:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21499:A I *D sky130_fd_sc_hd__inv_2 +*I *21766:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3689:DIODE 0 +2 *21499:A 6.15805e-05 +3 *21766:X 0.00248955 +4 *2621:26 0.00407944 +5 *2621:17 0.00650741 +6 *21499:A *3167:5 0.000471282 +7 *2621:17 *2899:21 0.00114153 +8 *2621:17 *2901:20 0.000405208 +9 *2621:17 *2903:28 3.37182e-05 +10 *2621:17 *2904:22 0.00350521 +11 *2621:17 *2907:22 0.00141562 +12 *2621:17 *2961:30 0.000169243 +13 *2621:26 *2623:18 0 +14 *2621:26 *3145:16 0 +15 *2621:26 *3262:20 0.00090212 +16 *2621:26 *3273:23 3.53091e-06 +17 *22474:B *21499:A 6.50586e-05 +18 *22474:B *2621:26 3.60933e-06 +19 *616:8 *2621:17 9.55484e-05 +20 *874:8 *2621:17 0.000127389 +21 *1940:36 *21499:A 0.000269508 +22 *1990:28 *2621:17 0.000910145 +23 *2091:17 *2621:26 5.93953e-05 +24 *2254:35 *2621:26 0.000168362 +25 *2274:24 *2621:26 0.00133186 +26 *2360:33 *2621:26 0 +27 *2454:21 *21499:A 6.63134e-05 +28 *2471:18 *2621:26 0.000112093 +29 *2615:21 *2621:17 0.000866442 +30 *2619:19 *2621:17 0.000927357 +31 *2619:19 *2621:26 0.0066069 +*RES +1 *21766:X *2621:17 39.169 +2 *2621:17 *2621:26 25.9386 +3 *2621:26 *21499:A 14.4094 +4 *2621:26 *3689:DIODE 9.24915 +*END + +*D_NET *2622 0.0434077 +*CONN +*I *21500:A I *D sky130_fd_sc_hd__inv_2 +*I *3690:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21767:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21500:A 0.000264856 +2 *3690:DIODE 0 +3 *21767:X 0 +4 *2622:30 0.00333486 +5 *2622:23 0.00620909 +6 *2622:5 0.00519291 +7 *2622:4 0.00205382 +8 *2622:5 *22936:A 0.000118166 +9 *2622:5 *22941:A 6.08467e-05 +10 *2622:5 *2627:10 8.90486e-05 +11 *2622:5 *2628:5 0.00121133 +12 *2622:5 *2630:10 8.90486e-05 +13 *2622:5 *2631:10 8.90486e-05 +14 *2622:5 *2632:10 8.16827e-05 +15 *2622:5 *2905:13 2.99287e-05 +16 *2622:5 *2911:10 3.83172e-05 +17 *2622:5 *2913:10 0.000108266 +18 *2622:5 *2915:13 5.36612e-05 +19 *2622:23 *5462:DIODE 1.03079e-05 +20 *2622:23 *22944:A 5.39509e-05 +21 *2622:23 *2624:15 0.000695917 +22 *2622:23 *2624:27 0.000123072 +23 *2622:23 *2625:9 0.000749025 +24 *2622:23 *2632:40 0.00147277 +25 *2622:23 *2775:15 8.72221e-06 +26 *2622:23 *2909:9 1.92172e-05 +27 *2622:23 *2912:21 0.000284682 +28 *2622:23 *3329:21 0.000136063 +29 *2622:30 *2908:28 0 +30 *2622:30 *2914:21 0.00135213 +31 *2622:30 *3439:26 0.00566617 +32 la_data_in_mprj[78] *2622:5 1.00981e-05 +33 *3961:DIODE *2622:5 0.000224381 +34 *3965:DIODE *2622:5 0.000217923 +35 *4238:DIODE *2622:5 0.000114584 +36 *4240:DIODE *2622:5 6.08467e-05 +37 *4242:DIODE *2622:5 6.50586e-05 +38 *4244:DIODE *2622:5 0.000271044 +39 *4246:DIODE *2622:5 0.000118166 +40 *4247:DIODE *2622:5 6.36477e-05 +41 *4248:DIODE *2622:5 6.50586e-05 +42 *4248:DIODE *2622:23 0 +43 *21768:A *2622:5 0.000111722 +44 *21769:A *2622:5 9.14834e-05 +45 *21770:A *2622:5 5.07314e-05 +46 *21772:A *2622:5 6.50727e-05 +47 *21776:A *2622:5 6.50727e-05 +48 *21915:A *2622:5 2.16355e-05 +49 *22548:TE *21500:A 4.49912e-05 +50 *23200:A *2622:23 3.33173e-06 +51 *495:8 *2622:5 0.00011818 +52 *498:8 *2622:5 6.50727e-05 +53 *499:8 *2622:23 3.20069e-06 +54 *623:8 *2622:5 0.000153712 +55 *873:12 *2622:5 0.000167625 +56 *874:8 *2622:5 6.08467e-05 +57 *875:8 *2622:5 0.000167076 +58 *876:8 *2622:5 0.000111722 +59 *878:8 *2622:5 6.50727e-05 +60 *880:8 *2622:5 6.50727e-05 +61 *881:8 *2622:5 0.000114594 +62 *882:8 *2622:5 6.50727e-05 +63 *1150:9 *2622:23 0.00026794 +64 *1154:9 *2622:23 0.00212036 +65 *1156:11 *2622:23 0.00430965 +66 *1192:61 *21500:A 5.0715e-05 +67 *1309:8 *21500:A 2.65667e-05 +68 *2236:19 *2622:23 0.000586503 +69 *2268:28 *2622:23 0.000148867 +70 *2339:7 *21500:A 0 +71 *2339:7 *2622:30 0 +72 *2341:7 *21500:A 5.69621e-05 +73 *2341:7 *2622:30 7.13655e-06 +74 *2343:8 *2622:30 1.93857e-05 +75 *2382:40 *2622:23 0.000144883 +76 *2489:17 *2622:23 0.000811481 +77 *2489:21 *2622:23 8.78543e-05 +78 *2490:30 *2622:23 0.00231202 +79 *2493:30 *2622:23 3.84042e-05 +*RES +1 *21767:X *2622:4 9.24915 +2 *2622:4 *2622:5 70.6034 +3 *2622:5 *2622:23 47.8622 +4 *2622:23 *2622:30 17.5218 +5 *2622:30 *3690:DIODE 13.7491 +6 *2622:30 *21500:A 19.7659 +*END + +*D_NET *2623 0.0284832 +*CONN +*I *21501:A I *D sky130_fd_sc_hd__inv_2 +*I *3691:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21768:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21501:A 8.75424e-05 +2 *3691:DIODE 0 +3 *21768:X 0.00179494 +4 *2623:18 0.00532903 +5 *2623:11 0.00703643 +6 *21501:A *3160:11 0.000319815 +7 *21501:A *3273:8 1.48503e-05 +8 *2623:11 *2902:15 0.000257015 +9 *2623:11 *2937:15 0.000307483 +10 *2623:11 *2966:9 0.00272929 +11 *2623:18 *3273:8 7.13655e-06 +12 *2623:18 *3295:21 0.000151776 +13 *2623:18 *3433:19 5.93953e-05 +14 *618:8 *2623:11 0.000134087 +15 *874:8 *2623:11 2.78341e-05 +16 *1941:42 *21501:A 0.000319815 +17 *2066:17 *21501:A 0 +18 *2066:17 *2623:18 0 +19 *2077:19 *2623:18 0 +20 *2118:19 *2623:11 0.000924723 +21 *2129:56 *2623:18 1.93857e-05 +22 *2131:20 *2623:18 0.000761422 +23 *2277:22 *2623:18 0.00077092 +24 *2349:50 *2623:18 0 +25 *2360:33 *2623:18 0.00633493 +26 *2619:19 *2623:18 0 +27 *2620:19 *2623:11 0.0010954 +28 *2621:26 *2623:18 0 +*RES +1 *21768:X *2623:11 33.4017 +2 *2623:11 *2623:18 22.5512 +3 *2623:18 *3691:DIODE 13.7491 +4 *2623:18 *21501:A 18.2471 +*END + +*D_NET *2624 0.0417951 +*CONN +*I *3692:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21502:A I *D sky130_fd_sc_hd__inv_2 +*I *21769:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3692:DIODE 4.32947e-05 +2 *21502:A 0 +3 *21769:X 0.000689559 +4 *2624:38 4.32947e-05 +5 *2624:36 0.00551085 +6 *2624:35 0.00600369 +7 *2624:31 0.00240697 +8 *2624:27 0.00389194 +9 *2624:15 0.00249669 +10 *2624:14 0.00120844 +11 *2624:14 *22939:A 0.000222149 +12 *2624:14 *2767:11 0.000224044 +13 *2624:14 *2769:8 6.4054e-05 +14 *2624:14 *2909:8 0 +15 *2624:15 *2625:9 0.00165327 +16 *2624:15 *2909:9 0.00452261 +17 *2624:27 *5968:DIODE 6.50727e-05 +18 *2624:27 *2775:15 0.000133977 +19 *2624:27 *2778:15 0.000610885 +20 *2624:31 *5465:DIODE 2.91631e-05 +21 *2624:31 *3334:5 0.000116477 +22 *2624:31 *3337:14 4.82966e-05 +23 *2624:31 *3439:26 0.000377134 +24 *2624:35 *2639:21 0.00154549 +25 *2624:35 *3338:12 1.67988e-05 +26 *2624:35 *3439:26 0.000264745 +27 *2624:36 *6000:DIODE 0 +28 *2624:36 *23220:A 3.41452e-05 +29 *2624:36 *2634:28 0.0010193 +30 *2624:36 *2790:8 0 +31 *2624:36 *2925:36 4.62052e-05 +32 *2624:36 *2928:14 0 +33 *2624:36 *2933:18 0 +34 *2624:36 *3028:28 0 +35 la_data_in_mprj[79] *2624:14 3.82228e-05 +36 la_data_in_mprj[80] *2624:14 0.000271044 +37 *3957:DIODE *2624:14 0.00011818 +38 *3958:DIODE *2624:14 7.3281e-05 +39 *4241:DIODE *2624:14 2.82242e-05 +40 *5725:DIODE *2624:27 6.50586e-05 +41 *5735:DIODE *2624:31 0.000413252 +42 *5736:DIODE *2624:31 2.41445e-05 +43 *5736:DIODE *2624:35 0.000381471 +44 *6223:DIODE *2624:27 8.4101e-05 +45 *6225:DIODE *2624:27 0.000113002 +46 *21911:A *2624:14 0 +47 *21912:A *2624:14 0.000164829 +48 *23209:A *2624:31 0.000224381 +49 *23326:B *2624:15 6.36477e-05 +50 *23458:A *2624:27 1.18649e-05 +51 *23458:A *2624:31 6.50727e-05 +52 *619:5 *2624:14 0 +53 *622:10 *2624:14 3.20069e-06 +54 *1138:7 *2624:35 1.41689e-05 +55 *1150:9 *2624:15 0.000253421 +56 *1154:17 *2624:27 0.00011938 +57 *1156:11 *2624:35 0.000130331 +58 *1180:19 *2624:36 0 +59 *1189:11 *3692:DIODE 1.88152e-05 +60 *1311:10 *3692:DIODE 0.000220799 +61 *1577:11 *2624:27 6.47054e-05 +62 *1578:5 *2624:27 6.51353e-05 +63 *1705:5 *2624:27 0.000256861 +64 *1716:9 *2624:31 0.000421818 +65 *1823:8 *2624:36 2.94331e-05 +66 *1956:13 *2624:36 0.000954878 +67 *2099:17 *2624:36 0 +68 *2252:27 *2624:36 3.97501e-05 +69 *2253:44 *2624:35 0.00174854 +70 *2454:9 *3692:DIODE 0.000115842 +71 *2491:31 *2624:35 0.00109072 +72 *2502:12 *2624:36 0 +73 *2622:23 *2624:15 0.000695917 +74 *2622:23 *2624:27 0.000123072 +*RES +1 *21769:X *2624:14 47.4385 +2 *2624:14 *2624:15 48.4193 +3 *2624:15 *2624:27 44.8905 +4 *2624:27 *2624:31 47.8888 +5 *2624:31 *2624:35 37.945 +6 *2624:35 *2624:36 113.12 +7 *2624:36 *2624:38 4.5 +8 *2624:38 *21502:A 9.24915 +9 *2624:38 *3692:DIODE 12.191 +*END + +*D_NET *2625 0.0483155 +*CONN +*I *3693:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21503:A I *D sky130_fd_sc_hd__inv_2 +*I *21770:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3693:DIODE 2.7664e-05 +2 *21503:A 0 +3 *21770:X 0.000217202 +4 *2625:32 2.7664e-05 +5 *2625:30 0.00395562 +6 *2625:29 0.00431149 +7 *2625:23 0.00213025 +8 *2625:21 0.00208763 +9 *2625:15 0.00119545 +10 *2625:14 0.00160055 +11 *2625:9 0.00268588 +12 *2625:8 0.00218474 +13 *2625:8 *2769:8 2.27175e-05 +14 *2625:9 *5457:DIODE 3.99086e-06 +15 *2625:9 *5458:DIODE 0.0002646 +16 *2625:9 *2909:9 6.01574e-05 +17 *2625:9 *3329:21 0.000400852 +18 *2625:14 *5464:DIODE 0.000293638 +19 *2625:14 *2778:15 6.22063e-05 +20 *2625:15 *3461:23 3.83172e-05 +21 *2625:15 *3461:30 0.00159368 +22 *2625:23 *5756:DIODE 1.03403e-05 +23 *2625:23 *23224:A 0.000113968 +24 *2625:23 *2659:19 0.000525925 +25 *2625:23 *2660:15 2.42273e-05 +26 *2625:29 *2659:16 0.0002607 +27 *2625:30 *2661:10 0 +28 *2625:30 *2799:41 4.07419e-05 +29 *2625:30 *2915:42 0.000652084 +30 *2625:30 *2915:70 5.95863e-05 +31 *2625:30 *2919:73 3.44118e-05 +32 *2625:30 *2921:36 0 +33 *2625:30 *3189:10 3.82033e-05 +34 *2625:30 *3189:12 0 +35 *4100:DIODE *2625:8 9.80784e-05 +36 *4100:DIODE *2625:9 0.000113968 +37 *4103:DIODE *2625:9 6.50727e-05 +38 *4104:DIODE *2625:9 0.00011818 +39 *4105:DIODE *2625:9 0.000171288 +40 *4108:DIODE *2625:9 0.000220183 +41 *4109:DIODE *2625:9 0.000377259 +42 *4245:DIODE *2625:9 0.000111722 +43 *4246:DIODE *2625:9 0.000268892 +44 *4249:DIODE *2625:9 6.08467e-05 +45 *4252:DIODE *2625:9 0.000169872 +46 *4915:DIODE *2625:30 1.91391e-05 +47 *5724:DIODE *2625:9 0.000171288 +48 *5753:DIODE *2625:23 0.00011581 +49 *5757:DIODE *2625:23 0.000264586 +50 *6239:DIODE *2625:15 0.000276551 +51 *22361:TE *2625:30 7.94597e-05 +52 *22370:A *2625:30 5.41377e-05 +53 *22484:B *2625:30 8.20454e-05 +54 *23326:B *2625:9 6.64392e-05 +55 *23351:A *2625:23 0.00041971 +56 *23353:A *2625:23 1.41853e-05 +57 *23353:A *2625:29 0.000111708 +58 *23457:A *2625:14 3.60933e-06 +59 *23457:A *2625:15 0.000162117 +60 *23464:A *2625:15 2.65831e-05 +61 *23466:A *2625:15 0.000251196 +62 *23466:A *2625:21 3.12783e-05 +63 *620:5 *2625:8 0.00013788 +64 *1159:18 *2625:14 1.5714e-05 +65 *1175:9 *2625:23 0.0023388 +66 *1175:9 *2625:29 0.000868935 +67 *1186:25 *3693:DIODE 0.000161243 +68 *1188:47 *3693:DIODE 0.000161243 +69 *1334:12 *2625:30 0.000289313 +70 *1470:10 *2625:23 0.000634119 +71 *1472:5 *2625:23 5.75508e-05 +72 *1585:5 *2625:15 0.000271058 +73 *1601:9 *2625:30 0 +74 *1832:11 *2625:30 0.000693723 +75 *1837:8 *2625:30 2.00098e-05 +76 *1952:43 *2625:30 7.60356e-05 +77 *1968:43 *2625:30 5.40742e-06 +78 *1985:57 *2625:30 7.46011e-05 +79 *1985:67 *2625:30 0 +80 *2090:20 *2625:9 0.000108607 +81 *2118:13 *2625:8 0 +82 *2122:39 *2625:14 4.94641e-05 +83 *2135:41 *2625:30 5.65463e-05 +84 *2255:34 *2625:23 0.0015407 +85 *2257:21 *2625:23 0.00012309 +86 *2274:24 *2625:30 1.5714e-05 +87 *2371:13 *2625:15 0.0022567 +88 *2371:13 *2625:21 0.0015656 +89 *2371:13 *2625:23 0.00060635 +90 *2479:39 *2625:15 0.000207776 +91 *2479:45 *2625:15 0.000309164 +92 *2490:30 *2625:14 2.99603e-05 +93 *2500:37 *2625:15 0.00148512 +94 *2502:15 *2625:21 0.000360325 +95 *2502:15 *2625:23 0.00197635 +96 *2516:17 *2625:29 5.51483e-06 +97 *2516:24 *2625:29 0.000560875 +98 *2622:23 *2625:9 0.000749025 +99 *2624:15 *2625:9 0.00165327 +*RES +1 *21770:X *2625:8 23.4032 +2 *2625:8 *2625:9 67.8304 +3 *2625:9 *2625:14 23.2896 +4 *2625:14 *2625:15 63.1163 +5 *2625:15 *2625:21 18.3743 +6 *2625:21 *2625:23 76.9814 +7 *2625:23 *2625:29 26.8771 +8 *2625:29 *2625:30 86.1282 +9 *2625:30 *2625:32 4.5 +10 *2625:32 *21503:A 9.24915 +11 *2625:32 *3693:DIODE 11.0817 +*END + +*D_NET *2626 0.00666979 +*CONN +*I *21431:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3621:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21771:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21431:A 2.06324e-05 +2 *3621:DIODE 6.0532e-05 +3 *21771:X 0 +4 *2626:9 0.000201137 +5 *2626:6 0.00299276 +6 *2626:5 0.00287279 +7 *2626:6 *5467:DIODE 9.60366e-05 +8 *2626:6 *22938:A 0 +9 *2626:6 *3318:10 0 +10 *3959:DIODE *2626:6 4.47442e-05 +11 *4101:DIODE *2626:6 9.27017e-05 +12 *4243:DIODE *2626:6 0 +13 *22529:A *2626:6 8.04605e-05 +14 *621:13 *2626:6 3.67528e-06 +15 *1170:8 *2626:6 7.55575e-05 +16 *1258:10 *3621:DIODE 3.25584e-05 +17 *1313:11 *3621:DIODE 4.30017e-06 +18 *1313:11 *2626:9 6.04131e-05 +19 *2391:7 *2626:9 3.14978e-05 +20 *2614:8 *2626:6 0 +21 *2614:17 *2626:6 0 +*RES +1 *21771:X *2626:5 13.7491 +2 *2626:5 *2626:6 76.5774 +3 *2626:6 *2626:9 7.99641 +4 *2626:9 *3621:DIODE 11.0817 +5 *2626:9 *21431:A 9.82786 +*END + +*D_NET *2627 0.0715074 +*CONN +*I *21504:A I *D sky130_fd_sc_hd__inv_2 +*I *3694:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21772:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *21504:A 3.51473e-05 +2 *3694:DIODE 0 +3 *21772:X 0.000390278 +4 *2627:16 3.51473e-05 +5 *2627:14 0.00398802 +6 *2627:13 0.00398802 +7 *2627:11 0.00175487 +8 *2627:10 0.00214515 +9 *2627:11 *2630:11 0.0235812 +10 *2627:11 *2913:11 0.023152 +11 *2627:11 *2914:11 0.000393802 +12 *2627:11 *2937:15 0.000716904 +13 *2627:11 *2966:9 0.000841695 +14 *2627:11 *2966:15 0.00101679 +15 *2627:14 *2645:22 0 +16 *2627:14 *2808:12 0.00251783 +17 *2627:14 *2808:18 0.000279308 +18 *2627:14 *2808:22 0.00107711 +19 *2627:14 *2809:10 0 +20 *2627:14 *2914:46 0 +21 *2627:14 *2921:57 0.000160384 +22 *2627:14 *2922:47 8.95687e-05 +23 *2627:14 *2925:43 9.85917e-05 +24 *2627:14 *2927:12 0.00256261 +25 *2627:14 *2927:31 0.000103002 +26 *2627:14 *3050:21 2.18369e-05 +27 la_data_in_mprj[81] *2627:10 0.000155043 +28 *4142:DIODE *2627:14 8.20492e-06 +29 *4244:DIODE *2627:10 0.000102003 +30 *22494:B *2627:14 4.96202e-06 +31 *22543:TE *2627:14 0 +32 *22559:A *2627:14 1.94347e-05 +33 *529:13 *2627:14 0.000123582 +34 *785:7 *2627:14 0.000187258 +35 *878:8 *2627:10 9.82137e-05 +36 *1142:8 *2627:14 0 +37 *1186:21 *21504:A 3.82228e-05 +38 *1188:47 *21504:A 0.000107496 +39 *2094:8 *2627:11 0.000438907 +40 *2118:19 *2627:11 0.000972674 +41 *2121:27 *2627:14 9.53587e-05 +42 *2297:15 *2627:14 0 +43 *2311:31 *2627:14 6.3609e-05 +44 *2524:10 *2627:14 5.41206e-05 +45 *2622:5 *2627:10 8.90486e-05 +*RES +1 *21772:X *2627:10 27.4542 +2 *2627:10 *2627:11 264.715 +3 *2627:11 *2627:13 4.5 +4 *2627:13 *2627:14 111.874 +5 *2627:14 *2627:16 4.5 +6 *2627:16 *3694:DIODE 9.24915 +7 *2627:16 *21504:A 10.5271 +*END + +*D_NET *2628 0.0477422 +*CONN +*I *21505:A I *D sky130_fd_sc_hd__inv_2 +*I *3695:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21773:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21505:A 0.000130881 +2 *3695:DIODE 0 +3 *21773:X 0 +4 *2628:42 0.0051554 +5 *2628:41 0.00502452 +6 *2628:39 0.00069733 +7 *2628:38 0.0010901 +8 *2628:23 0.00178104 +9 *2628:22 0.00138827 +10 *2628:20 0.00120831 +11 *2628:16 0.00175724 +12 *2628:5 0.00338354 +13 *2628:4 0.00283461 +14 *21505:A *2916:30 1.10848e-05 +15 *21505:A *2916:33 0.000113968 +16 *21505:A *3250:18 0 +17 *2628:5 *2633:10 6.73559e-05 +18 *2628:5 *2915:13 1.49927e-05 +19 *2628:16 *2778:15 6.50586e-05 +20 *2628:16 *2916:5 0.000202783 +21 *2628:16 *2919:14 2.77419e-05 +22 *2628:20 *22947:A 6.08467e-05 +23 *2628:20 *22950:A 0.000112286 +24 *2628:20 *22951:A 6.76569e-05 +25 *2628:20 *2633:10 0.000112012 +26 *2628:20 *2781:8 0 +27 *2628:20 *2919:21 0.00232778 +28 *2628:20 *2919:23 1.41976e-05 +29 *2628:20 *2921:10 0.000164815 +30 *2628:20 *3332:16 0.000136465 +31 *2628:23 *2783:11 0.000260388 +32 *2628:23 *3340:13 0.000277488 +33 *2628:38 *2643:14 0 +34 *2628:38 *3340:13 7.94462e-05 +35 *2628:39 *3713:DIODE 0.000324166 +36 *2628:39 *23221:A 1.03403e-05 +37 *2628:39 *2632:51 0.000577699 +38 *2628:39 *2649:9 0.000406794 +39 *2628:39 *2656:11 7.92757e-06 +40 *2628:39 *3340:13 0.00118817 +41 *2628:42 *2797:10 0 +42 *2628:42 *2909:18 0 +43 *2628:42 *2916:30 3.20069e-06 +44 *2628:42 *2934:24 0 +45 *2628:42 *3139:34 0 +46 *2628:42 *3250:18 0 +47 la_data_in_mprj[88] *2628:20 0.000341176 +48 la_data_in_mprj[89] *2628:20 0.000110762 +49 la_data_in_mprj[90] *2628:20 0.000406756 +50 *4252:DIODE *2628:5 1.84293e-05 +51 *4252:DIODE *2628:16 7.14746e-05 +52 *4253:DIODE *2628:16 0 +53 *4660:DIODE *21505:A 0.000321919 +54 *4929:DIODE *2628:42 0 +55 *4943:DIODE *2628:42 5.08992e-05 +56 *21778:A *2628:5 7.48797e-05 +57 *21920:A *2628:5 4.65396e-05 +58 *22067:A *2628:20 6.50586e-05 +59 *499:8 *2628:5 8.65358e-05 +60 *627:12 *2628:5 3.33382e-05 +61 *629:5 *2628:16 3.18148e-05 +62 *884:8 *2628:5 2.85274e-05 +63 *1149:9 *2628:39 7.95879e-05 +64 *1149:15 *2628:39 0.00397576 +65 *1149:22 *2628:39 1.37669e-05 +66 *1152:21 *2628:23 0.00426061 +67 *1158:9 *2628:39 0.000246179 +68 *1182:25 *21505:A 2.41483e-05 +69 *1192:53 *21505:A 1.41689e-05 +70 *1315:10 *21505:A 0.000423922 +71 *1461:10 *2628:42 0.000312989 +72 *1586:10 *2628:38 5.1493e-06 +73 *1598:14 *2628:42 0.000158102 +74 *1714:9 *2628:38 7.14073e-06 +75 *1845:9 *2628:42 0 +76 *1948:97 *2628:42 0 +77 *2253:35 *2628:38 0 +78 *2253:44 *2628:38 0.000527957 +79 *2268:45 *2628:23 0.000152056 +80 *2348:16 *2628:42 0 +81 *2358:6 *21505:A 0 +82 *2358:6 *2628:42 0 +83 *2491:31 *2628:38 2.1203e-06 +84 *2491:31 *2628:39 0.00210093 +85 *2494:33 *2628:39 0.00087833 +86 *2494:35 *2628:38 0.000573916 +87 *2622:5 *2628:5 0.00121133 +*RES +1 *21773:X *2628:4 9.24915 +2 *2628:4 *2628:5 46.2009 +3 *2628:5 *2628:16 27.9334 +4 *2628:16 *2628:20 46.6857 +5 *2628:20 *2628:22 4.5 +6 *2628:22 *2628:23 46.2009 +7 *2628:23 *2628:38 30.3761 +8 *2628:38 *2628:39 58.4022 +9 *2628:39 *2628:41 4.5 +10 *2628:41 *2628:42 95.2637 +11 *2628:42 *3695:DIODE 13.7491 +12 *2628:42 *21505:A 20.3261 +*END + +*D_NET *2629 0.05322 +*CONN +*I *6036:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23365:A I *D sky130_fd_sc_hd__nand2_1 +*I *21774:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6036:DIODE 4.51842e-05 +2 *23365:A 0.000115458 +3 *21774:X 0.000490837 +4 *2629:24 0.00178779 +5 *2629:22 0.00383849 +6 *2629:18 0.00337104 +7 *2629:13 0.00591533 +8 *2629:12 0.00524647 +9 *23365:A *2817:14 4.15661e-05 +10 *23365:A *3226:16 1.48605e-05 +11 *2629:12 *22726:A 0 +12 *2629:12 *22981:A 1.89331e-05 +13 *2629:12 *3082:6 0.000915353 +14 *2629:12 *3087:6 3.11022e-05 +15 *2629:12 *3366:6 0.000215844 +16 *2629:18 *3069:10 0.00154034 +17 *2629:18 *3192:8 0 +18 *2629:22 *3069:10 0 +19 *2629:22 *3192:8 0 +20 *2629:24 *2801:36 0.000157671 +21 *2629:24 *2817:14 0.000488843 +22 *2629:24 *3226:16 0.000718589 +23 la_oenb_core[118] *2629:12 3.34802e-05 +24 *3973:DIODE *2629:12 0.000287646 +25 *6037:DIODE *23365:A 0.000165459 +26 *22557:A *2629:22 1.56279e-05 +27 *22576:A *2629:22 3.09932e-05 +28 *1143:18 *2629:22 0.000254166 +29 *1143:18 *2629:24 0 +30 *1176:14 *2629:22 0.000109248 +31 *1195:42 *2629:22 9.47372e-05 +32 *1195:42 *2629:24 1.05601e-05 +33 *1967:40 *6036:DIODE 6.08467e-05 +34 *1967:40 *23365:A 0.000314126 +35 *2136:45 *2629:24 4.65952e-05 +36 *2281:26 *2629:13 0.000259208 +37 *2281:28 *2629:13 0.00311507 +38 *2362:9 *2629:13 0.00443309 +39 *2432:13 *2629:13 0.00330249 +40 *2500:10 *2629:24 0.000110935 +41 *2500:16 *2629:24 0.000322836 +42 *2523:26 *2629:24 0.000153975 +43 *2573:9 *2629:13 0.0151452 +*RES +1 *21774:X *2629:12 38.8408 +2 *2629:12 *2629:13 203.708 +3 *2629:13 *2629:18 35.5096 +4 *2629:18 *2629:22 47.0212 +5 *2629:22 *2629:24 43.5648 +6 *2629:24 *23365:A 18.2471 +7 *2629:24 *6036:DIODE 14.4725 +*END + +*D_NET *2630 0.072674 +*CONN +*I *21506:A I *D sky130_fd_sc_hd__inv_2 +*I *3696:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21775:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *21506:A 0.00010232 +2 *3696:DIODE 4.18856e-05 +3 *21775:X 0.000408528 +4 *2630:18 0.00206748 +5 *2630:16 0.00346829 +6 *2630:11 0.00392184 +7 *2630:10 0.00278535 +8 *21506:A *2632:68 1.12325e-05 +9 *2630:11 *2631:11 0.00034733 +10 *2630:11 *2645:9 0.000209985 +11 *2630:11 *2645:15 9.95248e-05 +12 *2630:11 *2645:19 0.000221864 +13 *2630:11 *2800:9 0.000444148 +14 *2630:11 *2803:9 0.00535871 +15 *2630:11 *2911:22 0.00237825 +16 *2630:11 *2913:11 0.000724449 +17 *2630:11 *2914:11 0.000362043 +18 *2630:11 *2926:9 0.00925 +19 *2630:11 *2937:15 0.000489918 +20 *2630:11 *2966:9 0.00144984 +21 *2630:16 *2632:68 0 +22 *2630:16 *2932:28 0.000508105 +23 *2630:18 *2632:68 0.000439076 +24 la_data_in_mprj[83] *2630:10 5.82294e-05 +25 *4005:DIODE *2630:16 5.09095e-05 +26 *4246:DIODE *2630:10 4.88955e-05 +27 *21538:A *2630:18 0.000191938 +28 *535:8 *2630:16 2.91512e-05 +29 *791:8 *2630:16 0.00016276 +30 *880:8 *2630:10 0.000123302 +31 *1176:15 *3696:DIODE 6.50727e-05 +32 *1187:9 *21506:A 1.92336e-05 +33 *1205:21 *21506:A 0.000213739 +34 *1316:8 *21506:A 0.000164829 +35 *1610:10 *2630:16 4.10859e-05 +36 *1610:10 *2630:18 0.000109528 +37 *1995:31 *2630:18 0.00022306 +38 *2094:8 *2630:11 0.000254324 +39 *2118:19 *2630:11 0.000916234 +40 *2124:22 *2630:11 0.00694116 +41 *2520:9 *2630:11 0.00049452 +42 *2527:18 *2630:16 0.00193256 +43 *2527:18 *2630:18 1.02841e-05 +44 *2527:20 *2630:18 0.00154678 +45 *2531:10 *2630:16 0 +46 *2596:18 *21506:A 1.95409e-05 +47 *2596:18 *2630:18 0.000296477 +48 *2622:5 *2630:10 8.90486e-05 +49 *2627:11 *2630:11 0.0235812 +*RES +1 *21775:X *2630:10 27.3148 +2 *2630:10 *2630:11 299.655 +3 *2630:11 *2630:16 48.3824 +4 *2630:16 *2630:18 51.8699 +5 *2630:18 *3696:DIODE 14.4725 +6 *2630:18 *21506:A 17.5531 +*END + +*D_NET *2631 0.0686204 +*CONN +*I *3697:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21507:A I *D sky130_fd_sc_hd__inv_2 +*I *21776:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *3697:DIODE 0 +2 *21507:A 6.09942e-05 +3 *21776:X 0.00041533 +4 *2631:22 6.09942e-05 +5 *2631:20 0.00285331 +6 *2631:19 0.00285331 +7 *2631:17 0.0108859 +8 *2631:16 0.012544 +9 *2631:11 0.00251182 +10 *2631:10 0.00126911 +11 *2631:10 *2914:11 0 +12 *2631:11 *2632:11 0.00204529 +13 *2631:11 *2639:9 0.0040288 +14 *2631:11 *2926:9 0.00185782 +15 *2631:11 *2966:9 0.00135199 +16 *2631:16 *5473:DIODE 6.56365e-05 +17 *2631:16 *2632:40 9.59581e-05 +18 *2631:16 *2645:8 0 +19 *2631:16 *2920:14 3.1825e-05 +20 *2631:16 *2928:8 0 +21 *2631:17 *2794:19 0.00067873 +22 *2631:17 *2794:21 0.000201276 +23 *2631:17 *3250:27 0.000351911 +24 *2631:20 *4704:DIODE 4.03426e-05 +25 *2631:20 *2671:8 0 +26 *2631:20 *2814:6 0.00372965 +27 *2631:20 *3193:12 0.000528865 +28 la_data_in_mprj[84] *2631:10 3.31564e-05 +29 *3978:DIODE *2631:16 0.000144047 +30 *4120:DIODE *2631:16 1.50542e-05 +31 *6011:DIODE *2631:17 0.000383703 +32 *23099:A *2631:17 0.000267448 +33 *23224:B *2631:17 2.94103e-05 +34 *23352:A *2631:17 8.64186e-05 +35 *510:5 *2631:16 0.000140316 +36 *638:5 *2631:16 3.74945e-05 +37 *881:8 *2631:10 0.000215954 +38 *1160:42 *2631:20 3.11741e-05 +39 *1177:33 *21507:A 6.06823e-05 +40 *1199:37 *21507:A 0.000266846 +41 *1201:16 *2631:20 3.77568e-05 +42 *1471:5 *2631:17 7.24449e-05 +43 *2124:21 *2631:10 1.99347e-05 +44 *2124:22 *2631:11 0.00679249 +45 *2244:19 *2631:16 1.05746e-05 +46 *2253:35 *2631:16 0 +47 *2256:46 *2631:17 0.000492153 +48 *2256:48 *2631:17 0.00505265 +49 *2256:56 *2631:17 6.61011e-05 +50 *2491:36 *2631:16 3.89561e-05 +51 *2505:26 *2631:17 0.000218228 +52 *2513:13 *2631:17 9.80242e-07 +53 *2516:25 *2631:17 0.00520712 +54 *2622:5 *2631:10 8.90486e-05 +55 *2630:11 *2631:11 0.00034733 +*RES +1 *21776:X *2631:10 27.5907 +2 *2631:10 *2631:11 98.8882 +3 *2631:11 *2631:16 40.3149 +4 *2631:16 *2631:17 186.516 +5 *2631:17 *2631:19 4.5 +6 *2631:19 *2631:20 75.3316 +7 *2631:20 *2631:22 4.5 +8 *2631:22 *21507:A 12.191 +9 *2631:22 *3697:DIODE 9.24915 +*END + +*D_NET *2632 0.0578607 +*CONN +*I *21508:A I *D sky130_fd_sc_hd__inv_2 +*I *3698:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21777:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *21508:A 7.40175e-05 +2 *3698:DIODE 0 +3 *21777:X 0.000511125 +4 *2632:68 0.00389412 +5 *2632:67 0.00382011 +6 *2632:65 0.00207038 +7 *2632:63 0.00355433 +8 *2632:51 0.00251801 +9 *2632:40 0.0026781 +10 *2632:31 0.00262423 +11 *2632:11 0.00245722 +12 *2632:10 0.00198815 +13 *2632:11 *2639:9 0.00228913 +14 *2632:11 *2642:9 0.000649254 +15 *2632:11 *2966:9 0.00143396 +16 *2632:31 *22953:A 6.50586e-05 +17 *2632:31 *2786:12 0.000463796 +18 *2632:31 *2919:23 0.000835514 +19 *2632:31 *2926:8 7.9433e-05 +20 *2632:40 *22835:A 0.000143149 +21 *2632:40 *2928:8 0 +22 *2632:51 *2656:11 0.00115033 +23 *2632:51 *3208:8 0.000871886 +24 *2632:63 *2919:63 0.000263453 +25 *2632:63 *3214:12 0.000164857 +26 *2632:65 *3709:DIODE 0.000150632 +27 *2632:65 *3710:DIODE 0.000260374 +28 *2632:65 *21519:A 0.000107496 +29 *2632:65 *3214:12 0.000390924 +30 *2632:68 *2932:28 2.81096e-05 +31 la_data_in_mprj[85] *2632:10 7.78005e-05 +32 la_data_in_mprj[93] *2632:31 0.000106204 +33 la_data_in_mprj[95] *2632:40 3.69081e-05 +34 *3965:DIODE *2632:10 6.50727e-05 +35 *3976:DIODE *2632:31 1.98263e-05 +36 *3978:DIODE *2632:40 0.00014033 +37 *4248:DIODE *2632:10 5.31074e-05 +38 *4259:DIODE *2632:31 0 +39 *4261:DIODE *2632:40 0 +40 *5618:DIODE *2632:51 0.000255681 +41 *5624:DIODE *2632:63 0.000362252 +42 *21506:A *2632:68 1.12325e-05 +43 *21788:A *2632:31 6.50727e-05 +44 *21789:A *2632:31 1.00846e-05 +45 *23098:A *2632:63 0.000256294 +46 *499:8 *2632:10 0 +47 *508:5 *2632:31 0.000169686 +48 *509:8 *2632:31 0.000299316 +49 *510:5 *2632:40 3.0577e-05 +50 *882:8 *2632:10 9.94679e-05 +51 *893:9 *2632:40 0 +52 *1149:9 *2632:51 0.000180945 +53 *1149:9 *2632:63 0.000559957 +54 *1154:9 *2632:40 0.00532372 +55 *1156:11 *2632:40 0.00144359 +56 *1158:9 *2632:51 0.000136627 +57 *1183:9 *21508:A 0.000171273 +58 *1206:33 *21508:A 0.000171273 +59 *1329:10 *2632:40 3.94846e-05 +60 *1330:7 *2632:65 0.00113094 +61 *1601:9 *2632:63 0.000318872 +62 *1610:10 *2632:68 0.000707134 +63 *2080:31 *2632:31 5.04655e-05 +64 *2080:49 *2632:40 5.37864e-05 +65 *2122:39 *2632:63 0.000160617 +66 *2268:63 *2632:63 7.29816e-05 +67 *2494:33 *2632:51 0.000729678 +68 *2512:25 *2632:63 0.000294369 +69 *2512:25 *2632:65 0.00237636 +70 *2525:24 *2632:68 0 +71 *2531:10 *2632:68 0.00102044 +72 *2596:18 *21508:A 0 +73 *2596:18 *2632:68 0 +74 *2607:22 *21508:A 1.53606e-05 +75 *2607:22 *2632:68 0.00059427 +76 *2622:5 *2632:10 8.16827e-05 +77 *2622:23 *2632:40 0.00147277 +78 *2628:39 *2632:51 0.000577699 +79 *2630:16 *2632:68 0 +80 *2630:18 *2632:68 0.000439076 +81 *2631:11 *2632:11 0.00204529 +82 *2631:16 *2632:40 9.59581e-05 +*RES +1 *21777:X *2632:10 29.5304 +2 *2632:10 *2632:11 71.1581 +3 *2632:11 *2632:31 41.213 +4 *2632:31 *2632:40 32.3699 +5 *2632:40 *2632:51 38.7017 +6 *2632:51 *2632:63 45.0796 +7 *2632:63 *2632:65 66.4439 +8 *2632:65 *2632:67 4.5 +9 *2632:67 *2632:68 89.4502 +10 *2632:68 *3698:DIODE 13.7491 +11 *2632:68 *21508:A 16.9985 +*END + +*D_NET *2633 0.0711873 +*CONN +*I *21509:A I *D sky130_fd_sc_hd__inv_2 +*I *3699:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21778:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *21509:A 6.11288e-05 +2 *3699:DIODE 0 +3 *21778:X 0.000702096 +4 *2633:74 0.0014223 +5 *2633:73 0.00189863 +6 *2633:67 0.00179535 +7 *2633:49 0.00811548 +8 *2633:48 0.00702253 +9 *2633:40 0.00272045 +10 *2633:39 0.00313939 +11 *2633:23 0.000990993 +12 *2633:20 0.00110103 +13 *2633:10 0.001396 +14 *21509:A *2794:40 6.6828e-05 +15 *2633:10 *2775:15 0.000111722 +16 *2633:10 *2919:21 1.41291e-05 +17 *2633:20 *22951:A 7.24449e-05 +18 *2633:20 *2911:11 0.00230087 +19 *2633:20 *2915:13 4.17341e-05 +20 *2633:20 *2915:24 0.000962531 +21 *2633:20 *2919:23 2.1801e-05 +22 *2633:23 *2915:25 0.00395879 +23 *2633:23 *2927:9 0.00195761 +24 *2633:39 *22956:A 3.05511e-05 +25 *2633:39 *22957:A 0.000101325 +26 *2633:39 *2643:14 0.000143782 +27 *2633:39 *2919:23 0.000284695 +28 *2633:39 *2919:35 0.000813898 +29 *2633:39 *2931:17 0.000317649 +30 *2633:40 *22957:A 0 +31 *2633:40 *2634:22 0 +32 *2633:40 *2920:36 0.000254549 +33 *2633:40 *2920:40 0.000242858 +34 *2633:40 *3338:12 0 +35 *2633:40 *3461:12 0.00137135 +36 *2633:48 *2925:36 1.66771e-05 +37 *2633:49 *22491:A_N 1.67988e-05 +38 *2633:49 *2634:31 2.8182e-06 +39 *2633:49 *2926:38 0.000249643 +40 *2633:49 *3198:5 0.00197743 +41 *2633:67 *3198:19 0.00291565 +42 *2633:73 *2797:22 3.29979e-05 +43 *2633:73 *2801:36 0.00166546 +44 *2633:73 *3348:13 0.00268019 +45 *2633:74 *2794:24 0.000343749 +46 *2633:74 *2794:40 0.00161173 +47 *2633:74 *2800:27 7.44713e-05 +48 la_data_in_mprj[87] *2633:10 0.000218017 +49 la_data_in_mprj[88] *2633:10 0.000100777 +50 la_data_in_mprj[91] *2633:20 0.000245342 +51 la_data_in_mprj[97] *2633:39 3.71149e-05 +52 la_data_in_mprj[98] *2633:40 0.000157355 +53 *3979:DIODE *2633:39 6.50586e-05 +54 *4252:DIODE *2633:10 0.000106215 +55 *4257:DIODE *2633:20 6.50727e-05 +56 *4265:DIODE *2633:39 0.000160617 +57 *4265:DIODE *2633:40 0.000177963 +58 *21542:A *2633:74 9.07574e-05 +59 *21780:A *2633:10 0.000134843 +60 *21786:A *2633:20 6.08467e-05 +61 *21920:A *2633:10 0.000201774 +62 *506:5 *2633:20 0.000138607 +63 *511:10 *2633:39 7.02842e-05 +64 *513:8 *2633:40 5.1493e-06 +65 *627:12 *2633:10 6.50727e-05 +66 *634:5 *2633:20 2.54903e-05 +67 *639:8 *2633:39 5.29685e-05 +68 *884:8 *2633:10 0.00011818 +69 *885:7 *2633:10 1.89536e-05 +70 *889:8 *2633:20 3.6669e-05 +71 *1146:34 *2633:40 1.9101e-05 +72 *1152:20 *2633:40 0.000159765 +73 *1180:13 *2633:49 0 +74 *1180:19 *2633:48 7.86825e-06 +75 *1183:9 *21509:A 0.00011818 +76 *1184:22 *2633:74 5.27268e-05 +77 *1206:33 *21509:A 0.00011818 +78 *1215:6 *2633:73 0.000210295 +79 *1454:15 *2633:49 0.000438052 +80 *1454:15 *2633:67 0.000307436 +81 *1485:14 *2633:74 0.000162899 +82 *1608:6 *2633:73 0.00012791 +83 *1839:10 *2633:49 0.00208469 +84 *1843:8 *2633:67 0.000756938 +85 *1956:14 *2633:49 0.00413166 +86 *1987:26 *2633:73 0.000417596 +87 *2094:8 *2633:23 9.82896e-06 +88 *2100:49 *2633:40 0.0010148 +89 *2111:22 *2633:23 0.00210255 +90 *2115:25 *2633:40 0.000378358 +91 *2117:14 *2633:48 0.000182259 +92 *2278:14 *2633:48 0.000528096 +93 *2413:12 *21509:A 0 +94 *2413:12 *2633:74 0 +95 *2494:33 *2633:40 4.25398e-05 +96 *2532:14 *2633:74 0.000260984 +97 *2628:5 *2633:10 6.73559e-05 +98 *2628:20 *2633:10 0.000112012 +*RES +1 *21778:X *2633:10 38.4125 +2 *2633:10 *2633:20 48.002 +3 *2633:20 *2633:23 47.9279 +4 *2633:23 *2633:39 34.8662 +5 *2633:39 *2633:40 68.48 +6 *2633:40 *2633:48 12.3545 +7 *2633:48 *2633:49 128.837 +8 *2633:49 *2633:67 48.386 +9 *2633:67 *2633:73 42.8863 +10 *2633:73 *2633:74 39.2047 +11 *2633:74 *3699:DIODE 13.7491 +12 *2633:74 *21509:A 16.4439 +*END + +*D_NET *2634 0.0524962 +*CONN +*I *3700:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21510:A I *D sky130_fd_sc_hd__inv_2 +*I *21779:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3700:DIODE 5.55368e-05 +2 *21510:A 0 +3 *21779:X 0.00215631 +4 *2634:38 0.00109401 +5 *2634:33 0.00356563 +6 *2634:31 0.00489311 +7 *2634:28 0.00303407 +8 *2634:22 0.002263 +9 *2634:15 0.00375119 +10 *2634:15 *2635:17 0.000196569 +11 *2634:15 *2636:11 0.00870099 +12 *2634:15 *2919:14 0.000414368 +13 *2634:15 *2961:30 0.000131309 +14 *2634:22 *6000:DIODE 2.3386e-05 +15 *2634:22 *22958:A 0.000193525 +16 *2634:22 *2920:36 0.00153263 +17 *2634:22 *3028:28 0.000468584 +18 *2634:22 *3340:14 0.000309454 +19 *2634:28 *2925:36 2.4523e-05 +20 *2634:31 *22491:A_N 5.43595e-05 +21 *2634:33 *2925:43 0.000897677 +22 *2634:33 *2926:38 0.000110762 +23 *2634:33 *3198:5 0.00139489 +24 *4265:DIODE *2634:22 2.05347e-05 +25 *4684:DIODE *2634:33 0.00040971 +26 *22369:TE *2634:33 0.000152878 +27 *628:5 *2634:15 2.37478e-05 +28 *641:8 *2634:22 3.17434e-05 +29 *1176:23 *3700:DIODE 0.000108087 +30 *1180:13 *2634:31 0.000371149 +31 *1205:23 *3700:DIODE 0.000258142 +32 *1320:9 *2634:38 2.77419e-05 +33 *1323:19 *2634:28 0.00011971 +34 *1333:9 *2634:33 0.000373061 +35 *1594:9 *2634:22 0.000573403 +36 *1845:9 *2634:33 0.00155363 +37 *1956:14 *2634:31 0.000647032 +38 *1957:14 *2634:28 0.000309819 +39 *1987:25 *2634:28 0.000853776 +40 *1990:28 *2634:15 0.00948634 +41 *2092:55 *2634:38 9.29751e-05 +42 *2092:57 *2634:38 0.000404864 +43 *2099:17 *2634:28 2.14743e-05 +44 *2112:23 *2634:22 0.000167226 +45 *2264:22 *2634:38 0.000125045 +46 *2440:6 *2634:38 7.60715e-05 +47 *2624:36 *2634:28 0.0010193 +48 *2633:40 *2634:22 0 +49 *2633:49 *2634:31 2.8182e-06 +*RES +1 *21779:X *2634:15 35.1258 +2 *2634:15 *2634:22 48.9686 +3 *2634:22 *2634:28 33.43 +4 *2634:28 *2634:31 46.225 +5 *2634:31 *2634:33 73.9311 +6 *2634:33 *2634:38 33.2556 +7 *2634:38 *21510:A 9.24915 +8 *2634:38 *3700:DIODE 12.191 +*END + +*D_NET *2635 0.0348804 +*CONN +*I *3701:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21511:A I *D sky130_fd_sc_hd__inv_2 +*I *21780:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3701:DIODE 0.00023891 +2 *21511:A 6.93955e-05 +3 *21780:X 0.0059835 +4 *2635:18 0.000459097 +5 *2635:17 0.00613429 +6 *2635:17 *2636:11 0.00235242 +7 *2635:17 *2912:30 0.00540231 +8 *2635:17 *2914:21 8.62904e-05 +9 *2635:17 *2961:30 0.00881352 +10 *2635:18 *3461:6 0 +11 *629:5 *2635:17 1.05746e-05 +12 *885:7 *2635:17 7.13655e-06 +13 *1321:10 *21511:A 6.22259e-05 +14 *1951:18 *2635:17 0.000773767 +15 *2070:43 *2635:17 0.002346 +16 *2111:39 *2635:17 0.000735332 +17 *2123:20 *2635:17 0.00041565 +18 *2124:33 *2635:17 0.000622166 +19 *2323:20 *3701:DIODE 0.000171288 +20 *2491:12 *2635:18 0 +21 *2634:15 *2635:17 0.000196569 +*RES +1 *21780:X *2635:17 49.0653 +2 *2635:17 *2635:18 2.6625 +3 *2635:18 *21511:A 15.3735 +4 *2635:18 *3701:DIODE 17.255 +*END + +*D_NET *2636 0.0538681 +*CONN +*I *3702:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21512:A I *D sky130_fd_sc_hd__inv_2 +*I *21781:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3702:DIODE 2.09358e-05 +2 *21512:A 0 +3 *21781:X 0.00584472 +4 *2636:26 2.09358e-05 +5 *2636:24 0.00360216 +6 *2636:23 0.00414576 +7 *2636:20 0.00100902 +8 *2636:14 0.00183138 +9 *2636:11 0.00721069 +10 *2636:11 *2961:30 0.00649834 +11 *2636:14 *22843:A 0 +12 *2636:14 *2663:10 0 +13 *2636:14 *2928:24 0 +14 *2636:14 *3212:6 0 +15 *2636:20 *3218:8 4.01379e-05 +16 *2636:24 *2669:6 0 +17 *2636:24 *2913:14 0 +18 *2636:24 *3193:11 4.41527e-05 +19 *2636:24 *3219:8 0 +20 *2636:24 *3470:8 0 +21 la_data_in_mprj[109] *2636:14 3.22738e-05 +22 *3854:DIODE *2636:14 0 +23 *4136:DIODE *2636:14 0 +24 *4254:DIODE *2636:11 0 +25 *23103:A *2636:24 1.05272e-06 +26 *526:5 *2636:14 0 +27 *630:12 *2636:11 1.66824e-05 +28 *886:7 *2636:11 5.22654e-06 +29 *1137:19 *3702:DIODE 0.000171288 +30 *1146:23 *2636:20 0.00312468 +31 *1192:42 *2636:24 0 +32 *1193:21 *3702:DIODE 7.48797e-05 +33 *1454:15 *2636:24 8.35735e-05 +34 *1604:8 *2636:14 0.000136093 +35 *1829:13 *2636:24 7.34493e-05 +36 *1980:56 *2636:20 0.00312028 +37 *1990:28 *2636:11 0.00179218 +38 *1990:40 *2636:11 0.00107502 +39 *2090:21 *2636:14 0.000440041 +40 *2111:39 *2636:11 0.0007662 +41 *2124:33 *2636:11 0.000632652 +42 *2351:6 *2636:24 0 +43 *2500:17 *2636:23 0.000880282 +44 *2523:18 *2636:24 0 +45 *2540:30 *2636:20 0.000120583 +46 *2634:15 *2636:11 0.00870099 +47 *2635:17 *2636:11 0.00235242 +*RES +1 *21781:X *2636:11 45.6543 +2 *2636:11 *2636:14 34.3615 +3 *2636:14 *2636:20 45.6621 +4 *2636:20 *2636:23 14.0971 +5 *2636:23 *2636:24 69.1029 +6 *2636:24 *2636:26 4.5 +7 *2636:26 *21512:A 9.24915 +8 *2636:26 *3702:DIODE 11.0817 +*END + +*D_NET *2637 0.00665235 +*CONN +*I *3703:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21513:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21782:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *3703:DIODE 2.76278e-05 +2 *21513:A 0 +3 *21782:X 0.00166583 +4 *2637:16 0.00026178 +5 *2637:10 0.00189998 +6 *2637:10 *5465:DIODE 0 +7 *2637:10 *22948:A 0.000176749 +8 *2637:10 *2781:8 0 +9 *2637:10 *2916:5 0.000311235 +10 *2637:10 *3327:11 0.000111708 +11 *2637:10 *3331:9 0 +12 *4255:DIODE *2637:10 4.75272e-05 +13 *1148:25 *2637:16 0.000683032 +14 *1709:10 *2637:10 7.09666e-06 +15 *1710:18 *2637:10 5.11322e-06 +16 *1980:46 *2637:16 0.000687244 +17 *1985:50 *3703:DIODE 0.000383717 +18 *2084:18 *3703:DIODE 0.000383717 +*RES +1 *21782:X *2637:10 49.7384 +2 *2637:10 *2637:16 19.0411 +3 *2637:16 *21513:A 9.24915 +4 *2637:16 *3703:DIODE 13.3002 +*END + +*D_NET *2638 0.0130721 +*CONN +*I *3622:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21432:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21783:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3622:DIODE 7.75737e-05 +2 *21432:A 0 +3 *21783:X 0.0012197 +4 *2638:26 7.75737e-05 +5 *2638:24 0.0029174 +6 *2638:23 0.00413709 +7 *2638:23 *2676:10 0.000118166 +8 *2638:23 *2768:10 0.000423922 +9 *2638:23 *2792:8 6.08467e-05 +10 *2638:23 *2819:15 0.000324166 +11 *2638:24 *22864:A 8.71199e-05 +12 *2638:24 *23311:A 0.000252342 +13 *2638:24 *2688:10 0.00146904 +14 *2638:24 *2689:16 0 +15 *2638:24 *2689:18 0 +16 *2638:24 *2805:12 0 +17 *2638:24 *3235:8 0.000130286 +18 *3877:DIODE *2638:24 4.15008e-05 +19 *4009:DIODE *2638:23 5.31074e-05 +20 *4152:DIODE *2638:24 0 +21 *21667:A *2638:23 6.50727e-05 +22 *21679:A *2638:23 0.00011818 +23 *21689:A *2638:23 6.50727e-05 +24 *21795:A *2638:23 2.41483e-05 +25 *419:5 *2638:24 6.08697e-06 +26 *515:8 *2638:23 9.39797e-05 +27 *527:10 *2638:23 0.000262339 +28 *538:10 *2638:23 0.000122378 +29 *547:10 *2638:24 3.31882e-05 +30 *643:10 *2638:23 0.000306162 +31 *1441:9 *3622:DIODE 0.000277502 +32 *1497:9 *2638:24 0 +33 *1625:11 *2638:24 0 +34 *1626:15 *2638:24 0 +35 *2145:39 *2638:24 0.000226281 +36 *2522:14 *2638:23 8.18715e-05 +37 *2544:10 *2638:24 0 +*RES +1 *21783:X *2638:23 47.4112 +2 *2638:23 *2638:24 86.9587 +3 *2638:24 *2638:26 4.5 +4 *2638:26 *21432:A 9.24915 +5 *2638:26 *3622:DIODE 12.191 +*END + +*D_NET *2639 0.03077 +*CONN +*I *3704:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21514:A I *D sky130_fd_sc_hd__inv_2 +*I *21784:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3704:DIODE 6.95819e-05 +2 *21514:A 2.18282e-05 +3 *21784:X 0.000349246 +4 *2639:25 0.000961457 +5 *2639:21 0.0013934 +6 *2639:14 0.00141435 +7 *2639:9 0.00123285 +8 *2639:8 0.000691098 +9 *3704:DIODE *3050:23 2.41483e-05 +10 *2639:9 *2642:9 4.09471e-05 +11 *2639:9 *2645:9 0.00112721 +12 *2639:9 *2926:9 8.92437e-05 +13 *2639:9 *2966:9 0.000404093 +14 *2639:21 *5353:DIODE 1.65872e-05 +15 *2639:21 *21354:A 0 +16 *2639:21 *3203:9 5.99527e-05 +17 *2639:25 *3712:DIODE 0.000239718 +18 *2639:25 *21354:A 8.9075e-05 +19 *2639:25 *2648:17 0.00063214 +20 *2639:25 *2794:16 0.00088531 +21 *2639:25 *3050:23 0.000206156 +22 *2639:25 *3209:7 0.000988743 +23 la_data_in_mprj[97] *2639:14 0.000148721 +24 *3980:DIODE *2639:14 0 +25 *4264:DIODE *2639:14 0.000281674 +26 *5619:DIODE *2639:25 6.9815e-05 +27 *505:5 *2639:8 2.16533e-05 +28 *512:5 *2639:14 0 +29 *633:8 *2639:8 0.000123807 +30 *895:7 *2639:14 3.47496e-05 +31 *1138:5 *2639:21 3.66773e-05 +32 *1138:7 *2639:21 0.00347887 +33 *1156:11 *2639:21 0.000133887 +34 *1325:7 *3704:DIODE 0.000228593 +35 *2080:32 *2639:9 0.00298598 +36 *2253:44 *2639:21 0.000196989 +37 *2253:51 *2639:21 0.00112873 +38 *2491:31 *2639:14 7.09666e-06 +39 *2511:9 *2639:21 0.000110652 +40 *2511:9 *2639:25 2.51237e-05 +41 *2512:18 *2639:21 7.68538e-06 +42 *2512:18 *2639:25 0.0029488 +43 *2624:35 *2639:21 0.00154549 +44 *2631:11 *2639:9 0.0040288 +45 *2632:11 *2639:9 0.00228913 +*RES +1 *21784:X *2639:8 24.6489 +2 *2639:8 *2639:9 56.1838 +3 *2639:9 *2639:14 26.1963 +4 *2639:14 *2639:21 41.2818 +5 *2639:21 *2639:25 49.5526 +6 *2639:25 *21514:A 9.82786 +7 *2639:25 *3704:DIODE 12.191 +*END + +*D_NET *2640 0.0346705 +*CONN +*I *6038:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23366:A I *D sky130_fd_sc_hd__nand2_1 +*I *21785:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6038:DIODE 0 +2 *23366:A 0.000202671 +3 *21785:X 0.000963972 +4 *2640:20 0.00266208 +5 *2640:19 0.0024594 +6 *2640:17 0.00525127 +7 *2640:15 0.00530638 +8 *2640:9 0.00529129 +9 *2640:8 0.00620016 +10 *23366:A *3199:16 6.10372e-05 +11 *2640:8 *22728:A 0 +12 *2640:8 *22982:A 0.000169093 +13 *2640:8 *3085:8 0 +14 *2640:8 *3367:8 0 +15 *2640:9 *3079:11 0.000104058 +16 *2640:17 *3079:11 0.000209208 +17 *2640:20 *2798:24 0 +18 *2640:20 *2798:42 0 +19 *2640:20 *3199:16 0.00137068 +20 *6518:DIODE *2640:15 6.92705e-05 +21 *6518:DIODE *2640:17 0.000220542 +22 *1185:16 *23366:A 7.08288e-05 +23 *1613:11 *23366:A 5.86553e-06 +24 *1615:16 *23366:A 6.50465e-05 +25 *1615:16 *2640:20 1.07248e-05 +26 *1856:9 *2640:17 0.000420883 +27 *2109:37 *23366:A 1.05564e-05 +28 *2109:37 *2640:20 0.000218348 +29 *2358:16 *2640:20 9.34404e-05 +30 *2399:15 *2640:17 0.00230727 +31 *2405:7 *2640:9 0.000751898 +32 *2405:7 *2640:15 2.41483e-05 +33 *2431:9 *2640:17 0.000150326 +*RES +1 *21785:X *2640:8 41.6743 +2 *2640:8 *2640:9 78.3679 +3 *2640:9 *2640:15 2.43543 +4 *2640:15 *2640:17 83.3593 +5 *2640:17 *2640:19 4.5 +6 *2640:19 *2640:20 64.5351 +7 *2640:20 *23366:A 18.0727 +8 *2640:20 *6038:DIODE 13.7491 +*END + +*D_NET *2641 0.00503433 +*CONN +*I *21515:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3705:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21786:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21515:A 0.000208467 +2 *3705:DIODE 0 +3 *21786:X 0.000749432 +4 *2641:17 0.0009579 +5 *21515:A *2786:12 0.000143759 +6 *21515:A *2789:18 8.56892e-05 +7 *21515:A *2916:5 0.000115934 +8 *21515:A *2921:11 0.000211464 +9 *21515:A *2922:15 0.000111722 +10 *2641:17 *5470:DIODE 6.50727e-05 +11 *2641:17 *2782:7 0.00013489 +12 *2641:17 *2782:8 0 +13 *2641:17 *2789:18 0.000171288 +14 *2641:17 *2919:23 0.000103232 +15 *2641:17 *2921:11 4.09471e-05 +16 *2641:17 *2924:13 0.00114374 +17 *2641:17 *3333:19 0.000479276 +18 la_data_in_mprj[92] *2641:17 8.62625e-06 +19 *3975:DIODE *2641:17 0 +20 *4258:DIODE *2641:17 0.000126234 +21 *4260:DIODE *21515:A 0.000104481 +22 *21927:A *2641:17 6.50727e-05 +23 *23210:A *21515:A 7.09666e-06 +*RES +1 *21786:X *2641:17 43.5054 +2 *2641:17 *3705:DIODE 9.24915 +3 *2641:17 *21515:A 25.5708 +*END + +*D_NET *2642 0.0224978 +*CONN +*I *3706:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21516:A I *D sky130_fd_sc_hd__inv_2 +*I *21787:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3706:DIODE 3.30647e-05 +2 *21516:A 0.000227382 +3 *21787:X 0.000392694 +4 *2642:14 0.000859166 +5 *2642:9 0.00328166 +6 *2642:8 0.00307564 +7 *3706:DIODE *2931:50 6.50727e-05 +8 *21516:A *21405:A 5.24963e-06 +9 *21516:A *2931:50 0.000217937 +10 *21516:A *3013:19 3.117e-05 +11 *2642:8 *2782:8 2.1203e-06 +12 *2642:8 *2926:8 0 +13 *2642:9 *2648:11 0.00013754 +14 *2642:9 *2915:31 0.0060662 +15 *2642:9 *2966:9 0.00139288 +16 *2642:14 *2799:12 3.58511e-05 +17 *2642:14 *2801:9 0 +18 *2642:14 *2915:40 0 +19 *2642:14 *2930:23 7.44425e-06 +20 *3852:DIODE *2642:14 0.000162814 +21 *4134:DIODE *2642:14 0 +22 *396:7 *2642:14 3.13159e-05 +23 *507:5 *2642:8 1.87297e-05 +24 *524:8 *2642:14 0.000366051 +25 *635:8 *2642:8 0.000123807 +26 *2080:32 *2642:9 0.0052738 +27 *2124:33 *2642:8 0 +28 *2632:11 *2642:9 0.000649254 +29 *2639:9 *2642:9 4.09471e-05 +*RES +1 *21787:X *2642:8 25.4794 +2 *2642:8 *2642:9 129.391 +3 *2642:9 *2642:14 22.8743 +4 *2642:14 *21516:A 13.8548 +5 *2642:14 *3706:DIODE 9.97254 +*END + +*D_NET *2643 0.0448609 +*CONN +*I *3707:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21517:A I *D sky130_fd_sc_hd__inv_2 +*I *21788:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3707:DIODE 2.97872e-05 +2 *21517:A 0 +3 *21788:X 0.00036389 +4 *2643:24 0.000128674 +5 *2643:19 0.0075934 +6 *2643:17 0.00787659 +7 *2643:14 0.00220275 +8 *2643:11 0.00218456 +9 *3707:DIODE *3219:7 0.000271058 +10 *2643:11 *2911:11 0.00223483 +11 *2643:11 *2915:25 0.00224327 +12 *2643:14 *22956:A 7.49001e-05 +13 *2643:14 *2922:25 0 +14 *2643:14 *2930:8 1.86204e-05 +15 *2643:14 *3337:14 3.06035e-05 +16 *2643:24 *2670:6 2.71944e-05 +17 *2643:24 *2803:16 0.000131356 +18 *4263:DIODE *2643:14 4.42191e-05 +19 *23211:A *2643:14 0 +20 *23467:A *2643:14 7.20593e-05 +21 *508:5 *2643:11 1.5013e-05 +22 *511:10 *2643:14 9.59184e-05 +23 *636:5 *2643:11 7.94607e-05 +24 *894:10 *2643:14 0 +25 *1152:20 *2643:14 0.000116868 +26 *1586:10 *2643:14 1.2657e-05 +27 *1714:9 *2643:14 0.000372545 +28 *1967:40 *3707:DIODE 0.000271058 +29 *1985:50 *2643:17 0.0030204 +30 *1985:50 *2643:19 4.73598e-05 +31 *1996:32 *2643:17 0.000101365 +32 *2253:35 *2643:14 0 +33 *2253:44 *2643:14 0 +34 *2269:40 *2643:17 0.0029184 +35 *2269:52 *2643:19 0.0121383 +36 *2491:31 *2643:14 0 +37 *2628:38 *2643:14 0 +38 *2633:39 *2643:14 0.000143782 +*RES +1 *21788:X *2643:11 49.1525 +2 *2643:11 *2643:14 41.2132 +3 *2643:14 *2643:17 33.4691 +4 *2643:17 *2643:19 133.274 +5 *2643:19 *2643:24 11.6625 +6 *2643:24 *21517:A 9.24915 +7 *2643:24 *3707:DIODE 12.191 +*END + +*D_NET *2644 0.0131095 +*CONN +*I *3708:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21518:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21789:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3708:DIODE 0.000240318 +2 *21518:A 0 +3 *21789:X 0.000201097 +4 *2644:12 0.000602876 +5 *2644:9 0.000703434 +6 *2644:8 0.000541972 +7 *3708:DIODE *2916:20 4.61508e-05 +8 *2644:9 *2927:9 0.00485063 +9 *2644:9 *3045:13 0.000385925 +10 la_data_in_mprj[101] *2644:12 5.66806e-05 +11 la_data_in_mprj[95] *2644:8 0.0001651 +12 *3986:DIODE *3708:DIODE 0 +13 *4127:DIODE *3708:DIODE 0.000114086 +14 *4127:DIODE *2644:12 0.000195389 +15 *517:5 *2644:12 0 +16 *773:8 *2644:12 5.88009e-05 +17 *893:9 *2644:8 3.31412e-05 +18 *1329:10 *3708:DIODE 5.48536e-05 +19 *2094:8 *2644:9 0.00485904 +20 *2511:8 *3708:DIODE 0 +*RES +1 *21789:X *2644:8 22.5727 +2 *2644:8 *2644:9 51.1923 +3 *2644:9 *2644:12 12.5608 +4 *2644:12 *21518:A 13.7491 +5 *2644:12 *3708:DIODE 19.3184 +*END + +*D_NET *2645 0.0308697 +*CONN +*I *3709:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21519:A I *D sky130_fd_sc_hd__inv_2 +*I *21790:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3709:DIODE 2.19902e-05 +2 *21519:A 2.60774e-05 +3 *21790:X 0.000334735 +4 *2645:22 0.00076559 +5 *2645:19 0.000895106 +6 *2645:15 0.000458218 +7 *2645:9 0.000842264 +8 *2645:8 0.000896365 +9 *2645:8 *2787:9 3.40382e-05 +10 *2645:9 *2648:11 0.00557114 +11 *2645:9 *2911:22 0.000647008 +12 *2645:9 *2915:31 1.67988e-05 +13 *2645:9 *2926:9 0.0072088 +14 *2645:9 *2966:9 0.000903049 +15 *2645:15 *2911:22 0.000776874 +16 *2645:15 *2911:28 0.000410271 +17 *2645:15 *2915:31 0.00165184 +18 *2645:15 *2966:9 0.000207517 +19 *2645:19 *2803:9 0.00202359 +20 *2645:19 *2911:28 2.8873e-05 +21 *2645:19 *2966:9 0.000288665 +22 *2645:22 *22846:A 0.000333051 +23 *2645:22 *2666:15 0.00048805 +24 *2645:22 *2931:50 0.000315328 +25 *3857:DIODE *2645:22 2.04806e-05 +26 *401:5 *2645:22 4.59084e-05 +27 *529:13 *2645:22 0.000142692 +28 *638:5 *2645:8 0.000143913 +29 *894:10 *2645:8 1.68667e-05 +30 *2080:32 *2645:9 0.0007171 +31 *2512:25 *3709:DIODE 0.000164829 +32 *2512:25 *21519:A 0.000107496 +33 *2520:9 *2645:19 0.00244846 +34 *2627:14 *2645:22 0 +35 *2630:11 *2645:9 0.000209985 +36 *2630:11 *2645:15 9.95248e-05 +37 *2630:11 *2645:19 0.000221864 +38 *2631:16 *2645:8 0 +39 *2632:65 *3709:DIODE 0.000150632 +40 *2632:65 *21519:A 0.000107496 +41 *2639:9 *2645:9 0.00112721 +*RES +1 *21790:X *2645:8 24.6489 +2 *2645:8 *2645:9 87.2416 +3 *2645:9 *2645:15 23.7877 +4 *2645:15 *2645:19 31.0125 +5 *2645:19 *2645:22 24.6031 +6 *2645:22 *21519:A 10.5271 +7 *2645:22 *3709:DIODE 11.0817 +*END + +*D_NET *2646 0.0340552 +*CONN +*I *3710:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21520:A I *D sky130_fd_sc_hd__inv_2 +*I *21791:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3710:DIODE 4.3469e-05 +2 *21520:A 0 +3 *21791:X 0.000113826 +4 *2646:14 0.000717749 +5 *2646:9 0.00254339 +6 *2646:8 0.00198294 +7 *2646:9 *2647:9 0.00282914 +8 *2646:9 *2658:11 0.00347275 +9 *2646:9 *2800:9 0.00179774 +10 *2646:9 *2810:9 0.00353455 +11 *2646:9 *2915:25 0.0027085 +12 *2646:9 *2927:9 0.0122319 +13 *2646:14 *22852:A 5.14745e-05 +14 *2646:14 *2804:22 7.10077e-05 +15 *2646:14 *2814:6 0 +16 *2646:14 *3221:10 7.37342e-05 +17 *3864:DIODE *2646:14 5.85371e-05 +18 *23108:A *2646:14 4.15661e-05 +19 *407:5 *2646:14 5.36171e-05 +20 *535:8 *2646:14 5.87664e-05 +21 *639:8 *2646:8 2.27643e-05 +22 *895:7 *2646:8 0.000118738 +23 *1611:10 *2646:14 0.000305998 +24 *2512:25 *3710:DIODE 0.000260374 +25 *2527:18 *2646:14 0 +26 *2530:9 *2646:9 0.000702362 +27 *2632:65 *3710:DIODE 0.000260374 +*RES +1 *21791:X *2646:8 20.9116 +2 *2646:8 *2646:9 178.197 +3 *2646:9 *2646:14 25.7811 +4 *2646:14 *21520:A 9.24915 +5 *2646:14 *3710:DIODE 12.191 +*END + +*D_NET *2647 0.0402209 +*CONN +*I *3711:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21521:A I *D sky130_fd_sc_hd__inv_2 +*I *21792:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3711:DIODE 0.000115787 +2 *21521:A 1.67835e-05 +3 *21792:X 8.15803e-05 +4 *2647:12 0.000385504 +5 *2647:9 0.00555908 +6 *2647:8 0.00538773 +7 *3711:DIODE *2823:8 7.86847e-05 +8 *3711:DIODE *3224:8 6.84784e-06 +9 *3711:DIODE *3230:5 6.50727e-05 +10 *21521:A *2813:29 5.07314e-05 +11 *21521:A *3047:20 0.00011818 +12 *2647:9 *2658:11 0.00346431 +13 *2647:9 *2799:11 0.00197773 +14 *2647:9 *2810:9 0.00887226 +15 *2647:9 *2911:11 0.00593618 +16 *2647:9 *2915:25 0.00210555 +17 *2647:12 *3224:8 6.08697e-06 +18 la_data_in_mprj[124] *2647:12 2.72156e-05 +19 *3872:DIODE *2647:12 3.5359e-05 +20 *4014:DIODE *3711:DIODE 0 +21 *415:7 *2647:12 0.000138103 +22 *512:5 *2647:8 1.5013e-05 +23 *543:5 *2647:12 0 +24 *640:8 *2647:8 7.94607e-05 +25 *798:7 *2647:12 0 +26 *1332:6 *3711:DIODE 1.79672e-05 +27 *2535:9 *2647:9 0.00285053 +28 *2538:9 *2647:12 0 +29 *2646:9 *2647:9 0.00282914 +*RES +1 *21792:X *2647:8 20.0811 +2 *2647:8 *2647:9 229.775 +3 *2647:9 *2647:12 10.8998 +4 *2647:12 *21521:A 15.0271 +5 *2647:12 *3711:DIODE 16.7198 +*END + +*D_NET *2648 0.0172983 +*CONN +*I *3712:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21522:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21793:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3712:DIODE 6.80585e-05 +2 *21522:A 2.18282e-05 +3 *21793:X 0.000401161 +4 *2648:17 0.000953799 +5 *2648:11 0.00121003 +6 *2648:10 0.000747284 +7 *3712:DIODE *3050:23 9.5562e-05 +8 *2648:11 *2915:31 0.0045792 +9 *2648:11 *2966:9 0.000669504 +10 *2648:17 *22839:A 0 +11 *2648:17 *3050:23 0.000250542 +12 la_data_in_mprj[99] *2648:10 4.62102e-05 +13 *3849:DIODE *2648:17 2.27414e-05 +14 *4266:DIODE *2648:10 1.05272e-06 +15 *5619:DIODE *2648:17 6.08467e-05 +16 *394:13 *2648:17 5.26361e-07 +17 *513:8 *2648:10 0.000116 +18 *514:5 *2648:10 0 +19 *522:8 *2648:17 6.54447e-05 +20 *641:8 *2648:10 0 +21 *778:7 *2648:17 5.63085e-05 +22 *897:12 *2648:10 0.000163764 +23 *1600:9 *2648:17 0 +24 *2080:32 *2648:11 0.000702348 +25 *2515:16 *2648:17 0.000485579 +26 *2639:25 *3712:DIODE 0.000239718 +27 *2639:25 *2648:17 0.00063214 +28 *2642:9 *2648:11 0.00013754 +29 *2645:9 *2648:11 0.00557114 +*RES +1 *21793:X *2648:10 26.7574 +2 *2648:10 *2648:11 58.4022 +3 *2648:11 *2648:17 33.0204 +4 *2648:17 *21522:A 9.82786 +5 *2648:17 *3712:DIODE 12.191 +*END + +*D_NET *2649 0.00317389 +*CONN +*I *3713:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21523:A I *D sky130_fd_sc_hd__clkinv_2 +*I *21794:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *3713:DIODE 9.45423e-05 +2 *21523:A 0 +3 *21794:X 0.000658732 +4 *2649:9 0.000753275 +5 *2649:9 *22834:A 0.000292117 +6 *2649:9 *22959:A 4.85627e-05 +7 *2649:9 *2653:8 3.08014e-05 +8 *2649:9 *2791:6 0.000443544 +9 *2649:9 *2919:35 0 +10 *2649:9 *3203:9 4.98646e-05 +11 *389:7 *2649:9 0 +12 *642:8 *2649:9 1.66321e-05 +13 *898:8 *2649:9 1.37385e-05 +14 *2080:47 *2649:9 3.40268e-05 +15 *2491:24 *2649:9 7.09666e-06 +16 *2628:39 *3713:DIODE 0.000324166 +17 *2628:39 *2649:9 0.000406794 +*RES +1 *21794:X *2649:9 37.1443 +2 *2649:9 *21523:A 9.24915 +3 *2649:9 *3713:DIODE 12.7456 +*END + +*D_NET *2650 0.00981412 +*CONN +*I *3623:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21433:A I *D sky130_fd_sc_hd__inv_2 +*I *21795:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3623:DIODE 7.94419e-05 +2 *21433:A 0 +3 *21795:X 0 +4 *2650:8 7.94419e-05 +5 *2650:6 0.00387217 +6 *2650:5 0.00387217 +7 *3623:DIODE *2935:11 0.000167076 +8 *3623:DIODE *3059:15 0.000379505 +9 *2650:6 *22960:A 7.58739e-05 +10 *2650:6 *2768:10 3.20069e-06 +11 *2650:6 *2923:6 0 +12 *2650:6 *2935:8 0 +13 la_data_in_mprj[9] *2650:6 0 +14 *3983:DIODE *2650:6 5.54078e-05 +15 *4125:DIODE *2650:6 0 +16 *22277:A *2650:6 5.53379e-05 +17 *22294:TE *2650:6 0.000117105 +18 *899:8 *2650:6 0 +19 *1173:40 *2650:6 0.000334152 +20 *1241:8 *2650:6 8.8567e-05 +21 *1770:8 *2650:6 3.34802e-05 +22 *2375:8 *2650:6 0 +23 *2395:6 *2650:6 0 +24 *2479:6 *2650:6 0 +25 *2546:14 *2650:6 0.000601192 +*RES +1 *21795:X *2650:5 13.7491 +2 *2650:5 *2650:6 106.476 +3 *2650:6 *2650:8 4.5 +4 *2650:8 *21433:A 9.24915 +5 *2650:8 *3623:DIODE 13.3002 +*END + +*D_NET *2651 0.0395198 +*CONN +*I *23367:A I *D sky130_fd_sc_hd__nand2_1 +*I *6040:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21796:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23367:A 0.000208089 +2 *6040:DIODE 6.65237e-05 +3 *21796:X 7.65268e-05 +4 *2651:28 0.000843341 +5 *2651:25 0.00568965 +6 *2651:23 0.00574218 +7 *2651:19 0.00142672 +8 *2651:8 0.00679412 +9 *2651:7 0.00606519 +10 *6040:DIODE *2702:8 3.77659e-05 +11 *23367:A *3328:9 0.000373061 +12 *2651:7 *3001:29 0.000111722 +13 *2651:8 *22983:A 0 +14 *2651:8 *2829:20 7.57527e-05 +15 *2651:8 *2866:6 8.41232e-05 +16 *2651:8 *2866:14 0.00121624 +17 *2651:8 *2867:12 0 +18 *2651:8 *2867:34 0 +19 *2651:8 *3040:28 0.000470352 +20 *2651:8 *3093:32 0 +21 *2651:8 *3132:9 6.75845e-06 +22 *2651:8 *3368:16 0 +23 *2651:8 *3381:16 0.000363523 +24 *2651:8 *3383:16 0.000408876 +25 *2651:23 *3123:8 0.00223482 +26 *2651:25 *3123:8 0.000165488 +27 *2651:25 *3265:8 0.000207266 +28 *2651:28 *2702:8 0.000364855 +29 la_oenb_core[11] *2651:8 8.62625e-06 +30 *3995:DIODE *2651:8 6.85742e-05 +31 *4550:DIODE *2651:25 0.000275256 +32 *4551:DIODE *2651:25 0.00015511 +33 *4823:DIODE *2651:8 2.07943e-05 +34 *6117:DIODE *2651:19 0 +35 *21468:A *2651:8 4.42033e-05 +36 *22298:TE *2651:8 1.25173e-05 +37 *23146:A *2651:25 0.0002646 +38 *1266:11 *2651:25 4.88955e-05 +39 *1274:9 *2651:8 0 +40 *1378:11 *2651:8 0 +41 *1380:22 *2651:8 1.02986e-05 +42 *1395:7 *2651:19 0.000317707 +43 *1395:9 *2651:19 1.67988e-05 +44 *1399:10 *2651:8 3.07553e-05 +45 *1524:9 *2651:19 0.000111722 +46 *1652:8 *2651:19 0.000154145 +47 *1652:8 *2651:23 1.15389e-05 +48 *1654:15 *2651:19 0.000110675 +49 *1656:9 *2651:8 0.000981323 +50 *1774:6 *2651:8 0.000122042 +51 *1778:9 *2651:25 0.000222149 +52 *1887:20 *2651:8 0.00026067 +53 *1892:21 *2651:8 9.24241e-05 +54 *1903:15 *2651:8 4.20184e-06 +55 *2010:8 *2651:19 0.000736122 +56 *2025:13 *2651:8 3.68638e-05 +57 *2071:22 *2651:19 1.41853e-05 +58 *2071:22 *2651:23 0.000805834 +59 *2141:31 *23367:A 2.02035e-05 +60 *2142:52 *23367:A 0.000158997 +61 *2145:27 *23367:A 1.85096e-05 +62 *2145:30 *23367:A 9.41522e-06 +63 *2147:85 *2651:28 9.8526e-05 +64 *2497:15 *2651:25 0.000584907 +65 *2558:12 *23367:A 0.000158642 +66 *2560:6 *6040:DIODE 2.22788e-05 +67 *2560:6 *2651:28 0.000198917 +68 *2580:14 *2651:19 2.03583e-05 +69 *2582:16 *2651:8 0.000258045 +*RES +1 *21796:X *2651:7 15.0271 +2 *2651:7 *2651:8 133.052 +3 *2651:8 *2651:19 29.974 +4 *2651:19 *2651:23 25.7047 +5 *2651:23 *2651:25 115.526 +6 *2651:25 *2651:28 21.2811 +7 *2651:28 *6040:DIODE 15.5811 +8 *2651:28 *23367:A 31.0595 +*END + +*D_NET *2652 0.00663922 +*CONN +*I *5740:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23217:A I *D sky130_fd_sc_hd__and2_1 +*I *21797:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5740:DIODE 4.81106e-05 +2 *23217:A 6.1073e-05 +3 *21797:X 0.00135673 +4 *2652:11 0.00158079 +5 *2652:10 0.00282834 +6 *2652:10 *22883:A 2.93863e-05 +7 *2652:10 *3257:5 7.23598e-05 +8 *3843:DIODE *2652:10 1.83795e-06 +9 *3985:DIODE *2652:10 2.41274e-06 +10 *23150:A *2652:11 0.000115615 +11 *1631:11 *23217:A 0.000252327 +12 *1653:11 *5740:DIODE 1.83795e-06 +13 *1653:11 *2652:11 3.60729e-05 +14 *2602:6 *23217:A 0.000252327 +*RES +1 *21797:X *2652:10 39.2402 +2 *2652:10 *2652:11 21.2437 +3 *2652:11 *23217:A 22.5727 +4 *2652:11 *5740:DIODE 9.97254 +*END + +*D_NET *2653 0.0036875 +*CONN +*I *23218:A I *D sky130_fd_sc_hd__and2_1 +*I *5742:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21798:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23218:A 0.000134517 +2 *5742:DIODE 4.51842e-05 +3 *21798:X 0.000511261 +4 *2653:8 0.000690962 +5 *23218:A *2791:6 8.61737e-05 +6 *2653:8 *2655:11 0.000315461 +7 *2653:8 *2655:15 0 +8 *2653:8 *2791:6 0.000495248 +9 *2653:8 *2916:5 6.50727e-05 +10 *2653:8 *2916:17 0.000135644 +11 *2653:8 *2930:9 4.60283e-05 +12 *2653:8 *2931:31 0.000627661 +13 *4124:DIODE *2653:8 2.41483e-05 +14 *5999:DIODE *23218:A 9.32704e-05 +15 *5999:DIODE *2653:8 7.09666e-06 +16 *23090:A *2653:8 4.99151e-05 +17 *23218:B *23218:A 2.16355e-05 +18 *1149:15 *5742:DIODE 6.08467e-05 +19 *1152:9 *23218:A 0.000158357 +20 *1593:9 *2653:8 6.83123e-05 +21 *2255:26 *23218:A 1.98996e-05 +22 *2649:9 *2653:8 3.08014e-05 +*RES +1 *21798:X *2653:8 32.3712 +2 *2653:8 *5742:DIODE 14.4725 +3 *2653:8 *23218:A 17.829 +*END + +*D_NET *2654 0.00981533 +*CONN +*I *23219:A I *D sky130_fd_sc_hd__and2_1 +*I *5744:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21799:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23219:A 1.24403e-05 +2 *5744:DIODE 0 +3 *21799:X 0.00140241 +4 *2654:14 0.000630425 +5 *2654:8 0.00202039 +6 *2654:8 *23223:A 0.00019352 +7 *2654:8 *23349:A 2.44508e-05 +8 *2654:8 *2658:12 0.00020133 +9 *2654:14 *2790:14 0 +10 *2654:14 *3461:12 0 +11 *1148:25 *23219:A 2.57986e-05 +12 *1596:9 *2654:8 0.000100751 +13 *1980:48 *23219:A 3.07133e-05 +14 *1985:50 *2654:14 0.00317989 +15 *2080:49 *2654:8 0 +16 *2084:18 *2654:14 0.000521459 +17 *2084:22 *2654:14 0.000953429 +18 *2251:25 *2654:14 1.84334e-05 +19 *2491:24 *2654:14 0.000413252 +20 *2494:16 *2654:8 0 +21 *2512:12 *2654:8 8.6639e-05 +*RES +1 *21799:X *2654:8 47.0725 +2 *2654:8 *2654:14 46.632 +3 *2654:14 *5744:DIODE 9.24915 +4 *2654:14 *23219:A 9.97254 +*END + +*D_NET *2655 0.00928607 +*CONN +*I *5746:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23220:A I *D sky130_fd_sc_hd__and2_1 +*I *21800:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5746:DIODE 0 +2 *23220:A 0.000198817 +3 *21800:X 0.000448611 +4 *2655:15 0.00133919 +5 *2655:11 0.00158898 +6 *23220:A *2928:14 6.22259e-05 +7 *2655:11 *2930:9 0.00045623 +8 *2655:11 *2931:31 0.0013113 +9 *2655:15 *5998:DIODE 0.000255638 +10 *2655:15 *2916:17 0 +11 *2655:15 *2919:35 7.25274e-05 +12 *2655:15 *2919:49 0.000110477 +13 *2655:15 *3204:9 0 +14 *3987:DIODE *2655:11 0.0003122 +15 *4267:DIODE *2655:15 9.97045e-06 +16 *21656:A *2655:11 6.08467e-05 +17 *21798:A *2655:11 0.000171288 +18 *23220:B *23220:A 2.65831e-05 +19 *389:7 *2655:15 3.04443e-05 +20 *518:10 *2655:11 0.000118166 +21 *519:10 *2655:11 4.30017e-06 +22 *1172:11 *23220:A 0.000158357 +23 *1172:11 *2655:15 0.000704796 +24 *1334:8 *2655:15 0.000205532 +25 *1593:9 *2655:15 3.65814e-05 +26 *1980:48 *23220:A 6.50727e-05 +27 *2250:15 *2655:15 0 +28 *2477:14 *2655:15 0.000278237 +29 *2502:12 *23220:A 3.69489e-05 +30 *2506:26 *23220:A 0.000154145 +31 *2506:26 *2655:15 0.000718994 +32 *2511:8 *2655:15 0 +33 *2624:36 *23220:A 3.41452e-05 +34 *2653:8 *2655:11 0.000315461 +35 *2653:8 *2655:15 0 +*RES +1 *21800:X *2655:11 35.5957 +2 *2655:11 *2655:15 35.8584 +3 *2655:15 *23220:A 24.2981 +4 *2655:15 *5746:DIODE 9.24915 +*END + +*D_NET *2656 0.0064693 +*CONN +*I *5748:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23221:A I *D sky130_fd_sc_hd__and2_1 +*I *21801:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5748:DIODE 0 +2 *23221:A 0.000208245 +3 *21801:X 0.00172581 +4 *2656:11 0.00193406 +5 *23221:A *2916:20 0.00021817 +6 *2656:11 *2794:10 0.000106722 +7 *2656:11 *2930:9 0.000835707 +8 *2656:11 *2934:13 0 +9 *4130:DIODE *2656:11 0 +10 *2253:51 *23221:A 0.000214644 +11 *2494:33 *2656:11 5.73392e-05 +12 *2628:39 *23221:A 1.03403e-05 +13 *2628:39 *2656:11 7.92757e-06 +14 *2632:51 *2656:11 0.00115033 +*RES +1 *21801:X *2656:11 49.9409 +2 *2656:11 *23221:A 23.7113 +3 *2656:11 *5748:DIODE 9.24915 +*END + +*D_NET *2657 0.00484283 +*CONN +*I *23222:A I *D sky130_fd_sc_hd__and2_1 +*I *5750:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21802:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23222:A 3.93497e-05 +2 *5750:DIODE 4.85187e-05 +3 *21802:X 0 +4 *2657:8 0.00225045 +5 *2657:5 0.00216258 +6 *2657:8 *23224:A 5.93616e-05 +7 *2657:8 *2660:10 4.17447e-05 +8 *2657:8 *2660:15 0.000193213 +9 *2657:8 *2917:12 0 +10 *2657:8 *2926:12 0 +11 *3990:DIODE *2657:8 1.24564e-05 +12 *1329:24 *2657:8 1.30227e-05 +13 *1965:19 *2657:8 7.75133e-06 +14 *1978:24 *5750:DIODE 1.43848e-05 +15 *2513:13 *2657:8 0 +*RES +1 *21802:X *2657:5 13.7491 +2 *2657:5 *2657:8 45.781 +3 *2657:8 *5750:DIODE 9.97254 +4 *2657:8 *23222:A 10.2378 +*END + +*D_NET *2658 0.010936 +*CONN +*I *23223:A I *D sky130_fd_sc_hd__and2_1 +*I *5752:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21803:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23223:A 0.000213847 +2 *5752:DIODE 0 +3 *21803:X 9.01551e-05 +4 *2658:12 0.00111894 +5 *2658:11 0.00131671 +6 *2658:8 0.000501767 +7 *2658:12 *22836:A 0 +8 la_data_in_mprj[106] *2658:8 0.000103246 +9 *4129:DIODE *2658:12 0 +10 *395:5 *2658:8 3.58522e-05 +11 *518:10 *2658:12 7.23954e-05 +12 *774:7 *2658:12 0.000151161 +13 *2494:16 *23223:A 0 +14 *2512:12 *2658:12 0 +15 *2646:9 *2658:11 0.00347275 +16 *2647:9 *2658:11 0.00346431 +17 *2654:8 *23223:A 0.00019352 +18 *2654:8 *2658:12 0.00020133 +*RES +1 *21803:X *2658:8 20.4964 +2 *2658:8 *2658:11 42.3818 +3 *2658:11 *2658:12 18.8573 +4 *2658:12 *5752:DIODE 13.7491 +5 *2658:12 *23223:A 18.9764 +*END + +*D_NET *2659 0.00557844 +*CONN +*I *5754:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23224:A I *D sky130_fd_sc_hd__and2_1 +*I *21804:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5754:DIODE 0 +2 *23224:A 0.000136174 +3 *21804:X 0.000870202 +4 *2659:19 0.00019501 +5 *2659:16 0.000929038 +6 *23224:A *2917:12 5.58888e-05 +7 *2659:16 *5358:DIODE 3.48042e-05 +8 *2659:16 *22840:A 0.00033483 +9 *2659:16 *2919:60 0.00033296 +10 *2659:16 *3210:9 0 +11 *6013:DIODE *2659:19 4.09471e-05 +12 *23353:A *2659:16 0.000164843 +13 *23353:A *2659:19 0.000217937 +14 *523:8 *2659:16 7.25274e-05 +15 *1333:6 *2659:16 0.000450217 +16 *1472:5 *23224:A 0.000118166 +17 *1472:5 *2659:19 0.000203739 +18 *1599:10 *2659:16 1.42932e-05 +19 *2515:16 *2659:16 1.42932e-05 +20 *2516:17 *2659:16 0.000432613 +21 *2625:23 *23224:A 0.000113968 +22 *2625:23 *2659:19 0.000525925 +23 *2625:29 *2659:16 0.0002607 +24 *2657:8 *23224:A 5.93616e-05 +*RES +1 *21804:X *2659:16 49.784 +2 *2659:16 *2659:19 10.2148 +3 *2659:19 *23224:A 22.6049 +4 *2659:19 *5754:DIODE 9.24915 +*END + +*D_NET *2660 0.00696184 +*CONN +*I *23225:A I *D sky130_fd_sc_hd__and2_1 +*I *5756:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21805:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23225:A 2.15683e-05 +2 *5756:DIODE 3.94027e-05 +3 *21805:X 0.00168337 +4 *2660:15 0.000744297 +5 *2660:10 0.00236669 +6 *2660:10 *5359:DIODE 0.000271044 +7 *2660:10 *22839:A 0 +8 *2660:10 *2796:11 0.000123938 +9 *2660:10 *3208:8 0 +10 *2660:10 *3210:9 0.000889 +11 *2660:15 *2794:16 6.09278e-05 +12 *2660:15 *2917:12 5.7057e-05 +13 *4133:DIODE *2660:10 7.26408e-05 +14 *5757:DIODE *23225:A 4.31603e-06 +15 *5757:DIODE *2660:15 3.81056e-05 +16 *1472:5 *2660:15 0.000319954 +17 *2512:18 *2660:15 0 +18 *2625:23 *5756:DIODE 1.03403e-05 +19 *2625:23 *2660:15 2.42273e-05 +20 *2657:8 *2660:10 4.17447e-05 +21 *2657:8 *2660:15 0.000193213 +*RES +1 *21805:X *2660:10 42.3042 +2 *2660:10 *2660:15 21.1457 +3 *2660:15 *5756:DIODE 9.97254 +4 *2660:15 *23225:A 9.82786 +*END + +*D_NET *2661 0.00499165 +*CONN +*I *23226:A I *D sky130_fd_sc_hd__and2_1 +*I *5758:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21806:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23226:A 3.59789e-05 +2 *5758:DIODE 4.3163e-05 +3 *21806:X 0.00116174 +4 *2661:11 0.000567673 +5 *2661:10 0.00165027 +6 *2661:10 *22842:A 0 +7 *2661:10 *2915:42 0 +8 *4136:DIODE *2661:10 0 +9 *5759:DIODE *2661:11 0.000217937 +10 *525:8 *2661:10 2.65831e-05 +11 *1141:21 *2661:11 0.000362123 +12 *1325:8 *2661:10 0 +13 *1601:9 *2661:10 0.000755659 +14 *1980:52 *5758:DIODE 1.84293e-05 +15 *1980:52 *2661:11 1.19721e-05 +16 *1980:54 *23226:A 9.95922e-06 +17 *1980:56 *2661:11 5.75672e-05 +18 *2090:21 *2661:10 0 +19 *2122:39 *2661:10 4.875e-05 +20 *2261:21 *2661:10 1.5714e-05 +21 *2268:63 *2661:10 8.13176e-06 +22 *2625:30 *2661:10 0 +*RES +1 *21806:X *2661:10 43.6434 +2 *2661:10 *2661:11 8.48785 +3 *2661:11 *5758:DIODE 9.97254 +4 *2661:11 *23226:A 10.2378 +*END + +*D_NET *2662 0.0296249 +*CONN +*I *23368:A I *D sky130_fd_sc_hd__nand2_2 +*I *6042:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21807:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23368:A 2.6558e-05 +2 *6042:DIODE 0.000134422 +3 *21807:X 0.000641056 +4 *2662:12 0.00300275 +5 *2662:11 0.00284177 +6 *2662:9 0.00650891 +7 *2662:8 0.00714996 +8 *6042:DIODE *3066:10 0.000165638 +9 *2662:8 *22728:A 0 +10 *2662:8 *22729:A 0 +11 *2662:8 *3085:8 0 +12 *2662:8 *3086:16 0 +13 *2662:8 *3375:6 0 +14 *2662:9 *3353:9 0.000844786 +15 *2662:12 *2798:42 0 +16 *2662:12 *3028:18 7.50872e-05 +17 *2662:12 *3066:10 0.000536257 +18 *2662:12 *3066:14 0.000498515 +19 *2662:12 *3066:18 0 +20 *2662:12 *3250:14 8.98279e-05 +21 *2662:12 *3345:13 0.000139435 +22 *4006:DIODE *2662:8 1.79807e-05 +23 *6520:DIODE *2662:9 0.000266918 +24 *6521:DIODE *2662:9 0.000209595 +25 *6523:DIODE *2662:9 0.000175485 +26 *6530:DIODE *2662:9 0.000254475 +27 *22375:B *2662:12 0 +28 *22376:B *2662:12 6.73572e-05 +29 *1140:12 *2662:12 0.000330367 +30 *1176:9 *23368:A 6.50586e-05 +31 *1198:21 *23368:A 6.33285e-05 +32 *1858:9 *2662:9 0.000634801 +33 *1859:23 *2662:9 0.000156384 +34 *1868:17 *2662:9 2.16355e-05 +35 *1967:43 *2662:12 0 +36 *1968:55 *6042:DIODE 5.79657e-05 +37 *1968:55 *2662:12 0.000468723 +38 *2348:9 *2662:9 0.00398637 +39 *2359:16 *2662:12 0.000140354 +40 *2432:8 *2662:12 5.30921e-05 +*RES +1 *21807:X *2662:8 33.3692 +2 *2662:8 *2662:9 164.331 +3 *2662:9 *2662:11 4.5 +4 *2662:11 *2662:12 73.6706 +5 *2662:12 *6042:DIODE 17.2421 +6 *2662:12 *23368:A 15.0513 +*END + +*D_NET *2663 0.00508665 +*CONN +*I *23227:A I *D sky130_fd_sc_hd__and2_1 +*I *5760:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21808:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23227:A 4.88948e-05 +2 *5760:DIODE 0 +3 *21808:X 8.25831e-05 +4 *2663:10 0.00187591 +5 *2663:7 0.0019096 +6 *2663:7 *2801:9 1.17054e-05 +7 *2663:10 *22843:A 0.000162626 +8 *2663:10 *2911:28 0 +9 *2663:10 *2928:24 0.000101081 +10 *2663:10 *2930:24 0 +11 *2663:10 *3212:6 0.000709345 +12 *3996:DIODE *2663:10 6.96979e-05 +13 *21808:A *2663:7 2.57986e-05 +14 *1604:8 *2663:10 5.30923e-05 +15 *1995:18 *23227:A 3.63091e-05 +16 *2507:12 *2663:10 0 +17 *2514:16 *2663:10 0 +18 *2636:14 *2663:10 0 +*RES +1 *21808:X *2663:7 15.0271 +2 *2663:7 *2663:10 42.8742 +3 *2663:10 *5760:DIODE 9.24915 +4 *2663:10 *23227:A 10.5513 +*END + +*D_NET *2664 0.0354473 +*CONN +*I *23228:A I *D sky130_fd_sc_hd__and2_1 +*I *5762:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21809:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23228:A 0.000120774 +2 *5762:DIODE 0 +3 *21809:X 2.84672e-05 +4 *2664:23 0.00041073 +5 *2664:20 0.00182073 +6 *2664:9 0.0110675 +7 *2664:8 0.00956517 +8 *2664:8 *2732:15 7.50872e-05 +9 *2664:9 *2676:11 0.00626045 +10 *2664:9 *2676:20 0.000434769 +11 *2664:9 *2732:9 6.50586e-05 +12 *2664:9 *2842:11 0.000878344 +13 *2664:9 *2961:43 0.00225617 +14 *2664:9 *2961:49 1.71154e-05 +15 *2664:9 *2961:51 0.000252235 +16 *2664:20 *22884:A 0 +17 *2664:20 *2706:8 0 +18 *2664:20 *2961:43 0.00073389 +19 *2664:20 *3258:8 0 +20 *2664:23 *2962:17 0.00043038 +21 la_data_in_mprj[11] *2664:8 7.86847e-05 +22 la_data_in_mprj[30] *2664:20 0.000678817 +23 la_data_in_mprj[31] *2664:20 0.000104642 +24 *4183:DIODE *2664:20 8.95741e-05 +25 *823:5 *2664:20 2.99509e-05 +26 *1151:77 *23228:A 5.04829e-06 +27 *1151:77 *2664:23 1.92172e-05 +28 *2146:21 *2664:20 8.62625e-06 +29 *2448:19 *23228:A 1.58551e-05 +*RES +1 *21809:X *2664:8 19.6659 +2 *2664:8 *2664:9 162.668 +3 *2664:9 *2664:20 45.6459 +4 *2664:20 *2664:23 9.66022 +5 *2664:23 *5762:DIODE 9.24915 +6 *2664:23 *23228:A 11.5158 +*END + +*D_NET *2665 0.00647467 +*CONN +*I *23229:A I *D sky130_fd_sc_hd__and2_1 +*I *5764:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21810:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23229:A 0.000279656 +2 *5764:DIODE 0 +3 *21810:X 0.00101671 +4 *2665:12 0.000455966 +5 *2665:9 0.00119301 +6 *23229:A *2798:12 6.88675e-05 +7 *2665:9 *22845:A 0.000126063 +8 *2665:9 *3214:12 1.42662e-05 +9 *3998:DIODE *2665:9 0.000123582 +10 *23102:A *2665:9 6.8457e-05 +11 *23229:B *23229:A 0.000107496 +12 *528:5 *2665:9 6.76686e-05 +13 *1172:11 *23229:A 2.15348e-05 +14 *1325:8 *23229:A 5.06502e-05 +15 *1325:8 *2665:12 6.85752e-05 +16 *1601:9 *23229:A 5.16308e-05 +17 *1601:9 *2665:12 0.000113586 +18 *1605:9 *2665:9 0 +19 *2477:21 *2665:9 0.001466 +20 *2500:17 *23229:A 0.000158371 +21 *2514:11 *2665:9 0.000814322 +22 *2519:14 *2665:9 0.000208257 +*RES +1 *21810:X *2665:9 49.6215 +2 *2665:9 *2665:12 9.65401 +3 *2665:12 *5764:DIODE 13.7491 +4 *2665:12 *23229:A 21.0533 +*END + +*D_NET *2666 0.00460962 +*CONN +*I *5766:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23230:A I *D sky130_fd_sc_hd__and2_1 +*I *21811:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5766:DIODE 0 +2 *23230:A 0.000165678 +3 *21811:X 0.00100701 +4 *2666:15 0.00117269 +5 *23230:A *2802:16 0.000214182 +6 *2666:15 *2796:11 7.34948e-06 +7 *2666:15 *2796:27 8.70198e-05 +8 *2666:15 *2804:15 0.000104392 +9 *2666:15 *2804:17 1.61631e-05 +10 *2666:15 *2927:12 9.75294e-05 +11 *2666:15 *2931:50 1.02986e-05 +12 *529:13 *2666:15 0.000122098 +13 *1142:8 *2666:15 1.40063e-05 +14 *1152:9 *23230:A 6.08467e-05 +15 *1152:9 *2666:15 0.000831695 +16 *2519:14 *23230:A 0.000210599 +17 *2645:22 *2666:15 0.00048805 +*RES +1 *21811:X *2666:15 41.9403 +2 *2666:15 *23230:A 23.2961 +3 *2666:15 *5766:DIODE 9.24915 +*END + +*D_NET *2667 0.00603418 +*CONN +*I *23231:A I *D sky130_fd_sc_hd__and2_1 +*I *5768:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21812:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23231:A 6.26221e-05 +2 *5768:DIODE 0 +3 *21812:X 0 +4 *2667:9 0.00131774 +5 *2667:5 0.00125512 +6 *2667:9 *2668:10 0 +7 *2667:9 *2668:12 4.68201e-05 +8 *2667:9 *2809:10 0 +9 *4143:DIODE *2667:9 2.12377e-05 +10 *1330:8 *2667:9 0.00150961 +11 *1965:38 *23231:A 3.01723e-05 +12 *1995:25 *23231:A 6.50727e-05 +13 *1995:25 *2667:9 0.000160617 +14 *2092:49 *2667:9 0.00151918 +15 *2525:8 *2667:9 4.59975e-05 +*RES +1 *21812:X *2667:5 13.7491 +2 *2667:5 *2667:9 44.7068 +3 *2667:9 *5768:DIODE 9.24915 +4 *2667:9 *23231:A 11.1059 +*END + +*D_NET *2668 0.00934051 +*CONN +*I *23232:A I *D sky130_fd_sc_hd__and2_1 +*I *5770:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21813:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23232:A 0 +2 *5770:DIODE 2.31818e-05 +3 *21813:X 0.000570265 +4 *2668:15 4.68468e-05 +5 *2668:12 0.00250589 +6 *2668:10 0.00305249 +7 *2668:10 *22848:A 0 +8 *2668:10 *3218:8 0 +9 *2668:12 *3218:8 0 +10 *4143:DIODE *2668:10 7.86847e-05 +11 *1330:8 *2668:12 0.000806345 +12 *1456:10 *2668:12 0.000249779 +13 *1978:37 *2668:12 0.000117975 +14 *1995:25 *2668:12 0.000204386 +15 *2092:55 *2668:12 6.50891e-05 +16 *2092:57 *2668:12 0.00011286 +17 *2264:22 *5770:DIODE 6.50586e-05 +18 *2264:22 *2668:15 6.08467e-05 +19 *2353:16 *2668:12 6.22259e-05 +20 *2524:17 *2668:10 0.000530137 +21 *2540:24 *2668:12 0.00011286 +22 *2540:30 *2668:12 0.000628775 +23 *2667:9 *2668:10 0 +24 *2667:9 *2668:12 4.68201e-05 +*RES +1 *21813:X *2668:10 24.5569 +2 *2668:10 *2668:12 61.1764 +3 *2668:12 *2668:15 5.2234 +4 *2668:15 *5770:DIODE 9.97254 +5 *2668:15 *23232:A 9.24915 +*END + +*D_NET *2669 0.0080337 +*CONN +*I *23233:A I *D sky130_fd_sc_hd__and2_1 +*I *5772:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21814:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23233:A 1.82395e-05 +2 *5772:DIODE 4.51842e-05 +3 *21814:X 0 +4 *2669:6 0.00257609 +5 *2669:5 0.00251267 +6 *5772:DIODE *3198:19 6.08467e-05 +7 *23233:A *3470:8 4.55115e-05 +8 *2669:6 *22849:A 0 +9 *2669:6 *3193:11 0 +10 *2669:6 *3219:8 0 +11 *2669:6 *3470:8 0.000254886 +12 *1329:44 *2669:6 0 +13 *2094:13 *2669:6 8.64872e-05 +14 *2094:15 *2669:6 0.000137694 +15 *2551:16 *23233:A 5.41377e-05 +16 *2551:16 *2669:6 0.00224196 +17 *2636:24 *2669:6 0 +*RES +1 *21814:X *2669:5 13.7491 +2 *2669:5 *2669:6 61.2131 +3 *2669:6 *5772:DIODE 14.4725 +4 *2669:6 *23233:A 14.7506 +*END + +*D_NET *2670 0.00726088 +*CONN +*I *23234:A I *D sky130_fd_sc_hd__and2_1 +*I *5774:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21815:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23234:A 6.74442e-05 +2 *5774:DIODE 0 +3 *21815:X 0 +4 *2670:9 0.000106847 +5 *2670:6 0.00272076 +6 *2670:5 0.00268136 +7 *2670:6 *22850:A 6.65184e-05 +8 *2670:6 *22851:A 0 +9 *2670:6 *2796:32 0 +10 *2670:6 *2801:18 0 +11 *2670:6 *2803:14 0 +12 *2670:6 *2803:16 0 +13 *2670:6 *3220:8 0.000436576 +14 *4146:DIODE *2670:6 0.000117218 +15 *533:10 *2670:6 0 +16 *789:11 *2670:6 5.12109e-05 +17 *1974:29 *2670:6 0.000475636 +18 *2113:13 *2670:6 0.000197257 +19 *2517:35 *23234:A 2.85274e-05 +20 *2517:35 *2670:9 1.03403e-05 +21 *2562:16 *2670:6 0.000273989 +22 *2643:24 *2670:6 2.71944e-05 +*RES +1 *21815:X *2670:5 13.7491 +2 *2670:5 *2670:6 60.3826 +3 *2670:6 *2670:9 5.2234 +4 *2670:9 *5774:DIODE 9.24915 +5 *2670:9 *23234:A 11.1059 +*END + +*D_NET *2671 0.00629993 +*CONN +*I *23235:A I *D sky130_fd_sc_hd__and2_1 +*I *5776:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21816:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23235:A 0.000104975 +2 *5776:DIODE 0 +3 *21816:X 0 +4 *2671:9 0.000227853 +5 *2671:8 0.00183472 +6 *2671:5 0.00171184 +7 *2671:8 *2672:14 0 +8 *2671:8 *2812:8 0.00224464 +9 *2671:8 *2813:10 1.07248e-05 +10 *2671:8 *2814:6 0 +11 *2671:8 *3193:12 4.84823e-05 +12 *4147:DIODE *2671:8 1.75625e-05 +13 *2114:20 *23235:A 6.26598e-05 +14 *2114:20 *2671:9 3.64685e-05 +15 *2631:20 *2671:8 0 +*RES +1 *21816:X *2671:5 13.7491 +2 *2671:5 *2671:8 49.103 +3 *2671:8 *2671:9 1.8326 +4 *2671:9 *5776:DIODE 9.24915 +5 *2671:9 *23235:A 11.5158 +*END + +*D_NET *2672 0.00348974 +*CONN +*I *23236:A I *D sky130_fd_sc_hd__and2_1 +*I *5778:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21817:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23236:A 5.69837e-05 +2 *5778:DIODE 0 +3 *21817:X 0.000799296 +4 *2672:14 0.00085628 +5 *23236:A *2814:6 1.48503e-05 +6 *2672:14 *2811:11 0.000164829 +7 *2672:14 *2811:25 6.31685e-05 +8 *2672:14 *2814:6 0.000255804 +9 la_data_in_mprj[117] *2672:14 0.000139038 +10 *4147:DIODE *2672:14 0.000319424 +11 *21676:A *2672:14 0.000113968 +12 *535:8 *2672:14 0.000160617 +13 *1152:9 *23236:A 6.50727e-05 +14 *2477:29 *23236:A 6.50727e-05 +15 *2527:15 *2672:14 0.000415332 +16 *2671:8 *2672:14 0 +*RES +1 *21817:X *2672:14 37.85 +2 *2672:14 *5778:DIODE 13.7491 +3 *2672:14 *23236:A 15.474 +*END + +*D_NET *2673 0.0494888 +*CONN +*I *6044:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23369:A I *D sky130_fd_sc_hd__nand2_1 +*I *21818:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6044:DIODE 0 +2 *23369:A 0.000169384 +3 *21818:X 4.85009e-05 +4 *2673:17 0.0021649 +5 *2673:16 0.00235052 +6 *2673:11 0.00172885 +7 *2673:10 0.00137385 +8 *2673:8 0.00419796 +9 *2673:7 0.00424646 +10 *2673:7 *3371:29 1.43983e-05 +11 *2673:8 *3574:DIODE 5.88776e-05 +12 *2673:8 *3605:DIODE 0 +13 *2673:8 *22729:A 0 +14 *2673:8 *22984:A 1.70077e-05 +15 *2673:8 *2825:29 0 +16 *2673:8 *2826:33 4.15143e-05 +17 *2673:8 *2955:20 7.86825e-06 +18 *2673:8 *3025:18 0 +19 *2673:8 *3370:12 0 +20 *2673:11 *2809:29 0.000158371 +21 *2673:11 *2825:23 0.0122969 +22 *2673:16 *2740:20 0.000565488 +23 *2673:16 *3034:6 0.00110162 +24 *2673:17 *2823:9 0 +25 la_oenb_core[121] *2673:8 7.50872e-05 +26 *5640:DIODE *2673:17 0.000167076 +27 *6045:DIODE *23369:A 0.000162583 +28 *22401:B *2673:8 0 +29 *22504:A *2673:17 3.31745e-05 +30 *22504:TE *2673:17 4.31703e-05 +31 *23496:A *2673:8 0 +32 *23501:A *2673:8 4.23937e-05 +33 *1359:8 *2673:8 0 +34 *1364:8 *2673:8 0.000115374 +35 *1749:8 *2673:8 0 +36 *1973:46 *2673:17 0.000321723 +37 *1975:30 *23369:A 0.000281975 +38 *1975:30 *2673:17 0.000239388 +39 *1975:39 *2673:17 0.000888986 +40 *1975:40 *2673:17 0.00413737 +41 *1975:45 *2673:16 1.76791e-05 +42 *1975:49 *2673:16 0.000487276 +43 *1996:50 *2673:11 7.15081e-05 +44 *1996:52 *2673:11 0.0111228 +45 *2136:48 *2673:11 0.000430405 +46 *2364:7 *2673:17 6.08467e-05 +47 *2429:26 *2673:17 0.000247443 +48 *2480:9 *2673:11 0 +*RES +1 *21818:X *2673:7 14.4725 +2 *2673:7 *2673:8 101.908 +3 *2673:8 *2673:10 4.5 +4 *2673:10 *2673:11 132.719 +5 *2673:11 *2673:16 28.6878 +6 *2673:16 *2673:17 66.7212 +7 *2673:17 *23369:A 15.0122 +8 *2673:17 *6044:DIODE 9.24915 +*END + +*D_NET *2674 0.00546381 +*CONN +*I *23237:A I *D sky130_fd_sc_hd__and2_1 +*I *5780:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21819:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23237:A 0.000181239 +2 *5780:DIODE 0 +3 *21819:X 0.000179671 +4 *2674:8 0.00143484 +5 *2674:7 0.00143327 +6 *23237:A *2675:16 0 +7 *2674:7 *2675:15 6.83768e-05 +8 *2674:8 *2675:16 0 +9 *2674:8 *2811:25 0.000152628 +10 *2674:8 *2816:13 0.000436553 +11 *2674:8 *3223:11 0.000463137 +12 la_data_in_mprj[119] *2674:8 0.000164998 +13 *3866:DIODE *2674:8 2.04783e-05 +14 *4007:DIODE *2674:7 7.16893e-05 +15 *4149:DIODE *2674:8 0 +16 *5781:DIODE *23237:A 9.95922e-06 +17 *409:5 *2674:8 4.86172e-06 +18 *1967:40 *23237:A 2.07365e-05 +19 *2269:58 *23237:A 0.000175671 +20 *2516:30 *23237:A 0.000203986 +21 *2516:30 *2674:8 0.000441715 +*RES +1 *21819:X *2674:7 16.691 +2 *2674:7 *2674:8 33.3912 +3 *2674:8 *5780:DIODE 13.7491 +4 *2674:8 *23237:A 19.5141 +*END + +*D_NET *2675 0.0113932 +*CONN +*I *5782:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23238:A I *D sky130_fd_sc_hd__and2_1 +*I *21820:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5782:DIODE 8.48984e-05 +2 *23238:A 2.61617e-05 +3 *21820:X 0.000436918 +4 *2675:16 0.00293226 +5 *2675:15 0.00325812 +6 *5782:DIODE *2797:26 0 +7 *2675:15 *2815:5 0.000258693 +8 *2675:16 *2797:22 0 +9 *2675:16 *3223:11 0 +10 *4007:DIODE *2675:15 0.000154145 +11 *4149:DIODE *2675:16 0.000320644 +12 *21678:A *2675:15 0.00011818 +13 *22367:TE *23238:A 1.65872e-05 +14 *22367:TE *2675:16 0.000145145 +15 *23237:A *2675:16 0 +16 *23360:A *23238:A 6.3657e-05 +17 *23360:B *2675:16 1.59723e-05 +18 *23361:A *2675:16 6.97218e-05 +19 *408:8 *2675:16 0 +20 *537:8 *2675:15 7.48797e-05 +21 *1141:10 *2675:16 0.00130882 +22 *1224:15 *23238:A 0.000110306 +23 *1328:17 *2675:16 0.000175892 +24 *1482:10 *2675:16 0.000130568 +25 *1607:10 *2675:16 0 +26 *1843:8 *2675:16 8.08437e-05 +27 *2269:58 *2675:16 0 +28 *2516:30 *2675:16 0 +29 *2524:28 *2675:16 0.000340405 +30 *2524:38 *2675:16 0.000818998 +31 *2585:12 *5782:DIODE 0.000110675 +32 *2585:12 *2675:16 0.000272282 +33 *2674:7 *2675:15 6.83768e-05 +34 *2674:8 *2675:16 0 +*RES +1 *21820:X *2675:15 24.1419 +2 *2675:15 *2675:16 73.2554 +3 *2675:16 *23238:A 15.0271 +4 *2675:16 *5782:DIODE 15.9964 +*END + +*D_NET *2676 0.024736 +*CONN +*I *5784:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23239:A I *D sky130_fd_sc_hd__and2_1 +*I *21821:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5784:DIODE 3.27515e-05 +2 *23239:A 0.000149316 +3 *21821:X 0.000139879 +4 *2676:21 0.00175291 +5 *2676:20 0.00256152 +6 *2676:11 0.00180447 +7 *2676:10 0.000953671 +8 *5784:DIODE *3206:9 6.50727e-05 +9 *23239:A *2842:12 9.47726e-05 +10 *2676:11 *2833:11 0.000863825 +11 *2676:11 *2961:49 3.37871e-05 +12 *2676:11 *2961:51 0.00412441 +13 *2676:20 *2698:6 0 +14 *2676:20 *2699:6 0 +15 *2676:20 *2961:49 0.00187492 +16 *2676:21 *3206:9 0.00256197 +17 la_data_in_mprj[22] *2676:20 0.000332543 +18 *4172:DIODE *2676:20 0.000372379 +19 *23239:B *23239:A 1.70219e-05 +20 *430:10 *2676:20 6.31809e-05 +21 *538:10 *2676:10 0 +22 *557:11 *2676:20 0 +23 *794:13 *2676:10 9.24241e-05 +24 *1719:14 *23239:A 1.77537e-06 +25 *2151:47 *23239:A 2.99929e-05 +26 *2555:6 *2676:20 0 +27 *2559:10 *23239:A 0 +28 *2638:23 *2676:10 0.000118166 +29 *2664:9 *2676:11 0.00626045 +30 *2664:9 *2676:20 0.000434769 +*RES +1 *21821:X *2676:10 21.3591 +2 *2676:10 *2676:11 68.385 +3 *2676:11 *2676:20 48.8411 +4 *2676:20 *2676:21 27.899 +5 *2676:21 *23239:A 22.5727 +6 *2676:21 *5784:DIODE 9.97254 +*END + +*D_NET *2677 0.00959079 +*CONN +*I *23240:A I *D sky130_fd_sc_hd__and2_1 +*I *5786:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21822:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23240:A 2.11713e-05 +2 *5786:DIODE 0 +3 *21822:X 0.000871155 +4 *2677:18 0.000463742 +5 *2677:14 0.00244833 +6 *2677:10 0.00287691 +7 *2677:10 *22857:A 3.19513e-05 +8 *2677:10 *2813:21 0.000268798 +9 *2677:10 *2820:8 0.00090551 +10 *2677:10 *3227:8 0.000174824 +11 *2677:14 *2796:42 0 +12 *2677:14 *2800:12 0 +13 *2677:14 *2820:8 4.0605e-06 +14 *2677:14 *2820:12 0.00074549 +15 *2677:14 *2930:61 0 +16 *2677:14 *3227:8 0.000562458 +17 *2677:18 *2796:50 2.1203e-06 +18 *2677:18 *2812:23 5.93047e-05 +19 *5787:DIODE *2677:18 5.47232e-06 +20 *1217:8 *2677:18 4.80292e-05 +21 *1458:10 *2677:18 0 +22 *1965:43 *2677:14 0 +23 *1983:33 *2677:14 0 +24 *1983:33 *2677:18 0 +25 *2272:44 *23240:A 5.07314e-05 +26 *2275:22 *23240:A 5.07314e-05 +27 *2524:38 *2677:14 0 +28 *2533:14 *2677:14 0 +*RES +1 *21822:X *2677:10 35.8048 +2 *2677:10 *2677:14 46.9968 +3 *2677:14 *2677:18 13.3302 +4 *2677:18 *5786:DIODE 9.24915 +5 *2677:18 *23240:A 10.5271 +*END + +*D_NET *2678 0.00797937 +*CONN +*I *23241:A I *D sky130_fd_sc_hd__and2_1 +*I *5788:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21823:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23241:A 0.000118558 +2 *5788:DIODE 7.98254e-05 +3 *21823:X 0.000121124 +4 *2678:8 0.00186955 +5 *2678:7 0.00179229 +6 *23241:A *2794:24 0.000219633 +7 *2678:7 *2815:5 1.40846e-05 +8 *2678:8 *2679:11 0.000250597 +9 *2678:8 *2794:24 0.0013093 +10 *2678:8 *2800:12 0 +11 *2678:8 *2811:32 5.88009e-05 +12 *2678:8 *2821:8 8.62625e-06 +13 la_data_in_mprj[122] *2678:8 2.39535e-05 +14 *3870:DIODE *2678:8 0 +15 *4154:DIODE *2678:8 5.52466e-05 +16 *5791:DIODE *2678:8 0.000119171 +17 *21966:A *2678:7 2.65831e-05 +18 *796:5 *2678:8 2.36813e-05 +19 *1973:34 *23241:A 2.16355e-05 +20 *1975:30 *23241:A 6.08467e-05 +21 *2103:23 *2678:8 0 +22 *2114:22 *5788:DIODE 0.000107496 +23 *2274:33 *23241:A 0.000221922 +24 *2274:33 *2678:8 0.00147644 +*RES +1 *21823:X *2678:7 15.5817 +2 *2678:7 *2678:8 50.0013 +3 *2678:8 *5788:DIODE 15.0271 +4 *2678:8 *23241:A 18.7961 +*END + +*D_NET *2679 0.00335309 +*CONN +*I *23242:A I *D sky130_fd_sc_hd__and2_1 +*I *5790:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21824:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23242:A 0 +2 *5790:DIODE 9.5683e-06 +3 *21824:X 0.000987123 +4 *2679:11 0.000996691 +5 *5790:DIODE *2809:11 0.000122378 +6 *2679:11 *2809:11 0.000158357 +7 *2679:11 *2813:21 0.000423908 +8 *5791:DIODE *2679:11 0.000115573 +9 *1617:8 *2679:11 0.000141271 +10 *2103:23 *2679:11 0 +11 *2274:33 *2679:11 3.04407e-05 +12 *2618:35 *5790:DIODE 5.56461e-05 +13 *2618:35 *2679:11 6.15409e-05 +14 *2678:8 *2679:11 0.000250597 +*RES +1 *21824:X *2679:11 40.6379 +2 *2679:11 *5790:DIODE 10.5271 +3 *2679:11 *23242:A 9.24915 +*END + +*D_NET *2680 0.00315005 +*CONN +*I *23243:A I *D sky130_fd_sc_hd__and2_1 +*I *5792:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21825:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23243:A 3.64428e-05 +2 *5792:DIODE 4.85187e-05 +3 *21825:X 0.00122887 +4 *2680:8 0.00131383 +5 *2680:8 *22859:A 0 +6 *2680:8 *2804:28 1.46518e-05 +7 *2680:8 *2804:30 0.000421185 +8 *2680:8 *3224:8 0 +9 *3871:DIODE *2680:8 7.21753e-05 +10 *4156:DIODE *2680:8 0 +11 *798:7 *2680:8 0 +12 *1146:21 *5792:DIODE 1.43848e-05 +13 *2538:9 *2680:8 0 +*RES +1 *21825:X *2680:8 46.242 +2 *2680:8 *5792:DIODE 9.97254 +3 *2680:8 *23243:A 10.2378 +*END + +*D_NET *2681 0.00739653 +*CONN +*I *23244:A I *D sky130_fd_sc_hd__and2_1 +*I *5794:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21826:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23244:A 0 +2 *5794:DIODE 3.51473e-05 +3 *21826:X 3.91997e-05 +4 *2681:10 3.51473e-05 +5 *2681:8 0.00253097 +6 *2681:7 0.00257017 +7 *5794:DIODE *2804:33 0.000107496 +8 *5794:DIODE *3198:31 3.82228e-05 +9 *2681:7 *3047:20 6.92705e-05 +10 *2681:8 *22860:A 7.94505e-05 +11 *2681:8 *3231:8 0.000343574 +12 *4014:DIODE *2681:8 3.34802e-05 +13 *1209:8 *2681:8 0 +14 *1332:6 *2681:8 0 +15 *2276:25 *2681:8 0.000146404 +16 *2400:6 *2681:8 0.00136799 +*RES +1 *21826:X *2681:7 14.4725 +2 *2681:7 *2681:8 62.0436 +3 *2681:8 *2681:10 4.5 +4 *2681:10 *5794:DIODE 10.5271 +5 *2681:10 *23244:A 9.24915 +*END + +*D_NET *2682 0.00809691 +*CONN +*I *23245:A I *D sky130_fd_sc_hd__and2_1 +*I *5796:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21827:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23245:A 3.81312e-05 +2 *5796:DIODE 4.45914e-05 +3 *21827:X 3.91997e-05 +4 *2682:11 0.000355563 +5 *2682:8 0.00255105 +6 *2682:7 0.00231741 +7 *5796:DIODE *3348:13 6.08467e-05 +8 *2682:7 *3047:20 6.92705e-05 +9 *2682:8 *22861:A 0 +10 *2682:8 *2707:26 5.33121e-05 +11 *2682:8 *2811:46 0.000512588 +12 *2682:8 *2824:10 0.000115573 +13 *2682:8 *3199:16 0 +14 *2682:8 *3232:9 0.000277034 +15 *2682:11 *3348:13 0.00048572 +16 *1209:8 *23245:A 4.76283e-05 +17 *1209:8 *2682:8 0 +18 *1618:10 *2682:8 0.00112186 +19 *1619:6 *2682:8 0 +20 *2277:31 *23245:A 7.13655e-06 +*RES +1 *21827:X *2682:7 14.4725 +2 *2682:7 *2682:8 57.891 +3 *2682:8 *2682:11 9.66022 +4 *2682:11 *5796:DIODE 9.97254 +5 *2682:11 *23245:A 19.2506 +*END + +*D_NET *2683 0.00737221 +*CONN +*I *23246:A I *D sky130_fd_sc_hd__and2_1 +*I *5798:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21828:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23246:A 0 +2 *5798:DIODE 4.51247e-05 +3 *21828:X 0 +4 *2683:8 4.51247e-05 +5 *2683:6 0.00243514 +6 *2683:5 0.00243514 +7 *5798:DIODE *2804:33 0.000111708 +8 *2683:6 *22862:A 0 +9 *2683:6 *2798:24 6.80558e-05 +10 *2683:6 *3233:6 0 +11 *4159:DIODE *2683:6 2.12377e-05 +12 *1967:43 *2683:6 0.00153341 +13 *1968:55 *2683:6 0.000140889 +14 *2278:19 *2683:6 8.62625e-06 +15 *2536:12 *2683:6 0 +16 *2542:8 *2683:6 0.000527762 +*RES +1 *21828:X *2683:5 13.7491 +2 *2683:5 *2683:6 62.0436 +3 *2683:6 *2683:8 4.5 +4 *2683:8 *5798:DIODE 10.5271 +5 *2683:8 *23246:A 9.24915 +*END + +*D_NET *2684 0.0476328 +*CONN +*I *6046:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23370:A I *D sky130_fd_sc_hd__nand2_1 +*I *21829:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6046:DIODE 0 +2 *23370:A 0.000128768 +3 *21829:X 7.36338e-05 +4 *2684:29 0.00241468 +5 *2684:28 0.00330656 +6 *2684:25 0.00132835 +7 *2684:11 0.00201569 +8 *2684:10 0.00170799 +9 *2684:8 0.0036876 +10 *2684:7 0.00376123 +11 *23370:A *2809:11 8.16991e-05 +12 *2684:7 *3371:29 5.97411e-05 +13 *2684:8 *3575:DIODE 0.000142485 +14 *2684:8 *5504:DIODE 0.000118485 +15 *2684:8 *22731:A 0 +16 *2684:8 *2956:20 0.00149085 +17 *2684:8 *3026:20 0.000161001 +18 *2684:8 *3027:22 0 +19 *2684:8 *3088:8 0 +20 *2684:8 *3369:6 0 +21 *2684:11 *2729:9 0.00575389 +22 *2684:11 *2740:15 0.000640588 +23 *2684:11 *2827:27 0.000460855 +24 *2684:25 *2696:19 1.41976e-05 +25 *2684:25 *2707:23 0 +26 *2684:25 *2823:12 0.000433729 +27 *2684:28 *2696:22 0.000281799 +28 *2684:28 *2740:20 0.000549478 +29 *2684:28 *2817:24 0.000342595 +30 *2684:28 *3034:6 5.4224e-06 +31 *2684:29 *2809:11 0.00375762 +32 la_data_in_core[123] *2684:8 1.09738e-05 +33 la_oenb_core[122] *2684:7 0.000154145 +34 *5642:DIODE *2684:29 0.000217951 +35 *6047:DIODE *23370:A 0.000164843 +36 *23502:TE *2684:8 0.000118873 +37 *1173:10 *2684:28 0.000504682 +38 *1175:9 *2684:29 0.00142914 +39 *1871:17 *2684:8 0.000553947 +40 *1989:63 *2684:25 0.000430116 +41 *2136:52 *2684:8 0.000920351 +42 *2406:20 *2684:11 0.000148522 +43 *2410:22 *2684:11 0.000244126 +44 *2413:32 *2684:25 0.000293045 +45 *2427:12 *2684:28 0 +46 *2533:15 *2684:11 0.00950524 +47 *2618:35 *23370:A 0.000217951 +*RES +1 *21829:X *2684:7 16.1364 +2 *2684:7 *2684:8 111.459 +3 *2684:8 *2684:10 4.5 +4 *2684:10 *2684:11 139.374 +5 *2684:11 *2684:25 27.1738 +6 *2684:25 *2684:28 37.8912 +7 *2684:28 *2684:29 78.3679 +8 *2684:29 *23370:A 14.4335 +9 *2684:29 *6046:DIODE 9.24915 +*END + +*D_NET *2685 0.00617094 +*CONN +*I *23247:A I *D sky130_fd_sc_hd__and2_1 +*I *5800:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21830:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23247:A 0.000129538 +2 *5800:DIODE 0 +3 *21830:X 6.87979e-05 +4 *2685:8 0.00128736 +5 *2685:7 0.00122662 +6 *23247:A *2809:14 0.000170024 +7 *2685:7 *22863:A 0.000122378 +8 *2685:8 *22863:A 2.63345e-05 +9 *2685:8 *2809:14 0.00111956 +10 *2685:8 *3234:6 0 +11 *5801:DIODE *23247:A 1.61631e-05 +12 *1978:46 *23247:A 1.00981e-05 +13 *2535:14 *2685:8 8.67415e-05 +14 *2535:16 *23247:A 0.000159299 +15 *2535:16 *2685:8 0.00174803 +16 *2543:8 *2685:8 0 +*RES +1 *21830:X *2685:7 15.0271 +2 *2685:7 *2685:8 38.3742 +3 *2685:8 *5800:DIODE 13.7491 +4 *2685:8 *23247:A 18.7077 +*END + +*D_NET *2686 0.0316409 +*CONN +*I *5802:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23248:A I *D sky130_fd_sc_hd__and2_1 +*I *21831:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5802:DIODE 0.000147906 +2 *23248:A 0 +3 *21831:X 0.000990323 +4 *2686:19 0.00239883 +5 *2686:11 0.00649549 +6 *2686:10 0.00523489 +7 *5802:DIODE *2841:12 0.000131039 +8 *2686:10 *23300:A 5.68526e-05 +9 *2686:10 *2744:15 3.94365e-05 +10 *2686:10 *2819:15 1.6335e-05 +11 *2686:10 *2828:10 0.00129768 +12 *2686:11 *2780:11 0.00974102 +13 *5803:DIODE *5802:DIODE 4.94594e-05 +14 *5803:DIODE *2686:19 6.36477e-05 +15 *6018:DIODE *2686:19 5.99691e-05 +16 *23417:B *2686:10 8.18344e-06 +17 *2150:28 *5802:DIODE 6.3657e-05 +18 *2497:28 *2686:11 6.08467e-05 +19 *2497:28 *2686:19 5.59947e-05 +20 *2497:34 *2686:11 0.00291084 +21 *2529:25 *5802:DIODE 0.000102968 +22 *2529:25 *2686:19 0.00124501 +23 *2529:29 *2686:19 0.000470557 +24 *2571:6 *5802:DIODE 0 +*RES +1 *21831:X *2686:10 46.4136 +2 *2686:10 *2686:11 147.693 +3 *2686:11 *2686:19 42.5116 +4 *2686:19 *23248:A 9.24915 +5 *2686:19 *5802:DIODE 22.3584 +*END + +*D_NET *2687 0.00859979 +*CONN +*I *5804:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23249:A I *D sky130_fd_sc_hd__and2_1 +*I *21832:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5804:DIODE 0.000199394 +2 *23249:A 6.46078e-05 +3 *21832:X 0.000339754 +4 *2687:12 0.00186357 +5 *2687:10 0.00193932 +6 *5804:DIODE *2773:33 0.000164843 +7 *5804:DIODE *2831:6 6.27691e-05 +8 *2687:10 *22866:A 4.49352e-05 +9 *2687:12 *2831:6 0.00157054 +10 *2687:12 *3240:8 0.00142539 +11 *2687:12 *3330:8 3.62444e-05 +12 la_data_in_mprj[14] *2687:10 0.000315622 +13 *4164:DIODE *2687:12 0.000310862 +14 *549:8 *2687:10 1.51779e-05 +15 *805:7 *2687:10 5.52347e-05 +16 *2144:20 *2687:12 0.000191541 +17 *2365:44 *5804:DIODE 0 +18 *2365:44 *2687:12 0 +*RES +1 *21832:X *2687:10 20.7264 +2 *2687:10 *2687:12 65.9885 +3 *2687:12 *23249:A 15.0271 +4 *2687:12 *5804:DIODE 18.2442 +*END + +*D_NET *2688 0.0083647 +*CONN +*I *23250:A I *D sky130_fd_sc_hd__and2_1 +*I *5806:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21833:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23250:A 0.000282505 +2 *5806:DIODE 0 +3 *21833:X 0.000627445 +4 *2688:12 0.000282505 +5 *2688:10 0.0013803 +6 *2688:9 0.00200775 +7 *2688:9 *22865:A 0.000217887 +8 *2688:9 *2828:7 7.22498e-05 +9 *2688:9 *3330:11 0.000238149 +10 *2688:10 *23289:A 0.000247246 +11 *2688:10 *2689:16 0.00012958 +12 *2688:10 *2689:18 0.00088986 +13 la_data_in_mprj[13] *2688:9 4.31703e-05 +14 *3877:DIODE *2688:9 7.34948e-06 +15 *3879:DIODE *2688:9 4.58003e-05 +16 *21973:A *2688:9 0.000113968 +17 *23250:B *23250:A 2.63704e-05 +18 *549:8 *2688:9 6.08467e-05 +19 *2145:39 *2688:10 0.000222684 +20 *2638:24 *2688:10 0.00146904 +*RES +1 *21833:X *2688:9 31.6894 +2 *2688:9 *2688:10 59.1368 +3 *2688:10 *2688:12 4.5 +4 *2688:12 *5806:DIODE 9.24915 +5 *2688:12 *23250:A 13.7342 +*END + +*D_NET *2689 0.0131439 +*CONN +*I *23251:A I *D sky130_fd_sc_hd__and2_1 +*I *5808:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21834:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *23251:A 3.90197e-05 +2 *5808:DIODE 0.000336215 +3 *21834:X 0.000405097 +4 *2689:20 0.000375235 +5 *2689:18 0.00139613 +6 *2689:16 0.00179035 +7 *2689:11 0.000799313 +8 *5808:DIODE *2773:37 2.97157e-05 +9 *2689:11 *2768:17 0.00113477 +10 *2689:11 *2961:51 0.00240708 +11 *2689:18 *23289:A 0.000109048 +12 *2689:18 *23439:A 0.000245747 +13 *4161:DIODE *2689:16 0.000161966 +14 *23251:B *5808:DIODE 5.4373e-05 +15 *23251:B *23251:A 0.000111708 +16 *23378:B *5808:DIODE 0 +17 *23378:B *23251:A 0 +18 *547:10 *2689:16 3.95388e-05 +19 *550:8 *2689:11 4.41664e-05 +20 *803:5 *2689:16 0.000393892 +21 *806:5 *2689:11 0.000181333 +22 *1497:9 *2689:18 0.000286585 +23 *1626:15 *2689:18 0.00017504 +24 *2143:20 *2689:18 0.000153225 +25 *2544:10 *2689:16 2.61342e-05 +26 *2544:10 *2689:18 0.00136793 +27 *2547:7 *2689:11 6.08467e-05 +28 *2638:24 *2689:16 0 +29 *2638:24 *2689:18 0 +30 *2688:10 *2689:16 0.00012958 +31 *2688:10 *2689:18 0.00088986 +*RES +1 *21834:X *2689:11 48.7007 +2 *2689:11 *2689:16 17.4827 +3 *2689:16 *2689:18 60.7612 +4 *2689:18 *2689:20 4.5 +5 *2689:20 *5808:DIODE 14.9881 +6 *2689:20 *23251:A 11.1059 +*END + +*D_NET *2690 0.00704737 +*CONN +*I *5810:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23252:A I *D sky130_fd_sc_hd__and2_1 +*I *21835:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5810:DIODE 0 +2 *23252:A 5.5217e-05 +3 *21835:X 0.000329437 +4 *2690:10 5.5217e-05 +5 *2690:8 0.00255449 +6 *2690:7 0.00288393 +7 *23252:A *2773:33 0.000383703 +8 *2690:7 *2832:10 7.25374e-05 +9 *2690:8 *22868:A 8.01741e-05 +10 *2690:8 *2762:34 0.000171523 +11 *2690:8 *2768:20 0.000317429 +12 *4165:DIODE *2690:8 1.79672e-05 +13 *5805:DIODE *2690:8 0 +14 *21835:A *2690:7 2.65831e-05 +15 *23252:B *23252:A 6.08167e-05 +16 *1697:12 *2690:8 0 +17 *1848:12 *2690:8 0 +18 *2153:43 *2690:8 0 +19 *2156:74 *23252:A 3.83429e-05 +20 *2368:38 *2690:8 0 +21 *2547:8 *2690:8 0 +*RES +1 *21835:X *2690:7 18.9094 +2 *2690:7 *2690:8 65.3656 +3 *2690:8 *2690:10 4.5 +4 *2690:10 *23252:A 13.3002 +5 *2690:10 *5810:DIODE 9.24915 +*END + +*D_NET *2691 0.00937991 +*CONN +*I *23253:A I *D sky130_fd_sc_hd__and2_1 +*I *5812:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21836:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23253:A 0.000182777 +2 *5812:DIODE 0 +3 *21836:X 0.000380161 +4 *2691:8 0.00226788 +5 *2691:7 0.00246527 +6 *23253:A *2795:36 2.65667e-05 +7 *23253:A *2828:16 7.5611e-05 +8 *23253:A *2831:26 2.1203e-06 +9 *23253:A *2834:6 0 +10 *23253:A *3242:8 3.31733e-05 +11 *2691:8 *22870:A 0.000191541 +12 *2691:8 *2834:6 0 +13 *2691:8 *3242:8 0.00360995 +14 *4024:DIODE *2691:7 7.97944e-05 +15 *5813:DIODE *23253:A 6.50586e-05 +16 *23450:A *2691:8 0 +*RES +1 *21836:X *2691:7 19.464 +2 *2691:7 *2691:8 67.8571 +3 *2691:8 *5812:DIODE 13.7491 +4 *2691:8 *23253:A 18.7961 +*END + +*D_NET *2692 0.00972454 +*CONN +*I *5814:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23254:A I *D sky130_fd_sc_hd__and2_1 +*I *21837:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5814:DIODE 3.74755e-05 +2 *23254:A 0 +3 *21837:X 5.44317e-05 +4 *2692:11 0.000133202 +5 *2692:8 0.00208709 +6 *2692:7 0.0020458 +7 *5814:DIODE *2773:33 0.000363075 +8 *2692:8 *2835:6 0.00367693 +9 *2692:11 *2773:33 0.000678803 +10 *5650:DIODE *2692:11 0.000158997 +11 *23254:B *5814:DIODE 0.000217951 +12 *23254:B *2692:11 0.000111708 +13 *1630:11 *5814:DIODE 7.24449e-05 +14 *1630:11 *2692:11 8.66302e-05 +15 *2550:6 *2692:8 0 +*RES +1 *21837:X *2692:7 14.4725 +2 *2692:7 *2692:8 65.3656 +3 *2692:8 *2692:11 11.8786 +4 *2692:11 *23254:A 9.24915 +5 *2692:11 *5814:DIODE 13.3002 +*END + +*D_NET *2693 0.0110834 +*CONN +*I *5816:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23255:A I *D sky130_fd_sc_hd__and2_1 +*I *21838:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5816:DIODE 6.40612e-05 +2 *23255:A 0 +3 *21838:X 0 +4 *2693:15 0.000450869 +5 *2693:6 0.0017762 +6 *2693:5 0.00138939 +7 *5816:DIODE *2773:33 0.000345961 +8 *2693:6 *2833:16 0.00362876 +9 *2693:6 *2836:8 0.00141207 +10 *2693:6 *3342:10 0.000358604 +11 *2693:15 *2773:33 0.00099227 +12 *2693:15 *3245:8 4.76283e-05 +13 *23255:B *5816:DIODE 0.000211492 +14 *23255:B *2693:15 0.000118166 +15 *1630:11 *2693:15 5.04829e-06 +16 *2156:70 *5816:DIODE 5.99691e-05 +17 *2156:70 *2693:15 0.000173166 +18 *2156:74 *2693:15 5.51483e-06 +19 *2373:8 *2693:15 4.42033e-05 +*RES +1 *21838:X *2693:5 13.7491 +2 *2693:5 *2693:6 64.5351 +3 *2693:6 *2693:15 25.3765 +4 *2693:15 *23255:A 9.24915 +5 *2693:15 *5816:DIODE 13.3002 +*END + +*D_NET *2694 0.0074064 +*CONN +*I *5818:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23256:A I *D sky130_fd_sc_hd__and2_1 +*I *21839:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5818:DIODE 0.000151152 +2 *23256:A 0.000201224 +3 *21839:X 0.00176637 +4 *2694:11 0.000846613 +5 *2694:8 0.00226061 +6 *23256:A *2837:14 2.22342e-05 +7 *2694:8 *22894:A 0.000181934 +8 *2694:8 *2849:12 0.000123775 +9 *2694:8 *3269:6 0.000250558 +10 *3886:DIODE *2694:8 2.9373e-05 +11 *3898:DIODE *2694:8 1.4091e-06 +12 *4041:DIODE *2694:8 6.91078e-06 +13 *4053:DIODE *2694:8 0.000103158 +14 *5819:DIODE *23256:A 6.50727e-05 +15 *21994:A *2694:8 4.87439e-05 +16 *577:8 *2694:8 2.65831e-05 +17 *1592:10 *5818:DIODE 0.000277502 +18 *1592:10 *23256:A 0.0005768 +19 *1592:10 *2694:11 0.000466373 +*RES +1 *21839:X *2694:8 46.9247 +2 *2694:8 *2694:11 12.4332 +3 *2694:11 *23256:A 25.5201 +4 *2694:11 *5818:DIODE 12.191 +*END + +*D_NET *2695 0.0032596 +*CONN +*I *22572:A I *D sky130_fd_sc_hd__einvp_2 +*I *5083:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21840:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *22572:A 0.000106022 +2 *5083:DIODE 0 +3 *21840:X 0.00147697 +4 *2695:10 0.001583 +5 *4140:DIODE *2695:10 7.48797e-05 +6 *2470:62 *2695:10 0 +7 *2487:8 *22572:A 1.87271e-05 +*RES +1 *21840:X *2695:10 39.8004 +2 *2695:10 *5083:DIODE 9.24915 +3 *2695:10 *22572:A 11.1059 +*END + +*D_NET *2696 0.0551407 +*CONN +*I *23371:A I *D sky130_fd_sc_hd__nand2_1 +*I *6048:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21841:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23371:A 0.000144669 +2 *6048:DIODE 0 +3 *21841:X 0.000464553 +4 *2696:23 0.00316623 +5 *2696:22 0.00374308 +6 *2696:19 0.0012012 +7 *2696:11 0.0022048 +8 *2696:10 0.00172512 +9 *2696:8 0.00302736 +10 *2696:7 0.00349191 +11 *2696:8 *22732:A 0 +12 *2696:8 *2707:8 0.000406152 +13 *2696:8 *2707:12 0.000244532 +14 *2696:8 *3030:20 0 +15 *2696:8 *3054:11 0.000362186 +16 *2696:8 *3089:16 0 +17 *2696:8 *3376:10 0.000689702 +18 *2696:8 *3376:15 0.000357336 +19 *2696:11 *2707:17 0.0116158 +20 *2696:11 *2825:23 0.0122337 +21 *2696:19 *2965:15 0.000136775 +22 *2696:22 *2817:24 5.85596e-05 +23 *2696:22 *2823:12 0.000398284 +24 la_data_in_core[124] *2696:7 0.000111708 +25 *4040:DIODE *2696:7 1.10258e-05 +26 *6049:DIODE *23371:A 0.000167076 +27 *21852:A *2696:7 1.92926e-05 +28 *22274:A *2696:8 0.000271793 +29 *286:8 *2696:7 1.31657e-05 +30 *1146:17 *23371:A 0.000423936 +31 *1146:17 *2696:23 0.000328572 +32 *1164:8 *2696:8 0.000410358 +33 *1165:8 *2696:8 2.02035e-05 +34 *1167:8 *2696:8 7.86825e-06 +35 *1168:12 *2696:8 0.000144398 +36 *1172:11 *2696:23 0 +37 *1196:8 *2696:8 1.23518e-05 +38 *1199:8 *2696:8 0.00010571 +39 *1238:16 *2696:8 0.000382572 +40 *1849:12 *2696:19 0.000898306 +41 *1989:63 *2696:22 0.000270852 +42 *1992:18 *2696:11 0.00102054 +43 *1995:41 *2696:19 0.000894709 +44 *2136:48 *2696:11 0.000482095 +45 *2280:49 *2696:8 0.000122068 +46 *2283:23 *2696:8 3.73224e-05 +47 *2409:12 *2696:22 0.000377704 +48 *2420:18 *2696:8 0.000211115 +49 *2422:20 *2696:8 0.000381009 +50 *2422:22 *2696:8 0 +51 *2427:12 *2696:22 0.000117093 +52 *2431:15 *2696:23 0.00192984 +53 *2480:9 *2696:11 0 +54 *2684:25 *2696:19 1.41976e-05 +55 *2684:28 *2696:22 0.000281799 +*RES +1 *21841:X *2696:7 20.5732 +2 *2696:7 *2696:8 102.738 +3 *2696:8 *2696:10 4.5 +4 *2696:10 *2696:11 150.466 +5 *2696:11 *2696:19 32.8076 +6 *2696:19 *2696:22 32.0776 +7 *2696:22 *2696:23 52.8561 +8 *2696:23 *6048:DIODE 9.24915 +9 *2696:23 *23371:A 15.2533 +*END + +*D_NET *2697 0.00782092 +*CONN +*I *23257:A I *D sky130_fd_sc_hd__and2_1 +*I *5820:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21842:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23257:A 0.000114103 +2 *5820:DIODE 0 +3 *21842:X 0.000207062 +4 *2697:13 0.000642887 +5 *2697:10 0.00292064 +6 *2697:8 0.00259892 +7 *23257:A *2698:6 5.92342e-05 +8 *23257:A *2784:37 2.23124e-05 +9 *2697:8 *2838:6 0 +10 *2697:10 *2838:6 0.000973669 +11 *2697:13 *2784:37 0.000160935 +12 *4030:DIODE *2697:8 2.12377e-05 +13 *4171:DIODE *2697:8 2.12377e-05 +14 *1502:8 *2697:10 7.86847e-05 +15 *2376:16 *23257:A 0 +16 *2554:6 *2697:8 0 +17 *2554:6 *2697:10 0 +*RES +1 *21842:X *2697:8 18.8421 +2 *2697:8 *2697:10 63.6679 +3 *2697:10 *2697:13 12.4332 +4 *2697:13 *5820:DIODE 9.24915 +5 *2697:13 *23257:A 20.5286 +*END + +*D_NET *2698 0.00727521 +*CONN +*I *23258:A I *D sky130_fd_sc_hd__and2_1 +*I *5822:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21843:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23258:A 0.000152995 +2 *5822:DIODE 2.68903e-05 +3 *21843:X 0 +4 *2698:6 0.00293485 +5 *2698:5 0.00275497 +6 *5822:DIODE *2795:36 5.07314e-05 +7 *2698:6 *2699:6 0 +8 *5823:DIODE *23258:A 6.50727e-05 +9 *23257:A *2698:6 5.92342e-05 +10 *1504:9 *23258:A 0 +11 *1504:9 *2698:6 0 +12 *1632:9 *5822:DIODE 2.85274e-05 +13 *1916:32 *23258:A 6.50727e-05 +14 *2162:35 *23258:A 1.05272e-06 +15 *2376:16 *2698:6 0 +16 *2555:6 *23258:A 4.7503e-05 +17 *2555:6 *2698:6 0.00108831 +18 *2676:20 *2698:6 0 +*RES +1 *21843:X *2698:5 13.7491 +2 *2698:5 *2698:6 72.0096 +3 *2698:6 *5822:DIODE 15.0271 +4 *2698:6 *23258:A 17.9655 +*END + +*D_NET *2699 0.00999322 +*CONN +*I *23259:A I *D sky130_fd_sc_hd__and2_1 +*I *5824:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21844:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23259:A 0.000142558 +2 *5824:DIODE 0 +3 *21844:X 0 +4 *2699:9 0.0010391 +5 *2699:6 0.00404281 +6 *2699:5 0.00314627 +7 *23259:A *2784:23 4.26431e-05 +8 *2699:6 *22875:A 0 +9 *2699:6 *3247:8 0 +10 *2699:9 *2784:23 9.98373e-05 +11 *2699:9 *2784:37 0.00106123 +12 *3889:DIODE *2699:6 4.2996e-05 +13 *4032:DIODE *2699:6 0.00015982 +14 *4172:DIODE *2699:6 5.66868e-06 +15 *23257:B *2699:6 1.07248e-05 +16 *430:10 *2699:6 5.22654e-06 +17 *558:8 *2699:6 2.22198e-05 +18 *2161:43 *2699:6 0.000172127 +19 *2376:16 *2699:6 0 +20 *2377:8 *2699:6 0 +21 *2676:20 *2699:6 0 +22 *2698:6 *2699:6 0 +*RES +1 *21844:X *2699:5 13.7491 +2 *2699:5 *2699:6 73.2554 +3 *2699:6 *2699:9 20.1977 +4 *2699:9 *5824:DIODE 9.24915 +5 *2699:9 *23259:A 12.0704 +*END + +*D_NET *2700 0.00852749 +*CONN +*I *23260:A I *D sky130_fd_sc_hd__and2_1 +*I *5826:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21845:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23260:A 8.44561e-05 +2 *5826:DIODE 0 +3 *21845:X 0.00042473 +4 *2700:11 0.000137422 +5 *2700:8 0.0021636 +6 *2700:7 0.00253537 +7 *2700:8 *22877:A 4.34223e-05 +8 *2700:8 *3252:6 0.00301164 +9 *3891:DIODE *2700:7 6.79854e-06 +10 *4033:DIODE *2700:7 7.14652e-05 +11 *1634:11 *23260:A 4.45999e-05 +12 *1634:11 *2700:11 3.99086e-06 +13 *1708:14 *2700:8 0 +14 *2378:18 *2700:8 0 +15 *2556:8 *2700:8 0 +*RES +1 *21845:X *2700:7 20.0186 +2 *2700:7 *2700:8 61.2131 +3 *2700:8 *2700:11 5.2234 +4 *2700:11 *5826:DIODE 9.24915 +5 *2700:11 *23260:A 11.5158 +*END + +*D_NET *2701 0.0082002 +*CONN +*I *23261:A I *D sky130_fd_sc_hd__and2_1 +*I *5828:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21846:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23261:A 0.000211102 +2 *5828:DIODE 5.38744e-05 +3 *21846:X 0.000138408 +4 *2701:8 0.00261825 +5 *2701:7 0.00249168 +6 *5828:DIODE *2784:23 1.03403e-05 +7 *2701:8 *22877:A 0 +8 *2701:8 *22878:A 7.73065e-05 +9 *2701:8 *2842:12 0 +10 *2701:8 *3253:6 0.00126618 +11 *4034:DIODE *2701:7 7.97944e-05 +12 *4176:DIODE *2701:7 3.62662e-06 +13 *5825:DIODE *23261:A 7.13655e-06 +14 *23259:B *23261:A 1.24122e-05 +15 *1506:9 *23261:A 7.48633e-05 +16 *1507:8 *2701:8 0.000132692 +17 *1634:11 *23261:A 0.000221937 +18 *1634:11 *2701:8 0.000249889 +19 *1999:11 *23261:A 0 +20 *1999:11 *2701:8 0 +21 *2374:36 *2701:8 0.000355884 +22 *2379:26 *2701:8 0.000194819 +*RES +1 *21846:X *2701:7 16.1364 +2 *2701:7 *2701:8 67.8571 +3 *2701:8 *5828:DIODE 14.4725 +4 *2701:8 *23261:A 19.9053 +*END + +*D_NET *2702 0.00781152 +*CONN +*I *23262:A I *D sky130_fd_sc_hd__and2_1 +*I *5830:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21847:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23262:A 4.91213e-05 +2 *5830:DIODE 0 +3 *21847:X 5.46645e-05 +4 *2702:11 8.4902e-05 +5 *2702:8 0.00315616 +6 *2702:7 0.00317505 +7 *2702:7 *2841:5 3.99086e-06 +8 *2702:8 *3450:20 1.91246e-05 +9 la_data_in_mprj[26] *2702:8 9.63545e-05 +10 *3893:DIODE *2702:8 8.84036e-05 +11 *6040:DIODE *2702:8 3.77659e-05 +12 *21989:A *2702:8 0 +13 *23461:A *2702:8 2.71542e-05 +14 *434:8 *2702:7 2.41274e-06 +15 *434:8 *2702:8 8.52802e-05 +16 *1884:30 *2702:8 0 +17 *2009:45 *2702:8 0.000402771 +18 *2145:27 *2702:8 5.66868e-06 +19 *2151:47 *2702:8 0.000157839 +20 *2558:10 *2702:8 0 +21 *2558:12 *2702:8 0 +22 *2560:6 *2702:8 0 +23 *2651:28 *2702:8 0.000364855 +*RES +1 *21847:X *2702:7 14.4725 +2 *2702:7 *2702:8 77.4079 +3 *2702:8 *2702:11 5.2234 +4 *2702:11 *5830:DIODE 9.24915 +5 *2702:11 *23262:A 10.5271 +*END + +*D_NET *2703 0.0119853 +*CONN +*I *23263:A I *D sky130_fd_sc_hd__and2_1 +*I *5832:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21848:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *23263:A 6.7575e-05 +2 *5832:DIODE 0 +3 *21848:X 5.0491e-05 +4 *2703:11 0.00136652 +5 *2703:8 0.00375532 +6 *2703:7 0.00250687 +7 *23263:A *2784:21 8.67924e-06 +8 *23263:A *2784:23 0.000118166 +9 *2703:7 *2841:5 6.73022e-05 +10 *2703:8 *22880:A 0.000103821 +11 *2703:8 *2843:14 0 +12 *2703:8 *2843:16 0 +13 *2703:8 *2845:6 0 +14 *2703:8 *2845:12 0 +15 *2703:8 *3255:8 0.00316456 +16 *2703:11 *2784:23 0.000473281 +17 *3894:DIODE *2703:8 0.000144546 +18 *4036:DIODE *2703:8 0 +19 *4037:DIODE *2703:8 3.9739e-05 +20 *21706:A *2703:7 6.50727e-05 +21 *563:5 *2703:8 5.34081e-05 +*RES +1 *21848:X *2703:7 15.5817 +2 *2703:7 *2703:8 72.4249 +3 *2703:8 *2703:11 25.1891 +4 *2703:11 *5832:DIODE 9.24915 +5 *2703:11 *23263:A 11.5158 +*END + +*D_NET *2704 0.0116895 +*CONN +*I *23264:A I *D sky130_fd_sc_hd__and2_1 +*I *5834:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21849:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *23264:A 0.000210695 +2 *5834:DIODE 9.18022e-05 +3 *21849:X 0.00114812 +4 *2704:10 0.00285716 +5 *2704:9 0.00370278 +6 *23264:A *3258:8 0 +7 *2704:9 *22881:A 0.000103943 +8 *2704:9 *2706:7 0.000185447 +9 *2704:9 *2709:7 0.000678803 +10 *2704:9 *2844:9 5.03285e-05 +11 *2704:9 *2847:8 0.000145953 +12 *2704:10 *22884:A 0 +13 *2704:10 *22885:A 0 +14 *2704:10 *2962:14 4.66386e-05 +15 *2704:10 *3258:8 0 +16 *2704:10 *3259:8 0 +17 la_data_in_mprj[28] *2704:9 0.000277502 +18 la_data_in_mprj[29] *2704:9 0.00011818 +19 la_data_in_mprj[31] *2704:9 0.000167076 +20 *3900:DIODE *2704:10 0.000158885 +21 *4043:DIODE *2704:10 0.000165481 +22 *4185:DIODE *2704:10 8.92568e-06 +23 *5835:DIODE *23264:A 6.50727e-05 +24 *21851:A *2704:9 0.00011818 +25 *21854:A *2704:9 6.50727e-05 +26 *21992:A *2704:9 2.65831e-05 +27 *568:5 *2704:10 5.05252e-05 +28 *1151:74 *2704:10 0.000330786 +29 *1475:8 *2704:10 0 +30 *1603:8 *2704:10 0.000697483 +31 *2161:39 *23264:A 5.26029e-05 +32 *2479:14 *2704:10 0.000165481 +33 *2566:22 *23264:A 0 +34 *2566:22 *2704:10 0 +*RES +1 *21849:X *2704:9 47.2183 +2 *2704:9 *2704:10 62.8741 +3 *2704:10 *5834:DIODE 15.0271 +4 *2704:10 *23264:A 18.7961 +*END + +*D_NET *2705 0.0165037 +*CONN +*I *5836:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23265:A I *D sky130_fd_sc_hd__and2_1 +*I *21850:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5836:DIODE 0.000185245 +2 *23265:A 0.000128652 +3 *21850:X 1.1401e-05 +4 *2705:17 0.00225925 +5 *2705:8 0.00409518 +6 *2705:7 0.00216123 +7 *2705:7 *2841:5 2.65667e-05 +8 *2705:7 *2846:10 3.14978e-05 +9 *2705:8 *22882:A 0.000250829 +10 *2705:8 *23344:A 2.75563e-05 +11 *2705:8 *2806:24 0.000118485 +12 *2705:8 *3256:8 0.00340809 +13 *2705:17 *2773:28 6.85706e-05 +14 *2705:17 *2784:21 0.000844103 +15 la_data_in_mprj[29] *2705:8 4.01437e-05 +16 *3897:DIODE *2705:8 0 +17 *4038:DIODE *2705:8 0 +18 *4039:DIODE *2705:8 0 +19 *4180:DIODE *2705:8 0 +20 *5837:DIODE *23265:A 6.92705e-05 +21 *23344:B *2705:8 7.75615e-05 +22 *565:9 *2705:8 0 +23 *1151:80 *2705:8 0 +24 *1639:12 *2705:17 8.62625e-06 +25 *1644:11 *5836:DIODE 0.000277502 +26 *1644:11 *23265:A 0.000589703 +27 *2001:25 *2705:17 7.50872e-05 +28 *2010:23 *2705:8 0.000547164 +29 *2156:70 *2705:17 0.000583244 +30 *2161:39 *23265:A 0.000379505 +31 *2161:39 *2705:17 0.000239239 +*RES +1 *21850:X *2705:7 14.4725 +2 *2705:7 *2705:8 71.1791 +3 *2705:8 *2705:17 46.3121 +4 *2705:17 *23265:A 17.0618 +5 *2705:17 *5836:DIODE 12.7456 +*END + +*D_NET *2706 0.0128449 +*CONN +*I *23266:A I *D sky130_fd_sc_hd__and2_1 +*I *5838:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21851:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *23266:A 0.000143015 +2 *5838:DIODE 0 +3 *21851:X 7.60232e-05 +4 *2706:15 0.00149516 +5 *2706:8 0.00492724 +6 *2706:7 0.00365112 +7 *23266:A *2806:16 2.18738e-05 +8 *23266:A *2840:8 1.18431e-05 +9 *2706:7 *2847:8 0.000180499 +10 *2706:8 *2795:22 0 +11 *3899:DIODE *2706:8 0.000122098 +12 *4042:DIODE *2706:8 0.000122098 +13 *6250:DIODE *2706:8 7.50872e-05 +14 *1510:10 *2706:8 0.000121559 +15 *1638:8 *2706:8 0.000113739 +16 *1639:12 *2706:8 0 +17 *1640:16 *2706:8 0 +18 *1916:30 *2706:15 4.82037e-05 +19 *1916:32 *2706:15 0.000396335 +20 *2001:25 *2706:8 0 +21 *2146:21 *2706:8 0 +22 *2148:34 *2706:8 0 +23 *2165:36 *23266:A 9.97706e-05 +24 *2165:36 *2706:15 0.000931438 +25 *2167:65 *2706:8 7.17919e-05 +26 *2379:19 *2706:8 0 +27 *2497:28 *2706:8 5.05252e-05 +28 *2664:20 *2706:8 0 +29 *2704:9 *2706:7 0.000185447 +*RES +1 *21851:X *2706:7 18.3548 +2 *2706:7 *2706:8 76.9926 +3 *2706:8 *2706:15 33.7011 +4 *2706:15 *5838:DIODE 9.24915 +5 *2706:15 *23266:A 20.9439 +*END + +*D_NET *2707 0.0553906 +*CONN +*I *23372:A I *D sky130_fd_sc_hd__nand2_1 +*I *6050:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21852:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23372:A 5.75287e-05 +2 *6050:DIODE 0 +3 *21852:X 0.000568164 +4 *2707:26 0.000287657 +5 *2707:23 0.00250842 +6 *2707:22 0.00268961 +7 *2707:17 0.00174015 +8 *2707:16 0.00132882 +9 *2707:14 0.00103267 +10 *2707:12 0.00146321 +11 *2707:8 0.000998705 +12 *23372:A *2804:33 0.00011818 +13 *23372:A *3199:16 0 +14 *2707:8 *23085:A 0.000243633 +15 *2707:8 *3054:11 0.000353477 +16 *2707:8 *3474:10 0.000724842 +17 *2707:12 *3056:11 6.51637e-05 +18 *2707:12 *3475:10 2.57465e-06 +19 *2707:22 *3042:18 0.000173605 +20 *2707:23 *2820:13 0.00744725 +21 *2707:23 *3066:5 0.00102252 +22 la_oenb_core[124] *2707:8 5.92342e-05 +23 *4051:DIODE *2707:8 0 +24 *4719:DIODE *2707:23 6.50727e-05 +25 *1238:13 *2707:17 0 +26 *1491:5 *23372:A 0.00011818 +27 *1619:6 *23372:A 5.22654e-06 +28 *1619:6 *2707:26 0.00012538 +29 *1875:14 *2707:8 0.000161669 +30 *1992:18 *2707:17 0.01096 +31 *2136:48 *2707:17 0.000667113 +32 *2277:31 *2707:26 0 +33 *2280:49 *2707:12 1.2366e-05 +34 *2283:23 *2707:8 3.73224e-05 +35 *2406:8 *2707:22 0.000511747 +36 *2413:15 *2707:23 0 +37 *2420:18 *2707:12 0.000117693 +38 *2422:17 *2707:8 1.27321e-05 +39 *2422:17 *2707:12 0.000845038 +40 *2422:20 *2707:12 0.000104212 +41 *2422:20 *2707:14 0.000223573 +42 *2422:22 *2707:14 0.00298857 +43 *2424:8 *2707:14 0 +44 *2480:9 *2707:17 0 +45 *2484:8 *2707:12 3.34427e-05 +46 *2484:8 *2707:14 0.00319603 +47 *2682:8 *2707:26 5.33121e-05 +48 *2684:25 *2707:23 0 +49 *2696:8 *2707:8 0.000406152 +50 *2696:8 *2707:12 0.000244532 +51 *2696:11 *2707:17 0.0116158 +*RES +1 *21852:X *2707:8 39.1162 +2 *2707:8 *2707:12 18.32 +3 *2707:12 *2707:14 63.0817 +4 *2707:14 *2707:16 4.5 +5 *2707:16 *2707:17 127.728 +6 *2707:17 *2707:22 21.6286 +7 *2707:22 *2707:23 82.8047 +8 *2707:23 *2707:26 10.4845 +9 *2707:26 *6050:DIODE 13.7491 +10 *2707:26 *23372:A 16.0286 +*END + +*D_NET *2708 0.00900154 +*CONN +*I *5840:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23267:A I *D sky130_fd_sc_hd__and2_1 +*I *21853:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5840:DIODE 0 +2 *23267:A 0.000486363 +3 *21853:X 0.000570348 +4 *2708:17 0.00130011 +5 *2708:13 0.00155208 +6 *2708:10 0.00130868 +7 *23267:A *23345:A 0.000425037 +8 *23267:A *23395:A 2.652e-05 +9 *23267:A *2861:12 0 +10 *2708:13 *2732:9 0.000197314 +11 *2708:13 *2744:9 0.000248406 +12 *2708:13 *2837:11 0.000221479 +13 *2708:13 *2861:11 0.00183775 +14 *2708:17 *22927:A 0.000173328 +15 *2708:17 *2898:8 7.86847e-05 +16 *2708:17 *3305:11 0 +17 la_data_in_mprj[3] *2708:10 8.90486e-05 +18 la_data_in_mprj[7] *2708:17 2.97152e-05 +19 *4231:DIODE *2708:17 0 +20 *5841:DIODE *23267:A 0 +21 *21722:A *2708:10 6.08467e-05 +22 *21853:A *2708:10 5.04829e-06 +23 *438:8 *2708:10 2.61147e-05 +24 *449:5 *2708:10 0.000143017 +25 *493:7 *2708:17 0 +26 *577:8 *2708:10 3.06113e-05 +27 *610:8 *2708:17 0 +28 *866:10 *2708:17 8.3676e-05 +29 *1503:7 *23267:A 0 +30 *1642:9 *23267:A 9.20398e-05 +31 *1642:9 *2708:17 0 +32 *2140:8 *2708:17 1.53125e-05 +33 *2614:8 *23267:A 0 +34 *2614:8 *2708:17 0 +*RES +1 *21853:X *2708:10 28.2903 +2 *2708:10 *2708:13 35.172 +3 *2708:13 *2708:17 25.0506 +4 *2708:17 *23267:A 29.4127 +5 *2708:17 *5840:DIODE 9.24915 +*END + +*D_NET *2709 0.0130238 +*CONN +*I *23268:A I *D sky130_fd_sc_hd__and2_1 +*I *5842:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21854:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *23268:A 0.0002399 +2 *5842:DIODE 8.24802e-05 +3 *21854:X 0.000797595 +4 *2709:8 0.00283851 +5 *2709:7 0.00331373 +6 *23268:A *23397:A 8.39222e-06 +7 *23268:A *3006:50 2.9053e-05 +8 *2709:7 *22885:A 0.000133746 +9 *2709:7 *22886:A 0.000192038 +10 *2709:7 *22887:A 6.50586e-05 +11 *2709:7 *2711:11 0.000394884 +12 *2709:7 *2847:8 0.000170495 +13 *2709:7 *2852:10 0.000213739 +14 *2709:8 *22888:A 0.000250843 +15 *2709:8 *23397:A 4.65699e-05 +16 *2709:8 *2841:12 0 +17 *2709:8 *2848:21 0 +18 *2709:8 *3006:50 8.90185e-06 +19 *2709:8 *3263:6 0.00257131 +20 la_data_in_mprj[33] *2709:7 0.000326398 +21 la_data_in_mprj[34] *2709:7 5.07314e-05 +22 *3903:DIODE *2709:7 5.07314e-05 +23 *4186:DIODE *2709:7 1.65872e-05 +24 *4187:DIODE *2709:8 0 +25 *4188:DIODE *2709:8 0 +26 *21855:A *2709:7 6.08467e-05 +27 *21856:A *2709:7 6.50727e-05 +28 *21997:A *2709:7 6.50727e-05 +29 *23144:A *2709:8 0 +30 *827:7 *2709:8 0 +31 *1518:8 *2709:8 0 +32 *1647:6 *2709:8 0 +33 *2000:22 *2709:8 0.000225408 +34 *2012:22 *23268:A 0 +35 *2012:22 *2709:8 0 +36 *2156:61 *2709:8 4.15661e-05 +37 *2161:26 *5842:DIODE 2.78496e-05 +38 *2164:47 *2709:8 5.74949e-05 +39 *2497:20 *2709:8 0 +40 *2704:9 *2709:7 0.000678803 +*RES +1 *21854:X *2709:7 44.9757 +2 *2709:7 *2709:8 69.1029 +3 *2709:8 *5842:DIODE 15.0271 +4 *2709:8 *23268:A 18.0727 +*END + +*D_NET *2710 0.0107841 +*CONN +*I *23269:A I *D sky130_fd_sc_hd__and2_1 +*I *5844:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21855:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23269:A 1.09091e-05 +2 *5844:DIODE 1.68076e-05 +3 *21855:X 0.000769498 +4 *2710:18 2.77167e-05 +5 *2710:16 0.00221822 +6 *2710:15 0.00298771 +7 *2710:15 *2847:13 0.000579032 +8 *2710:16 *22886:A 0 +9 *2710:16 *2806:16 0 +10 *2710:16 *3260:8 0 +11 *3900:DIODE *2710:15 0.000167594 +12 *4043:DIODE *2710:15 1.65872e-05 +13 *4185:DIODE *2710:15 0.000417495 +14 *824:5 *2710:15 5.77352e-05 +15 *1644:11 *2710:16 0 +16 *1888:26 *2710:16 0 +17 *2156:68 *5844:DIODE 5.0715e-05 +18 *2156:68 *23269:A 2.65831e-05 +19 *2168:30 *5844:DIODE 0.000118166 +20 *2168:30 *23269:A 5.08751e-05 +21 *2568:6 *2710:16 0 +22 *2569:8 *2710:16 0.00326844 +*RES +1 *21855:X *2710:15 40.6083 +2 *2710:15 *2710:16 63.7046 +3 *2710:16 *2710:18 4.5 +4 *2710:18 *5844:DIODE 10.5271 +5 *2710:18 *23269:A 9.97254 +*END + +*D_NET *2711 0.00838773 +*CONN +*I *5846:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23270:A I *D sky130_fd_sc_hd__and2_1 +*I *21856:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5846:DIODE 0.00024088 +2 *23270:A 3.67459e-05 +3 *21856:X 0.00118832 +4 *2711:12 0.000964674 +5 *2711:11 0.00187537 +6 *5846:DIODE *2713:8 0.000129489 +7 *2711:11 *22886:A 0.000220183 +8 *2711:11 *22887:A 2.16355e-05 +9 *2711:11 *2712:7 6.92705e-05 +10 *2711:11 *2855:10 0.000191256 +11 *2711:12 *2713:8 0.00129783 +12 *3903:DIODE *2711:11 1.9622e-05 +13 *4047:DIODE *2711:11 6.3657e-05 +14 *5847:DIODE *23270:A 6.92705e-05 +15 *22000:A *2711:11 6.08467e-05 +16 *1623:8 *2711:12 0.00064122 +17 *2146:16 *5846:DIODE 0.000268878 +18 *2150:28 *23270:A 0.000215771 +19 *2448:17 *23270:A 5.87688e-05 +20 *2571:6 *5846:DIODE 5.64929e-05 +21 *2571:6 *2711:12 0.000302666 +22 *2709:7 *2711:11 0.000394884 +*RES +1 *21856:X *2711:11 40.1772 +2 *2711:11 *2711:12 25.9166 +3 *2711:12 *23270:A 16.1364 +4 *2711:12 *5846:DIODE 19.3535 +*END + +*D_NET *2712 0.00632095 +*CONN +*I *23271:A I *D sky130_fd_sc_hd__and2_1 +*I *5848:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21857:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23271:A 6.77918e-05 +2 *5848:DIODE 0 +3 *21857:X 3.91978e-05 +4 *2712:11 0.000554172 +5 *2712:8 0.00244944 +6 *2712:7 0.00200226 +7 *2712:8 *22887:A 5.0987e-05 +8 *2712:8 *22888:A 0 +9 *2712:8 *2840:8 0 +10 *2712:8 *3261:6 0.000607492 +11 *2712:8 *3263:6 0 +12 *4045:DIODE *2712:8 3.00073e-05 +13 *4187:DIODE *2712:8 0 +14 *23143:A *2712:8 1.36691e-05 +15 *1646:8 *2712:8 0.000171171 +16 *2377:5 *23271:A 0.000117376 +17 *2377:5 *2712:11 0.000148114 +18 *2569:8 *2712:8 0 +19 *2711:11 *2712:7 6.92705e-05 +*RES +1 *21857:X *2712:7 14.4725 +2 *2712:7 *2712:8 47.925 +3 *2712:8 *2712:11 11.8786 +4 *2712:11 *5848:DIODE 9.24915 +5 *2712:11 *23271:A 11.5158 +*END + +*D_NET *2713 0.00899829 +*CONN +*I *5850:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23272:A I *D sky130_fd_sc_hd__and2_1 +*I *21858:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5850:DIODE 6.39684e-05 +2 *23272:A 0.000193486 +3 *21858:X 0.000228407 +4 *2713:10 0.000257454 +5 *2713:8 0.00259583 +6 *2713:7 0.00282424 +7 *5850:DIODE *2762:29 0.000116014 +8 *23272:A *2762:29 0.000256037 +9 *23272:A *2962:8 5.41377e-05 +10 *2713:8 *2856:6 0 +11 *2713:8 *3084:12 0 +12 *5846:DIODE *2713:8 0.000129489 +13 *572:11 *2713:8 0.000108892 +14 *828:8 *2713:8 5.0187e-05 +15 *1265:10 *2713:8 0 +16 *2007:17 *23272:A 5.77352e-05 +17 *2570:9 *2713:7 0.000370895 +18 *2571:6 *2713:8 0.000393689 +19 *2711:12 *2713:8 0.00129783 +*RES +1 *21858:X *2713:7 17.8002 +2 *2713:7 *2713:8 63.2893 +3 *2713:8 *2713:10 4.5 +4 *2713:10 *23272:A 22.1924 +5 *2713:10 *5850:DIODE 10.5271 +*END + +*D_NET *2714 0.0118421 +*CONN +*I *5852:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23273:A I *D sky130_fd_sc_hd__and2_1 +*I *21859:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5852:DIODE 7.18729e-05 +2 *23273:A 0.000163963 +3 *21859:X 0.00100496 +4 *2714:11 0.00273306 +5 *2714:10 0.00350219 +6 *23273:A *2722:10 8.5866e-05 +7 *2714:10 *2715:6 0.000823507 +8 *2714:10 *2846:20 8.36615e-05 +9 *2714:10 *2855:10 0.000152711 +10 *2714:11 *3450:9 0.00209112 +11 *4047:DIODE *2714:10 7.36794e-05 +12 *2142:52 *23273:A 6.50727e-05 +13 *2145:20 *5852:DIODE 4.58003e-05 +14 *2145:20 *2714:11 0.000822087 +15 *2479:21 *23273:A 6.50727e-05 +16 *2572:6 *2714:10 0 +17 *2576:18 *23273:A 5.74172e-05 +*RES +1 *21859:X *2714:10 41.9964 +2 *2714:10 *2714:11 43.4279 +3 *2714:11 *23273:A 23.2961 +4 *2714:11 *5852:DIODE 10.5271 +*END + +*D_NET *2715 0.00923961 +*CONN +*I *5854:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23274:A I *D sky130_fd_sc_hd__and2_1 +*I *21860:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5854:DIODE 3.28508e-05 +2 *23274:A 0.000152728 +3 *21860:X 0 +4 *2715:9 0.000381882 +5 *2715:6 0.00185348 +6 *2715:5 0.00165718 +7 *23274:A *2762:27 2.16355e-05 +8 *23274:A *2855:12 0.000243876 +9 *23274:A *3206:6 0.000240335 +10 *2715:6 *2846:20 0.000399812 +11 *2715:6 *2847:14 0.00281091 +12 *2715:6 *2857:6 0 +13 la_data_in_mprj[37] *2715:6 3.67528e-06 +14 *4048:DIODE *2715:6 6.28598e-05 +15 *4190:DIODE *2715:6 9.3436e-05 +16 *4975:DIODE *2715:6 6.62565e-05 +17 *5855:DIODE *23274:A 6.08467e-05 +18 *23274:B *23274:A 3.41459e-05 +19 *446:5 *2715:6 0 +20 *829:8 *2715:6 8.52652e-05 +21 *1888:23 *5854:DIODE 1.03403e-05 +22 *1888:23 *2715:9 9.68546e-05 +23 *2479:20 *2715:6 0.000107743 +24 *2572:6 *2715:6 0 +25 *2714:10 *2715:6 0.000823507 +*RES +1 *21860:X *2715:5 13.7491 +2 *2715:5 *2715:6 60.3826 +3 *2715:6 *2715:9 9.10562 +4 *2715:9 *23274:A 24.29 +5 *2715:9 *5854:DIODE 9.97254 +*END + +*D_NET *2716 0.0105329 +*CONN +*I *5856:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23275:A I *D sky130_fd_sc_hd__and2_1 +*I *21861:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5856:DIODE 0.00015619 +2 *23275:A 1.59645e-05 +3 *21861:X 0.000875248 +4 *2716:24 0.00111954 +5 *2716:23 0.00123244 +6 *2716:20 0.0011603 +7 *5856:DIODE *3123:8 1.77537e-06 +8 *2716:20 *22895:A 0.000286211 +9 *2716:20 *2864:10 2.82472e-05 +10 *2716:20 *3267:19 0.000334509 +11 *2716:23 *2721:11 0.000399698 +12 *2716:24 *22897:A 0.000242119 +13 *2716:24 *2722:10 0 +14 *2716:24 *2751:22 5.4709e-05 +15 *2716:24 *3267:10 0.000411387 +16 la_data_in_mprj[38] *2716:20 5.07314e-05 +17 la_data_in_mprj[40] *2716:20 5.07314e-05 +18 la_data_in_mprj[42] *2716:23 5.07314e-05 +19 *3908:DIODE *2716:20 0.000525925 +20 *3913:DIODE *2716:23 5.07314e-05 +21 *4049:DIODE *2716:20 0.000171273 +22 *4052:DIODE *2716:20 0.000254881 +23 *4197:DIODE *2716:23 4.58003e-05 +24 *4198:DIODE *2716:24 0 +25 *21721:A *2716:20 6.50727e-05 +26 *21862:A *2716:20 6.50727e-05 +27 *576:8 *2716:20 6.50727e-05 +28 *834:11 *2716:20 0 +29 *1267:12 *2716:24 0.00121262 +30 *1268:14 *5856:DIODE 0.000139869 +31 *1268:14 *2716:24 0.000531181 +32 *1394:9 *23275:A 1.43983e-05 +33 *1651:8 *5856:DIODE 0 +34 *1651:8 *2716:24 0 +35 *2071:22 *5856:DIODE 0.00011818 +36 *2374:29 *23275:A 6.50727e-05 +37 *2576:17 *2716:20 0.000201867 +38 *2576:17 *2716:23 0.000510748 +39 *2578:6 *2716:20 2.46281e-05 +40 *2580:14 *2716:24 0 +*RES +1 *21861:X *2716:20 48.417 +2 *2716:20 *2716:23 16.8701 +3 *2716:23 *2716:24 33.3912 +4 *2716:24 *23275:A 14.4725 +5 *2716:24 *5856:DIODE 17.6896 +*END + +*D_NET *2717 0.0134459 +*CONN +*I *5858:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23276:A I *D sky130_fd_sc_hd__and2_1 +*I *21862:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5858:DIODE 9.04541e-05 +2 *23276:A 0 +3 *21862:X 0.000376403 +4 *2717:21 0.00066143 +5 *2717:17 0.00158002 +6 *2717:11 0.00138545 +7 *5858:DIODE *2721:18 0 +8 *5858:DIODE *3122:15 0.000139023 +9 *2717:11 *2858:10 5.53934e-05 +10 *2717:11 *3264:9 0.00198068 +11 *2717:11 *3267:19 0.00140716 +12 *2717:17 *22431:A_N 0.000266846 +13 *2717:17 *2719:16 0.00160515 +14 *2717:17 *3271:6 0.000646784 +15 *2717:21 *2721:18 0.000985662 +16 *2717:21 *2722:14 0.000989087 +17 *4050:DIODE *2717:11 2.12377e-05 +18 *1393:13 *2717:21 0.000373047 +19 *1394:9 *2717:17 0.00024195 +20 *1895:28 *5858:DIODE 2.12995e-05 +21 *1896:27 *5858:DIODE 7.3604e-05 +22 *1896:27 *2717:21 0.000381559 +23 *1897:20 *5858:DIODE 2.41483e-05 +24 *1897:20 *2717:21 2.15348e-05 +25 *2374:29 *2717:17 1.99131e-05 +26 *2374:31 *2717:17 9.80747e-05 +*RES +1 *21862:X *2717:11 46.2401 +2 *2717:11 *2717:17 46.3084 +3 *2717:17 *2717:21 28.7935 +4 *2717:21 *23276:A 9.24915 +5 *2717:21 *5858:DIODE 21.635 +*END + +*D_NET *2718 0.0344627 +*CONN +*I *6052:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23373:A I *D sky130_fd_sc_hd__nand2_1 +*I *21863:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6052:DIODE 0 +2 *23373:A 0.000195638 +3 *21863:X 0 +4 *2718:9 0.00691455 +5 *2718:8 0.00671891 +6 *2718:6 0.00312843 +7 *2718:5 0.00312843 +8 *2718:6 *21391:A 6.4266e-05 +9 *2718:6 *22734:A 0 +10 *2718:6 *22989:A 5.8261e-05 +11 *2718:6 *2959:23 0.000564367 +12 *2718:6 *3091:8 0 +13 *2718:6 *3092:8 0.00505976 +14 *2718:9 *2740:15 0.00274027 +15 *2718:9 *2810:21 5.82695e-05 +16 la_oenb_core[125] *2718:6 7.20082e-05 +17 *6053:DIODE *23373:A 0.000158371 +18 *1734:5 *2718:9 0.00284898 +19 *1874:14 *2718:6 0.000133481 +20 *1987:26 *23373:A 0.000364012 +21 *1987:26 *2718:9 0.000217491 +22 *2406:20 *2718:9 0.000634701 +23 *2410:22 *2718:9 0.000114504 +24 *2424:8 *2718:6 0 +25 *2523:27 *2718:9 0.000981314 +26 *2525:31 *2718:9 0.000306727 +*RES +1 *21863:X *2718:5 13.7491 +2 *2718:5 *2718:6 113.12 +3 *2718:6 *2718:8 4.5 +4 *2718:8 *2718:9 205.927 +5 *2718:9 *23373:A 15.4221 +6 *2718:9 *6052:DIODE 9.24915 +*END + +*D_NET *2719 0.0107352 +*CONN +*I *23277:A I *D sky130_fd_sc_hd__and2_1 +*I *5860:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21864:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23277:A 0.000240257 +2 *5860:DIODE 0 +3 *21864:X 0.000774366 +4 *2719:17 0.000670867 +5 *2719:16 0.00138448 +6 *2719:13 0.00172824 +7 *2719:13 *22895:A 7.14746e-05 +8 *2719:13 *3267:19 0.00050655 +9 *2719:16 *2852:14 0.00167879 +10 *2719:16 *3271:6 0.000113808 +11 *4054:DIODE *2719:13 7.50872e-05 +12 *21462:A *2719:16 1.90335e-05 +13 *23277:B *23277:A 1.67245e-05 +14 *1268:14 *23277:A 7.25274e-05 +15 *1651:8 *23277:A 7.83506e-06 +16 *2000:14 *23277:A 0.000305742 +17 *2000:14 *2719:17 0.000659414 +18 *2010:19 *2719:16 1.5714e-05 +19 *2575:12 *2719:16 3.20069e-06 +20 *2576:17 *2719:13 0.000693671 +21 *2579:9 *2719:16 9.22698e-05 +22 *2717:17 *2719:16 0.00160515 +*RES +1 *21864:X *2719:13 37.2594 +2 *2719:13 *2719:16 43.2894 +3 *2719:16 *2719:17 7.37864 +4 *2719:17 *5860:DIODE 9.24915 +5 *2719:17 *23277:A 23.1623 +*END + +*D_NET *2720 0.00474397 +*CONN +*I *23278:A I *D sky130_fd_sc_hd__and2_1 +*I *5862:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21865:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23278:A 0.000163232 +2 *5862:DIODE 0 +3 *21865:X 0.0010345 +4 *2720:11 0.000256074 +5 *2720:8 0.00112734 +6 *2720:8 *2837:14 0 +7 *2720:8 *2849:12 0.000880251 +8 *2720:8 *2874:11 0.000164829 +9 la_data_in_mprj[5] *2720:8 0.000111722 +10 *3923:DIODE *2720:8 0.000260388 +11 *4065:DIODE *2720:8 2.82537e-05 +12 *4207:DIODE *2720:8 0.000115561 +13 *5863:DIODE *23278:A 6.92705e-05 +14 *1653:11 *23278:A 5.07314e-05 +15 *2590:15 *2720:8 0 +16 *2602:6 *2720:8 0.000481825 +*RES +1 *21865:X *2720:8 46.3617 +2 *2720:8 *2720:11 5.778 +3 *2720:11 *5862:DIODE 9.24915 +4 *2720:11 *23278:A 13.7342 +*END + +*D_NET *2721 0.011123 +*CONN +*I *23279:A I *D sky130_fd_sc_hd__and2_1 +*I *5864:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21866:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23279:A 2.77979e-05 +2 *5864:DIODE 0 +3 *21866:X 0.000477396 +4 *2721:18 0.00146657 +5 *2721:14 0.0024944 +6 *2721:11 0.00153303 +7 *2721:11 *5414:DIODE 9.75243e-05 +8 *2721:11 *3271:11 0.000364328 +9 *2721:14 *22897:A 4.87343e-05 +10 *2721:14 *2722:10 0 +11 *2721:14 *3267:10 0.00109943 +12 *2721:18 *2722:10 3.29488e-05 +13 *2721:18 *2722:14 0.000347426 +14 *2721:18 *3122:15 0 +15 *4809:DIODE *2721:18 0.000140069 +16 *5858:DIODE *2721:18 0 +17 *5865:DIODE *23279:A 6.92705e-05 +18 *22303:TE *2721:18 1.49935e-05 +19 *23273:B *2721:14 1.79807e-05 +20 *1268:14 *2721:14 8.14232e-05 +21 *1393:13 *2721:18 0 +22 *1779:6 *2721:18 1.59022e-05 +23 *1889:24 *2721:18 0 +24 *1895:28 *2721:18 0 +25 *1896:27 *2721:18 0 +26 *1897:20 *2721:18 0.000964939 +27 *2156:50 *23279:A 9.16785e-05 +28 *2168:20 *23279:A 0.000277502 +29 *2576:17 *2721:11 7.43403e-05 +30 *2716:23 *2721:11 0.000399698 +31 *2717:21 *2721:18 0.000985662 +*RES +1 *21866:X *2721:11 27.4213 +2 *2721:11 *2721:14 28.3959 +3 *2721:14 *2721:18 43.9123 +4 *2721:18 *5864:DIODE 9.24915 +5 *2721:18 *23279:A 12.191 +*END + +*D_NET *2722 0.0100506 +*CONN +*I *23280:A I *D sky130_fd_sc_hd__and2_1 +*I *5866:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21867:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23280:A 6.55646e-06 +2 *5866:DIODE 0 +3 *21867:X 0.0014978 +4 *2722:15 1.59057e-05 +5 *2722:14 0.00157318 +6 *2722:10 0.00306163 +7 *2722:10 *22896:A 0 +8 *2722:10 *22897:A 0 +9 *2722:10 *2864:10 6.50727e-05 +10 la_data_in_mprj[42] *2722:10 3.42931e-05 +11 *4197:DIODE *2722:10 3.77659e-05 +12 *23149:A *2722:10 8.08333e-05 +13 *23149:A *2722:14 7.09666e-06 +14 *23273:A *2722:10 8.5866e-05 +15 *23273:B *2722:10 7.14746e-05 +16 *579:12 *2722:10 7.22498e-05 +17 *1652:8 *2722:14 0.000460615 +18 *1884:13 *23280:A 0.000106215 +19 *1884:13 *2722:15 6.50727e-05 +20 *2014:27 *2722:14 0.000129206 +21 *2040:35 *2722:14 0.000342655 +22 *2172:24 *23280:A 0.000122378 +23 *2172:24 *2722:15 6.50727e-05 +24 *2183:29 *2722:14 0 +25 *2509:12 *2722:14 0.000692698 +26 *2509:20 *2722:14 8.75131e-05 +27 *2576:18 *2722:10 0 +28 *2716:24 *2722:10 0 +29 *2717:21 *2722:14 0.000989087 +30 *2721:14 *2722:10 0 +31 *2721:18 *2722:10 3.29488e-05 +32 *2721:18 *2722:14 0.000347426 +*RES +1 *21867:X *2722:10 46.7989 +2 *2722:10 *2722:14 49.9335 +3 *2722:14 *2722:15 0.723396 +4 *2722:15 *5866:DIODE 9.24915 +5 *2722:15 *23280:A 10.5271 +*END + +*D_NET *2723 0.00917218 +*CONN +*I *23281:A I *D sky130_fd_sc_hd__and2_1 +*I *5868:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21868:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23281:A 0.000167097 +2 *5868:DIODE 0 +3 *21868:X 0.000594872 +4 *2723:14 0.00272906 +5 *2723:13 0.00315683 +6 *23281:A *3410:10 0 +7 *2723:13 *2853:15 0.000840105 +8 *2723:14 *22898:A 0.000208539 +9 *2723:14 *22899:A 0.000212012 +10 *2723:14 *3272:9 0 +11 *2723:14 *3274:8 0.000134419 +12 *2723:14 *3275:8 5.36397e-05 +13 la_data_in_mprj[43] *2723:13 1.47102e-05 +14 la_data_in_mprj[44] *2723:14 1.79807e-05 +15 *581:11 *2723:14 0 +16 *836:8 *2723:13 7.50722e-05 +17 *837:12 *2723:14 7.50872e-05 +18 *2580:10 *2723:13 6.08467e-05 +19 *2581:6 *23281:A 0 +20 *2581:6 *2723:14 0 +21 *2582:10 *2723:14 0 +22 *2582:14 *2723:14 0.000360987 +23 *2582:16 *23281:A 9.28783e-05 +24 *2582:16 *2723:14 0.000378041 +*RES +1 *21868:X *2723:13 33.9317 +2 *2723:13 *2723:14 61.6283 +3 *2723:14 *5868:DIODE 13.7491 +4 *2723:14 *23281:A 18.0727 +*END + +*D_NET *2724 0.0124578 +*CONN +*I *23282:A I *D sky130_fd_sc_hd__and2_1 +*I *5870:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21869:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23282:A 1.48087e-05 +2 *5870:DIODE 0 +3 *21869:X 0.00153099 +4 *2724:11 0.000296831 +5 *2724:10 0.000868683 +6 *2724:7 0.00211765 +7 *2724:7 *2725:10 0.000237388 +8 *2724:10 *22901:A 0 +9 *2724:10 *2725:10 0 +10 *2724:10 *2853:20 0.00105703 +11 *2724:10 *2868:8 0 +12 *2724:10 *3277:6 0 +13 *4057:DIODE *2724:7 6.73351e-05 +14 *21870:A *2724:7 2.16355e-05 +15 *1658:10 *2724:10 0 +16 *2142:46 *23282:A 0.000115934 +17 *2142:46 *2724:11 0.00301486 +18 *2479:21 *23282:A 9.97706e-05 +19 *2479:21 *2724:11 0.00301486 +*RES +1 *21869:X *2724:7 36.6567 +2 *2724:7 *2724:10 23.3573 +3 *2724:10 *2724:11 32.3358 +4 *2724:11 *5870:DIODE 9.24915 +5 *2724:11 *23282:A 10.5271 +*END + +*D_NET *2725 0.00743128 +*CONN +*I *23283:A I *D sky130_fd_sc_hd__and2_1 +*I *5872:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21870:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23283:A 0.000167894 +2 *5872:DIODE 0 +3 *21870:X 0.000677077 +4 *2725:14 0.00211831 +5 *2725:10 0.00262749 +6 *23283:A *2875:21 0 +7 *2725:10 *22901:A 0 +8 *2725:10 *2853:20 0 +9 *2725:14 *5419:DIODE 2.9373e-05 +10 *2725:14 *5424:DIODE 1.31897e-05 +11 *2725:14 *2875:21 0 +12 *3915:DIODE *2725:10 0.000114518 +13 *3916:DIODE *2725:10 0.000171288 +14 *3919:DIODE *2725:10 0.000164829 +15 *3921:DIODE *2725:14 6.08467e-05 +16 *4059:DIODE *2725:10 0.000114594 +17 *4060:DIODE *2725:10 1.92172e-05 +18 *4061:DIODE *2725:14 0.000164829 +19 *4063:DIODE *2725:14 0.000107496 +20 *4066:DIODE *2725:14 0.000171288 +21 *4200:DIODE *2725:10 0.000162739 +22 *5681:DIODE *2725:14 3.94907e-05 +23 *584:8 *2725:10 5.02644e-05 +24 *1657:9 *23283:A 0 +25 *2187:23 *23283:A 0.00021916 +26 *2724:7 *2725:10 0.000237388 +27 *2724:10 *2725:10 0 +*RES +1 *21870:X *2725:10 38.549 +2 *2725:10 *2725:14 47.1178 +3 *2725:14 *5872:DIODE 13.7491 +4 *2725:14 *23283:A 18.4879 +*END + +*D_NET *2726 0.00722049 +*CONN +*I *5874:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23284:A I *D sky130_fd_sc_hd__and2_1 +*I *21871:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5874:DIODE 0.000161348 +2 *23284:A 2.0864e-05 +3 *21871:X 0 +4 *2726:9 0.000306575 +5 *2726:6 0.00300308 +6 *2726:5 0.00287871 +7 *2726:6 *22900:A 0 +8 *2726:6 *3125:10 2.2404e-05 +9 *2726:6 *3276:6 0 +10 *4059:DIODE *2726:6 3.54718e-05 +11 *23284:B *5874:DIODE 0.000111 +12 *23284:B *23284:A 9.95922e-06 +13 *23284:B *2726:9 2.99287e-05 +14 *583:5 *2726:6 1.59782e-05 +15 *839:8 *2726:6 3.39219e-05 +16 *1531:5 *2726:9 0.000167076 +17 *1659:9 *2726:6 0.00019903 +18 *1892:13 *2726:9 2.16718e-05 +19 *2011:43 *2726:6 0 +20 *2014:22 *2726:6 3.80095e-05 +21 *2071:22 *2726:6 0 +22 *2147:55 *2726:6 2.33826e-05 +23 *2188:30 *5874:DIODE 7.24449e-05 +24 *2366:10 *2726:6 0 +25 *2366:12 *2726:6 6.96317e-05 +*RES +1 *21871:X *2726:5 13.7491 +2 *2726:5 *2726:6 60.3826 +3 *2726:6 *2726:9 7.99641 +4 *2726:9 *23284:A 9.82786 +5 *2726:9 *5874:DIODE 13.8548 +*END + +*D_NET *2727 0.00489322 +*CONN +*I *5876:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23285:A I *D sky130_fd_sc_hd__and2_1 +*I *21872:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5876:DIODE 7.66496e-05 +2 *23285:A 0 +3 *21872:X 0.000866356 +4 *2727:13 0.000133374 +5 *2727:12 0.000923081 +6 *5876:DIODE *2733:8 0.000143047 +7 *2727:12 *5878:DIODE 5.36397e-05 +8 *2727:12 *22903:A 1.03403e-05 +9 *2727:12 *2728:8 0.000156994 +10 *2727:12 *2870:12 0 +11 *2727:12 *3278:7 0.000929205 +12 *3921:DIODE *2727:12 0.000144531 +13 *4060:DIODE *2727:12 0.000171273 +14 *4063:DIODE *2727:12 5.96936e-05 +15 *4202:DIODE *2727:12 2.65831e-05 +16 *5877:DIODE *5876:DIODE 6.08467e-05 +17 *5877:DIODE *2727:13 6.50586e-05 +18 *21873:A *2727:12 0.000217937 +19 *23158:A *2727:12 3.86708e-05 +20 *1658:5 *5876:DIODE 5.08751e-05 +21 *1658:5 *2727:13 0.000426168 +22 *1661:6 *2727:12 1.75625e-05 +23 *2140:8 *2727:13 0.000156579 +24 *2189:31 *5876:DIODE 2.95757e-05 +25 *2190:33 *2727:12 4.0143e-05 +26 *2586:12 *2727:12 4.77437e-05 +27 *2587:16 *5876:DIODE 4.72872e-05 +*RES +1 *21872:X *2727:12 46.8754 +2 *2727:12 *2727:13 4.60562 +3 *2727:13 *23285:A 9.24915 +4 *2727:13 *5876:DIODE 21.635 +*END + +*D_NET *2728 0.00151103 +*CONN +*I *5878:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23286:A I *D sky130_fd_sc_hd__and2_1 +*I *21873:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5878:DIODE 4.09896e-05 +2 *23286:A 0 +3 *21873:X 0.000313296 +4 *2728:8 0.000354285 +5 *3921:DIODE *2728:8 7.50872e-05 +6 *2190:33 *5878:DIODE 1.07248e-05 +7 *2190:33 *2728:8 4.47123e-05 +8 *2586:12 *5878:DIODE 0.000120052 +9 *2586:12 *2728:8 0.000341252 +10 *2727:12 *5878:DIODE 5.36397e-05 +11 *2727:12 *2728:8 0.000156994 +*RES +1 *21873:X *2728:8 22.6755 +2 *2728:8 *23286:A 13.7491 +3 *2728:8 *5878:DIODE 15.9964 +*END + +*D_NET *2729 0.0371319 +*CONN +*I *23374:A I *D sky130_fd_sc_hd__nand2_1 +*I *6054:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21874:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23374:A 0.000128967 +2 *6054:DIODE 0 +3 *21874:X 0 +4 *2729:9 0.00463172 +5 *2729:8 0.00450275 +6 *2729:6 0.00454674 +7 *2729:5 0.00454674 +8 *23374:A *2804:33 0.000426168 +9 *2729:6 *22990:A 0.00028688 +10 *2729:6 *2960:23 0 +11 *2729:6 *2963:23 0 +12 *2729:6 *3055:11 0 +13 *2729:6 *3091:8 0 +14 *2729:9 *2740:15 0.00011592 +15 *2729:9 *2804:33 0.000169399 +16 *2729:9 *3035:13 0 +17 *4073:DIODE *2729:6 1.79807e-05 +18 *6055:DIODE *23374:A 0.000171288 +19 *1238:13 *2729:9 0 +20 *2128:44 *2729:9 0.011102 +21 *2406:20 *2729:9 0.00014106 +22 *2410:22 *2729:9 0.000210568 +23 *2424:19 *2729:9 0.000168973 +24 *2483:36 *2729:6 0 +25 *2533:15 *2729:9 0.000210846 +26 *2684:11 *2729:9 0.00575389 +*RES +1 *21874:X *2729:5 13.7491 +2 *2729:5 *2729:6 109.798 +3 *2729:6 *2729:8 4.5 +4 *2729:8 *2729:9 197.608 +5 *2729:9 *6054:DIODE 9.24915 +6 *2729:9 *23374:A 14.8434 +*END + +*D_NET *2730 0.0127322 +*CONN +*I *23287:A I *D sky130_fd_sc_hd__and2_1 +*I *5880:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21875:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *23287:A 0.000176605 +2 *5880:DIODE 0 +3 *21875:X 0.000764304 +4 *2730:14 0.000390994 +5 *2730:11 0.00192085 +6 *2730:10 0.00170646 +7 *2730:8 0.000764304 +8 *23287:A *23415:A 0 +9 *23287:A *2742:8 0 +10 *23287:A *3291:12 0.000219454 +11 *2730:8 *22903:A 7.84248e-05 +12 *2730:8 *22906:A 6.50586e-05 +13 *2730:8 *22907:A 3.14978e-05 +14 *2730:8 *2733:7 0.00134762 +15 *2730:8 *2734:14 0.000242762 +16 *2730:8 *2876:21 0.000184967 +17 *2730:8 *3280:7 0.00030277 +18 *2730:8 *3283:9 0.000288859 +19 *2730:11 *5428:DIODE 0.000840872 +20 *2730:11 *5429:DIODE 3.8122e-05 +21 *2730:11 *5430:DIODE 1.41181e-05 +22 *2730:11 *5431:DIODE 6.50586e-05 +23 *2730:11 *3288:9 4.17467e-05 +24 *2730:11 *3289:9 7.57519e-05 +25 *2730:11 *3290:5 0.000564559 +26 *2730:14 *3291:12 0.000426924 +27 la_data_in_mprj[51] *2730:8 4.58003e-05 +28 la_data_in_mprj[52] *2730:8 0.000164829 +29 *4070:DIODE *2730:11 4.31703e-05 +30 *4209:DIODE *2730:8 5.8261e-05 +31 *4210:DIODE *2730:11 0.000464127 +32 *5683:DIODE *2730:11 9.068e-05 +33 *5686:DIODE *2730:11 0.000377259 +34 *5687:DIODE *2730:11 4.00824e-05 +35 *5881:DIODE *23287:A 2.28238e-05 +36 *21878:A *2730:8 0.000171288 +37 *21879:A *2730:8 6.08467e-05 +38 *1663:9 *2730:11 0.000118831 +39 *2592:15 *2730:8 0 +40 *2600:17 *23287:A 2.84437e-05 +41 *2600:17 *2730:14 0.000493732 +*RES +1 *21875:X *2730:8 47.3595 +2 *2730:8 *2730:10 4.5 +3 *2730:10 *2730:11 50.0831 +4 *2730:11 *2730:14 13.8065 +5 *2730:14 *5880:DIODE 13.7491 +6 *2730:14 *23287:A 19.123 +*END + +*D_NET *2731 0.00631275 +*CONN +*I *23288:A I *D sky130_fd_sc_hd__and2_1 +*I *5882:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21876:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23288:A 0.000138626 +2 *5882:DIODE 0 +3 *21876:X 0.00175938 +4 *2731:11 0.000426236 +5 *2731:8 0.00204699 +6 *23288:A *3173:49 0.000222149 +7 *2731:8 *2734:14 8.57532e-05 +8 *2731:8 *2876:21 3.14978e-05 +9 *2731:8 *2877:15 7.17336e-05 +10 *2731:11 *3173:49 0.000102814 +11 la_data_in_mprj[53] *2731:8 5.56367e-05 +12 *3924:DIODE *2731:8 4.79157e-05 +13 *3925:DIODE *2731:8 7.21295e-05 +14 *3927:DIODE *2731:8 0 +15 *4068:DIODE *2731:8 0.000325947 +16 *4208:DIODE *2731:8 0.000103983 +17 *5883:DIODE *23288:A 6.50727e-05 +18 *21738:A *2731:8 1.00846e-05 +19 *21880:A *2731:8 1.92172e-05 +20 *462:8 *2731:8 2.85274e-05 +21 *591:12 *2731:8 0.000115632 +22 *845:8 *2731:8 0.000220183 +23 *846:8 *2731:8 0.000115632 +24 *847:5 *2731:8 1.32509e-05 +25 *1662:17 *23288:A 1.41689e-05 +26 *2591:14 *2731:8 0.000220183 +*RES +1 *21876:X *2731:8 47.3483 +2 *2731:8 *2731:11 9.10562 +3 *2731:11 *5882:DIODE 9.24915 +4 *2731:11 *23288:A 13.7342 +*END + +*D_NET *2732 0.0130199 +*CONN +*I *5884:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23289:A I *D sky130_fd_sc_hd__and2_1 +*I *21877:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5884:DIODE 3.27515e-05 +2 *23289:A 8.85374e-05 +3 *21877:X 4.34705e-05 +4 *2732:15 0.00142131 +5 *2732:9 0.00241467 +6 *2732:8 0.00115812 +7 *5884:DIODE *3235:5 6.50727e-05 +8 *2732:9 *2744:9 0.000100442 +9 *2732:9 *2861:11 0.000869626 +10 *2732:9 *2898:11 0.00306722 +11 *2732:9 *2961:51 0.00195269 +12 *2732:15 *2849:16 0.000289145 +13 *2732:15 *3235:5 0.000260388 +14 la_data_in_mprj[11] *2732:15 9.25605e-05 +15 la_data_in_mprj[5] *2732:8 3.01634e-05 +16 *3867:DIODE *2732:15 7.41058e-05 +17 *3997:DIODE *2732:15 5.43062e-05 +18 *410:5 *2732:15 4.70005e-05 +19 *471:5 *2732:8 0.000101148 +20 *2139:14 *2732:15 0.000163418 +21 *2522:14 *2732:15 0 +22 *2534:6 *2732:15 0 +23 *2664:8 *2732:15 7.50872e-05 +24 *2664:9 *2732:9 6.50586e-05 +25 *2688:10 *23289:A 0.000247246 +26 *2689:18 *23289:A 0.000109048 +27 *2708:13 *2732:9 0.000197314 +*RES +1 *21877:X *2732:8 20.0811 +2 *2732:8 *2732:9 51.1923 +3 *2732:9 *2732:15 37.0447 +4 *2732:15 *23289:A 22.5727 +5 *2732:15 *5884:DIODE 9.97254 +*END + +*D_NET *2733 0.00898009 +*CONN +*I *5886:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23290:A I *D sky130_fd_sc_hd__and2_1 +*I *21878:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5886:DIODE 0.000185307 +2 *23290:A 0 +3 *21878:X 0.000396258 +4 *2733:17 0.000251815 +5 *2733:8 0.00205817 +6 *2733:7 0.00238792 +7 *5886:DIODE *2870:23 0.000197754 +8 *5886:DIODE *2995:72 0.000204248 +9 *2733:8 *2870:12 0 +10 *2733:8 *2995:72 0 +11 *2733:8 *2995:76 7.51323e-05 +12 *2733:8 *3029:46 0.000433307 +13 la_data_in_mprj[50] *2733:7 0.000164829 +14 *4204:DIODE *2733:7 0.000217937 +15 *5876:DIODE *2733:8 0.000143047 +16 *1150:14 *2733:8 0.000163058 +17 *1665:12 *2733:17 0.000108698 +18 *2003:38 *2733:17 0.000229983 +19 *2004:10 *5886:DIODE 6.08467e-05 +20 *2017:13 *2733:8 4.35197e-05 +21 *2189:31 *2733:8 3.88655e-06 +22 *2587:14 *2733:8 1.9101e-05 +23 *2587:16 *2733:8 0.000287655 +24 *2730:8 *2733:7 0.00134762 +*RES +1 *21878:X *2733:7 28.3376 +2 *2733:7 *2733:8 47.5097 +3 *2733:8 *2733:17 7.9723 +4 *2733:17 *23290:A 9.24915 +5 *2733:17 *5886:DIODE 23.2961 +*END + +*D_NET *2734 0.00551913 +*CONN +*I *5888:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23291:A I *D sky130_fd_sc_hd__and2_1 +*I *21879:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5888:DIODE 0.000123504 +2 *23291:A 7.30256e-05 +3 *21879:X 0.00106017 +4 *2734:15 0.000583053 +5 *2734:14 0.00144669 +6 *5888:DIODE *3173:39 8.90321e-05 +7 *23291:A *2736:17 1.32509e-05 +8 *2734:14 *22909:A 0 +9 *2734:14 *2877:15 0 +10 *2734:14 *3285:10 0.000364356 +11 *2734:14 *3286:8 9.8511e-05 +12 *2734:15 *6138:DIODE 1.43983e-05 +13 *2734:15 *3173:39 6.44819e-05 +14 *2734:15 *3195:21 9.82896e-06 +15 la_data_in_mprj[52] *2734:14 0.00041102 +16 *3927:DIODE *2734:14 3.20069e-06 +17 *23160:A *2734:14 0.000298126 +18 *23291:B *5888:DIODE 0.000111722 +19 *23291:B *23291:A 0.000145506 +20 *23416:B *2734:15 0.000161234 +21 *1535:8 *23291:A 4.08482e-05 +22 *2143:14 *2734:15 7.8651e-05 +23 *2730:8 *2734:14 0.000242762 +24 *2731:8 *2734:14 8.57532e-05 +*RES +1 *21879:X *2734:14 41.919 +2 *2734:14 *2734:15 9.59705 +3 *2734:15 *23291:A 20.9116 +4 *2734:15 *5888:DIODE 12.7456 +*END + +*D_NET *2735 0.00657676 +*CONN +*I *5890:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23292:A I *D sky130_fd_sc_hd__and2_1 +*I *21880:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5890:DIODE 3.60482e-05 +2 *23292:A 0.000123808 +3 *21880:X 0.00150222 +4 *2735:15 0.000533301 +5 *2735:11 0.00187566 +6 *5890:DIODE *3228:37 6.08467e-05 +7 *23292:A *2738:8 0.000227984 +8 *2735:11 *2961:31 0.000971762 +9 *2735:15 *2738:8 0.0004356 +10 *2735:15 *2879:8 0.000150354 +11 *2735:15 *3228:37 0.000107496 +12 la_data_in_mprj[53] *2735:11 7.86847e-05 +13 la_data_in_mprj[56] *2735:15 7.66356e-05 +14 *4213:DIODE *2735:15 0.000150478 +15 *464:5 *2735:11 1.79807e-05 +16 *850:7 *2735:15 4.04044e-05 +17 *1667:8 *23292:A 0.000187498 +18 *2598:8 *23292:A 0 +*RES +1 *21880:X *2735:11 48.7372 +2 *2735:11 *2735:15 17.1608 +3 *2735:15 *23292:A 22.5727 +4 *2735:15 *5890:DIODE 9.97254 +*END + +*D_NET *2736 0.0131925 +*CONN +*I *5892:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23293:A I *D sky130_fd_sc_hd__and2_1 +*I *21881:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5892:DIODE 0.000129334 +2 *23293:A 0 +3 *21881:X 4.97272e-05 +4 *2736:20 0.000723006 +5 *2736:17 0.00347273 +6 *2736:7 0.00292878 +7 *2736:17 *22909:A 0 +8 *2736:17 *2877:15 0 +9 *2736:17 *2878:19 9.12416e-06 +10 *2736:17 *3173:49 0 +11 *2736:17 *3287:12 0 +12 la_data_in_mprj[54] *2736:17 0.000189906 +13 *3928:DIODE *2736:17 0 +14 *4211:DIODE *2736:17 0.000143244 +15 *21881:A *2736:7 2.16355e-05 +16 *23163:A *2736:17 6.13144e-05 +17 *23165:A *2736:17 2.1309e-05 +18 *23291:A *2736:17 1.32509e-05 +19 *848:8 *2736:17 0 +20 *1155:22 *2736:17 0.000422618 +21 *1171:26 *2736:17 0.000119789 +22 *1535:8 *2736:17 0.000115746 +23 *1663:9 *2736:17 0 +24 *1666:10 *2736:17 6.92855e-05 +25 *1668:17 *2736:17 4.15313e-05 +26 *1668:18 *2736:17 0.000181368 +27 *1920:7 *5892:DIODE 3.37785e-05 +28 *1920:7 *2736:20 0.000154892 +29 *1927:33 *5892:DIODE 9.59057e-05 +30 *1927:33 *2736:20 0.000187677 +31 *1939:7 *2736:20 5.1188e-05 +32 *1948:32 *5892:DIODE 6.50727e-05 +33 *2023:37 *2736:17 0.000916008 +34 *2101:20 *2736:17 3.74259e-05 +35 *2139:7 *2736:17 0 +36 *2162:29 *2736:17 1.80369e-05 +37 *2179:25 *2736:17 0.000224093 +38 *2192:25 *2736:17 0.00257497 +39 *2325:16 *2736:17 0.000119789 +*RES +1 *21881:X *2736:7 14.4725 +2 *2736:7 *2736:17 49.7099 +3 *2736:17 *2736:20 14.9592 +4 *2736:20 *23293:A 13.7491 +5 *2736:20 *5892:DIODE 16.7198 +*END + +*D_NET *2737 0.00496995 +*CONN +*I *23294:A I *D sky130_fd_sc_hd__and2_1 +*I *5894:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21882:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23294:A 0.000473473 +2 *5894:DIODE 4.27376e-05 +3 *21882:X 0.00166614 +4 *2737:8 0.00218235 +5 *23294:A *2879:8 0 +6 *2737:8 *22911:A 0 +7 *2737:8 *2879:8 0 +8 *2737:8 *3285:10 4.27631e-05 +9 *2737:8 *3288:9 0 +10 *4212:DIODE *2737:8 0 +11 *5690:DIODE *23294:A 8.51505e-05 +12 *6153:DIODE *23294:A 0.000135958 +13 *23164:A *2737:8 5.48616e-05 +14 *23423:B *23294:A 5.04829e-06 +15 *1147:37 *23294:A 3.52184e-05 +16 *1147:37 *2737:8 1.47102e-05 +17 *1670:6 *23294:A 0.00011393 +18 *2147:42 *23294:A 0 +19 *2374:11 *23294:A 5.39501e-05 +20 *2397:7 *5894:DIODE 6.3657e-05 +*RES +1 *21882:X *2737:8 44.6894 +2 *2737:8 *5894:DIODE 14.4725 +3 *2737:8 *23294:A 24.8491 +*END + +*D_NET *2738 0.00471378 +*CONN +*I *23295:A I *D sky130_fd_sc_hd__and2_1 +*I *5896:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21883:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23295:A 0.000284719 +2 *5896:DIODE 0 +3 *21883:X 0.00127031 +4 *2738:8 0.00155503 +5 *23295:A *6152:DIODE 0.000185392 +6 *2738:8 *6152:DIODE 9.34404e-05 +7 *2738:8 *2879:8 0.000260078 +8 *2738:8 *3306:34 0 +9 la_data_in_mprj[56] *2738:8 7.50872e-05 +10 *4071:DIODE *2738:8 0 +11 *5897:DIODE *23295:A 7.04759e-05 +12 *5897:DIODE *2738:8 3.20069e-06 +13 *23164:A *2738:8 9.56378e-05 +14 *23292:A *2738:8 0.000227984 +15 *594:22 *2738:8 2.01334e-05 +16 *1667:8 *2738:8 2.01186e-05 +17 *2196:25 *2738:8 7.83498e-05 +18 *2374:11 *23295:A 3.82228e-05 +19 *2598:8 *2738:8 0 +20 *2735:15 *2738:8 0.0004356 +*RES +1 *21883:X *2738:8 46.342 +2 *2738:8 *5896:DIODE 13.7491 +3 *2738:8 *23295:A 20.2544 +*END + +*D_NET *2739 0.0168763 +*CONN +*I *23296:A I *D sky130_fd_sc_hd__and2_1 +*I *5898:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21884:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23296:A 0 +2 *5898:DIODE 0.000259696 +3 *21884:X 0.000214625 +4 *2739:24 0.000714123 +5 *2739:21 0.00352362 +6 *2739:9 0.00328381 +7 *5898:DIODE *23424:A 3.14978e-05 +8 *2739:21 *22913:A 0.000101544 +9 *2739:21 *2742:8 0 +10 *2739:21 *2881:11 0 +11 *2739:21 *3262:48 0.000125108 +12 la_data_in_mprj[57] *2739:9 0.00011818 +13 *3932:DIODE *2739:21 0.000164948 +14 *4214:DIODE *2739:21 0 +15 *6155:DIODE *5898:DIODE 9.44106e-05 +16 *21743:A *2739:9 0 +17 *23296:B *5898:DIODE 4.25388e-05 +18 *1171:26 *2739:21 0.00051109 +19 *1543:7 *5898:DIODE 4.57616e-05 +20 *1673:16 *2739:21 3.68063e-05 +21 *1913:5 *2739:24 0.000481667 +22 *1914:12 *2739:24 3.57363e-05 +23 *1932:47 *2739:24 0.000136357 +24 *1943:8 *5898:DIODE 1.35648e-05 +25 *2075:27 *2739:21 6.40623e-05 +26 *2097:13 *2739:21 8.49195e-05 +27 *2141:19 *2739:21 0.000113374 +28 *2144:9 *2739:21 0.00048139 +29 *2155:13 *5898:DIODE 2.65831e-05 +30 *2159:30 *2739:21 0.00025849 +31 *2160:13 *5898:DIODE 0.000728104 +32 *2160:13 *2739:24 0.000231148 +33 *2197:31 *5898:DIODE 0.000158371 +34 *2198:29 *2739:21 0.00125397 +35 *2325:16 *2739:21 0.000515333 +36 *2372:29 *2739:21 0.000116216 +37 *2600:26 *2739:21 0.00290921 +*RES +1 *21884:X *2739:9 18.9335 +2 *2739:9 *2739:21 49.5414 +3 *2739:21 *2739:24 15.6752 +4 *2739:24 *5898:DIODE 20.5823 +5 *2739:24 *23296:A 9.24915 +*END + +*D_NET *2740 0.0433145 +*CONN +*I *6056:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23375:A I *D sky130_fd_sc_hd__nand2_1 +*I *21885:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6056:DIODE 0 +2 *23375:A 0.000129921 +3 *21885:X 8.86749e-05 +4 *2740:21 0.00179057 +5 *2740:20 0.00199564 +6 *2740:15 0.00317266 +7 *2740:14 0.00369696 +8 *2740:8 0.00432517 +9 *2740:7 0.00355456 +10 *2740:8 *22735:A 0 +11 *2740:14 *2963:23 0.000526374 +12 *2740:14 *3033:12 0.000546173 +13 *2740:15 *2827:27 0.00461722 +14 *2740:20 *2817:24 1.37925e-05 +15 *2740:20 *3034:6 0.000260982 +16 *2740:21 *2817:19 0 +17 *4084:DIODE *2740:8 3.00073e-05 +18 *6057:DIODE *23375:A 0.000169041 +19 *21885:A *2740:7 8.97566e-06 +20 *22701:A *2740:14 7.14746e-05 +21 *1734:5 *2740:15 0.0047786 +22 *1975:45 *2740:20 0.000540926 +23 *1987:26 *2740:15 0.00482806 +24 *1995:32 *23375:A 0.000428134 +25 *1995:32 *2740:21 0.000485219 +26 *2406:20 *2740:15 0.000292005 +27 *2410:22 *2740:15 0.000148271 +28 *2424:19 *2740:14 2.75449e-05 +29 *2424:19 *2740:15 0.00159847 +30 *2483:36 *2740:8 0 +31 *2533:15 *2740:15 0.000577355 +32 *2673:16 *2740:20 0.000565488 +33 *2684:11 *2740:15 0.000640588 +34 *2684:28 *2740:20 0.000549478 +35 *2718:9 *2740:15 0.00274027 +36 *2729:9 *2740:15 0.00011592 +*RES +1 *21885:X *2740:7 15.0271 +2 *2740:7 *2740:8 82.3787 +3 *2740:8 *2740:14 34.8989 +4 *2740:14 *2740:15 177.642 +5 *2740:15 *2740:20 28.2726 +6 *2740:20 *2740:21 27.899 +7 *2740:21 *23375:A 14.8434 +8 *2740:21 *6056:DIODE 9.24915 +*END + +*D_NET *2741 0.00516844 +*CONN +*I *23297:A I *D sky130_fd_sc_hd__and2_1 +*I *5900:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21886:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23297:A 0.000201323 +2 *5900:DIODE 0 +3 *21886:X 0.00104685 +4 *2741:12 0.000929055 +5 *2741:11 0.00177458 +6 *23297:A *3195:20 0 +7 *2741:11 *2961:31 0.000667838 +8 *2741:12 *22917:A 0 +9 *2741:12 *23299:A 0 +10 *2741:12 *2743:8 0 +11 *2741:12 *3195:20 0 +12 *4076:DIODE *2741:12 0.000125695 +13 *4218:DIODE *2741:12 4.86511e-05 +14 *23171:A *2741:12 0 +15 *596:5 *2741:11 1.68577e-05 +16 *598:9 *2741:12 0.000238974 +17 *852:5 *2741:11 6.85778e-05 +18 *854:7 *2741:12 3.93072e-05 +19 *1672:11 *23297:A 0 +20 *1672:11 *2741:12 0 +21 *2201:23 *23297:A 1.07248e-05 +*RES +1 *21886:X *2741:11 40.9728 +2 *2741:11 *2741:12 18.0268 +3 *2741:12 *5900:DIODE 13.7491 +4 *2741:12 *23297:A 18.0727 +*END + +*D_NET *2742 0.00564423 +*CONN +*I *23298:A I *D sky130_fd_sc_hd__and2_1 +*I *5902:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21887:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23298:A 0.000146023 +2 *5902:DIODE 4.27457e-05 +3 *21887:X 0.000424084 +4 *2742:8 0.00191343 +5 *2742:7 0.00214875 +6 *2742:7 *22914:A 1.41181e-05 +7 *2742:8 *22913:A 0 +8 *2742:8 *2883:19 4.41861e-05 +9 *4074:DIODE *2742:8 2.99929e-05 +10 *4215:DIODE *2742:8 2.652e-05 +11 *5881:DIODE *2742:8 0 +12 *22027:A *2742:7 1.41307e-05 +13 *23287:A *2742:8 0 +14 *23298:B *23298:A 0.000212104 +15 *469:10 *2742:7 5.07314e-05 +16 *1671:21 *2742:8 0 +17 *1673:16 *23298:A 1.61631e-05 +18 *2144:9 *2742:8 0 +19 *2191:17 *2742:8 0 +20 *2374:11 *23298:A 0.000110583 +21 *2394:7 *5902:DIODE 6.36477e-05 +22 *2394:7 *23298:A 0.000366486 +23 *2600:17 *2742:7 2.054e-05 +24 *2600:17 *2742:8 0 +25 *2739:21 *2742:8 0 +*RES +1 *21887:X *2742:7 20.0186 +2 *2742:7 *2742:8 34.6369 +3 *2742:8 *5902:DIODE 14.4725 +4 *2742:8 *23298:A 19.9109 +*END + +*D_NET *2743 0.00132052 +*CONN +*I *23299:A I *D sky130_fd_sc_hd__and2_1 +*I *5904:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21888:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23299:A 9.02338e-05 +2 *5904:DIODE 0 +3 *21888:X 0.000275939 +4 *2743:8 0.000366173 +5 *2743:8 *22917:A 0 +6 *4218:DIODE *2743:8 1.00937e-05 +7 *23171:A *2743:8 0.000361271 +8 *1672:11 *23299:A 0.000139226 +9 *1672:11 *2743:8 7.75874e-05 +10 *2741:12 *23299:A 0 +11 *2741:12 *2743:8 0 +*RES +1 *21888:X *2743:8 20.184 +2 *2743:8 *5904:DIODE 13.7491 +3 *2743:8 *23299:A 16.4116 +*END + +*D_NET *2744 0.0123356 +*CONN +*I *5906:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23300:A I *D sky130_fd_sc_hd__and2_1 +*I *21889:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5906:DIODE 0.000231394 +2 *23300:A 0.000124515 +3 *21889:X 0.000135897 +4 *2744:15 0.00119847 +5 *2744:9 0.00205225 +6 *2744:8 0.00134558 +7 *5906:DIODE *3450:21 0.000356482 +8 *23300:A *3236:8 0.000224215 +9 *2744:8 *2937:18 0 +10 *2744:9 *2768:13 0.00068614 +11 *2744:9 *2768:17 0.000436811 +12 *2744:9 *2937:15 0.000702593 +13 *2744:9 *2961:51 0.000111031 +14 *2744:9 *2966:15 0.00197694 +15 *2744:15 *2828:10 6.08076e-05 +16 *2744:15 *3238:8 0.000675895 +17 *2744:15 *3450:21 0.000302686 +18 la_data_in_mprj[13] *2744:15 0.000333593 +19 *3878:DIODE *2744:15 0 +20 *4019:DIODE *2744:15 7.8406e-05 +21 *4077:DIODE *2744:8 3.67528e-06 +22 *420:15 *2744:15 9.51089e-06 +23 *599:5 *2744:8 5.46627e-05 +24 *855:5 *2744:8 0.000201301 +25 *1664:11 *2744:15 0.000540005 +26 *2544:10 *2744:15 4.76414e-05 +27 *2686:10 *23300:A 5.68526e-05 +28 *2686:10 *2744:15 3.94365e-05 +29 *2708:13 *2744:9 0.000248406 +30 *2732:9 *2744:9 0.000100442 +*RES +1 *21889:X *2744:8 22.9879 +2 *2744:8 *2744:9 63.3936 +3 *2744:9 *2744:15 36.3368 +4 *2744:15 *23300:A 22.5727 +5 *2744:15 *5906:DIODE 13.3002 +*END + +*D_NET *2745 0.00410896 +*CONN +*I *23301:A I *D sky130_fd_sc_hd__and2_1 +*I *5908:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21890:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23301:A 0.000278198 +2 *5908:DIODE 0 +3 *21890:X 0.00174823 +4 *2745:8 0.00202643 +5 *23301:A *2888:13 0 +6 *2745:8 *2888:13 0 +7 *3936:DIODE *2745:8 2.85274e-05 +8 *4079:DIODE *2745:8 6.7365e-06 +9 *4220:DIODE *2745:8 1.01134e-05 +10 *2205:19 *23301:A 1.07248e-05 +*RES +1 *21890:X *2745:8 44.695 +2 *2745:8 *5908:DIODE 13.7491 +3 *2745:8 *23301:A 18.4879 +*END + +*D_NET *2746 0.00404102 +*CONN +*I *23302:A I *D sky130_fd_sc_hd__and2_1 +*I *5910:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21891:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23302:A 2.80085e-05 +2 *5910:DIODE 0.000126002 +3 *21891:X 0.00148066 +4 *2746:8 0.00163467 +5 *5910:DIODE *2888:13 0 +6 *5910:DIODE *2889:11 2.32997e-05 +7 *5910:DIODE *3297:6 3.5534e-06 +8 *5910:DIODE *3350:52 0 +9 *2746:8 *22919:A 0.000168078 +10 *2746:8 *2888:7 1.43848e-05 +11 *2746:8 *2888:13 0 +12 *2746:8 *3297:6 0.000307641 +13 la_data_in_mprj[62] *2746:8 1.52753e-05 +14 *5911:DIODE *2746:8 0.000136285 +15 *21750:A *2746:8 1.92926e-05 +16 *23302:B *5910:DIODE 2.21587e-05 +17 *23302:B *2746:8 2.02035e-05 +18 *602:11 *2746:8 4.15008e-05 +19 *1677:9 *2746:8 0 +*RES +1 *21891:X *2746:8 44.9709 +2 *2746:8 *5910:DIODE 16.4116 +3 *2746:8 *23302:A 14.4819 +*END + +*D_NET *2747 0.00430069 +*CONN +*I *23303:A I *D sky130_fd_sc_hd__and2_1 +*I *5912:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21892:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23303:A 0.000250655 +2 *5912:DIODE 0 +3 *21892:X 0.00183516 +4 *2747:8 0.00208581 +5 *2747:8 *2748:8 0 +6 *3939:DIODE *2747:8 6.91561e-06 +7 *4080:DIODE *2747:8 6.73186e-05 +8 *4081:DIODE *2747:8 3.28898e-06 +9 *5913:DIODE *23303:A 3.85185e-05 +10 *23303:B *23303:A 1.3023e-05 +11 *1678:9 *2747:8 0 +12 *2080:13 *23303:A 0 +13 *2080:13 *2747:8 0 +*RES +1 *21892:X *2747:8 46.3533 +2 *2747:8 *5912:DIODE 13.7491 +3 *2747:8 *23303:A 18.0727 +*END + +*D_NET *2748 0.00357548 +*CONN +*I *5914:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23304:A I *D sky130_fd_sc_hd__and2_1 +*I *21893:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5914:DIODE 0.00026542 +2 *23304:A 8.20876e-05 +3 *21893:X 0.00129485 +4 *2748:8 0.00164235 +5 *2748:8 *22921:A 0 +6 *2748:8 *3299:6 0 +7 la_data_in_mprj[64] *2748:8 8.62625e-06 +8 *3941:DIODE *2748:8 0 +9 *4223:DIODE *2748:8 6.27691e-05 +10 *5915:DIODE *2748:8 0 +11 *22035:A *2748:8 5.0715e-05 +12 *476:5 *2748:8 0 +13 *604:8 *2748:8 0 +14 *859:7 *2748:8 9.80922e-06 +15 *1394:21 *5914:DIODE 9.66247e-05 +16 *1678:9 *5914:DIODE 6.22259e-05 +17 *1679:11 *2748:8 0 +18 *2747:8 *2748:8 0 +*RES +1 *21893:X *2748:8 40.392 +2 *2748:8 *23304:A 15.5817 +3 *2748:8 *5914:DIODE 18.7989 +*END + +*D_NET *2749 0.00394315 +*CONN +*I *23305:A I *D sky130_fd_sc_hd__and2_1 +*I *5916:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21894:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23305:A 8.70306e-05 +2 *5916:DIODE 0 +3 *21894:X 0.00130721 +4 *2749:12 0.00139424 +5 *2749:12 *22922:A 0 +6 *2749:12 *23435:A 6.67002e-05 +7 *2749:12 *2750:16 0 +8 *2749:12 *3300:6 0 +9 *2749:12 *3301:7 0.00057462 +10 *4082:DIODE *2749:12 6.9815e-05 +11 *4083:DIODE *2749:12 1.21461e-06 +12 *4225:DIODE *2749:12 0 +13 *23177:A *2749:12 0 +14 *23179:A *2749:12 0.000266832 +15 *1680:11 *2749:12 0 +16 *1682:8 *2749:12 0 +17 *1980:10 *23305:A 0.000175485 +*RES +1 *21894:X *2749:12 47.9706 +2 *2749:12 *5916:DIODE 9.24915 +3 *2749:12 *23305:A 12.0704 +*END + +*D_NET *2750 0.00615614 +*CONN +*I *5918:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23306:A I *D sky130_fd_sc_hd__and2_1 +*I *21895:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5918:DIODE 0.000190608 +2 *23306:A 0.000254631 +3 *21895:X 0.00142206 +4 *2750:17 0.000673598 +5 *2750:16 0.00165042 +6 *23306:A *6172:DIODE 1.91246e-05 +7 *2750:16 *2752:10 0 +8 *2750:16 *2892:19 1.61631e-05 +9 *3943:DIODE *2750:16 0 +10 *4085:DIODE *2750:16 0.000130777 +11 *4225:DIODE *2750:16 0.000389445 +12 *6173:DIODE *2750:17 0.000171904 +13 *21897:A *2750:16 4.80635e-06 +14 *23531:TE *23306:A 1.47967e-05 +15 *478:5 *2750:16 0 +16 *861:8 *2750:16 6.08467e-05 +17 *1172:27 *23306:A 0.000263135 +18 *1680:11 *2750:16 8.65629e-05 +19 *1980:10 *2750:17 2.39581e-05 +20 *1980:19 *5918:DIODE 0.000315549 +21 *1980:19 *2750:17 0.000116 +22 *2037:11 *23306:A 3.70858e-05 +23 *2152:23 *23306:A 5.15415e-05 +24 *2211:25 *2750:16 0 +25 *2397:7 *23306:A 0.000263135 +26 *2749:12 *2750:16 0 +*RES +1 *21895:X *2750:16 49.5668 +2 *2750:16 *2750:17 4.05102 +3 *2750:17 *23306:A 25.5145 +4 *2750:17 *5918:DIODE 12.7456 +*END + +*D_NET *2751 0.0333585 +*CONN +*I *6058:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23376:A I *D sky130_fd_sc_hd__nand2_2 +*I *21896:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6058:DIODE 0 +2 *23376:A 0.000138819 +3 *21896:X 0 +4 *2751:23 0.00114149 +5 *2751:22 0.00255017 +6 *2751:16 0.00298453 +7 *2751:6 0.00630148 +8 *2751:5 0.00486445 +9 *2751:6 *22992:A 0.000161375 +10 *2751:6 *2854:20 0.000234984 +11 *2751:6 *2854:36 0.0009527 +12 *2751:6 *2865:18 0 +13 *2751:6 *2865:24 0.000119924 +14 *2751:6 *2865:34 4.2168e-05 +15 *2751:6 *3007:30 0 +16 *2751:6 *3093:30 0.000166324 +17 *2751:6 *3094:22 0 +18 *2751:6 *3097:33 0.000118021 +19 *2751:6 *3103:32 9.41833e-06 +20 *2751:6 *3151:58 0 +21 *2751:6 *3378:26 0.00216772 +22 *2751:16 *2854:20 0.00134967 +23 *2751:16 *3151:58 0 +24 *2751:16 *3276:6 1.39717e-06 +25 *2751:23 *2995:87 0.00437131 +26 *2751:23 *3268:11 0.00123615 +27 la_oenb_core[12] *2751:6 9.89388e-06 +28 *6059:DIODE *23376:A 0.000207911 +29 *22309:A *2751:6 0 +30 *1267:12 *2751:22 5.54837e-05 +31 *1273:9 *2751:6 0.000528936 +32 *1522:10 *2751:22 0.000629893 +33 *1651:8 *2751:22 0.000408228 +34 *1659:9 *2751:16 0 +35 *1889:16 *2751:16 8.06332e-05 +36 *1895:18 *2751:16 0 +37 *2152:34 *2751:23 2.61147e-05 +38 *2152:47 *2751:23 0.000640985 +39 *2152:48 *23376:A 0.000134873 +40 *2152:48 *2751:23 0.000464422 +41 *2374:19 *2751:22 0.000523297 +42 *2461:64 *2751:6 0 +43 *2463:38 *2751:6 0 +44 *2479:17 *23376:A 0.000234068 +45 *2479:17 *2751:23 0.000446985 +46 *2716:24 *2751:22 5.4709e-05 +*RES +1 *21896:X *2751:5 13.7491 +2 *2751:5 *2751:6 107.66 +3 *2751:6 *2751:16 38.3431 +4 *2751:16 *2751:22 38.1652 +5 *2751:22 *2751:23 55.6292 +6 *2751:23 *23376:A 15.5668 +7 *2751:23 *6058:DIODE 9.24915 +*END + +*D_NET *2752 0.00270253 +*CONN +*I *5920:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23307:A I *D sky130_fd_sc_hd__and2_1 +*I *21897:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5920:DIODE 4.68294e-05 +2 *23307:A 0 +3 *21897:X 0.000862211 +4 *2752:10 0.00090904 +5 *2752:10 *22923:A 0 +6 la_data_in_mprj[66] *2752:10 6.08467e-05 +7 *3943:DIODE *2752:10 0.000132425 +8 *4226:DIODE *2752:10 0 +9 *23180:A *2752:10 0 +10 *23307:B *5920:DIODE 0.000220183 +11 *478:5 *2752:10 4.15661e-05 +12 *606:8 *2752:10 8.92739e-06 +13 *2011:28 *5920:DIODE 0.000237148 +14 *2140:8 *5920:DIODE 6.6641e-05 +15 *2143:13 *5920:DIODE 0.000114594 +16 *2143:13 *2752:10 0 +17 *2610:22 *2752:10 2.1203e-06 +18 *2750:16 *2752:10 0 +*RES +1 *21897:X *2752:10 36.5841 +2 *2752:10 *23307:A 9.24915 +3 *2752:10 *5920:DIODE 13.3002 +*END + +*D_NET *2753 0.00311138 +*CONN +*I *23308:A I *D sky130_fd_sc_hd__and2_1 +*I *5922:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21898:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23308:A 2.06297e-05 +2 *5922:DIODE 0.000170493 +3 *21898:X 0.000376873 +4 *2753:9 0.000567996 +5 *5922:DIODE *23436:A 0 +6 *5922:DIODE *2754:10 4.59164e-06 +7 *5922:DIODE *3140:21 6.08467e-05 +8 *23308:A *3228:37 0.00015511 +9 *2753:9 *5442:DIODE 1.29348e-05 +10 *2753:9 *3228:37 0.000680849 +11 *2753:9 *3439:43 2.82583e-05 +12 *4086:DIODE *2753:9 6.78128e-05 +13 *4227:DIODE *2753:9 0.000260402 +14 *5923:DIODE *23308:A 0.000118166 +15 *23182:A *2753:9 0.000202238 +16 *23438:B *2753:9 8.27055e-05 +17 *1557:5 *23308:A 1.92336e-05 +18 *1557:5 *2753:9 0.000113197 +19 *1685:9 *2753:9 1.40496e-05 +20 *2124:9 *2753:9 0 +21 *2212:19 *5922:DIODE 0.000104151 +22 *2609:26 *2753:9 5.08359e-05 +*RES +1 *21898:X *2753:9 34.5191 +2 *2753:9 *5922:DIODE 21.635 +3 *2753:9 *23308:A 11.0817 +*END + +*D_NET *2754 0.00269845 +*CONN +*I *5924:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23309:A I *D sky130_fd_sc_hd__and2_1 +*I *21899:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5924:DIODE 5.14001e-05 +2 *23309:A 0 +3 *21899:X 0.000830472 +4 *2754:10 0.000881872 +5 *2754:10 *5443:DIODE 0 +6 *2754:10 *23436:A 0 +7 *2754:10 *3304:11 0.000122378 +8 *5922:DIODE *2754:10 4.59164e-06 +9 *23181:A *2754:10 0 +10 *23309:B *5924:DIODE 0.000277502 +11 *23436:B *2754:10 0 +12 *1555:6 *2754:10 0 +13 *2151:38 *5924:DIODE 0.00018949 +14 *2212:19 *2754:10 0.000171636 +15 *2213:20 *5924:DIODE 0.000169107 +16 *2612:10 *2754:10 0 +17 *2612:17 *2754:10 0 +*RES +1 *21899:X *2754:10 35.0625 +2 *2754:10 *23309:A 9.24915 +3 *2754:10 *5924:DIODE 13.8548 +*END + +*D_NET *2755 0.00152167 +*CONN +*I *23310:A I *D sky130_fd_sc_hd__and2_1 +*I *5926:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21900:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23310:A 0.000198607 +2 *5926:DIODE 0 +3 *21900:X 0.000476732 +4 *2755:8 0.000675339 +5 *23310:A *3307:9 2.47037e-05 +6 *3946:DIODE *2755:8 2.99929e-05 +7 *4230:DIODE *23310:A 0 +8 *4230:DIODE *2755:8 1.43848e-05 +9 *21900:A *2755:8 2.41274e-06 +10 *609:16 *2755:8 4.34798e-05 +11 *865:8 *2755:8 1.43983e-05 +12 *2111:9 *23310:A 4.16239e-05 +13 *2111:16 *23310:A 0 +14 *2111:16 *2755:8 0 +*RES +1 *21900:X *2755:8 22.5389 +2 *2755:8 *5926:DIODE 13.7491 +3 *2755:8 *23310:A 18.4879 +*END + +*D_NET *2756 0.0106912 +*CONN +*I *5928:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23311:A I *D sky130_fd_sc_hd__and2_1 +*I *21901:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5928:DIODE 4.47384e-05 +2 *23311:A 0.000119186 +3 *21901:X 0.00109762 +4 *2756:11 0.00235766 +5 *2756:10 0.00329136 +6 *23311:A *2805:12 0 +7 *2756:10 *5467:DIODE 0.000213725 +8 *2756:10 *2874:11 7.34695e-05 +9 *2756:10 *2874:12 0.000828648 +10 *2756:10 *2910:6 0.000832261 +11 *2756:10 *3330:13 0.00047703 +12 *4089:DIODE *2756:10 6.73186e-05 +13 *2141:42 *5928:DIODE 1.03403e-05 +14 *2141:42 *2756:11 0.000728853 +15 *2141:46 *2756:11 0.000296615 +16 *2638:24 *23311:A 0.000252342 +*RES +1 *21901:X *2756:10 46.9878 +2 *2756:10 *2756:11 35.1088 +3 *2756:11 *23311:A 22.5727 +4 *2756:11 *5928:DIODE 9.97254 +*END + +*D_NET *2757 0.00442471 +*CONN +*I *5930:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23312:A I *D sky130_fd_sc_hd__and2_1 +*I *21902:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5930:DIODE 5.05066e-05 +2 *23312:A 0 +3 *21902:X 0.00104793 +4 *2757:10 0.00109843 +5 *2757:10 *3308:7 0.000107913 +6 *4090:DIODE *2757:10 4.82966e-05 +7 *23185:A *2757:10 6.08467e-05 +8 *23186:A *2757:10 0.000249602 +9 *1689:11 *2757:10 0 +10 *2092:9 *2757:10 0.000657023 +11 *2142:26 *5930:DIODE 0.000365048 +12 *2213:20 *2757:10 0.000186841 +13 *2214:19 *2757:10 0.000187228 +14 *2479:33 *5930:DIODE 0.000365048 +*RES +1 *21902:X *2757:10 44.4851 +2 *2757:10 *23312:A 9.24915 +3 *2757:10 *5930:DIODE 13.3002 +*END + +*D_NET *2758 0.00273143 +*CONN +*I *5932:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23313:A I *D sky130_fd_sc_hd__and2_1 +*I *21903:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5932:DIODE 0 +2 *23313:A 5.38158e-05 +3 *21903:X 0.000746627 +4 *2758:8 0.000800443 +5 *23313:A *3173:25 6.08467e-05 +6 *2758:8 *5447:DIODE 0 +7 *2758:8 *5448:DIODE 0 +8 *2758:8 *22929:A 0 +9 *2758:8 *22930:A 0 +10 *2758:8 *2894:14 5.90201e-05 +11 *2758:8 *2900:17 2.02035e-05 +12 *2758:8 *3173:30 0.000124327 +13 *2758:8 *3239:35 0 +14 *3950:DIODE *2758:8 0 +15 *23186:A *2758:8 0 +16 *23313:B *23313:A 0.000220058 +17 *23442:A *2758:8 0.000107052 +18 *485:10 *2758:8 5.22654e-06 +19 *1689:11 *2758:8 0.000249293 +20 *1990:28 *2758:8 0 +21 *2011:20 *23313:A 0.000133742 +22 *2214:19 *2758:8 0 +23 *2218:20 *23313:A 0.000150774 +24 *2617:20 *2758:8 0 +*RES +1 *21903:X *2758:8 35.8607 +2 *2758:8 *23313:A 13.3002 +3 *2758:8 *5932:DIODE 9.24915 +*END + +*D_NET *2759 0.00264349 +*CONN +*I *5934:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23314:A I *D sky130_fd_sc_hd__and2_1 +*I *21904:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5934:DIODE 1.723e-05 +2 *23314:A 3.20005e-05 +3 *21904:X 0.000522455 +4 *2759:8 0.000571686 +5 *5934:DIODE *3406:63 0.000224395 +6 *23314:A *3406:63 0.000158357 +7 *2759:8 *22931:A 0 +8 *2759:8 *2760:11 0 +9 la_data_in_mprj[73] *2759:8 3.05511e-05 +10 *4092:DIODE *2759:8 0.000317012 +11 *4234:DIODE *2759:8 6.2652e-05 +12 *23187:A *2759:8 5.18775e-05 +13 *23314:B *5934:DIODE 5.08751e-05 +14 *23314:B *23314:A 0.000158357 +15 *869:12 *2759:8 8.10514e-06 +16 *1690:12 *2759:8 0.000262452 +17 *2219:20 *5934:DIODE 0.000175485 +*RES +1 *21904:X *2759:8 32.5387 +2 *2759:8 *23314:A 11.0817 +3 *2759:8 *5934:DIODE 11.6364 +*END + +*D_NET *2760 0.00381955 +*CONN +*I *5936:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23315:A I *D sky130_fd_sc_hd__and2_1 +*I *21905:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5936:DIODE 0 +2 *23315:A 0.000285935 +3 *21905:X 0.00105708 +4 *2760:11 0.00134302 +5 *23315:A *3173:25 0.000116764 +6 *2760:11 *5449:DIODE 7.50872e-05 +7 *2760:11 *22931:A 0.000185487 +8 *2760:11 *3173:25 0.000163418 +9 *614:8 *2760:11 0.000473457 +10 *1690:12 *23315:A 0 +11 *2011:20 *23315:A 9.97495e-06 +12 *2103:9 *2760:11 0 +13 *2103:13 *2760:11 0 +14 *2219:19 *23315:A 4.3874e-05 +15 *2219:19 *2760:11 5.63226e-05 +16 *2220:19 *23315:A 9.12416e-06 +17 *2759:8 *2760:11 0 +*RES +1 *21905:X *2760:11 40.5014 +2 *2760:11 *23315:A 23.8507 +3 *2760:11 *5936:DIODE 9.24915 +*END + +*D_NET *2761 0.00244007 +*CONN +*I *23316:A I *D sky130_fd_sc_hd__and2_1 +*I *5938:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21906:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23316:A 2.68789e-05 +2 *5938:DIODE 4.3163e-05 +3 *21906:X 0.000772354 +4 *2761:11 0.000842396 +5 *5938:DIODE *3228:35 1.84293e-05 +6 *23316:A *3228:31 3.75603e-05 +7 *2761:11 *5450:DIODE 0 +8 *2761:11 *22932:A 0 +9 *2761:11 *3228:31 0.000114584 +10 *2761:11 *3228:35 1.39864e-05 +11 la_data_in_mprj[74] *2761:11 2.85531e-06 +12 *4093:DIODE *2761:11 9.29687e-05 +13 *23188:A *2761:11 0.000246063 +14 *615:8 *2761:11 0.000228829 +15 *2103:9 *2761:11 0 +*RES +1 *21906:X *2761:11 34.412 +2 *2761:11 *5938:DIODE 9.97254 +3 *2761:11 *23316:A 10.2378 +*END + +*D_NET *2762 0.0526823 +*CONN +*I *23377:A I *D sky130_fd_sc_hd__nand2_1 +*I *6060:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21907:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23377:A 0.000127223 +2 *6060:DIODE 0 +3 *21907:X 0 +4 *2762:34 0.000226718 +5 *2762:29 0.00728123 +6 *2762:27 0.00782244 +7 *2762:24 0.00221551 +8 *2762:20 0.00208369 +9 *2762:14 0.000974248 +10 *2762:6 0.00362094 +11 *2762:5 0.00315558 +12 *2762:6 *22738:A 0 +13 *2762:6 *2983:26 2.55661e-06 +14 *2762:6 *3096:40 0 +15 *2762:6 *3098:46 0.000273899 +16 *2762:6 *3151:55 0.000290716 +17 *2762:6 *3379:6 0.00215808 +18 *2762:14 *2851:29 0.000482496 +19 *2762:14 *2851:34 8.86025e-05 +20 *2762:14 *3095:24 2.7558e-05 +21 *2762:14 *3115:7 0.00256036 +22 *2762:20 *3111:5 0.0021078 +23 *2762:24 *2848:56 0.000168223 +24 *2762:27 *23402:A 0.000441022 +25 *2762:27 *3017:29 4.04995e-05 +26 *2762:29 *6106:DIODE 8.96314e-06 +27 *2762:29 *3017:29 3.90248e-05 +28 *2762:29 *3259:7 6.08467e-05 +29 la_oenb_core[13] *2762:6 2.45002e-05 +30 *4961:DIODE *2762:29 6.08467e-05 +31 *5805:DIODE *2762:34 0 +32 *5850:DIODE *2762:29 0.000116014 +33 *5855:DIODE *2762:27 1.99131e-05 +34 *6061:DIODE *23377:A 0.000169041 +35 *6111:DIODE *2762:27 3.83336e-05 +36 *21459:A *2762:29 2.61147e-05 +37 *22311:A *2762:6 6.97077e-05 +38 *22509:TE *2762:29 0.000315513 +39 *23135:A *2762:29 0.000105686 +40 *23141:A *2762:29 0.000115615 +41 *23249:B *23377:A 6.50586e-05 +42 *23272:A *2762:29 0.000256037 +43 *23272:B *2762:29 0.000120024 +44 *23274:A *2762:27 2.16355e-05 +45 *23400:B *2762:29 0.000222215 +46 *1264:11 *2762:27 0.000825209 +47 *1275:8 *2762:6 9.226e-05 +48 *1373:25 *2762:20 0.0021078 +49 *1519:5 *2762:29 0.000100396 +50 *1521:5 *2762:27 6.08467e-05 +51 *1638:9 *2762:29 0.000655371 +52 *1644:11 *2762:29 4.01575e-05 +53 *1649:8 *2762:27 3.83172e-05 +54 *1649:8 *2762:29 0.00011818 +55 *1892:26 *2762:27 0.00137987 +56 *2011:43 *2762:6 0.000117885 +57 *2011:50 *2762:6 5.78941e-05 +58 *2025:10 *2762:14 0.00139416 +59 *2105:27 *2762:20 5.74809e-05 +60 *2105:27 *2762:24 0.000586656 +61 *2153:44 *23377:A 0.00036952 +62 *2178:18 *2762:27 0.000402749 +63 *2366:12 *2762:6 1.76037e-05 +64 *2369:5 *2762:29 0.00486146 +65 *2448:8 *2762:24 4.15017e-05 +66 *2571:9 *2762:29 0.000131822 +67 *2579:12 *2762:20 0.000289037 +68 *2579:12 *2762:24 0.000592957 +69 *2580:16 *2762:14 0.000167158 +70 *2690:8 *2762:34 0.000171523 +*RES +1 *21907:X *2762:5 13.7491 +2 *2762:5 *2762:6 72.4249 +3 *2762:6 *2762:14 44.892 +4 *2762:14 *2762:20 34.3325 +5 *2762:20 *2762:24 41.8361 +6 *2762:24 *2762:27 31.8053 +7 *2762:27 *2762:29 177.642 +8 *2762:29 *2762:34 12.0778 +9 *2762:34 *6060:DIODE 9.24915 +10 *2762:34 *23377:A 14.8434 +*END + +*D_NET *2763 0.00277312 +*CONN +*I *5940:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23317:A I *D sky130_fd_sc_hd__and2_1 +*I *21908:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5940:DIODE 0 +2 *23317:A 0.000118754 +3 *21908:X 0.00063596 +4 *2763:13 0.000754715 +5 *2763:13 *23318:A 2.69685e-05 +6 *2763:13 *2764:11 6.08467e-05 +7 *2763:13 *3239:27 0.000357911 +8 *23189:A *2763:13 0.000166533 +9 *23190:A *2763:13 7.69423e-05 +10 *23317:B *23317:A 5.50027e-05 +11 *1154:38 *2763:13 5.34983e-05 +12 *1156:33 *2763:13 7.09666e-06 +13 *1691:9 *2763:13 0 +14 *1692:6 *2763:13 0.000247465 +15 *2092:24 *2763:13 8.98943e-05 +16 *2222:17 *23317:A 0.000101332 +17 *2222:17 *2763:13 0 +18 *2382:40 *2763:13 2.02035e-05 +*RES +1 *21908:X *2763:13 35.002 +2 *2763:13 *23317:A 21.3269 +3 *2763:13 *5940:DIODE 9.24915 +*END + +*D_NET *2764 0.00443373 +*CONN +*I *5942:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23318:A I *D sky130_fd_sc_hd__and2_1 +*I *21909:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5942:DIODE 0 +2 *23318:A 0.000202346 +3 *21909:X 0.00121478 +4 *2764:11 0.00141712 +5 *2764:11 *5452:DIODE 0.000172722 +6 *2764:11 *22934:A 0.00016882 +7 *2764:11 *3239:27 1.41853e-05 +8 *2764:11 *3406:60 0 +9 *3955:DIODE *2764:11 6.1578e-06 +10 *4097:DIODE *2764:11 6.16622e-05 +11 *21767:A *2764:11 6.50727e-05 +12 *23318:B *23318:A 6.36477e-05 +13 *489:5 *2764:11 9.70097e-06 +14 *617:5 *2764:11 1.48605e-05 +15 *1156:27 *2764:11 0.00062766 +16 *1156:33 *23318:A 7.01552e-05 +17 *1159:39 *2764:11 0.000120012 +18 *1693:9 *2764:11 0 +19 *2222:17 *23318:A 0 +20 *2223:19 *23318:A 2.14842e-06 +21 *2230:24 *2764:11 5.12229e-05 +22 *2371:19 *23318:A 6.36477e-05 +23 *2763:13 *23318:A 2.69685e-05 +24 *2763:13 *2764:11 6.08467e-05 +*RES +1 *21909:X *2764:11 43.6869 +2 *2764:11 *23318:A 23.2961 +3 *2764:11 *5942:DIODE 9.24915 +*END + +*D_NET *2765 0.00436155 +*CONN +*I *5944:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23319:A I *D sky130_fd_sc_hd__and2_1 +*I *21910:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5944:DIODE 0.000164802 +2 *23319:A 0 +3 *21910:X 0.00173066 +4 *2765:8 0.00189547 +5 *2765:8 *22935:A 9.32425e-05 +6 *2765:8 *23320:A 1.11059e-05 +7 *2765:8 *2766:8 0 +8 *2765:8 *2905:13 1.91246e-05 +9 *2765:8 *3314:6 6.55091e-05 +10 *3956:DIODE *2765:8 1.49927e-05 +11 *5945:DIODE *5944:DIODE 9.89388e-06 +12 *5945:DIODE *2765:8 0.000151742 +13 *23320:B *2765:8 8.13812e-06 +14 *618:8 *2765:8 2.57986e-05 +15 *2100:23 *5944:DIODE 6.08467e-05 +16 *2224:23 *5944:DIODE 0.00011022 +*RES +1 *21910:X *2765:8 46.0689 +2 *2765:8 *23319:A 13.7491 +3 *2765:8 *5944:DIODE 17.135 +*END + +*D_NET *2766 0.00663302 +*CONN +*I *23320:A I *D sky130_fd_sc_hd__and2_1 +*I *5946:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21911:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23320:A 0.000494309 +2 *5946:DIODE 0.000200409 +3 *21911:X 0.00181042 +4 *2766:11 0.000822168 +5 *2766:8 0.00193787 +6 *2766:8 *22935:A 0 +7 *2766:8 *22936:A 0 +8 *2766:8 *2767:11 0.000171288 +9 *2766:8 *3314:6 0 +10 *2766:8 *3315:6 0 +11 *3957:DIODE *2766:8 6.12686e-06 +12 *4098:DIODE *2766:8 0.000165239 +13 *4240:DIODE *2766:8 0 +14 *4957:DIODE *23320:A 6.65668e-05 +15 *5945:DIODE *23320:A 1.23348e-05 +16 *618:8 *2766:8 3.14978e-05 +17 *1567:10 *23320:A 0.000203595 +18 *1694:10 *2766:8 0.00011463 +19 *1980:34 *5946:DIODE 0.000314062 +20 *1980:34 *2766:11 0.000215846 +21 *1985:38 *23320:A 5.55534e-05 +22 *2765:8 *23320:A 1.11059e-05 +23 *2765:8 *2766:8 0 +*RES +1 *21911:X *2766:8 46.3533 +2 *2766:8 *2766:11 6.88721 +3 *2766:11 *5946:DIODE 12.7456 +4 *2766:11 *23320:A 27.3364 +*END + +*D_NET *2767 0.00485309 +*CONN +*I *23321:A I *D sky130_fd_sc_hd__and2_1 +*I *5948:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21912:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23321:A 0 +2 *5948:DIODE 1.68076e-05 +3 *21912:X 0.000452848 +4 *2767:14 0.00126398 +5 *2767:11 0.00170002 +6 *2767:14 *22936:A 9.13783e-05 +7 *2767:14 *3315:6 0.000253333 +8 *3957:DIODE *2767:11 3.36313e-05 +9 *4241:DIODE *2767:14 0 +10 *21911:A *2767:11 0.000169872 +11 *21912:A *2767:11 2.16355e-05 +12 *1157:27 *5948:DIODE 5.0715e-05 +13 *1694:10 *2767:14 0 +14 *1695:9 *2767:14 0.000285367 +15 *1980:34 *5948:DIODE 0.000118166 +16 *2090:7 *2767:14 0 +17 *2142:19 *2767:14 0 +18 *2624:14 *2767:11 0.000224044 +19 *2766:8 *2767:11 0.000171288 +*RES +1 *21912:X *2767:11 25.2029 +2 *2767:11 *2767:14 30.4166 +3 *2767:14 *5948:DIODE 10.5271 +4 *2767:14 *23321:A 9.24915 +*END + +*D_NET *2768 0.0155831 +*CONN +*I *5950:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23322:A I *D sky130_fd_sc_hd__and2_1 +*I *21913:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5950:DIODE 3.60482e-05 +2 *23322:A 0.000109234 +3 *21913:X 0.000417095 +4 *2768:21 0.000614274 +5 *2768:20 0.00157668 +6 *2768:17 0.00142972 +7 *2768:13 0.000754121 +8 *2768:10 0.000849187 +9 *5950:DIODE *2962:17 6.08467e-05 +10 *23322:A *2832:12 0.000231941 +11 *23322:A *3241:8 9.91932e-05 +12 *2768:13 *2961:51 0.00251108 +13 *2768:17 *2961:51 0.000118818 +14 *2768:17 *2966:15 0.00115912 +15 *2768:20 *22868:A 0.000242134 +16 *2768:21 *2780:11 0.000127911 +17 *2768:21 *2962:17 0.0011439 +18 la_data_in_mprj[16] *2768:20 0.000143859 +19 *4023:DIODE *2768:20 0 +20 *423:16 *2768:20 5.39218e-05 +21 *504:12 *2768:10 0.000366603 +22 *515:8 *2768:10 0.000187761 +23 *551:5 *2768:20 0 +24 *643:10 *2768:10 5.33266e-05 +25 *806:5 *2768:20 0 +26 *899:8 *2768:10 0 +27 *1697:12 *2768:20 0.000294074 +28 *2547:8 *2768:20 0 +29 *2638:23 *2768:10 0.000423922 +30 *2650:6 *2768:10 3.20069e-06 +31 *2689:11 *2768:17 0.00113477 +32 *2690:8 *2768:20 0.000317429 +33 *2744:9 *2768:13 0.00068614 +34 *2744:9 *2768:17 0.000436811 +*RES +1 *21913:X *2768:10 31.8938 +2 *2768:10 *2768:13 28.333 +3 *2768:13 *2768:17 35.7266 +4 *2768:17 *2768:20 37.8912 +5 *2768:20 *2768:21 12.3701 +6 *2768:21 *23322:A 22.5727 +7 *2768:21 *5950:DIODE 9.97254 +*END + +*D_NET *2769 0.00479801 +*CONN +*I *23323:A I *D sky130_fd_sc_hd__and2_1 +*I *5952:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21914:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23323:A 0.00022342 +2 *5952:DIODE 0 +3 *21914:X 0.00160096 +4 *2769:8 0.00182438 +5 *2769:8 *22937:A 0 +6 *2769:8 *22939:A 9.14669e-05 +7 la_data_in_mprj[80] *2769:8 1.00937e-05 +8 *5953:DIODE *23323:A 2.56818e-05 +9 *21912:A *2769:8 7.34948e-06 +10 *23193:A *2769:8 0 +11 *23196:A *2769:8 6.92705e-05 +12 *622:10 *2769:8 3.83564e-05 +13 *1153:44 *23323:A 1.91391e-05 +14 *1157:27 *23323:A 3.99701e-05 +15 *1175:56 *23323:A 0.000104166 +16 *1696:8 *2769:8 0 +17 *1980:34 *23323:A 5.23312e-05 +18 *2094:5 *2769:8 0 +19 *2118:13 *23323:A 5.49397e-05 +20 *2118:13 *2769:8 0.00031339 +21 *2151:37 *2769:8 7.60356e-05 +22 *2349:56 *2769:8 6.3609e-05 +23 *2479:38 *2769:8 9.66809e-05 +24 *2624:14 *2769:8 6.4054e-05 +25 *2625:8 *2769:8 2.27175e-05 +*RES +1 *21914:X *2769:8 44.1404 +2 *2769:8 *5952:DIODE 13.7491 +3 *2769:8 *23323:A 19.5141 +*END + +*D_NET *2770 0.00510701 +*CONN +*I *23324:A I *D sky130_fd_sc_hd__and2_1 +*I *5954:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21915:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23324:A 0.000203001 +2 *5954:DIODE 4.14804e-05 +3 *21915:X 0.000609098 +4 *2770:9 0.00085358 +5 *23324:A *2771:8 4.50451e-05 +6 *23324:A *3406:49 1.5714e-05 +7 *2770:9 *22941:A 0 +8 *2770:9 *2912:21 4.49018e-05 +9 *4103:DIODE *2770:9 0.000263135 +10 *5721:DIODE *2770:9 0 +11 *6211:DIODE *23324:A 5.88052e-06 +12 *23198:A *2770:9 0 +13 *23324:B *23324:A 0.000122378 +14 *1156:27 *23324:A 0.000483474 +15 *1159:22 *2770:9 0 +16 *1571:5 *23324:A 0.000118166 +17 *1699:6 *23324:A 2.77115e-05 +18 *1700:9 *2770:9 0.000284368 +19 *2092:30 *5954:DIODE 0.000370787 +20 *2092:30 *2770:9 0.000574696 +21 *2140:8 *23324:A 0.000105847 +22 *2349:51 *5954:DIODE 0.000375013 +23 *2349:51 *2770:9 0.00056273 +*RES +1 *21915:X *2770:9 37.5624 +2 *2770:9 *5954:DIODE 13.3002 +3 *2770:9 *23324:A 26.4871 +*END + +*D_NET *2771 0.00310344 +*CONN +*I *5956:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23325:A I *D sky130_fd_sc_hd__and2_1 +*I *21916:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5956:DIODE 0.000158656 +2 *23325:A 0 +3 *21916:X 0.00106299 +4 *2771:8 0.00122165 +5 *2771:8 *5459:DIODE 0 +6 *2771:8 *3321:7 3.42853e-05 +7 *2771:8 *3406:49 4.0605e-06 +8 *2771:8 *3439:38 3.3151e-05 +9 *3963:DIODE *2771:8 6.50727e-05 +10 *4244:DIODE *2771:8 0 +11 *5957:DIODE *2771:8 5.45571e-05 +12 *6211:DIODE *2771:8 0 +13 *21916:A *2771:8 5.04829e-06 +14 *22057:A *2771:8 4.31703e-05 +15 *23197:A *2771:8 0.000202715 +16 *23324:A *2771:8 4.50451e-05 +17 *23325:B *5956:DIODE 1.07248e-05 +18 *23325:B *2771:8 7.5271e-05 +19 *23452:A *5956:DIODE 1.82957e-05 +20 *23452:A *2771:8 3.67528e-06 +21 *879:5 *2771:8 0 +22 *1152:44 *5956:DIODE 0 +23 *1699:6 *2771:8 0 +24 *1700:9 *2771:8 0 +25 *2371:13 *5956:DIODE 6.50727e-05 +*RES +1 *21916:X *2771:8 36.5266 +2 *2771:8 *23325:A 13.7491 +3 *2771:8 *5956:DIODE 16.7198 +*END + +*D_NET *2772 0.00198834 +*CONN +*I *5958:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23326:A I *D sky130_fd_sc_hd__and2_1 +*I *21917:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5958:DIODE 0.000271211 +2 *23326:A 0 +3 *21917:X 0.0005037 +4 *2772:8 0.000774912 +5 *2772:8 *5460:DIODE 5.0715e-05 +6 *2772:8 *3322:5 7.10494e-05 +7 *3964:DIODE *2772:8 1.04252e-05 +8 *4246:DIODE *2772:8 6.22259e-05 +9 *5721:DIODE *5958:DIODE 2.45002e-05 +10 *21917:A *2772:8 2.65831e-05 +11 *23198:A *5958:DIODE 1.83204e-05 +12 *23198:A *2772:8 3.61796e-05 +13 *23326:B *5958:DIODE 1.86732e-05 +14 *23326:B *2772:8 3.5534e-06 +15 *1154:28 *5958:DIODE 0 +16 *1159:22 *5958:DIODE 1.05272e-06 +17 *1701:13 *5958:DIODE 9.07365e-05 +18 *2360:38 *5958:DIODE 2.45002e-05 +*RES +1 *21917:X *2772:8 22.2658 +2 *2772:8 *23326:A 13.7491 +3 *2772:8 *5958:DIODE 19.7337 +*END + +*D_NET *2773 0.0594726 +*CONN +*I *6062:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23378:A I *D sky130_fd_sc_hd__nand2_1 +*I *21918:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6062:DIODE 0 +2 *23378:A 7.31013e-05 +3 *21918:X 0 +4 *2773:37 0.000760298 +5 *2773:33 0.00411744 +6 *2773:31 0.00373549 +7 *2773:28 0.000776264 +8 *2773:20 0.00367095 +9 *2773:19 0.00319993 +10 *2773:17 0.00530115 +11 *2773:15 0.00597516 +12 *2773:8 0.00254184 +13 *2773:5 0.00186783 +14 *2773:8 *22738:A 0 +15 *2773:8 *22739:A 0 +16 *2773:8 *3096:40 0 +17 *2773:8 *3380:32 0.000319239 +18 *2773:15 *2895:11 0.00180047 +19 *2773:15 *3390:5 0.000128091 +20 *2773:17 *2895:11 0.000273078 +21 *2773:20 *21578:A 4.04861e-05 +22 *2773:20 *2784:14 0.000607431 +23 *2773:20 *2806:14 0 +24 *2773:20 *2806:16 0 +25 *2773:20 *2845:37 0.00012791 +26 *2773:20 *2929:24 0 +27 *2773:20 *3188:29 7.48886e-05 +28 *2773:20 *3380:8 0 +29 *2773:28 *2784:21 0.00155361 +30 *2773:28 *3258:8 0 +31 *2773:33 *3243:7 6.08467e-05 +32 la_oenb_core[14] *2773:8 4.17115e-05 +33 *4117:DIODE *2773:8 2.13521e-05 +34 *5804:DIODE *2773:33 0.000164843 +35 *5808:DIODE *2773:37 2.97157e-05 +36 *5814:DIODE *2773:33 0.000363075 +37 *5816:DIODE *2773:33 0.000345961 +38 *6083:DIODE *2773:33 3.31745e-05 +39 *22293:TE *2773:20 0 +40 *23251:B *2773:37 0.000321905 +41 *23252:A *2773:33 0.000383703 +42 *23252:B *2773:33 3.3401e-05 +43 *23378:B *23378:A 0.000228593 +44 *23388:B *2773:33 2.65667e-05 +45 *23514:A *2773:20 0 +46 *23515:A *2773:15 4.19662e-05 +47 *1377:8 *2773:20 0 +48 *1378:11 *2773:15 0.000574612 +49 *1497:9 *23378:A 8.90486e-05 +50 *1497:9 *2773:37 2.42138e-05 +51 *1498:5 *23378:A 0.000185642 +52 *1498:5 *2773:37 6.92705e-05 +53 *1499:11 *2773:33 0.000137858 +54 *1499:11 *2773:37 1.61631e-05 +55 *1630:11 *2773:33 2.97286e-05 +56 *1635:10 *2773:33 0.0010777 +57 *1640:16 *2773:28 7.69874e-05 +58 *1769:12 *2773:20 0 +59 *2156:70 *2773:31 0.000702716 +60 *2156:70 *2773:33 0.00116513 +61 *2156:74 *2773:33 0.000347481 +62 *2161:39 *2773:28 0.00124141 +63 *2161:40 *2773:31 0.000700298 +64 *2161:40 *2773:33 0.00531504 +65 *2394:27 *2773:17 2.20702e-05 +66 *2398:21 *2773:17 0.000220514 +67 *2466:15 *2773:17 0.00669557 +68 *2692:11 *2773:33 0.000678803 +69 *2693:15 *2773:33 0.00099227 +70 *2705:17 *2773:28 6.85706e-05 +*RES +1 *21918:X *2773:5 13.7491 +2 *2773:5 *2773:8 40.7979 +3 *2773:8 *2773:15 28.5259 +4 *2773:15 *2773:17 91.6784 +5 *2773:17 *2773:19 4.5 +6 *2773:19 *2773:20 64.9503 +7 *2773:20 *2773:28 35.7396 +8 *2773:28 *2773:31 18.2175 +9 *2773:31 *2773:33 126.341 +10 *2773:33 *2773:37 16.5417 +11 *2773:37 *23378:A 14.4335 +12 *2773:37 *6062:DIODE 9.24915 +*END + +*D_NET *2774 0.00214162 +*CONN +*I *5960:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23327:A I *D sky130_fd_sc_hd__and2_1 +*I *21919:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5960:DIODE 0.000256202 +2 *23327:A 9.28727e-05 +3 *21919:X 0.000355172 +4 *2774:11 0.000704246 +5 *5960:DIODE *3439:33 0.000167076 +6 *2774:11 *5461:DIODE 6.56365e-05 +7 *5961:DIODE *23327:A 4.75147e-05 +8 *23199:A *2774:11 0 +9 *1154:28 *23327:A 2.16608e-05 +10 *1574:5 *23327:A 6.50586e-05 +11 *1574:5 *2774:11 0.000277502 +12 *1702:9 *2774:11 6.85778e-05 +13 *2232:19 *5960:DIODE 2.01002e-05 +*RES +1 *21919:X *2774:11 26.9237 +2 *2774:11 *23327:A 12.191 +3 *2774:11 *5960:DIODE 22.7442 +*END + +*D_NET *2775 0.00285841 +*CONN +*I *5962:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23328:A I *D sky130_fd_sc_hd__and2_1 +*I *21920:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5962:DIODE 0 +2 *23328:A 0.000174528 +3 *21920:X 0.000610803 +4 *2775:15 0.000785331 +5 *2775:15 *3329:21 1.27831e-06 +6 la_data_in_mprj[86] *2775:15 3.28935e-05 +7 *4108:DIODE *2775:15 0.000308128 +8 *4249:DIODE *2775:15 0.000101489 +9 *883:9 *2775:15 0.000225145 +10 *1154:17 *23328:A 2.65831e-05 +11 *1154:17 *2775:15 0.000171456 +12 *2393:70 *23328:A 0.00016635 +13 *2622:23 *2775:15 8.72221e-06 +14 *2624:27 *2775:15 0.000133977 +15 *2633:10 *2775:15 0.000111722 +*RES +1 *21920:X *2775:15 35.8647 +2 *2775:15 *23328:A 22.4655 +3 *2775:15 *5962:DIODE 9.24915 +*END + +*D_NET *2776 0.00310086 +*CONN +*I *23329:A I *D sky130_fd_sc_hd__and2_1 +*I *5964:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21921:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23329:A 9.49785e-05 +2 *5964:DIODE 7.1755e-05 +3 *21921:X 0.00109892 +4 *2776:13 0.00126566 +5 *2776:13 *5463:DIODE 1.98038e-05 +6 *2776:13 *22945:A 1.61885e-05 +7 *4109:DIODE *2776:13 6.22114e-05 +8 *1703:6 *2776:13 0 +9 *1704:10 *2776:13 1.89837e-05 +10 *1707:8 *23329:A 0 +11 *2092:46 *2776:13 1.5714e-05 +12 *2371:13 *5964:DIODE 0.000116755 +13 *2371:13 *2776:13 0.00031989 +*RES +1 *21921:X *2776:13 37.3542 +2 *2776:13 *5964:DIODE 10.5271 +3 *2776:13 *23329:A 20.1544 +*END + +*D_NET *2777 0.00107866 +*CONN +*I *5966:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23330:A I *D sky130_fd_sc_hd__and2_1 +*I *21922:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5966:DIODE 0.000236814 +2 *23330:A 0 +3 *21922:X 9.98218e-05 +4 *2777:6 0.000336636 +5 *5966:DIODE *2778:15 4.29742e-05 +6 *5966:DIODE *2912:21 1.91246e-05 +7 *2777:6 *2778:15 4.23677e-05 +8 *2777:6 *2912:21 0.000127073 +9 *23330:B *5966:DIODE 3.14962e-05 +10 *1156:22 *5966:DIODE 9.12416e-06 +11 *2490:30 *5966:DIODE 0.000133228 +*RES +1 *21922:X *2777:6 16.4116 +2 *2777:6 *23330:A 13.7491 +3 *2777:6 *5966:DIODE 19.3184 +*END + +*D_NET *2778 0.00438365 +*CONN +*I *23331:A I *D sky130_fd_sc_hd__and2_1 +*I *5968:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21923:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23331:A 2.15683e-05 +2 *5968:DIODE 1.59645e-05 +3 *21923:X 0.000976854 +4 *2778:15 0.00101439 +5 *2778:15 *5464:DIODE 2.27118e-06 +6 *2778:15 *2912:21 4.61004e-06 +7 *2778:15 *2916:5 0.000772627 +8 *2778:15 *3327:11 0.00011818 +9 *4110:DIODE *2778:15 0.000101503 +10 *5966:DIODE *2778:15 4.29742e-05 +11 *5969:DIODE *23331:A 4.31603e-06 +12 *5969:DIODE *2778:15 0.000278114 +13 *629:5 *2778:15 4.49767e-05 +14 *1154:17 *5968:DIODE 1.43983e-05 +15 *1154:17 *2778:15 2.82583e-05 +16 *1578:5 *2778:15 9.70595e-05 +17 *2624:27 *5968:DIODE 6.50727e-05 +18 *2624:27 *2778:15 0.000610885 +19 *2625:14 *2778:15 6.22063e-05 +20 *2628:16 *2778:15 6.50586e-05 +21 *2777:6 *2778:15 4.23677e-05 +*RES +1 *21923:X *2778:15 45.0058 +2 *2778:15 *5968:DIODE 9.97254 +3 *2778:15 *23331:A 9.82786 +*END + +*D_NET *2779 0.0114494 +*CONN +*I *23332:A I *D sky130_fd_sc_hd__and2_1 +*I *5970:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21924:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23332:A 1.90605e-05 +2 *5970:DIODE 4.68973e-05 +3 *21924:X 0.000991782 +4 *2779:21 0.00049107 +5 *2779:19 0.00231843 +6 *2779:10 0.0028851 +7 *5970:DIODE *3461:31 3.16131e-05 +8 *2779:10 *5465:DIODE 0 +9 *2779:10 *2916:5 4.57587e-05 +10 *2779:10 *2921:10 2.17933e-05 +11 *2779:10 *3331:9 0 +12 *2779:19 *3350:47 0.000104966 +13 *2779:19 *3461:31 3.44964e-05 +14 *2779:21 *3461:31 0.000119334 +15 *3970:DIODE *2779:10 6.22259e-05 +16 *4111:DIODE *2779:10 6.56365e-05 +17 *5971:DIODE *23332:A 4.80635e-06 +18 *5971:DIODE *2779:21 0.000273845 +19 *6227:DIODE *2779:21 0.000280289 +20 *21782:A *2779:10 2.16355e-05 +21 *23203:A *2779:10 0 +22 *23457:A *2779:19 0 +23 *23458:A *2779:10 1.67245e-05 +24 *631:8 *2779:10 2.16355e-05 +25 *1151:46 *2779:19 0.00083795 +26 *1154:17 *2779:10 0.000181293 +27 *1579:5 *2779:21 0.000254255 +28 *1707:8 *2779:21 0.000527833 +29 *2268:45 *2779:10 3.33317e-05 +30 *2371:13 *2779:19 0.0016395 +31 *2404:47 *2779:19 0.000118134 +32 *2492:28 *2779:19 0 +*RES +1 *21924:X *2779:10 36.4504 +2 *2779:10 *2779:19 42.2487 +3 *2779:19 *2779:21 16.8069 +4 *2779:21 *5970:DIODE 10.5271 +5 *2779:21 *23332:A 9.82786 +*END + +*D_NET *2780 0.0262815 +*CONN +*I *23333:A I *D sky130_fd_sc_hd__and2_1 +*I *5972:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21925:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23333:A 0.000147165 +2 *5972:DIODE 4.51842e-05 +3 *21925:X 0.000861884 +4 *2780:14 0.000246417 +5 *2780:11 0.00610247 +6 *2780:10 0.00691029 +7 *5972:DIODE *2962:17 6.08467e-05 +8 *2780:10 *2923:6 0.00058628 +9 *2780:10 *2961:54 0 +10 *2780:10 *3330:13 0.000111722 +11 *2780:11 *2962:17 0.000956186 +12 *4113:DIODE *2780:10 7.14746e-05 +13 *4256:DIODE *2780:10 9.22013e-06 +14 *2559:10 *23333:A 0.000231941 +15 *2559:10 *2780:14 7.14746e-05 +16 *2686:11 *2780:11 0.00974102 +17 *2768:21 *2780:11 0.000127911 +*RES +1 *21925:X *2780:10 42.9523 +2 *2780:10 *2780:11 142.702 +3 *2780:11 *2780:14 5.91674 +4 *2780:14 *5972:DIODE 14.4725 +5 *2780:14 *23333:A 18.0727 +*END + +*D_NET *2781 0.00461332 +*CONN +*I *5974:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23334:A I *D sky130_fd_sc_hd__and2_1 +*I *21926:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5974:DIODE 0.000190564 +2 *23334:A 0 +3 *21926:X 0.00188837 +4 *2781:8 0.00207894 +5 *2781:8 *22950:A 0 +6 *2781:8 *2916:5 1.19721e-05 +7 *2781:8 *2922:15 0.000326398 +8 *4255:DIODE *2781:8 2.7973e-05 +9 *5975:DIODE *5974:DIODE 6.75063e-06 +10 *5975:DIODE *2781:8 2.14842e-06 +11 *23334:B *5974:DIODE 8.72221e-06 +12 *23334:B *2781:8 3.5534e-06 +13 *1964:8 *5974:DIODE 6.50586e-05 +14 *2084:15 *2781:8 2.87136e-06 +15 *2269:36 *5974:DIODE 0 +16 *2628:20 *2781:8 0 +17 *2637:10 *2781:8 0 +*RES +1 *21926:X *2781:8 46.6236 +2 *2781:8 *23334:A 13.7491 +3 *2781:8 *5974:DIODE 17.135 +*END + +*D_NET *2782 0.00575698 +*CONN +*I *23335:A I *D sky130_fd_sc_hd__and2_1 +*I *5976:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21927:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23335:A 0.000212906 +2 *5976:DIODE 4.27376e-05 +3 *21927:X 0.000315795 +4 *2782:8 0.00210461 +5 *2782:7 0.00216476 +6 *2782:7 *2919:23 4.89898e-06 +7 *2782:8 *22952:A 0 +8 *2782:8 *3417:35 0 +9 *2782:8 *3461:30 0.000154591 +10 la_data_in_mprj[92] *2782:7 5.73392e-05 +11 *3975:DIODE *2782:8 0.000132548 +12 *21787:A *2782:7 6.08467e-05 +13 *22505:A *23335:A 0.000153208 +14 *23209:A *2782:8 0 +15 *23340:B *2782:8 7.05604e-05 +16 *507:5 *2782:8 2.43314e-05 +17 *635:8 *2782:8 3.26316e-05 +18 *1146:41 *23335:A 1.24189e-05 +19 *1146:41 *2782:8 2.1203e-06 +20 *1156:17 *2782:8 0 +21 *1712:8 *2782:8 0 +22 *2084:18 *5976:DIODE 6.3657e-05 +23 *2245:17 *2782:8 1.00004e-05 +24 *2641:17 *2782:7 0.00013489 +25 *2641:17 *2782:8 0 +26 *2642:8 *2782:8 2.1203e-06 +*RES +1 *21927:X *2782:7 18.9094 +2 *2782:7 *2782:8 33.3912 +3 *2782:8 *5976:DIODE 14.4725 +4 *2782:8 *23335:A 18.0727 +*END + +*D_NET *2783 0.00777199 +*CONN +*I *23336:A I *D sky130_fd_sc_hd__and2_1 +*I *5978:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21928:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23336:A 0.000155349 +2 *5978:DIODE 0 +3 *21928:X 0.00129148 +4 *2783:11 0.0011665 +5 *2783:10 0.00230263 +6 *2783:10 *22951:A 3.20589e-05 +7 *2783:10 *2922:15 0.00125852 +8 *2783:10 *2924:13 0.000107855 +9 *2783:10 *3332:16 5.99151e-05 +10 *4257:DIODE *2783:10 7.21753e-05 +11 *1152:21 *2783:11 0.000567295 +12 *1152:27 *23336:A 0.000438665 +13 *1152:27 *2783:11 9.82896e-06 +14 *1158:23 *2783:10 4.93292e-05 +15 *2628:23 *2783:11 0.000260388 +*RES +1 *21928:X *2783:10 43.1112 +2 *2783:10 *2783:11 17.3615 +3 *2783:11 *5978:DIODE 9.24915 +4 *2783:11 *23336:A 14.8434 +*END + +*D_NET *2784 0.063547 +*CONN +*I *6064:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23379:A I *D sky130_fd_sc_hd__nand2_1 +*I *21929:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6064:DIODE 0 +2 *23379:A 0.000211071 +3 *21929:X 0 +4 *2784:39 0.00387917 +5 *2784:37 0.00543406 +6 *2784:23 0.00297361 +7 *2784:21 0.00244422 +8 *2784:14 0.00267229 +9 *2784:11 0.00546037 +10 *2784:6 0.00751027 +11 *2784:5 0.00348563 +12 *2784:6 *22739:A 0 +13 *2784:6 *22740:A 0 +14 *2784:6 *22995:A 0.000184265 +15 *2784:6 *3097:34 0 +16 *2784:6 *3098:50 0 +17 *2784:6 *3130:20 0.0001214 +18 *2784:6 *3134:17 0 +19 *2784:6 *3217:26 0.000200153 +20 *2784:6 *3402:6 0 +21 *2784:11 *2795:17 0.000115848 +22 *2784:11 *3100:19 0.0135098 +23 *2784:14 *2929:24 0.000441698 +24 *2784:14 *3188:29 7.83498e-05 +25 *2784:23 *2795:23 0.000904048 +26 *2784:37 *2795:23 0.000186623 +27 *2784:37 *2795:32 4.04995e-05 +28 *2784:39 *23380:A 0.00010938 +29 *2784:39 *2795:39 0.000563378 +30 *2784:39 *3242:7 9.48583e-05 +31 la_oenb_core[15] *2784:6 3.82386e-05 +32 *4128:DIODE *2784:6 3.82386e-05 +33 *5828:DIODE *2784:23 1.03403e-05 +34 *23126:A *2784:39 9.60581e-05 +35 *23257:A *2784:37 2.23124e-05 +36 *23257:B *2784:37 0.000176186 +37 *23259:A *2784:23 4.26431e-05 +38 *23259:B *2784:23 0.000307672 +39 *23263:A *2784:21 8.67924e-06 +40 *23263:A *2784:23 0.000118166 +41 *23263:B *2784:21 0.000328363 +42 *23379:B *23379:A 5.19283e-05 +43 *23380:B *2784:39 1.57593e-05 +44 *1380:29 *2784:6 0 +45 *1403:8 *2784:6 0.000107824 +46 *1510:10 *2784:21 1.40978e-05 +47 *1626:15 *23379:A 0.000149105 +48 *1626:15 *2784:39 0.000131059 +49 *1641:10 *2784:14 0.000529922 +50 *1884:29 *2784:21 9.82896e-06 +51 *1884:29 *2784:23 0.00281951 +52 *2332:80 *2784:39 0.000118367 +53 *2339:8 *2784:11 0.00299124 +54 *2587:28 *2784:6 0 +55 *2697:13 *2784:37 0.000160935 +56 *2699:9 *2784:23 9.98373e-05 +57 *2699:9 *2784:37 0.00106123 +58 *2703:11 *2784:23 0.000473281 +59 *2705:17 *2784:21 0.000844103 +60 *2773:20 *2784:14 0.000607431 +61 *2773:28 *2784:21 0.00155361 +*RES +1 *21929:X *2784:5 13.7491 +2 *2784:5 *2784:6 65.9885 +3 *2784:6 *2784:11 24.6097 +4 *2784:11 *2784:14 40.5903 +5 *2784:14 *2784:21 29.2011 +6 *2784:21 *2784:23 53.9653 +7 *2784:23 *2784:37 47.4307 +8 *2784:37 *2784:39 56.7384 +9 *2784:39 *23379:A 15.4824 +10 *2784:39 *6064:DIODE 9.24915 +*END + +*D_NET *2785 0.0049962 +*CONN +*I *23337:A I *D sky130_fd_sc_hd__and2_1 +*I *5980:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21930:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23337:A 0 +2 *5980:DIODE 5.41602e-06 +3 *21930:X 0.00107679 +4 *2785:11 0.0010822 +5 *5980:DIODE *3461:31 3.14978e-05 +6 *2785:11 *23341:A 1.12969e-05 +7 *2785:11 *2788:17 0.000874057 +8 *2785:11 *2789:18 0.000271334 +9 *2785:11 *2922:15 0.00033041 +10 *2785:11 *3461:31 2.65667e-05 +11 *23337:B *2785:11 0.000277502 +12 *2256:38 *2785:11 4.88803e-05 +13 *2256:46 *2785:11 7.99034e-05 +14 *2477:9 *5980:DIODE 6.92705e-05 +15 *2477:9 *2785:11 0.000811077 +*RES +1 *21930:X *2785:11 49.6453 +2 *2785:11 *5980:DIODE 9.97254 +3 *2785:11 *23337:A 9.24915 +*END + +*D_NET *2786 0.00391545 +*CONN +*I *23338:A I *D sky130_fd_sc_hd__and2_1 +*I *5982:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21931:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23338:A 4.79888e-05 +2 *5982:DIODE 4.18965e-05 +3 *21931:X 0.0010896 +4 *2786:12 0.00117948 +5 *23338:A *2924:19 0 +6 *2786:12 *22953:A 0.000418602 +7 *2786:12 *2924:19 0 +8 la_data_in_mprj[93] *2786:12 1.918e-05 +9 la_data_in_mprj[94] *2786:12 0.000167076 +10 *4260:DIODE *2786:12 4.7236e-05 +11 *21515:A *2786:12 0.000143759 +12 *23210:A *2786:12 2.07951e-05 +13 *23338:B *23338:A 3.5534e-06 +14 *892:7 *2786:12 1.07248e-05 +15 *1713:8 *23338:A 3.20069e-06 +16 *1713:8 *2786:12 0.000193509 +17 *1715:8 *23338:A 0 +18 *2371:13 *5982:DIODE 6.50586e-05 +19 *2632:31 *2786:12 0.000463796 +*RES +1 *21931:X *2786:12 41.0032 +2 *2786:12 *5982:DIODE 14.4725 +3 *2786:12 *23338:A 14.7506 +*END + +*D_NET *2787 0.00243698 +*CONN +*I *23339:A I *D sky130_fd_sc_hd__and2_1 +*I *5984:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21932:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23339:A 2.06324e-05 +2 *5984:DIODE 2.32545e-05 +3 *21932:X 0.000747617 +4 *2787:9 0.000791504 +5 *2787:9 *2920:14 1.83828e-05 +6 *2787:9 *2920:33 6.22259e-05 +7 *2787:9 *2930:8 0 +8 *2787:9 *3439:26 7.08723e-06 +9 *4120:DIODE *2787:9 3.30161e-05 +10 *4263:DIODE *2787:9 0 +11 *5985:DIODE *2787:9 0.000161252 +12 *23211:A *2787:9 4.64536e-05 +13 *638:5 *2787:9 2.00611e-05 +14 *894:10 *2787:9 2.77563e-05 +15 *1138:22 *5984:DIODE 0.000113107 +16 *1138:22 *2787:9 0.000198857 +17 *1714:9 *2787:9 0 +18 *2253:35 *2787:9 8.62625e-06 +19 *2491:36 *2787:9 5.36316e-05 +20 *2494:35 *5984:DIODE 4.6535e-05 +21 *2494:35 *2787:9 2.29454e-05 +22 *2645:8 *2787:9 3.40382e-05 +*RES +1 *21932:X *2787:9 34.5107 +2 *2787:9 *5984:DIODE 10.5271 +3 *2787:9 *23339:A 9.82786 +*END + +*D_NET *2788 0.0116018 +*CONN +*I *5986:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23340:A I *D sky130_fd_sc_hd__and2_1 +*I *21933:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5986:DIODE 0 +2 *23340:A 0.000155773 +3 *21933:X 0.00168266 +4 *2788:17 0.001478 +5 *2788:11 0.00300489 +6 *2788:11 *2916:5 0.000464141 +7 *2788:11 *2919:23 0.00225616 +8 *2788:11 *2930:8 2.61574e-05 +9 *2788:17 *2924:19 0 +10 *2788:17 *2925:17 0.00129902 +11 *3976:DIODE *2788:17 1.75682e-05 +12 *21930:A *2788:17 0 +13 *23340:B *23340:A 7.3519e-06 +14 *1153:32 *23340:A 2.02746e-05 +15 *1710:18 *2788:17 9.46346e-05 +16 *1980:46 *2788:17 9.68716e-06 +17 *1980:48 *2788:17 0.000211464 +18 *2785:11 *2788:17 0.000874057 +*RES +1 *21933:X *2788:11 49.8127 +2 *2788:11 *2788:17 42.1531 +3 *2788:17 *23340:A 21.4002 +4 *2788:17 *5986:DIODE 9.24915 +*END + +*D_NET *2789 0.00963748 +*CONN +*I *23341:A I *D sky130_fd_sc_hd__and2_1 +*I *5988:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21934:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23341:A 8.02188e-05 +2 *5988:DIODE 0 +3 *21934:X 0.000623577 +4 *2789:18 0.00153391 +5 *2789:10 0.00207727 +6 *23341:A *2924:19 4.49767e-05 +7 *2789:10 *22956:A 1.07248e-05 +8 *2789:10 *2930:9 0.000888669 +9 *2789:10 *3337:14 8.5985e-05 +10 *2789:18 *5473:DIODE 0.000169872 +11 *2789:18 *2920:33 0.000625682 +12 *2789:18 *2921:11 0.000336446 +13 *2789:18 *2924:19 0.000743928 +14 *2789:18 *3337:14 0.00129881 +15 *4260:DIODE *2789:18 0.000317707 +16 *4261:DIODE *2789:18 6.50727e-05 +17 *4264:DIODE *2789:10 0 +18 *21515:A *2789:18 8.56892e-05 +19 *23210:A *2789:18 6.92705e-05 +20 *2256:46 *23341:A 1.82679e-05 +21 *2477:9 *23341:A 0.000107496 +22 *2641:17 *2789:18 0.000171288 +23 *2785:11 *23341:A 1.12969e-05 +24 *2785:11 *2789:18 0.000271334 +*RES +1 *21934:X *2789:10 29.6782 +2 *2789:10 *2789:18 49.373 +3 *2789:18 *5988:DIODE 13.7491 +4 *2789:18 *23341:A 16.0286 +*END + +*D_NET *2790 0.0130531 +*CONN +*I *23342:A I *D sky130_fd_sc_hd__and2_1 +*I *5990:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21935:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23342:A 0 +2 *5990:DIODE 1.98559e-05 +3 *21935:X 0.00119041 +4 *2790:20 0.000399231 +5 *2790:14 0.000857666 +6 *2790:8 0.00166871 +7 *2790:8 *22958:A 3.70294e-05 +8 *2790:8 *2933:10 0 +9 *2790:8 *2933:18 0 +10 *2790:8 *3340:14 6.3319e-05 +11 *2790:14 *2922:25 2.40767e-05 +12 *2790:20 *2924:19 2.88861e-05 +13 *4266:DIODE *2790:8 0 +14 *4921:DIODE *2790:14 7.09666e-06 +15 *1148:25 *5990:DIODE 4.87301e-05 +16 *1149:22 *2790:14 7.09666e-06 +17 *1594:9 *2790:8 9.05684e-05 +18 *1980:48 *5990:DIODE 5.28741e-05 +19 *1985:50 *2790:20 0.00275334 +20 *2084:18 *2790:20 0.00275334 +21 *2247:25 *2790:20 0.000140018 +22 *2252:27 *2790:20 0.000181147 +23 *2261:21 *2790:14 0.000108607 +24 *2500:17 *2790:14 0.00127931 +25 *2506:26 *2790:14 0.00134179 +26 *2624:36 *2790:8 0 +27 *2654:14 *2790:14 0 +*RES +1 *21935:X *2790:8 40.8438 +2 *2790:8 *2790:14 29.4337 +3 *2790:14 *2790:20 41.6405 +4 *2790:20 *5990:DIODE 10.5271 +5 *2790:20 *23342:A 9.24915 +*END + +*D_NET *2791 0.0103937 +*CONN +*I *5992:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23343:A I *D sky130_fd_sc_hd__and2_1 +*I *21936:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5992:DIODE 0.000224211 +2 *23343:A 4.21812e-05 +3 *21936:X 0 +4 *2791:12 0.000411583 +5 *2791:6 0.00190119 +6 *2791:5 0.001756 +7 *5992:DIODE *2916:22 0 +8 *5992:DIODE *2933:27 2.77564e-05 +9 *23343:A *2933:27 0.00027329 +10 *2791:6 *23348:A 0.000218718 +11 *2791:6 *2933:18 0 +12 *2791:6 *3341:6 0 +13 *2791:6 *3461:6 0 +14 *2791:12 *2933:27 0.000741816 +15 *23218:A *2791:6 8.61737e-05 +16 *23218:B *2791:6 1.76124e-05 +17 *1718:11 *2791:6 0.000601888 +18 *1961:24 *5992:DIODE 0.000423922 +19 *1963:22 *23343:A 0.00031994 +20 *1969:10 *23343:A 1.65872e-05 +21 *1973:18 *2791:12 0.000678803 +22 *2086:61 *2791:6 0 +23 *2112:23 *2791:6 7.09666e-06 +24 *2112:24 *5992:DIODE 0.000423922 +25 *2250:15 *2791:6 0.000230858 +26 *2255:34 *2791:6 0.000140487 +27 *2477:14 *2791:6 0 +28 *2491:24 *2791:6 0.000910858 +29 *2506:14 *5992:DIODE 0 +30 *2649:9 *2791:6 0.000443544 +31 *2653:8 *2791:6 0.000495248 +*RES +1 *21936:X *2791:5 13.7491 +2 *2791:5 *2791:6 47.5097 +3 *2791:6 *2791:12 17.7954 +4 *2791:12 *23343:A 17.2456 +5 *2791:12 *5992:DIODE 21.8478 +*END + +*D_NET *2792 0.0330784 +*CONN +*I *5994:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23344:A I *D sky130_fd_sc_hd__and2_1 +*I *21937:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5994:DIODE 3.87342e-05 +2 *23344:A 9.08407e-05 +3 *21937:X 0.00130613 +4 *2792:11 0.00743685 +5 *2792:10 0.00730728 +6 *2792:8 0.00130613 +7 *2792:8 *22844:A 0 +8 *2792:8 *2935:8 0 +9 *2792:8 *2966:18 0 +10 *2792:8 *3213:12 0 +11 la_data_in_mprj[10] *2792:8 0.000170577 +12 *3855:DIODE *2792:8 6.14128e-05 +13 *4125:DIODE *2792:8 4.5078e-05 +14 *4268:DIODE *2792:8 5.04879e-05 +15 *23344:B *23344:A 5.22654e-06 +16 *399:5 *2792:8 1.09738e-05 +17 *1151:80 *23344:A 0 +18 *1686:8 *2792:8 0 +19 *1697:9 *2792:11 9.17114e-05 +20 *2479:9 *5994:DIODE 5.31074e-05 +21 *2479:9 *2792:11 0.0150155 +22 *2638:23 *2792:8 6.08467e-05 +23 *2705:8 *23344:A 2.75563e-05 +*RES +1 *21937:X *2792:8 46.2027 +2 *2792:8 *2792:10 4.5 +3 *2792:10 *2792:11 162.668 +4 *2792:11 *23344:A 20.4964 +5 *2792:11 *5994:DIODE 9.97254 +*END + +*D_NET *2793 0.0140683 +*CONN +*I *3742:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21552:A I *D sky130_fd_sc_hd__inv_2 +*I *22372:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4690:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21938:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3742:DIODE 0.000111571 +2 *21552:A 0 +3 *22372:A_N 0 +4 *4690:DIODE 0 +5 *21938:X 0.000550443 +6 *2793:42 0.000575734 +7 *2793:31 0.00051285 +8 *2793:22 0.000108866 +9 *2793:14 0.00194088 +10 *2793:13 0.00344809 +11 *2793:10 0.00211783 +12 *2793:10 *22833:A 2.5386e-05 +13 *2793:14 *2837:14 0 +14 *2793:14 *2837:16 0 +15 *3843:DIODE *2793:10 9.75356e-05 +16 *4126:DIODE *2793:10 0.000400867 +17 *4691:DIODE *2793:22 8.62625e-06 +18 *4691:DIODE *2793:31 8.37979e-05 +19 *4857:DIODE *2793:42 1.5714e-05 +20 *22455:B *2793:42 0.000185292 +21 *1208:11 *2793:42 0.000256515 +22 *1269:15 *2793:42 8.39059e-05 +23 *1280:11 *2793:14 0.000154006 +24 *1280:11 *2793:22 6.32334e-05 +25 *1280:11 *2793:31 0.000122083 +26 *1280:11 *2793:42 0.000160617 +27 *1336:5 *3742:DIODE 6.3866e-05 +28 *2317:13 *2793:31 3.31882e-05 +29 *2387:8 *2793:14 0 +30 *2387:8 *2793:22 0 +31 *2510:6 *2793:10 0.00054826 +32 *2553:6 *2793:10 0.000289557 +33 *2602:6 *2793:14 0.0018414 +34 *2602:6 *2793:42 0.000268184 +*RES +1 *21938:X *2793:10 41.7065 +2 *2793:10 *2793:13 38.4996 +3 *2793:13 *2793:14 67.0388 +4 *2793:14 *2793:22 3.04111 +5 *2793:22 *4690:DIODE 13.7491 +6 *2793:22 *2793:31 6.74725 +7 *2793:31 *22372:A_N 9.24915 +8 *2793:31 *2793:42 26.0331 +9 *2793:42 *21552:A 9.24915 +10 *2793:42 *3742:DIODE 12.8902 +*END + +*D_NET *2794 0.0584654 +*CONN +*I *4692:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3513:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21323:A I *D sky130_fd_sc_hd__inv_2 +*I *22373:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21939:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *4692:DIODE 0 +2 *3513:DIODE 6.41485e-05 +3 *21323:A 0 +4 *22373:A_N 0.000122913 +5 *21939:X 0.0010399 +6 *2794:52 0.00223663 +7 *2794:46 0.00250644 +8 *2794:40 0.00171221 +9 *2794:29 0.00152337 +10 *2794:27 4.58673e-05 +11 *2794:24 0.00161262 +12 *2794:23 0.00158896 +13 *2794:21 0.00139711 +14 *2794:19 0.00205604 +15 *2794:16 0.00154541 +16 *2794:10 0.00192639 +17 *2794:10 *22836:A 4.10004e-05 +18 *2794:10 *2932:7 0.00107336 +19 *2794:16 *2917:12 0.000337391 +20 *2794:16 *3050:23 0.00206111 +21 *2794:24 *2800:12 0 +22 *2794:24 *2800:27 0 +23 *2794:40 *21328:A 2.33193e-05 +24 *2794:40 *2800:27 3.37708e-05 +25 *2794:46 *3061:13 0 +26 *2794:46 *3349:6 4.37999e-05 +27 *2794:52 *2813:37 3.74738e-05 +28 *2794:52 *3353:6 0.000123582 +29 *3846:DIODE *2794:10 0.000174998 +30 *4130:DIODE *2794:10 0 +31 *6011:DIODE *2794:19 4.09471e-05 +32 *21509:A *2794:40 6.6828e-05 +33 *22378:B *2794:24 0.00019398 +34 *23099:A *2794:19 3.29046e-05 +35 *23099:A *2794:21 0.000220738 +36 *23241:A *2794:24 0.000219633 +37 *23352:A *2794:19 0.000481227 +38 *519:10 *2794:10 0.000137981 +39 *1148:13 *2794:21 0.000729664 +40 *1182:24 *2794:46 8.62625e-06 +41 *1183:9 *2794:52 0.00349848 +42 *1186:21 *2794:46 0.000598161 +43 *1188:25 *3513:DIODE 0.000160617 +44 *1188:29 *2794:46 0.00143028 +45 *1319:8 *2794:40 0 +46 *1337:9 *2794:52 3.67708e-05 +47 *1471:5 *2794:19 0.000175485 +48 *1599:10 *2794:16 0 +49 *1600:9 *2794:16 0 +50 *1970:73 *2794:24 6.01588e-05 +51 *1983:33 *2794:40 0 +52 *1983:38 *2794:40 0.000116806 +53 *2273:32 *22373:A_N 0.000370815 +54 *2273:32 *2794:27 6.08467e-05 +55 *2273:32 *2794:29 6.08467e-05 +56 *2274:33 *2794:24 1.86001e-05 +57 *2275:22 *2794:40 0.000171308 +58 *2413:12 *2794:40 0 +59 *2512:18 *2794:16 5.61e-05 +60 *2513:17 *2794:19 0.00365337 +61 *2513:17 *2794:21 1.6383e-05 +62 *2513:19 *2794:21 0.0096533 +63 *2516:25 *2794:21 0.00687244 +64 *2532:14 *2794:24 0.000796513 +65 *2631:17 *2794:19 0.00067873 +66 *2631:17 *2794:21 0.000201276 +67 *2633:74 *2794:24 0.000343749 +68 *2633:74 *2794:40 0.00161173 +69 *2639:25 *2794:16 0.00088531 +70 *2656:11 *2794:10 0.000106722 +71 *2660:15 *2794:16 6.09278e-05 +72 *2678:8 *2794:24 0.0013093 +*RES +1 *21939:X *2794:10 39.3711 +2 *2794:10 *2794:16 44.3967 +3 *2794:16 *2794:19 40.1244 +4 *2794:19 *2794:21 105.543 +5 *2794:21 *2794:23 4.5 +6 *2794:23 *2794:24 46.264 +7 *2794:24 *2794:27 5.2234 +8 *2794:27 *2794:29 0.723396 +9 *2794:29 *22373:A_N 13.3002 +10 *2794:29 *2794:40 45.7132 +11 *2794:40 *2794:46 26.9449 +12 *2794:46 *2794:52 49.1291 +13 *2794:52 *21323:A 9.24915 +14 *2794:52 *3513:DIODE 11.0817 +15 *2794:27 *4692:DIODE 9.24915 +*END + +*D_NET *2795 0.0635327 +*CONN +*I *6066:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23380:A I *D sky130_fd_sc_hd__nand2_1 +*I *21940:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6066:DIODE 0 +2 *23380:A 0.000110844 +3 *21940:X 0 +4 *2795:39 0.000934283 +5 *2795:36 0.00373746 +6 *2795:32 0.00304892 +7 *2795:23 0.00400754 +8 *2795:22 0.00567897 +9 *2795:17 0.00950353 +10 *2795:15 0.00829118 +11 *2795:6 0.00360696 +12 *2795:5 0.00301298 +13 *2795:6 *22740:A 0 +14 *2795:6 *22996:A 7.75133e-06 +15 *2795:6 *2865:51 0.00048839 +16 *2795:6 *2981:23 0 +17 *2795:6 *2985:44 0 +18 *2795:6 *2995:60 0.0008945 +19 *2795:6 *3098:50 0 +20 *2795:15 *3113:29 0.000232754 +21 *2795:15 *3389:22 0.000377273 +22 *2795:17 *3093:19 0.00125351 +23 *2795:17 *3097:25 0.00484653 +24 *2795:17 *3100:19 0.000162703 +25 *2795:17 *3109:19 0.000444537 +26 *2795:17 *3113:29 1.58058e-05 +27 *2795:36 *2831:26 0.000326903 +28 *2795:36 *3241:8 2.94814e-05 +29 *2795:36 *3245:7 9.90116e-05 +30 la_oenb_core[16] *2795:6 4.17115e-05 +31 *4139:DIODE *2795:6 2.13521e-05 +32 *4565:DIODE *2795:15 1.92336e-05 +33 *5813:DIODE *2795:36 2.18145e-05 +34 *5822:DIODE *2795:36 5.07314e-05 +35 *6073:DIODE *2795:36 1.19856e-05 +36 *21469:A *2795:15 0.000357884 +37 *21470:A *2795:15 0.000403819 +38 *22309:A *2795:17 9.9028e-05 +39 *22309:TE *2795:15 5.0715e-05 +40 *23129:A *2795:36 3.62662e-06 +41 *23253:A *2795:36 2.65667e-05 +42 *23257:B *2795:32 0.000123112 +43 *23380:B *23380:A 0.000218628 +44 *23382:B *2795:36 8.88534e-05 +45 *23383:B *2795:36 2.85139e-05 +46 *1275:8 *2795:15 0.000264586 +47 *1347:15 *2795:17 0.00304286 +48 *1380:29 *2795:6 0.000317914 +49 *1499:11 *23380:A 1.65872e-05 +50 *1500:11 *2795:36 3.73573e-05 +51 *1501:9 *2795:36 1.92172e-05 +52 *1629:10 *2795:36 6.1172e-06 +53 *1630:11 *2795:36 7.63448e-05 +54 *1632:9 *2795:36 5.49982e-05 +55 *1638:8 *2795:22 5.21758e-06 +56 *1884:29 *2795:22 3.53784e-05 +57 *1884:29 *2795:23 0.00292151 +58 *1900:19 *2795:15 0.00113523 +59 *2001:25 *2795:22 0.000306662 +60 *2175:24 *2795:6 2.01653e-05 +61 *2318:65 *2795:22 0 +62 *2332:80 *2795:39 0.000125451 +63 *2376:16 *2795:32 9.32891e-05 +64 *2549:12 *2795:36 5.50662e-05 +65 *2586:15 *2795:15 0.000171273 +66 *2587:28 *2795:6 0.000228319 +67 *2706:8 *2795:22 0 +68 *2784:11 *2795:17 0.000115848 +69 *2784:23 *2795:23 0.000904048 +70 *2784:37 *2795:23 0.000186623 +71 *2784:37 *2795:32 4.04995e-05 +72 *2784:39 *23380:A 0.00010938 +73 *2784:39 *2795:39 0.000563378 +*RES +1 *21940:X *2795:5 13.7491 +2 *2795:5 *2795:6 66.1961 +3 *2795:6 *2795:15 32.616 +4 *2795:15 *2795:17 133.828 +5 *2795:17 *2795:22 45.7132 +6 *2795:22 *2795:23 66.1666 +7 *2795:23 *2795:32 13.2433 +8 *2795:32 *2795:36 49.4812 +9 *2795:36 *2795:39 28.5167 +10 *2795:39 *23380:A 13.8548 +11 *2795:39 *6066:DIODE 9.24915 +*END + +*D_NET *2796 0.0497362 +*CONN +*I *4694:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21324:A I *D sky130_fd_sc_hd__inv_2 +*I *3514:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22374:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21941:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *4694:DIODE 0.00018958 +2 *21324:A 1.65443e-05 +3 *3514:DIODE 0.000382957 +4 *22374:A_N 9.36721e-06 +5 *21941:X 0.000431796 +6 *2796:72 0.000532254 +7 *2796:71 0.000896713 +8 *2796:59 0.000965461 +9 *2796:50 0.00101414 +10 *2796:42 0.00269766 +11 *2796:35 0.00214288 +12 *2796:32 0.00149139 +13 *2796:27 0.00243563 +14 *2796:11 0.00333631 +15 *2796:10 0.00238578 +16 *3514:DIODE *3077:6 0 +17 *3514:DIODE *3198:32 3.74433e-05 +18 *2796:10 *22836:A 6.50586e-05 +19 *2796:10 *2798:8 0.000115107 +20 *2796:10 *2926:12 2.50376e-05 +21 *2796:10 *2932:7 0.00153028 +22 *2796:10 *2932:9 7.98017e-05 +23 *2796:10 *2934:9 0.000406168 +24 *2796:11 *22838:A 6.1331e-06 +25 *2796:11 *2801:9 0.00240248 +26 *2796:11 *2804:15 0.000901767 +27 *2796:11 *3210:9 9.82307e-05 +28 *2796:27 *2804:17 0.00363378 +29 *2796:32 *22851:A 8.02166e-05 +30 *2796:32 *2812:8 0.00023201 +31 *2796:35 *3199:13 0.00333206 +32 *2796:42 *2817:14 8.62321e-06 +33 *2796:42 *2820:12 0 +34 *2796:42 *2930:61 1.76791e-05 +35 *2796:50 *2930:61 0.000378502 +36 *2796:71 *3349:6 0 +37 la_data_in_mprj[104] *2796:10 6.1578e-06 +38 la_data_in_mprj[104] *2796:11 6.50727e-05 +39 la_data_in_mprj[107] *2796:11 0.000111722 +40 la_data_in_mprj[109] *2796:11 0.000215785 +41 la_data_in_mprj[112] *2796:27 0.00011818 +42 la_data_in_mprj[115] *2796:27 0.00011818 +43 la_data_in_mprj[116] *2796:27 0.00011818 +44 *3847:DIODE *2796:10 4.91163e-05 +45 *3849:DIODE *2796:11 0.000213725 +46 *3850:DIODE *2796:11 0.000171288 +47 *3852:DIODE *2796:11 6.08467e-05 +48 *3853:DIODE *2796:11 0.000114594 +49 *3854:DIODE *2796:11 0.000421836 +50 *3856:DIODE *2796:11 6.50727e-05 +51 *3857:DIODE *2796:11 0.000107496 +52 *3858:DIODE *2796:27 0.000470585 +53 *3859:DIODE *2796:27 0.00011818 +54 *3992:DIODE *2796:11 6.49003e-05 +55 *4003:DIODE *2796:32 0 +56 *4004:DIODE *2796:32 0.00011497 +57 *4129:DIODE *2796:10 0.00027329 +58 *4132:DIODE *2796:11 0.00011818 +59 *4133:DIODE *2796:11 0.000317693 +60 *4144:DIODE *2796:27 0.000171288 +61 *4695:DIODE *2796:71 2.60879e-06 +62 *5787:DIODE *2796:50 0 +63 *6497:DIODE *2796:50 3.02446e-05 +64 *21802:A *2796:11 2.65831e-05 +65 *22253:A *2796:50 0.000235132 +66 *22364:A *2796:42 6.50465e-05 +67 *22374:B *4694:DIODE 0 +68 *22374:B *2796:71 5.18974e-05 +69 *392:8 *2796:10 5.07314e-05 +70 *393:8 *2796:10 7.09666e-06 +71 *523:8 *2796:11 5.97576e-05 +72 *534:5 *2796:32 5.41377e-05 +73 *1148:21 *2796:42 0 +74 *1172:11 *2796:35 0.00333627 +75 *1177:25 *3514:DIODE 1.80296e-05 +76 *1177:25 *21324:A 5.94675e-05 +77 *1177:25 *2796:72 0.000609238 +78 *1177:31 *2796:72 1.41853e-05 +79 *1178:25 *4694:DIODE 0.000171288 +80 *1178:25 *22374:A_N 6.50586e-05 +81 *1179:7 *3514:DIODE 0.000280034 +82 *1179:11 *3514:DIODE 0.000107496 +83 *1179:11 *2796:72 0.000372765 +84 *1192:23 *2796:71 0.000107731 +85 *1192:35 *2796:71 0.000815784 +86 *1193:21 *2796:71 0.00226483 +87 *1199:32 *2796:71 0 +88 *1199:35 *2796:72 0.000220183 +89 *1200:50 *22374:A_N 6.50586e-05 +90 *1200:50 *2796:50 0.00060273 +91 *1217:8 *2796:50 0.000206798 +92 *1228:13 *2796:71 0.00117535 +93 *1332:6 *2796:71 0 +94 *1458:10 *2796:50 0 +95 *1983:33 *2796:71 0 +96 *2123:30 *4694:DIODE 0.000171288 +97 *2272:44 *2796:50 6.23875e-05 +98 *2275:22 *2796:50 6.73351e-05 +99 *2500:10 *2796:50 0.000426954 +100 *2500:10 *2796:59 0 +101 *2500:10 *2796:71 0 +102 *2500:16 *2796:42 0.00114801 +103 *2500:16 *2796:50 4.12392e-05 +104 *2513:7 *2796:10 0.000130399 +105 *2514:10 *2796:10 0.000324166 +106 *2533:14 *2796:42 0.00018333 +107 *2660:10 *2796:11 0.000123938 +108 *2666:15 *2796:11 7.34948e-06 +109 *2666:15 *2796:27 8.70198e-05 +110 *2670:6 *2796:32 0 +111 *2677:14 *2796:42 0 +112 *2677:18 *2796:50 2.1203e-06 +*RES +1 *21941:X *2796:10 43.2646 +2 *2796:10 *2796:11 62.839 +3 *2796:11 *2796:27 45.6945 +4 *2796:27 *2796:32 32.8404 +5 *2796:32 *2796:35 41.2726 +6 *2796:35 *2796:42 40.6336 +7 *2796:42 *2796:50 38.3736 +8 *2796:50 *22374:A_N 14.4725 +9 *2796:50 *2796:59 0.378612 +10 *2796:59 *2796:71 47.1214 +11 *2796:71 *2796:72 9.59705 +12 *2796:72 *3514:DIODE 25.5148 +13 *2796:72 *21324:A 10.1052 +14 *2796:59 *4694:DIODE 18.7938 +*END + +*D_NET *2797 0.0492069 +*CONN +*I *4696:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22375:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3515:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21325:A I *D sky130_fd_sc_hd__inv_2 +*I *21942:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *4696:DIODE 9.13913e-05 +2 *22375:A_N 0 +3 *3515:DIODE 5.21721e-05 +4 *21325:A 0 +5 *21942:X 0.00013303 +6 *2797:49 0.000295706 +7 *2797:36 0.000395545 +8 *2797:31 0.00309316 +9 *2797:30 0.0037124 +10 *2797:26 0.00204213 +11 *2797:22 0.00193 +12 *2797:19 0.00188277 +13 *2797:16 0.000889376 +14 *2797:11 0.00503 +15 *2797:10 0.00662593 +16 *2797:7 0.00179036 +17 *4696:DIODE *2808:37 3.98402e-05 +18 *4696:DIODE *2808:39 2.41483e-05 +19 *2797:7 *2932:7 0.000222149 +20 *2797:10 *2934:13 0.000353911 +21 *2797:16 *2913:14 8.98169e-05 +22 *2797:26 *2931:77 3.06917e-06 +23 *2797:26 *3067:8 0.000790686 +24 *2797:30 *3067:8 0.000331653 +25 *2797:30 *3179:6 0.000295111 +26 *2797:36 *3077:6 0 +27 *2797:36 *3198:32 0 +28 *2797:49 *2808:37 0.0005768 +29 *3847:DIODE *2797:10 1.36007e-05 +30 *4131:DIODE *2797:10 0 +31 *5782:DIODE *2797:26 0 +32 *22251:A *2797:26 6.36394e-05 +33 *22352:A *2797:30 0.000215357 +34 *23351:A *2797:10 0.000178537 +35 *23363:A *2797:22 6.76686e-05 +36 *520:12 *2797:10 0 +37 *1160:42 *2797:26 7.74361e-05 +38 *1169:22 *2797:26 1.9101e-05 +39 *1189:11 *4696:DIODE 0.000192991 +40 *1189:11 *2797:31 0.000934169 +41 *1189:11 *2797:49 0.000119076 +42 *1190:38 *2797:26 6.71354e-05 +43 *1196:26 *2797:30 0.000620308 +44 *1215:6 *2797:22 1.87986e-06 +45 *1215:6 *2797:26 3.30315e-05 +46 *1316:8 *2797:30 0.000268744 +47 *1459:10 *2797:26 0 +48 *1608:6 *2797:22 0.0013116 +49 *1723:11 *4696:DIODE 0.000175485 +50 *1843:8 *2797:22 1.42869e-06 +51 *1967:40 *2797:11 0.00813121 +52 *2102:20 *2797:19 0.000338626 +53 *2113:8 *2797:19 0.000410797 +54 *2114:17 *2797:16 7.09666e-06 +55 *2273:32 *2797:26 0.000212583 +56 *2348:16 *2797:10 0.000678132 +57 *2507:9 *3515:DIODE 7.48797e-05 +58 *2514:10 *2797:10 0 +59 *2517:32 *2797:16 3.03351e-05 +60 *2519:15 *2797:19 0.00389336 +61 *2524:28 *2797:22 0.000157711 +62 *2524:38 *2797:22 0.000186783 +63 *2585:12 *2797:26 0 +64 *2585:12 *2797:30 0 +65 *2607:22 *2797:30 0 +66 *2628:42 *2797:10 0 +67 *2633:73 *2797:22 3.29979e-05 +68 *2675:16 *2797:22 0 +*RES +1 *21942:X *2797:7 16.1364 +2 *2797:7 *2797:10 41.2132 +3 *2797:10 *2797:11 88.3508 +4 *2797:11 *2797:16 10.832 +5 *2797:16 *2797:19 46.8187 +6 *2797:19 *2797:22 29.202 +7 *2797:22 *2797:26 22.6435 +8 *2797:26 *2797:30 37.6835 +9 *2797:30 *2797:31 60.6206 +10 *2797:31 *2797:36 16.6455 +11 *2797:36 *21325:A 9.24915 +12 *2797:36 *3515:DIODE 11.0817 +13 *2797:31 *2797:49 8.51196 +14 *2797:49 *22375:A_N 9.24915 +15 *2797:49 *4696:DIODE 14.4335 +*END + +*D_NET *2798 0.0544276 +*CONN +*I *21326:A I *D sky130_fd_sc_hd__inv_2 +*I *3516:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4698:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22376:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21943:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21326:A 0.00013925 +2 *3516:DIODE 0 +3 *4698:DIODE 0.000130124 +4 *22376:A_N 0 +5 *21943:X 0.000756131 +6 *2798:42 0.00104259 +7 *2798:27 0.000153288 +8 *2798:24 0.00381269 +9 *2798:23 0.0046115 +10 *2798:15 0.00440283 +11 *2798:14 0.00267751 +12 *2798:12 0.00233123 +13 *2798:8 0.00308736 +14 *2798:8 *22838:A 0.000211613 +15 *2798:8 *2909:18 0.000887972 +16 *2798:8 *2926:12 0 +17 *2798:8 *2930:23 4.04447e-05 +18 *2798:8 *3207:8 0.000867334 +19 *2798:15 *3220:8 0.00105477 +20 *2798:23 *2932:32 0 +21 *2798:24 *3199:16 0 +22 la_data_in_mprj[104] *2798:8 9.32891e-05 +23 *6039:DIODE *2798:24 1.91391e-05 +24 *22375:B *2798:42 7.34452e-05 +25 *23229:A *2798:12 6.88675e-05 +26 *1141:21 *2798:15 0.00392053 +27 *1146:17 *2798:23 0.00238822 +28 *1146:21 *2798:15 0.000351263 +29 *1146:21 *2798:23 0.000149034 +30 *1148:21 *2798:15 0.00272056 +31 *1172:11 *2798:12 0.00360053 +32 *1190:32 *2798:24 0.000143443 +33 *1194:11 *21326:A 0.000381471 +34 *1194:11 *2798:42 0.00016107 +35 *1202:9 *4698:DIODE 0.000428134 +36 *1202:9 *2798:27 6.50727e-05 +37 *1210:8 *2798:23 4.41618e-05 +38 *1325:8 *2798:12 0 +39 *1601:9 *2798:12 0.000187176 +40 *1967:43 *2798:24 0.00148994 +41 *1967:43 *2798:42 5.29437e-05 +42 *1980:56 *2798:15 0.00396718 +43 *1980:62 *2798:15 0.00067541 +44 *1980:66 *2798:15 0.00390509 +45 *2005:45 *2798:24 0.000170979 +46 *2109:37 *2798:24 0.00289863 +47 *2514:10 *2798:8 8.22549e-05 +48 *2640:20 *2798:24 0 +49 *2640:20 *2798:42 0 +50 *2662:12 *2798:42 0 +51 *2683:6 *2798:24 6.80558e-05 +52 *2796:10 *2798:8 0.000115107 +*RES +1 *21943:X *2798:8 43.3353 +2 *2798:8 *2798:12 47.3993 +3 *2798:12 *2798:14 4.5 +4 *2798:14 *2798:15 124.4 +5 *2798:15 *2798:23 44.8948 +6 *2798:23 *2798:24 85.2977 +7 *2798:24 *2798:27 5.2234 +8 *2798:27 *22376:A_N 9.24915 +9 *2798:27 *4698:DIODE 13.8548 +10 *2798:24 *2798:42 21.1852 +11 *2798:42 *3516:DIODE 9.24915 +12 *2798:42 *21326:A 14.2888 +*END + +*D_NET *2799 0.0161864 +*CONN +*I *4700:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22377:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3517:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21327:A I *D sky130_fd_sc_hd__inv_2 +*I *21944:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *4700:DIODE 0.000106686 +2 *22377:A_N 0 +3 *3517:DIODE 0 +4 *21327:A 0 +5 *21944:X 0.00130718 +6 *2799:41 0.000857308 +7 *2799:29 0.000798808 +8 *2799:19 7.27582e-05 +9 *2799:12 0.00269799 +10 *2799:11 0.00398059 +11 *2799:11 *2911:22 2.1203e-06 +12 *2799:12 *3595:DIODE 0 +13 *2799:12 *2915:40 6.93941e-05 +14 *2799:12 *2919:64 0 +15 *2799:12 *3211:9 5.78011e-05 +16 *2799:41 *2921:35 0.000215846 +17 la_data_in_mprj[105] *2799:11 6.87762e-05 +18 *5759:DIODE *2799:12 1.48503e-05 +19 *524:8 *2799:12 0.00031105 +20 *777:8 *2799:11 0 +21 *1213:19 *2799:19 0.000109716 +22 *1213:19 *2799:29 0.000359451 +23 *1213:19 *2799:41 0.000100396 +24 *1327:6 *2799:12 0 +25 *1341:9 *2799:12 6.50206e-05 +26 *1725:8 *4700:DIODE 0.000164843 +27 *1959:31 *2799:12 1.18458e-05 +28 *1975:24 *4700:DIODE 0.000423936 +29 *1975:24 *2799:41 0.00174021 +30 *2098:28 *2799:19 0.000105808 +31 *2098:28 *2799:29 0.000375312 +32 *2098:28 *2799:41 0.000111802 +33 *2098:33 *2799:41 0 +34 *2258:29 *2799:12 2.57465e-06 +35 *2625:30 *2799:41 4.07419e-05 +36 *2642:14 *2799:12 3.58511e-05 +37 *2647:9 *2799:11 0.00197773 +*RES +1 *21944:X *2799:11 45.9642 +2 *2799:11 *2799:12 52.4928 +3 *2799:12 *2799:19 6.07941 +4 *2799:19 *21327:A 9.24915 +5 *2799:19 *2799:29 4.44889 +6 *2799:29 *3517:DIODE 9.24915 +7 *2799:29 *2799:41 31.9658 +8 *2799:41 *22377:A_N 9.24915 +9 *2799:41 *4700:DIODE 13.8548 +*END + +*D_NET *2800 0.0340028 +*CONN +*I *21328:A I *D sky130_fd_sc_hd__inv_2 +*I *3518:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4702:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22378:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21945:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21328:A 0.000181848 +2 *3518:DIODE 0 +3 *4702:DIODE 0.000147761 +4 *22378:A_N 3.37551e-05 +5 *21945:X 0.000165512 +6 *2800:27 0.000766333 +7 *2800:14 0.000181516 +8 *2800:12 0.00341716 +9 *2800:11 0.00283268 +10 *2800:9 0.00162572 +11 *2800:8 0.00179123 +12 *21328:A *2812:23 1.86178e-05 +13 *2800:9 *2927:9 0.00464675 +14 *2800:9 *3045:13 0.00170909 +15 *2800:12 *22857:A 0 +16 *2800:12 *2820:8 0 +17 *2800:12 *3227:8 0 +18 *2800:27 *2812:23 6.22259e-05 +19 la_data_in_mprj[106] *2800:8 0.000125549 +20 *4011:DIODE *2800:12 0.000138224 +21 *4154:DIODE *2800:12 3.21447e-05 +22 *22373:B *2800:27 0.000199307 +23 *22378:B *2800:12 3.82559e-05 +24 *540:5 *2800:12 0.000178903 +25 *778:7 *2800:8 2.34274e-05 +26 *796:5 *2800:12 4.06674e-05 +27 *1169:11 *21328:A 0.000113968 +28 *1207:9 *21328:A 1.92336e-05 +29 *1342:10 *21328:A 6.50586e-05 +30 *1965:43 *2800:12 0.000630963 +31 *1965:43 *2800:27 0.0004763 +32 *1970:73 *2800:12 1.37274e-05 +33 *1983:33 *21328:A 7.50793e-05 +34 *1983:33 *2800:27 2.33339e-05 +35 *2094:8 *2800:9 0.00723611 +36 *2275:22 *21328:A 0.000174718 +37 *2275:22 *2800:27 9.12416e-06 +38 *2505:11 *4702:DIODE 0.000151436 +39 *2505:11 *22378:A_N 2.16355e-05 +40 *2520:9 *2800:9 0 +41 *2530:9 *2800:9 0.004135 +42 *2536:8 *2800:12 8.76895e-06 +43 *2536:9 *2800:9 0.00011818 +44 *2630:11 *2800:9 0.000444148 +45 *2633:74 *2800:27 7.44713e-05 +46 *2646:9 *2800:9 0.00179774 +47 *2677:14 *2800:12 0 +48 *2678:8 *2800:12 0 +49 *2794:24 *2800:12 0 +50 *2794:24 *2800:27 0 +51 *2794:40 *21328:A 2.33193e-05 +52 *2794:40 *2800:27 3.37708e-05 +*RES +1 *21945:X *2800:8 21.7421 +2 *2800:8 *2800:9 134.383 +3 *2800:9 *2800:11 4.5 +4 *2800:11 *2800:12 60.7978 +5 *2800:12 *2800:14 4.5 +6 *2800:14 *22378:A_N 9.97254 +7 *2800:14 *4702:DIODE 13.3002 +8 *2800:12 *2800:27 15.9506 +9 *2800:27 *3518:DIODE 13.7491 +10 *2800:27 *21328:A 19.7659 +*END + +*D_NET *2801 0.0408366 +*CONN +*I *22379:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3519:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21329:A I *D sky130_fd_sc_hd__inv_2 +*I *4704:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21946:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22379:A_N 0.000139774 +2 *3519:DIODE 3.50364e-05 +3 *21329:A 1.69894e-05 +4 *4704:DIODE 7.86186e-05 +5 *21946:X 0.000606947 +6 *2801:48 0.000847539 +7 *2801:42 0.00153269 +8 *2801:36 0.00124393 +9 *2801:21 0.000643521 +10 *2801:18 0.00176887 +11 *2801:15 0.00218821 +12 *2801:14 0.00158529 +13 *2801:9 0.00157496 +14 *4704:DIODE *3193:12 0.000109598 +15 *2801:9 *5359:DIODE 0.000141323 +16 *2801:9 *3210:9 7.48797e-05 +17 *2801:14 *2802:16 0.000158249 +18 *2801:14 *2804:15 7.09666e-06 +19 *2801:14 *2911:28 3.03943e-05 +20 *2801:14 *2911:30 0.00123507 +21 *2801:15 *3199:7 0.0028721 +22 *2801:18 *2812:8 0 +23 *2801:21 *3194:7 0.000417478 +24 *2801:36 *3194:7 0.000501057 +25 *2801:36 *3348:13 0.000261278 +26 *2801:42 *2804:33 0.000838125 +27 *2801:42 *3052:15 4.58529e-05 +28 *2801:42 *3198:27 0.00258574 +29 *2801:42 *3198:31 0.00021432 +30 *2801:48 *3199:16 2.55661e-06 +31 la_data_in_mprj[107] *2801:9 9.32891e-05 +32 *3852:DIODE *2801:9 0 +33 *3993:DIODE *2801:9 0.000148652 +34 *3994:DIODE *2801:9 0.00015511 +35 *4134:DIODE *2801:9 1.49589e-05 +36 *4137:DIODE *2801:14 6.62565e-05 +37 *21808:A *2801:9 0.000169122 +38 *22381:B *22379:A_N 0 +39 *524:8 *2801:9 0.000164829 +40 *525:8 *2801:9 0.000171288 +41 *1141:13 *2801:15 0.000904427 +42 *1160:29 *3519:DIODE 1.41853e-05 +43 *1160:29 *21329:A 3.68841e-05 +44 *1200:27 *3519:DIODE 0.000162583 +45 *1201:5 *3519:DIODE 0.000211492 +46 *1201:5 *21329:A 4.99884e-05 +47 *1332:6 *2801:42 0.000316248 +48 *1613:11 *2801:48 0.00155458 +49 *1972:23 *22379:A_N 2.74379e-05 +50 *1972:23 *2801:18 0.000158114 +51 *1974:29 *22379:A_N 0 +52 *1974:29 *2801:18 0 +53 *1979:31 *2801:48 0.000108607 +54 *1985:68 *2801:15 0.00497861 +55 *1987:26 *2801:21 0.000413252 +56 *1987:26 *2801:36 0.0028053 +57 *2109:37 *2801:48 0.000144657 +58 *2115:26 *2801:48 1.62073e-05 +59 *2262:19 *2801:14 0.000628655 +60 *2266:23 *2801:18 0 +61 *2500:16 *2801:36 0.000154058 +62 *2505:8 *2801:42 0.000317804 +63 *2519:14 *2801:14 0 +64 *2524:45 *2801:42 0.000814908 +65 *2629:24 *2801:36 0.000157671 +66 *2631:20 *4704:DIODE 4.03426e-05 +67 *2633:73 *2801:36 0.00166546 +68 *2642:14 *2801:9 0 +69 *2663:7 *2801:9 1.17054e-05 +70 *2670:6 *2801:18 0 +71 *2796:11 *2801:9 0.00240248 +*RES +1 *21946:X *2801:9 47.1468 +2 *2801:9 *2801:14 39.0691 +3 *2801:14 *2801:15 53.9653 +4 *2801:15 *2801:18 34.9844 +5 *2801:18 *2801:21 9.10562 +6 *2801:21 *4704:DIODE 20.4964 +7 *2801:21 *2801:36 43.3043 +8 *2801:36 *2801:42 49.3994 +9 *2801:42 *2801:48 32.4826 +10 *2801:48 *21329:A 10.503 +11 *2801:48 *3519:DIODE 11.6364 +12 *2801:18 *22379:A_N 16.4116 +*END + +*D_NET *2802 0.053985 +*CONN +*I *3520:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21330:A I *D sky130_fd_sc_hd__inv_2 +*I *22380:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4706:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21947:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3520:DIODE 5.24037e-05 +2 *21330:A 0 +3 *22380:A_N 0 +4 *4706:DIODE 4.51842e-05 +5 *21947:X 0.000506367 +6 *2802:38 0.000127936 +7 *2802:31 0.000186783 +8 *2802:20 0.00226108 +9 *2802:19 0.00210465 +10 *2802:17 0.0099351 +11 *2802:16 0.0105551 +12 *2802:11 0.00112632 +13 *2802:11 *5359:DIODE 3.72076e-05 +14 *2802:11 *22842:A 5.00169e-05 +15 *2802:11 *2915:40 1.88878e-05 +16 *2802:11 *2930:23 0.00143656 +17 *2802:11 *2931:50 0.000178097 +18 *2802:11 *3013:19 0.00184209 +19 *2802:20 *21393:A 4.31921e-05 +20 *2802:20 *2815:12 0 +21 *2802:20 *3012:26 0.00013262 +22 *2802:20 *3021:6 0.00326533 +23 *2802:31 *21404:A 0.000128231 +24 *2802:31 *3012:26 5.97908e-05 +25 la_data_in_mprj[108] *2802:11 6.50727e-05 +26 *4135:DIODE *2802:11 0.000277991 +27 *23230:A *2802:16 0.000214182 +28 *1148:13 *2802:17 7.24543e-05 +29 *1177:25 *3520:DIODE 0.00025175 +30 *1177:25 *2802:38 0.000311964 +31 *1199:13 *3520:DIODE 0.000237552 +32 *1199:13 *2802:38 0.00021436 +33 *1199:21 *2802:38 4.0062e-05 +34 *1231:13 *4706:DIODE 6.08467e-05 +35 *1992:17 *2802:20 0.000750671 +36 *1996:45 *2802:20 8.37048e-05 +37 *2115:31 *2802:20 0.00104439 +38 *2115:31 *2802:31 0.000191153 +39 *2262:19 *2802:16 3.77804e-05 +40 *2346:13 *2802:17 3.81675e-05 +41 *2411:16 *2802:20 0.000136244 +42 *2514:17 *2802:17 0.0153807 +43 *2519:7 *2802:11 0.00011818 +44 *2519:14 *2802:16 0.000206589 +45 *2801:14 *2802:16 0.000158249 +*RES +1 *21947:X *2802:11 46.4767 +2 *2802:11 *2802:16 24.5353 +3 *2802:16 *2802:17 174.869 +4 *2802:17 *2802:19 4.5 +5 *2802:19 *2802:20 73.2554 +6 *2802:20 *4706:DIODE 14.4725 +7 *2802:20 *2802:31 8.40826 +8 *2802:31 *22380:A_N 9.24915 +9 *2802:31 *2802:38 4.07513 +10 *2802:38 *21330:A 9.24915 +11 *2802:38 *3520:DIODE 12.191 +*END + +*D_NET *2803 0.0396414 +*CONN +*I *21331:A I *D sky130_fd_sc_hd__inv_2 +*I *3521:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4708:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22381:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21948:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21331:A 6.6915e-05 +2 *3521:DIODE 0.000199968 +3 *4708:DIODE 0 +4 *22381:A_N 0 +5 *21948:X 0.000263605 +6 *2803:34 0.000604647 +7 *2803:31 0.00317253 +8 *2803:25 0.00293585 +9 *2803:19 0.000127008 +10 *2803:16 0.00238173 +11 *2803:14 0.00266532 +12 *2803:9 0.000708261 +13 *2803:8 0.00066235 +14 *2803:9 *2966:9 0.000709619 +15 *2803:16 *22850:A 6.65184e-05 +16 *2803:16 *3220:8 0.00043651 +17 *2803:31 *2812:23 0.000530151 +18 la_data_in_mprj[109] *2803:8 0.00013223 +19 *3722:DIODE *2803:31 0.000209388 +20 *6027:DIODE *2803:31 0.000302686 +21 *21532:A *2803:31 6.50586e-05 +22 *23359:A *2803:16 1.9366e-05 +23 *405:11 *2803:14 5.67319e-05 +24 *405:11 *2803:16 2.0772e-05 +25 *533:10 *2803:14 0.000187528 +26 *781:8 *2803:8 2.87037e-05 +27 *1185:5 *21331:A 6.08467e-05 +28 *1195:32 *3521:DIODE 0.000317693 +29 *1195:32 *21331:A 1.98263e-05 +30 *1195:32 *2803:34 7.50872e-05 +31 *1217:8 *2803:31 0.000704187 +32 *1479:5 *2803:19 6.50727e-05 +33 *1479:5 *2803:25 0.000471523 +34 *1479:5 *2803:31 0.00192357 +35 *1721:10 *2803:31 0.000510804 +36 *1729:7 *2803:25 0.000228593 +37 *1729:7 *2803:31 0.0028927 +38 *1986:30 *2803:31 0.000118134 +39 *2113:13 *2803:16 3.38149e-05 +40 *2117:21 *21331:A 1.27402e-05 +41 *2117:21 *2803:34 0.000208549 +42 *2137:56 *21331:A 6.08467e-05 +43 *2273:32 *2803:31 0.00175533 +44 *2520:8 *2803:8 0 +45 *2520:9 *2803:9 0.00152134 +46 *2528:6 *2803:16 0.00342874 +47 *2536:16 *21331:A 6.1438e-05 +48 *2536:16 *2803:34 0.000612824 +49 *2562:16 *2803:16 0.000490685 +50 *2630:11 *2803:9 0.00535871 +51 *2643:24 *2803:16 0.000131356 +52 *2645:19 *2803:9 0.00202359 +53 *2670:6 *2803:14 0 +54 *2670:6 *2803:16 0 +*RES +1 *21948:X *2803:8 23.8184 +2 *2803:8 *2803:9 56.1838 +3 *2803:9 *2803:14 12.2554 +4 *2803:14 *2803:16 70.141 +5 *2803:16 *2803:19 5.2234 +6 *2803:19 *22381:A_N 9.24915 +7 *2803:19 *2803:25 5.16022 +8 *2803:25 *4708:DIODE 9.24915 +9 *2803:25 *2803:31 95.5606 +10 *2803:31 *2803:34 16.298 +11 *2803:34 *3521:DIODE 17.2456 +12 *2803:34 *21331:A 15.8893 +*END + +*D_NET *2804 0.0506435 +*CONN +*I *21332:A I *D sky130_fd_sc_hd__inv_2 +*I *3522:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22382:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4710:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21949:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21332:A 0.000107997 +2 *3522:DIODE 0.000104374 +3 *22382:A_N 0 +4 *4710:DIODE 0.00028411 +5 *21949:X 0.000501393 +6 *2804:53 0.000341901 +7 *2804:39 0.000443779 +8 *2804:36 0.00210098 +9 *2804:33 0.00333386 +10 *2804:32 0.00152208 +11 *2804:30 0.0021639 +12 *2804:28 0.00230601 +13 *2804:23 0.00085964 +14 *2804:22 0.000875897 +15 *2804:17 0.000988383 +16 *2804:15 0.00133141 +17 *21332:A *3352:16 0 +18 *21332:A *3358:14 1.12325e-05 +19 *2804:17 *22850:A 1.65872e-05 +20 *2804:23 *5371:DIODE 6.08467e-05 +21 *2804:23 *5377:DIODE 2.65667e-05 +22 *2804:23 *21357:A 0.00042034 +23 *2804:23 *2811:39 0.00119696 +24 *2804:23 *3013:15 0.00112609 +25 *2804:23 *3047:25 0.00228919 +26 *2804:23 *3223:11 0.000707539 +27 *2804:23 *3230:5 2.65831e-05 +28 *2804:30 *3224:8 0 +29 *2804:33 *3198:31 1.31867e-05 +30 *2804:36 *2812:50 0 +31 *2804:36 *3358:14 0.000221836 +32 *2804:39 *2821:39 0.00043038 +33 *2804:53 *3352:16 0 +34 *2804:53 *3358:14 3.65842e-05 +35 la_data_in_mprj[117] *2804:17 4.31703e-05 +36 *3856:DIODE *2804:15 5.1573e-05 +37 *3861:DIODE *2804:17 0.000317707 +38 *3863:DIODE *2804:17 0.000103139 +39 *3864:DIODE *2804:17 6.73351e-05 +40 *3999:DIODE *2804:17 9.55447e-05 +41 *4001:DIODE *2804:17 0.000159322 +42 *4137:DIODE *2804:15 3.68493e-05 +43 *4141:DIODE *2804:15 0.000265537 +44 *4149:DIODE *2804:23 1.5962e-05 +45 *5794:DIODE *2804:33 0.000107496 +46 *5795:DIODE *2804:33 0.00027329 +47 *5798:DIODE *2804:33 0.000111708 +48 *5799:DIODE *2804:33 4.56667e-05 +49 *6051:DIODE *2804:33 7.22498e-05 +50 *6055:DIODE *2804:33 3.57037e-05 +51 *21810:A *2804:15 0.000160617 +52 *21811:A *2804:17 6.50727e-05 +53 *21812:A *2804:17 6.08467e-05 +54 *21814:A *2804:17 6.50727e-05 +55 *21816:A *2804:17 6.50727e-05 +56 *22502:A *2804:36 2.0123e-05 +57 *23114:A *2804:23 0.000217937 +58 *23372:A *2804:33 0.00011818 +59 *23374:A *2804:33 0.000426168 +60 *400:7 *2804:15 1.07248e-05 +61 *531:8 *2804:17 0.000158371 +62 *784:8 *2804:15 0.000111722 +63 *1141:10 *2804:23 0.000161243 +64 *1150:9 *2804:23 0.000101365 +65 *1188:15 *4710:DIODE 0.00032539 +66 *1188:23 *4710:DIODE 2.1801e-05 +67 *1188:23 *2804:39 2.41483e-05 +68 *1195:28 *2804:36 0 +69 *1210:8 *2804:30 0.000415249 +70 *1232:11 *21332:A 0.000377273 +71 *1233:15 *21332:A 0.000377273 +72 *1352:11 *2804:36 2.55493e-05 +73 *1491:5 *2804:33 0.000317922 +74 *1493:5 *2804:33 0.000965198 +75 *1616:10 *2804:30 0.000992563 +76 *1975:50 *3522:DIODE 0.000167076 +77 *2120:38 *2804:36 0 +78 *2128:44 *2804:33 0.00154239 +79 *2275:30 *2804:30 0.000444274 +80 *2278:14 *2804:33 0.000118134 +81 *2410:6 *2804:36 0 +82 *2480:8 *2804:36 4.44553e-05 +83 *2519:14 *2804:15 0 +84 *2524:17 *2804:17 0.000964568 +85 *2524:25 *2804:17 0.000547429 +86 *2524:45 *2804:33 0.00072779 +87 *2524:47 *2804:33 0.00798922 +88 *2525:22 *2804:23 0.000612779 +89 *2527:18 *2804:22 7.10077e-05 +90 *2646:14 *2804:22 7.10077e-05 +91 *2666:15 *2804:15 0.000104392 +92 *2666:15 *2804:17 1.61631e-05 +93 *2680:8 *2804:28 1.46518e-05 +94 *2680:8 *2804:30 0.000421185 +95 *2729:9 *2804:33 0.000169399 +96 *2796:11 *2804:15 0.000901767 +97 *2796:27 *2804:17 0.00363378 +98 *2801:14 *2804:15 7.09666e-06 +99 *2801:42 *2804:33 0.000838125 +*RES +1 *21949:X *2804:15 36.2583 +2 *2804:15 *2804:17 51.1923 +3 *2804:17 *2804:22 12.9083 +4 *2804:22 *2804:23 50.0831 +5 *2804:23 *2804:28 7.10143 +6 *2804:28 *2804:30 58.2697 +7 *2804:30 *2804:32 4.5 +8 *2804:32 *2804:33 96.6698 +9 *2804:33 *2804:36 43.7047 +10 *2804:36 *2804:39 9.66022 +11 *2804:39 *4710:DIODE 14.4335 +12 *2804:39 *22382:A_N 9.24915 +13 *2804:36 *2804:53 3.07775 +14 *2804:53 *3522:DIODE 15.5817 +15 *2804:53 *21332:A 19.2169 +*END + +*D_NET *2805 0.0160941 +*CONN +*I *3752:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21562:A I *D sky130_fd_sc_hd__inv_2 +*I *4712:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22383:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21950:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3752:DIODE 3.94251e-05 +2 *21562:A 0 +3 *4712:DIODE 0 +4 *22383:A_N 0 +5 *21950:X 0.000392597 +6 *2805:30 0.0012947 +7 *2805:19 0.00132469 +8 *2805:14 6.94106e-05 +9 *2805:12 0.0033029 +10 *2805:10 0.00369549 +11 *3752:DIODE *3083:5 0.000118245 +12 *2805:10 *22855:A 0.000271044 +13 *2805:10 *22864:A 0 +14 *2805:10 *3235:8 0 +15 *2805:10 *3330:11 0.000736122 +16 *2805:12 *3114:16 0 +17 *2805:12 *3235:8 0 +18 *2805:19 *2849:36 0.000220183 +19 *2805:30 *3744:DIODE 0.000508887 +20 *2805:30 *2849:36 0.000962142 +21 *2805:30 *3099:8 0 +22 la_data_in_mprj[11] *2805:10 1.53125e-05 +23 *4152:DIODE *2805:10 9.60366e-05 +24 *6065:DIODE *2805:12 7.50872e-05 +25 *23311:A *2805:12 0 +26 *1324:11 *2805:12 0 +27 *1336:5 *3752:DIODE 1.92336e-05 +28 *1347:5 *3752:DIODE 0.000224381 +29 *1386:5 *2805:30 0.000689467 +30 *1625:11 *2805:12 4.21376e-05 +31 *2397:48 *2805:12 0.00144397 +32 *2397:52 *2805:12 0.000552655 +33 *2534:6 *2805:10 0 +34 *2534:6 *2805:12 0 +35 *2638:24 *2805:12 0 +*RES +1 *21950:X *2805:10 27.1906 +2 *2805:10 *2805:12 94.3966 +3 *2805:12 *2805:14 4.5 +4 *2805:14 *22383:A_N 9.24915 +5 *2805:14 *2805:19 2.38721 +6 *2805:19 *4712:DIODE 9.24915 +7 *2805:19 *2805:30 48.5661 +8 *2805:30 *21562:A 9.24915 +9 *2805:30 *3752:DIODE 12.191 +*END + +*D_NET *2806 0.0636543 +*CONN +*I *5996:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23345:A I *D sky130_fd_sc_hd__nand2_1 +*I *21951:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5996:DIODE 0 +2 *23345:A 0.00039205 +3 *21951:X 0 +4 *2806:30 0.00079342 +5 *2806:25 0.00820424 +6 *2806:24 0.00785045 +7 *2806:21 0.00224263 +8 *2806:16 0.00489072 +9 *2806:14 0.00401909 +10 *2806:11 0.00294567 +11 *2806:5 0.00162226 +12 *2806:11 *6581:DIODE 2.51488e-05 +13 *2806:11 *22961:A 0 +14 *2806:11 *23654:A 1.27402e-05 +15 *2806:11 *2840:8 0 +16 *2806:11 *2989:48 2.15871e-05 +17 *2806:11 *3343:14 0 +18 *2806:11 *3411:21 0.00141413 +19 *2806:14 *2836:39 0.000154563 +20 *2806:14 *3411:14 0.000284545 +21 *2806:14 *3411:21 0.000119487 +22 *2806:16 *2840:8 0 +23 *2806:16 *3176:24 5.65463e-05 +24 *2806:16 *3380:8 6.08915e-05 +25 *2806:25 *3084:29 0.017453 +26 *2806:30 *23395:A 0 +27 *2806:30 *3084:34 0.00193607 +28 la_oenb_core[0] *2806:11 4.84017e-05 +29 *4251:DIODE *2806:11 1.03079e-05 +30 *6075:DIODE *23345:A 6.08467e-05 +31 *6097:DIODE *23345:A 0.000271044 +32 *22291:A *2806:16 1.49589e-05 +33 *22293:TE *2806:16 1.62341e-05 +34 *22681:A *2806:11 5.35702e-05 +35 *23256:B *23345:A 0 +36 *23266:A *2806:16 2.18738e-05 +37 *23267:A *23345:A 0.000425037 +38 *23267:B *23345:A 5.19451e-05 +39 *23269:B *2806:16 1.21812e-05 +40 *23506:TE *2806:16 0 +41 *1255:12 *2806:16 0.000239055 +42 *1347:24 *2806:16 0.000165718 +43 *1503:7 *23345:A 2.75423e-05 +44 *1512:9 *2806:16 4.42345e-05 +45 *1514:5 *23345:A 2.41483e-05 +46 *1516:10 *2806:16 2.2224e-05 +47 *1631:11 *23345:A 0.000107496 +48 *1769:12 *2806:16 0.000320585 +49 *2010:23 *2806:24 0.000122098 +50 *2060:24 *2806:21 0.00367939 +51 *2141:46 *23345:A 6.66147e-05 +52 *2161:39 *2806:16 0 +53 *2173:55 *2806:16 7.20173e-06 +54 *2394:27 *2806:11 0.000213725 +55 *2462:46 *2806:11 1.88878e-05 +56 *2466:15 *2806:11 0.000217951 +57 *2546:9 *2806:25 0.00064776 +58 *2569:8 *2806:16 0 +59 *2614:8 *2806:30 0.00212558 +60 *2705:8 *2806:24 0.000118485 +61 *2710:16 *2806:16 0 +62 *2773:20 *2806:14 0 +63 *2773:20 *2806:16 0 +*RES +1 *21951:X *2806:5 13.7491 +2 *2806:5 *2806:11 48.1004 +3 *2806:11 *2806:14 28.5425 +4 *2806:14 *2806:16 56.0224 +5 *2806:16 *2806:21 48.5456 +6 *2806:21 *2806:24 6.74725 +7 *2806:24 *2806:25 189.289 +8 *2806:25 *2806:30 43.6369 +9 *2806:30 *23345:A 22.6802 +10 *2806:30 *5996:DIODE 9.24915 +*END + +*D_NET *2807 0.0621177 +*CONN +*I *23381:A I *D sky130_fd_sc_hd__nand2_1 +*I *6068:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21952:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23381:A 2.06838e-05 +2 *6068:DIODE 8.36614e-05 +3 *21952:X 0.00110295 +4 *2807:12 0.00242953 +5 *2807:11 0.00232519 +6 *2807:9 0.0149491 +7 *2807:8 0.016052 +8 *6068:DIODE *3096:5 1.43983e-05 +9 *2807:8 *22741:A 0 +10 *2807:8 *22742:A 0 +11 *2807:8 *22997:A 0.000172199 +12 *2807:8 *2981:23 2.34086e-05 +13 *2807:8 *3099:12 0 +14 *2807:8 *3162:52 0.000160954 +15 *2807:8 *3383:28 0.000990724 +16 *2807:9 *3110:15 0.0179147 +17 *2807:9 *3114:19 0.00169744 +18 *2807:12 *2835:6 0 +19 *2807:12 *3101:8 0 +20 la_oenb_core[17] *2807:8 7.21753e-05 +21 *4515:DIODE *2807:12 5.57434e-05 +22 *6069:DIODE *23381:A 0.000111708 +23 *21443:A *2807:12 6.68367e-05 +24 *22282:A *2807:12 1.01823e-05 +25 *1239:19 *2807:12 0 +26 *1243:11 *2807:12 0.000451978 +27 *1245:8 *2807:12 0 +28 *1367:8 *2807:12 0 +29 *1441:9 *23381:A 0.000111708 +30 *1757:9 *2807:12 0 +31 *1758:11 *2807:12 0.000175227 +32 *1760:12 *2807:12 0.000208593 +33 *1938:26 *6068:DIODE 6.08467e-05 +34 *2372:46 *2807:12 0 +35 *2440:27 *2807:9 0.000318578 +36 *2461:64 *2807:9 0.000252021 +37 *2463:54 *2807:9 0.000441045 +38 *2550:6 *6068:DIODE 0.000136838 +39 *2550:6 *2807:12 3.5577e-05 +40 *2552:12 *6068:DIODE 0.000136838 +41 *2552:12 *2807:12 0.00153495 +*RES +1 *21952:X *2807:8 44.9963 +2 *2807:8 *2807:9 262.496 +3 *2807:9 *2807:11 4.5 +4 *2807:11 *2807:12 62.4588 +5 *2807:12 *6068:DIODE 17.135 +6 *2807:12 *23381:A 15.0271 +*END + +*D_NET *2808 0.0518334 +*CONN +*I *4714:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22384:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3523:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21333:A I *D sky130_fd_sc_hd__inv_2 +*I *21953:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *4714:DIODE 1.30387e-05 +2 *22384:A_N 6.03358e-05 +3 *3523:DIODE 1.27543e-05 +4 *21333:A 0 +5 *21953:X 0.000658704 +6 *2808:58 0.000253156 +7 *2808:46 5.42452e-05 +8 *2808:42 0.000310217 +9 *2808:39 0.00228193 +10 *2808:37 0.00280041 +11 *2808:29 0.00170109 +12 *2808:28 0.00324435 +13 *2808:22 0.0035213 +14 *2808:18 0.00218955 +15 *2808:12 0.00287723 +16 *2808:11 0.002717 +17 *22384:A_N *2815:33 0 +18 *2808:11 *22845:A 0 +19 *2808:11 *22846:A 3.08636e-06 +20 *2808:11 *2931:50 4.82281e-05 +21 *2808:12 *22493:A_N 1.88656e-05 +22 *2808:12 *2809:10 0 +23 *2808:28 *3181:8 3.76125e-05 +24 *2808:28 *3467:7 0.000456953 +25 *2808:28 *3468:12 0.000107063 +26 *2808:29 *3469:7 0.00093565 +27 *2808:37 *3077:6 0.000111921 +28 *2808:37 *3198:32 0 +29 *2808:39 *2813:62 0.00153646 +30 *2808:39 *3078:11 0.000659322 +31 *2808:39 *3356:9 0.000364356 +32 *2808:42 *3358:14 0 +33 *2808:46 *3352:16 1.32509e-05 +34 *4141:DIODE *2808:11 0.000101118 +35 *4696:DIODE *2808:37 3.98402e-05 +36 *4696:DIODE *2808:39 2.41483e-05 +37 *22350:TE *2808:22 0 +38 *22492:B *2808:12 0.000185525 +39 *1142:8 *2808:11 0.000100401 +40 *1152:9 *2808:11 0.000523693 +41 *1189:11 *2808:28 1.44694e-05 +42 *1192:48 *2808:22 0.000740395 +43 *1203:20 *2808:22 0 +44 *1225:16 *2808:39 0.000922724 +45 *1314:9 *2808:22 0.000179532 +46 *1348:10 *2808:42 4.37999e-05 +47 *1348:10 *2808:46 3.20069e-06 +48 *1451:9 *2808:12 0 +49 *1605:9 *2808:11 0 +50 *1723:11 *2808:39 0.00151117 +51 *1725:8 *2808:12 0 +52 *1730:13 *22384:A_N 0.000200221 +53 *1739:6 *22384:A_N 0.000174175 +54 *1744:9 *3523:DIODE 0.000175485 +55 *1826:8 *2808:22 0 +56 *1834:25 *2808:22 0 +57 *1842:10 *2808:12 0 +58 *2108:24 *2808:29 0.00010238 +59 *2121:27 *2808:18 9.05757e-05 +60 *2126:26 *4714:DIODE 6.08467e-05 +61 *2126:26 *2808:29 0.00312615 +62 *2126:26 *2808:58 0.00154078 +63 *2134:40 *2808:29 0.00818497 +64 *2134:44 *3523:DIODE 0.000171288 +65 *2134:44 *4714:DIODE 4.66492e-05 +66 *2134:44 *2808:58 0.00154078 +67 *2440:6 *2808:18 0 +68 *2461:8 *2808:22 7.04316e-05 +69 *2517:25 *2808:11 0.000519467 +70 *2523:13 *2808:11 0 +71 *2627:14 *2808:12 0.00251783 +72 *2627:14 *2808:18 0.000279308 +73 *2627:14 *2808:22 0.00107711 +74 *2797:49 *2808:37 0.0005768 +*RES +1 *21953:X *2808:11 41.0925 +2 *2808:11 *2808:12 51.3081 +3 *2808:12 *2808:18 18.3932 +4 *2808:18 *2808:22 42.2513 +5 *2808:22 *2808:28 43.0284 +6 *2808:28 *2808:29 88.3508 +7 *2808:29 *2808:37 20.3138 +8 *2808:37 *2808:39 66.7212 +9 *2808:39 *2808:42 6.74725 +10 *2808:42 *2808:46 5.50149 +11 *2808:46 *21333:A 9.24915 +12 *2808:46 *3523:DIODE 11.0817 +13 *2808:42 *2808:58 21.3069 +14 *2808:58 *22384:A_N 21.7421 +15 *2808:58 *4714:DIODE 9.97254 +*END + +*D_NET *2809 0.0395549 +*CONN +*I *22385:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3524:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21334:A I *D sky130_fd_sc_hd__inv_2 +*I *4716:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21954:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22385:A_N 0 +2 *3524:DIODE 0.00011485 +3 *21334:A 0 +4 *4716:DIODE 0 +5 *21954:X 0.00100789 +6 *2809:32 0.00025021 +7 *2809:29 0.0012537 +8 *2809:23 0.00114969 +9 *2809:17 6.93431e-05 +10 *2809:14 0.00189346 +11 *2809:13 0.00185546 +12 *2809:11 0.00201232 +13 *2809:10 0.00302021 +14 *3524:DIODE *2817:28 0.000128279 +15 *3524:DIODE *2823:12 0.000133978 +16 *2809:10 *22847:A 0.000184799 +17 *2809:10 *2932:9 0.000118166 +18 *2809:10 *3050:21 0 +19 *2809:29 *3551:DIODE 6.75453e-05 +20 *2809:29 *2812:45 5.13663e-06 +21 *2809:29 *2825:21 4.7404e-05 +22 *2809:29 *2825:23 0.000333554 +23 *2809:29 *2949:9 4.58003e-05 +24 *2809:32 *2817:28 0.000314341 +25 *2809:32 *2823:12 0.000314341 +26 la_data_in_mprj[112] *2809:10 2.22788e-05 +27 *3858:DIODE *2809:10 3.77804e-05 +28 *4142:DIODE *2809:10 0 +29 *5790:DIODE *2809:11 0.000122378 +30 *6035:DIODE *2809:11 1.80257e-05 +31 *22385:B *2809:14 4.80461e-05 +32 *23247:A *2809:14 0.000170024 +33 *23364:A *2809:11 0.000226182 +34 *23370:A *2809:11 8.16991e-05 +35 *530:5 *2809:10 0 +36 *1175:9 *2809:11 0.0120975 +37 *1483:9 *2809:11 7.47979e-05 +38 *1977:45 *2809:14 0.000165138 +39 *1996:50 *2809:29 0.000871133 +40 *2092:49 *2809:10 0 +41 *2114:25 *2809:29 3.97379e-05 +42 *2115:26 *2809:29 0.00236674 +43 *2137:56 *3524:DIODE 2.16355e-05 +44 *2279:39 *2809:14 4.04447e-05 +45 *2521:7 *2809:10 0.000122378 +46 *2535:16 *2809:14 0.000520707 +47 *2535:16 *2809:29 0 +48 *2543:8 *2809:14 0 +49 *2551:19 *2809:11 0.00200156 +50 *2618:35 *2809:11 0.000992318 +51 *2627:14 *2809:10 0 +52 *2667:9 *2809:10 0 +53 *2673:11 *2809:29 0.000158371 +54 *2679:11 *2809:11 0.000158357 +55 *2684:29 *2809:11 0.00375762 +56 *2685:8 *2809:14 0.00111956 +57 *2808:12 *2809:10 0 +*RES +1 *21954:X *2809:10 39.6302 +2 *2809:10 *2809:11 131.61 +3 *2809:11 *2809:13 4.5 +4 *2809:13 *2809:14 50.0013 +5 *2809:14 *2809:17 5.2234 +6 *2809:17 *4716:DIODE 9.24915 +7 *2809:17 *2809:23 0.723396 +8 *2809:23 *2809:29 49.8202 +9 *2809:29 *2809:32 10.4845 +10 *2809:32 *21334:A 13.7491 +11 *2809:32 *3524:DIODE 17.135 +12 *2809:23 *22385:A_N 9.24915 +*END + +*D_NET *2810 0.0367231 +*CONN +*I *21335:A I *D sky130_fd_sc_hd__inv_2 +*I *3525:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4718:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22386:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21955:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21335:A 8.45963e-05 +2 *3525:DIODE 0 +3 *4718:DIODE 0 +4 *22386:A_N 0 +5 *21955:X 8.1882e-05 +6 *2810:30 0.000371428 +7 *2810:21 0.000377008 +8 *2810:14 9.01765e-05 +9 *2810:12 0.00186261 +10 *2810:11 0.00186261 +11 *2810:9 0.00168822 +12 *2810:8 0.00177011 +13 *2810:9 *2821:9 0.00540922 +14 *2810:9 *2827:9 0.000245399 +15 *2810:12 *2972:8 0 +16 *2810:12 *3050:8 8.09783e-05 +17 *2810:12 *3052:8 0.00232132 +18 la_data_in_mprj[113] *2810:8 0.000108054 +19 *4270:DIODE *2810:12 3.51249e-05 +20 *4385:DIODE *2810:12 0.000118485 +21 *22386:B *2810:12 3.91205e-05 +22 *786:8 *2810:8 2.462e-05 +23 *902:5 *2810:12 4.06083e-05 +24 *1107:5 *2810:12 0.000357868 +25 *1238:9 *21335:A 3.93595e-05 +26 *1733:7 *21335:A 0.000104117 +27 *1734:5 *2810:21 0.000167076 +28 *1734:5 *2810:30 0.00053312 +29 *1978:49 *2810:12 0.000153619 +30 *1987:26 *2810:21 0.000430366 +31 *1987:26 *2810:30 0.000544526 +32 *2120:31 *2810:30 7.63252e-05 +33 *2364:8 *21335:A 1.9559e-05 +34 *2364:8 *2810:30 1.75625e-05 +35 *2428:14 *2810:12 0 +36 *2513:22 *2810:12 0.00211414 +37 *2530:9 *2810:9 0.001677 +38 *2535:9 *2810:9 0.00107006 +39 *2537:14 *2810:12 0 +40 *2537:18 *2810:12 0 +41 *2537:22 *21335:A 7.25435e-05 +42 *2537:22 *2810:30 0.000269197 +43 *2646:9 *2810:9 0.00353455 +44 *2647:9 *2810:9 0.00887226 +45 *2718:9 *2810:21 5.82695e-05 +*RES +1 *21955:X *2810:8 20.4964 +2 *2810:8 *2810:9 136.601 +3 *2810:9 *2810:11 4.5 +4 *2810:11 *2810:12 66.1961 +5 *2810:12 *2810:14 4.5 +6 *2810:14 *22386:A_N 9.24915 +7 *2810:14 *2810:21 5.18434 +8 *2810:21 *4718:DIODE 9.24915 +9 *2810:21 *2810:30 16.3387 +10 *2810:30 *3525:DIODE 13.7491 +11 *2810:30 *21335:A 16.8056 +*END + +*D_NET *2811 0.0530319 +*CONN +*I *3526:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21336:A I *D sky130_fd_sc_hd__inv_2 +*I *22387:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4720:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21956:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3526:DIODE 2.48532e-05 +2 *21336:A 1.2064e-05 +3 *22387:A_N 0.000108673 +4 *4720:DIODE 0 +5 *21956:X 0.00052844 +6 *2811:72 0.000979336 +7 *2811:54 0.000123567 +8 *2811:49 0.00110156 +9 *2811:46 0.00390117 +10 *2811:39 0.00445703 +11 *2811:32 0.00153029 +12 *2811:25 0.00211214 +13 *2811:11 0.00181039 +14 *2811:11 *2812:7 0.000427437 +15 *2811:11 *2813:10 0.000534335 +16 *2811:25 *21357:A 1.41689e-05 +17 *2811:25 *2815:5 0.000320489 +18 *2811:32 *21357:A 0.000220183 +19 *2811:32 *3047:25 0.00189612 +20 *2811:39 *2824:13 6.08467e-05 +21 *2811:39 *3013:15 0.00256406 +22 *2811:39 *3230:5 0.000305854 +23 *2811:46 *2824:10 2.43314e-05 +24 *2811:46 *2826:20 0.0074667 +25 *2811:46 *3042:15 0.00118015 +26 *2811:46 *3042:18 0.000102893 +27 *2811:46 *3199:16 0 +28 la_data_in_mprj[114] *2811:11 1.80647e-05 +29 *3860:DIODE *2811:11 0.000217923 +30 *3863:DIODE *2811:11 6.08467e-05 +31 *4003:DIODE *2811:11 0.000264586 +32 *4007:DIODE *2811:25 6.61281e-05 +33 *4149:DIODE *2811:25 0.000246833 +34 *21960:A *2811:25 1.31897e-05 +35 *22257:A *2811:72 1.9803e-05 +36 *23115:A *2811:39 0.0002817 +37 *404:8 *2811:11 0.000317707 +38 *406:8 *2811:11 0.000220183 +39 *533:10 *2811:11 2.41483e-05 +40 *535:8 *2811:25 1.00981e-05 +41 *791:8 *2811:25 1.62073e-05 +42 *1154:9 *2811:39 0.000110257 +43 *1157:15 *2811:46 0.000170694 +44 *1177:20 *2811:72 0.000243838 +45 *1192:22 *2811:72 6.58212e-05 +46 *1221:9 *2811:72 0 +47 *1228:23 *3526:DIODE 0.000200153 +48 *1228:23 *21336:A 6.08467e-05 +49 *1231:19 *3526:DIODE 0.000220809 +50 *1231:19 *21336:A 6.50586e-05 +51 *1995:57 *2811:49 0 +52 *1995:57 *2811:72 0 +53 *2123:36 *22387:A_N 3.3281e-05 +54 *2130:29 *2811:49 8.05288e-05 +55 *2130:29 *2811:72 0.000578772 +56 *2406:8 *2811:54 0 +57 *2412:8 *2811:54 1.5714e-05 +58 *2430:18 *2811:46 0.00390428 +59 *2521:11 *2811:11 0.000933403 +60 *2526:32 *2811:72 1.68091e-05 +61 *2527:15 *2811:11 0.000409033 +62 *2531:7 *2811:25 0.000364356 +63 *2531:20 *2811:46 0.00964055 +64 *2542:24 *2811:46 0.000184253 +65 *2672:14 *2811:11 0.000164829 +66 *2672:14 *2811:25 6.31685e-05 +67 *2674:8 *2811:25 0.000152628 +68 *2678:8 *2811:32 5.88009e-05 +69 *2682:8 *2811:46 0.000512588 +70 *2804:23 *2811:39 0.00119696 +*RES +1 *21956:X *2811:11 37.2205 +2 *2811:11 *2811:25 32.7588 +3 *2811:25 *2811:32 33.3243 +4 *2811:32 *2811:39 40.067 +5 *2811:39 *2811:46 49.7116 +6 *2811:46 *2811:49 0.694236 +7 *2811:49 *2811:54 8.2474 +8 *2811:54 *4720:DIODE 9.24915 +9 *2811:54 *22387:A_N 11.1059 +10 *2811:49 *2811:72 14.9303 +11 *2811:72 *21336:A 9.97254 +12 *2811:72 *3526:DIODE 11.6364 +*END + +*D_NET *2812 0.0431451 +*CONN +*I *3527:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21337:A I *D sky130_fd_sc_hd__inv_2 +*I *4722:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22388:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21957:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3527:DIODE 1.372e-05 +2 *21337:A 1.24136e-05 +3 *4722:DIODE 0 +4 *22388:A_N 0 +5 *21957:X 0.000548042 +6 *2812:50 0.000325228 +7 *2812:45 0.00251647 +8 *2812:43 0.00395875 +9 *2812:29 0.00182965 +10 *2812:23 0.00132123 +11 *2812:8 0.00366893 +12 *2812:7 0.00298402 +13 *2812:7 *2813:10 0.00042812 +14 *2812:8 *2813:10 6.82896e-05 +15 *2812:8 *3193:12 0.000201719 +16 *2812:23 *2930:61 5.71844e-05 +17 *2812:45 *2815:15 0.000365188 +18 *2812:45 *2821:17 0.00223188 +19 *3863:DIODE *2812:8 6.51527e-05 +20 *4004:DIODE *2812:8 5.04879e-05 +21 *4705:DIODE *2812:8 4.6012e-05 +22 *21328:A *2812:23 1.86178e-05 +23 *534:5 *2812:8 2.85031e-05 +24 *1169:11 *2812:43 1.67988e-05 +25 *1169:11 *2812:45 0.00495107 +26 *1185:5 *3527:DIODE 0.000171288 +27 *1185:5 *21337:A 0.000118166 +28 *1190:9 *2812:45 0.000482985 +29 *1217:8 *2812:23 7.09666e-06 +30 *1224:19 *2812:29 0.000133896 +31 *1224:19 *2812:43 2.16355e-05 +32 *1352:11 *2812:50 9.60366e-05 +33 *1485:14 *2812:23 0.000200794 +34 *1485:14 *2812:29 6.50727e-05 +35 *1485:20 *2812:43 0.00263015 +36 *1727:9 *2812:8 1.91246e-05 +37 *1972:23 *2812:8 0.00091319 +38 *1983:33 *2812:23 8.43846e-05 +39 *1996:50 *2812:45 3.99086e-06 +40 *2103:23 *2812:43 0.000157433 +41 *2115:26 *2812:45 1.05844e-05 +42 *2117:21 *2812:23 0.00376186 +43 *2137:76 *3527:DIODE 0.00015709 +44 *2137:76 *21337:A 0.000118166 +45 *2275:22 *2812:23 0.000107496 +46 *2275:22 *2812:29 0.00039261 +47 *2275:22 *2812:43 5.08751e-05 +48 *2278:14 *2812:23 0.00378481 +49 *2406:8 *2812:50 0 +50 *2480:8 *2812:50 0.000169093 +51 *2480:9 *2812:45 6.50586e-05 +52 *2530:16 *2812:43 0.00015382 +53 *2671:8 *2812:8 0.00224464 +54 *2677:18 *2812:23 5.93047e-05 +55 *2796:32 *2812:8 0.00023201 +56 *2800:27 *2812:23 6.22259e-05 +57 *2801:18 *2812:8 0 +58 *2803:31 *2812:23 0.000530151 +59 *2804:36 *2812:50 0 +60 *2809:29 *2812:45 5.13663e-06 +61 *2811:11 *2812:7 0.000427437 +*RES +1 *21957:X *2812:7 23.3462 +2 *2812:7 *2812:8 66.819 +3 *2812:8 *2812:23 38.5552 +4 *2812:23 *22388:A_N 9.24915 +5 *2812:23 *2812:29 4.60562 +6 *2812:29 *4722:DIODE 9.24915 +7 *2812:29 *2812:43 42.388 +8 *2812:43 *2812:45 78.9225 +9 *2812:45 *2812:50 16.6455 +10 *2812:50 *21337:A 10.5271 +11 *2812:50 *3527:DIODE 11.0817 +*END + +*D_NET *2813 0.0369698 +*CONN +*I *4724:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3528:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21338:A I *D sky130_fd_sc_hd__inv_2 +*I *22389:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21958:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *4724:DIODE 0 +2 *3528:DIODE 6.02601e-05 +3 *21338:A 0 +4 *22389:A_N 0 +5 *21958:X 0.000212053 +6 *2813:62 0.000833747 +7 *2813:47 0.000941835 +8 *2813:37 0.000741787 +9 *2813:30 0.00411987 +10 *2813:29 0.00435628 +11 *2813:21 0.00176994 +12 *2813:13 0.00262456 +13 *2813:10 0.00187653 +14 *2813:13 *3224:15 4.66108e-05 +15 *2813:21 *22857:A 6.50727e-05 +16 *2813:21 *3047:20 0.0004164 +17 *2813:21 *3224:15 0.00150711 +18 *2813:29 *22861:A 5.56461e-05 +19 *2813:29 *22862:A 0.0005766 +20 *2813:29 *22863:A 7.97944e-05 +21 *2813:29 *3047:10 0.000423922 +22 *2813:29 *3047:20 0.000371781 +23 *2813:30 *3353:6 0.000526028 +24 *2813:37 *3066:14 0 +25 *2813:37 *3353:6 4.69204e-06 +26 *2813:62 *3078:11 8.27055e-05 +27 *2813:62 *3345:14 0.000156563 +28 la_data_in_mprj[125] *2813:29 0.00011818 +29 la_data_in_mprj[126] *2813:29 0.00011818 +30 la_data_in_mprj[127] *2813:29 0.000260388 +31 *3716:DIODE *2813:62 0.000257548 +32 *3863:DIODE *2813:10 4.3116e-06 +33 *3865:DIODE *2813:13 4.82966e-05 +34 *3872:DIODE *2813:29 0.00041971 +35 *3874:DIODE *2813:29 0.000266846 +36 *3875:DIODE *2813:29 0.000521527 +37 *4012:DIODE *2813:21 0.000169041 +38 *4147:DIODE *2813:10 8.27363e-05 +39 *4160:DIODE *2813:30 0.000150629 +40 *4468:DIODE *3528:DIODE 0.00027175 +41 *21521:A *2813:29 5.07314e-05 +42 *21545:A *2813:30 0 +43 *21545:A *2813:37 0 +44 *21822:A *2813:21 6.50727e-05 +45 *21826:A *2813:29 7.48797e-05 +46 *21827:A *2813:29 6.99486e-05 +47 *21968:A *2813:21 6.50586e-05 +48 *22248:TE *2813:62 5.41227e-05 +49 *22591:A *2813:30 0 +50 *23480:TE *2813:30 4.49912e-05 +51 *408:8 *2813:13 5.07314e-05 +52 *541:8 *2813:21 0.000164829 +53 *1178:13 *2813:30 0 +54 *1189:11 *2813:62 0.000286826 +55 *1194:11 *3528:DIODE 0.000472644 +56 *1202:9 *2813:37 0.000111722 +57 *1202:9 *2813:47 0.000236317 +58 *1232:10 *2813:37 0 +59 *1337:9 *2813:37 0.000575184 +60 *1345:10 *2813:30 0.000179945 +61 *1353:11 *3528:DIODE 8.62269e-05 +62 *1494:10 *2813:30 0.000120544 +63 *1622:8 *2813:30 0.000445996 +64 *1723:11 *2813:62 0.000160617 +65 *1724:8 *2813:62 7.50872e-05 +66 *1737:11 *2813:47 0.000167076 +67 *1981:23 *2813:30 0.000447477 +68 *2114:25 *2813:30 0.00205321 +69 *2362:18 *2813:30 0 +70 *2362:18 *2813:62 6.43412e-05 +71 *2411:16 *2813:62 7.20513e-05 +72 *2433:14 *2813:62 0 +73 *2513:29 *2813:62 0.000200794 +74 *2524:25 *2813:13 0.000773737 +75 *2532:7 *2813:13 0.00101601 +76 *2536:16 *2813:30 0 +77 *2543:8 *2813:30 0.000936617 +78 *2671:8 *2813:10 1.07248e-05 +79 *2677:10 *2813:21 0.000268798 +80 *2679:11 *2813:21 0.000423908 +81 *2794:52 *2813:37 3.74738e-05 +82 *2808:39 *2813:62 0.00153646 +83 *2811:11 *2813:10 0.000534335 +84 *2812:7 *2813:10 0.00042812 +85 *2812:8 *2813:10 6.82896e-05 +*RES +1 *21958:X *2813:10 27.8722 +2 *2813:10 *2813:13 28.2004 +3 *2813:13 *2813:21 37.1223 +4 *2813:21 *2813:29 38.6925 +5 *2813:29 *2813:30 100.454 +6 *2813:30 *2813:37 19.9088 +7 *2813:37 *22389:A_N 9.24915 +8 *2813:37 *2813:47 5.35313 +9 *2813:47 *2813:62 48.9114 +10 *2813:62 *21338:A 9.24915 +11 *2813:62 *3528:DIODE 14.4094 +12 *2813:47 *4724:DIODE 9.24915 +*END + +*D_NET *2814 0.0405829 +*CONN +*I *3529:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21339:A I *D sky130_fd_sc_hd__inv_2 +*I *4726:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22390:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21959:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3529:DIODE 4.78013e-05 +2 *21339:A 0 +3 *4726:DIODE 0 +4 *22390:A_N 0 +5 *21959:X 0 +6 *2814:41 0.00136804 +7 *2814:40 0.00221063 +8 *2814:29 0.00138424 +9 *2814:26 0.000812926 +10 *2814:15 0.000468665 +11 *2814:9 0.000585816 +12 *2814:6 0.00560161 +13 *2814:5 0.00516538 +14 *2814:6 *22852:A 3.15551e-05 +15 *2814:6 *2931:56 0 +16 *2814:6 *3182:12 0.000156746 +17 *2814:6 *3193:12 0 +18 *2814:6 *3194:8 0 +19 *2814:6 *3221:10 0.000456252 +20 *2814:9 *3190:25 0.00120766 +21 *2814:9 *3193:17 0.00318636 +22 *2814:29 *2917:9 0.0044036 +23 *2814:29 *3250:15 0.0041934 +24 *2814:40 *2917:9 6.49003e-05 +25 *2814:40 *3063:8 0.000135741 +26 *2814:40 *3079:10 0.000231941 +27 *2814:40 *3345:14 6.02377e-05 +28 *2814:40 *3346:11 5.05598e-05 +29 *2814:40 *3348:22 0 +30 la_data_in_mprj[117] *2814:6 6.87503e-05 +31 *3864:DIODE *2814:6 1.49869e-05 +32 *4937:DIODE *2814:6 1.20973e-05 +33 *22579:A *2814:6 7.47581e-05 +34 *23236:A *2814:6 1.48503e-05 +35 *1194:11 *2814:9 0.000865731 +36 *1194:11 *2814:15 0.000192991 +37 *1194:11 *2814:26 2.65667e-05 +38 *1201:16 *2814:6 3.58315e-06 +39 *1723:11 *2814:40 0.00011818 +40 *1738:9 *2814:26 0 +41 *1961:39 *2814:6 0.000156558 +42 *1983:38 *2814:26 4.12119e-05 +43 *2267:25 *2814:6 1.5714e-05 +44 *2268:63 *2814:6 7.22263e-05 +45 *2413:10 *2814:26 0 +46 *2413:12 *2814:26 0 +47 *2518:11 *2814:40 0.000455016 +48 *2618:9 *3529:DIODE 7.24449e-05 +49 *2618:9 *2814:41 0.00111799 +50 *2618:15 *2814:41 0.00144979 +51 *2631:20 *2814:6 0.00372965 +52 *2646:14 *2814:6 0 +53 *2671:8 *2814:6 0 +54 *2672:14 *2814:6 0.000255804 +*RES +1 *21959:X *2814:5 13.7491 +2 *2814:5 *2814:6 124.747 +3 *2814:6 *2814:9 39.6088 +4 *2814:9 *22390:A_N 9.24915 +5 *2814:9 *2814:15 4.60562 +6 *2814:15 *4726:DIODE 9.24915 +7 *2814:15 *2814:26 16.5384 +8 *2814:26 *2814:29 47.8888 +9 *2814:29 *2814:40 34.1943 +10 *2814:40 *2814:41 43.4279 +11 *2814:41 *21339:A 9.24915 +12 *2814:41 *3529:DIODE 11.0817 +*END + +*D_NET *2815 0.042849 +*CONN +*I *3530:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21340:A I *D sky130_fd_sc_hd__inv_2 +*I *4728:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22391:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21960:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3530:DIODE 7.41431e-05 +2 *21340:A 0 +3 *4728:DIODE 0 +4 *22391:A_N 4.17154e-05 +5 *21960:X 0 +6 *2815:40 0.00124828 +7 *2815:33 0.00125044 +8 *2815:22 0.00163319 +9 *2815:15 0.00259708 +10 *2815:14 0.00108191 +11 *2815:12 0.00189483 +12 *2815:11 0.00252303 +13 *2815:5 0.00358379 +14 *2815:4 0.00295559 +15 *2815:5 *22860:A 8.89405e-05 +16 *2815:5 *2816:7 0.00011818 +17 *2815:5 *2817:10 0.000122378 +18 *2815:5 *2824:10 0.000534335 +19 *2815:5 *2825:11 0.00168488 +20 *2815:11 *2825:11 0.00146064 +21 *2815:11 *3046:9 0.000201074 +22 *2815:12 *2821:12 0.00409448 +23 *2815:12 *3021:6 0 +24 *2815:15 *2821:17 0.00224682 +25 *2815:22 *21345:A 2.46901e-05 +26 *2815:22 *2822:18 0.00052849 +27 *2815:22 *3357:10 0.00035374 +28 *2815:22 *3371:8 0.00054002 +29 *2815:33 *3371:8 0.00015324 +30 la_data_in_mprj[122] *2815:5 0.000174986 +31 la_data_in_mprj[123] *2815:5 1.92926e-05 +32 la_data_in_mprj[124] *2815:5 9.19886e-06 +33 *3868:DIODE *2815:5 2.16355e-05 +34 *3874:DIODE *2815:5 2.16355e-05 +35 *4007:DIODE *2815:5 4.56667e-05 +36 *4379:DIODE *2815:11 6.36477e-05 +37 *4380:DIODE *2815:12 4.72779e-05 +38 *4729:DIODE *2815:22 2.75459e-05 +39 *21678:A *2815:5 2.85274e-05 +40 *21681:A *2815:5 2.85274e-05 +41 *21683:A *2815:5 4.02438e-05 +42 *21687:A *2815:5 2.85274e-05 +43 *21823:A *2815:5 2.16355e-05 +44 *21966:A *2815:5 2.65831e-05 +45 *22082:A *2815:11 6.50727e-05 +46 *22257:TE *2815:22 7.23396e-05 +47 *22384:A_N *2815:33 0 +48 *411:8 *2815:5 9.40969e-05 +49 *414:10 *2815:5 2.82583e-05 +50 *416:8 *2815:5 9.40969e-05 +51 *418:8 *2815:5 0.000519481 +52 *536:8 *2815:5 5.07314e-05 +53 *537:8 *2815:5 0.000118245 +54 *542:8 *2815:5 3.82228e-05 +55 *793:12 *2815:5 6.37151e-05 +56 *966:8 *2815:11 0.000167076 +57 *1097:8 *2815:11 0.000265306 +58 *1098:5 *2815:12 6.95777e-05 +59 *1177:20 *2815:22 0.000154851 +60 *1207:8 *2815:22 0 +61 *1236:14 *2815:22 0 +62 *1730:13 *2815:22 0 +63 *1730:13 *2815:33 0 +64 *1739:6 *2815:33 0 +65 *1984:37 *2815:22 4.75721e-06 +66 *1996:45 *2815:12 0.00224447 +67 *1996:50 *2815:15 0.00038249 +68 *1996:52 *2815:15 0.000685495 +69 *2115:31 *2815:12 1.45945e-05 +70 *2134:44 *3530:DIODE 0.000273022 +71 *2134:44 *2815:40 0.00382969 +72 *2412:11 *2815:15 0.000339606 +73 *2480:9 *2815:15 0.000359078 +74 *2539:10 *2815:5 0.000315461 +75 *2675:15 *2815:5 0.000258693 +76 *2678:7 *2815:5 1.40846e-05 +77 *2802:20 *2815:12 0 +78 *2811:25 *2815:5 0.000320489 +79 *2812:45 *2815:15 0.000365188 +*RES +1 *21960:X *2815:4 9.24915 +2 *2815:4 *2815:5 83.9139 +3 *2815:5 *2815:11 27.9863 +4 *2815:11 *2815:12 74.9164 +5 *2815:12 *2815:14 4.5 +6 *2815:14 *2815:15 50.6377 +7 *2815:15 *2815:22 46.6847 +8 *2815:22 *22391:A_N 14.4725 +9 *2815:22 *2815:33 7.1625 +10 *2815:33 *4728:DIODE 9.24915 +11 *2815:33 *2815:40 42.1981 +12 *2815:40 *21340:A 9.24915 +13 *2815:40 *3530:DIODE 12.191 +*END + +*D_NET *2816 0.058122 +*CONN +*I *22392:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4730:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3531:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21341:A I *D sky130_fd_sc_hd__inv_2 +*I *21961:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22392:A_N 0.000112435 +2 *4730:DIODE 0 +3 *3531:DIODE 9.72169e-05 +4 *21341:A 0 +5 *21961:X 7.20766e-05 +6 *2816:45 0.000128514 +7 *2816:31 0.000437096 +8 *2816:27 0.00601209 +9 *2816:13 0.00815157 +10 *2816:7 0.00256752 +11 *2816:27 *2824:32 0.0111079 +12 *2816:27 *2826:20 0 +13 *2816:27 *3016:20 0.00136165 +14 *2816:27 *3018:18 0.00299908 +15 *2816:31 *3016:20 0 +16 *2816:45 *3016:20 0 +17 *3866:DIODE *2816:13 6.85778e-05 +18 *1147:13 *2816:27 8.14362e-06 +19 *1162:7 *3531:DIODE 0.000205189 +20 *1162:7 *2816:31 0.000359052 +21 *1165:9 *2816:31 0.000101365 +22 *1356:10 *3531:DIODE 0.000144695 +23 *1985:83 *2816:27 0.000306281 +24 *1992:12 *2816:13 0.00159893 +25 *1992:12 *2816:27 0.00545761 +26 *2130:29 *2816:27 1.57386e-05 +27 *2402:11 *2816:27 0.000340318 +28 *2407:17 *2816:27 0 +29 *2409:15 *2816:27 0.000719435 +30 *2516:30 *2816:13 0.000723518 +31 *2526:15 *2816:13 0.00416193 +32 *2526:15 *2816:27 0.00116407 +33 *2526:32 *2816:27 0.00914532 +34 *2533:6 *2816:13 0 +35 *2674:8 *2816:13 0.000436553 +36 *2815:5 *2816:7 0.00011818 +*RES +1 *21961:X *2816:7 15.0271 +2 *2816:7 *2816:13 45.9715 +3 *2816:13 *2816:27 34.3647 +4 *2816:27 *2816:31 15.0275 +5 *2816:31 *21341:A 9.24915 +6 *2816:31 *3531:DIODE 14.4094 +7 *2816:27 *2816:45 4.87861 +8 *2816:45 *4730:DIODE 9.24915 +9 *2816:45 *22392:A_N 11.1059 +*END + +*D_NET *2817 0.0436536 +*CONN +*I *4732:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22393:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21342:A I *D sky130_fd_sc_hd__inv_2 +*I *3532:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21962:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *4732:DIODE 0.000149523 +2 *22393:A_N 0 +3 *21342:A 0.00013722 +4 *3532:DIODE 0 +5 *21962:X 0.000399889 +6 *2817:57 0.000273958 +7 *2817:41 0.000622362 +8 *2817:35 0.00163869 +9 *2817:34 0.00159647 +10 *2817:28 0.00157332 +11 *2817:24 0.00144374 +12 *2817:19 0.00468346 +13 *2817:17 0.00485446 +14 *2817:14 0.00206368 +15 *2817:10 0.00185478 +16 *2817:10 *22856:A 0.000103487 +17 *2817:10 *2820:8 0 +18 *2817:14 *22856:A 3.12396e-05 +19 *2817:14 *2820:8 0 +20 *2817:14 *2820:12 0 +21 *2817:14 *3226:16 0.000301323 +22 *2817:19 *2823:9 4.0266e-05 +23 *2817:24 *2823:12 0.00102464 +24 *2817:28 *2823:12 0.000551346 +25 *2817:35 *21344:A 8.66942e-05 +26 *2817:35 *2821:39 0.000977995 +27 *2817:41 *3359:8 0 +28 *3524:DIODE *2817:28 0.000128279 +29 *4010:DIODE *2817:10 0.00011497 +30 *4150:DIODE *2817:10 0 +31 *22382:B *2817:34 2.53651e-05 +32 *23365:A *2817:14 4.15661e-05 +33 *539:5 *2817:10 0.000140502 +34 *1148:21 *2817:14 0 +35 *1182:9 *2817:34 0.00294022 +36 *1183:9 *2817:34 0.002936 +37 *1214:7 *2817:17 0.00158089 +38 *1233:15 *4732:DIODE 0.000179853 +39 *1233:15 *2817:35 0.000727813 +40 *1233:15 *2817:57 6.66147e-05 +41 *1975:45 *2817:24 0.000594364 +42 *1975:49 *2817:24 7.00019e-05 +43 *1975:49 *2817:28 0.00185445 +44 *1978:46 *2817:19 0.00185782 +45 *2005:36 *2817:17 0.00261801 +46 *2005:36 *2817:19 0.000938348 +47 *2405:8 *2817:41 0 +48 *2406:8 *2817:34 0 +49 *2412:8 *2817:34 7.01543e-05 +50 *2500:16 *2817:14 0.00101071 +51 *2629:24 *2817:14 0.000488843 +52 *2684:28 *2817:24 0.000342595 +53 *2696:22 *2817:24 5.85596e-05 +54 *2740:20 *2817:24 1.37925e-05 +55 *2740:21 *2817:19 0 +56 *2796:42 *2817:14 8.62321e-06 +57 *2809:32 *2817:28 0.000314341 +58 *2815:5 *2817:10 0.000122378 +*RES +1 *21962:X *2817:10 23.4421 +2 *2817:10 *2817:14 43.2528 +3 *2817:14 *2817:17 29.3096 +4 *2817:17 *2817:19 70.3261 +5 *2817:19 *2817:24 25.397 +6 *2817:24 *2817:28 40.3216 +7 *2817:28 *2817:34 46.7657 +8 *2817:34 *2817:35 32.3358 +9 *2817:35 *2817:41 18.3416 +10 *2817:41 *3532:DIODE 9.24915 +11 *2817:41 *21342:A 12.4803 +12 *2817:35 *2817:57 3.52053 +13 *2817:57 *22393:A_N 9.24915 +14 *2817:57 *4732:DIODE 13.8548 +*END + +*D_NET *2818 0.0678322 +*CONN +*I *23382:A I *D sky130_fd_sc_hd__nand2_1 +*I *6070:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21963:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23382:A 0 +2 *6070:DIODE 9.64734e-05 +3 *21963:X 4.18856e-05 +4 *2818:28 0.000955196 +5 *2818:22 0.0024362 +6 *2818:19 0.0126764 +7 *2818:17 0.0111976 +8 *2818:11 0.00331688 +9 *2818:10 0.00321823 +10 *2818:8 0.00279698 +11 *2818:7 0.00283887 +12 *6070:DIODE *2835:6 3.27384e-05 +13 *2818:7 *3001:29 6.50727e-05 +14 *2818:8 *22742:A 0 +15 *2818:8 *22743:A 0 +16 *2818:8 *22998:A 0 +17 *2818:8 *2873:18 7.13807e-06 +18 *2818:8 *2906:20 5.88009e-05 +19 *2818:8 *3100:20 0 +20 *2818:8 *3385:12 0 +21 *2818:8 *3413:8 4.85989e-05 +22 *2818:17 *2918:25 7.68538e-06 +23 *2818:17 *2918:31 4.31539e-05 +24 *2818:19 *2829:21 0.0118209 +25 *2818:19 *2838:41 2.22932e-05 +26 *2818:19 *2918:31 9.82896e-06 +27 *2818:19 *3138:47 0.000115848 +28 *2818:19 *3378:5 1.67988e-05 +29 *2818:19 *3387:7 0.00293663 +30 *2818:22 *2829:30 0.00238784 +31 *2818:22 *2835:28 0.000119215 +32 *2818:22 *2838:6 0 +33 *2818:22 *2838:30 0 +34 *2818:22 *3435:8 0 +35 *2818:28 *2835:6 3.89332e-06 +36 la_oenb_core[18] *2818:8 1.17299e-05 +37 *3661:DIODE *2818:11 3.81056e-05 +38 *4162:DIODE *2818:8 2.45002e-05 +39 *21468:A *2818:11 1.41689e-05 +40 *21468:A *2818:17 1.61631e-05 +41 *22412:B *2818:22 0 +42 *22441:B *2818:8 0 +43 *23512:A *2818:19 0.000116 +44 *23536:A *2818:8 0.000120548 +45 *1277:11 *2818:11 0.000935664 +46 *1375:15 *2818:19 0.00359889 +47 *1380:25 *2818:11 0.00363204 +48 *1419:14 *2818:22 7.50872e-05 +49 *1500:11 *2818:28 9.60366e-05 +50 *1789:6 *2818:8 4.91576e-05 +51 *1907:22 *2818:8 0.000122834 +52 *1916:32 *2818:28 0.00128383 +53 *2339:11 *2818:22 0 +54 *2470:61 *2818:19 0.000187155 +55 *2550:6 *6070:DIODE 3.59954e-05 +56 *2550:6 *2818:28 3.12044e-05 +57 *2587:31 *2818:11 0.000172001 +*RES +1 *21963:X *2818:7 14.4725 +2 *2818:7 *2818:8 51.247 +3 *2818:8 *2818:10 4.5 +4 *2818:10 *2818:11 56.1838 +5 *2818:11 *2818:17 2.99004 +6 *2818:17 *2818:19 200.381 +7 *2818:19 *2818:22 49.9335 +8 *2818:22 *2818:28 25.2811 +9 *2818:28 *6070:DIODE 16.4116 +10 *2818:28 *23382:A 13.7491 +*END + +*D_NET *2819 0.0170976 +*CONN +*I *3753:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21563:A I *D sky130_fd_sc_hd__inv_2 +*I *4734:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22394:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21964:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3753:DIODE 8.6016e-05 +2 *21563:A 0 +3 *4734:DIODE 0 +4 *22394:A_N 0 +5 *21964:X 0.000973085 +6 *2819:40 0.00171095 +7 *2819:30 0.00178061 +8 *2819:22 0.000450606 +9 *2819:16 0.00408068 +10 *2819:15 0.00475883 +11 *2819:40 *3102:9 0.000512599 +12 la_data_in_mprj[14] *2819:16 6.08697e-06 +13 *4020:DIODE *2819:16 0 +14 *4163:DIODE *2819:16 0.00015324 +15 *4735:DIODE *2819:30 2.33103e-06 +16 *4735:DIODE *2819:40 2.00195e-05 +17 *547:10 *2819:15 3.29619e-05 +18 *548:16 *2819:15 0.000213725 +19 *804:5 *2819:16 5.05252e-05 +20 *1240:6 *2819:40 0.000100977 +21 *1358:5 *3753:DIODE 0.000222149 +22 *1627:9 *2819:16 0.000112179 +23 *1675:8 *2819:16 0.000707631 +24 *2318:90 *2819:30 0.000215846 +25 *2365:44 *2819:16 0 +26 *2365:44 *2819:22 0 +27 *2365:44 *2819:30 1.05934e-05 +28 *2365:44 *2819:40 0.000154737 +29 *2544:9 *2819:15 0.000317707 +30 *2545:6 *2819:16 0 +31 *2545:6 *2819:22 8.30517e-05 +32 *2545:6 *2819:30 0 +33 *2545:6 *2819:40 0 +34 *2546:6 *2819:16 0 +35 *2638:23 *2819:15 0.000324166 +36 *2686:10 *2819:15 1.6335e-05 +*RES +1 *21964:X *2819:15 30.9419 +2 *2819:15 *2819:16 94.0302 +3 *2819:16 *2819:22 11.6204 +4 *2819:22 *22394:A_N 9.24915 +5 *2819:22 *2819:30 8.30395 +6 *2819:30 *4734:DIODE 13.7491 +7 *2819:30 *2819:40 47.8005 +8 *2819:40 *21563:A 9.24915 +9 *2819:40 *3753:DIODE 12.191 +*END + +*D_NET *2820 0.0487759 +*CONN +*I *22395:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4736:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3533:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21343:A I *D sky130_fd_sc_hd__inv_2 +*I *21965:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22395:A_N 0 +2 *4736:DIODE 3.24975e-05 +3 *3533:DIODE 2.78733e-05 +4 *21343:A 0 +5 *21965:X 0.00086596 +6 *2820:41 8.33299e-05 +7 *2820:28 0.000274797 +8 *2820:23 0.00332126 +9 *2820:22 0.00307434 +10 *2820:20 0.000614003 +11 *2820:13 0.00279627 +12 *2820:12 0.00379145 +13 *2820:8 0.00242432 +14 *2820:8 *22857:A 0 +15 *2820:13 *3066:5 0.000888035 +16 *2820:20 *3044:20 0.00056582 +17 *2820:28 *3023:18 0 +18 *2820:41 *3044:20 1.38872e-05 +19 la_data_in_mprj[121] *2820:8 7.21753e-05 +20 *4153:DIODE *2820:8 0.0002491 +21 *4446:DIODE *2820:13 0.000260388 +22 *22250:TE *2820:13 0.000115934 +23 *1148:21 *2820:12 0.000271558 +24 *1160:15 *4736:DIODE 0.000111708 +25 *1190:9 *2820:23 0.00517138 +26 *1191:13 *3533:DIODE 0.00027175 +27 *1214:11 *2820:13 0.000307037 +28 *1352:20 *4736:DIODE 6.08467e-05 +29 *1352:20 *2820:41 2.95757e-05 +30 *1734:10 *2820:20 0 +31 *2137:78 *3533:DIODE 0.00027175 +32 *2524:52 *2820:20 0.000568937 +33 *2524:52 *2820:41 9.24241e-05 +34 *2525:31 *2820:13 0.0129613 +35 *2525:36 *2820:20 0 +36 *2533:18 *2820:28 8.39054e-05 +37 *2677:10 *2820:8 0.00090551 +38 *2677:14 *2820:8 4.0605e-06 +39 *2677:14 *2820:12 0.00074549 +40 *2707:23 *2820:13 0.00744725 +41 *2796:42 *2820:12 0 +42 *2800:12 *2820:8 0 +43 *2817:10 *2820:8 0 +44 *2817:14 *2820:8 0 +45 *2817:14 *2820:12 0 +*RES +1 *21965:X *2820:8 36.4537 +2 *2820:8 *2820:12 41.8361 +3 *2820:12 *2820:13 142.702 +4 *2820:13 *2820:20 23.5772 +5 *2820:20 *2820:22 4.5 +6 *2820:22 *2820:23 55.6292 +7 *2820:23 *2820:28 14.9845 +8 *2820:28 *21343:A 9.24915 +9 *2820:28 *3533:DIODE 12.191 +10 *2820:20 *2820:41 6.332 +11 *2820:41 *4736:DIODE 10.5271 +12 *2820:41 *22395:A_N 9.24915 +*END + +*D_NET *2821 0.0394187 +*CONN +*I *21344:A I *D sky130_fd_sc_hd__inv_2 +*I *3534:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4738:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22396:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21966:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21344:A 8.57512e-05 +2 *3534:DIODE 0 +3 *4738:DIODE 0 +4 *22396:A_N 0 +5 *21966:X 0.000114406 +6 *2821:39 0.00115361 +7 *2821:25 0.00123118 +8 *2821:20 0.000913833 +9 *2821:17 0.00109979 +10 *2821:12 0.00252343 +11 *2821:11 0.00217415 +12 *2821:9 0.000836091 +13 *2821:8 0.000950497 +14 *21344:A *3357:12 7.65861e-05 +15 *21344:A *3371:8 3.49272e-05 +16 *2821:9 *2827:9 0.000406511 +17 *2821:12 *3012:14 0.000107884 +18 *2821:12 *3012:16 0 +19 *2821:20 *3039:20 0.00153489 +20 *2821:20 *3041:18 0.00156587 +21 *2821:25 *3352:13 0.000311249 +22 *2821:39 *3358:14 0 +23 la_data_in_mprj[122] *2821:8 0.00012048 +24 *4711:DIODE *2821:39 5.08751e-05 +25 *796:5 *2821:8 1.94926e-05 +26 *924:8 *2821:12 0.000178604 +27 *1098:5 *2821:12 4.84766e-05 +28 *1188:23 *2821:25 4.09471e-05 +29 *1188:23 *2821:39 0.000636352 +30 *1232:11 *2821:39 2.41483e-05 +31 *1233:15 *21344:A 6.08467e-05 +32 *1233:15 *2821:39 4.89898e-06 +33 *1973:47 *2821:12 0.00115081 +34 *1975:50 *2821:39 0.000213725 +35 *2406:8 *2821:39 3.22726e-05 +36 *2433:14 *2821:12 0.000304272 +37 *2530:9 *2821:9 0.000330596 +38 *2536:9 *2821:9 9.82896e-06 +39 *2537:9 *2821:9 0.00117835 +40 *2541:9 *2821:9 0.00440699 +41 *2678:8 *2821:8 8.62625e-06 +42 *2804:39 *2821:39 0.00043038 +43 *2810:9 *2821:9 0.00540922 +44 *2812:45 *2821:17 0.00223188 +45 *2815:12 *2821:12 0.00409448 +46 *2815:15 *2821:17 0.00224682 +47 *2817:35 *21344:A 8.66942e-05 +48 *2817:35 *2821:39 0.000977995 +*RES +1 *21966:X *2821:8 20.9116 +2 *2821:8 *2821:9 77.2587 +3 *2821:9 *2821:11 4.5 +4 *2821:11 *2821:12 79.0689 +5 *2821:12 *2821:17 34.126 +6 *2821:17 *2821:20 34.9844 +7 *2821:20 *22396:A_N 9.24915 +8 *2821:20 *2821:25 4.60562 +9 *2821:25 *4738:DIODE 9.24915 +10 *2821:25 *2821:39 33.6537 +11 *2821:39 *3534:DIODE 9.24915 +12 *2821:39 *21344:A 21.1127 +*END + +*D_NET *2822 0.0398127 +*CONN +*I *21345:A I *D sky130_fd_sc_hd__inv_2 +*I *3535:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22397:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4740:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21967:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21345:A 6.81041e-05 +2 *3535:DIODE 0 +3 *22397:A_N 0 +4 *4740:DIODE 0.000313759 +5 *21967:X 0.00598501 +6 *2822:27 0.000387588 +7 *2822:21 0.000277776 +8 *2822:18 0.00114077 +9 *2822:15 0.00685373 +10 *4740:DIODE *3074:10 3.00073e-05 +11 *2822:15 *2937:9 0.00638745 +12 *2822:15 *2961:15 0.000789214 +13 *2822:15 *2967:23 0.00104849 +14 *2822:15 *3011:20 0.00108856 +15 *2822:15 *3038:19 1.32822e-05 +16 *2822:15 *3039:19 1.83756e-05 +17 *2822:15 *3041:17 0.00109329 +18 *2822:15 *3045:13 0.0104874 +19 *2822:18 *3043:20 0.000981974 +20 *2822:27 *3076:8 4.79289e-05 +21 *2822:27 *3357:10 2.04043e-05 +22 la_data_in_mprj[123] *2822:15 0.000125549 +23 *22257:TE *2822:18 7.23396e-05 +24 *797:7 *2822:15 2.5196e-05 +25 *1161:23 *2822:21 0.000311343 +26 *1161:23 *2822:27 0.0002646 +27 *1182:7 *2822:27 0.000260388 +28 *1182:9 *21345:A 6.50727e-05 +29 *1183:9 *4740:DIODE 0.000428897 +30 *1183:9 *21345:A 6.50727e-05 +31 *1192:22 *2822:18 1.66626e-05 +32 *1735:10 *2822:18 0.000132964 +33 *1979:31 *2822:15 0.00037328 +34 *1990:40 *2822:15 0 +35 *2136:48 *2822:15 8.50193e-05 +36 *2523:30 *21345:A 0 +37 *2523:30 *2822:18 0 +38 *2537:8 *2822:15 0 +39 *2815:22 *21345:A 2.46901e-05 +40 *2815:22 *2822:18 0.00052849 +*RES +1 *21967:X *2822:15 47.852 +2 *2822:15 *2822:18 31.154 +3 *2822:18 *2822:21 7.99641 +4 *2822:21 *2822:27 12.9433 +5 *2822:27 *4740:DIODE 24.2715 +6 *2822:27 *22397:A_N 9.24915 +7 *2822:21 *3535:DIODE 9.24915 +8 *2822:18 *21345:A 15.8893 +*END + +*D_NET *2823 0.0335853 +*CONN +*I *3536:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21346:A I *D sky130_fd_sc_hd__inv_2 +*I *4742:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22398:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21968:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3536:DIODE 5.08026e-05 +2 *21346:A 0 +3 *4742:DIODE 0.000135738 +4 *22398:A_N 0 +5 *21968:X 0 +6 *2823:48 0.00050747 +7 *2823:36 0.000836102 +8 *2823:25 0.00132511 +9 *2823:17 0.00132482 +10 *2823:12 0.00218479 +11 *2823:11 0.00194137 +12 *2823:9 0.00188724 +13 *2823:8 0.00383765 +14 *2823:5 0.00195041 +15 *3536:DIODE *3367:5 0.000479151 +16 *2823:8 *3224:8 0.000902975 +17 *2823:9 *3052:9 0.000343686 +18 *2823:17 *21398:A 0.000171273 +19 *2823:25 *3370:5 6.49003e-05 +20 *2823:36 *3075:6 7.14746e-05 +21 *2823:36 *3370:5 0.000691553 +22 *2823:48 *3075:6 0 +23 *2823:48 *3359:8 0.000213626 +24 *3524:DIODE *2823:12 0.000133978 +25 *3711:DIODE *2823:8 7.86847e-05 +26 *4950:DIODE *2823:9 7.13972e-05 +27 *23494:A *2823:48 5.41377e-05 +28 *23497:TE *2823:25 4.48986e-05 +29 *1169:10 *2823:12 0.000303528 +30 *1189:11 *3536:DIODE 4.33819e-05 +31 *1216:8 *2823:12 0 +32 *1233:14 *2823:17 0.000601805 +33 *1332:6 *2823:8 0 +34 *1357:6 *2823:48 0.000278937 +35 *1362:10 *3536:DIODE 0.000377134 +36 *1746:5 *4742:DIODE 0.000217923 +37 *1746:5 *2823:48 0.000312075 +38 *1973:40 *2823:9 0.000699079 +39 *1973:46 *2823:9 0 +40 *1975:49 *2823:12 7.89777e-05 +41 *1989:63 *2823:12 0.000288764 +42 *1989:67 *2823:12 0.000793862 +43 *2005:45 *2823:9 0.00198641 +44 *2114:22 *2823:9 0.00297189 +45 *2131:42 *2823:17 0.00167497 +46 *2131:42 *2823:25 0.000890751 +47 *2427:12 *2823:12 0 +48 *2519:22 *2823:12 0 +49 *2673:17 *2823:9 0 +50 *2684:25 *2823:12 0.000433729 +51 *2696:22 *2823:12 0.000398284 +52 *2809:32 *2823:12 0.000314341 +53 *2817:19 *2823:9 4.0266e-05 +54 *2817:24 *2823:12 0.00102464 +55 *2817:28 *2823:12 0.000551346 +*RES +1 *21968:X *2823:5 13.7491 +2 *2823:5 *2823:8 49.9335 +3 *2823:8 *2823:9 68.385 +4 *2823:9 *2823:11 4.5 +5 *2823:11 *2823:12 63.7046 +6 *2823:12 *2823:17 22.9948 +7 *2823:17 *2823:25 31.4436 +8 *2823:25 *22398:A_N 9.24915 +9 *2823:25 *2823:36 17.7954 +10 *2823:36 *4742:DIODE 11.6364 +11 *2823:36 *2823:48 21.8029 +12 *2823:48 *21346:A 9.24915 +13 *2823:48 *3536:DIODE 14.4094 +*END + +*D_NET *2824 0.049479 +*CONN +*I *21347:A I *D sky130_fd_sc_hd__inv_2 +*I *3537:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22399:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4744:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21969:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21347:A 1.08844e-05 +2 *3537:DIODE 0.000229584 +3 *22399:A_N 2.98868e-05 +4 *4744:DIODE 0 +5 *21969:X 0.000524804 +6 *2824:59 0.00147043 +7 *2824:39 0.0013848 +8 *2824:32 0.00431245 +9 *2824:22 0.00526183 +10 *2824:13 0.0024156 +11 *2824:10 0.00186607 +12 *2824:10 *22861:A 0 +13 *2824:13 *5380:DIODE 0.000277109 +14 *2824:13 *5381:DIODE 0.000108054 +15 *2824:13 *3013:11 0.000597273 +16 *2824:13 *3013:15 0.000262268 +17 *2824:22 *2825:14 0.0014031 +18 *2824:22 *2949:6 0.00145039 +19 *2824:32 *3010:11 8.92601e-06 +20 *2824:32 *3014:9 0.000614316 +21 *2824:32 *3020:11 5.42599e-05 +22 *2824:32 *3023:18 1.91391e-05 +23 *2824:32 *3043:15 2.04539e-05 +24 *2824:32 *3044:15 2.04654e-05 +25 *2824:39 *21411:A 0 +26 *2824:39 *3023:18 0.000210826 +27 *2824:59 *21411:A 0 +28 *2824:59 *3023:18 0.000575692 +29 *2824:59 *3366:6 0 +30 *3874:DIODE *2824:10 2.20585e-05 +31 *4158:DIODE *2824:10 5.82512e-05 +32 *4160:DIODE *2824:13 2.65831e-05 +33 *4385:DIODE *2824:13 3.82228e-05 +34 *5109:DIODE *2824:13 2.65831e-05 +35 *21827:A *2824:10 1.78398e-05 +36 *23501:A *3537:DIODE 7.23987e-05 +37 *23501:A *21347:A 1.09551e-05 +38 *23501:A *2824:59 3.75217e-05 +39 *23501:TE *2824:59 0.000133333 +40 *1147:13 *2824:22 6.23101e-05 +41 *1186:8 *2824:59 0 +42 *1199:9 *22399:A_N 2.57847e-05 +43 *1363:11 *3537:DIODE 2.76062e-05 +44 *1976:39 *2824:32 0.00180264 +45 *1985:83 *2824:32 0 +46 *1991:39 *2824:32 0.00379963 +47 *1992:12 *2824:32 0.000479651 +48 *1995:57 *2824:32 3.57218e-06 +49 *1996:45 *2824:22 5.60804e-05 +50 *2408:17 *2824:32 0.00400224 +51 *2417:18 *2824:32 0.00380417 +52 *2538:26 *2824:32 0 +53 *2682:8 *2824:10 0.000115573 +54 *2811:39 *2824:13 6.08467e-05 +55 *2811:46 *2824:10 2.43314e-05 +56 *2815:5 *2824:10 0.000534335 +57 *2816:27 *2824:32 0.0111079 +*RES +1 *21969:X *2824:10 29.5332 +2 *2824:10 *2824:13 42.9364 +3 *2824:13 *2824:22 33.0326 +4 *2824:22 *2824:32 29.5956 +5 *2824:32 *4744:DIODE 13.7491 +6 *2824:32 *2824:39 3.90826 +7 *2824:39 *22399:A_N 14.4725 +8 *2824:39 *2824:59 36.6818 +9 *2824:59 *3537:DIODE 14.9881 +10 *2824:59 *21347:A 9.82786 +*END + +*D_NET *2825 0.0509183 +*CONN +*I *4746:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22400:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21348:A I *D sky130_fd_sc_hd__inv_2 +*I *3538:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21970:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *4746:DIODE 0.000197797 +2 *22400:A_N 0 +3 *21348:A 9.63209e-05 +4 *3538:DIODE 0 +5 *21970:X 0.00159082 +6 *2825:48 0.000668886 +7 *2825:32 0.000278958 +8 *2825:29 0.00174878 +9 *2825:23 0.00284606 +10 *2825:21 0.00278214 +11 *2825:14 0.00237958 +12 *2825:11 0.00293928 +13 *21348:A *3369:6 7.74361e-05 +14 *2825:11 *2972:7 0.000169041 +15 *2825:14 *2949:6 7.42346e-05 +16 *2825:14 *3009:8 0 +17 *2825:14 *3009:10 0 +18 *2825:21 *2949:6 0.00186658 +19 *2825:21 *2949:9 6.08467e-05 +20 *2825:29 *3026:20 0 +21 *2825:29 *3369:6 0 +22 *2825:32 *3369:6 0.000269913 +23 *2825:48 *3575:DIODE 1.31764e-05 +24 *4342:DIODE *2825:14 0 +25 *4379:DIODE *2825:14 0.000258531 +26 *22094:A *2825:14 6.52347e-05 +27 *22400:B *4746:DIODE 2.85274e-05 +28 *23496:A *2825:29 2.6333e-05 +29 *913:5 *2825:14 9.35979e-05 +30 *1161:13 *21348:A 0.000171273 +31 *1350:7 *2825:21 4.59816e-06 +32 *1350:7 *2825:23 5.13712e-06 +33 *1359:8 *2825:29 9.85201e-05 +34 *1992:18 *2825:23 0.000230568 +35 *2136:48 *2825:23 0.000451306 +36 *2136:52 *2825:29 0 +37 *2429:26 *2825:14 0.000211642 +38 *2429:26 *2825:21 8.62993e-05 +39 *2480:9 *2825:23 0 +40 *2537:22 *2825:21 0.00151662 +41 *2538:26 *2825:14 4.67164e-05 +42 *2542:24 *2825:29 0.000103364 +43 *2673:8 *2825:29 0 +44 *2673:11 *2825:23 0.0122969 +45 *2696:11 *2825:23 0.0122337 +46 *2809:29 *2825:21 4.7404e-05 +47 *2809:29 *2825:23 0.000333554 +48 *2815:5 *2825:11 0.00168488 +49 *2815:11 *2825:11 0.00146064 +50 *2824:22 *2825:14 0.0014031 +*RES +1 *21970:X *2825:11 49.0509 +2 *2825:11 *2825:14 38.0689 +3 *2825:14 *2825:21 43.697 +4 *2825:21 *2825:23 147.416 +5 *2825:23 *2825:29 35.0882 +6 *2825:29 *2825:32 9.65401 +7 *2825:32 *3538:DIODE 13.7491 +8 *2825:32 *21348:A 16.9985 +9 *2825:29 *2825:48 9.59705 +10 *2825:48 *22400:A_N 9.24915 +11 *2825:48 *4746:DIODE 13.8548 +*END + +*D_NET *2826 0.0492043 +*CONN +*I *4748:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3539:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21349:A I *D sky130_fd_sc_hd__inv_2 +*I *22401:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21971:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *4748:DIODE 4.12095e-05 +2 *3539:DIODE 8.40127e-05 +3 *21349:A 0 +4 *22401:A_N 0 +5 *21971:X 0.000924133 +6 *2826:33 0.000358672 +7 *2826:27 0.000464285 +8 *2826:20 0.00823309 +9 *2826:8 0.0090088 +10 *2826:8 *22862:A 0.000226745 +11 *2826:8 *3233:6 0.00124365 +12 la_data_in_mprj[127] *2826:8 0.000116971 +13 *4159:DIODE *2826:8 4.59025e-06 +14 *1147:13 *2826:20 0.000361743 +15 *1161:13 *4748:DIODE 4.82966e-05 +16 *1161:13 *2826:27 0.00013805 +17 *1161:15 *2826:27 1.38625e-05 +18 *1168:23 *2826:20 0.00504036 +19 *1236:15 *3539:DIODE 1.41291e-05 +20 *1236:15 *2826:33 0.000128365 +21 *1365:10 *3539:DIODE 9.90116e-05 +22 *1745:5 *2826:20 0.000236284 +23 *1749:8 *2826:33 0.000130777 +24 *2407:17 *2826:20 0.00185239 +25 *2531:20 *2826:20 0.000102747 +26 *2536:12 *2826:8 0.000630825 +27 *2538:26 *2826:20 0.0097188 +28 *2542:24 *2826:20 0.00247426 +29 *2673:8 *2826:33 4.15143e-05 +30 *2811:46 *2826:20 0.0074667 +31 *2816:27 *2826:20 0 +*RES +1 *21971:X *2826:8 48.2252 +2 *2826:8 *2826:20 42.1575 +3 *2826:20 *22401:A_N 9.24915 +4 *2826:20 *2826:27 4.48505 +5 *2826:27 *2826:33 17.3773 +6 *2826:33 *21349:A 9.24915 +7 *2826:33 *3539:DIODE 12.191 +8 *2826:27 *4748:DIODE 10.5271 +*END + +*D_NET *2827 0.041447 +*CONN +*I *21350:A I *D sky130_fd_sc_hd__inv_2 +*I *4750:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22402:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3540:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21972:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21350:A 5.33623e-05 +2 *4750:DIODE 0.000140757 +3 *22402:A_N 0 +4 *3540:DIODE 0 +5 *21972:X 4.74059e-05 +6 *2827:41 0.0003597 +7 *2827:33 0.000389703 +8 *2827:30 0.000730438 +9 *2827:27 0.000947272 +10 *2827:26 0.000928992 +11 *2827:12 0.00186461 +12 *2827:9 0.00726701 +13 *2827:8 0.00593784 +14 *21350:A *3022:20 0 +15 *2827:9 *3012:9 0.0067981 +16 *2827:12 *3564:DIODE 0.000109921 +17 *2827:12 *2943:12 0.000620619 +18 *2827:30 *3022:20 0 +19 *2827:30 *3023:18 0 +20 mprj_ack_i_core *2827:8 7.21868e-05 +21 mprj_dat_i_core[13] *2827:12 0 +22 *4275:DIODE *2827:12 0.000144531 +23 *4345:DIODE *2827:12 5.0185e-05 +24 *22628:A *2827:12 0 +25 *906:5 *2827:12 0.000118485 +26 *966:8 *2827:8 2.55536e-05 +27 *1160:7 *4750:DIODE 0.000434578 +28 *1160:7 *2827:33 9.04617e-05 +29 *1160:7 *2827:41 0.00013123 +30 *1160:9 *2827:33 6.50727e-05 +31 *1190:8 *21350:A 0 +32 *1190:8 *2827:30 0 +33 *1743:14 *21350:A 3.20069e-06 +34 *2402:14 *2827:12 0 +35 *2403:18 *2827:26 1.088e-05 +36 *2405:8 *2827:26 0 +37 *2405:23 *2827:12 0.00139 +38 *2406:20 *2827:26 3.27616e-06 +39 *2406:20 *2827:27 0.000172192 +40 *2408:17 *2827:26 8.00592e-05 +41 *2410:22 *2827:26 0.00118015 +42 *2412:25 *2827:30 0.000593451 +43 *2416:22 *2827:12 0 +44 *2416:22 *2827:26 0.00134968 +45 *2533:15 *2827:27 0.00357887 +46 *2541:9 *2827:9 2.72092e-05 +47 *2684:11 *2827:27 0.000460855 +48 *2740:15 *2827:27 0.00461722 +49 *2810:9 *2827:9 0.000245399 +50 *2821:9 *2827:9 0.000406511 +*RES +1 *21972:X *2827:8 19.6659 +2 *2827:8 *2827:9 101.107 +3 *2827:9 *2827:12 48.8953 +4 *2827:12 *2827:26 20.2477 +5 *2827:26 *2827:27 49.5285 +6 *2827:27 *2827:30 19.6201 +7 *2827:30 *2827:33 7.44181 +8 *2827:33 *3540:DIODE 9.24915 +9 *2827:33 *2827:41 4.07513 +10 *2827:41 *22402:A_N 9.24915 +11 *2827:41 *4750:DIODE 13.8548 +12 *2827:30 *21350:A 14.7506 +*END + +*D_NET *2828 0.0218687 +*CONN +*I *3754:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21564:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4752:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22403:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21973:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3754:DIODE 8.37468e-05 +2 *21564:A 0 +3 *4752:DIODE 2.37123e-05 +4 *22403:A_N 0 +5 *21973:X 2.74935e-05 +6 *2828:33 0.000978404 +7 *2828:20 2.37123e-05 +8 *2828:18 0.00109678 +9 *2828:16 0.00138663 +10 *2828:11 0.00208911 +11 *2828:10 0.0018107 +12 *2828:7 0.00093359 +13 *4752:DIODE *2923:30 0.000164829 +14 *2828:7 *3330:11 0.000164829 +15 *2828:10 *3236:8 0 +16 *2828:10 *3238:8 0.00230845 +17 *2828:11 *2840:11 0.00420332 +18 *2828:16 *2831:26 0 +19 *2828:16 *2834:6 0 +20 *2828:16 *2834:15 0 +21 *2828:16 *2835:6 0.000592892 +22 *2828:16 *3242:8 0.00147591 +23 *2828:18 *2834:15 0 +24 *2828:18 *2834:24 0 +25 *2828:18 *2835:6 0 +26 *2828:33 *2832:28 0 +27 *21442:A *2828:16 4.01315e-05 +28 *21442:A *2828:18 4.31485e-06 +29 *22275:TE *2828:33 0 +30 *22403:B *2828:33 1.75164e-05 +31 *23253:A *2828:16 7.5611e-05 +32 *1239:19 *2828:33 0 +33 *1245:8 *2828:18 0.000273699 +34 *1245:8 *2828:33 0.000359452 +35 *1358:5 *3754:DIODE 0.00033061 +36 *1367:8 *2828:33 4.90264e-05 +37 *1408:14 *4752:DIODE 0.000164829 +38 *1751:6 *2828:18 0 +39 *1751:6 *2828:33 0 +40 *2157:35 *2828:16 0.000205087 +41 *2368:35 *2828:11 0.00155361 +42 *2686:10 *2828:10 0.00129768 +43 *2688:9 *2828:7 7.22498e-05 +44 *2744:15 *2828:10 6.08076e-05 +*RES +1 *21973:X *2828:7 15.5817 +2 *2828:7 *2828:10 47.0267 +3 *2828:10 *2828:11 45.6463 +4 *2828:11 *2828:16 45.8909 +5 *2828:16 *2828:18 5.77689 +6 *2828:18 *2828:20 4.5 +7 *2828:20 *22403:A_N 9.24915 +8 *2828:20 *4752:DIODE 11.0817 +9 *2828:18 *2828:33 25.0183 +10 *2828:33 *21564:A 9.24915 +11 *2828:33 *3754:DIODE 12.7456 +*END + +*D_NET *2829 0.0631539 +*CONN +*I *23383:A I *D sky130_fd_sc_hd__nand2_1 +*I *6072:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21974:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23383:A 0 +2 *6072:DIODE 9.62132e-05 +3 *21974:X 0 +4 *2829:30 0.00134173 +5 *2829:29 0.00124552 +6 *2829:27 0.000863829 +7 *2829:26 0.000954528 +8 *2829:21 0.00140308 +9 *2829:20 0.00150354 +10 *2829:9 0.000941922 +11 *2829:8 0.000750765 +12 *2829:6 0.00262192 +13 *2829:5 0.00262192 +14 *2829:6 *22743:A 0 +15 *2829:6 *22745:A 0 +16 *2829:6 *2995:50 1.36007e-05 +17 *2829:6 *3101:20 0 +18 *2829:6 *3103:36 0 +19 *2829:6 *3135:17 4.09154e-05 +20 *2829:6 *3394:30 0.000195709 +21 *2829:6 *3394:34 0.000593628 +22 *2829:9 *2865:24 0.000261032 +23 *2829:9 *2918:25 0.00574615 +24 *2829:9 *3097:33 0.00184632 +25 *2829:20 *3083:24 1.30304e-05 +26 *2829:20 *3129:17 0.000499615 +27 *2829:20 *3378:23 9.84424e-06 +28 *2829:21 *3766:DIODE 1.17394e-05 +29 *2829:21 *2843:43 1.37563e-05 +30 *2829:21 *2918:31 0.000618197 +31 *2829:21 *3138:47 0.00012601 +32 *2829:21 *3378:5 0.00536795 +33 *2829:21 *3378:23 0.0002351 +34 *2829:26 *3387:10 0.000147884 +35 *2829:27 *2838:42 0.00235889 +36 *2829:27 *3103:7 0.00145307 +37 *2829:27 *3103:9 0.00240209 +38 *2829:27 *3368:5 0.000172251 +39 *2829:30 *2838:6 0 +40 la_oenb_core[19] *2829:6 7.21753e-05 +41 *3661:DIODE *2829:9 0.000246176 +42 *4173:DIODE *2829:6 0 +43 *4560:DIODE *2829:21 0.00031994 +44 *21444:A *2829:30 0.000256894 +45 *23495:A *2829:27 0.000116764 +46 *23495:TE *2829:27 0.000228593 +47 *1277:11 *2829:6 9.74605e-05 +48 *1277:11 *2829:9 0.000168118 +49 *1380:7 *2829:21 0.00347346 +50 *1380:22 *2829:21 3.07561e-05 +51 *1380:25 *2829:9 0.000568539 +52 *1404:10 *2829:6 1.24189e-05 +53 *1463:15 *2829:27 0.00162309 +54 *1463:17 *2829:27 9.54357e-06 +55 *1502:8 *6072:DIODE 0 +56 *1502:8 *2829:30 0 +57 *1903:15 *2829:20 1.2639e-05 +58 *2009:48 *2829:27 6.50586e-05 +59 *2129:47 *2829:9 0.00117752 +60 *2373:8 *2829:30 0.00021909 +61 *2392:6 *2829:26 0.000147884 +62 *2394:26 *2829:20 0.00048987 +63 *2470:61 *2829:21 0.00017754 +64 *2470:61 *2829:27 0.000412718 +65 *2483:12 *2829:6 0 +66 *2554:6 *6072:DIODE 0.000138988 +67 *2554:6 *2829:30 0.00182808 +68 *2587:31 *2829:9 0.000504318 +69 *2651:8 *2829:20 7.57527e-05 +70 *2818:19 *2829:21 0.0118209 +71 *2818:22 *2829:30 0.00238784 +*RES +1 *21974:X *2829:5 13.7491 +2 *2829:5 *2829:6 52.4928 +3 *2829:6 *2829:8 4.5 +4 *2829:8 *2829:9 62.839 +5 *2829:9 *2829:20 18.8176 +6 *2829:20 *2829:21 127.173 +7 *2829:21 *2829:26 12.0778 +8 *2829:26 *2829:27 73.3765 +9 *2829:27 *2829:29 4.5 +10 *2829:29 *2829:30 50.0013 +11 *2829:30 *6072:DIODE 16.4116 +12 *2829:30 *23383:A 13.7491 +*END + +*D_NET *2830 0.0224982 +*CONN +*I *3755:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21565:A I *D sky130_fd_sc_hd__inv_2 +*I *4754:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22404:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21975:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3755:DIODE 8.83334e-05 +2 *21565:A 0 +3 *4754:DIODE 0 +4 *22404:A_N 0 +5 *21975:X 0.000529007 +6 *2830:41 0.000323857 +7 *2830:38 0.00258381 +8 *2830:33 0.00296223 +9 *2830:25 0.00067931 +10 *2830:19 0.000107519 +11 *2830:16 0.00458601 +12 *2830:15 0.00507287 +13 *3755:DIODE *3200:33 0.000252649 +14 *2830:16 *22867:A 0.000200236 +15 *2830:16 *2831:6 0 +16 *2830:19 *3059:19 0.00011818 +17 *2830:25 *3059:19 0.000228593 +18 *2830:33 *2832:23 6.50727e-05 +19 *2830:33 *3059:19 0.000693671 +20 *2830:38 *2832:23 0.000158514 +21 *2830:41 *3200:33 0.00085524 +22 la_data_in_mprj[14] *2830:15 0.000126878 +23 la_data_in_mprj[15] *2830:16 5.04264e-05 +24 *3629:DIODE *2830:33 0.000489932 +25 *3880:DIODE *2830:16 5.75768e-05 +26 *4022:DIODE *2830:16 0 +27 *4496:DIODE *2830:38 0.00042812 +28 *5805:DIODE *2830:16 0 +29 *21691:A *2830:15 7.40952e-05 +30 *22360:TE *2830:16 0 +31 *422:7 *2830:16 3.31882e-05 +32 *548:16 *2830:15 4.87301e-05 +33 *1239:19 *2830:38 1.92336e-05 +34 *1430:11 *2830:33 0.000206418 +35 *1430:11 *2830:38 0.000150716 +36 *1430:13 *2830:38 0.000684709 +37 *1624:9 *2830:16 3.00073e-05 +38 *2002:21 *2830:38 0.000593028 +39 *2376:16 *2830:38 0 +*RES +1 *21975:X *2830:15 26.3603 +2 *2830:15 *2830:16 106.476 +3 *2830:16 *2830:19 5.778 +4 *2830:19 *22404:A_N 9.24915 +5 *2830:19 *2830:25 2.38721 +6 *2830:25 *4754:DIODE 9.24915 +7 *2830:25 *2830:33 19.0494 +8 *2830:33 *2830:38 48.2186 +9 *2830:38 *2830:41 13.5424 +10 *2830:41 *21565:A 9.24915 +11 *2830:41 *3755:DIODE 12.191 +*END + +*D_NET *2831 0.0177263 +*CONN +*I *3756:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21566:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22405:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4756:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21976:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3756:DIODE 4.27467e-05 +2 *21566:A 0 +3 *22405:A_N 0 +4 *4756:DIODE 0.000153496 +5 *21976:X 0 +6 *2831:27 0.000230741 +7 *2831:26 0.00143113 +8 *2831:9 0.00237743 +9 *2831:8 0.00346707 +10 *2831:6 0.00254134 +11 *2831:5 0.00254134 +12 *2831:26 *2834:6 4.77809e-05 +13 la_data_in_mprj[15] *2831:6 7.86847e-05 +14 *4164:DIODE *2831:6 0.000271793 +15 *5804:DIODE *2831:6 6.27691e-05 +16 *5813:DIODE *2831:26 6.50586e-05 +17 *21438:A *2831:9 0.000217937 +18 *23253:A *2831:26 2.1203e-06 +19 *1241:8 *2831:9 6.2314e-05 +20 *1628:9 *2831:27 3.61993e-05 +21 *1629:10 *2831:26 8.86849e-05 +22 *1894:7 *2831:26 0.000157517 +23 *1916:32 *3756:DIODE 0.000309968 +24 *1916:32 *2831:27 0.00107787 +25 *2157:32 *3756:DIODE 0.00013978 +26 *2157:32 *2831:27 0.000386872 +27 *2157:35 *2831:26 3.82181e-05 +28 *2687:12 *2831:6 0.00157054 +29 *2795:36 *2831:26 0.000326903 +30 *2828:16 *2831:26 0 +31 *2830:16 *2831:6 0 +*RES +1 *21976:X *2831:5 13.7491 +2 *2831:5 *2831:6 75.3316 +3 *2831:6 *2831:8 4.5 +4 *2831:8 *2831:9 51.7469 +5 *2831:9 *4756:DIODE 12.7456 +6 *2831:9 *22405:A_N 9.24915 +7 *2831:8 *2831:26 40.9466 +8 *2831:26 *2831:27 11.8155 +9 *2831:27 *21566:A 9.24915 +10 *2831:27 *3756:DIODE 12.7456 +*END + +*D_NET *2832 0.0259086 +*CONN +*I *22406:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3757:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21567:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4758:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21977:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *22406:A_N 0 +2 *3757:DIODE 5.552e-05 +3 *21567:A 0 +4 *4758:DIODE 0 +5 *21977:X 0.000752282 +6 *2832:31 7.61524e-05 +7 *2832:29 0.00308593 +8 *2832:28 0.00368551 +9 *2832:23 0.000681803 +10 *2832:14 6.15901e-05 +11 *2832:12 0.0036255 +12 *2832:10 0.00437778 +13 *3757:DIODE *3094:5 0.000328363 +14 *2832:10 *22869:A 0.000185656 +15 *2832:10 *2834:6 0 +16 *2832:10 *3241:8 2.29056e-05 +17 *2832:12 *2834:6 0 +18 *2832:12 *2834:15 0 +19 *2832:12 *2834:24 0 +20 *2832:12 *3241:8 0.000800471 +21 *2832:29 *3094:5 0.002582 +22 la_data_in_mprj[16] *2832:10 0.000164843 +23 la_data_in_mprj[17] *2832:10 0.000113968 +24 *3636:DIODE *2832:29 0.000114594 +25 *3882:DIODE *2832:10 0.000171288 +26 *4759:DIODE *2832:28 1.96722e-05 +27 *21835:A *2832:10 6.23875e-05 +28 *22275:TE *2832:12 0 +29 *22275:TE *2832:28 2.77564e-05 +30 *22408:B *2832:12 0.000166077 +31 *23322:A *2832:12 0.000231941 +32 *552:8 *2832:10 7.72722e-05 +33 *1242:11 *2832:28 0 +34 *1250:15 *2832:29 0.00255156 +35 *1370:7 *3757:DIODE 0.000175485 +36 *1430:11 *2832:23 0.000290275 +37 *1629:10 *2832:12 0.000209297 +38 *1751:6 *2832:12 0 +39 *1754:9 *2832:28 0 +40 *2333:17 *2832:12 3.93117e-06 +41 *2333:17 *2832:28 9.84756e-06 +42 *2336:17 *2832:12 1.5714e-05 +43 *2369:8 *2832:12 0.000885051 +44 *2369:8 *2832:28 0 +45 *2690:7 *2832:10 7.25374e-05 +46 *2828:33 *2832:28 0 +47 *2830:33 *2832:23 6.50727e-05 +48 *2830:38 *2832:23 0.000158514 +*RES +1 *21977:X *2832:10 34.537 +2 *2832:10 *2832:12 97.7186 +3 *2832:12 *2832:14 4.5 +4 *2832:14 *4758:DIODE 9.24915 +5 *2832:14 *2832:23 4.24392 +6 *2832:23 *2832:28 22.4591 +7 *2832:28 *2832:29 90.5692 +8 *2832:29 *2832:31 0.578717 +9 *2832:31 *21567:A 9.24915 +10 *2832:31 *3757:DIODE 12.7456 +11 *2832:23 *22406:A_N 9.24915 +*END + +*D_NET *2833 0.0168083 +*CONN +*I *21568:A I *D sky130_fd_sc_hd__inv_2 +*I *3758:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4760:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22407:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21978:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21568:A 9.26623e-05 +2 *3758:DIODE 4.18856e-05 +3 *4760:DIODE 0.000132143 +4 *22407:A_N 0 +5 *21978:X 0.000329603 +6 *2833:29 0.000524407 +7 *2833:18 0.000132143 +8 *2833:16 0.00328939 +9 *2833:14 0.00304462 +10 *2833:11 0.000474691 +11 *4760:DIODE *2835:28 0.000426168 +12 *21568:A *2836:8 5.88052e-06 +13 *2833:11 *2961:49 0.00208735 +14 *2833:16 *22873:A 0 +15 *2833:16 *2836:8 0.000281629 +16 *2833:16 *3245:8 0 +17 *2833:29 *2836:8 0.000114594 +18 la_data_in_mprj[17] *2833:11 3.41725e-05 +19 *3885:DIODE *2833:14 0.000118203 +20 *3885:DIODE *2833:16 1.94327e-05 +21 *4169:DIODE *2833:14 2.39005e-05 +22 *4169:DIODE *2833:16 0.00011292 +23 *4761:DIODE *2833:16 0 +24 *424:5 *2833:11 0.000143047 +25 *554:5 *2833:14 3.94365e-05 +26 *810:7 *2833:14 0.000199351 +27 *1369:8 *2833:16 0.000115746 +28 *1371:7 *21568:A 0.000167076 +29 *1452:8 *21568:A 6.16595e-06 +30 *1452:8 *2833:29 5.32618e-05 +31 *1755:9 *2833:29 0 +32 *2158:37 *2833:16 1.9101e-05 +33 *2318:82 *3758:DIODE 6.50727e-05 +34 *2318:82 *21568:A 0.000213725 +35 *2324:52 *21568:A 7.92757e-06 +36 *2335:47 *2833:29 0 +37 *2373:8 *2833:16 0 +38 *2676:11 *2833:11 0.000863825 +39 *2693:6 *2833:16 0.00362876 +*RES +1 *21978:X *2833:11 47.21 +2 *2833:11 *2833:14 5.67918 +3 *2833:14 *2833:16 88.4121 +4 *2833:16 *2833:18 4.5 +5 *2833:18 *22407:A_N 9.24915 +6 *2833:18 *4760:DIODE 13.8548 +7 *2833:16 *2833:29 9.30653 +8 *2833:29 *3758:DIODE 14.4725 +9 *2833:29 *21568:A 17.1378 +*END + +*D_NET *2834 0.0281254 +*CONN +*I *3759:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21569:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4762:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22408:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21979:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3759:DIODE 0.000252541 +2 *21569:A 0 +3 *4762:DIODE 0 +4 *22408:A_N 6.39893e-05 +5 *21979:X 0 +6 *2834:31 0.000569632 +7 *2834:25 0.00423822 +8 *2834:24 0.00433302 +9 *2834:15 0.000478191 +10 *2834:6 0.00394836 +11 *2834:5 0.00381807 +12 *3759:DIODE *2844:28 2.86353e-06 +13 *2834:6 *22870:A 0 +14 *2834:25 *3138:31 0.00251308 +15 *2834:25 *3188:7 0.00374193 +16 *2834:25 *3188:20 1.41689e-05 +17 la_data_in_mprj[18] *2834:6 1.07248e-05 +18 *4167:DIODE *2834:6 6.81008e-05 +19 *23253:A *2834:6 0 +20 *23450:A *2834:6 0.000163982 +21 *425:10 *2834:6 8.92568e-06 +22 *552:8 *2834:6 0 +23 *553:5 *2834:6 0 +24 *808:8 *2834:6 0 +25 *1253:8 *2834:31 3.23571e-05 +26 *1371:11 *2834:31 0.000324166 +27 *1371:17 *3759:DIODE 0.000160018 +28 *1371:17 *2834:31 0.000679181 +29 *1441:9 *22408:A_N 0.000224381 +30 *1629:10 *2834:6 0 +31 *1751:6 *2834:24 0.000297594 +32 *2009:45 *2834:31 0.000103047 +33 *2157:35 *2834:6 0 +34 *2324:48 *3759:DIODE 0.000118166 +35 *2324:48 *2834:31 0.00187872 +36 *2370:6 *3759:DIODE 3.42271e-05 +37 *2691:8 *2834:6 0 +38 *2828:16 *2834:6 0 +39 *2828:16 *2834:15 0 +40 *2828:18 *2834:15 0 +41 *2828:18 *2834:24 0 +42 *2831:26 *2834:6 4.77809e-05 +43 *2832:10 *2834:6 0 +44 *2832:12 *2834:6 0 +45 *2832:12 *2834:15 0 +46 *2832:12 *2834:24 0 +*RES +1 *21979:X *2834:5 13.7491 +2 *2834:5 *2834:6 87.3739 +3 *2834:6 *22408:A_N 16.1364 +4 *2834:6 *2834:15 1.41674 +5 *2834:15 *4762:DIODE 13.7491 +6 *2834:15 *2834:24 14.637 +7 *2834:24 *2834:25 68.9396 +8 *2834:25 *2834:31 31.3818 +9 *2834:31 *21569:A 9.24915 +10 *2834:31 *3759:DIODE 23.2722 +*END + +*D_NET *2835 0.0145429 +*CONN +*I *3760:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21570:A I *D sky130_fd_sc_hd__inv_2 +*I *4764:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22409:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21980:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3760:DIODE 2.97872e-05 +2 *21570:A 0 +3 *4764:DIODE 0 +4 *22409:A_N 0 +5 *21980:X 0 +6 *2835:28 0.00070659 +7 *2835:15 0.000720952 +8 *2835:9 0.000157011 +9 *2835:6 0.00276217 +10 *2835:5 0.00264931 +11 *2835:6 *22870:A 0 +12 *2835:6 *3242:8 0 +13 *2835:28 *2838:6 0 +14 la_data_in_mprj[19] *2835:6 0 +15 *4168:DIODE *2835:6 0.000276874 +16 *4760:DIODE *2835:28 0.000426168 +17 *6070:DIODE *2835:6 3.27384e-05 +18 *21442:A *2835:6 1.77439e-05 +19 *22409:B *2835:9 0.000164815 +20 *22409:B *2835:15 4.66492e-05 +21 *1245:8 *2835:6 3.27635e-05 +22 *1371:7 *3760:DIODE 0.000271058 +23 *1373:14 *2835:28 0.000114074 +24 *1500:11 *2835:6 0.000197042 +25 *1905:48 *2835:9 0.000183129 +26 *1905:48 *2835:15 6.75302e-05 +27 *1905:48 *2835:28 0.00102249 +28 *2318:82 *3760:DIODE 0.000271058 +29 *2550:6 *2835:6 0 +30 *2692:8 *2835:6 0.00367693 +31 *2807:12 *2835:6 0 +32 *2818:22 *2835:28 0.000119215 +33 *2818:28 *2835:6 3.89332e-06 +34 *2828:16 *2835:6 0.000592892 +35 *2828:18 *2835:6 0 +*RES +1 *21980:X *2835:5 13.7491 +2 *2835:5 *2835:6 88.6197 +3 *2835:6 *2835:9 9.10562 +4 *2835:9 *22409:A_N 9.24915 +5 *2835:9 *2835:15 1.8326 +6 *2835:15 *4764:DIODE 9.24915 +7 *2835:15 *2835:28 30.5614 +8 *2835:28 *21570:A 9.24915 +9 *2835:28 *3760:DIODE 12.191 +*END + +*D_NET *2836 0.0452247 +*CONN +*I *22410:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3761:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21571:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4766:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21981:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22410:A_N 3.28063e-05 +2 *3761:DIODE 6.81063e-05 +3 *21571:A 0 +4 *4766:DIODE 0 +5 *21981:X 0.000253925 +6 *2836:46 6.81063e-05 +7 *2836:44 0.000772159 +8 *2836:39 0.00147948 +9 *2836:23 0.0075148 +10 *2836:22 0.00687417 +11 *2836:17 0.000347791 +12 *2836:11 0.000290175 +13 *2836:8 0.0033131 +14 *2836:7 0.00352514 +15 *3761:DIODE *2838:54 0.000217937 +16 *2836:8 *3097:10 5.53789e-05 +17 *2836:8 *3342:10 0.000362216 +18 *2836:11 *3097:10 6.50727e-05 +19 *2836:17 *3097:10 6.50586e-05 +20 *2836:23 *3083:13 0.00117986 +21 *2836:23 *3083:15 0.00376822 +22 *2836:23 *3097:13 0.000709063 +23 *2836:23 *3097:15 0.00064537 +24 *2836:39 *2840:8 3.22719e-06 +25 *2836:39 *3103:21 0.000389385 +26 *2836:39 *3368:11 9.68627e-06 +27 *2836:39 *3368:13 4.70024e-05 +28 *2836:39 *3411:14 0.000280939 +29 *2836:44 *2841:30 0.00284471 +30 *2836:44 *3071:17 0 +31 *2836:44 *3103:21 0.00135923 +32 *2836:44 *3164:16 5.92342e-05 +33 *2836:44 *3200:50 7.09666e-06 +34 *2836:44 *3368:13 0.00012083 +35 *2836:44 *3450:6 0 +36 *3885:DIODE *2836:8 7.50872e-05 +37 *4026:DIODE *2836:8 0.000127194 +38 *4767:DIODE *2836:22 0 +39 *21568:A *2836:8 5.88052e-06 +40 *23534:A *2836:39 6.87503e-05 +41 *23600:A *2836:39 0.000122378 +42 *23600:TE *2836:44 0.00021217 +43 *426:12 *2836:7 9.18559e-06 +44 *554:5 *2836:8 0.000153225 +45 *1347:24 *2836:39 5.33819e-05 +46 *1369:8 *2836:8 4.84392e-05 +47 *1374:8 *2836:44 3.31733e-05 +48 *1452:8 *2836:8 0 +49 *1463:27 *2836:39 0.000419296 +50 *1760:12 *22410:A_N 1.09551e-05 +51 *1760:12 *2836:17 7.23987e-05 +52 *2339:8 *2836:23 0.000283233 +53 *2361:10 *2836:39 6.36477e-05 +54 *2372:42 *2836:8 0.00442236 +55 *2373:8 *2836:22 1.47102e-05 +56 *2380:14 *2836:44 0.000221208 +57 *2470:61 *2836:44 0.000115848 +58 *2552:10 *2836:8 0 +59 *2693:6 *2836:8 0.00141207 +60 *2806:14 *2836:39 0.000154563 +61 *2833:16 *2836:8 0.000281629 +62 *2833:29 *2836:8 0.000114594 +*RES +1 *21981:X *2836:7 17.2456 +2 *2836:7 *2836:8 114.365 +3 *2836:8 *2836:11 5.2234 +4 *2836:11 *4766:DIODE 9.24915 +5 *2836:11 *2836:17 4.05102 +6 *2836:17 *2836:22 10.4167 +7 *2836:22 *2836:23 116.081 +8 *2836:23 *2836:39 33.3343 +9 *2836:39 *2836:44 47.1178 +10 *2836:44 *2836:46 4.5 +11 *2836:46 *21571:A 9.24915 +12 *2836:46 *3761:DIODE 11.6364 +13 *2836:17 *22410:A_N 10.2378 +*END + +*D_NET *2837 0.0166575 +*CONN +*I *3743:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21553:A I *D sky130_fd_sc_hd__inv_2 +*I *4768:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22411:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21982:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3743:DIODE 0.000105911 +2 *21553:A 0 +3 *4768:DIODE 0 +4 *22411:A_N 0 +5 *21982:X 0.000676111 +6 *2837:36 0.000684019 +7 *2837:26 0.000731557 +8 *2837:18 0.000153449 +9 *2837:16 0.0020095 +10 *2837:14 0.00301866 +11 *2837:11 0.00168527 +12 *2837:11 *2861:11 0.000517234 +13 *2837:14 *2849:12 7.12595e-05 +14 la_data_in_mprj[2] *2837:11 0.000101148 +15 *21427:A *2837:16 4.80148e-05 +16 *22411:B *2837:16 6.26227e-05 +17 *23256:A *2837:14 2.22342e-05 +18 *588:20 *2837:14 0.000139598 +19 *811:7 *2837:11 3.01634e-05 +20 *844:11 *2837:14 0 +21 *1375:10 *3743:DIODE 3.14978e-05 +22 *1375:10 *2837:36 7.34948e-06 +23 *1720:8 *2837:26 3.98472e-05 +24 *1720:8 *2837:36 2.47663e-05 +25 *2317:13 *2837:26 3.98472e-05 +26 *2317:13 *2837:36 0.000333417 +27 *2387:8 *2837:16 0.00134297 +28 *2387:8 *2837:36 0.000198191 +29 *2565:11 *2837:11 0.00138781 +30 *2565:12 *2837:14 0.00211966 +31 *2565:12 *2837:16 0.000853946 +32 *2577:12 *2837:16 0 +33 *2590:15 *2837:14 0 +34 *2708:13 *2837:11 0.000221479 +35 *2720:8 *2837:14 0 +36 *2793:14 *2837:14 0 +37 *2793:14 *2837:16 0 +*RES +1 *21982:X *2837:11 47.4887 +2 *2837:11 *2837:14 37.9956 +3 *2837:14 *2837:16 69.1151 +4 *2837:16 *2837:18 4.5 +5 *2837:18 *22411:A_N 9.24915 +6 *2837:18 *2837:26 8.30395 +7 *2837:26 *4768:DIODE 13.7491 +8 *2837:26 *2837:36 22.8434 +9 *2837:36 *21553:A 9.24915 +10 *2837:36 *3743:DIODE 12.191 +*END + +*D_NET *2838 0.0429241 +*CONN +*I *3762:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21572:A I *D sky130_fd_sc_hd__inv_2 +*I *4770:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22412:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21983:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3762:DIODE 7.76816e-05 +2 *21572:A 0 +3 *4770:DIODE 7.38146e-05 +4 *22412:A_N 5.64432e-05 +5 *21983:X 0 +6 *2838:54 0.00058835 +7 *2838:48 0.0010767 +8 *2838:47 0.000845079 +9 *2838:42 0.00110255 +10 *2838:41 0.0022038 +11 *2838:30 0.00205649 +12 *2838:8 0.000130258 +13 *2838:6 0.00466158 +14 *2838:5 0.00398537 +15 *3762:DIODE *2862:17 0.000120742 +16 *4770:DIODE *3200:33 4.56831e-05 +17 *22412:A_N *3200:17 2.29272e-05 +18 *22412:A_N *3200:33 1.41976e-05 +19 *2838:6 *2839:8 0.00204416 +20 *2838:6 *2839:34 0.000119209 +21 *2838:30 *3435:8 0.000127179 +22 *2838:41 *3387:7 0.00044386 +23 *2838:42 *3103:9 0.000310437 +24 *2838:42 *3368:5 0.0054771 +25 *2838:47 *2929:24 0.000345154 +26 *2838:48 *2862:17 1.41853e-05 +27 *2838:48 *2929:21 0.000108607 +28 *2838:48 *3126:21 0.00261859 +29 *2838:48 *3164:13 0.000946311 +30 *2838:54 *3764:DIODE 1.43983e-05 +31 *2838:54 *2862:17 0.00181691 +32 *2838:54 *3164:13 1.00846e-05 +33 la_data_in_mprj[21] *2838:6 7.86847e-05 +34 *3761:DIODE *2838:54 0.000217937 +35 *4171:DIODE *2838:6 0.000271778 +36 *6331:DIODE *2838:41 1.19856e-05 +37 *6419:DIODE *2838:30 2.61147e-05 +38 *22412:B *22412:A_N 1.72144e-05 +39 *22412:B *2838:6 0.0001403 +40 *23512:A *2838:41 2.38044e-05 +41 *23512:TE *2838:41 0.000122378 +42 *23556:TE *2838:30 6.50727e-05 +43 *1373:14 *2838:6 2.2224e-05 +44 *1375:15 *2838:30 0.00102821 +45 *1375:15 *2838:41 0.000554699 +46 *1502:8 *2838:6 0 +47 *2009:45 *2838:41 0.000148951 +48 *2339:11 *2838:6 1.91391e-05 +49 *2374:40 *2838:6 0 +50 *2374:40 *2838:30 0 +51 *2380:15 *2838:48 0.00473797 +52 *2470:61 *2838:42 0.000624932 +53 *2697:8 *2838:6 0 +54 *2697:10 *2838:6 0.000973669 +55 *2818:19 *2838:41 2.22932e-05 +56 *2818:22 *2838:6 0 +57 *2818:22 *2838:30 0 +58 *2829:27 *2838:42 0.00235889 +59 *2829:30 *2838:6 0 +60 *2835:28 *2838:6 0 +*RES +1 *21983:X *2838:5 13.7491 +2 *2838:5 *2838:6 114.365 +3 *2838:6 *2838:8 4.5 +4 *2838:8 *22412:A_N 11.13 +5 *2838:8 *4770:DIODE 10.5271 +6 *2838:6 *2838:30 24.533 +7 *2838:30 *2838:41 46.4029 +8 *2838:41 *2838:42 57.8476 +9 *2838:42 *2838:47 15.815 +10 *2838:47 *2838:48 51.7469 +11 *2838:48 *2838:54 20.7374 +12 *2838:54 *21572:A 9.24915 +13 *2838:54 *3762:DIODE 12.191 +*END + +*D_NET *2839 0.0295608 +*CONN +*I *3763:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21573:A I *D sky130_fd_sc_hd__inv_2 +*I *22413:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4772:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21984:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3763:DIODE 3.52047e-05 +2 *21573:A 0 +3 *22413:A_N 3.8149e-05 +4 *4772:DIODE 4.99032e-05 +5 *21984:X 0.0005764 +6 *2839:52 0.000590625 +7 *2839:36 0.00252945 +8 *2839:34 0.00295273 +9 *2839:11 0.000129429 +10 *2839:8 0.00316645 +11 *2839:7 0.00272277 +12 *3763:DIODE *3380:20 0.000111722 +13 *2839:8 *22874:A 0.000255925 +14 *2839:8 *3246:6 0.00413248 +15 la_data_in_mprj[21] *2839:8 0.000205302 +16 *3644:DIODE *2839:52 6.73186e-05 +17 *3888:DIODE *2839:8 4.10689e-05 +18 *4520:DIODE *2839:36 0.000354801 +19 *4998:DIODE *2839:34 7.05107e-05 +20 *21700:A *2839:7 2.65831e-05 +21 *22287:TE *2839:36 0.000164829 +22 *22528:TE *2839:34 0.000106165 +23 *22528:TE *2839:36 0.000220183 +24 *429:5 *2839:8 1.82832e-05 +25 *813:8 *2839:7 9.40969e-05 +26 *1169:89 *2839:36 2.41274e-06 +27 *1169:89 *2839:52 9.9411e-05 +28 *1169:99 *2839:34 0.000220035 +29 *1169:99 *2839:36 0.00134843 +30 *1251:11 *2839:36 0.000645333 +31 *1255:9 *2839:36 1.71154e-05 +32 *1256:13 *2839:52 0.000408877 +33 *1256:15 *3763:DIODE 0.000268798 +34 *1256:15 *2839:52 4.09471e-05 +35 *1377:8 *3763:DIODE 6.92705e-05 +36 *1771:8 *2839:52 0.000157541 +37 *1905:48 *4772:DIODE 9.19886e-06 +38 *1905:48 *2839:11 9.18559e-06 +39 *1927:40 *2839:36 0.00435917 +40 *2020:23 *2839:52 0.000160966 +41 *2318:54 *3763:DIODE 3.09677e-05 +42 *2318:54 *2839:52 0.000510776 +43 *2320:36 *2839:36 0.000223288 +44 *2374:40 *2839:8 8.16718e-05 +45 *2374:40 *2839:34 7.36391e-05 +46 *2555:6 *2839:8 0 +47 *2838:6 *2839:8 0.00204416 +48 *2838:6 *2839:34 0.000119209 +*RES +1 *21984:X *2839:7 22.237 +2 *2839:7 *2839:8 89.8655 +3 *2839:8 *2839:11 5.2234 +4 *2839:11 *4772:DIODE 9.97254 +5 *2839:11 *22413:A_N 10.2378 +6 *2839:8 *2839:34 24.4407 +7 *2839:34 *2839:36 81.6955 +8 *2839:36 *2839:52 26.9368 +9 *2839:52 *21573:A 9.24915 +10 *2839:52 *3763:DIODE 12.191 +*END + +*D_NET *2840 0.053123 +*CONN +*I *23384:A I *D sky130_fd_sc_hd__nand2_1 +*I *6074:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21985:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23384:A 0 +2 *6074:DIODE 0.000255914 +3 *21985:X 9.54946e-05 +4 *2840:14 0.000628757 +5 *2840:11 0.00672817 +6 *2840:10 0.00635533 +7 *2840:8 0.00503123 +8 *2840:7 0.00512673 +9 *6074:DIODE *2886:6 8.68133e-05 +10 *6074:DIODE *2937:18 0.000310094 +11 *2840:7 *3000:8 0.000185726 +12 *2840:7 *3001:29 0.000510789 +13 *2840:8 *22744:A 0.000176721 +14 *2840:8 *22961:A 0.000678718 +15 *2840:8 *2989:48 0.000177845 +16 *2840:8 *3006:50 0.00044566 +17 *2840:8 *3006:61 0.000239566 +18 *2840:8 *3084:24 0.000203603 +19 *2840:8 *3102:18 0.0012047 +20 *2840:8 *3343:14 0.00049615 +21 *2840:8 *3411:14 0 +22 *2840:8 *3411:21 0 +23 *2840:14 *2886:6 0.000784298 +24 *2840:14 *2937:18 0.00166449 +25 la_data_in_core[1] *2840:8 4.15661e-05 +26 *6075:DIODE *6074:DIODE 0.000113374 +27 *22291:A *2840:8 1.06618e-05 +28 *23266:A *2840:8 1.18431e-05 +29 *23269:B *2840:8 2.34394e-05 +30 *23506:TE *2840:8 5.26029e-05 +31 *299:12 *2840:7 6.36477e-05 +32 *1255:12 *2840:8 0.000135755 +33 *1347:24 *2840:8 3.01155e-05 +34 *1463:27 *2840:8 0 +35 *1512:9 *2840:8 2.2151e-05 +36 *1516:10 *2840:8 4.00463e-05 +37 *1646:8 *2840:8 2.80587e-05 +38 *1999:8 *2840:11 0.00176342 +39 *2000:22 *2840:8 3.81119e-05 +40 *2000:23 *2840:8 0.00255846 +41 *2001:22 *2840:11 0.00337367 +42 *2009:42 *2840:11 0.00646987 +43 *2139:14 *6074:DIODE 0.000215704 +44 *2173:55 *2840:8 7.13655e-06 +45 *2368:35 *2840:11 0.00253 +46 *2569:8 *2840:8 0 +47 *2712:8 *2840:8 0 +48 *2806:11 *2840:8 0 +49 *2806:16 *2840:8 0 +50 *2828:11 *2840:11 0.00420332 +51 *2836:39 *2840:8 3.22719e-06 +*RES +1 *21985:X *2840:7 19.464 +2 *2840:7 *2840:8 129.314 +3 *2840:8 *2840:10 4.5 +4 *2840:10 *2840:11 240.312 +5 *2840:11 *2840:14 31.6624 +6 *2840:14 *6074:DIODE 21.7056 +7 *2840:14 *23384:A 13.7491 +*END + +*D_NET *2841 0.0376199 +*CONN +*I *22414:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4774:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21574:A I *D sky130_fd_sc_hd__inv_2 +*I *3764:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21986:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22414:A_N 0 +2 *4774:DIODE 0 +3 *21574:A 0 +4 *3764:DIODE 0.000146528 +5 *21986:X 0 +6 *2841:33 0.000249112 +7 *2841:30 0.000589858 +8 *2841:26 0.000751847 +9 *2841:17 0.000315364 +10 *2841:14 5.07906e-05 +11 *2841:12 0.00560163 +12 *2841:10 0.00581848 +13 *2841:5 0.00430734 +14 *2841:4 0.00409049 +15 *3764:DIODE *3188:44 3.36844e-05 +16 *2841:5 *22877:A 2.57847e-05 +17 *2841:5 *22884:A 2.15348e-05 +18 *2841:5 *2846:10 5.02101e-05 +19 *2841:5 *2848:11 0.000160613 +20 *2841:5 *2848:21 3.16469e-05 +21 *2841:5 *2850:13 0.000113968 +22 *2841:10 *2846:19 0.000148121 +23 *2841:10 *2848:21 0.000454458 +24 *2841:12 *6094:DIODE 0 +25 *2841:12 *2846:19 0.000367848 +26 *2841:12 *2848:21 4.51808e-05 +27 *2841:12 *2951:32 0 +28 *2841:12 *2962:8 0 +29 *2841:17 *2843:43 0.000166951 +30 *2841:17 *3378:5 6.75453e-05 +31 *2841:26 *2951:32 0 +32 *2841:26 *2962:8 1.07442e-05 +33 *2841:30 *3176:30 0.000185458 +34 *2841:30 *3200:53 0.00073191 +35 *2841:30 *3368:13 0.00361974 +36 *2841:30 *3459:6 0.000181917 +37 *2841:33 *3101:9 0.000731896 +38 *2841:33 *3105:7 0.000317844 +39 la_data_in_mprj[24] *2841:5 4.75476e-05 +40 la_data_in_mprj[35] *2841:10 9.35979e-05 +41 *3893:DIODE *2841:5 6.50586e-05 +42 *3896:DIODE *2841:5 0.000271044 +43 *3899:DIODE *2841:5 6.50586e-05 +44 *3900:DIODE *2841:5 0.000113968 +45 *4175:DIODE *2841:5 2.57847e-05 +46 *4181:DIODE *2841:5 2.65667e-05 +47 *5802:DIODE *2841:12 0.000131039 +48 *21702:A *2841:5 2.65831e-05 +49 *21703:A *2841:5 2.82599e-05 +50 *21704:A *2841:5 2.65831e-05 +51 *21706:A *2841:5 5.8256e-05 +52 *21709:A *2841:5 4.65396e-05 +53 *21715:A *2841:5 2.65831e-05 +54 *21847:A *2841:5 6.73351e-05 +55 *21988:A *2841:5 2.65831e-05 +56 *22291:TE *2841:12 4.31921e-05 +57 *22414:B *2841:12 3.91526e-05 +58 *23507:A *3764:DIODE 7.09666e-06 +59 *23600:TE *2841:26 7.53055e-05 +60 *434:8 *2841:5 6.50727e-05 +61 *436:8 *2841:5 6.50727e-05 +62 *437:8 *2841:5 5.27412e-05 +63 *439:8 *2841:5 6.50727e-05 +64 *440:16 *2841:5 6.08467e-05 +65 *441:8 *2841:5 0.000513023 +66 *442:8 *2841:5 0.000525939 +67 *561:12 *2841:5 0.000103139 +68 *562:12 *2841:5 0.000134849 +69 *564:12 *2841:5 0.000108266 +70 *571:16 *2841:5 8.31378e-05 +71 *815:8 *2841:5 6.53312e-05 +72 *821:10 *2841:5 5.56461e-05 +73 *1441:24 *2841:12 0.000131403 +74 *1515:11 *2841:12 0 +75 *1518:8 *2841:12 3.34802e-05 +76 *1643:13 *2841:12 4.08704e-05 +77 *1647:6 *2841:12 0 +78 *2007:17 *2841:12 0 +79 *2007:17 *2841:26 5.02341e-05 +80 *2012:22 *2841:12 0 +81 *2071:39 *2841:12 0 +82 *2167:57 *2841:12 2.2224e-05 +83 *2175:39 *2841:12 7.27864e-06 +84 *2341:45 *2841:12 2.33103e-06 +85 *2380:14 *2841:30 1.41689e-05 +86 *2470:61 *2841:30 0.00012601 +87 *2497:8 *3764:DIODE 3.92921e-05 +88 *2497:20 *2841:12 0.000659777 +89 *2556:7 *2841:5 8.14272e-05 +90 *2558:10 *2841:5 0.000166831 +91 *2566:20 *2841:5 0.000490329 +92 *2567:7 *2841:5 0.000160345 +93 *2570:9 *2841:5 3.91432e-05 +94 *2571:6 *2841:12 0 +95 *2702:7 *2841:5 3.99086e-06 +96 *2703:7 *2841:5 6.73022e-05 +97 *2705:7 *2841:5 2.65667e-05 +98 *2709:8 *2841:12 0 +99 *2836:44 *2841:30 0.00284471 +100 *2838:54 *3764:DIODE 1.43983e-05 +*RES +1 *21986:X *2841:4 9.24915 +2 *2841:4 *2841:5 102.216 +3 *2841:5 *2841:10 13.3302 +4 *2841:10 *2841:12 114.329 +5 *2841:12 *2841:14 4.5 +6 *2841:14 *2841:17 2.41132 +7 *2841:17 *2841:26 15.3753 +8 *2841:26 *2841:30 47.3993 +9 *2841:30 *2841:33 12.4332 +10 *2841:33 *3764:DIODE 21.2198 +11 *2841:33 *21574:A 9.24915 +12 *2841:17 *4774:DIODE 9.24915 +13 *2841:14 *22414:A_N 9.24915 +*END + +*D_NET *2842 0.02864 +*CONN +*I *3765:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21575:A I *D sky130_fd_sc_hd__inv_2 +*I *4776:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22415:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21987:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3765:DIODE 0.000364888 +2 *21575:A 6.00063e-05 +3 *4776:DIODE 8.19165e-05 +4 *22415:A_N 0 +5 *21987:X 0.000151488 +6 *2842:44 0.000919936 +7 *2842:40 0.00219485 +8 *2842:24 0.00205918 +9 *2842:12 0.0038201 +10 *2842:11 0.00369413 +11 *3765:DIODE *3113:15 0 +12 *3765:DIODE *3423:11 1.98996e-05 +13 *21575:A *3115:7 5.56461e-05 +14 *21575:A *3138:47 5.84021e-05 +15 *21575:A *3423:7 0.00011818 +16 *2842:11 *2961:43 0.000886754 +17 *2842:12 *22878:A 0.000186109 +18 *2842:12 *2873:22 0.000446446 +19 *2842:12 *3096:16 0.000102676 +20 *2842:24 *2873:22 0.00019699 +21 *2842:40 *2843:16 3.14544e-05 +22 *2842:40 *2843:29 3.88655e-06 +23 *2842:40 *2844:28 0 +24 *2842:44 *3093:5 0.00361414 +25 *2842:44 *3138:47 0.000129401 +26 la_data_in_mprj[24] *2842:11 9.52753e-05 +27 la_data_in_mprj[25] *2842:12 1.73605e-05 +28 *3892:DIODE *2842:12 0.000130361 +29 *4779:DIODE *2842:40 6.22259e-05 +30 *22295:TE *3765:DIODE 4.04218e-05 +31 *23239:A *2842:12 9.47726e-05 +32 *23514:TE *2842:44 0 +33 *432:5 *2842:11 3.77804e-05 +34 *433:5 *2842:12 0.000131969 +35 *816:5 *2842:12 0 +36 *1136:9 *2842:44 0.00331261 +37 *1379:20 *3765:DIODE 0.000207266 +38 *1408:15 *2842:40 0.00164269 +39 *1441:14 *4776:DIODE 0.00010901 +40 *1441:15 *2842:24 6.08467e-05 +41 *1452:40 *2842:44 1.05272e-06 +42 *1905:48 *2842:24 0.00016804 +43 *1997:35 *2842:12 0.000332091 +44 *1997:35 *2842:40 0 +45 *2020:23 *3765:DIODE 1.5714e-05 +46 *2020:23 *2842:44 0 +47 *2164:47 *2842:12 1.91391e-05 +48 *2331:12 *2842:44 0.000220514 +49 *2343:11 *2842:40 0.000117736 +50 *2374:36 *2842:12 0.000331022 +51 *2379:26 *2842:12 0.000478806 +52 *2379:26 *2842:24 7.64707e-05 +53 *2558:12 *4776:DIODE 0.000126245 +54 *2558:12 *2842:40 0.000621916 +55 *2559:10 *4776:DIODE 6.14756e-06 +56 *2559:10 *2842:12 0 +57 *2559:10 *2842:40 0.000107674 +58 *2664:9 *2842:11 0.000878344 +59 *2701:8 *2842:12 0 +*RES +1 *21987:X *2842:11 34.1782 +2 *2842:11 *2842:12 88.6197 +3 *2842:12 *22415:A_N 13.7491 +4 *2842:12 *2842:24 17.5139 +5 *2842:24 *4776:DIODE 16.4116 +6 *2842:24 *2842:40 49.1987 +7 *2842:40 *2842:44 45.8066 +8 *2842:44 *21575:A 16.2363 +9 *2842:44 *3765:DIODE 20.6042 +*END + +*D_NET *2843 0.0299076 +*CONN +*I *3766:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21576:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4778:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22416:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21988:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3766:DIODE 0.00019145 +2 *21576:A 5.48011e-05 +3 *4778:DIODE 0 +4 *22416:A_N 0.000194795 +5 *21988:X 0.000419655 +6 *2843:43 0.00381968 +7 *2843:41 0.0046564 +8 *2843:29 0.00122331 +9 *2843:16 0.00358907 +10 *2843:14 0.00363768 +11 *2843:11 0.000803394 +12 *22416:A_N *3098:20 0.000466373 +13 *22416:A_N *3107:6 5.39313e-05 +14 *22416:A_N *3110:12 0 +15 *2843:11 *2961:43 0.000987584 +16 *2843:11 *2966:15 0.000583595 +17 *2843:16 *2906:24 0.000378441 +18 *2843:29 *2906:24 6.26904e-05 +19 *2843:41 *2844:28 0 +20 *2843:41 *2906:24 0.000306628 +21 *2843:41 *3378:5 0.000161493 +22 *2843:41 *3387:7 0.000144932 +23 *2843:43 *3152:11 0.000186162 +24 *2843:43 *3378:5 0.00123071 +25 *2843:43 *3411:14 0.000217937 +26 la_data_in_mprj[25] *2843:11 0.000149194 +27 *4037:DIODE *2843:14 0.000144546 +28 *4179:DIODE *2843:14 0 +29 *4779:DIODE *2843:29 5.51293e-05 +30 *4779:DIODE *2843:41 9.69016e-05 +31 *4781:DIODE *2843:16 0 +32 *6087:DIODE *2843:16 0 +33 *6315:DIODE *2843:43 2.15348e-05 +34 *22416:B *22416:A_N 2.14842e-06 +35 *23504:A *2843:41 5.07314e-05 +36 *23504:TE *2843:41 4.17341e-05 +37 *23504:TE *2843:43 2.48809e-05 +38 *563:5 *2843:14 0.000390826 +39 *816:5 *2843:11 3.67977e-05 +40 *819:7 *2843:14 5.46762e-05 +41 *1367:18 *2843:41 0 +42 *1380:7 *3766:DIODE 3.86121e-05 +43 *1408:15 *22416:A_N 0.000197103 +44 *1927:39 *2843:16 0 +45 *2343:11 *2843:29 0.000121241 +46 *2561:6 *2843:14 0.000183492 +47 *2561:6 *2843:16 0.00469954 +48 *2703:8 *2843:14 0 +49 *2703:8 *2843:16 0 +50 *2829:21 *3766:DIODE 1.17394e-05 +51 *2829:21 *2843:43 1.37563e-05 +52 *2841:17 *2843:43 0.000166951 +53 *2842:40 *2843:16 3.14544e-05 +54 *2842:40 *2843:29 3.88655e-06 +*RES +1 *21988:X *2843:11 48.7344 +2 *2843:11 *2843:14 13.398 +3 *2843:14 *2843:16 94.8119 +4 *2843:16 *22416:A_N 30.5719 +5 *2843:16 *2843:29 3.90826 +6 *2843:29 *4778:DIODE 13.7491 +7 *2843:29 *2843:41 32.1522 +8 *2843:41 *2843:43 58.1249 +9 *2843:43 *21576:A 10.6477 +10 *2843:43 *3766:DIODE 12.191 +*END + +*D_NET *2844 0.0264557 +*CONN +*I *3767:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21577:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22417:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4780:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21989:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3767:DIODE 2.09015e-05 +2 *21577:A 0 +3 *22417:A_N 0 +4 *4780:DIODE 4.51847e-05 +5 *21989:X 0.000774557 +6 *2844:29 0.000645262 +7 *2844:28 0.001485 +8 *2844:19 0.0010496 +9 *2844:10 0.00411024 +10 *2844:9 0.00465066 +11 *3767:DIODE *3200:39 0.000205985 +12 *4780:DIODE *2845:34 2.65667e-05 +13 *2844:9 *22880:A 6.50727e-05 +14 *2844:9 *22881:A 0.000224395 +15 *2844:10 *22881:A 0 +16 *2844:29 *3108:9 0.000241472 +17 *2844:29 *3200:37 0.000241098 +18 *2844:29 *3200:39 0.00562986 +19 *2844:29 *3343:7 0.00137341 +20 *2844:29 *3399:7 0.000875963 +21 la_data_in_mprj[26] *2844:9 4.04995e-05 +22 la_data_in_mprj[27] *2844:9 1.8078e-05 +23 *3759:DIODE *2844:28 2.86353e-06 +24 *3894:DIODE *2844:9 2.20688e-05 +25 *4779:DIODE *2844:28 0 +26 *4781:DIODE *2844:28 0 +27 *21452:A *2844:10 1.14139e-05 +28 *21849:A *2844:9 2.16355e-05 +29 *21990:A *2844:9 0.000217951 +30 *1255:8 *2844:10 0 +31 *1367:18 *2844:28 0.000118959 +32 *1430:25 *3767:DIODE 0.000222149 +33 *1430:25 *2844:29 0.00286332 +34 *1927:39 *2844:10 2.45002e-05 +35 *1927:39 *2844:19 6.37958e-05 +36 *1927:39 *2844:28 2.34697e-05 +37 *2370:6 *2844:10 0 +38 *2370:6 *2844:19 0 +39 *2370:6 *2844:28 0 +40 *2561:6 *2844:10 0 +41 *2563:6 *2844:10 0.00108948 +42 *2704:9 *2844:9 5.03285e-05 +43 *2842:40 *2844:28 0 +44 *2843:41 *2844:28 0 +*RES +1 *21989:X *2844:9 32.244 +2 *2844:9 *2844:10 91.5265 +3 *2844:10 *4780:DIODE 14.4725 +4 *2844:10 *2844:19 3.90826 +5 *2844:19 *22417:A_N 13.7491 +6 *2844:19 *2844:28 22.1116 +7 *2844:28 *2844:29 66.1666 +8 *2844:29 *21577:A 9.24915 +9 *2844:29 *3767:DIODE 11.6364 +*END + +*D_NET *2845 0.0202351 +*CONN +*I *21578:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3768:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4782:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22418:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21990:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21578:A 5.022e-05 +2 *3768:DIODE 0.000196792 +3 *4782:DIODE 4.18965e-05 +4 *22418:A_N 1.24136e-05 +5 *21990:X 0 +6 *2845:37 0.000366858 +7 *2845:34 0.00117118 +8 *2845:33 0.00144792 +9 *2845:22 0.000508973 +10 *2845:12 0.0010675 +11 *2845:6 0.00380127 +12 *2845:5 0.00281667 +13 *2845:6 *22879:A 0 +14 *2845:6 *22880:A 0 +15 *2845:6 *3213:8 0 +16 *2845:6 *3254:8 0 +17 *2845:6 *3254:10 0 +18 *2845:6 *3255:8 0 +19 *2845:12 *2851:57 3.76047e-05 +20 *2845:22 *2906:24 0 +21 *2845:33 *3107:6 0.000154572 +22 *2845:33 *3188:20 1.79426e-05 +23 *2845:34 *3110:10 2.65831e-05 +24 *2845:34 *3138:41 1.19856e-05 +25 *2845:34 *3188:20 0.000113968 +26 *3641:DIODE *2845:34 0.0003122 +27 *4036:DIODE *2845:6 7.86847e-05 +28 *4178:DIODE *2845:6 4.27003e-05 +29 *4526:DIODE *2845:34 0.000152878 +30 *4780:DIODE *2845:34 2.65667e-05 +31 *4783:DIODE *2845:22 0 +32 *4783:DIODE *2845:33 0 +33 *6087:DIODE *2845:12 1.44997e-05 +34 *1254:9 *2845:22 1.77537e-06 +35 *1254:9 *2845:33 8.27108e-05 +36 *1254:9 *2845:34 0.000164843 +37 *1256:13 *2845:34 0.0031699 +38 *1371:26 *2845:34 0.000969396 +39 *1372:11 *3768:DIODE 6.50727e-05 +40 *1372:13 *3768:DIODE 4.09471e-05 +41 *1377:8 *21578:A 0 +42 *1377:8 *2845:37 0 +43 *1382:14 *3768:DIODE 0.000169041 +44 *1509:9 *2845:12 3.99824e-05 +45 *1637:9 *2845:12 3.00073e-05 +46 *1766:6 *2845:33 0 +47 *1884:30 *2845:12 0 +48 *2318:65 *2845:34 0.0012501 +49 *2318:75 *2845:34 0.000114874 +50 *2330:30 *2845:34 0.000173271 +51 *2337:34 *4782:DIODE 6.50586e-05 +52 *2337:34 *22418:A_N 0.000118166 +53 *2337:34 *2845:22 0.000167076 +54 *2497:34 *2845:6 0.00011412 +55 *2560:6 *2845:6 0 +56 *2560:6 *2845:12 0 +57 *2560:13 *22418:A_N 0.000118166 +58 *2560:13 *2845:22 0.00015709 +59 *2561:9 *2845:34 0.000583258 +60 *2703:8 *2845:6 0 +61 *2703:8 *2845:12 0 +62 *2773:20 *21578:A 4.04861e-05 +63 *2773:20 *2845:37 0.00012791 +*RES +1 *21990:X *2845:5 13.7491 +2 *2845:5 *2845:6 63.3504 +3 *2845:6 *2845:12 24.4443 +4 *2845:12 *22418:A_N 10.5271 +5 *2845:12 *2845:22 7.33409 +6 *2845:22 *4782:DIODE 14.4725 +7 *2845:22 *2845:33 13.3913 +8 *2845:33 *2845:34 52.8561 +9 *2845:34 *2845:37 7.1625 +10 *2845:37 *3768:DIODE 17.2456 +11 *2845:37 *21578:A 14.7506 +*END + +*D_NET *2846 0.0277775 +*CONN +*I *21579:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3769:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4784:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22419:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21991:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21579:A 0.000105155 +2 *3769:DIODE 4.18965e-05 +3 *4784:DIODE 3.63467e-05 +4 *22419:A_N 0.000104041 +5 *21991:X 0.000969733 +6 *2846:37 0.000672462 +7 *2846:22 0.000140388 +8 *2846:20 0.00398312 +9 *2846:19 0.0048288 +10 *2846:11 0.00197239 +11 *2846:10 0.00157104 +12 *3769:DIODE *3113:17 6.50586e-05 +13 *21579:A *2984:24 0 +14 *21579:A *3093:14 0 +15 *21579:A *3093:19 0.000330596 +16 *21579:A *3109:19 0.000330596 +17 *2846:11 *2961:43 0.00420929 +18 *2846:11 *2966:15 0.000484498 +19 *2846:19 *22889:A 1.93122e-05 +20 *2846:19 *3206:9 0.00121993 +21 *2846:20 *2847:14 0 +22 *2846:20 *2848:22 0 +23 *2846:20 *2848:26 0.000156025 +24 *2846:20 *2984:24 0 +25 *2846:20 *3017:29 2.45002e-05 +26 *2846:20 *3380:20 4.88112e-06 +27 *2846:37 *2984:24 0 +28 *2846:37 *3084:12 0 +29 la_data_in_mprj[35] *2846:19 0.000305615 +30 *3896:DIODE *2846:10 4.38577e-05 +31 *4046:DIODE *2846:19 0.000310908 +32 *4785:DIODE *2846:37 3.74491e-05 +33 *4975:DIODE *2846:20 0.00015409 +34 *21460:A *2846:20 2.1309e-05 +35 *21709:A *2846:10 0.000148666 +36 *22420:B *2846:20 0 +37 *23146:A *2846:20 1.71351e-05 +38 *436:8 *2846:10 1.43983e-05 +39 *437:8 *2846:10 0.000224395 +40 *444:5 *2846:19 3.59225e-05 +41 *564:12 *2846:10 0.00013978 +42 *565:9 *2846:10 3.86857e-05 +43 *821:10 *2846:10 0.000139435 +44 *1372:13 *4784:DIODE 2.65831e-05 +45 *1372:13 *22419:A_N 4.58003e-05 +46 *1383:8 *2846:37 0 +47 *1387:12 *2846:20 0 +48 *1645:10 *2846:20 0.000646876 +49 *1649:8 *2846:20 0.000287589 +50 *1767:9 *2846:37 0 +51 *1768:10 *2846:20 9.41864e-05 +52 *1885:28 *2846:20 0.000239698 +53 *1885:28 *2846:37 2.56442e-05 +54 *2324:47 *2846:37 3.63593e-05 +55 *2337:32 *2846:20 0.000105837 +56 *2479:20 *2846:20 0.000273887 +57 *2564:11 *2846:11 0.00186651 +58 *2572:6 *2846:20 0.000145656 +59 *2705:7 *2846:10 3.14978e-05 +60 *2714:10 *2846:20 8.36615e-05 +61 *2715:6 *2846:20 0.000399812 +62 *2841:5 *2846:10 5.02101e-05 +63 *2841:10 *2846:19 0.000148121 +64 *2841:12 *2846:19 0.000367848 +*RES +1 *21991:X *2846:10 35.5001 +2 *2846:10 *2846:11 46.2009 +3 *2846:11 *2846:19 46.2519 +4 *2846:19 *2846:20 83.2214 +5 *2846:20 *2846:22 4.5 +6 *2846:22 *22419:A_N 11.1059 +7 *2846:22 *4784:DIODE 9.97254 +8 *2846:20 *2846:37 10.137 +9 *2846:37 *3769:DIODE 14.4725 +10 *2846:37 *21579:A 18.6623 +*END + +*D_NET *2847 0.0287219 +*CONN +*I *3770:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21580:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4786:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22420:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21992:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3770:DIODE 3.32442e-05 +2 *21580:A 0 +3 *4786:DIODE 0 +4 *22420:A_N 1.68076e-05 +5 *21992:X 0.00204861 +6 *2847:41 0.000471498 +7 *2847:35 0.00105868 +8 *2847:23 0.000684986 +9 *2847:16 8.13653e-05 +10 *2847:14 0.00319636 +11 *2847:13 0.00451201 +12 *2847:8 0.00336426 +13 *3770:DIODE *3380:23 0.000217951 +14 *2847:8 *22885:A 0.000457641 +15 *2847:8 *22886:A 0.000262339 +16 *2847:8 *2850:16 1.32509e-05 +17 *2847:13 *5404:DIODE 2.85139e-05 +18 *2847:13 *5405:DIODE 5.05976e-05 +19 *2847:13 *22889:A 0.000269642 +20 *2847:13 *3264:9 0.00100341 +21 *2847:14 *23398:A 1.30666e-05 +22 *2847:14 *2855:12 0 +23 *2847:14 *2857:6 0 +24 *2847:14 *2984:24 0 +25 *2847:14 *2995:90 2.12377e-05 +26 *2847:14 *3017:29 2.20585e-05 +27 *2847:35 *3776:DIODE 0.000510762 +28 *2847:35 *2855:33 0.000376893 +29 *2847:35 *3206:6 7.20738e-05 +30 *2847:41 *2852:14 0 +31 *2847:41 *2852:34 0 +32 *2847:41 *3380:23 0.000107496 +33 *4044:DIODE *2847:8 3.00073e-05 +34 *4046:DIODE *2847:13 4.58003e-05 +35 *4187:DIODE *2847:13 2.65831e-05 +36 *4188:DIODE *2847:13 2.16355e-05 +37 *21851:A *2847:8 2.85274e-05 +38 *21854:A *2847:8 1.43983e-05 +39 *22301:TE *2847:14 6.99053e-05 +40 *22429:B *2847:14 2.64881e-05 +41 *1372:25 *2847:41 8.62625e-06 +42 *1382:15 *2847:41 1.58551e-05 +43 *1384:7 *3770:DIODE 8.64351e-05 +44 *1384:7 *2847:41 5.04829e-06 +45 *1777:6 *2847:14 6.79599e-05 +46 *1889:29 *2847:35 0.000199616 +47 *1949:16 *2847:23 2.41916e-05 +48 *1949:16 *2847:35 0.00334518 +49 *1949:29 *22420:A_N 5.0715e-05 +50 *1949:29 *2847:23 9.40969e-05 +51 *2003:55 *2847:35 2.44885e-05 +52 *2014:27 *2847:41 0.000482996 +53 *2071:31 *2847:14 0.000114664 +54 *2319:14 *2847:35 0.00010238 +55 *2340:18 *2847:35 0.000354564 +56 *2340:28 *22420:A_N 0.000118166 +57 *2340:28 *2847:23 0.000358863 +58 *2340:28 *2847:35 0.000104546 +59 *2568:6 *2847:8 0.000118485 +60 *2704:9 *2847:8 0.000145953 +61 *2706:7 *2847:8 0.000180499 +62 *2709:7 *2847:8 0.000170495 +63 *2710:15 *2847:13 0.000579032 +64 *2715:6 *2847:14 0.00281091 +65 *2846:20 *2847:14 0 +*RES +1 *21992:X *2847:8 47.7776 +2 *2847:8 *2847:13 45.7726 +3 *2847:13 *2847:14 79.4842 +4 *2847:14 *2847:16 4.5 +5 *2847:16 *22420:A_N 10.5271 +6 *2847:16 *2847:23 4.05102 +7 *2847:23 *4786:DIODE 9.24915 +8 *2847:23 *2847:35 47.9128 +9 *2847:35 *2847:41 19.9998 +10 *2847:41 *21580:A 9.24915 +11 *2847:41 *3770:DIODE 11.6364 +*END + +*D_NET *2848 0.0277296 +*CONN +*I *3771:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21581:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4788:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22421:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21993:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3771:DIODE 4.04643e-05 +2 *21581:A 0 +3 *4788:DIODE 0 +4 *22421:A_N 0.000154052 +5 *21993:X 0.00156183 +6 *2848:56 0.000264487 +7 *2848:47 0.000908797 +8 *2848:28 0.000154052 +9 *2848:26 0.00171287 +10 *2848:22 0.00289143 +11 *2848:21 0.00314593 +12 *2848:11 0.00284442 +13 *2848:11 *22884:A 0.000115934 +14 *2848:22 *3084:12 0 +15 *2848:22 *3265:8 0.00150862 +16 *2848:26 *3084:12 0 +17 *2848:47 *2850:33 0 +18 *2848:47 *2856:39 0.000171288 +19 *2848:47 *3084:12 0 +20 *2848:47 *3459:6 4.70029e-05 +21 la_data_in_mprj[35] *2848:21 3.60268e-05 +22 *3899:DIODE *2848:11 1.41291e-05 +23 *3900:DIODE *2848:11 4.56831e-05 +24 *4188:DIODE *2848:21 0.000118485 +25 *21458:A *2848:22 8.02893e-06 +26 *21460:A *2848:22 1.95409e-05 +27 *21715:A *2848:21 2.65831e-05 +28 *21995:A *2848:11 0.000208137 +29 *23146:A *2848:22 7.25424e-05 +30 *439:8 *2848:11 1.43983e-05 +31 *440:16 *2848:11 2.16355e-05 +32 *441:8 *2848:11 0.000178081 +33 *442:8 *2848:11 0.000115827 +34 *827:7 *2848:21 0.000111594 +35 *1371:34 *2848:47 0.00247954 +36 *1371:39 *2848:47 4.35419e-05 +37 *1372:21 *2848:56 0.000353686 +38 *1382:15 *2848:56 0.000141262 +39 *1385:5 *3771:DIODE 0.000164829 +40 *1387:13 *2848:47 0.00233731 +41 *1441:25 *2848:47 4.89898e-06 +42 *1649:8 *2848:22 0.00112755 +43 *1772:11 *3771:DIODE 6.24819e-05 +44 *1772:11 *2848:56 3.82228e-05 +45 *1885:28 *2848:26 0.000271981 +46 *1885:28 *2848:47 0.000248542 +47 *1905:40 *22421:A_N 4.26431e-05 +48 *1949:29 *2848:26 5.88009e-05 +49 *2320:36 *2848:47 0.00010238 +50 *2344:46 *3771:DIODE 0.000319954 +51 *2344:46 *2848:56 0.000107496 +52 *2566:20 *2848:11 0.000159317 +53 *2567:7 *2848:11 0.000514988 +54 *2569:7 *2848:11 0.000275256 +55 *2570:9 *2848:11 0 +56 *2570:9 *2848:21 0.000311941 +57 *2570:10 *2848:22 0.000344205 +58 *2572:6 *2848:22 0.000526057 +59 *2579:12 *2848:56 0.000164682 +60 *2709:8 *2848:21 0 +61 *2762:24 *2848:56 0.000168223 +62 *2841:5 *2848:11 0.000160613 +63 *2841:5 *2848:21 3.16469e-05 +64 *2841:10 *2848:21 0.000454458 +65 *2841:12 *2848:21 4.51808e-05 +66 *2846:20 *2848:22 0 +67 *2846:20 *2848:26 0.000156025 +*RES +1 *21993:X *2848:11 45.6842 +2 *2848:11 *2848:21 44.0769 +3 *2848:21 *2848:22 57.5369 +4 *2848:22 *2848:26 22.0206 +5 *2848:26 *2848:28 4.5 +6 *2848:28 *22421:A_N 12.0704 +7 *2848:28 *4788:DIODE 9.24915 +8 *2848:26 *2848:47 45.8247 +9 *2848:47 *2848:56 22.322 +10 *2848:56 *21581:A 9.24915 +11 *2848:56 *3771:DIODE 12.7456 +*END + +*D_NET *2849 0.025964 +*CONN +*I *3744:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21554:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4790:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22422:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21994:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3744:DIODE 6.56691e-05 +2 *21554:A 0 +3 *4790:DIODE 6.38693e-05 +4 *22422:A_N 0 +5 *21994:X 0.000570395 +6 *2849:36 0.000966808 +7 *2849:18 6.38693e-05 +8 *2849:16 0.00299771 +9 *2849:15 0.00209658 +10 *2849:13 0.00172237 +11 *2849:12 0.00229276 +12 *3744:DIODE *3176:9 6.14884e-05 +13 *2849:13 *5434:DIODE 0.000175485 +14 *2849:13 *5445:DIODE 6.50586e-05 +15 *2849:13 *5456:DIODE 6.08467e-05 +16 *2849:13 *3281:11 0.000204941 +17 *2849:13 *3305:11 0.000309968 +18 *2849:36 *2898:41 0.000144531 +19 *2849:36 *3176:9 0.000709799 +20 *3910:DIODE *2849:12 4.87439e-05 +21 *4053:DIODE *2849:12 0.000259159 +22 *5684:DIODE *2849:13 0.00021617 +23 *22383:B *2849:36 2.65831e-05 +24 *577:8 *2849:12 6.50727e-05 +25 *844:11 *2849:12 5.92342e-05 +26 *1302:8 *2849:36 5.04829e-06 +27 *1386:5 *3744:DIODE 0.000375169 +28 *1441:9 *4790:DIODE 7.48797e-05 +29 *1642:9 *2849:13 0.00144965 +30 *1664:15 *2849:13 0.00217802 +31 *2522:14 *2849:16 1.79429e-05 +32 *2522:16 *2849:16 0.00452886 +33 *2522:16 *2849:36 0.000953222 +34 *2534:6 *2849:16 0 +35 *2534:6 *2849:36 0 +36 *2590:15 *2849:12 7.84205e-05 +37 *2694:8 *2849:12 0.000123775 +38 *2720:8 *2849:12 0.000880251 +39 *2732:15 *2849:16 0.000289145 +40 *2805:19 *2849:36 0.000220183 +41 *2805:30 *3744:DIODE 0.000508887 +42 *2805:30 *2849:36 0.000962142 +43 *2837:14 *2849:12 7.12595e-05 +*RES +1 *21994:X *2849:12 39.4037 +2 *2849:12 *2849:13 53.9653 +3 *2849:13 *2849:15 4.5 +4 *2849:15 *2849:16 78.6536 +5 *2849:16 *2849:18 4.5 +6 *2849:18 *22422:A_N 9.24915 +7 *2849:18 *4790:DIODE 11.0817 +8 *2849:16 *2849:36 42.5784 +9 *2849:36 *21554:A 9.24915 +10 *2849:36 *3744:DIODE 14.964 +*END + +*D_NET *2850 0.0230117 +*CONN +*I *22423:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3772:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21582:A I *D sky130_fd_sc_hd__inv_2 +*I *4792:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21995:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *22423:A_N 0.000113033 +2 *3772:DIODE 0.000144317 +3 *21582:A 4.42824e-06 +4 *4792:DIODE 0 +5 *21995:X 0.000419328 +6 *2850:36 0.00031827 +7 *2850:33 0.00200794 +8 *2850:21 0.00210322 +9 *2850:18 0.00325413 +10 *2850:16 0.00317389 +11 *2850:13 0.000716931 +12 *3772:DIODE *3380:23 0.000268798 +13 *2850:13 *22884:A 7.50722e-05 +14 *2850:13 *2961:43 0.000973608 +15 *2850:18 *2918:61 0.000131716 +16 *2850:33 *3084:12 0.000216577 +17 *2850:36 *2984:24 3.23669e-05 +18 la_data_in_mprj[30] *2850:13 0.000135934 +19 la_data_in_mprj[31] *2850:13 8.15658e-05 +20 *4044:DIODE *2850:16 0.000109859 +21 *4531:DIODE *3772:DIODE 0.000169041 +22 *4531:DIODE *21582:A 1.8254e-05 +23 *441:8 *2850:16 3.51249e-05 +24 *569:5 *2850:16 0.000357135 +25 *1169:89 *2850:33 0.000676571 +26 *1260:8 *22423:A_N 2.74769e-05 +27 *1260:8 *2850:18 2.7973e-05 +28 *1260:16 *3772:DIODE 8.14875e-05 +29 *1371:34 *2850:33 0.000672359 +30 *1387:12 *21582:A 1.8254e-05 +31 *1387:12 *2850:36 0.000127711 +32 *1441:15 *2850:21 1.41976e-05 +33 *1441:24 *2850:21 0.000131266 +34 *1441:24 *2850:33 0.000221479 +35 *1643:13 *22423:A_N 6.50586e-05 +36 *1768:10 *2850:36 1.95554e-05 +37 *1769:11 *2850:33 0.00177457 +38 *1905:40 *22423:A_N 6.50586e-05 +39 *1905:40 *2850:33 2.59398e-05 +40 *2020:23 *22423:A_N 2.27175e-05 +41 *2020:23 *2850:18 0.000590133 +42 *2165:41 *2850:18 8.69059e-05 +43 *2318:54 *3772:DIODE 4.0752e-05 +44 *2397:38 *2850:18 7.74361e-05 +45 *2564:12 *2850:18 0 +46 *2566:20 *2850:13 0.000538947 +47 *2567:8 *2850:16 0.000491246 +48 *2567:8 *2850:18 0.0015853 +49 *2568:6 *2850:16 0 +50 *2568:6 *2850:18 0.000641502 +51 *2841:5 *2850:13 0.000113968 +52 *2847:8 *2850:16 1.32509e-05 +53 *2848:47 *2850:33 0 +*RES +1 *21995:X *2850:13 38.6417 +2 *2850:13 *2850:16 12.1522 +3 *2850:16 *2850:18 80.278 +4 *2850:18 *2850:21 8.55102 +5 *2850:21 *4792:DIODE 9.24915 +6 *2850:21 *2850:33 48.0465 +7 *2850:33 *2850:36 8.40826 +8 *2850:36 *21582:A 13.9662 +9 *2850:36 *3772:DIODE 19.0948 +10 *2850:18 *22423:A_N 16.3045 +*END + +*D_NET *2851 0.0627535 +*CONN +*I *6076:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23385:A I *D sky130_fd_sc_hd__nand2_1 +*I *21996:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6076:DIODE 0.000102938 +2 *23385:A 9.36721e-06 +3 *21996:X 4.18965e-05 +4 *2851:57 0.000897986 +5 *2851:51 0.00439041 +6 *2851:50 0.00529757 +7 *2851:40 0.0025837 +8 *2851:35 0.00354831 +9 *2851:34 0.00270825 +10 *2851:29 0.00131563 +11 *2851:28 0.00142329 +12 *2851:20 0.00127693 +13 *2851:8 0.00467733 +14 *2851:7 0.00360075 +15 *2851:7 *3001:29 6.50586e-05 +16 *2851:8 *22745:A 0 +17 *2851:8 *23001:A 5.42026e-05 +18 *2851:8 *2866:46 0 +19 *2851:8 *2906:12 2.47194e-05 +20 *2851:8 *2940:16 0.00010326 +21 *2851:8 *3008:29 9.04456e-05 +22 *2851:8 *3103:36 0 +23 *2851:8 *3104:19 0.000411818 +24 *2851:8 *3108:15 0 +25 *2851:8 *3143:17 4.41243e-05 +26 *2851:20 *2870:34 3.55975e-05 +27 *2851:20 *3111:17 0.00128375 +28 *2851:20 *3119:18 8.6931e-05 +29 *2851:20 *3131:9 0.00107351 +30 *2851:20 *3162:52 0.000100741 +31 *2851:28 *3029:34 3.65909e-05 +32 *2851:28 *3111:15 0.000103139 +33 *2851:28 *3385:11 0.000260388 +34 *2851:29 *3115:7 1.41291e-05 +35 *2851:29 *3131:9 0.00273212 +36 *2851:34 *3095:24 9.20637e-05 +37 *2851:40 *2984:24 0.000199226 +38 *2851:40 *3206:6 0.00113166 +39 *2851:40 *3380:20 4.69495e-06 +40 *2851:50 *2918:52 5.35006e-05 +41 *2851:50 *3006:50 0.000273326 +42 *2851:50 *3096:23 0.000570108 +43 *2851:50 *3096:25 0.000547384 +44 *2851:57 *3096:16 0.000496798 +45 la_oenb_core[20] *2851:8 2.59904e-05 +46 *4195:DIODE *2851:8 0 +47 *4582:DIODE *2851:8 0 +48 *6077:DIODE *23385:A 6.50586e-05 +49 *6077:DIODE *2851:57 0.000277502 +50 *22319:A *2851:8 0.000194385 +51 *23262:B *2851:51 0.000169041 +52 *23506:TE *2851:50 5.04829e-06 +53 *23516:TE *2851:8 6.97325e-05 +54 *1283:6 *2851:8 0.000144975 +55 *1373:25 *2851:35 0.00436064 +56 *1379:20 *2851:40 3.40314e-05 +57 *1509:9 *2851:57 0.000110649 +58 *1513:10 *2851:50 4.10598e-05 +59 *1632:9 *6076:DIODE 3.00073e-05 +60 *1637:9 *2851:57 0.000272978 +61 *1794:6 *2851:20 7.79877e-06 +62 *1883:22 *2851:28 0.000100741 +63 *1902:19 *2851:8 4.22029e-05 +64 *1916:32 *23385:A 6.50586e-05 +65 *1916:32 *2851:57 0.00346507 +66 *1927:33 *2851:20 3.74542e-05 +67 *1942:17 *2851:8 0.000167176 +68 *1942:19 *2851:8 0 +69 *2008:45 *2851:8 0.000116276 +70 *2012:22 *2851:50 0.000131243 +71 *2025:10 *2851:20 0.000423922 +72 *2025:10 *2851:29 0.00380573 +73 *2061:19 *2851:8 0 +74 *2166:42 *2851:51 0.0059092 +75 *2170:35 *2851:50 3.00073e-05 +76 *2176:42 *2851:20 0.000271155 +77 *2376:16 *6076:DIODE 6.98648e-06 +78 *2762:14 *2851:29 0.000482496 +79 *2762:14 *2851:34 8.86025e-05 +80 *2845:12 *2851:57 3.76047e-05 +*RES +1 *21996:X *2851:7 14.4725 +2 *2851:7 *2851:8 69.9334 +3 *2851:8 *2851:20 47.9286 +4 *2851:20 *2851:28 18.6891 +5 *2851:28 *2851:29 55.0746 +6 *2851:29 *2851:34 10.832 +7 *2851:34 *2851:35 47.3101 +8 *2851:35 *2851:40 32.4251 +9 *2851:40 *2851:50 42.5165 +10 *2851:50 *2851:51 65.0574 +11 *2851:51 *2851:57 49.1291 +12 *2851:57 *23385:A 9.97254 +13 *2851:57 *6076:DIODE 20.4964 +*END + +*D_NET *2852 0.0281591 +*CONN +*I *3773:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21583:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4794:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22424:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21997:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3773:DIODE 9.07307e-05 +2 *21583:A 0 +3 *4794:DIODE 4.6211e-05 +4 *22424:A_N 6.5701e-05 +5 *21997:X 0.000303043 +6 *2852:34 0.00109341 +7 *2852:16 0.000111912 +8 *2852:14 0.00407528 +9 *2852:13 0.0030726 +10 *2852:11 0.00464725 +11 *2852:10 0.00495029 +12 *3773:DIODE *3098:43 0.000314433 +13 *2852:11 *3235:5 0.00231217 +14 *2852:14 *21590:A 2.41595e-05 +15 *2852:14 *2859:14 0.000197625 +16 *2852:14 *2859:23 8.85729e-06 +17 *2852:14 *2859:32 7.69477e-05 +18 *2852:14 *2860:27 0.000151197 +19 *2852:14 *3271:6 0 +20 *2852:34 *2864:19 0 +21 *2852:34 *3098:43 0.000782786 +22 *2852:34 *3471:9 5.07314e-05 +23 la_data_in_mprj[32] *2852:10 0.000111722 +24 *3651:DIODE *2852:11 0.000263305 +25 *5643:DIODE *2852:11 0.000178517 +26 *23152:A *2852:14 8.03676e-06 +27 *1150:23 *2852:11 0.000789657 +28 *1169:76 *2852:34 0.000140307 +29 *1169:88 *22424:A_N 6.50586e-05 +30 *1262:12 *2852:14 0 +31 *1372:25 *2852:34 0 +32 *1396:9 *2852:14 4.02959e-05 +33 *1655:8 *2852:14 0.000114148 +34 *1905:40 *4794:DIODE 1.19856e-05 +35 *1905:40 *22424:A_N 0.000111708 +36 *2004:19 *2852:14 0.000443694 +37 *2004:19 *2852:34 1.81049e-05 +38 *2014:27 *2852:14 0 +39 *2071:27 *2852:14 0 +40 *2152:47 *2852:14 2.63779e-05 +41 *2337:21 *2852:14 5.39054e-05 +42 *2509:20 *2852:14 0 +43 *2564:12 *2852:10 0.000244552 +44 *2567:8 *2852:10 0.000245984 +45 *2574:11 *2852:11 0.000910579 +46 *2579:9 *2852:14 0.000113333 +47 *2709:7 *2852:10 0.000213739 +48 *2719:16 *2852:14 0.00167879 +49 *2847:41 *2852:14 0 +50 *2847:41 *2852:34 0 +*RES +1 *21997:X *2852:10 30.3581 +2 *2852:10 *2852:11 77.8133 +3 *2852:11 *2852:13 4.5 +4 *2852:13 *2852:14 76.5774 +5 *2852:14 *2852:16 4.5 +6 *2852:16 *22424:A_N 11.5158 +7 *2852:16 *4794:DIODE 9.97254 +8 *2852:14 *2852:34 27.6927 +9 *2852:34 *21583:A 9.24915 +10 *2852:34 *3773:DIODE 12.7456 +*END + +*D_NET *2853 0.0297496 +*CONN +*I *3774:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21584:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4796:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22425:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21998:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3774:DIODE 3.85944e-05 +2 *21584:A 2.06324e-05 +3 *4796:DIODE 0 +4 *22425:A_N 0 +5 *21998:X 3.93973e-05 +6 *2853:33 0.000107408 +7 *2853:27 6.91174e-05 +8 *2853:22 2.09358e-05 +9 *2853:20 0.00253697 +10 *2853:19 0.00273502 +11 *2853:15 0.00141625 +12 *2853:9 0.00433819 +13 *2853:8 0.00315938 +14 *2853:9 *2854:11 0.00408227 +15 *2853:9 *2854:13 2.18145e-05 +16 *2853:9 *2961:43 0.000341072 +17 *2853:15 *2854:11 4.80635e-06 +18 *2853:15 *2854:13 0.00184219 +19 *2853:15 *2859:13 0.00159802 +20 *2853:15 *2961:35 1.71154e-05 +21 *2853:19 *2961:35 0.00112702 +22 *2853:20 *2868:8 0 +23 la_data_in_mprj[33] *2853:8 7.86847e-05 +24 *3919:DIODE *2853:20 0.000153389 +25 *442:8 *2853:8 1.79807e-05 +26 *456:5 *2853:20 0.000177066 +27 *584:8 *2853:20 8.64012e-05 +28 *1890:16 *3774:DIODE 0.000256861 +29 *1890:16 *2853:27 0.000171288 +30 *1890:16 *2853:33 0.000361096 +31 *1893:15 *3774:DIODE 0.000115632 +32 *1893:15 *2853:27 7.48797e-05 +33 *1893:15 *2853:33 0.000163912 +34 *2011:43 *2853:20 0.00250799 +35 *2394:18 *2853:20 0 +36 *2583:18 *2853:19 0.000129827 +37 *2586:11 *2853:20 4.12533e-05 +38 *2723:13 *2853:15 0.000840105 +39 *2724:10 *2853:20 0.00105703 +40 *2725:10 *2853:20 0 +*RES +1 *21998:X *2853:8 19.6659 +2 *2853:8 *2853:9 54.5199 +3 *2853:9 *2853:15 49.842 +4 *2853:15 *2853:19 16.3155 +5 *2853:19 *2853:20 69.9334 +6 *2853:20 *2853:22 4.5 +7 *2853:22 *22425:A_N 9.24915 +8 *2853:22 *2853:27 1.8326 +9 *2853:27 *4796:DIODE 9.24915 +10 *2853:27 *2853:33 4.05102 +11 *2853:33 *21584:A 9.82786 +12 *2853:33 *3774:DIODE 12.191 +*END + +*D_NET *2854 0.0289537 +*CONN +*I *21585:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3775:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4798:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22426:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21999:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21585:A 2.01809e-05 +2 *3775:DIODE 0 +3 *4798:DIODE 0 +4 *22426:A_N 2.67587e-05 +5 *21999:X 4.94121e-05 +6 *2854:36 0.000923548 +7 *2854:22 2.67587e-05 +8 *2854:20 0.00351454 +9 *2854:18 0.00302629 +10 *2854:13 0.0010207 +11 *2854:11 0.00113291 +12 *2854:8 0.000576747 +13 *2854:11 *2961:41 0.000129927 +14 *2854:11 *2961:43 0.0036055 +15 *2854:13 *2961:35 0.00125571 +16 *2854:13 *2961:41 0.00181537 +17 *2854:18 *22900:A 0 +18 *2854:18 *2865:16 0.00011079 +19 *2854:18 *2865:18 3.20069e-06 +20 *2854:18 *3276:6 0 +21 *2854:20 *2865:18 0.000750721 +22 *2854:20 *3276:6 0 +23 *2854:36 *2865:18 0.000199895 +24 la_data_in_mprj[34] *2854:8 9.96342e-05 +25 la_data_in_mprj[45] *2854:18 3.46976e-05 +26 *3915:DIODE *2854:18 7.26733e-05 +27 *4200:DIODE *2854:18 7.23866e-05 +28 *443:5 *2854:8 3.77804e-05 +29 *455:5 *2854:18 0 +30 *838:8 *2854:18 0.000162951 +31 *1392:13 *21585:A 9.97706e-05 +32 *1392:13 *2854:36 0.00078483 +33 *1889:16 *2854:20 8.40944e-05 +34 *1916:12 *21585:A 4.81015e-05 +35 *1916:12 *2854:36 0.000343544 +36 *2161:20 *22426:A_N 0.000217937 +37 *2173:46 *22426:A_N 0.000217937 +38 *2751:6 *2854:20 0.000234984 +39 *2751:6 *2854:36 0.0009527 +40 *2751:16 *2854:20 0.00134967 +41 *2853:9 *2854:11 0.00408227 +42 *2853:9 *2854:13 2.18145e-05 +43 *2853:15 *2854:11 4.80635e-06 +44 *2853:15 *2854:13 0.00184219 +*RES +1 *21999:X *2854:8 20.0811 +2 *2854:8 *2854:11 45.5257 +3 *2854:11 *2854:13 47.8647 +4 *2854:13 *2854:18 17.4827 +5 *2854:18 *2854:20 64.0832 +6 *2854:20 *2854:22 4.5 +7 *2854:22 *22426:A_N 11.6364 +8 *2854:22 *4798:DIODE 9.24915 +9 *2854:20 *2854:36 33.5062 +10 *2854:36 *3775:DIODE 9.24915 +11 *2854:36 *21585:A 10.5271 +*END + +*D_NET *2855 0.0175431 +*CONN +*I *22427:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3776:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21586:A I *D sky130_fd_sc_hd__inv_2 +*I *4800:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22000:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *22427:A_N 0 +2 *3776:DIODE 0.000118275 +3 *21586:A 0 +4 *4800:DIODE 0 +5 *22000:X 0.00070119 +6 *2855:33 0.00102121 +7 *2855:21 0.00112258 +8 *2855:15 0.000607292 +9 *2855:12 0.00277353 +10 *2855:10 0.00308708 +11 *2855:10 *2857:6 8.52802e-05 +12 *2855:12 *2857:6 0.00104573 +13 *2855:12 *2984:24 2.1203e-06 +14 *2855:12 *3206:6 0.000993831 +15 *2855:33 *2940:34 0.000167304 +16 *2855:33 *3450:6 0.000751464 +17 la_data_in_mprj[35] *2855:10 3.82228e-05 +18 *3904:DIODE *2855:10 7.48797e-05 +19 *4047:DIODE *2855:10 0.000266726 +20 *4048:DIODE *2855:10 0.000217937 +21 *4795:DIODE *3776:DIODE 6.50586e-05 +22 *21719:A *2855:10 3.31882e-05 +23 *22429:B *2855:12 4.95872e-05 +24 *23274:A *2855:12 0.000243876 +25 *1889:29 *3776:DIODE 0.000107496 +26 *1938:25 *2855:33 5.49269e-05 +27 *2156:50 *2855:21 0.000224395 +28 *2156:50 *2855:33 0.00021243 +29 *2168:24 *2855:15 0.00118406 +30 *2340:18 *3776:DIODE 0.000139038 +31 *2340:18 *2855:33 0.000922747 +32 *2574:11 *2855:12 0 +33 *2711:11 *2855:10 0.000191256 +34 *2714:10 *2855:10 0.000152711 +35 *2847:14 *2855:12 0 +36 *2847:35 *3776:DIODE 0.000510762 +37 *2847:35 *2855:33 0.000376893 +*RES +1 *22000:X *2855:10 34.924 +2 *2855:10 *2855:12 65.3656 +3 *2855:12 *2855:15 17.4247 +4 *2855:15 *2855:21 12.8039 +5 *2855:21 *4800:DIODE 9.24915 +6 *2855:21 *2855:33 37.0742 +7 *2855:33 *21586:A 9.24915 +8 *2855:33 *3776:DIODE 15.5427 +9 *2855:15 *22427:A_N 9.24915 +*END + +*D_NET *2856 0.0200389 +*CONN +*I *21587:A I *D sky130_fd_sc_hd__inv_2 +*I *3777:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4802:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22428:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22001:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21587:A 1.14052e-05 +2 *3777:DIODE 0.000400933 +3 *4802:DIODE 0 +4 *22428:A_N 0.000110682 +5 *22001:X 0 +6 *2856:39 0.000586752 +7 *2856:34 0.0021147 +8 *2856:23 0.00203965 +9 *2856:13 0.000802299 +10 *2856:6 0.00267623 +11 *2856:5 0.00208397 +12 *22428:A_N *3017:22 6.37077e-06 +13 *22428:A_N *3328:6 0 +14 *2856:6 *3084:12 0.000427372 +15 *2856:34 *3450:6 0 +16 *4189:DIODE *2856:6 0.000266696 +17 *21458:A *2856:6 3.58525e-05 +18 *22428:B *22428:A_N 1.24189e-05 +19 *22428:B *2856:13 6.08467e-05 +20 *23578:A *3777:DIODE 0 +21 *23578:A *2856:39 3.25584e-05 +22 *23578:TE *3777:DIODE 5.51483e-06 +23 *23578:TE *21587:A 6.06538e-05 +24 *23578:TE *2856:39 9.59875e-06 +25 *1262:7 *2856:13 0.00131856 +26 *1262:12 *2856:13 6.50586e-05 +27 *1262:12 *2856:23 0.00015709 +28 *1262:12 *2856:34 0.00114903 +29 *1265:10 *2856:6 0.000660245 +30 *1371:34 *2856:34 7.09666e-06 +31 *1387:13 *21587:A 1.86334e-06 +32 *1387:13 *2856:39 0.000134518 +33 *1392:11 *3777:DIODE 0.000464113 +34 *1441:25 *2856:39 2.85274e-05 +35 *1776:10 *2856:13 0.000164815 +36 *1885:25 *2856:34 0.000527871 +37 *2174:25 *2856:6 1.5714e-05 +38 *2448:8 *2856:34 0 +39 *2570:10 *2856:6 0.00342861 +40 *2713:8 *2856:6 0 +41 *2848:47 *2856:39 0.000171288 +*RES +1 *22001:X *2856:5 13.7491 +2 *2856:5 *2856:6 66.1961 +3 *2856:6 *2856:13 21.4998 +4 *2856:13 *22428:A_N 20.0811 +5 *2856:13 *2856:23 1.8326 +6 *2856:23 *4802:DIODE 9.24915 +7 *2856:23 *2856:34 47.2867 +8 *2856:34 *2856:39 6.45028 +9 *2856:39 *3777:DIODE 16.5193 +10 *2856:39 *21587:A 10.1534 +*END + +*D_NET *2857 0.0101173 +*CONN +*I *4804:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3778:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21588:A I *D sky130_fd_sc_hd__inv_2 +*I *22429:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22002:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *4804:DIODE 0 +2 *3778:DIODE 4.04258e-05 +3 *21588:A 0 +4 *22429:A_N 0 +5 *22002:X 0 +6 *2857:21 0.000273216 +7 *2857:15 0.000257468 +8 *2857:9 4.24673e-05 +9 *2857:6 0.00203685 +10 *2857:5 0.00201906 +11 *2857:6 *23398:A 7.2465e-05 +12 *2857:6 *2995:90 7.50872e-05 +13 *2857:21 *3206:6 0.000223308 +14 *4190:DIODE *2857:6 0.000142221 +15 *21719:A *2857:6 1.87125e-05 +16 *22301:TE *2857:6 1.26257e-05 +17 *446:5 *2857:6 7.15593e-05 +18 *1157:65 *2857:9 0.000113968 +19 *1157:65 *2857:15 0.000150646 +20 *1777:6 *2857:6 1.79807e-05 +21 *1895:29 *3778:DIODE 8.64351e-05 +22 *2003:55 *2857:21 8.60268e-05 +23 *2060:24 *3778:DIODE 6.50586e-05 +24 *2060:24 *2857:21 0.00132676 +25 *2093:22 *3778:DIODE 0.000252649 +26 *2093:22 *2857:21 0.00132676 +27 *2127:10 *2857:9 0.000113968 +28 *2127:10 *2857:15 0.000160617 +29 *2715:6 *2857:6 0 +30 *2847:14 *2857:6 0 +31 *2855:10 *2857:6 8.52802e-05 +32 *2855:12 *2857:6 0.00104573 +*RES +1 *22002:X *2857:5 13.7491 +2 *2857:5 *2857:6 52.908 +3 *2857:6 *2857:9 5.778 +4 *2857:9 *22429:A_N 9.24915 +5 *2857:9 *2857:15 1.8326 +6 *2857:15 *2857:21 27.3574 +7 *2857:21 *21588:A 9.24915 +8 *2857:21 *3778:DIODE 12.191 +9 *2857:15 *4804:DIODE 9.24915 +*END + +*D_NET *2858 0.00847374 +*CONN +*I *3779:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21589:A I *D sky130_fd_sc_hd__inv_2 +*I *4806:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22430:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22003:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3779:DIODE 2.97872e-05 +2 *21589:A 0 +3 *4806:DIODE 0 +4 *22430:A_N 2.31637e-05 +5 *22003:X 0.00031768 +6 *2858:33 0.00034736 +7 *2858:22 0.000666587 +8 *2858:10 0.00215715 +9 *2858:9 0.00210266 +10 *2858:10 *3267:19 0 +11 *2858:10 *3328:6 0 +12 *2858:10 *3450:6 0 +13 *2858:22 *3328:6 0 +14 *4050:DIODE *2858:10 0 +15 *4192:DIODE *2858:10 0.000310124 +16 *4807:DIODE *2858:10 0 +17 *21720:A *2858:9 0.000220183 +18 *448:5 *2858:10 0 +19 *575:5 *2858:10 0 +20 *831:8 *2858:9 2.16355e-05 +21 *831:8 *2858:10 5.56367e-05 +22 *1157:65 *2858:33 1.76666e-05 +23 *1266:11 *2858:10 0.000557126 +24 *1394:8 *2858:33 0.00023782 +25 *1896:31 *2858:33 0.000110217 +26 *1997:24 *3779:DIODE 0.000271058 +27 *2000:14 *2858:22 0.000483488 +28 *2000:14 *2858:33 0.000152878 +29 *2003:54 *3779:DIODE 0.000271058 +30 *2010:20 *22430:A_N 6.50727e-05 +31 *2717:11 *2858:10 5.53934e-05 +*RES +1 *22003:X *2858:9 21.1519 +2 *2858:9 *2858:10 43.7725 +3 *2858:10 *22430:A_N 14.4725 +4 *2858:10 *2858:22 11.077 +5 *2858:22 *4806:DIODE 9.24915 +6 *2858:22 *2858:33 16.8171 +7 *2858:33 *21589:A 9.24915 +8 *2858:33 *3779:DIODE 12.191 +*END + +*D_NET *2859 0.0112428 +*CONN +*I *21590:A I *D sky130_fd_sc_hd__inv_2 +*I *3780:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22431:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4808:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22004:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21590:A 6.30506e-05 +2 *3780:DIODE 0.000109415 +3 *22431:A_N 3.81324e-05 +4 *4808:DIODE 0.000104374 +5 *22004:X 0.00117136 +6 *2859:32 0.000388228 +7 *2859:23 0.000300335 +8 *2859:14 0.00134154 +9 *2859:13 0.00236209 +10 *2859:14 *22896:A 0.000237038 +11 la_data_in_mprj[39] *2859:13 0.000158997 +12 *4197:DIODE *2859:14 0 +13 *4553:DIODE *2859:14 5.97608e-05 +14 *21463:A *2859:14 1.37385e-05 +15 *23149:A *2859:14 0 +16 *448:5 *2859:13 2.7961e-05 +17 *576:8 *2859:13 0.000118485 +18 *579:12 *2859:14 0.000110166 +19 *1395:7 *3780:DIODE 0.000160617 +20 *1395:7 *21590:A 2.65667e-05 +21 *2000:14 *21590:A 0.000118166 +22 *2071:27 *21590:A 2.96023e-05 +23 *2071:27 *2859:32 5.93293e-05 +24 *2147:65 *2859:14 7.08723e-06 +25 *2150:23 *2859:14 3.52645e-06 +26 *2152:47 *2859:14 6.63077e-05 +27 *2374:29 *22431:A_N 1.92172e-05 +28 *2374:31 *4808:DIODE 0.000167076 +29 *2374:31 *22431:A_N 0.000217951 +30 *2509:20 *2859:14 2.57298e-05 +31 *2509:20 *2859:23 7.41833e-06 +32 *2509:20 *2859:32 3.95735e-05 +33 *2575:12 *2859:14 2.47808e-05 +34 *2576:18 *2859:14 0.000492951 +35 *2579:9 *2859:14 0.000999796 +36 *2717:17 *22431:A_N 0.000266846 +37 *2852:14 *21590:A 2.41595e-05 +38 *2852:14 *2859:14 0.000197625 +39 *2852:14 *2859:23 8.85729e-06 +40 *2852:14 *2859:32 7.69477e-05 +41 *2853:15 *2859:13 0.00159802 +*RES +1 *22004:X *2859:13 46.4089 +2 *2859:13 *2859:14 37.9589 +3 *2859:14 *4808:DIODE 15.5817 +4 *2859:14 *2859:23 1.00149 +5 *2859:23 *22431:A_N 16.691 +6 *2859:23 *2859:32 5.98452 +7 *2859:32 *3780:DIODE 15.5817 +8 *2859:32 *21590:A 16.4439 +*END + +*D_NET *2860 0.0112274 +*CONN +*I *3781:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22432:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4810:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21591:A I *D sky130_fd_sc_hd__inv_2 +*I *22005:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3781:DIODE 0 +2 *22432:A_N 3.54628e-05 +3 *4810:DIODE 0 +4 *21591:A 0 +5 *22005:X 0.000205049 +6 *2860:27 0.000257145 +7 *2860:21 0.000244949 +8 *2860:15 8.24764e-05 +9 *2860:12 0.00130404 +10 *2860:11 0.00144988 +11 *2860:12 *22895:A 0.000244182 +12 *2860:12 *3270:8 0.001319 +13 *3911:DIODE *2860:11 4.03114e-05 +14 *450:8 *2860:11 0.000324166 +15 *578:5 *2860:12 4.7294e-05 +16 *1997:24 *2860:15 0.000364356 +17 *1997:24 *2860:21 0.000148652 +18 *1999:8 *22432:A_N 0.000122378 +19 *1999:8 *2860:27 0.000610533 +20 *2001:17 *22432:A_N 0 +21 *2001:18 *22432:A_N 5.31074e-05 +22 *2001:18 *2860:27 0.000614731 +23 *2003:50 *2860:15 7.1084e-05 +24 *2003:50 *2860:21 0.000164815 +25 *2003:54 *2860:15 0.000213725 +26 *2009:42 *22432:A_N 2.41483e-05 +27 *2071:27 *2860:27 0 +28 *2093:21 *2860:12 0.000483553 +29 *2497:14 *2860:12 7.39022e-06 +30 *2578:6 *2860:12 0.0026438 +31 *2579:12 *2860:12 0 +32 *2852:14 *2860:27 0.000151197 +*RES +1 *22005:X *2860:11 20.2115 +2 *2860:11 *2860:12 50.0013 +3 *2860:12 *2860:15 8.55102 +4 *2860:15 *21591:A 9.24915 +5 *2860:15 *2860:21 1.8326 +6 *2860:21 *2860:27 18.9018 +7 *2860:27 *4810:DIODE 9.24915 +8 *2860:27 *22432:A_N 11.1059 +9 *2860:21 *3781:DIODE 9.24915 +*END + +*D_NET *2861 0.0157647 +*CONN +*I *3745:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21555:A I *D sky130_fd_sc_hd__inv_2 +*I *4812:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22433:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22006:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3745:DIODE 7.43419e-05 +2 *21555:A 0 +3 *4812:DIODE 0 +4 *22433:A_N 0 +5 *22006:X 0.000392093 +6 *2861:39 0.000949045 +7 *2861:27 0.00116337 +8 *2861:15 0.000326665 +9 *2861:12 0.0030938 +10 *2861:11 0.0034479 +11 *3745:DIODE *3059:7 0.000128091 +12 *2861:12 *22927:A 0 +13 *2861:12 *23395:A 0 +14 *2861:12 *2886:6 0 +15 *2861:12 *2937:18 0.00189528 +16 *2861:12 *2962:20 0 +17 *2861:39 *3059:7 0.000147325 +18 la_data_in_mprj[4] *2861:11 0.000113897 +19 *3947:DIODE *2861:12 0.000175674 +20 *5841:DIODE *2861:12 4.27003e-05 +21 *23267:A *2861:12 0 +22 *482:5 *2861:12 0.000139435 +23 *610:8 *2861:12 0.000101757 +24 *833:10 *2861:11 2.98609e-05 +25 *1166:10 *2861:12 0 +26 *1642:9 *2861:12 0 +27 *1792:11 *2861:39 1.47102e-05 +28 *2391:8 *2861:39 0.000304194 +29 *2614:17 *2861:39 0 +30 *2708:13 *2861:11 0.00183775 +31 *2732:9 *2861:11 0.000869626 +32 *2837:11 *2861:11 0.000517234 +*RES +1 *22006:X *2861:11 45.1309 +2 *2861:11 *2861:12 95.679 +3 *2861:12 *2861:15 5.2234 +4 *2861:15 *22433:A_N 9.24915 +5 *2861:15 *2861:27 7.3063 +6 *2861:27 *4812:DIODE 9.24915 +7 *2861:27 *2861:39 29.8699 +8 *2861:39 *21555:A 9.24915 +9 *2861:39 *3745:DIODE 12.191 +*END + +*D_NET *2862 0.0568568 +*CONN +*I *6078:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23386:A I *D sky130_fd_sc_hd__nand2_1 +*I *22007:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6078:DIODE 0.000112404 +2 *23386:A 9.36721e-06 +3 *22007:X 0.00040367 +4 *2862:23 0.000159684 +5 *2862:20 0.00196041 +6 *2862:17 0.00570076 +7 *2862:15 0.00527319 +8 *2862:12 0.00335939 +9 *2862:8 0.00226813 +10 *2862:8 *22746:A 1.48106e-05 +11 *2862:8 *23002:A 0 +12 *2862:8 *3389:26 0 +13 *2862:12 *3389:26 0 +14 *2862:17 *3787:DIODE 0.000113197 +15 *2862:17 *2868:21 1.92336e-05 +16 *2862:17 *2873:19 0.0200285 +17 *2862:17 *2929:21 0.000220514 +18 *2862:17 *3098:49 0.000264925 +19 *2862:17 *3130:20 0.000519453 +20 *2862:17 *3164:9 0.000667386 +21 *2862:17 *3381:11 0.00012661 +22 *2862:20 *3104:6 0.000708123 +23 *2862:20 *3138:26 2.54723e-05 +24 la_oenb_core[21] *2862:8 2.14842e-06 +25 *3762:DIODE *2862:17 0.000120742 +26 *4206:DIODE *2862:8 6.22259e-05 +27 *4566:DIODE *2862:17 7.22498e-05 +28 *4567:DIODE *2862:17 1.31657e-05 +29 *6079:DIODE *23386:A 6.50586e-05 +30 *6079:DIODE *2862:23 0.000316412 +31 *22313:TE *2862:15 5.51483e-06 +32 *22313:TE *2862:17 5.04829e-06 +33 *1249:10 *2862:20 0 +34 *1274:9 *2862:17 4.31703e-05 +35 *1786:11 *2862:17 4.01443e-05 +36 *1905:48 *23386:A 6.50586e-05 +37 *1905:48 *2862:23 0.000379505 +38 *1907:16 *2862:15 0.000941817 +39 *1944:19 *2862:8 0 +40 *1944:19 *2862:12 0.000319168 +41 *2376:16 *6078:DIODE 5.21235e-05 +42 *2376:16 *2862:20 0 +43 *2377:8 *2862:20 0 +44 *2380:15 *2862:17 0.0103166 +45 *2457:41 *2862:17 0.000137341 +46 *2458:31 *2862:17 0.000112976 +47 *2838:48 *2862:17 1.41853e-05 +48 *2838:54 *2862:17 0.00181691 +*RES +1 *22007:X *2862:8 21.0161 +2 *2862:8 *2862:12 39.4178 +3 *2862:12 *2862:15 25.1501 +4 *2862:15 *2862:17 238.094 +5 *2862:17 *2862:20 48.2725 +6 *2862:20 *2862:23 8.55102 +7 *2862:23 *23386:A 9.97254 +8 *2862:23 *6078:DIODE 20.9116 +*END + +*D_NET *2863 0.0572401 +*CONN +*I *21592:A I *D sky130_fd_sc_hd__inv_2 +*I *3782:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22434:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4814:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22008:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *21592:A 6.37664e-05 +2 *3782:DIODE 0.00017659 +3 *22434:A_N 6.82841e-05 +4 *4814:DIODE 0 +5 *22008:X 0.00607906 +6 *2863:45 0.000312154 +7 *2863:42 0.00494158 +8 *2863:41 0.00518603 +9 *2863:26 6.82841e-05 +10 *2863:24 0.00417592 +11 *2863:17 0.00993872 +12 *3782:DIODE *3154:7 1.92336e-05 +13 *21592:A *3124:28 2.34497e-05 +14 *2863:17 *2864:10 6.12686e-06 +15 *2863:17 *2872:15 0.006323 +16 *2863:17 *2887:18 0.000121692 +17 *2863:17 *2937:15 0.00116586 +18 *2863:17 *2961:35 0.0010341 +19 *2863:17 *2966:15 0.000333465 +20 *2863:24 *2887:18 0.00029231 +21 *2863:24 *3400:8 2.27135e-05 +22 *2863:41 *3400:8 0.000407419 +23 *2863:42 *2890:33 6.50727e-05 +24 *2863:42 *3151:45 0.00177804 +25 *2863:45 *3051:6 0 +26 *2863:45 *3124:28 2.20585e-05 +27 la_data_in_mprj[41] *2863:17 0.000149233 +28 *451:5 *2863:17 0.000125455 +29 *1398:11 *3782:DIODE 0.000211478 +30 *1410:13 *22434:A_N 2.57986e-05 +31 *1901:18 *2863:42 0.000205101 +32 *1909:7 *2863:24 4.69495e-06 +33 *1909:7 *2863:41 7.68432e-05 +34 *1926:19 *2863:41 0.00018029 +35 *1928:28 *2863:42 0.000369284 +36 *1940:35 *2863:24 6.03237e-05 +37 *2135:20 *2863:24 0.000326283 +38 *2137:23 *2863:24 0.00185987 +39 *2341:45 *2863:24 5.83513e-05 +40 *2385:15 *2863:42 0.00362189 +41 *2589:18 *2863:17 2.99894e-05 +42 *2597:17 *2863:17 5.13735e-05 +43 *2597:17 *2863:24 0 +44 *2599:18 *2863:17 1.55376e-05 +45 *2599:18 *2863:24 0.00724337 +*RES +1 *22008:X *2863:17 42.9249 +2 *2863:17 *2863:24 21.1796 +3 *2863:24 *2863:26 4.5 +4 *2863:26 *4814:DIODE 9.24915 +5 *2863:26 *22434:A_N 10.5513 +6 *2863:24 *2863:41 13.3913 +7 *2863:41 *2863:42 85.5777 +8 *2863:42 *2863:45 5.91674 +9 *2863:45 *3782:DIODE 16.691 +10 *2863:45 *21592:A 15.1659 +*END + +*D_NET *2864 0.018829 +*CONN +*I *4816:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3783:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21593:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22435:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22009:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *4816:DIODE 0 +2 *3783:DIODE 3.5369e-05 +3 *21593:A 0 +4 *22435:A_N 0 +5 *22009:X 0.000703197 +6 *2864:35 0.000568631 +7 *2864:25 0.000596201 +8 *2864:19 0.00155816 +9 *2864:12 0.00427741 +10 *2864:10 0.00348539 +11 *3783:DIODE *3116:13 0.000324151 +12 *2864:10 *22896:A 2.65831e-05 +13 *2864:10 *3271:11 9.78551e-06 +14 *2864:12 *3271:6 0.00335069 +15 *2864:19 *3471:10 0 +16 *2864:35 *3116:13 0.000689473 +17 *2864:35 *3471:10 0.000139219 +18 la_data_in_mprj[41] *2864:10 7.44635e-05 +19 *4196:DIODE *2864:10 7.50722e-05 +20 *4817:DIODE *2864:19 2.41274e-06 +21 *22424:B *2864:19 0.000138635 +22 *579:12 *2864:10 4.62974e-05 +23 *1270:7 *2864:35 0.000271058 +24 *1270:11 *3783:DIODE 6.50954e-05 +25 *1270:11 *2864:35 0.000185642 +26 *1372:25 *2864:19 0 +27 *1399:10 *3783:DIODE 0.000164829 +28 *1900:24 *2864:19 4.02438e-05 +29 *1900:24 *2864:25 4.26431e-05 +30 *1900:24 *2864:35 7.99301e-05 +31 *1916:18 *2864:12 5.55076e-05 +32 *1916:18 *2864:19 5.17604e-05 +33 *2004:19 *2864:12 0.000283749 +34 *2004:19 *2864:19 0.000390228 +35 *2014:27 *2864:35 4.05187e-05 +36 *2318:53 *2864:19 1.17299e-05 +37 *2509:12 *2864:35 0 +38 *2575:12 *2864:10 0 +39 *2575:12 *2864:12 0 +40 *2578:6 *2864:10 0 +41 *2578:6 *2864:12 0.000945481 +42 *2578:6 *2864:19 0 +43 *2716:20 *2864:10 2.82472e-05 +44 *2722:10 *2864:10 6.50727e-05 +45 *2852:34 *2864:19 0 +46 *2863:17 *2864:10 6.12686e-06 +*RES +1 *22009:X *2864:10 25.8399 +2 *2864:10 *2864:12 81.5604 +3 *2864:12 *2864:19 34.4354 +4 *2864:19 *22435:A_N 9.24915 +5 *2864:19 *2864:25 1.8326 +6 *2864:25 *2864:35 25.8809 +7 *2864:35 *21593:A 9.24915 +8 *2864:35 *3783:DIODE 12.7456 +9 *2864:25 *4816:DIODE 9.24915 +*END + +*D_NET *2865 0.0552277 +*CONN +*I *3784:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21594:A I *D sky130_fd_sc_hd__inv_2 +*I *4818:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22436:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22010:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *3784:DIODE 3.12489e-05 +2 *21594:A 0 +3 *4818:DIODE 0 +4 *22436:A_N 0 +5 *22010:X 0.000744981 +6 *2865:63 9.4095e-05 +7 *2865:58 0.00419032 +8 *2865:56 0.00416683 +9 *2865:54 0.00112684 +10 *2865:53 0.00108749 +11 *2865:51 0.000868223 +12 *2865:34 0.000927402 +13 *2865:24 0.000236489 +14 *2865:18 0.00514846 +15 *2865:16 0.00571613 +16 *3784:DIODE *3130:35 0.0001237 +17 *2865:16 *22898:A 6.50727e-05 +18 *2865:16 *22899:A 6.50727e-05 +19 *2865:16 *2867:7 6.50727e-05 +20 *2865:16 *2867:8 0.000490682 +21 *2865:18 *2867:8 0.00117119 +22 *2865:18 *2867:12 0.000515778 +23 *2865:18 *3093:30 0.00013147 +24 *2865:24 *3097:33 2.1203e-06 +25 *2865:34 *3094:22 0 +26 *2865:51 *2995:60 0.000484849 +27 *2865:51 *3151:55 0.000943193 +28 *2865:54 *3802:DIODE 0.000406745 +29 *2865:54 *3029:31 0.00676388 +30 *2865:54 *3130:23 0.000337754 +31 *2865:58 *3029:21 1.65872e-05 +32 *2865:58 *3130:23 8.88984e-06 +33 *2865:58 *3130:35 1.71154e-05 +34 la_data_in_mprj[43] *2865:16 0.000113942 +35 *3915:DIODE *2865:16 0.000127194 +36 *4199:DIODE *2865:16 6.50586e-05 +37 *6317:DIODE *2865:51 0.000171288 +38 *21726:A *2865:16 0.00011818 +39 *23505:A *2865:51 4.37057e-05 +40 *454:16 *2865:16 3.58208e-05 +41 *837:12 *2865:16 9.09533e-05 +42 *1272:10 *2865:34 0.000169122 +43 *1272:10 *2865:51 0.000201759 +44 *1273:9 *2865:18 0.000106562 +45 *1380:25 *2865:24 0.000258222 +46 *1414:7 *2865:58 0.000263789 +47 *1414:20 *2865:58 0.00275562 +48 *1414:23 *3784:DIODE 0.000270995 +49 *1414:23 *2865:58 0.000678547 +50 *1885:19 *2865:18 2.90821e-05 +51 *1901:28 *2865:34 7.36794e-05 +52 *1901:28 *2865:51 0.001182 +53 *2011:50 *2865:51 6.08467e-05 +54 *2332:72 *2865:18 4.69495e-06 +55 *2385:22 *2865:58 0.00250527 +56 *2385:31 *2865:54 0.00760857 +57 *2434:11 *2865:58 0.000231696 +58 *2458:31 *2865:54 0.000101365 +59 *2582:10 *2865:16 3.59302e-05 +60 *2751:6 *2865:18 0 +61 *2751:6 *2865:24 0.000119924 +62 *2751:6 *2865:34 4.2168e-05 +63 *2795:6 *2865:51 0.00048839 +64 *2829:9 *2865:24 0.000261032 +65 *2854:18 *2865:16 0.00011079 +66 *2854:18 *2865:18 3.20069e-06 +67 *2854:20 *2865:18 0.000750721 +68 *2854:36 *2865:18 0.000199895 +*RES +1 *22010:X *2865:16 38.3547 +2 *2865:16 *2865:18 114.744 +3 *2865:18 *2865:24 14.6043 +4 *2865:24 *22436:A_N 13.7491 +5 *2865:24 *2865:34 7.33409 +6 *2865:34 *4818:DIODE 9.24915 +7 *2865:34 *2865:51 46.804 +8 *2865:51 *2865:53 4.5 +9 *2865:53 *2865:54 87.7962 +10 *2865:54 *2865:56 0.988641 +11 *2865:56 *2865:58 73.3765 +12 *2865:58 *2865:63 10.0015 +13 *2865:63 *21594:A 9.24915 +14 *2865:63 *3784:DIODE 12.191 +*END + +*D_NET *2866 0.0608353 +*CONN +*I *4820:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3785:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21595:A I *D sky130_fd_sc_hd__inv_2 +*I *22437:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22011:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *4820:DIODE 0 +2 *3785:DIODE 2.19902e-05 +3 *21595:A 0 +4 *22437:A_N 0 +5 *22011:X 0 +6 *2866:53 0.000392136 +7 *2866:52 0.000652299 +8 *2866:47 0.0050795 +9 *2866:46 0.00608035 +10 *2866:33 0.00334619 +11 *2866:23 0.00213482 +12 *2866:15 0.000277774 +13 *2866:14 0.00110709 +14 *2866:6 0.00354122 +15 *2866:5 0.00264028 +16 *2866:6 *2867:8 0.000536398 +17 *2866:14 *3040:28 0.00122326 +18 *2866:15 *3380:31 0.000401972 +19 *2866:23 *3380:31 0.000419724 +20 *2866:33 *4824:DIODE 0.000102003 +21 *2866:33 *22440:A_N 6.00014e-05 +22 *2866:33 *2868:15 0.000324151 +23 *2866:33 *3096:40 0 +24 *2866:46 *22440:A_N 0.000174788 +25 *2866:46 *2876:42 0 +26 *2866:46 *3108:15 0 +27 *2866:46 *3142:11 5.69706e-05 +28 *2866:46 *3394:30 0 +29 *2866:47 *21615:A 7.61254e-05 +30 *2866:47 *2870:67 0.000357911 +31 *2866:47 *3151:43 0.00128787 +32 *2866:47 *3151:45 0.00211151 +33 *2866:47 *3433:15 0.000202746 +34 *2866:47 *3433:19 0.000267774 +35 *2866:52 *3410:14 0.000301303 +36 *3657:DIODE *2866:15 0.000304216 +37 *4199:DIODE *2866:6 0.00014998 +38 *4582:DIODE *2866:46 4.52625e-05 +39 *4583:DIODE *2866:46 0.000161217 +40 *4843:DIODE *2866:47 6.50727e-05 +41 *4869:DIODE *2866:47 6.08467e-05 +42 *21870:A *2866:6 3.8079e-05 +43 *22318:A *2866:46 6.64392e-05 +44 *22318:TE *2866:46 0.000233733 +45 *23554:TE *2866:46 7.75133e-06 +46 *454:16 *2866:6 1.07248e-05 +47 *582:5 *2866:6 7.41203e-05 +48 *1153:54 *2866:6 0.000330038 +49 *1381:31 *2866:46 1.00981e-05 +50 *1384:7 *2866:15 0.0017021 +51 *1384:7 *2866:23 2.41445e-05 +52 *1384:15 *2866:23 0.000375027 +53 *1388:9 *2866:33 0.00067197 +54 *1388:11 *2866:33 9.95922e-06 +55 *1388:13 *2866:33 3.0279e-05 +56 *1388:13 *2866:46 0.000441662 +57 *1404:24 *2866:47 0.00118399 +58 *1410:19 *2866:53 0.000741699 +59 *1410:25 *3785:DIODE 0.000150632 +60 *1410:25 *2866:53 0.00192213 +61 *1656:9 *2866:6 0.000716532 +62 *1785:8 *2866:33 0.000109868 +63 *1887:20 *2866:6 1.42932e-05 +64 *1891:20 *2866:6 0.000233914 +65 *1900:19 *2866:33 3.00073e-05 +66 *1902:19 *2866:33 0 +67 *1904:20 *2866:46 0.000219844 +68 *1926:26 *2866:47 0.00270601 +69 *1928:28 *2866:47 0.00203238 +70 *1931:35 *2866:52 0 +71 *1941:30 *2866:47 0.00550992 +72 *1941:36 *3785:DIODE 0.000164829 +73 *1941:36 *2866:53 0.00358227 +74 *2042:19 *2866:46 7.13655e-06 +75 *2060:17 *2866:6 0.000740815 +76 *2061:19 *2866:46 5.6114e-05 +77 *2156:42 *2866:14 0.000211478 +78 *2394:18 *2866:33 0.000725745 +79 *2581:9 *2866:15 0.000472818 +80 *2582:10 *2866:6 0 +81 *2582:14 *2866:6 0 +82 *2609:26 *2866:52 1.16316e-05 +83 *2651:8 *2866:6 8.41232e-05 +84 *2651:8 *2866:14 0.00121624 +85 *2851:8 *2866:46 0 +*RES +1 *22011:X *2866:5 13.7491 +2 *2866:5 *2866:6 71.5944 +3 *2866:6 *2866:14 41.3886 +4 *2866:14 *2866:15 18.4707 +5 *2866:15 *22437:A_N 9.24915 +6 *2866:15 *2866:23 5.18434 +7 *2866:23 *2866:33 49.7095 +8 *2866:33 *2866:46 44.0538 +9 *2866:46 *2866:47 163.777 +10 *2866:47 *2866:52 15.3998 +11 *2866:52 *2866:53 38.4364 +12 *2866:53 *21595:A 9.24915 +13 *2866:53 *3785:DIODE 11.0817 +14 *2866:23 *4820:DIODE 9.24915 +*END + +*D_NET *2867 0.0205775 +*CONN +*I *3786:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21596:A I *D sky130_fd_sc_hd__inv_2 +*I *22438:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4822:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22012:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3786:DIODE 6.70089e-05 +2 *21596:A 0 +3 *22438:A_N 0 +4 *4822:DIODE 0.000100058 +5 *22012:X 6.86523e-05 +6 *2867:34 0.00113993 +7 *2867:18 0.000109798 +8 *2867:14 0.00111889 +9 *2867:12 0.00279845 +10 *2867:10 0.00279513 +11 *2867:8 0.00246619 +12 *2867:7 0.00250193 +13 *3786:DIODE *3130:20 2.33664e-05 +14 *2867:12 *3093:30 0 +15 *2867:12 *3096:36 0.000112159 +16 *2867:12 *3383:16 0 +17 *2867:34 *3093:30 0 +18 *2867:34 *3130:20 6.78364e-06 +19 *3915:DIODE *2867:8 7.77309e-06 +20 *4799:DIODE *2867:12 8.5809e-05 +21 *4823:DIODE *2867:34 2.75568e-05 +22 *21870:A *2867:8 8.52652e-05 +23 *22298:TE *2867:12 6.98648e-06 +24 *23519:TE *2867:12 1.77439e-05 +25 *454:16 *2867:7 0.000118166 +26 *582:5 *2867:8 0.000151741 +27 *838:8 *2867:7 6.08467e-05 +28 *1153:54 *2867:8 0.000121548 +29 *1272:10 *4822:DIODE 0.000317707 +30 *1374:14 *2867:34 6.98546e-05 +31 *1378:11 *2867:34 0.000104347 +32 *1402:11 *3786:DIODE 0.000417339 +33 *1774:6 *2867:12 2.30796e-05 +34 *1784:9 *2867:18 3.18045e-06 +35 *1784:9 *2867:34 0.000166828 +36 *1786:11 *4822:DIODE 2.65831e-05 +37 *1885:19 *2867:12 8.86331e-05 +38 *1891:20 *2867:8 2.43203e-05 +39 *1891:20 *2867:12 0.000309685 +40 *1903:15 *2867:12 0.000445401 +41 *1903:15 *2867:34 4.8247e-06 +42 *2013:31 *2867:14 0 +43 *2013:31 *2867:34 4.15661e-05 +44 *2025:13 *2867:12 9.24983e-05 +45 *2060:17 *2867:8 0.000271745 +46 *2170:23 *2867:12 8.98169e-05 +47 *2332:72 *2867:12 1.5714e-05 +48 *2385:31 *3786:DIODE 0.000417339 +49 *2385:41 *2867:34 0.000876101 +50 *2651:8 *2867:12 0 +51 *2651:8 *2867:34 0 +52 *2865:16 *2867:7 6.50727e-05 +53 *2865:16 *2867:8 0.000490682 +54 *2865:18 *2867:8 0.00117119 +55 *2865:18 *2867:12 0.000515778 +56 *2866:6 *2867:8 0.000536398 +*RES +1 *22012:X *2867:7 16.1364 +2 *2867:7 *2867:8 67.9182 +3 *2867:8 *2867:10 0.732798 +4 *2867:10 *2867:12 59.1612 +5 *2867:12 *2867:14 0.732798 +6 *2867:14 *2867:18 4.75648 +7 *2867:18 *4822:DIODE 12.7456 +8 *2867:18 *22438:A_N 9.24915 +9 *2867:14 *2867:34 26.5223 +10 *2867:34 *21596:A 9.24915 +11 *2867:34 *3786:DIODE 14.4094 +*END + +*D_NET *2868 0.0194452 +*CONN +*I *4824:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3787:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21597:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22439:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22013:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *4824:DIODE 1.46379e-05 +2 *3787:DIODE 4.85915e-05 +3 *21597:A 0 +4 *22439:A_N 0 +5 *22013:X 0.000271312 +6 *2868:21 0.00105806 +7 *2868:15 0.00105966 +8 *2868:10 3.55484e-05 +9 *2868:8 0.00437987 +10 *2868:7 0.00465118 +11 *3787:DIODE *3098:49 0.000259093 +12 *3787:DIODE *3130:20 6.50727e-05 +13 *2868:7 *22901:A 5.56461e-05 +14 *2868:8 *22901:A 0.000410711 +15 *2868:8 *3277:6 0.000127179 +16 *2868:8 *3380:32 0.000731661 +17 *2868:21 *3097:34 0.000177259 +18 *2868:21 *3098:49 0.000525925 +19 *2868:21 *3130:20 0.000477015 +20 *2868:21 *3380:32 0 +21 *2868:21 *3402:6 3.48896e-05 +22 la_data_in_mprj[46] *2868:7 0.000152955 +23 *4202:DIODE *2868:7 1.00846e-05 +24 *22439:B *2868:21 9.83674e-06 +25 *1276:9 *2868:21 0.000112928 +26 *1388:9 *4824:DIODE 0.000113968 +27 *1388:9 *2868:15 0.00031994 +28 *1658:10 *2868:8 8.06348e-05 +29 *1787:8 *2868:21 0.000270588 +30 *2394:18 *2868:8 0.00131112 +31 *2583:18 *2868:8 0.000464651 +32 *2583:20 *2868:8 0.00165663 +33 *2724:10 *2868:8 0 +34 *2853:20 *2868:8 0 +35 *2862:17 *3787:DIODE 0.000113197 +36 *2862:17 *2868:21 1.92336e-05 +37 *2866:33 *4824:DIODE 0.000102003 +38 *2866:33 *2868:15 0.000324151 +*RES +1 *22013:X *2868:7 20.5732 +2 *2868:7 *2868:8 111.874 +3 *2868:8 *2868:10 4.5 +4 *2868:10 *22439:A_N 9.24915 +5 *2868:10 *2868:15 3.49641 +6 *2868:15 *2868:21 35.2332 +7 *2868:21 *21597:A 9.24915 +8 *2868:21 *3787:DIODE 12.7456 +9 *2868:15 *4824:DIODE 10.5271 +*END + +*D_NET *2869 0.0218194 +*CONN +*I *3788:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21598:A I *D sky130_fd_sc_hd__inv_2 +*I *4826:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22440:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22014:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3788:DIODE 1.96475e-05 +2 *21598:A 0 +3 *4826:DIODE 0 +4 *22440:A_N 0.000148592 +5 *22014:X 0.000542535 +6 *2869:50 0.000442597 +7 *2869:30 0.000148592 +8 *2869:28 0.00231069 +9 *2869:27 0.00200319 +10 *2869:22 0.00208839 +11 *2869:20 0.00229555 +12 *2869:16 0.000865148 +13 *3788:DIODE *3116:25 0.000261951 +14 *3788:DIODE *3133:17 0.000278114 +15 *2869:16 *22902:A 8.62625e-06 +16 *2869:16 *2961:35 0.000456433 +17 *2869:20 *22903:A 0.000192579 +18 *2869:20 *22904:A 0 +19 *2869:20 *3279:8 0.000108446 +20 *2869:22 *3029:46 5.21758e-06 +21 *2869:22 *3123:27 0.000946922 +22 *2869:22 *3123:30 1.57881e-05 +23 *2869:22 *3162:52 0 +24 *2869:27 *2870:23 1.00846e-05 +25 *2869:28 *3162:52 0.000518171 +26 *2869:50 *3116:25 0.000423922 +27 *2869:50 *3133:17 0.000423922 +28 *2869:50 *3162:52 7.54166e-05 +29 *2869:50 *3383:28 0.000144946 +30 la_data_in_mprj[47] *2869:16 6.96906e-05 +31 la_data_in_mprj[49] *2869:16 0.000115263 +32 *3920:DIODE *2869:16 0 +33 *4202:DIODE *2869:16 9.46343e-05 +34 *4204:DIODE *2869:16 3.88655e-06 +35 *4204:DIODE *2869:20 0.000165199 +36 *457:7 *2869:16 5.8261e-05 +37 *459:10 *2869:16 0.000148144 +38 *587:8 *2869:16 0 +39 *587:8 *2869:20 0 +40 *1982:14 *2869:27 0.000398075 +41 *2013:13 *2869:22 0.000848669 +42 *2025:7 *2869:28 0 +43 *2105:16 *2869:22 3.59317e-05 +44 *2129:33 *2869:28 0 +45 *2129:37 *2869:28 0 +46 *2129:37 *2869:50 0 +47 *2185:13 *2869:28 0.0010065 +48 *2185:16 *2869:27 0.000126107 +49 *2332:58 *2869:28 4.93653e-05 +50 *2583:18 *2869:16 3.89332e-06 +51 *2586:11 *2869:16 0.000835907 +52 *2587:14 *2869:16 0.000267146 +53 *2587:14 *2869:20 6.04912e-06 +54 *2587:16 *2869:20 0.000422387 +55 *2587:16 *2869:22 0.000595408 +56 *2591:16 *2869:20 0 +57 *2591:16 *2869:22 0 +58 *2591:16 *2869:28 0.00159865 +59 *2866:33 *22440:A_N 6.00014e-05 +60 *2866:46 *22440:A_N 0.000174788 +*RES +1 *22014:X *2869:16 48.6239 +2 *2869:16 *2869:20 11.7003 +3 *2869:20 *2869:22 51.0394 +4 *2869:22 *2869:27 13.6056 +5 *2869:27 *2869:28 50.8318 +6 *2869:28 *2869:30 4.5 +7 *2869:30 *22440:A_N 12.2151 +8 *2869:30 *4826:DIODE 9.24915 +9 *2869:28 *2869:50 17.6549 +10 *2869:50 *21598:A 9.24915 +11 *2869:50 *3788:DIODE 12.191 +*END + +*D_NET *2870 0.0746017 +*CONN +*I *22441:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21599:A I *D sky130_fd_sc_hd__inv_2 +*I *3789:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4828:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22015:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *22441:A_N 0 +2 *21599:A 0 +3 *3789:DIODE 0.000207531 +4 *4828:DIODE 0 +5 *22015:X 0.000329483 +6 *2870:92 0.000373635 +7 *2870:89 0.00137905 +8 *2870:87 0.00192659 +9 *2870:79 0.00115257 +10 *2870:76 0.00187645 +11 *2870:67 0.00382251 +12 *2870:43 0.00240765 +13 *2870:37 0.000210794 +14 *2870:34 0.00223873 +15 *2870:32 0.00278283 +16 *2870:23 0.00219587 +17 *2870:12 0.00309063 +18 *2870:9 0.00195647 +19 *3789:DIODE *3143:45 2.35857e-05 +20 *3789:DIODE *3163:11 0.000266846 +21 *3789:DIODE *3217:8 7.59407e-05 +22 *2870:9 *2871:15 4.43502e-05 +23 *2870:12 *2995:72 3.65419e-06 +24 *2870:12 *2995:76 0.000299941 +25 *2870:23 *2995:72 0.000312641 +26 *2870:23 *2995:76 1.03966e-05 +27 *2870:34 *3099:12 0.00041254 +28 *2870:34 *3162:52 0.000295319 +29 *2870:37 *3151:45 0.00020468 +30 *2870:43 *3151:45 2.65667e-05 +31 *2870:67 *3100:20 1.66771e-05 +32 *2870:67 *3108:18 3.29488e-05 +33 *2870:67 *3121:18 0.00373567 +34 *2870:67 *3129:17 0.000730849 +35 *2870:67 *3383:28 0 +36 *2870:67 *3391:20 3.29488e-05 +37 *2870:67 *3433:15 0.00413609 +38 *2870:76 *2878:40 0 +39 *2870:76 *2882:39 0.00111153 +40 *2870:76 *2918:19 0.000593867 +41 *2870:76 *3006:28 1.5714e-05 +42 *2870:79 *3006:28 0.0031275 +43 *2870:79 *3402:23 0.00323148 +44 *2870:87 *3414:7 3.83172e-05 +45 *2870:89 *3806:DIODE 0.000277502 +46 *2870:89 *2891:36 0.00133263 +47 *2870:89 *2893:58 0.000723234 +48 *2870:89 *2893:62 0.000281599 +49 *2870:89 *2893:64 0.00414206 +50 *2870:89 *3132:27 0.00130966 +51 *2870:89 *3412:11 0.000680767 +52 *2870:89 *3414:7 0.00210754 +53 *2870:89 *3414:12 0.000209065 +54 *2870:92 *3217:8 0.000312423 +55 *4063:DIODE *2870:12 2.97152e-05 +56 *5886:DIODE *2870:23 0.000197754 +57 *6380:DIODE *2870:87 0.000164829 +58 *21479:A *2870:76 3.85412e-05 +59 *23158:A *2870:12 0.000216073 +60 *23290:B *2870:23 8.01374e-05 +61 *586:5 *2870:12 9.28816e-05 +62 *842:8 *2870:12 1.44611e-05 +63 *1150:14 *2870:12 0.000162759 +64 *1286:9 *2870:76 0.000110659 +65 *1387:27 *2870:34 6.53173e-05 +66 *1405:9 *3789:DIODE 9.12416e-06 +67 *1405:9 *2870:92 0.000321547 +68 *1412:11 *2870:76 1.05272e-06 +69 *1424:10 *2870:89 0.000534349 +70 *1661:6 *2870:12 7.50872e-05 +71 *1883:20 *2870:32 0.000222174 +72 *1883:20 *2870:34 0.00023928 +73 *1883:22 *2870:34 0.000259515 +74 *1891:15 *2870:23 7.08433e-05 +75 *1892:13 *2870:23 1.06377e-05 +76 *1893:15 *2870:23 0.000230304 +77 *1895:18 *2870:23 8.92739e-06 +78 *1897:12 *2870:23 0.000221585 +79 *1898:15 *2870:23 3.88655e-06 +80 *1906:18 *2870:34 6.34564e-05 +81 *1928:21 *2870:67 4.20184e-06 +82 *1928:28 *2870:67 0.000590952 +83 *1971:22 *2870:32 0.000157415 +84 *1982:14 *2870:23 2.02114e-05 +85 *2003:38 *2870:23 3.3239e-06 +86 *2013:13 *2870:32 0.000149857 +87 *2013:20 *2870:32 1.68962e-05 +88 *2013:20 *2870:34 4.59541e-06 +89 *2017:13 *2870:23 2.29133e-05 +90 *2025:7 *2870:32 0.000116001 +91 *2032:24 *2870:32 4.28856e-07 +92 *2042:19 *2870:67 0.000114471 +93 *2046:19 *2870:76 0 +94 *2129:33 *2870:32 4.36e-05 +95 *2129:47 *2870:67 0.00200654 +96 *2153:37 *2870:32 0.000311756 +97 *2161:18 *2870:32 7.37609e-05 +98 *2178:13 *2870:32 0.000449372 +99 *2178:14 *2870:23 0.000983726 +100 *2185:16 *2870:23 0.000839553 +101 *2188:29 *2870:32 4.73365e-05 +102 *2188:29 *2870:34 0.000532283 +103 *2194:23 *2870:23 7.13655e-06 +104 *2332:58 *2870:32 1.5714e-05 +105 *2368:34 *2870:23 1.84334e-05 +106 *2385:30 *2870:76 8.08437e-05 +107 *2386:24 *2870:87 0.000749581 +108 *2386:25 *2870:79 0.00013754 +109 *2386:25 *2870:87 0.00130951 +110 *2440:15 *2870:87 0.00196858 +111 *2440:15 *2870:89 0.00312176 +112 *2458:30 *2870:76 7.26959e-06 +113 *2465:15 *2870:79 0.00012601 +114 *2465:15 *2870:89 0.000291404 +115 *2586:12 *2870:12 0 +116 *2586:12 *2870:23 0 +117 *2587:14 *2870:12 0 +118 *2587:27 *2870:23 0 +119 *2588:12 *2870:9 0.000317693 +120 *2591:16 *2870:32 5.1493e-06 +121 *2727:12 *2870:12 0 +122 *2733:8 *2870:12 0 +123 *2851:20 *2870:34 3.55975e-05 +124 *2866:47 *2870:67 0.000357911 +125 *2869:27 *2870:23 1.00846e-05 +*RES +1 *22015:X *2870:9 19.4881 +2 *2870:9 *2870:12 37.8002 +3 *2870:12 *2870:23 49.0893 +4 *2870:23 *2870:32 32.0527 +5 *2870:32 *2870:34 46.4716 +6 *2870:34 *2870:37 9.66022 +7 *2870:37 *4828:DIODE 9.24915 +8 *2870:37 *2870:43 0.723396 +9 *2870:43 *2870:67 42.2982 +10 *2870:67 *2870:76 42.6145 +11 *2870:76 *2870:79 38.4606 +12 *2870:79 *2870:87 36.9896 +13 *2870:87 *2870:89 104.434 +14 *2870:89 *2870:92 10.8998 +15 *2870:92 *3789:DIODE 18.1077 +16 *2870:92 *21599:A 13.7491 +17 *2870:43 *22441:A_N 9.24915 +*END + +*D_NET *2871 0.0155601 +*CONN +*I *3790:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21600:A I *D sky130_fd_sc_hd__inv_2 +*I *4830:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22442:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22016:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3790:DIODE 0.000133285 +2 *21600:A 0.000109862 +3 *4830:DIODE 2.19902e-05 +4 *22442:A_N 0 +5 *22016:X 0.000476373 +6 *2871:38 0.00109864 +7 *2871:23 3.6751e-05 +8 *2871:20 0.00175231 +9 *2871:16 0.00413268 +10 *2871:15 0.003727 +11 *2871:16 *3217:26 0 +12 *2871:20 *3402:6 0.000622026 +13 *2871:38 *3136:8 0 +14 *2871:38 *3402:6 0.000434565 +15 *4061:DIODE *2871:16 0.000123582 +16 *4203:DIODE *2871:15 0.000118166 +17 *4203:DIODE *2871:16 0 +18 *4831:DIODE *2871:38 1.66923e-05 +19 *585:5 *2871:16 0.00022117 +20 *841:8 *2871:15 6.50727e-05 +21 *841:8 *2871:16 1.77537e-06 +22 *842:8 *2871:15 0.000167076 +23 *1384:15 *3790:DIODE 9.40969e-05 +24 *1384:15 *21600:A 0.000317707 +25 *1384:15 *2871:38 0.000273676 +26 *1389:10 *2871:16 6.34059e-07 +27 *1389:10 *2871:20 0.000260778 +28 *1660:10 *2871:16 0.000244182 +29 *2003:38 *2871:16 5.58834e-05 +30 *2160:22 *4830:DIODE 0.000164829 +31 *2160:22 *2871:23 6.08467e-05 +32 *2175:24 *21600:A 0.000317707 +33 *2176:45 *2871:16 9.14163e-05 +34 *2176:45 *2871:20 0 +35 *2340:10 *4830:DIODE 0.000150632 +36 *2340:10 *2871:23 6.08467e-05 +37 *2583:18 *2871:16 0 +38 *2583:20 *2871:16 0 +39 *2583:20 *2871:20 0 +40 *2583:20 *2871:38 0 +41 *2586:12 *21600:A 2.53314e-05 +42 *2587:28 *21600:A 0 +43 *2588:12 *2871:15 0.000138226 +44 *2588:12 *2871:16 0 +45 *2588:14 *2871:16 0 +46 *2870:9 *2871:15 4.43502e-05 +*RES +1 *22016:X *2871:15 27.0596 +2 *2871:15 *2871:16 67.9182 +3 *2871:16 *2871:20 20.0909 +4 *2871:20 *2871:23 5.2234 +5 *2871:23 *22442:A_N 9.24915 +6 *2871:23 *4830:DIODE 11.0817 +7 *2871:20 *2871:38 21.1852 +8 *2871:38 *21600:A 23.1623 +9 *2871:38 *3790:DIODE 11.6364 +*END + +*D_NET *2872 0.0400511 +*CONN +*I *21601:A I *D sky130_fd_sc_hd__inv_2 +*I *3791:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4832:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22443:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22017:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21601:A 9.57329e-05 +2 *3791:DIODE 0.000165316 +3 *4832:DIODE 0 +4 *22443:A_N 0.000112764 +5 *22017:X 0.00142424 +6 *2872:38 0.000349281 +7 *2872:31 9.80776e-05 +8 *2872:21 0.0045714 +9 *2872:15 0.00587303 +10 *21601:A *3121:22 1.26094e-05 +11 *22443:A_N *3121:22 1.40578e-05 +12 *22443:A_N *3122:19 0.000470585 +13 *22443:A_N *3162:47 0.000116764 +14 *22443:A_N *3162:49 0.000151319 +15 *2872:15 *2878:19 0.000325344 +16 *2872:15 *2966:15 0.000188196 +17 *2872:21 *2882:15 0.00846232 +18 *2872:31 *3121:22 6.34651e-06 +19 *2872:38 *3121:22 2.52575e-05 +20 la_data_in_mprj[50] *2872:15 0.000113077 +21 *843:8 *2872:15 3.0951e-05 +22 *1279:5 *21601:A 0.000271058 +23 *1909:20 *21601:A 2.55432e-05 +24 *1909:20 *2872:31 3.5534e-06 +25 *1909:20 *2872:38 2.51488e-05 +26 *2075:27 *2872:21 0 +27 *2079:20 *2872:21 5.76913e-05 +28 *2083:23 *2872:21 6.06847e-05 +29 *2441:11 *21601:A 4.82966e-05 +30 *2450:43 *21601:A 0 +31 *2450:47 *3791:DIODE 0.000271058 +32 *2450:47 *21601:A 0.000377273 +33 *2589:18 *2872:15 0.000221971 +34 *2591:14 *2872:15 5.22654e-06 +35 *2594:20 *2872:15 9.22232e-05 +36 *2597:17 *2872:15 0.00236543 +37 *2597:17 *2872:21 0.00729624 +38 *2599:18 *2872:21 0 +39 *2863:17 *2872:15 0.006323 +*RES +1 *22017:X *2872:15 29.2435 +2 *2872:15 *2872:21 25.4874 +3 *2872:21 *22443:A_N 19.7032 +4 *2872:21 *2872:31 0.378612 +5 *2872:31 *4832:DIODE 13.7491 +6 *2872:31 *2872:38 1.832 +7 *2872:38 *3791:DIODE 16.691 +8 *2872:38 *21601:A 19.3804 +*END + +*D_NET *2873 0.0641748 +*CONN +*I *6080:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23387:A I *D sky130_fd_sc_hd__nand2_1 +*I *22018:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6080:DIODE 0.000137566 +2 *23387:A 2.4672e-05 +3 *22018:X 0 +4 *2873:24 0.000162238 +5 *2873:22 0.00227499 +6 *2873:21 0.00227499 +7 *2873:19 0.0119495 +8 *2873:18 0.0140241 +9 *2873:8 0.00441489 +10 *2873:5 0.00234023 +11 *2873:8 *22747:A 0 +12 *2873:8 *22748:A 0 +13 *2873:8 *23003:A 5.30643e-05 +14 *2873:8 *2988:33 0.000131165 +15 *2873:8 *3105:18 0 +16 *2873:8 *3107:12 0 +17 *2873:8 *3390:11 0.000235802 +18 *2873:18 *3100:20 3.86017e-05 +19 *2873:18 *3413:9 0.00290981 +20 *2873:19 *2929:21 0.000108607 +21 la_oenb_core[22] *2873:8 2.24412e-05 +22 *6081:DIODE *6080:DIODE 0.000113968 +23 *6081:DIODE *23387:A 6.08467e-05 +24 *22313:TE *2873:18 4.54607e-05 +25 *22441:B *2873:18 8.85887e-05 +26 *23511:TE *2873:8 4.49767e-05 +27 *1369:31 *2873:22 5.84166e-05 +28 *1380:29 *2873:18 0.000199333 +29 *1789:6 *2873:18 8.60109e-05 +30 *1907:22 *2873:18 5.95048e-05 +31 *1933:7 *2873:8 0 +32 *1997:35 *2873:22 0.000769199 +33 *1998:13 *2873:22 0 +34 *2018:19 *2873:8 0.000140871 +35 *2379:26 *2873:22 0.000479731 +36 *2380:18 *2873:22 0 +37 *2457:41 *2873:19 0.000127605 +38 *2458:31 *2873:19 0.000118462 +39 *2818:8 *2873:18 7.13807e-06 +40 *2842:12 *2873:22 0.000446446 +41 *2842:24 *2873:22 0.00019699 +42 *2862:17 *2873:19 0.0200285 +*RES +1 *22018:X *2873:5 13.7491 +2 *2873:5 *2873:8 49.103 +3 *2873:8 *2873:18 48.21 +4 *2873:18 *2873:19 215.355 +5 *2873:19 *2873:21 4.5 +6 *2873:21 *2873:22 57.4758 +7 *2873:22 *2873:24 4.5 +8 *2873:24 *23387:A 9.97254 +9 *2873:24 *6080:DIODE 12.7456 +*END + +*D_NET *2874 0.0145195 +*CONN +*I *3746:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21556:A I *D sky130_fd_sc_hd__inv_2 +*I *4834:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22444:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22019:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3746:DIODE 4.59672e-05 +2 *21556:A 2.7851e-05 +3 *4834:DIODE 4.18965e-05 +4 *22444:A_N 0 +5 *22019:X 0.000978863 +6 *2874:38 0.000841413 +7 *2874:21 0.000891051 +8 *2874:12 0.0024332 +9 *2874:11 0.0033305 +10 *3746:DIODE *3114:15 0.000120546 +11 *4834:DIODE *2910:24 6.50586e-05 +12 *2874:11 *5467:DIODE 5.05841e-05 +13 *2874:11 *22916:A 0.000183012 +14 *2874:11 *3330:13 4.38577e-05 +15 *2874:12 *2910:6 0.00168098 +16 *2874:12 *2961:54 0 +17 *2874:21 *2910:24 4.09467e-05 +18 *2874:38 *3749:DIODE 0.000205985 +19 *2874:38 *2910:24 1.41976e-05 +20 *2874:38 *3114:15 0.000382777 +21 *2874:38 *3176:8 0 +22 la_data_in_mprj[8] *2874:11 0.000171273 +23 *3935:DIODE *2874:11 6.73186e-05 +24 *3947:DIODE *2874:11 4.31703e-05 +25 *3959:DIODE *2874:11 6.50727e-05 +26 *4077:DIODE *2874:11 7.48797e-05 +27 *4089:DIODE *2874:11 0.000137225 +28 *4207:DIODE *2874:11 4.81015e-05 +29 *4243:DIODE *2874:11 0.000106215 +30 *4243:DIODE *2874:12 5.68225e-06 +31 *4757:DIODE *2874:12 0 +32 *4835:DIODE *2874:12 2.56676e-05 +33 *22031:A *2874:11 0.00011818 +34 *22405:B *2874:12 0 +35 *610:8 *2874:11 6.50727e-05 +36 *632:8 *2874:12 2.71542e-05 +37 *877:8 *2874:11 0.000224395 +38 *1258:16 *2874:21 0 +39 *1258:16 *2874:38 0.00043166 +40 *1313:11 *2874:38 0 +41 *1408:5 *3746:DIODE 9.9028e-05 +42 *1753:8 *2874:12 0 +43 *1894:12 *2874:12 0 +44 *1938:36 *2874:12 0.000298473 +45 *1938:36 *2874:21 4.73625e-05 +46 *2321:23 *2874:12 4.42742e-06 +47 *2321:23 *2874:21 1.35073e-05 +48 *2614:17 *2874:38 0 +49 *2720:8 *2874:11 0.000164829 +50 *2756:10 *2874:11 7.34695e-05 +51 *2756:10 *2874:12 0.000828648 +*RES +1 *22019:X *2874:11 43.5048 +2 *2874:11 *2874:12 80.3147 +3 *2874:12 *22444:A_N 13.7491 +4 *2874:12 *2874:21 7.1625 +5 *2874:21 *4834:DIODE 9.97254 +6 *2874:21 *2874:38 31.4877 +7 *2874:38 *21556:A 9.99666 +8 *2874:38 *3746:DIODE 12.191 +*END + +*D_NET *2875 0.0289036 +*CONN +*I *4836:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3792:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21602:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22445:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22020:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *4836:DIODE 0 +2 *3792:DIODE 6.4762e-05 +3 *21602:A 4.46734e-05 +4 *22445:A_N 0 +5 *22020:X 0.000839134 +6 *2875:59 0.000377244 +7 *2875:56 0.000794175 +8 *2875:47 0.00126666 +9 *2875:38 0.000819347 +10 *2875:28 0.00242918 +11 *2875:21 0.00438012 +12 *2875:10 0.00286912 +13 *3792:DIODE *3149:18 1.15389e-05 +14 *21602:A *3149:18 6.08467e-05 +15 *2875:10 *22906:A 0.000235992 +16 *2875:10 *3282:8 5.23737e-05 +17 *2875:21 *3282:8 0.00049878 +18 *2875:28 *3062:40 6.69933e-05 +19 *2875:28 *3119:18 7.08433e-05 +20 *2875:38 *3119:18 4.99006e-05 +21 *2875:56 *3115:24 0.0015235 +22 *2875:56 *3251:32 4.91859e-05 +23 la_data_in_mprj[50] *2875:10 7.50722e-05 +24 *3924:DIODE *2875:10 0.000212208 +25 *4066:DIODE *2875:10 0 +26 *4205:DIODE *2875:10 1.38872e-05 +27 *4208:DIODE *2875:10 9.19886e-06 +28 *6127:DIODE *2875:21 0 +29 *21876:A *2875:10 7.34948e-06 +30 *22321:TE *2875:47 0.000161304 +31 *22445:B *2875:38 0.000111722 +32 *23282:B *2875:21 1.79672e-05 +33 *23283:A *2875:21 0 +34 *461:8 *2875:10 2.65831e-05 +35 *845:8 *2875:10 1.62073e-05 +36 *1392:23 *2875:38 4.17556e-05 +37 *1392:23 *2875:47 0.00175508 +38 *1394:17 *2875:21 0 +39 *1409:10 *3792:DIODE 0.000263135 +40 *1529:6 *2875:21 0 +41 *1657:9 *2875:21 0 +42 *1665:12 *2875:21 0.000162556 +43 *1797:8 *2875:47 0.000135973 +44 *1896:12 *2875:21 0.00016989 +45 *1897:12 *2875:21 0.000177048 +46 *1916:10 *2875:28 2.35827e-05 +47 *1916:10 *2875:38 1.37385e-05 +48 *1921:7 *2875:59 0.000292585 +49 *1922:11 *2875:59 0.00029601 +50 *1928:21 *2875:56 0.000149783 +51 *1939:22 *3792:DIODE 0.000127828 +52 *1939:22 *21602:A 2.05223e-05 +53 *1941:7 *2875:47 0.000459721 +54 *1943:8 *2875:47 0.000130755 +55 *1946:13 *2875:47 3.53886e-05 +56 *1947:22 *2875:47 0.00101669 +57 *1982:13 *2875:21 2.0075e-05 +58 *2022:28 *2875:47 0 +59 *2025:5 *2875:21 0 +60 *2040:28 *2875:21 0.000148357 +61 *2129:33 *2875:28 0.000779643 +62 *2147:49 *2875:21 0 +63 *2154:18 *2875:28 1.52009e-05 +64 *2164:26 *2875:28 0.000280449 +65 *2176:38 *2875:56 0.00152996 +66 *2318:42 *2875:56 0.00110125 +67 *2335:47 *2875:28 0.000743059 +68 *2336:17 *2875:28 0.00074848 +69 *2344:44 *2875:56 0.00109422 +70 *2589:18 *2875:28 0 +71 *2591:14 *2875:10 1.49927e-05 +72 *2591:16 *2875:21 0 +73 *2725:14 *2875:21 0 +*RES +1 *22020:X *2875:10 30.652 +2 *2875:10 *2875:21 48.4185 +3 *2875:21 *2875:28 11.7985 +4 *2875:28 *22445:A_N 13.7491 +5 *2875:28 *2875:38 7.33409 +6 *2875:38 *2875:47 39.2957 +7 *2875:47 *2875:56 46.17 +8 *2875:56 *2875:59 11.315 +9 *2875:59 *21602:A 11.13 +10 *2875:59 *3792:DIODE 12.7456 +11 *2875:38 *4836:DIODE 9.24915 +*END + +*D_NET *2876 0.0170369 +*CONN +*I *4838:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3793:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21603:A I *D sky130_fd_sc_hd__inv_2 +*I *22446:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22021:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *4838:DIODE 0 +2 *3793:DIODE 8.44995e-05 +3 *21603:A 0 +4 *22446:A_N 2.55853e-05 +5 *22021:X 0.00247543 +6 *2876:42 0.000928019 +7 *2876:30 0.000974438 +8 *2876:25 0.00152984 +9 *2876:21 0.00389994 +10 *2876:21 *2877:15 0 +11 *2876:21 *3128:26 4.49946e-05 +12 *2876:42 *3142:11 3.55043e-05 +13 *2876:42 *3394:30 0.00035615 +14 la_data_in_mprj[52] *2876:21 0 +15 *3926:DIODE *2876:21 0.000296372 +16 *4209:DIODE *2876:21 4.83486e-05 +17 *4582:DIODE *2876:42 0 +18 *21738:A *2876:21 1.41976e-05 +19 *23516:A *2876:42 0 +20 *463:9 *2876:21 5.41227e-05 +21 *1150:9 *2876:21 6.80213e-05 +22 *1282:8 *2876:42 0 +23 *1384:22 *2876:25 8.23984e-05 +24 *1387:27 *22446:A_N 6.50586e-05 +25 *1387:30 *2876:30 7.26959e-06 +26 *1387:30 *2876:42 0.000106487 +27 *1903:8 *3793:DIODE 0.000193289 +28 *1903:8 *2876:42 5.07314e-05 +29 *1906:10 *3793:DIODE 0.00045001 +30 *1906:10 *2876:42 0.00011818 +31 *1938:11 *2876:30 0 +32 *1944:10 *2876:25 0 +33 *1945:20 *2876:25 4.75721e-06 +34 *1946:13 *2876:25 0.000224304 +35 *2042:19 *2876:21 0.00104262 +36 *2162:29 *2876:21 6.21462e-05 +37 *2190:33 *2876:21 6.338e-05 +38 *2318:46 *22446:A_N 6.36477e-05 +39 *2318:46 *2876:25 0.000372922 +40 *2318:46 *2876:30 6.50727e-05 +41 *2318:48 *22446:A_N 1.5962e-05 +42 *2344:46 *22446:A_N 0.000162663 +43 *2589:18 *2876:21 0 +44 *2592:15 *2876:21 8.71876e-05 +45 *2592:20 *2876:25 0 +46 *2592:20 *2876:30 1.42855e-05 +47 *2592:20 *2876:42 5.88241e-05 +48 *2593:11 *2876:21 3.39827e-05 +49 *2593:18 *2876:21 0.00263981 +50 *2730:8 *2876:21 0.000184967 +51 *2731:8 *2876:21 3.14978e-05 +52 *2866:46 *2876:42 0 +*RES +1 *22021:X *2876:21 41.207 +2 *2876:21 *2876:25 29.6922 +3 *2876:25 *2876:30 6.22489 +4 *2876:30 *22446:A_N 15.5817 +5 *2876:30 *2876:42 22.9743 +6 *2876:42 *21603:A 9.24915 +7 *2876:42 *3793:DIODE 14.4094 +8 *2876:25 *4838:DIODE 9.24915 +*END + +*D_NET *2877 0.0205763 +*CONN +*I *3794:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21604:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22447:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4840:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22022:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3794:DIODE 7.88897e-05 +2 *21604:A 0 +3 *22447:A_N 1.47608e-05 +4 *4840:DIODE 0.000125908 +5 *22022:X 0.00294616 +6 *2877:43 0.00177668 +7 *2877:25 0.00174785 +8 *2877:17 0.000161202 +9 *2877:15 0.00294616 +10 *3794:DIODE *3113:51 6.12651e-05 +11 *3794:DIODE *3391:7 4.66492e-05 +12 *2877:15 *5426:DIODE 6.18765e-05 +13 *2877:15 *3128:26 0.00442749 +14 *2877:15 *3286:8 0 +15 *2877:43 *2879:41 0.000202741 +16 *2877:43 *3111:17 0.00202228 +17 *2877:43 *3391:7 0.000260325 +18 *4068:DIODE *2877:15 9.96342e-05 +19 *4210:DIODE *2877:15 0.00011946 +20 *1151:74 *2877:15 5.76799e-05 +21 *1900:10 *3794:DIODE 0.000145411 +22 *1900:10 *2877:43 0.000260325 +23 *1924:13 *3794:DIODE 6.3657e-05 +24 *1929:14 *2877:43 0.000199316 +25 *1929:20 *3794:DIODE 0.000117376 +26 *1934:7 *4840:DIODE 0.000145337 +27 *1934:7 *2877:25 5.0084e-05 +28 *1934:7 *2877:43 0.000268637 +29 *1935:24 *3794:DIODE 2.79507e-05 +30 *1940:19 *4840:DIODE 0.000115581 +31 *1940:19 *2877:25 5.49916e-05 +32 *1940:19 *2877:43 0.00027698 +33 *2011:38 *2877:15 7.75383e-05 +34 *2023:37 *2877:15 0 +35 *2083:18 *2877:15 0.0012868 +36 *2186:47 *2877:15 2.37383e-05 +37 *2192:25 *2877:15 0 +38 *2318:46 *22447:A_N 6.08467e-05 +39 *2322:20 *2877:15 5.60804e-05 +40 *2332:48 *2877:15 5.60804e-05 +41 *2344:46 *22447:A_N 6.08467e-05 +42 *2593:11 *2877:15 0 +43 *2731:8 *2877:15 7.17336e-05 +44 *2734:14 *2877:15 0 +45 *2736:17 *2877:15 0 +46 *2876:21 *2877:15 0 +*RES +1 *22022:X *2877:15 48.9969 +2 *2877:15 *2877:17 3.36879 +3 *2877:17 *4840:DIODE 17.0345 +4 *2877:17 *2877:25 1.20912 +5 *2877:25 *22447:A_N 14.4725 +6 *2877:25 *2877:43 49.518 +7 *2877:43 *21604:A 9.24915 +8 *2877:43 *3794:DIODE 13.4931 +*END + +*D_NET *2878 0.0330868 +*CONN +*I *3795:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21605:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22448:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4842:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22023:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3795:DIODE 0.000244295 +2 *21605:A 2.55952e-05 +3 *22448:A_N 0 +4 *4842:DIODE 1.69945e-05 +5 *22023:X 0.0040848 +6 *2878:40 0.00111157 +7 *2878:25 9.14218e-05 +8 *2878:19 0.00500091 +9 *3795:DIODE *3402:23 2.33638e-05 +10 *4842:DIODE *3413:9 2.57847e-05 +11 *21605:A *3396:6 3.3238e-05 +12 *2878:19 *2880:20 0.00904607 +13 *2878:19 *2882:15 0.00784852 +14 *2878:19 *3123:50 0.000106353 +15 *2878:25 *3121:18 7.22263e-05 +16 *2878:25 *3413:9 2.16355e-05 +17 *2878:40 *3123:50 0.00134366 +18 *2878:40 *3396:6 0.00053762 +19 la_data_in_mprj[54] *2878:19 3.58315e-06 +20 *848:8 *2878:19 7.20173e-06 +21 *1150:9 *2878:19 0 +22 *1270:29 *4842:DIODE 2.57847e-05 +23 *1270:29 *2878:25 2.07503e-05 +24 *1412:11 *3795:DIODE 0.000122875 +25 *1915:7 *2878:25 7.22263e-05 +26 *2457:41 *2878:19 0.000106353 +27 *2457:41 *2878:40 0.00133044 +28 *2594:20 *2878:19 0.000793426 +29 *2597:17 *2878:19 0.000405099 +30 *2599:18 *2878:19 0.000230571 +31 *2736:17 *2878:19 9.12416e-06 +32 *2870:76 *2878:40 0 +33 *2872:15 *2878:19 0.000325344 +*RES +1 *22023:X *2878:19 41.1858 +2 *2878:19 *2878:25 10.2166 +3 *2878:25 *4842:DIODE 9.97254 +4 *2878:25 *22448:A_N 9.24915 +5 *2878:19 *2878:40 17.0525 +6 *2878:40 *21605:A 14.543 +7 *2878:40 *3795:DIODE 18.232 +*END + +*D_NET *2879 0.0212122 +*CONN +*I *4844:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22449:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3796:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21606:A I *D sky130_fd_sc_hd__inv_2 +*I *22024:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *4844:DIODE 0.000238766 +2 *22449:A_N 0 +3 *3796:DIODE 3.44034e-05 +4 *21606:A 0 +5 *22024:X 0.000205855 +6 *2879:41 0.000920806 +7 *2879:35 0.000907495 +8 *2879:33 0.00244745 +9 *2879:8 0.00435966 +10 *2879:7 0.00237792 +11 *2879:7 *22911:A 2.16355e-05 +12 *2879:8 *6152:DIODE 0 +13 *2879:8 *22911:A 0.000375454 +14 *2879:8 *23423:A 0 +15 *2879:41 *21610:A 4.15661e-05 +16 *2879:41 *2883:22 7.22263e-05 +17 *2879:41 *2883:33 0.000652005 +18 la_data_in_mprj[55] *2879:7 2.5691e-05 +19 *3930:DIODE *2879:7 7.246e-05 +20 *4213:DIODE *2879:8 0.000101148 +21 *4845:DIODE *2879:41 2.51591e-05 +22 *5897:DIODE *2879:8 0.000222473 +23 *6153:DIODE *2879:8 0 +24 *22453:B *4844:DIODE 6.64392e-05 +25 *23294:A *2879:8 0 +26 *23295:B *2879:8 7.12632e-06 +27 *466:8 *2879:7 5.07314e-05 +28 *1388:13 *2879:41 7.92757e-06 +29 *1392:23 *2879:33 6.3657e-05 +30 *1392:23 *2879:35 3.71935e-06 +31 *1392:30 *4844:DIODE 7.68891e-05 +32 *1392:30 *2879:33 4.58907e-05 +33 *1904:20 *3796:DIODE 0.000251108 +34 *1904:20 *2879:41 0.000708096 +35 *1912:20 *2879:33 5.60804e-05 +36 *1919:22 *4844:DIODE 9.90819e-05 +37 *1925:27 *3796:DIODE 0.000265306 +38 *1925:27 *2879:41 0.000411032 +39 *1928:17 *2879:41 3.9718e-05 +40 *1928:21 *2879:41 3.58315e-06 +41 *1929:14 *2879:33 0 +42 *1929:14 *2879:41 0.000555901 +43 *1930:7 *2879:33 0.000463817 +44 *1931:7 *2879:33 0 +45 *1937:11 *2879:41 5.49916e-05 +46 *1937:28 *2879:41 0.000108388 +47 *1958:7 *2879:8 5.19343e-05 +48 *1959:7 *2879:8 0 +49 *2002:16 *2879:33 6.44502e-05 +50 *2023:37 *2879:8 0.000163893 +51 *2063:29 *2879:33 0.000560292 +52 *2073:22 *2879:8 0 +53 *2079:20 *2879:33 5.76799e-05 +54 *2085:20 *2879:33 0.000580456 +55 *2147:42 *2879:8 0.000152595 +56 *2162:29 *2879:33 0.00068297 +57 *2179:25 *2879:33 0.000172881 +58 *2196:25 *2879:8 2.78736e-05 +59 *2198:29 *2879:8 0 +60 *2332:48 *2879:33 0.000489669 +61 *2594:20 *2879:33 2.62751e-05 +62 *2598:8 *2879:8 0 +63 *2598:20 *2879:33 0.00112642 +64 *2735:15 *2879:8 0.000150354 +65 *2737:8 *2879:8 0 +66 *2738:8 *2879:8 0.000260078 +67 *2877:43 *2879:41 0.000202741 +*RES +1 *22024:X *2879:7 18.9094 +2 *2879:7 *2879:8 49.7936 +3 *2879:8 *2879:33 32.831 +4 *2879:33 *2879:35 0.578717 +5 *2879:35 *2879:41 37.4516 +6 *2879:41 *21606:A 9.24915 +7 *2879:41 *3796:DIODE 12.191 +8 *2879:35 *22449:A_N 9.24915 +9 *2879:33 *4844:DIODE 13.3002 +*END + +*D_NET *2880 0.0282428 +*CONN +*I *21607:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3797:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22450:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4846:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22025:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21607:A 0 +2 *3797:DIODE 6.19989e-05 +3 *22450:A_N 6.41669e-05 +4 *4846:DIODE 0 +5 *22025:X 0.0046199 +6 *2880:43 0.000616506 +7 *2880:22 6.41669e-05 +8 *2880:20 0.0051744 +9 *3797:DIODE *3130:23 0.000211478 +10 *2880:20 *3123:50 6.21462e-05 +11 *2880:43 *2929:9 0.00149948 +12 *2880:43 *3135:17 2.56868e-05 +13 *2880:43 *3396:6 7.56514e-05 +14 la_data_in_mprj[56] *2880:20 3.45834e-05 +15 *3931:DIODE *2880:20 7.17561e-05 +16 *4847:DIODE *2880:43 9.71543e-06 +17 *467:5 *2880:20 8.03393e-06 +18 *594:22 *2880:20 0.000119324 +19 *1150:9 *2880:20 0 +20 *1414:7 *3797:DIODE 0.000164829 +21 *1907:14 *22450:A_N 3.41459e-05 +22 *1907:16 *22450:A_N 1.43983e-05 +23 *1915:7 *2880:20 7.44425e-06 +24 *1915:7 *2880:43 1.84491e-05 +25 *2075:27 *2880:20 0 +26 *2383:18 *2880:20 5.81031e-05 +27 *2383:18 *2880:43 0.000149805 +28 *2385:22 *3797:DIODE 1.65872e-05 +29 *2457:41 *2880:20 6.21462e-05 +30 *2458:31 *2880:43 0.00144084 +31 *2600:26 *2880:20 0.00451101 +32 *2878:19 *2880:20 0.00904607 +*RES +1 *22025:X *2880:20 45.6848 +2 *2880:20 *2880:22 4.5 +3 *2880:22 *4846:DIODE 9.24915 +4 *2880:22 *22450:A_N 10.5513 +5 *2880:20 *2880:43 18.1859 +6 *2880:43 *3797:DIODE 12.2151 +7 *2880:43 *21607:A 9.24915 +*END + +*D_NET *2881 0.021729 +*CONN +*I *4848:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22451:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21608:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3798:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22026:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *4848:DIODE 0 +2 *22451:A_N 0 +3 *21608:A 1.79773e-05 +4 *3798:DIODE 0 +5 *22026:X 0 +6 *2881:29 0.00119188 +7 *2881:23 0.00128971 +8 *2881:20 0.00313824 +9 *2881:11 0.00469968 +10 *2881:5 0.00167725 +11 *2881:11 *3289:9 0.000408028 +12 *2881:29 *22456:A_N 0.000183972 +13 *3672:DIODE *2881:23 0.000118166 +14 *4072:DIODE *2881:11 0 +15 *4214:DIODE *2881:11 0.000134354 +16 *4596:DIODE *2881:29 3.08958e-05 +17 *21482:A *2881:23 4.66492e-05 +18 *851:13 *2881:11 3.5534e-06 +19 *1162:36 *2881:29 9.32704e-05 +20 *1388:13 *21608:A 3.16131e-05 +21 *1388:13 *2881:29 2.54369e-05 +22 *1901:10 *21608:A 0.000101873 +23 *1901:10 *2881:29 0.00051577 +24 *1903:7 *2881:20 7.12632e-06 +25 *1903:7 *2881:29 0 +26 *1904:18 *2881:29 0.00175026 +27 *1910:13 *2881:20 0.000129091 +28 *1918:7 *2881:29 0.000750231 +29 *1921:7 *2881:20 1.91246e-05 +30 *1923:20 *2881:29 0.000228118 +31 *1946:22 *2881:23 0.000125396 +32 *1948:32 *2881:23 0.000197646 +33 *2023:37 *2881:11 0.000392912 +34 *2079:20 *2881:20 0.00277862 +35 *2145:11 *2881:11 0.000845674 +36 *2148:19 *2881:11 6.04335e-05 +37 *2155:23 *2881:29 3.29488e-05 +38 *2199:22 *2881:11 0.000399324 +39 *2328:30 *2881:20 3.68959e-05 +40 *2330:30 *2881:20 0.000266924 +41 *2598:8 *2881:11 0 +42 *2739:21 *2881:11 0 +*RES +1 *22026:X *2881:5 13.7491 +2 *2881:5 *2881:11 42.4591 +3 *2881:11 *2881:20 17.1841 +4 *2881:20 *2881:23 5.73894 +5 *2881:23 *2881:29 46.4702 +6 *2881:29 *3798:DIODE 9.24915 +7 *2881:29 *21608:A 10.5271 +8 *2881:23 *22451:A_N 9.24915 +9 *2881:20 *4848:DIODE 9.24915 +*END + +*D_NET *2882 0.0328265 +*CONN +*I *4850:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3799:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21609:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22452:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22027:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *4850:DIODE 9.18904e-05 +2 *3799:DIODE 4.67186e-05 +3 *21609:A 0 +4 *22452:A_N 4.37687e-05 +5 *22027:X 0.00347363 +6 *2882:42 0.00050549 +7 *2882:39 0.00103209 +8 *2882:27 0.000755069 +9 *2882:15 0.00360726 +10 *3799:DIODE *2973:15 0.000111722 +11 *3799:DIODE *3127:15 0.000171288 +12 *3799:DIODE *3418:11 1.67988e-05 +13 *3799:DIODE *3427:7 5.73392e-05 +14 *4850:DIODE *3006:28 2.33103e-06 +15 *4850:DIODE *3006:33 0.00011818 +16 *22452:A_N *3121:22 5.85325e-05 +17 *2882:39 *2918:19 0 +18 *2882:39 *3006:28 1.05272e-06 +19 *2882:39 *3146:5 0.00129713 +20 *2882:39 *3402:16 1.15389e-05 +21 *2882:42 *3115:40 0.00050501 +22 *2882:42 *3418:10 0 +23 la_data_in_mprj[58] *2882:15 9.12416e-06 +24 *4590:DIODE *2882:39 0.000401115 +25 *6405:DIODE *2882:42 7.366e-06 +26 *22322:TE *2882:39 2.98395e-05 +27 *22452:B *22452:A_N 1.66007e-05 +28 *22452:B *2882:39 0 +29 *852:5 *2882:15 9.4116e-06 +30 *1286:9 *2882:39 0.00060665 +31 *1416:7 *3799:DIODE 0.000175485 +32 *1420:9 *2882:39 4.58897e-06 +33 *1798:10 *2882:39 3.5534e-06 +34 *2055:17 *2882:42 4.20184e-06 +35 *2075:27 *2882:15 0 +36 *2388:41 *2882:15 6.03237e-05 +37 *2388:41 *2882:27 0.000338506 +38 *2458:30 *2882:39 0.00111861 +39 *2465:15 *2882:15 5.83513e-05 +40 *2465:15 *2882:27 0.000358644 +41 *2475:31 *2882:39 0.00012601 +42 *2599:18 *2882:15 0.000168872 +43 *2870:76 *2882:39 0.00111153 +44 *2872:21 *2882:15 0.00846232 +45 *2878:19 *2882:15 0.00784852 +*RES +1 *22027:X *2882:15 38.5907 +2 *2882:15 *22452:A_N 18.327 +3 *2882:15 *2882:27 3.87761 +4 *2882:27 *2882:39 40.4014 +5 *2882:39 *2882:42 15.0523 +6 *2882:42 *21609:A 9.24915 +7 *2882:42 *3799:DIODE 12.7456 +8 *2882:27 *4850:DIODE 15.4058 +*END + +*D_NET *2883 0.0229837 +*CONN +*I *21610:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3800:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4852:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22453:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22028:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21610:A 0.000177512 +2 *3800:DIODE 0.000208286 +3 *4852:DIODE 7.24444e-05 +4 *22453:A_N 0 +5 *22028:X 0.00588906 +6 *2883:33 0.000876974 +7 *2883:22 0.000122752 +8 *2883:19 0.00643055 +9 *3933:DIODE *2883:19 2.16608e-05 +10 *4215:DIODE *2883:19 1.27193e-05 +11 *22028:A *2883:19 6.3657e-05 +12 *1150:9 *2883:19 0.00217753 +13 *1388:13 *21610:A 0.000106139 +14 *1904:20 *3800:DIODE 0.000307037 +15 *1904:20 *21610:A 0.000504412 +16 *1910:14 *4852:DIODE 0.00040737 +17 *1925:18 *4852:DIODE 6.3657e-05 +18 *1928:21 *21610:A 3.44695e-05 +19 *1928:21 *2883:22 8.04307e-05 +20 *1928:21 *2883:33 0.000646884 +21 *1948:32 *4852:DIODE 0.000238215 +22 *2063:29 *2883:19 0.0028194 +23 *2190:33 *2883:19 0.000402379 +24 *2194:23 *2883:19 0.000116561 +25 *2322:20 *2883:19 2.68045e-05 +26 *2328:30 *2883:19 0.000104754 +27 *2594:20 *2883:19 0.000194944 +28 *2600:17 *2883:19 6.71379e-05 +29 *2742:8 *2883:19 4.41861e-05 +30 *2879:41 *21610:A 4.15661e-05 +31 *2879:41 *2883:22 7.22263e-05 +32 *2879:41 *2883:33 0.000652005 +*RES +1 *22028:X *2883:19 48.6821 +2 *2883:19 *2883:22 6.12437 +3 *2883:22 *22453:A_N 9.24915 +4 *2883:22 *4852:DIODE 13.8548 +5 *2883:19 *2883:33 14.4972 +6 *2883:33 *3800:DIODE 17.2456 +7 *2883:33 *21610:A 20.4655 +*END + +*D_NET *2884 0.0657352 +*CONN +*I *6082:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23388:A I *D sky130_fd_sc_hd__nand2_1 +*I *22029:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6082:DIODE 0.000108272 +2 *23388:A 0.000101431 +3 *22029:X 0.000176557 +4 *2884:28 0.00319296 +5 *2884:27 0.00955403 +6 *2884:19 0.00904358 +7 *2884:10 0.00264936 +8 *23388:A *3252:6 1.24189e-05 +9 *2884:10 *23004:A 1.30901e-05 +10 *2884:10 *3001:43 6.50586e-05 +11 *2884:19 *23004:A 2.54678e-05 +12 *2884:19 *3062:27 9.45402e-05 +13 *2884:19 *3132:9 0.00135673 +14 *2884:19 *3391:20 0 +15 *2884:19 *3393:10 0.00197434 +16 *2884:27 *3103:20 0.000253436 +17 *2884:27 *3103:36 2.21291e-05 +18 *2884:27 *3105:7 0.000220514 +19 *2884:27 *3121:18 0.00208798 +20 *2884:27 *3123:50 0.00286198 +21 *2884:28 *2895:14 0 +22 *2884:28 *3252:6 8.92104e-05 +23 la_oenb_core[23] *2884:10 7.09666e-06 +24 *4228:DIODE *2884:10 2.24412e-05 +25 *6353:DIODE *2884:28 0 +26 *23134:A *2884:28 8.54595e-05 +27 *1250:21 *2884:27 0.000528581 +28 *1369:15 *2884:28 1.00824e-05 +29 *1506:9 *2884:28 1.24723e-05 +30 *1635:10 *23388:A 0.000258838 +31 *1999:11 *2884:28 0 +32 *2013:36 *2884:27 0.00010238 +33 *2023:37 *2884:19 6.03237e-05 +34 *2063:29 *2884:19 3.08958e-05 +35 *2156:70 *6082:DIODE 0.000161262 +36 *2156:70 *23388:A 0.000256056 +37 *2378:18 *2884:28 0 +38 *2439:22 *2884:19 2.29568e-05 +39 *2457:41 *2884:19 0.0027799 +40 *2464:25 *2884:27 0.0253126 +41 *2470:61 *2884:27 0.00218079 +*RES +1 *22029:X *2884:10 17.245 +2 *2884:10 *2884:19 49.8298 +3 *2884:19 *2884:27 45.8625 +4 *2884:27 *2884:28 58.5139 +5 *2884:28 *23388:A 17.6924 +6 *2884:28 *6082:DIODE 15.5817 +*END + +*D_NET *2885 0.0236673 +*CONN +*I *3801:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21611:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22454:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4854:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22030:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3801:DIODE 8.36679e-05 +2 *21611:A 0 +3 *22454:A_N 7.15349e-05 +4 *4854:DIODE 0 +5 *22030:X 0.00574433 +6 *2885:38 0.000398923 +7 *2885:20 7.15349e-05 +8 *2885:18 0.00605958 +9 *3801:DIODE *3130:23 1.92172e-05 +10 *3801:DIODE *3130:35 5.04829e-06 +11 *22454:A_N *3144:5 1.43983e-05 +12 *2885:18 *3123:50 6.03237e-05 +13 *2885:18 *3127:14 5.36085e-05 +14 *2885:18 *3317:40 0 +15 *2885:38 *3127:14 0.000218621 +16 *2885:38 *3130:23 0.00119039 +17 la_data_in_mprj[60] *2885:18 9.12416e-06 +18 *4855:DIODE *2885:38 0 +19 *854:7 *2885:18 1.44572e-05 +20 *1150:9 *2885:18 0 +21 *1414:7 *2885:38 0.00114724 +22 *1414:20 *3801:DIODE 0.000321919 +23 *1414:20 *2885:38 1.67988e-05 +24 *2054:19 *2885:18 0.00225158 +25 *2058:17 *2885:18 0.00385044 +26 *2101:20 *2885:18 0.00026151 +27 *2186:47 *2885:18 0.000332061 +28 *2201:23 *2885:18 0 +29 *2385:22 *2885:38 0 +30 *2457:41 *2885:18 6.21462e-05 +31 *2601:20 *2885:18 0.00140881 +32 *2603:20 *2885:18 0 +*RES +1 *22030:X *2885:18 43.3654 +2 *2885:18 *2885:20 4.5 +3 *2885:20 *4854:DIODE 9.24915 +4 *2885:20 *22454:A_N 10.5513 +5 *2885:18 *2885:38 22.3269 +6 *2885:38 *21611:A 9.24915 +7 *2885:38 *3801:DIODE 12.7456 +*END + +*D_NET *2886 0.0110681 +*CONN +*I *3747:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21557:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4856:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22455:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22031:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3747:DIODE 0.000104517 +2 *21557:A 0 +3 *4856:DIODE 0 +4 *22455:A_N 0.000188361 +5 *22031:X 0 +6 *2886:28 0.000979851 +7 *2886:9 0.000277169 +8 *2886:8 0.000964142 +9 *2886:6 0.00329558 +10 *2886:5 0.00329558 +11 *2886:6 *22916:A 7.72801e-05 +12 *2886:6 *2937:18 0.000628345 +13 *2886:6 *3293:8 3.07997e-05 +14 *4813:DIODE *2886:6 0 +15 *6074:DIODE *2886:6 8.68133e-05 +16 *6075:DIODE *2886:6 0.000116971 +17 *21428:A *2886:6 0 +18 *22305:TE *2886:28 5.19205e-05 +19 *1144:10 *2886:6 0 +20 *1208:11 *2886:6 0 +21 *1631:11 *2886:6 0 +22 *1653:11 *2886:6 0 +23 *2140:16 *2886:6 0.000186445 +24 *2320:39 *2886:6 0 +25 *2590:16 *2886:6 0 +26 *2602:6 *2886:6 0 +27 *2840:14 *2886:6 0.000784298 +28 *2861:12 *2886:6 0 +*RES +1 *22031:X *2886:5 13.7491 +2 *2886:5 *2886:6 99.8315 +3 *2886:6 *2886:8 4.5 +4 *2886:8 *2886:9 1.278 +5 *2886:9 *22455:A_N 12.2151 +6 *2886:9 *4856:DIODE 9.24915 +7 *2886:8 *2886:28 26.7888 +8 *2886:28 *21557:A 9.24915 +9 *2886:28 *3747:DIODE 11.6364 +*END + +*D_NET *2887 0.0259342 +*CONN +*I *3802:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21612:A I *D sky130_fd_sc_hd__inv_2 +*I *4858:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22456:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22032:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3802:DIODE 0.000203352 +2 *21612:A 1.23188e-05 +3 *4858:DIODE 0 +4 *22456:A_N 0.000347846 +5 *22032:X 0.00425327 +6 *2887:33 0.00112074 +7 *2887:20 0.000347846 +8 *2887:18 0.00515834 +9 *3802:DIODE *3130:23 0.000406745 +10 *2887:33 *3111:26 7.78074e-05 +11 *4587:DIODE *3802:DIODE 9.57899e-05 +12 *21479:A *2887:33 0.000216805 +13 *22448:B *2887:33 3.40894e-05 +14 *856:13 *2887:18 5.45571e-05 +15 *1150:9 *2887:18 0 +16 *1284:19 *21612:A 6.50586e-05 +17 *1286:9 *3802:DIODE 1.12969e-05 +18 *1286:9 *2887:33 9.17656e-06 +19 *1383:15 *2887:18 1.5714e-05 +20 *1383:15 *2887:33 0.000165775 +21 *1420:9 *3802:DIODE 7.58067e-06 +22 *1671:17 *2887:18 0.00227052 +23 *1796:8 *3802:DIODE 2.77564e-05 +24 *1796:8 *2887:33 9.32704e-05 +25 *1798:10 *3802:DIODE 9.80784e-05 +26 *1905:31 *2887:18 0.000211993 +27 *1906:9 *2887:18 1.9101e-05 +28 *1906:9 *2887:33 0.000200613 +29 *1913:5 *2887:33 2.5014e-05 +30 *1920:28 *3802:DIODE 3.3239e-06 +31 *1920:28 *21612:A 1.61631e-05 +32 *1920:28 *2887:33 1.57066e-05 +33 *1920:30 *21612:A 1.92172e-05 +34 *2135:20 *2887:18 9.43546e-05 +35 *2175:24 *2887:18 6.03122e-05 +36 *2339:8 *2887:18 5.83451e-05 +37 *2597:17 *2887:18 0.00686685 +38 *2597:18 *2887:33 0.000309319 +39 *2599:18 *2887:18 0.000606421 +40 *2601:20 *2887:18 0.00132899 +41 *2863:17 *2887:18 0.000121692 +42 *2863:24 *2887:18 0.00029231 +43 *2865:54 *3802:DIODE 0.000406745 +44 *2881:29 *22456:A_N 0.000183972 +*RES +1 *22032:X *2887:18 41.8501 +2 *2887:18 *2887:20 4.5 +3 *2887:20 *22456:A_N 14.9881 +4 *2887:20 *4858:DIODE 9.24915 +5 *2887:18 *2887:33 20.9336 +6 *2887:33 *21612:A 14.4725 +7 *2887:33 *3802:DIODE 21.8478 +*END + +*D_NET *2888 0.020223 +*CONN +*I *21613:A I *D sky130_fd_sc_hd__inv_2 +*I *3803:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4860:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22457:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22033:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21613:A 9.52443e-05 +2 *3803:DIODE 7.14857e-05 +3 *4860:DIODE 6.7583e-05 +4 *22457:A_N 0 +5 *22033:X 1.92624e-05 +6 *2888:33 0.000481021 +7 *2888:22 6.7583e-05 +8 *2888:20 0.00235161 +9 *2888:13 0.00405502 +10 *2888:7 0.00203696 +11 *3803:DIODE *3426:7 0.00011818 +12 *4860:DIODE *3284:25 6.23005e-05 +13 *21613:A *21614:A 0 +14 *21613:A *3426:7 7.40813e-05 +15 *2888:13 *6166:DIODE 0 +16 *2888:13 *3273:33 0.000442529 +17 *2888:13 *3339:34 0 +18 *2888:13 *3350:52 0 +19 *2888:20 *2889:18 0 +20 *2888:20 *3141:15 0.000772437 +21 *2888:33 *2889:18 0 +22 *2888:33 *2889:33 0 +23 la_data_in_mprj[62] *2888:7 2.65831e-05 +24 *3938:DIODE *2888:13 6.31809e-05 +25 *4221:DIODE *2888:13 4.34007e-05 +26 *5910:DIODE *2888:13 0 +27 *23301:A *2888:13 0 +28 *602:11 *2888:13 0 +29 *1413:9 *21613:A 0.000169862 +30 *1677:9 *2888:13 0 +31 *1805:12 *4860:DIODE 7.48797e-05 +32 *1929:24 *4860:DIODE 0.00043038 +33 *1935:24 *2888:20 0.000467414 +34 *1988:25 *2888:20 4.54419e-05 +35 *1989:52 *2888:20 1.88878e-05 +36 *2068:23 *2888:20 0.00333893 +37 *2147:33 *2888:13 0 +38 *2148:13 *2888:13 9.43355e-05 +39 *2152:33 *2888:13 0 +40 *2202:33 *2888:13 0.000448096 +41 *2205:19 *2888:13 0 +42 *2601:20 *2888:20 0.00427195 +43 *2745:8 *2888:13 0 +44 *2746:8 *2888:7 1.43848e-05 +45 *2746:8 *2888:13 0 +*RES +1 *22033:X *2888:7 14.4725 +2 *2888:7 *2888:13 42.5355 +3 *2888:13 *2888:20 15.5024 +4 *2888:20 *2888:22 4.5 +5 *2888:22 *22457:A_N 9.24915 +6 *2888:22 *4860:DIODE 13.8548 +7 *2888:20 *2888:33 5.56926 +8 *2888:33 *3803:DIODE 15.0271 +9 *2888:33 *21613:A 16.9985 +*END + +*D_NET *2889 0.0190587 +*CONN +*I *3804:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21614:A I *D sky130_fd_sc_hd__inv_2 +*I *4862:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22458:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22034:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3804:DIODE 0.000130686 +2 *21614:A 0.000110838 +3 *4862:DIODE 0.000164496 +4 *22458:A_N 0 +5 *22034:X 0 +6 *2889:33 0.000405864 +7 *2889:21 0.000245697 +8 *2889:18 0.00272887 +9 *2889:11 0.00403995 +10 *2889:5 0.00155662 +11 *3804:DIODE *3426:7 0.000224395 +12 *2889:11 *22919:A 0.000385566 +13 *2889:11 *22920:A 0.000175629 +14 *2889:11 *3273:33 0.000417599 +15 *2889:11 *3297:6 0.000786027 +16 *2889:11 *3298:6 2.82537e-05 +17 *2889:18 *3051:24 2.98713e-05 +18 *2889:18 *3124:26 0.00121206 +19 *2889:18 *3149:29 0.000424855 +20 *4863:DIODE *4862:DIODE 1.19856e-05 +21 *5910:DIODE *2889:11 2.32997e-05 +22 *21613:A *21614:A 0 +23 *22457:B *2889:18 2.80646e-05 +24 *22531:A *2889:11 0 +25 *1388:13 *4862:DIODE 6.90268e-06 +26 *1806:7 *4862:DIODE 4.5332e-05 +27 *1923:24 *4862:DIODE 7.48633e-05 +28 *1923:24 *2889:21 9.9028e-05 +29 *1988:25 *2889:18 0.000277741 +30 *2065:27 *21614:A 0 +31 *2065:27 *2889:18 0 +32 *2065:27 *2889:33 0 +33 *2072:17 *2889:18 0 +34 *2080:13 *2889:11 0 +35 *2133:28 *2889:18 0.00310968 +36 *2147:28 *2889:11 2.52321e-05 +37 *2147:33 *2889:11 2.19615e-05 +38 *2152:33 *2889:11 0 +39 *2177:23 *2889:11 2.51048e-05 +40 *2202:33 *2889:11 0.000426184 +41 *2202:33 *2889:18 0.00141514 +42 *2333:14 *2889:18 0.000400929 +43 *2888:20 *2889:18 0 +44 *2888:33 *2889:18 0 +45 *2888:33 *2889:33 0 +*RES +1 *22034:X *2889:5 13.7491 +2 *2889:5 *2889:11 41.2133 +3 *2889:11 *2889:18 21.0394 +4 *2889:18 *2889:21 6.88721 +5 *2889:21 *22458:A_N 9.24915 +6 *2889:21 *4862:DIODE 13.8548 +7 *2889:18 *2889:33 3.07775 +8 *2889:33 *21614:A 15.8987 +9 *2889:33 *3804:DIODE 16.1364 +*END + +*D_NET *2890 0.0278911 +*CONN +*I *22459:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4864:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21615:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3805:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22035:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *22459:A_N 0 +2 *4864:DIODE 0 +3 *21615:A 7.87951e-05 +4 *3805:DIODE 0 +5 *22035:X 0.00526618 +6 *2890:33 0.0012553 +7 *2890:27 0.00146446 +8 *2890:24 0.000287958 +9 *2890:22 0.00526618 +10 *21615:A *3151:43 3.41459e-05 +11 *2890:22 *2891:18 0 +12 *2890:22 *2892:19 0.00206558 +13 *2890:22 *3145:11 5.93953e-05 +14 *2890:33 *3051:6 0.000202468 +15 *2890:33 *3124:28 0.000153063 +16 *2890:33 *3151:45 0.00036437 +17 la_data_in_mprj[64] *2890:22 1.05746e-05 +18 *476:5 *2890:22 1.91391e-05 +19 *1294:8 *2890:33 8.8816e-05 +20 *1952:32 *2890:22 6.21462e-05 +21 *1970:57 *2890:22 0.000127931 +22 *2024:29 *2890:22 0.000190272 +23 *2043:23 *2890:22 0.000547977 +24 *2045:17 *2890:22 0.000649431 +25 *2171:19 *2890:22 8.6792e-05 +26 *2190:33 *2890:22 0.000330056 +27 *2194:23 *2890:22 0.000724451 +28 *2199:22 *2890:22 2.6238e-05 +29 *2207:22 *2890:22 0 +30 *2212:19 *2890:22 0.00298294 +31 *2381:18 *2890:22 0.000156734 +32 *2470:35 *2890:27 0.000380854 +33 *2470:39 *2890:27 1.65872e-05 +34 *2605:20 *2890:22 0.00104696 +35 *2606:29 *2890:22 0.00368876 +36 *2608:18 *2890:22 0.000115313 +37 *2863:42 *2890:33 6.50727e-05 +38 *2866:47 *21615:A 7.61254e-05 +*RES +1 *22035:X *2890:22 45.8245 +2 *2890:22 *2890:24 4.5 +3 *2890:24 *2890:27 5.18434 +4 *2890:27 *2890:33 34.8151 +5 *2890:33 *3805:DIODE 9.24915 +6 *2890:33 *21615:A 11.6846 +7 *2890:27 *4864:DIODE 9.24915 +8 *2890:24 *22459:A_N 9.24915 +*END + +*D_NET *2891 0.0319402 +*CONN +*I *21616:A I *D sky130_fd_sc_hd__inv_2 +*I *3806:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4866:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22460:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22036:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21616:A 0 +2 *3806:DIODE 8.51185e-05 +3 *4866:DIODE 0 +4 *22460:A_N 0.0003156 +5 *22036:X 0.0051267 +6 *2891:36 0.00081138 +7 *2891:20 0.0003156 +8 *2891:18 0.00585296 +9 *3806:DIODE *3410:14 6.16595e-06 +10 *3806:DIODE *3414:12 0.0002817 +11 *22460:A_N *3144:5 8.57082e-05 +12 *2891:18 *22922:A 0 +13 *2891:18 *2892:19 0.00788332 +14 *2891:18 *2893:19 9.85216e-05 +15 *2891:18 *3408:19 0.000616986 +16 *2891:18 *3413:31 1.5714e-05 +17 *2891:36 *2892:35 6.31633e-05 +18 *2891:36 *3062:8 8.03599e-05 +19 *2891:36 *3413:31 7.09666e-06 +20 la_data_in_mprj[65] *2891:18 9.12416e-06 +21 *4224:DIODE *2891:18 0.000230547 +22 *4867:DIODE *2891:36 3.00073e-05 +23 *860:7 *2891:18 9.63718e-06 +24 *1150:9 *2891:18 0.000826243 +25 *1423:8 *2891:18 1.9101e-05 +26 *1423:8 *2891:36 1.83423e-05 +27 *1423:9 *22460:A_N 6.08467e-05 +28 *2133:28 *2891:18 5.60804e-05 +29 *2440:15 *2891:36 0.00133263 +30 *2446:14 *2891:36 0 +31 *2457:29 *2891:18 6.03122e-05 +32 *2606:29 *2891:18 0.00603108 +33 *2870:89 *3806:DIODE 0.000277502 +34 *2870:89 *2891:36 0.00133263 +35 *2890:22 *2891:18 0 +*RES +1 *22036:X *2891:18 49.6723 +2 *2891:18 *2891:20 4.5 +3 *2891:20 *22460:A_N 14.4335 +4 *2891:20 *4866:DIODE 9.24915 +5 *2891:18 *2891:36 30.4713 +6 *2891:36 *3806:DIODE 22.1924 +7 *2891:36 *21616:A 9.24915 +*END + +*D_NET *2892 0.0290392 +*CONN +*I *21617:A I *D sky130_fd_sc_hd__inv_2 +*I *3807:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4868:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22461:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22037:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21617:A 6.61702e-05 +2 *3807:DIODE 4.18856e-05 +3 *4868:DIODE 4.63859e-05 +4 *22461:A_N 1.61013e-05 +5 *22037:X 0.00549286 +6 *2892:35 0.000770365 +7 *2892:22 0.000196837 +8 *2892:19 0.00628952 +9 *4868:DIODE *3154:16 0.000324166 +10 *21617:A *3062:8 3.92776e-05 +11 *22461:A_N *3154:16 0.000114584 +12 *2892:19 *2893:19 0.00080551 +13 *2892:19 *3184:26 0.00015958 +14 *2892:22 *3133:36 3.38813e-05 +15 *2892:22 *3413:31 1.49935e-05 +16 *2892:35 *3062:8 0.000349113 +17 *2892:35 *3133:36 1.74351e-05 +18 *2892:35 *3413:31 2.57465e-06 +19 la_data_in_mprj[66] *2892:19 8.68108e-05 +20 *22332:TE *2892:35 0 +21 *478:5 *2892:19 1.05456e-05 +22 *1398:11 *4868:DIODE 0.000129368 +23 *1398:11 *22461:A_N 5.28741e-05 +24 *1402:33 *3807:DIODE 6.50727e-05 +25 *1402:33 *21617:A 0.000171288 +26 *1808:8 *2892:35 0 +27 *1809:8 *2892:35 2.50282e-05 +28 *2051:19 *2892:19 0.000208693 +29 *2133:28 *2892:19 5.69065e-05 +30 *2203:24 *2892:19 0 +31 *2205:19 *2892:19 0.00285927 +32 *2439:13 *21617:A 7.48797e-05 +33 *2457:29 *2892:19 5.76799e-05 +34 *2606:29 *2892:19 0.000381614 +35 *2611:21 *2892:19 4.97271e-05 +36 *2750:16 *2892:19 1.61631e-05 +37 *2890:22 *2892:19 0.00206558 +38 *2891:18 *2892:19 0.00788332 +39 *2891:36 *2892:35 6.31633e-05 +*RES +1 *22037:X *2892:19 45.7668 +2 *2892:19 *2892:22 6.95487 +3 *2892:22 *22461:A_N 10.5271 +4 *2892:22 *4868:DIODE 12.7456 +5 *2892:19 *2892:35 13.6667 +6 *2892:35 *3807:DIODE 14.4725 +7 *2892:35 *21617:A 16.5832 +*END + +*D_NET *2893 0.061329 +*CONN +*I *3479:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21289:A I *D sky130_fd_sc_hd__inv_2 +*I *22462:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4870:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22038:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *3479:DIODE 2.10279e-05 +2 *21289:A 0 +3 *22462:A_N 0 +4 *4870:DIODE 0 +5 *22038:X 0.0021514 +6 *2893:81 0.00139635 +7 *2893:75 0.00233247 +8 *2893:64 0.00393968 +9 *2893:62 0.00419298 +10 *2893:58 0.00215935 +11 *2893:38 0.00120262 +12 *2893:26 0.00452206 +13 *2893:19 0.00641976 +14 *3479:DIODE *3452:13 7.36794e-05 +15 *3479:DIODE *3456:9 0.000171904 +16 *2893:19 *2894:23 4.49644e-05 +17 *2893:19 *2897:20 0.00104719 +18 *2893:19 *2900:17 5.60804e-05 +19 *2893:26 *2900:17 0.00484509 +20 *2893:26 *3106:15 5.45571e-05 +21 *2893:26 *3131:14 0 +22 *2893:26 *3134:17 6.03122e-05 +23 *2893:26 *3217:22 5.60804e-05 +24 *2893:38 *3106:15 0.000155855 +25 *2893:38 *3131:14 0 +26 *2893:38 *3151:27 1.00846e-05 +27 *2893:58 *2897:33 0 +28 *2893:58 *3106:8 0.000117473 +29 *2893:58 *3129:30 0 +30 *2893:58 *3131:14 0 +31 *2893:58 *3151:27 3.14978e-05 +32 *2893:58 *3414:12 0.000572588 +33 *2893:62 *3132:27 0.00131387 +34 *2893:64 *3142:21 0.0045411 +35 *2893:64 *3143:45 0.000436215 +36 *2893:64 *3144:21 0.000623405 +37 *2893:64 *3451:5 0.00442609 +38 *2893:75 *3155:40 8.08437e-05 +39 *2893:75 *3339:23 1.88656e-05 +40 *2893:75 *3436:23 8.38894e-05 +41 *2893:75 *3437:28 8.43846e-05 +42 *2893:75 *3456:8 3.04331e-05 +43 *2893:81 *3406:8 0 +44 *2893:81 *3451:17 0.00308453 +45 la_data_in_mprj[68] *2893:19 0.000164208 +46 *21757:A *2893:19 0.00011818 +47 *23570:TE *2893:64 5.56461e-05 +48 *23575:A *2893:64 5.04829e-06 +49 *23575:A *2893:75 2.69685e-05 +50 *23575:TE *2893:64 6.50727e-05 +51 *608:8 *2893:19 1.76999e-05 +52 *864:10 *2893:19 5.12109e-05 +53 *1150:9 *2893:19 0 +54 *1398:15 *2893:58 0.000525028 +55 *1426:9 *2893:81 0.000261428 +56 *1433:9 *2893:64 1.92336e-05 +57 *1438:9 *2893:64 0.000362285 +58 *1813:10 *2893:58 0 +59 *2089:19 *2893:26 1.89753e-05 +60 *2214:16 *2893:26 0.00042357 +61 *2217:26 *2893:26 0.000822825 +62 *2218:20 *2893:26 0 +63 *2280:14 *2893:75 0.000182065 +64 *2440:15 *2893:64 0.000259835 +65 *2447:9 *2893:75 0.000211492 +66 *2465:15 *2893:64 0.000441827 +67 *2610:22 *2893:26 0.000564354 +68 *2611:21 *2893:19 3.90956e-05 +69 *2612:10 *2893:19 6.3657e-05 +70 *2613:20 *2893:19 0.000219625 +71 *2613:20 *2893:26 0 +72 *2870:89 *2893:58 0.000723234 +73 *2870:89 *2893:62 0.000281599 +74 *2870:89 *2893:64 0.00414206 +75 *2891:18 *2893:19 9.85216e-05 +76 *2892:19 *2893:19 0.00080551 +*RES +1 *22038:X *2893:19 38.7824 +2 *2893:19 *2893:26 21.6672 +3 *2893:26 *4870:DIODE 13.7491 +4 *2893:26 *2893:38 9.84972 +5 *2893:38 *22462:A_N 9.24915 +6 *2893:38 *2893:58 36.0837 +7 *2893:58 *2893:62 21.8224 +8 *2893:62 *2893:64 124.955 +9 *2893:64 *2893:75 34.5887 +10 *2893:75 *2893:81 48.4295 +11 *2893:81 *21289:A 9.24915 +12 *2893:81 *3479:DIODE 11.0817 +*END + +*D_NET *2894 0.0325624 +*CONN +*I *3480:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21290:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22463:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4872:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22039:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3480:DIODE 6.96609e-05 +2 *21290:A 0 +3 *22463:A_N 0 +4 *4872:DIODE 2.04392e-05 +5 *22039:X 5.87179e-05 +6 *2894:42 0.00193067 +7 *2894:26 0.000276734 +8 *2894:23 0.00685538 +9 *2894:14 0.00555345 +10 *2894:8 0.00087408 +11 *3480:DIODE *3155:17 0.000212123 +12 *4872:DIODE *3424:7 6.50586e-05 +13 *2894:14 *2896:11 0.00139205 +14 *2894:14 *2899:11 0.000829329 +15 *2894:14 *2900:17 2.37478e-05 +16 *2894:14 *2961:31 0.00317979 +17 *2894:26 *3424:10 7.35088e-05 +18 *2894:42 *3155:13 0.000761293 +19 *2894:42 *3155:17 0.000121278 +20 *2894:42 *3424:10 0.000127733 +21 la_data_in_mprj[68] *2894:8 8.42687e-05 +22 la_data_in_mprj[72] *2894:14 8.62625e-06 +23 *4233:DIODE *2894:14 3.16372e-05 +24 *485:10 *2894:14 0 +25 *868:5 *2894:14 2.3231e-05 +26 *1150:9 *2894:23 5.13892e-05 +27 *1929:27 *2894:26 2.55661e-06 +28 *1940:35 *2894:23 0.000114382 +29 *1990:28 *2894:23 0.00137398 +30 *2223:19 *2894:23 0.002956 +31 *2224:23 *2894:23 7.65969e-05 +32 *2225:20 *2894:23 0.00173599 +33 *2341:36 *2894:23 3.62276e-05 +34 *2609:26 *2894:8 8.42687e-05 +35 *2611:21 *2894:23 0.00145163 +36 *2613:20 *2894:23 2.4448e-05 +37 *2616:13 *2894:23 0.00159758 +38 *2616:22 *2894:23 0.00035571 +39 *2617:20 *2894:23 2.48636e-05 +40 *2758:8 *2894:14 5.90201e-05 +41 *2893:19 *2894:23 4.49644e-05 +*RES +1 *22039:X *2894:8 20.0811 +2 *2894:8 *2894:14 47.3694 +3 *2894:14 *2894:23 23.0671 +4 *2894:23 *2894:26 9.44639 +5 *2894:26 *4872:DIODE 9.97254 +6 *2894:26 *22463:A_N 9.24915 +7 *2894:23 *2894:42 37.3585 +8 *2894:42 *21290:A 9.24915 +9 *2894:42 *3480:DIODE 11.6364 +*END + +*D_NET *2895 0.0588277 +*CONN +*I *6084:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23389:A I *D sky130_fd_sc_hd__nand2_1 +*I *22040:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6084:DIODE 0 +2 *23389:A 0.000274855 +3 *22040:X 0.00187207 +4 *2895:14 0.00243941 +5 *2895:13 0.00216456 +6 *2895:11 0.0047196 +7 *2895:10 0.0047196 +8 *2895:8 0.00187207 +9 *2895:8 *22749:A 1.0819e-05 +10 *2895:8 *2983:38 1.63131e-05 +11 *2895:8 *2995:39 4.49767e-05 +12 *2895:8 *3001:43 6.08467e-05 +13 *2895:8 *3108:18 0.000167581 +14 *2895:8 *3109:59 0.000208627 +15 *2895:11 *2906:20 0.00180471 +16 *2895:11 *3390:5 0.00135883 +17 *2895:11 *3402:9 6.91239e-05 +18 *2895:11 *3402:16 0.00119321 +19 *4239:DIODE *2895:8 6.22114e-05 +20 *6353:DIODE *2895:14 3.99778e-05 +21 *23473:A *2895:14 0.000256534 +22 *23495:A *2895:14 4.04861e-05 +23 *23515:TE *2895:11 9.14669e-05 +24 *1251:11 *23389:A 0.000271464 +25 *1251:11 *2895:14 0.000281394 +26 *1336:8 *2895:14 7.50872e-05 +27 *1358:8 *2895:14 0.000681416 +28 *1367:17 *2895:14 5.41377e-05 +29 *1508:8 *23389:A 7.75133e-06 +30 *1636:13 *23389:A 7.09666e-06 +31 *1999:11 *2895:14 0.000345875 +32 *2157:24 *23389:A 6.28634e-05 +33 *2366:15 *2895:11 0.0169578 +34 *2367:26 *2895:8 0.000206318 +35 *2379:26 *23389:A 0 +36 *2379:26 *2895:14 0 +37 *2384:21 *2895:8 2.95972e-05 +38 *2388:41 *2895:11 0.000108607 +39 *2394:27 *2895:11 0.013531 +40 *2475:35 *2895:11 0.000645822 +41 *2773:15 *2895:11 0.00180047 +42 *2773:17 *2895:11 0.000273078 +43 *2884:28 *2895:14 0 +*RES +1 *22040:X *2895:8 49.5247 +2 *2895:8 *2895:10 4.5 +3 *2895:10 *2895:11 269.152 +4 *2895:11 *2895:13 4.5 +5 *2895:13 *2895:14 52.908 +6 *2895:14 *23389:A 21.3748 +7 *2895:14 *6084:DIODE 13.7491 +*END + +*D_NET *2896 0.023878 +*CONN +*I *4874:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3481:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21291:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22464:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22041:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *4874:DIODE 0 +2 *3481:DIODE 1.99329e-05 +3 *21291:A 0 +4 *22464:A_N 0 +5 *22041:X 0.000904422 +6 *2896:43 3.62803e-05 +7 *2896:40 0.000729317 +8 *2896:31 0.000874139 +9 *2896:26 0.00168083 +10 *2896:17 0.00369229 +11 *2896:11 0.00307706 +12 *2896:17 *22928:A 0.000176734 +13 *2896:17 *3461:53 0 +14 *2896:26 *2897:20 0.0026129 +15 *2896:26 *3410:14 0 +16 la_data_in_mprj[69] *2896:11 6.87762e-05 +17 *4232:DIODE *2896:17 0 +18 *23185:A *2896:17 0.000212284 +19 *23440:B *2896:17 1.08006e-05 +20 *23441:A *2896:17 6.98287e-05 +21 *611:8 *2896:17 2.17145e-05 +22 *864:10 *2896:11 1.68686e-05 +23 *867:8 *2896:17 0.000109262 +24 *1300:8 *2896:40 0.000683095 +25 *1687:9 *2896:17 0.000128572 +26 *1688:6 *2896:17 0.000397413 +27 *1812:6 *2896:40 2.14842e-06 +28 *1943:26 *2896:31 0.000413203 +29 *1943:26 *2896:40 0.000813359 +30 *1948:54 *3481:DIODE 0.000317894 +31 *1948:54 *2896:43 0.000114594 +32 *1951:18 *2896:40 0.000173271 +33 *2014:13 *2896:17 1.81797e-05 +34 *2029:30 *2896:17 0.000295761 +35 *2091:10 *2896:17 9.63937e-05 +36 *2128:13 *2896:17 7.32718e-05 +37 *2135:24 *2896:26 2.46559e-05 +38 *2149:8 *2896:17 0 +39 *2207:22 *2896:26 0.000252934 +40 *2208:19 *2896:26 0.000753068 +41 *2209:7 *2896:26 0.000412652 +42 *2211:25 *2896:26 1.465e-05 +43 *2344:30 *3481:DIODE 0.000333397 +44 *2344:30 *2896:43 0.000114594 +45 *2349:64 *2896:17 8.86481e-05 +46 *2446:11 *2896:40 0.00250089 +47 *2612:24 *2896:26 0.000149915 +48 *2894:14 *2896:11 0.00139205 +*RES +1 *22041:X *2896:11 39.309 +2 *2896:11 *2896:17 49.3654 +3 *2896:17 *2896:26 22.6735 +4 *2896:26 *22464:A_N 9.24915 +5 *2896:26 *2896:31 4.60562 +6 *2896:31 *2896:40 47.691 +7 *2896:40 *2896:43 5.778 +8 *2896:43 *21291:A 9.24915 +9 *2896:43 *3481:DIODE 12.7456 +10 *2896:31 *4874:DIODE 9.24915 +*END + +*D_NET *2897 0.0244627 +*CONN +*I *4876:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21292:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3482:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22465:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22042:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *4876:DIODE 7.30368e-06 +2 *21292:A 8.76815e-06 +3 *3482:DIODE 0 +4 *22465:A_N 1.98947e-05 +5 *22042:X 0.00434255 +6 *2897:33 0.000988317 +7 *2897:27 0.00102697 +8 *2897:20 0.00440257 +9 *4876:DIODE *3154:16 6.50727e-05 +10 *21292:A *3157:5 0.000122378 +11 *22465:A_N *3154:16 6.08467e-05 +12 *2897:20 *3251:24 5.83513e-05 +13 *2897:27 *3154:16 0.000326398 +14 *2897:33 *3129:30 5.68436e-05 +15 *2897:33 *3131:14 6.68829e-05 +16 *2897:33 *3157:5 0.0005768 +17 *2897:33 *3410:14 0 +18 la_data_in_mprj[70] *2897:20 3.05511e-05 +19 *22465:B *2897:20 6.75845e-06 +20 *865:8 *2897:20 6.4674e-06 +21 *1297:8 *2897:20 5.49916e-05 +22 *1297:8 *2897:33 0 +23 *1398:11 *4876:DIODE 2.41483e-05 +24 *1398:11 *22465:A_N 2.16355e-05 +25 *1398:11 *2897:27 0.000134732 +26 *1402:33 *2897:33 0.000523693 +27 *1402:45 *21292:A 4.82966e-05 +28 *1402:45 *2897:33 2.41483e-05 +29 *1813:10 *2897:33 1.83828e-05 +30 *1928:28 *2897:20 1.79334e-05 +31 *2067:17 *2897:20 0 +32 *2203:24 *2897:20 0 +33 *2208:19 *2897:20 0.000192721 +34 *2609:26 *2897:20 0.00712452 +35 *2610:22 *2897:20 0 +36 *2612:24 *2897:20 3.08441e-05 +37 *2613:20 *2897:20 0.000412825 +38 *2893:19 *2897:20 0.00104719 +39 *2893:58 *2897:33 0 +40 *2896:26 *2897:20 0.0026129 +*RES +1 *22042:X *2897:20 46.9871 +2 *2897:20 *22465:A_N 9.97254 +3 *2897:20 *2897:27 3.49641 +4 *2897:27 *2897:33 32.0505 +5 *2897:33 *3482:DIODE 9.24915 +6 *2897:33 *21292:A 10.5271 +7 *2897:27 *4876:DIODE 9.97254 +*END + +*D_NET *2898 0.0206215 +*CONN +*I *3748:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21558:A I *D sky130_fd_sc_hd__inv_2 +*I *4878:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22466:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22043:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3748:DIODE 0.000188078 +2 *21558:A 3.08752e-05 +3 *4878:DIODE 0 +4 *22466:A_N 0 +5 *22043:X 2.84672e-05 +6 *2898:41 0.000868928 +7 *2898:25 0.00070639 +8 *2898:18 0.000407613 +9 *2898:12 0.00279936 +10 *2898:11 0.00433823 +11 *2898:8 0.00191853 +12 *3748:DIODE *3059:15 0.000233295 +13 *21558:A *3059:15 7.41778e-05 +14 *2898:12 *2966:18 0.00161978 +15 *2898:41 *3059:15 0.000480074 +16 la_data_in_mprj[11] *2898:12 2.61857e-05 +17 la_data_in_mprj[7] *2898:8 7.50872e-05 +18 *3997:DIODE *2898:12 7.77309e-06 +19 *4138:DIODE *2898:12 0.000144546 +20 *4791:DIODE *2898:12 9.03933e-05 +21 *4971:DIODE *2898:12 5.88662e-05 +22 *22383:B *2898:41 0 +23 *22466:B *2898:25 3.01683e-06 +24 *783:5 *2898:12 0.000332543 +25 *1174:46 *2898:12 0.000848836 +26 *1302:8 *2898:41 0.000148129 +27 *1731:6 *2898:41 0 +28 *1905:55 *2898:12 9.634e-05 +29 *2318:94 *2898:25 3.83429e-05 +30 *2318:94 *2898:41 1.99131e-05 +31 *2318:96 *2898:25 2.65831e-05 +32 *2319:17 *2898:12 2.27135e-05 +33 *2324:52 *2898:25 0.000224381 +34 *2324:52 *2898:41 0.000107496 +35 *2396:6 *2898:12 0 +36 *2396:6 *2898:18 0 +37 *2522:14 *2898:12 0.000470311 +38 *2522:16 *2898:12 0.000877118 +39 *2522:16 *2898:18 0 +40 *2522:16 *2898:41 1.87125e-05 +41 *2708:17 *2898:8 7.86847e-05 +42 *2732:9 *2898:11 0.00306722 +43 *2849:36 *2898:41 0.000144531 +*RES +1 *22043:X *2898:8 19.6659 +2 *2898:8 *2898:11 37.945 +3 *2898:11 *2898:12 95.276 +4 *2898:12 *2898:18 12.4509 +5 *2898:18 *22466:A_N 9.24915 +6 *2898:18 *2898:25 2.96592 +7 *2898:25 *4878:DIODE 9.24915 +8 *2898:25 *2898:41 25.9078 +9 *2898:41 *21558:A 10.8768 +10 *2898:41 *3748:DIODE 12.7456 +*END + +*D_NET *2899 0.0365633 +*CONN +*I *3483:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21293:A I *D sky130_fd_sc_hd__inv_2 +*I *4880:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22467:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22044:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3483:DIODE 2.93596e-05 +2 *21293:A 0 +3 *4880:DIODE 4.18856e-05 +4 *22467:A_N 0.000103345 +5 *22044:X 0.001427 +6 *2899:59 0.000117158 +7 *2899:53 0.00192579 +8 *2899:35 0.00194754 +9 *2899:27 0.00320006 +10 *2899:21 0.00398811 +11 *2899:11 0.00238605 +12 *3483:DIODE *3167:5 0.00027103 +13 *22467:A_N *3184:6 0 +14 *2899:11 *2961:31 0.000427914 +15 *2899:21 *2904:22 0.00031839 +16 *2899:21 *2961:31 0.00297107 +17 *2899:27 *2903:28 0.000292022 +18 *2899:27 *3141:35 0.00017686 +19 *2899:27 *3195:14 4.7528e-05 +20 *2899:35 *3184:6 0 +21 *2899:53 *3184:6 0 +22 *2899:53 *3431:13 0.000785004 +23 *2899:59 *3143:45 0 +24 *2899:59 *3167:5 6.50727e-05 +25 la_data_in_mprj[71] *2899:11 6.87762e-05 +26 la_data_in_mprj[72] *2899:11 0.000319954 +27 *3956:DIODE *2899:21 3.65921e-05 +28 *22341:A *2899:53 0 +29 *23550:TE *2899:53 0 +30 *490:9 *2899:21 0.00010628 +31 *618:8 *2899:21 5.51006e-05 +32 *867:8 *2899:11 1.68686e-05 +33 *1137:65 *4880:DIODE 6.50727e-05 +34 *1307:15 *2899:53 0 +35 *1413:37 *2899:53 0.000514123 +36 *1431:8 *2899:59 3.20069e-06 +37 *1940:36 *3483:DIODE 0.000254867 +38 *1940:36 *2899:59 6.92705e-05 +39 *1990:28 *2899:21 0.000294165 +40 *2048:29 *2899:59 0 +41 *2059:20 *2899:27 0.000591806 +42 *2089:30 *2899:53 0.000103414 +43 *2103:19 *2899:21 0.00289438 +44 *2235:35 *2899:27 0.00394391 +45 *2343:8 *2899:27 0.00017686 +46 *2389:10 *2899:53 0 +47 *2615:21 *2899:27 0.00455665 +48 *2621:17 *2899:21 0.00114153 +49 *2894:14 *2899:11 0.000829329 +*RES +1 *22044:X *2899:11 43.7067 +2 *2899:11 *2899:21 45.9435 +3 *2899:21 *2899:27 18.3249 +4 *2899:27 *22467:A_N 15.3735 +5 *2899:27 *2899:35 1.20912 +6 *2899:35 *4880:DIODE 14.4725 +7 *2899:35 *2899:53 47.2912 +8 *2899:53 *2899:59 11.1401 +9 *2899:59 *21293:A 9.24915 +10 *2899:59 *3483:DIODE 12.191 +*END + +*D_NET *2900 0.0246239 +*CONN +*I *21294:A I *D sky130_fd_sc_hd__inv_2 +*I *3484:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4882:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22468:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22045:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21294:A 6.25535e-05 +2 *3484:DIODE 0.000104374 +3 *4882:DIODE 5.43378e-05 +4 *22468:A_N 0 +5 *22045:X 0.00248343 +6 *2900:31 0.000304878 +7 *2900:20 5.43378e-05 +8 *2900:18 0.003884 +9 *2900:17 0.00622948 +10 *3484:DIODE *3441:5 0.000167076 +11 *4882:DIODE *3427:13 1.41853e-05 +12 *4882:DIODE *3427:15 0.000217923 +13 *21294:A *3117:6 0 +14 *21294:A *3131:18 7.41833e-06 +15 *21294:A *3148:9 0.000118166 +16 *21294:A *3441:5 0.000118166 +17 *2900:18 *3117:6 0 +18 *2900:18 *3131:18 0.000130395 +19 *2900:18 *3155:13 0.00018422 +20 *2900:31 *3117:6 0 +21 *2900:31 *3131:18 2.2043e-05 +22 *22468:B *4882:DIODE 0.000167076 +23 *22468:B *2900:18 0.00016968 +24 *1404:43 *2900:18 2.86353e-06 +25 *1418:9 *4882:DIODE 0.00041971 +26 *1933:19 *2900:18 5.88009e-05 +27 *2089:19 *2900:17 0.000709305 +28 *2213:20 *2900:17 0.00292868 +29 *2217:26 *2900:17 0.000113824 +30 *2218:20 *2900:17 1.58838e-05 +31 *2219:19 *2900:17 5.51377e-06 +32 *2220:19 *2900:17 3.121e-06 +33 *2332:34 *2900:17 2.87112e-05 +34 *2476:21 *2900:18 2.77235e-05 +35 *2610:22 *2900:17 0.000843631 +36 *2613:20 *2900:17 2.72989e-05 +37 *2758:8 *2900:17 2.02035e-05 +38 *2893:19 *2900:17 5.60804e-05 +39 *2893:26 *2900:17 0.00484509 +40 *2894:14 *2900:17 2.37478e-05 +*RES +1 *22045:X *2900:17 34.3105 +2 *2900:17 *2900:18 70.9715 +3 *2900:18 *2900:20 4.5 +4 *2900:20 *22468:A_N 9.24915 +5 *2900:20 *4882:DIODE 13.8548 +6 *2900:18 *2900:31 2.6625 +7 *2900:31 *3484:DIODE 15.5817 +8 *2900:31 *21294:A 16.0286 +*END + +*D_NET *2901 0.035355 +*CONN +*I *4884:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3485:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21295:A I *D sky130_fd_sc_hd__inv_2 +*I *22469:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22046:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *4884:DIODE 0 +2 *3485:DIODE 0 +3 *21295:A 4.33419e-05 +4 *22469:A_N 0 +5 *22046:X 0.00855209 +6 *2901:33 0.00061784 +7 *2901:32 0.00132148 +8 *2901:27 0.000843872 +9 *2901:21 0.000170223 +10 *2901:20 0.00862541 +11 *2901:20 *2904:22 0.000506139 +12 *2901:21 *3156:7 0.00021218 +13 *2901:27 *3156:7 0.000409531 +14 *2901:27 *3426:23 0.00021435 +15 *2901:32 *3421:8 0 +16 *2901:32 *3421:10 0 +17 *2901:32 *3426:22 0 +18 *2901:33 *3154:19 0.0022773 +19 *2901:33 *3154:28 7.6719e-06 +20 la_data_in_mprj[73] *2901:20 7.20173e-06 +21 *486:5 *2901:20 1.91391e-05 +22 *1299:8 *2901:20 0 +23 *1427:8 *2901:32 9.44224e-05 +24 *1427:9 *21295:A 4.0752e-05 +25 *1427:9 *2901:33 0.00484875 +26 *1940:35 *2901:20 0.000110846 +27 *1990:28 *2901:20 1.58463e-05 +28 *2041:19 *2901:20 0 +29 *2047:29 *2901:20 0.000981011 +30 *2047:33 *2901:20 6.74182e-05 +31 *2089:30 *21295:A 0.000115934 +32 *2089:30 *2901:33 0.0010893 +33 *2231:16 *2901:20 0 +34 *2232:19 *2901:20 0.000401927 +35 *2233:23 *2901:20 3.2389e-06 +36 *2237:27 *2901:20 0.000336126 +37 *2341:36 *2901:20 3.32917e-05 +38 *2611:21 *2901:20 2.81887e-05 +39 *2613:20 *2901:20 0.000116253 +40 *2617:20 *2901:20 0.0022186 +41 *2619:19 *2901:20 0.000620116 +42 *2621:17 *2901:20 0.000405208 +*RES +1 *22046:X *2901:20 48.2926 +2 *2901:20 *2901:21 2.38721 +3 *2901:21 *22469:A_N 9.24915 +4 *2901:21 *2901:27 4.60562 +5 *2901:27 *2901:32 23.2896 +6 *2901:32 *2901:33 52.3015 +7 *2901:33 *21295:A 11.1059 +8 *2901:33 *3485:DIODE 9.24915 +9 *2901:27 *4884:DIODE 9.24915 +*END + +*D_NET *2902 0.0389297 +*CONN +*I *21296:A I *D sky130_fd_sc_hd__inv_2 +*I *3486:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4886:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22470:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22047:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21296:A 3.89861e-05 +2 *3486:DIODE 0.000168604 +3 *4886:DIODE 0 +4 *22470:A_N 0 +5 *22047:X 0.00617714 +6 *2902:38 0.00138117 +7 *2902:29 0.00132126 +8 *2902:20 0.000166603 +9 *2902:15 0.00619605 +10 *3486:DIODE *3160:11 0.000266846 +11 *21296:A *3284:6 1.67271e-05 +12 *2902:15 *2903:28 0.00210222 +13 *2902:15 *2904:22 0 +14 *2902:15 *2907:22 0.000321381 +15 *2902:15 *2966:15 0.000711593 +16 *2902:15 *3239:21 0.000545781 +17 *2902:15 *3262:20 0.000171189 +18 *2902:15 *3273:23 5.93953e-05 +19 *2902:20 *3426:26 0 +20 *2902:29 *3156:7 0.000404915 +21 *2902:38 *3156:7 0.000886417 +22 *2902:38 *3273:23 0.00012309 +23 *2902:38 *3284:6 0.000182734 +24 la_data_in_mprj[74] *2902:15 0.00012647 +25 *870:8 *2902:15 2.25574e-05 +26 *2091:17 *2902:15 0.00423065 +27 *2094:8 *2902:15 0.000325835 +28 *2118:19 *2902:15 0.0029688 +29 *2267:19 *2902:15 0.000944138 +30 *2283:13 *21296:A 1.49589e-05 +31 *2283:13 *2902:38 0.000186221 +32 *2611:21 *2902:15 5.52824e-05 +33 *2615:21 *2902:15 0.000137296 +34 *2619:19 *2902:15 0.00767011 +35 *2620:19 *2902:15 0.000748247 +36 *2623:11 *2902:15 0.000257015 +*RES +1 *22047:X *2902:15 49.9495 +2 *2902:15 *2902:20 8.2474 +3 *2902:20 *22470:A_N 9.24915 +4 *2902:20 *2902:29 5.35313 +5 *2902:29 *4886:DIODE 9.24915 +6 *2902:29 *2902:38 36.5747 +7 *2902:38 *3486:DIODE 16.691 +8 *2902:38 *21296:A 14.7506 +*END + +*D_NET *2903 0.0397736 +*CONN +*I *3487:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21297:A I *D sky130_fd_sc_hd__inv_2 +*I *22471:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4888:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22048:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3487:DIODE 0.000207221 +2 *21297:A 4.53023e-05 +3 *22471:A_N 0.000132147 +4 *4888:DIODE 0 +5 *22048:X 0.00557036 +6 *2903:54 0.00369046 +7 *2903:53 0.00433547 +8 *2903:32 0.000587767 +9 *2903:28 0.00692351 +10 *2903:28 *3173:19 0.000267958 +11 *2903:28 *3195:14 0.000548408 +12 *2903:32 *3184:6 0 +13 *2903:53 *3141:36 4.69495e-06 +14 la_data_in_mprj[75] *2903:28 0.000213725 +15 *4236:DIODE *2903:28 7.34948e-06 +16 *488:7 *2903:28 0.000134163 +17 *615:8 *2903:28 7.6719e-06 +18 *616:8 *2903:28 7.73552e-06 +19 *1137:51 *3487:DIODE 8.82627e-05 +20 *1137:61 *3487:DIODE 7.54269e-06 +21 *1137:61 *21297:A 7.85235e-05 +22 *1137:61 *2903:54 0.00120192 +23 *1137:65 *2903:54 0.00252718 +24 *1168:36 *2903:53 9.70552e-05 +25 *1936:70 *22471:A_N 0.000162663 +26 *1946:40 *22471:A_N 1.15389e-05 +27 *1946:46 *22471:A_N 0.000260325 +28 *1983:26 *2903:28 0.000309691 +29 *1996:20 *2903:28 0.000171494 +30 *2030:16 *2903:28 0.000172612 +31 *2053:19 *2903:32 0 +32 *2056:28 *2903:28 1.85443e-06 +33 *2062:19 *2903:28 0.000743392 +34 *2087:38 *2903:28 0.000268259 +35 *2117:14 *2903:28 0 +36 *2123:20 *2903:32 0.000945131 +37 *2123:20 *2903:53 0.000615319 +38 *2134:19 *2903:54 0.000347757 +39 *2235:35 *2903:28 0.000785312 +40 *2238:8 *22471:A_N 0.000459727 +41 *2246:25 *2903:28 0 +42 *2329:27 *2903:53 7.44425e-06 +43 *2332:34 *2903:28 0.000168069 +44 *2335:17 *2903:32 0.000940676 +45 *2335:17 *2903:53 0.000636692 +46 *2426:26 *2903:28 0.00152883 +47 *2426:32 *2903:28 8.22964e-06 +48 *2470:20 *2903:53 0 +49 *2615:21 *2903:28 0 +50 *2615:30 *2903:53 0 +51 *2620:19 *2903:28 0.00211621 +52 *2621:17 *2903:28 3.37182e-05 +53 *2899:27 *2903:28 0.000292022 +54 *2902:15 *2903:28 0.00210222 +*RES +1 *22048:X *2903:28 46.1363 +2 *2903:28 *2903:32 9.21189 +3 *2903:32 *4888:DIODE 13.7491 +4 *2903:32 *22471:A_N 19.9109 +5 *2903:28 *2903:53 23.219 +6 *2903:53 *2903:54 60.066 +7 *2903:54 *21297:A 11.1541 +8 *2903:54 *3487:DIODE 12.7456 +*END + +*D_NET *2904 0.0305004 +*CONN +*I *4890:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3488:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21298:A I *D sky130_fd_sc_hd__inv_2 +*I *22472:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22049:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *4890:DIODE 1.30469e-05 +2 *3488:DIODE 2.34752e-05 +3 *21298:A 2.11456e-05 +4 *22472:A_N 0 +5 *22049:X 0.00629365 +6 *2904:36 0.00105187 +7 *2904:31 0.00108456 +8 *2904:24 6.42622e-05 +9 *2904:22 0.00629365 +10 *3488:DIODE *3157:5 0.000222979 +11 *4890:DIODE *3438:35 0.00011818 +12 *21298:A *3157:5 6.50586e-05 +13 *2904:22 *2907:22 0.00102636 +14 *2904:22 *3228:19 0.000805439 +15 *2904:22 *3239:21 0 +16 *2904:22 *3429:10 1.19532e-05 +17 *2904:31 *3438:35 0.000317693 +18 *2904:36 *3144:21 0.000511007 +19 *2904:36 *3426:26 0 +20 *2904:36 *3429:10 3.79933e-05 +21 la_data_in_mprj[76] *2904:22 9.12416e-06 +22 *872:9 *2904:22 9.97479e-06 +23 *1436:10 *3488:DIODE 9.31952e-05 +24 *1436:10 *21298:A 5.04829e-06 +25 *1820:14 *4890:DIODE 4.88955e-05 +26 *1820:14 *2904:31 6.92705e-05 +27 *1820:14 *2904:36 0.00016777 +28 *1990:28 *2904:22 0.00016043 +29 *2108:24 *2904:22 1.93857e-05 +30 *2132:25 *2904:22 0.00369947 +31 *2257:21 *2904:22 0 +32 *2258:25 *2904:22 2.55157e-05 +33 *2261:21 *2904:22 0.000443581 +34 *2263:19 *2904:22 0.00174596 +35 *2434:10 *2904:22 0 +36 *2454:33 *4890:DIODE 2.41483e-05 +37 *2454:33 *2904:31 0.000191573 +38 *2454:35 *2904:31 1.92336e-05 +39 *2466:9 *2904:22 5.69128e-05 +40 *2615:21 *2904:22 0.0014188 +41 *2621:17 *2904:22 0.00350521 +42 *2899:21 *2904:22 0.00031839 +43 *2901:20 *2904:22 0.000506139 +44 *2902:15 *2904:22 0 +*RES +1 *22049:X *2904:22 47.4836 +2 *2904:22 *2904:24 4.5 +3 *2904:24 *22472:A_N 9.24915 +4 *2904:24 *2904:31 4.07513 +5 *2904:31 *2904:36 32.0099 +6 *2904:36 *21298:A 9.97254 +7 *2904:36 *3488:DIODE 11.6364 +8 *2904:31 *4890:DIODE 10.5271 +*END + +*D_NET *2905 0.0592496 +*CONN +*I *3489:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21299:A I *D sky130_fd_sc_hd__inv_2 +*I *4892:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22473:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22050:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3489:DIODE 0.000114381 +2 *21299:A 2.3034e-05 +3 *4892:DIODE 0 +4 *22473:A_N 0.000288958 +5 *22050:X 0.00230847 +6 *2905:56 0.000159248 +7 *2905:54 0.00312058 +8 *2905:52 0.00353975 +9 *2905:46 0.00270474 +10 *2905:22 0.000288958 +11 *2905:20 0.00630272 +12 *2905:13 0.00634745 +13 *2905:13 *22935:A 0 +14 *2905:13 *2908:21 0.0076683 +15 *2905:13 *2912:21 0.0018085 +16 *2905:20 *3350:47 0 +17 *2905:20 *3362:26 7.78226e-05 +18 *2905:46 *3317:17 0 +19 *2905:46 *3453:12 0.000406606 +20 *2905:52 *3453:12 0.00117522 +21 *2905:54 *3171:7 0.00319471 +22 *2905:54 *3453:12 0.000452497 +23 la_data_in_mprj[77] *2905:13 2.99929e-05 +24 *3956:DIODE *2905:13 4.69725e-06 +25 *4238:DIODE *2905:13 2.3339e-05 +26 *4893:DIODE *2905:46 0 +27 *22345:TE *2905:54 0.000171288 +28 *23572:TE *2905:46 0.00011818 +29 *618:8 *2905:13 0 +30 *1137:51 *22473:A_N 0.000136248 +31 *1150:9 *2905:13 0.000522394 +32 *1435:11 *2905:46 7.02172e-06 +33 *1984:33 *2905:20 0.00143258 +34 *1990:28 *2905:13 0.000611374 +35 *2070:34 *2905:20 6.7673e-05 +36 *2090:20 *2905:13 0.00979753 +37 *2134:20 *2905:46 0.000719078 +38 *2134:35 *2905:46 0.000102517 +39 *2134:36 *3489:DIODE 0.000108038 +40 *2134:36 *2905:54 0.000148304 +41 *2239:13 *2905:20 0.00022905 +42 *2304:10 *22473:A_N 0.000111802 +43 *2304:10 *2905:20 7.08723e-06 +44 *2304:10 *2905:46 5.93547e-06 +45 *2305:5 *2905:20 1.5714e-05 +46 *2305:5 *2905:46 0.000691937 +47 *2333:14 *2905:20 5.93953e-05 +48 *2343:8 *2905:20 1.93857e-05 +49 *2490:20 *2905:20 0.000165186 +50 *2498:36 *2905:20 0.00391287 +51 *2622:5 *2905:13 2.99287e-05 +52 *2765:8 *2905:13 1.91246e-05 +*RES +1 *22050:X *2905:13 36.9988 +2 *2905:13 *2905:20 18.0934 +3 *2905:20 *2905:22 4.5 +4 *2905:22 *22473:A_N 14.4335 +5 *2905:22 *4892:DIODE 9.24915 +6 *2905:20 *2905:46 49.5715 +7 *2905:46 *2905:52 13.8048 +8 *2905:52 *2905:54 54.2426 +9 *2905:54 *2905:56 0.578717 +10 *2905:56 *21299:A 9.82786 +11 *2905:56 *3489:DIODE 12.191 +*END + +*D_NET *2906 0.0583353 +*CONN +*I *6086:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23390:A I *D sky130_fd_sc_hd__nand2_1 +*I *22051:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6086:DIODE 0 +2 *23390:A 4.29788e-05 +3 *22051:X 0.00188037 +4 *2906:26 4.29788e-05 +5 *2906:24 0.00246041 +6 *2906:23 0.00246041 +7 *2906:21 0.0110786 +8 *2906:20 0.0122503 +9 *2906:12 0.00187851 +10 *2906:6 0.00258716 +11 *2906:6 *22750:A 0 +12 *2906:6 *23006:A 0 +13 *2906:6 *2983:38 5.27691e-05 +14 *2906:6 *3110:18 0 +15 *2906:12 *3006:35 0.00149578 +16 *2906:21 *3006:47 0.0129728 +17 *2906:21 *3059:23 0.00217125 +18 *2906:24 *3110:12 0.000358896 +19 la_oenb_core[25] *2906:6 3.5534e-06 +20 *4250:DIODE *2906:6 6.39153e-06 +21 *23504:A *2906:24 0 +22 *1254:9 *2906:24 0 +23 *1369:31 *23390:A 0.000417189 +24 *1764:9 *2906:24 0.000143262 +25 *1765:10 *2906:24 0.000401818 +26 *1942:19 *2906:12 2.14624e-05 +27 *2157:24 *23390:A 0.000460747 +28 *2383:18 *2906:6 0 +29 *2384:21 *2906:21 0.000108607 +30 *2386:31 *2906:12 0.000990712 +31 *2386:33 *2906:12 0.00115961 +32 *2386:33 *2906:21 0.00012417 +33 *2388:41 *2906:21 0.00012854 +34 *2818:8 *2906:20 5.88009e-05 +35 *2843:16 *2906:24 0.000378441 +36 *2843:29 *2906:24 6.26904e-05 +37 *2843:41 *2906:24 0.000306628 +38 *2845:22 *2906:24 0 +39 *2851:8 *2906:12 2.47194e-05 +40 *2895:11 *2906:20 0.00180471 +*RES +1 *22051:X *2906:6 46.3098 +2 *2906:6 *2906:12 49.1291 +3 *2906:12 *2906:20 34.4967 +4 *2906:20 *2906:21 197.053 +5 *2906:21 *2906:23 4.5 +6 *2906:23 *2906:24 58.7215 +7 *2906:24 *2906:26 4.5 +8 *2906:26 *23390:A 14.4335 +9 *2906:26 *6086:DIODE 9.24915 +*END + +*D_NET *2907 0.0375609 +*CONN +*I *4894:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3490:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21300:A I *D sky130_fd_sc_hd__inv_2 +*I *22474:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22052:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *4894:DIODE 6.19783e-06 +2 *3490:DIODE 3.26621e-05 +3 *21300:A 0 +4 *22474:A_N 0 +5 *22052:X 0.00551612 +6 *2907:42 0.000311262 +7 *2907:37 0.00161267 +8 *2907:36 0.00154807 +9 *2907:31 0.000304502 +10 *2907:24 8.43115e-05 +11 *2907:22 0.00551612 +12 *3490:DIODE *3161:23 0.000267404 +13 *3490:DIODE *3172:5 0.000267404 +14 *4894:DIODE *3438:37 6.50727e-05 +15 *2907:22 *2961:30 0.00086637 +16 *2907:22 *3145:16 2.09155e-05 +17 *2907:22 *3262:20 0.00128017 +18 *2907:31 *3153:37 4.54787e-05 +19 *2907:31 *3438:37 0.0004282 +20 *2907:36 *3145:16 0 +21 *2907:36 *3155:30 0 +22 *2907:42 *3317:8 7.22901e-05 +23 *2907:42 *3339:23 0 +24 la_data_in_mprj[78] *2907:22 9.28861e-05 +25 *22474:B *2907:31 2.41483e-05 +26 *1310:11 *2907:37 0.000963533 +27 *1822:10 *4894:DIODE 5.31074e-05 +28 *1822:10 *2907:31 0.000175485 +29 *1941:42 *2907:37 0.00420447 +30 *2091:17 *2907:22 0 +31 *2103:20 *2907:22 0.00350719 +32 *2108:24 *2907:22 1.93857e-05 +33 *2267:19 *2907:22 8.51681e-05 +34 *2268:28 *2907:22 0.000171705 +35 *2437:22 *2907:22 0 +36 *2454:33 *2907:22 3.74433e-05 +37 *2466:9 *2907:22 5.69128e-05 +38 *2471:18 *2907:22 0.00588731 +39 *2615:21 *2907:22 0.000932421 +40 *2619:19 *2907:22 0.000341113 +41 *2621:17 *2907:22 0.00141562 +42 *2902:15 *2907:22 0.000321381 +43 *2904:22 *2907:22 0.00102636 +*RES +1 *22052:X *2907:22 48.46 +2 *2907:22 *2907:24 4.5 +3 *2907:24 *22474:A_N 9.24915 +4 *2907:24 *2907:31 5.18434 +5 *2907:31 *2907:36 12.9083 +6 *2907:36 *2907:37 46.2009 +7 *2907:37 *2907:42 14.9845 +8 *2907:42 *21300:A 9.24915 +9 *2907:42 *3490:DIODE 12.191 +10 *2907:31 *4894:DIODE 9.97254 +*END + +*D_NET *2908 0.054176 +*CONN +*I *21301:A I *D sky130_fd_sc_hd__inv_2 +*I *3491:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4896:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22475:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22053:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21301:A 8.67833e-05 +2 *3491:DIODE 0 +3 *4896:DIODE 9.13183e-05 +4 *22475:A_N 0 +5 *22053:X 0.00304828 +6 *2908:46 0.00106813 +7 *2908:30 9.13183e-05 +8 *2908:28 0.00476249 +9 *2908:21 0.00682942 +10 *4896:DIODE *3167:11 0.00042177 +11 *2908:21 *22937:A 7.12632e-06 +12 *2908:21 *22952:A 1.34142e-05 +13 *2908:21 *2912:21 0.00582921 +14 *2908:28 *3165:16 8.15634e-05 +15 *2908:28 *3406:8 0.000227108 +16 *2908:28 *3417:35 0.000562627 +17 *2908:28 *3428:29 5.36346e-05 +18 *2908:28 *3439:26 0 +19 *2908:46 *3163:27 0.000144993 +20 *2908:46 *3165:16 0.000257422 +21 *2908:46 *3406:8 0.000799125 +22 *3958:DIODE *2908:21 2.99929e-05 +23 *620:5 *2908:21 0 +24 *1326:20 *2908:28 0.00301673 +25 *1823:8 *4896:DIODE 0.000169122 +26 *1941:56 *4896:DIODE 6.23875e-05 +27 *1984:34 *2908:28 2.18346e-05 +28 *1990:28 *2908:21 0.00988101 +29 *2090:20 *2908:21 0.00258593 +30 *2091:17 *2908:28 0.000114471 +31 *2094:5 *2908:21 0.000150962 +32 *2111:39 *2908:28 0 +33 *2244:19 *2908:28 0.00405981 +34 *2280:20 *21301:A 0.000262739 +35 *2280:20 *2908:46 9.82896e-06 +36 *2280:24 *2908:46 0.000716703 +37 *2454:9 *4896:DIODE 2.15184e-05 +38 *2454:13 *4896:DIODE 7.68538e-06 +39 *2493:30 *2908:28 0.000268824 +40 *2499:21 *2908:28 0.000752447 +41 *2622:30 *2908:28 0 +42 *2905:13 *2908:21 0.0076683 +*RES +1 *22053:X *2908:21 48.7901 +2 *2908:21 *2908:28 24.1512 +3 *2908:28 *2908:30 4.5 +4 *2908:30 *22475:A_N 9.24915 +5 *2908:30 *4896:DIODE 14.4335 +6 *2908:28 *2908:46 28.9384 +7 *2908:46 *3491:DIODE 9.24915 +8 *2908:46 *21301:A 12.625 +*END + +*D_NET *2909 0.0573874 +*CONN +*I *21302:A I *D sky130_fd_sc_hd__inv_2 +*I *3492:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4898:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22476:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22054:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21302:A 8.148e-05 +2 *3492:DIODE 4.18965e-05 +3 *4898:DIODE 0 +4 *22476:A_N 5.56467e-05 +5 *22054:X 0.000249213 +6 *2909:40 0.000600234 +7 *2909:31 0.000484485 +8 *2909:24 6.32738e-05 +9 *2909:22 0.00201837 +10 *2909:21 0.00350926 +11 *2909:18 0.00264298 +12 *2909:13 0.00493267 +13 *2909:11 0.00380361 +14 *2909:9 0.00354859 +15 *2909:8 0.00377477 +16 *2909:8 *22939:A 0.000191741 +17 *2909:9 *5457:DIODE 0.000171288 +18 *2909:9 *5468:DIODE 5.08751e-05 +19 *2909:9 *5469:DIODE 0.000271058 +20 *2909:9 *2921:11 0.00590346 +21 *2909:9 *3325:7 0.000271058 +22 *2909:9 *3329:21 0.00191277 +23 *2909:9 *3329:23 0.000233018 +24 *2909:9 *3331:9 0.000840171 +25 *2909:9 *3335:7 0.000164815 +26 *2909:13 *2921:21 0.000421654 +27 *2909:13 *2921:23 0.00314611 +28 *2909:18 *2934:24 4.27984e-05 +29 *2909:18 *3139:34 0.000161404 +30 *2909:18 *3207:8 0.000127367 +31 *2909:18 *3250:18 1.00521e-05 +32 *2909:22 *2921:32 0.000637775 +33 *2909:22 *2926:38 0 +34 *2909:22 *3139:23 0.00190847 +35 *2909:22 *3191:8 0 +36 *2909:40 *3139:23 3.83492e-06 +37 *2909:40 *3191:8 0 +38 la_data_in_mprj[80] *2909:8 9.34919e-05 +39 *3960:DIODE *2909:8 2.7973e-05 +40 *4242:DIODE *2909:8 1.4059e-05 +41 *4943:DIODE *2909:18 2.99217e-05 +42 *5719:DIODE *2909:9 0.000543025 +43 *5723:DIODE *2909:9 0.000517206 +44 *5734:DIODE *2909:9 0.000321985 +45 *22476:B *22476:A_N 0.000158357 +46 *22476:B *2909:31 5.08751e-05 +47 *22476:B *2909:40 5.31074e-05 +48 *23090:A *2909:13 3.57548e-05 +49 *23199:A *2909:9 6.50727e-05 +50 *622:10 *2909:8 0 +51 *1137:32 *2909:22 1.92302e-05 +52 *1137:32 *2909:40 4.49912e-05 +53 *1141:42 *2909:22 0 +54 *1154:9 *2909:9 0.00012875 +55 *1177:44 *2909:40 1.49935e-05 +56 *1186:25 *21302:A 0.000266832 +57 *1188:47 *3492:DIODE 6.50586e-05 +58 *1188:47 *21302:A 0.000266832 +59 *1327:6 *2909:22 0.0015382 +60 *1577:11 *2909:9 0.000164829 +61 *1593:9 *2909:13 0.000113968 +62 *1598:14 *2909:18 5.33591e-05 +63 *1700:9 *2909:9 0.000468419 +64 *1701:13 *2909:9 0.000163428 +65 *1702:9 *2909:9 0.000259093 +66 *1714:9 *2909:9 0.00036952 +67 *1824:9 *21302:A 0 +68 *1824:9 *2909:40 0 +69 *1846:11 *2909:18 0.000110473 +70 *1946:80 *22476:A_N 0.000370801 +71 *1946:80 *2909:31 6.50727e-05 +72 *1946:80 *2909:40 6.50586e-05 +73 *1948:97 *2909:40 8.98279e-05 +74 *1975:21 *2909:22 1.99347e-05 +75 *2114:12 *2909:21 0.00239412 +76 *2306:22 *2909:22 0 +77 *2316:33 *22476:A_N 0.000169041 +78 *2514:10 *2909:18 0.000488555 +79 *2622:23 *2909:9 1.92172e-05 +80 *2624:14 *2909:8 0 +81 *2624:15 *2909:9 0.00452261 +82 *2625:9 *2909:9 6.01574e-05 +83 *2628:42 *2909:18 0 +84 *2798:8 *2909:18 0.000887972 +*RES +1 *22054:X *2909:8 24.6489 +2 *2909:8 *2909:9 142.979 +3 *2909:9 *2909:11 0.578717 +4 *2909:11 *2909:13 63.1163 +5 *2909:13 *2909:18 40.3149 +6 *2909:18 *2909:21 30.7352 +7 *2909:21 *2909:22 57.4758 +8 *2909:22 *2909:24 4.5 +9 *2909:24 *22476:A_N 13.3002 +10 *2909:24 *2909:31 0.723396 +11 *2909:31 *4898:DIODE 9.24915 +12 *2909:31 *2909:40 14.5299 +13 *2909:40 *3492:DIODE 14.4725 +14 *2909:40 *21302:A 17.6924 +*END + +*D_NET *2910 0.00978751 +*CONN +*I *3749:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21559:A I *D sky130_fd_sc_hd__inv_2 +*I *4900:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22477:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22055:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3749:DIODE 5.24523e-05 +2 *21559:A 2.06324e-05 +3 *4900:DIODE 7.21326e-05 +4 *22477:A_N 0 +5 *22055:X 0 +6 *2910:24 0.000254839 +7 *2910:9 9.821e-05 +8 *2910:6 0.00235083 +9 *2910:5 0.002143 +10 *4243:DIODE *2910:6 0.00030861 +11 *4834:DIODE *2910:24 6.50586e-05 +12 *22477:B *2910:24 0.000101118 +13 *1258:16 *4900:DIODE 0.000252635 +14 *1258:16 *2910:9 0.000107496 +15 *1313:11 *2910:6 3.77804e-05 +16 *1313:11 *2910:24 0.000113319 +17 *1894:17 *3749:DIODE 0.000115599 +18 *1894:17 *2910:24 5.07314e-05 +19 *1916:36 *4900:DIODE 0.000366603 +20 *1916:36 *2910:9 0.000107496 +21 *1938:36 *2910:6 9.24241e-05 +22 *1938:36 *2910:24 0.000265636 +23 *2325:19 *2910:24 3.6549e-05 +24 *2614:17 *2910:6 0 +25 *2756:10 *2910:6 0.000832261 +26 *2874:12 *2910:6 0.00168098 +27 *2874:21 *2910:24 4.09467e-05 +28 *2874:38 *3749:DIODE 0.000205985 +29 *2874:38 *2910:24 1.41976e-05 +*RES +1 *22055:X *2910:5 13.7491 +2 *2910:5 *2910:6 75.7469 +3 *2910:6 *2910:9 5.778 +4 *2910:9 *22477:A_N 9.24915 +5 *2910:9 *4900:DIODE 13.3002 +6 *2910:6 *2910:24 12.593 +7 *2910:24 *21559:A 9.82786 +8 *2910:24 *3749:DIODE 12.191 +*END + +*D_NET *2911 0.0622436 +*CONN +*I *4902:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21303:A I *D sky130_fd_sc_hd__inv_2 +*I *3493:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22478:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22056:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *4902:DIODE 0.000154989 +2 *21303:A 0.000145158 +3 *3493:DIODE 0 +4 *22478:A_N 0 +5 *22056:X 0.000174278 +6 *2911:42 0.00110754 +7 *2911:32 0.000970185 +8 *2911:30 0.004536 +9 *2911:28 0.00555896 +10 *2911:22 0.00170428 +11 *2911:11 0.0124744 +12 *2911:10 0.0121302 +13 *2911:11 *2915:13 0.000861406 +14 *2911:11 *2915:24 3.21413e-05 +15 *2911:11 *2915:25 0.00112839 +16 *2911:11 *2961:31 0.000420294 +17 *2911:22 *2915:40 2.4764e-05 +18 *2911:22 *2926:12 0 +19 *2911:22 *2966:9 0.000195504 +20 *2911:28 *2930:24 4.05944e-05 +21 *2911:28 *2966:9 0.00038849 +22 *2911:30 *2915:56 1.30227e-05 +23 *2911:30 *2930:24 0 +24 la_data_in_mprj[105] *2911:22 4.08e-05 +25 la_data_in_mprj[110] *2911:28 6.20888e-05 +26 *4137:DIODE *2911:28 0.000313432 +27 *4244:DIODE *2911:10 0.00011818 +28 *22478:B *2911:30 0 +29 *23357:A *2911:30 2.4764e-05 +30 *400:7 *2911:28 0 +31 *495:8 *2911:10 0.00015164 +32 *526:5 *2911:28 0 +33 *623:8 *2911:10 1.69247e-05 +34 *777:8 *2911:22 9.4745e-06 +35 *782:8 *2911:28 7.13089e-05 +36 *1179:28 *2911:30 1.29348e-05 +37 *1183:19 *4902:DIODE 0.000313481 +38 *1185:28 *2911:30 2.51488e-05 +39 *1198:41 *2911:30 2.30482e-05 +40 *1206:41 *4902:DIODE 0.000309083 +41 *1602:10 *2911:30 0.000144169 +42 *1943:59 *2911:30 0 +43 *1948:107 *2911:30 7.08723e-06 +44 *2111:18 *2911:11 0.000525018 +45 *2111:22 *2911:11 7.02172e-06 +46 *2259:23 *2911:30 0 +47 *2262:19 *2911:30 0.000719069 +48 *2352:8 *2911:30 0 +49 *2352:8 *2911:42 0 +50 *2457:13 *4902:DIODE 0 +51 *2457:13 *2911:42 0 +52 *2512:22 *2911:30 3.58047e-05 +53 *2518:14 *2911:30 0 +54 *2520:8 *2911:22 0 +55 *2520:9 *2911:28 0.00123342 +56 *2622:5 *2911:10 3.83172e-05 +57 *2630:11 *2911:22 0.00237825 +58 *2633:20 *2911:11 0.00230087 +59 *2643:11 *2911:11 0.00223483 +60 *2645:9 *2911:22 0.000647008 +61 *2645:15 *2911:22 0.000776874 +62 *2645:15 *2911:28 0.000410271 +63 *2645:19 *2911:28 2.8873e-05 +64 *2647:9 *2911:11 0.00593618 +65 *2663:10 *2911:28 0 +66 *2799:11 *2911:22 2.1203e-06 +67 *2801:14 *2911:28 3.03943e-05 +68 *2801:14 *2911:30 0.00123507 +*RES +1 *22056:X *2911:10 23.023 +2 *2911:10 *2911:11 203.154 +3 *2911:11 *2911:22 48.8662 +4 *2911:22 *2911:28 40.1132 +5 *2911:28 *2911:30 94.189 +6 *2911:30 *2911:32 0.378612 +7 *2911:32 *22478:A_N 13.7491 +8 *2911:32 *2911:42 20.6306 +9 *2911:42 *3493:DIODE 9.24915 +10 *2911:42 *21303:A 12.625 +11 *2911:30 *4902:DIODE 19.334 +*END + +*D_NET *2912 0.0548073 +*CONN +*I *22479:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3494:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21304:A I *D sky130_fd_sc_hd__inv_2 +*I *4904:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22057:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22479:A_N 0 +2 *3494:DIODE 9.47196e-05 +3 *21304:A 0 +4 *4904:DIODE 0 +5 *22057:X 0.00342809 +6 *2912:43 0.000507888 +7 *2912:37 0.000645783 +8 *2912:30 0.00336567 +9 *2912:21 0.00656115 +10 *3494:DIODE *3169:27 0.000260388 +11 *2912:21 *5459:DIODE 4.22319e-05 +12 *2912:21 *22941:A 2.1203e-06 +13 *2912:21 *2922:15 0.00010238 +14 *2912:30 *2914:21 0.0059725 +15 *2912:30 *2916:22 0 +16 *2912:43 *3169:27 0.000313481 +17 *2912:43 *3187:10 0 +18 *4253:DIODE *2912:21 4.04945e-05 +19 *5966:DIODE *2912:21 1.91246e-05 +20 *23330:B *2912:21 4.88764e-06 +21 *1150:9 *2912:21 0.00528588 +22 *1168:36 *2912:30 0.00336893 +23 *1182:30 *2912:43 7.14746e-05 +24 *1186:34 *2912:43 6.06108e-05 +25 *1952:43 *2912:30 0.00353109 +26 *1990:28 *2912:21 0.00363622 +27 *2070:43 *2912:30 0.000233648 +28 *2080:49 *2912:30 6.08826e-05 +29 *2085:31 *2912:43 7.22977e-05 +30 *2090:20 *2912:21 0.00179183 +31 *2231:16 *2912:21 0.000380844 +32 *2313:14 *2912:37 0.000143174 +33 *2382:40 *2912:21 0.000800089 +34 *2393:70 *2912:21 0.000508158 +35 *2622:23 *2912:21 0.000284682 +36 *2635:17 *2912:30 0.00540231 +37 *2770:9 *2912:21 4.49018e-05 +38 *2777:6 *2912:21 0.000127073 +39 *2778:15 *2912:21 4.61004e-06 +40 *2905:13 *2912:21 0.0018085 +41 *2908:21 *2912:21 0.00582921 +*RES +1 *22057:X *2912:21 49.0743 +2 *2912:21 *2912:30 30.2762 +3 *2912:30 *4904:DIODE 9.24915 +4 *2912:30 *2912:37 4.07513 +5 *2912:37 *2912:43 19.7267 +6 *2912:43 *21304:A 9.24915 +7 *2912:43 *3494:DIODE 12.191 +8 *2912:37 *22479:A_N 9.24915 +*END + +*D_NET *2913 0.0723478 +*CONN +*I *21305:A I *D sky130_fd_sc_hd__inv_2 +*I *3495:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4906:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22480:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22058:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *21305:A 0.000113516 +2 *3495:DIODE 0 +3 *4906:DIODE 8.57727e-05 +4 *22480:A_N 0 +5 *22058:X 0.000335277 +6 *2913:42 0.00133898 +7 *2913:21 9.80674e-05 +8 *2913:18 0.00225874 +9 *2913:14 0.00397097 +10 *2913:13 0.00294998 +11 *2913:11 0.00169986 +12 *2913:10 0.00203514 +13 *2913:10 *2937:14 0.000115176 +14 *2913:11 *2914:11 0.00125233 +15 *2913:11 *3045:13 0.00132274 +16 *2913:14 *3065:6 0 +17 *2913:14 *3199:12 0.000295557 +18 *2913:18 *3065:6 0.000236186 +19 *2913:42 *3065:6 0.000883422 +20 *2913:42 *3183:8 0 +21 *4144:DIODE *2913:14 0 +22 *4913:DIODE *2913:42 0.00011666 +23 *5058:DIODE *2913:42 0.000163997 +24 *21776:A *2913:10 6.50727e-05 +25 *22480:B *2913:18 0 +26 *497:5 *2913:10 5.82294e-05 +27 *531:8 *2913:14 9.79019e-05 +28 *625:5 *2913:10 3.77516e-05 +29 *787:8 *2913:14 7.1138e-05 +30 *1141:16 *2913:14 0.000178737 +31 *1176:15 *4906:DIODE 1.92336e-05 +32 *1176:21 *4906:DIODE 4.38577e-05 +33 *1176:23 *2913:21 6.50727e-05 +34 *1179:28 *2913:42 5.11322e-06 +35 *1191:20 *2913:18 0.000219 +36 *1196:34 *2913:42 0.000146458 +37 *1203:20 *21305:A 9.14505e-05 +38 *1203:20 *2913:42 6.0369e-05 +39 *1205:23 *4906:DIODE 0.000430366 +40 *1205:23 *2913:21 1.92336e-05 +41 *1454:15 *2913:14 0 +42 *1454:15 *2913:18 0 +43 *1828:10 *4906:DIODE 0.000171273 +44 *1948:107 *2913:42 0.000102734 +45 *1973:33 *2913:14 0.000110645 +46 *2094:8 *2913:11 0.0247281 +47 *2114:17 *2913:14 0.000192274 +48 *2136:37 *2913:18 0.000135762 +49 *2270:33 *2913:18 2.12568e-05 +50 *2351:6 *2913:18 0 +51 *2351:6 *2913:42 0 +52 *2517:32 *2913:14 9.4151e-05 +53 *2523:18 *2913:14 0.000975197 +54 *2526:8 *2913:14 0.000378674 +55 *2526:15 *2913:14 0.000481851 +56 *2622:5 *2913:10 0.000108266 +57 *2627:11 *2913:11 0.023152 +58 *2630:11 *2913:11 0.000724449 +59 *2636:24 *2913:14 0 +60 *2797:16 *2913:14 8.98169e-05 +*RES +1 *22058:X *2913:10 26.4843 +2 *2913:10 *2913:11 260.278 +3 *2913:11 *2913:13 4.5 +4 *2913:13 *2913:14 72.9012 +5 *2913:14 *2913:18 22.8511 +6 *2913:18 *2913:21 5.2234 +7 *2913:21 *22480:A_N 9.24915 +8 *2913:21 *4906:DIODE 14.4335 +9 *2913:18 *2913:42 33.7204 +10 *2913:42 *3495:DIODE 9.24915 +11 *2913:42 *21305:A 12.625 +*END + +*D_NET *2914 0.0627544 +*CONN +*I *4908:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22481:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3496:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21306:A I *D sky130_fd_sc_hd__inv_2 +*I *22059:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *4908:DIODE 0.000121552 +2 *22481:A_N 0.000148938 +3 *3496:DIODE 0 +4 *21306:A 3.5247e-05 +5 *22059:X 0.00170421 +6 *2914:46 0.00123799 +7 *2914:41 0.00174182 +8 *2914:40 0.00109353 +9 *2914:29 0.00062593 +10 *2914:21 0.00596667 +11 *2914:11 0.00732892 +12 *2914:11 *2966:9 0.00223213 +13 *2914:11 *3045:13 0.00542277 +14 *2914:21 *3428:29 0 +15 *2914:21 *3439:26 8.69354e-06 +16 *2914:41 *3499:DIODE 1.5962e-05 +17 *2914:41 *2916:33 0.00143384 +18 *2914:41 *2919:85 0.000263184 +19 *2914:46 *2921:57 0 +20 la_data_in_mprj[84] *2914:11 0.000158583 +21 *22481:B *2914:46 0 +22 *22559:A *2914:46 0 +23 *498:8 *2914:11 6.21293e-05 +24 *1178:27 *4908:DIODE 0.000224395 +25 *1178:27 *22481:A_N 0.000118166 +26 *1178:45 *22481:A_N 6.40581e-05 +27 *1182:25 *2914:41 0.00548588 +28 *1192:53 *2914:41 7.54269e-06 +29 *1315:10 *2914:40 9.24241e-05 +30 *1445:8 *2914:21 8.36631e-05 +31 *1448:10 *2914:41 0.000362931 +32 *1826:8 *2914:46 7.13416e-05 +33 *1832:21 *2914:41 0.000627139 +34 *1944:25 *2914:21 4.27119e-05 +35 *2070:43 *2914:21 0.000111569 +36 *2087:47 *2914:46 0 +37 *2094:8 *2914:11 0.000934105 +38 *2103:20 *2914:11 0.00285791 +39 *2118:19 *2914:11 0.00694783 +40 *2118:20 *2914:21 0.000758107 +41 *2468:10 *2914:21 0.000104368 +42 *2470:9 *2914:21 0.00483904 +43 *2499:21 *2914:21 0 +44 *2622:30 *2914:21 0.00135213 +45 *2627:11 *2914:11 0.000393802 +46 *2627:14 *2914:46 0 +47 *2630:11 *2914:11 0.000362043 +48 *2631:10 *2914:11 0 +49 *2635:17 *2914:21 8.62904e-05 +50 *2912:30 *2914:21 0.0059725 +51 *2913:11 *2914:11 0.00125233 +*RES +1 *22059:X *2914:11 37.9801 +2 *2914:11 *2914:21 40.5938 +3 *2914:21 *21306:A 10.2378 +4 *2914:21 *2914:29 4.60562 +5 *2914:29 *3496:DIODE 9.24915 +6 *2914:29 *2914:40 14.3284 +7 *2914:40 *2914:41 59.5114 +8 *2914:41 *2914:46 27.4421 +9 *2914:46 *22481:A_N 12.2151 +10 *2914:46 *4908:DIODE 11.6364 +*END + +*D_NET *2915 0.0646756 +*CONN +*I *3497:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21307:A I *D sky130_fd_sc_hd__inv_2 +*I *4910:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22482:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22060:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *3497:DIODE 2.88818e-05 +2 *21307:A 0 +3 *4910:DIODE 5.27611e-05 +4 *22482:A_N 0 +5 *22060:X 0.000515937 +6 *2915:70 0.000792809 +7 *2915:56 0.000802148 +8 *2915:42 0.00548338 +9 *2915:40 0.00481608 +10 *2915:31 0.00125858 +11 *2915:30 0.000683627 +12 *2915:25 0.00124944 +13 *2915:24 0.00138511 +14 *2915:13 0.00092267 +15 *2915:13 *22944:A 6.92705e-05 +16 *2915:25 *2927:9 0.000113392 +17 *2915:31 *2966:9 0.000813235 +18 *2915:40 *22842:A 0 +19 *2915:40 *3211:9 5.23737e-05 +20 *2915:42 *22484:A_N 4.88729e-05 +21 *2915:42 *2919:64 0.00135443 +22 *2915:42 *3211:9 4.37651e-05 +23 *2915:70 *3175:6 0 +24 *2915:70 *3189:12 0 +25 la_data_in_mprj[100] *2915:30 2.94004e-05 +26 la_data_in_mprj[85] *2915:13 0.000167076 +27 la_data_in_mprj[91] *2915:24 3.88358e-05 +28 *4135:DIODE *2915:40 0.000265926 +29 *4915:DIODE *2915:42 4.31485e-06 +30 *22361:TE *2915:42 0.000203676 +31 *22370:A *2915:42 1.90335e-05 +32 *22478:B *2915:56 4.88764e-06 +33 *22484:B *2915:42 3.14313e-05 +34 *389:7 *2915:30 8.78409e-06 +35 *499:8 *2915:13 6.41347e-05 +36 *506:5 *2915:24 8.72808e-06 +37 *524:8 *2915:40 1.10599e-05 +38 *627:12 *2915:13 0.000130502 +39 *780:7 *2915:40 0.000217217 +40 *1141:32 *2915:42 0.000148962 +41 *1164:9 *2915:56 0.00324167 +42 *1179:28 *2915:56 4.55162e-05 +43 *1184:28 *2915:56 1.52551e-05 +44 *1199:37 *4910:DIODE 0.000421676 +45 *1203:23 *3497:DIODE 0.000123698 +46 *1203:23 *2915:70 5.07314e-05 +47 *1312:10 *2915:70 0.000263689 +48 *1334:12 *2915:42 5.00168e-05 +49 *1831:8 *4910:DIODE 0.000417478 +50 *1832:11 *2915:70 0 +51 *1837:8 *2915:42 6.22259e-05 +52 *1947:44 *2915:56 0.0017251 +53 *1948:107 *2915:56 0.00295084 +54 *1952:43 *2915:42 1.30227e-05 +55 *1952:43 *2915:70 1.49935e-05 +56 *2080:47 *2915:30 0 +57 *2111:18 *2915:13 0.000886768 +58 *2111:22 *2915:13 0.000535987 +59 *2111:22 *2915:24 0.00253232 +60 *2111:22 *2915:25 7.20265e-05 +61 *2131:28 *3497:DIODE 0.000257609 +62 *2131:28 *2915:70 0.00011818 +63 *2135:41 *2915:42 2.2979e-05 +64 *2268:45 *2915:42 2.22761e-05 +65 *2274:24 *2915:42 7.13655e-06 +66 *2315:44 *2915:56 0.00171866 +67 *2351:6 *2915:56 2.33103e-06 +68 *2451:6 *2915:42 0 +69 *2451:6 *2915:70 0 +70 *2622:5 *2915:13 5.36612e-05 +71 *2625:30 *2915:42 0.000652084 +72 *2625:30 *2915:70 5.95863e-05 +73 *2628:5 *2915:13 1.49927e-05 +74 *2633:20 *2915:13 4.17341e-05 +75 *2633:20 *2915:24 0.000962531 +76 *2633:23 *2915:25 0.00395879 +77 *2642:9 *2915:31 0.0060662 +78 *2642:14 *2915:40 0 +79 *2643:11 *2915:25 0.00224327 +80 *2645:9 *2915:31 1.67988e-05 +81 *2645:15 *2915:31 0.00165184 +82 *2646:9 *2915:25 0.0027085 +83 *2647:9 *2915:25 0.00210555 +84 *2648:11 *2915:31 0.0045792 +85 *2661:10 *2915:42 0 +86 *2799:12 *2915:40 6.93941e-05 +87 *2802:11 *2915:40 1.88878e-05 +88 *2911:11 *2915:13 0.000861406 +89 *2911:11 *2915:24 3.21413e-05 +90 *2911:11 *2915:25 0.00112839 +91 *2911:22 *2915:40 2.4764e-05 +92 *2911:30 *2915:56 1.30227e-05 +*RES +1 *22060:X *2915:13 47.617 +2 *2915:13 *2915:24 37.4177 +3 *2915:24 *2915:25 77.2587 +4 *2915:25 *2915:30 13.7388 +5 *2915:30 *2915:31 65.612 +6 *2915:31 *2915:40 23.6627 +7 *2915:40 *2915:42 91.4898 +8 *2915:42 *2915:56 44.6922 +9 *2915:56 *22482:A_N 9.24915 +10 *2915:56 *4910:DIODE 13.8548 +11 *2915:42 *2915:70 22.5591 +12 *2915:70 *21307:A 9.24915 +13 *2915:70 *3497:DIODE 12.191 +*END + +*D_NET *2916 0.0577963 +*CONN +*I *3498:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21308:A I *D sky130_fd_sc_hd__inv_2 +*I *22483:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4912:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22061:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *3498:DIODE 0 +2 *21308:A 0.000219179 +3 *22483:A_N 1.61447e-05 +4 *4912:DIODE 0 +5 *22061:X 0 +6 *2916:57 0.000509879 +7 *2916:43 0.00127603 +8 *2916:33 0.00212453 +9 *2916:30 0.00197216 +10 *2916:22 0.00468949 +11 *2916:20 0.00510858 +12 *2916:17 0.00224731 +13 *2916:5 0.00245895 +14 *2916:4 0.00202895 +15 *21308:A *3178:7 6.08467e-05 +16 *21308:A *3178:11 5.9852e-05 +17 *21308:A *3189:12 4.66171e-05 +18 *2916:5 *22945:A 6.92705e-05 +19 *2916:5 *22955:A 0.000115934 +20 *2916:5 *22958:A 6.3657e-05 +21 *2916:5 *2920:14 0.00398868 +22 *2916:5 *2922:15 0.00134807 +23 *2916:5 *2930:9 0.000510426 +24 *2916:5 *2931:17 0.00098579 +25 *2916:5 *2931:31 7.15081e-05 +26 *2916:5 *3326:7 0.000283783 +27 *2916:5 *3327:11 0.000411381 +28 *2916:5 *3333:13 0.000114518 +29 *2916:17 *2919:35 0 +30 *2916:17 *2919:49 0.000426168 +31 *2916:17 *2921:21 0.000234354 +32 *2916:17 *2921:23 1.28266e-05 +33 *2916:20 *3204:9 0 +34 *2916:22 *21313:A 3.34354e-05 +35 *2916:22 *2920:48 0 +36 *2916:22 *2920:62 2.86353e-06 +37 *2916:22 *2920:87 0 +38 *2916:22 *2933:27 0 +39 *2916:33 *2919:85 0.000160617 +40 *2916:43 *2919:85 2.64991e-05 +41 *2916:43 *2920:99 6.84899e-05 +42 la_data_in_mprj[86] *2916:5 9.9028e-05 +43 la_data_in_mprj[98] *2916:5 0.000355813 +44 *3708:DIODE *2916:20 4.61508e-05 +45 *3967:DIODE *2916:5 0.000188077 +46 *3972:DIODE *2916:5 5.07314e-05 +47 *3978:DIODE *2916:5 1.41689e-05 +48 *3979:DIODE *2916:5 9.40969e-05 +49 *3980:DIODE *2916:5 4.99469e-05 +50 *3986:DIODE *2916:17 0.000171288 +51 *4111:DIODE *2916:5 1.38541e-05 +52 *4112:DIODE *2916:5 0.00014642 +53 *4114:DIODE *2916:5 0.000152878 +54 *4116:DIODE *2916:5 0.000150712 +55 *4120:DIODE *2916:5 0.000324166 +56 *4121:DIODE *2916:5 0.000357434 +57 *4122:DIODE *2916:5 0.000152753 +58 *4123:DIODE *2916:5 0.000350171 +59 *4124:DIODE *2916:5 0.000193069 +60 *4917:DIODE *2916:22 9.39891e-06 +61 *5090:DIODE *2916:22 0 +62 *5992:DIODE *2916:22 0 +63 *21505:A *2916:30 1.10848e-05 +64 *21505:A *2916:33 0.000113968 +65 *21515:A *2916:5 0.000115934 +66 *21782:A *2916:5 6.08467e-05 +67 *21921:A *2916:5 0.000171288 +68 *21922:A *2916:5 6.08467e-05 +69 *21930:A *2916:5 0.000160617 +70 *22359:TE *2916:22 6.6057e-05 +71 *22485:B *2916:22 2.25844e-05 +72 *23092:A *2916:20 0 +73 *23200:A *2916:5 7.97944e-05 +74 *23211:A *2916:5 0.000271058 +75 *23221:A *2916:20 0.00021817 +76 *23343:B *2916:22 0.000190339 +77 *23590:TE *2916:22 0.000198111 +78 *630:12 *2916:5 0.000288051 +79 *631:8 *2916:5 0.000164829 +80 *633:8 *2916:5 0.000160617 +81 *635:8 *2916:5 0.000164829 +82 *639:8 *2916:5 0.000171288 +83 *640:8 *2916:5 0.00016491 +84 *641:8 *2916:5 0.000224395 +85 *642:8 *2916:5 6.08467e-05 +86 *1146:34 *2916:20 0 +87 *1164:9 *2916:43 0.00390296 +88 *1164:14 *2916:22 0 +89 *1168:23 *2916:43 0.00257378 +90 *1168:36 *2916:43 0.000498342 +91 *1177:33 *22483:A_N 2.01874e-05 +92 *1182:25 *2916:33 9.82896e-06 +93 *1192:53 *2916:30 0.0020388 +94 *1192:53 *2916:33 0.00411224 +95 *1199:41 *22483:A_N 0.000106215 +96 *1199:41 *2916:43 0.000115934 +97 *1315:10 *2916:33 0.000207177 +98 *1329:10 *2916:20 0 +99 *1442:8 *2916:57 6.28245e-05 +100 *1446:9 *21308:A 0 +101 *1449:8 *2916:43 0.000128866 +102 *1449:8 *2916:57 0.000490553 +103 *1449:13 *21308:A 6.08467e-05 +104 *1590:8 *2916:22 0 +105 *1595:8 *2916:20 0 +106 *1835:8 *2916:22 7.8573e-05 +107 *1947:44 *22483:A_N 4.30017e-06 +108 *1947:44 *2916:43 2.23259e-05 +109 *2080:49 *2916:20 0 +110 *2080:49 *2916:22 0 +111 *2090:21 *2916:43 0 +112 *2090:21 *2916:57 0 +113 *2096:27 *2916:22 0.00102463 +114 *2248:29 *2916:22 0.000912509 +115 *2253:51 *2916:20 3.64929e-05 +116 *2269:51 *2916:20 0 +117 *2353:18 *2916:43 9.4385e-05 +118 *2358:6 *2916:30 6.16595e-06 +119 *2506:14 *2916:20 0 +120 *2540:24 *2916:43 3.46843e-05 +121 *2628:16 *2916:5 0.000202783 +122 *2628:42 *2916:30 3.20069e-06 +123 *2637:10 *2916:5 0.000311235 +124 *2653:8 *2916:5 6.50727e-05 +125 *2653:8 *2916:17 0.000135644 +126 *2655:15 *2916:17 0 +127 *2778:15 *2916:5 0.000772627 +128 *2779:10 *2916:5 4.57587e-05 +129 *2781:8 *2916:5 1.19721e-05 +130 *2788:11 *2916:5 0.000464141 +131 *2912:30 *2916:22 0 +132 *2914:41 *2916:33 0.00143384 +*RES +1 *22061:X *2916:4 9.24915 +2 *2916:4 *2916:5 118.854 +3 *2916:5 *2916:17 24.8433 +4 *2916:17 *2916:20 33.7942 +5 *2916:20 *2916:22 70.9715 +6 *2916:22 *2916:30 37.409 +7 *2916:30 *2916:33 49.0371 +8 *2916:33 *2916:43 28.4136 +9 *2916:43 *4912:DIODE 9.24915 +10 *2916:43 *22483:A_N 10.5271 +11 *2916:33 *2916:57 13.8065 +12 *2916:57 *21308:A 22.1924 +13 *2916:57 *3498:DIODE 9.24915 +*END + +*D_NET *2917 0.0600027 +*CONN +*I *5998:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23346:A I *D sky130_fd_sc_hd__nand2_1 +*I *22062:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5998:DIODE 0.000224888 +2 *23346:A 3.94804e-05 +3 *22062:X 0 +4 *2917:15 0.000842595 +5 *2917:12 0.00665259 +6 *2917:11 0.00607437 +7 *2917:9 0.00233452 +8 *2917:8 0.00393204 +9 *2917:5 0.00159752 +10 *2917:8 *22706:A 0 +11 *2917:8 *22707:A 0 +12 *2917:8 *22962:A 4.01749e-05 +13 *2917:8 *3066:18 0.000732143 +14 *2917:8 *3077:14 0.000266313 +15 *2917:8 *3344:8 0.000308402 +16 *2917:8 *3361:8 1.90218e-05 +17 *2917:9 *3172:13 0.000326398 +18 *2917:9 *3250:15 0.00177546 +19 *2917:9 *3361:49 0.00889856 +20 *2917:12 *2926:12 0 +21 la_oenb_core[100] *2917:8 0.000120584 +22 *4362:DIODE *2917:8 0 +23 *5749:DIODE *2917:15 0.000271058 +24 *22489:B *2917:12 0 +25 *23224:A *2917:12 5.58888e-05 +26 *23346:B *23346:A 8.67924e-06 +27 *1152:9 *23346:A 6.50586e-05 +28 *1152:9 *2917:15 0.00382605 +29 *1159:9 *2917:15 0.000118134 +30 *1162:24 *2917:12 2.20663e-05 +31 *1179:40 *2917:12 3.88213e-05 +32 *1315:10 *2917:12 0 +33 *1462:10 *2917:12 0 +34 *1593:9 *5998:DIODE 8.63863e-05 +35 *1954:31 *2917:12 0 +36 *2101:37 *2917:12 0 +37 *2254:41 *2917:12 2.54271e-05 +38 *2309:31 *2917:12 0 +39 *2314:25 *2917:12 9.75356e-05 +40 *2323:33 *2917:12 0 +41 *2359:6 *2917:12 0 +42 *2359:16 *2917:8 0 +43 *2423:11 *2917:9 0.00107523 +44 *2477:17 *23346:A 5.04829e-06 +45 *2477:17 *2917:15 0.00151178 +46 *2518:11 *2917:9 0.0134919 +47 *2655:15 *5998:DIODE 0.000255638 +48 *2657:8 *2917:12 0 +49 *2660:15 *2917:12 5.7057e-05 +50 *2794:16 *2917:12 0.000337391 +51 *2814:29 *2917:9 0.0044036 +52 *2814:40 *2917:9 6.49003e-05 +*RES +1 *22062:X *2917:5 13.7491 +2 *2917:5 *2917:8 47.8572 +3 *2917:8 *2917:9 193.171 +4 *2917:9 *2917:11 4.5 +5 *2917:11 *2917:12 116.442 +6 *2917:12 *2917:15 46.2641 +7 *2917:15 *23346:A 10.5513 +8 *2917:15 *5998:DIODE 23.8184 +*END + +*D_NET *2918 0.057309 +*CONN +*I *6088:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23391:A I *D sky130_fd_sc_hd__nand2_2 +*I *22063:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6088:DIODE 0 +2 *23391:A 0.000114639 +3 *22063:X 0.00160156 +4 *2918:61 0.000885012 +5 *2918:52 0.00321498 +6 *2918:44 0.00327931 +7 *2918:34 0.00277897 +8 *2918:31 0.00221679 +9 *2918:25 0.00192041 +10 *2918:23 0.00204021 +11 *2918:19 0.00167756 +12 *2918:12 0.0028868 +13 *2918:12 *22752:A 0 +14 *2918:12 *23007:A 0 +15 *2918:12 *3001:45 0.000171288 +16 *2918:12 *3111:36 0 +17 *2918:12 *3121:22 0 +18 *2918:12 *3394:38 0 +19 *2918:19 *3006:28 3.77568e-05 +20 *2918:19 *3111:34 0.000366603 +21 *2918:19 *3397:10 0.00114753 +22 *2918:31 *3378:23 0.00154939 +23 *2918:34 *23408:A 2.18764e-05 +24 *2918:34 *3093:27 0.000279643 +25 *2918:44 *3096:25 0.00159984 +26 *2918:44 *3121:6 0 +27 *2918:52 *3120:7 0.000190573 +28 la_data_in_core[27] *2918:12 7.09666e-06 +29 la_oenb_core[26] *2918:12 0 +30 *3658:DIODE *2918:25 0.000164829 +31 *6089:DIODE *23391:A 0.000171288 +32 *6378:DIODE *2918:25 6.50727e-05 +33 *21468:A *2918:25 8.92069e-05 +34 *21468:A *2918:31 3.92941e-06 +35 *22324:TE *2918:12 3.10531e-05 +36 *23266:B *2918:61 0.000251655 +37 *23554:A *2918:25 8.90472e-05 +38 *23554:TE *2918:25 0.000111722 +39 *1270:28 *2918:23 0.00174454 +40 *1279:11 *2918:12 6.0713e-05 +41 *1286:9 *2918:19 8.90058e-06 +42 *1380:22 *2918:25 1.41976e-05 +43 *1380:22 *2918:31 1.41689e-05 +44 *1380:25 *2918:25 1.5613e-05 +45 *1388:8 *2918:34 0 +46 *1399:11 *2918:25 0.00476749 +47 *1404:13 *2918:23 0.00193261 +48 *1404:13 *2918:25 3.16435e-05 +49 *1404:24 *2918:19 0.000466874 +50 *1404:24 *2918:23 0.000411263 +51 *1513:10 *2918:52 8.62625e-06 +52 *1640:16 *2918:61 0.00110143 +53 *1655:8 *2918:44 0.000366603 +54 *1800:8 *2918:12 1.23381e-05 +55 *1800:8 *2918:19 9.39114e-06 +56 *1884:21 *23391:A 0.000527891 +57 *1884:21 *2918:61 1.67988e-05 +58 *1909:20 *2918:12 0 +59 *1916:18 *2918:44 0.00136424 +60 *1916:30 *2918:61 0.000523371 +61 *1931:16 *2918:19 0.000344317 +62 *1931:16 *2918:23 0.000984254 +63 *1931:23 *2918:19 0.000122378 +64 *1938:25 *2918:44 8.98169e-05 +65 *2012:22 *2918:52 5.49686e-06 +66 *2129:47 *2918:25 0.000520695 +67 *2165:36 *2918:52 0.0032775 +68 *2165:42 *2918:61 0.000107496 +69 *2166:42 *23391:A 0.000143953 +70 *2166:42 *2918:61 0.00115451 +71 *2183:29 *2918:34 0 +72 *2440:26 *2918:12 1.34848e-05 +73 *2440:26 *2918:19 9.16402e-05 +74 *2470:52 *2918:23 3.03403e-05 +75 *2509:12 *2918:34 0.000609027 +76 *2567:8 *2918:61 0.000131716 +77 *2582:19 *2918:25 0.000113968 +78 *2818:17 *2918:25 7.68538e-06 +79 *2818:17 *2918:31 4.31539e-05 +80 *2818:19 *2918:31 9.82896e-06 +81 *2829:9 *2918:25 0.00574615 +82 *2829:21 *2918:31 0.000618197 +83 *2850:18 *2918:61 0.000131716 +84 *2851:50 *2918:52 5.35006e-05 +85 *2870:76 *2918:19 0.000593867 +86 *2882:39 *2918:19 0 +*RES +1 *22063:X *2918:12 41.5106 +2 *2918:12 *2918:19 43.155 +3 *2918:19 *2918:23 32.2152 +4 *2918:23 *2918:25 83.9139 +5 *2918:25 *2918:31 22.4402 +6 *2918:31 *2918:34 45.3657 +7 *2918:34 *2918:44 36.1509 +8 *2918:44 *2918:52 47.8978 +9 *2918:52 *2918:61 44.7849 +10 *2918:61 *23391:A 16.1214 +11 *2918:61 *6088:DIODE 9.24915 +*END + +*D_NET *2919 0.0481514 +*CONN +*I *22484:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21309:A I *D sky130_fd_sc_hd__inv_2 +*I *3499:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4914:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22064:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22484:A_N 0.000117696 +2 *21309:A 0 +3 *3499:DIODE 7.03667e-05 +4 *4914:DIODE 0 +5 *22064:X 0.00017937 +6 *2919:85 0.000724906 +7 *2919:73 0.000878539 +8 *2919:64 0.00389662 +9 *2919:63 0.00379014 +10 *2919:60 0.000908159 +11 *2919:49 0.00137902 +12 *2919:35 0.00266442 +13 *2919:23 0.00319737 +14 *2919:21 0.00175795 +15 *2919:14 0.000698285 +16 *2919:14 *2961:30 0.000182409 +17 *2919:21 *2920:10 0.00184207 +18 *2919:23 *22951:A 0.000217937 +19 *2919:23 *2924:13 0.00011818 +20 *2919:23 *2925:7 0.000776188 +21 *2919:35 *2930:9 0.000111722 +22 *2919:35 *2932:7 0.00158077 +23 *2919:49 *5354:DIODE 5.08751e-05 +24 *2919:49 *5355:DIODE 6.50727e-05 +25 *2919:49 *2921:23 0.000461657 +26 *2919:49 *2931:31 0.000109147 +27 *2919:49 *2931:42 1.61631e-05 +28 *2919:49 *3204:9 0.00112457 +29 *2919:49 *3205:5 0.000942108 +30 *2919:60 *2921:23 0.00239761 +31 *2919:60 *2931:42 0.000644635 +32 *2919:60 *3210:9 0 +33 *2919:64 *2926:38 0 +34 *2919:64 *3191:8 0 +35 *2919:64 *3348:8 0.000143525 +36 *2919:73 *3189:12 3.50768e-05 +37 *2919:85 *2920:99 0.00018235 +38 *2919:85 *3189:12 0.000807281 +39 la_data_in_mprj[92] *2919:23 0.000174986 +40 la_data_in_mprj[93] *2919:23 3.61993e-05 +41 la_data_in_mprj[96] *2919:23 4.89898e-06 +42 *4252:DIODE *2919:14 3.20069e-06 +43 *4257:DIODE *2919:23 2.41483e-05 +44 *4263:DIODE *2919:23 9.90116e-05 +45 *4265:DIODE *2919:35 2.99978e-05 +46 *4267:DIODE *2919:49 7.50872e-05 +47 *5620:DIODE *2919:60 0.00043038 +48 *5759:DIODE *2919:64 1.24189e-05 +49 *21786:A *2919:23 4.87439e-05 +50 *21789:A *2919:23 6.08467e-05 +51 *21790:A *2919:23 3.6455e-05 +52 *21927:A *2919:23 2.65831e-05 +53 *21932:A *2919:23 0.000217951 +54 *22071:A *2919:23 2.65667e-05 +55 *22486:B *2919:85 0 +56 *22553:A *2919:73 0.000316306 +57 *23093:A *2919:49 9.97706e-05 +58 *23098:A *2919:63 7.34948e-06 +59 *389:7 *2919:35 3.5116e-05 +60 *501:5 *2919:14 7.13655e-06 +61 *509:8 *2919:23 0.000373047 +62 *511:10 *2919:23 1.58551e-05 +63 *629:5 *2919:14 5.85325e-05 +64 *885:7 *2919:14 4.20184e-06 +65 *889:8 *2919:23 2.65831e-05 +66 *894:10 *2919:23 3.14978e-05 +67 *1149:9 *2919:63 0.00113096 +68 *1191:27 *2919:73 6.64392e-05 +69 *1192:53 *3499:DIODE 0.000367219 +70 *1192:53 *2919:85 3.79152e-05 +71 *1205:23 *2919:73 0.000364451 +72 *1341:9 *2919:64 6.36507e-05 +73 *1448:10 *3499:DIODE 0.000316282 +74 *1449:8 *2919:85 5.3018e-05 +75 *1455:9 *2919:64 9.11053e-05 +76 *1596:9 *2919:49 6.50586e-05 +77 *1600:9 *2919:60 0.000472818 +78 *1832:11 *2919:73 8.92089e-05 +79 *1952:43 *2919:85 0 +80 *2090:21 *2919:85 0 +81 *2258:29 *2919:64 4.69495e-06 +82 *2316:34 *2919:73 6.3657e-05 +83 *2316:34 *2919:85 0.000161234 +84 *2345:36 *2919:73 6.3657e-05 +85 *2345:36 *2919:85 0.000149957 +86 *2451:6 *22484:A_N 0 +87 *2511:8 *2919:49 6.98648e-06 +88 *2516:17 *2919:60 0 +89 *2625:30 *2919:73 3.44118e-05 +90 *2628:16 *2919:14 2.77419e-05 +91 *2628:20 *2919:21 0.00232778 +92 *2628:20 *2919:23 1.41976e-05 +93 *2632:31 *2919:23 0.000835514 +94 *2632:63 *2919:63 0.000263453 +95 *2633:10 *2919:21 1.41291e-05 +96 *2633:20 *2919:23 2.1801e-05 +97 *2633:39 *2919:23 0.000284695 +98 *2633:39 *2919:35 0.000813898 +99 *2634:15 *2919:14 0.000414368 +100 *2641:17 *2919:23 0.000103232 +101 *2649:9 *2919:35 0 +102 *2655:15 *2919:35 7.25274e-05 +103 *2655:15 *2919:49 0.000110477 +104 *2659:16 *2919:60 0.00033296 +105 *2782:7 *2919:23 4.89898e-06 +106 *2788:11 *2919:23 0.00225616 +107 *2799:12 *2919:64 0 +108 *2914:41 *3499:DIODE 1.5962e-05 +109 *2914:41 *2919:85 0.000263184 +110 *2915:42 *22484:A_N 4.88729e-05 +111 *2915:42 *2919:64 0.00135443 +112 *2916:17 *2919:35 0 +113 *2916:17 *2919:49 0.000426168 +114 *2916:33 *2919:85 0.000160617 +115 *2916:43 *2919:85 2.64991e-05 +*RES +1 *22064:X *2919:14 27.1598 +2 *2919:14 *2919:21 28.116 +3 *2919:21 *2919:23 52.0242 +4 *2919:23 *2919:35 48.1352 +5 *2919:35 *2919:49 36.9973 +6 *2919:49 *2919:60 43.0919 +7 *2919:60 *2919:63 16.8701 +8 *2919:63 *2919:64 76.1621 +9 *2919:64 *2919:73 22.1827 +10 *2919:73 *4914:DIODE 9.24915 +11 *2919:73 *2919:85 30.1402 +12 *2919:85 *3499:DIODE 13.8789 +13 *2919:85 *21309:A 9.24915 +14 *2919:64 *22484:A_N 16.4116 +*END + +*D_NET *2920 0.0475719 +*CONN +*I *3500:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21310:A I *D sky130_fd_sc_hd__inv_2 +*I *4916:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22485:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22065:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3500:DIODE 3.37389e-05 +2 *21310:A 0 +3 *4916:DIODE 0.000157168 +4 *22485:A_N 0 +5 *22065:X 0.00122509 +6 *2920:99 0.000498276 +7 *2920:93 0.00193308 +8 *2920:87 0.00261459 +9 *2920:62 0.00139275 +10 *2920:51 0.000110476 +11 *2920:48 0.00141061 +12 *2920:40 0.0024309 +13 *2920:36 0.00277954 +14 *2920:33 0.00318744 +15 *2920:14 0.00263806 +16 *2920:10 0.00241403 +17 *2920:14 *5473:DIODE 9.12416e-06 +18 *2920:33 *2921:11 4.21879e-05 +19 *2920:33 *2921:21 0.00126366 +20 *2920:40 *3028:28 0 +21 *2920:87 *3187:10 0 +22 la_data_in_mprj[95] *2920:14 0.00011818 +23 *3972:DIODE *2920:10 8.72256e-06 +24 *3974:DIODE *2920:14 6.3657e-05 +25 *3975:DIODE *2920:14 0.000111722 +26 *3976:DIODE *2920:14 0.00011818 +27 *3977:DIODE *2920:14 6.08467e-05 +28 *3978:DIODE *2920:14 0.000164829 +29 *4115:DIODE *2920:14 6.50727e-05 +30 *4118:DIODE *2920:14 0.000623463 +31 *4120:DIODE *2920:14 7.09666e-06 +32 *4259:DIODE *2920:14 0.000160617 +33 *22485:B *4916:DIODE 9.7112e-06 +34 *22485:B *2920:62 3.72306e-06 +35 *23211:A *2920:33 0.000156636 +36 *23590:TE *2920:48 4.43281e-05 +37 *633:8 *2920:10 8.08437e-05 +38 *1137:44 *2920:87 0.000119505 +39 *1146:34 *2920:36 4.69495e-06 +40 *1152:20 *2920:36 5.83269e-05 +41 *1161:43 *2920:99 0.000897176 +42 *1161:49 *2920:87 0.000193731 +43 *1161:53 *2920:87 0.000413238 +44 *1164:14 *2920:48 0.000210401 +45 *1177:44 *2920:87 6.89449e-05 +46 *1179:41 *2920:87 0.000253916 +47 *1183:19 *2920:93 0.0023927 +48 *1186:25 *3500:DIODE 0.000267472 +49 *1188:47 *3500:DIODE 0.000267472 +50 *1206:43 *2920:99 0.002135 +51 *1946:80 *2920:51 0.000171288 +52 *1946:80 *2920:62 0.000217937 +53 *1954:25 *2920:40 0.000262746 +54 *1957:13 *2920:36 7.02602e-05 +55 *2080:49 *4916:DIODE 9.84424e-06 +56 *2080:49 *2920:87 6.5817e-05 +57 *2096:27 *2920:48 4.58476e-05 +58 *2100:49 *2920:36 0.000158558 +59 *2100:49 *2920:40 0.000486189 +60 *2112:23 *2920:36 0.00031115 +61 *2112:23 *2920:40 1.07529e-05 +62 *2115:25 *2920:40 0 +63 *2115:26 *2920:48 0.000796596 +64 *2248:26 *2920:48 0.00184856 +65 *2315:30 *2920:87 0.000258142 +66 *2323:30 *4916:DIODE 0.000160617 +67 *2323:30 *2920:51 7.48797e-05 +68 *2323:30 *2920:62 9.03176e-05 +69 *2436:6 *2920:87 0.000109598 +70 *2459:12 *2920:93 6.88784e-05 +71 *2468:7 *2920:87 0.000224381 +72 *2494:33 *2920:36 1.32841e-05 +73 *2496:16 *2920:93 2.71366e-05 +74 *2503:18 *2920:87 1.55462e-05 +75 *2506:14 *2920:48 0 +76 *2631:16 *2920:14 3.1825e-05 +77 *2633:40 *2920:36 0.000254549 +78 *2633:40 *2920:40 0.000242858 +79 *2634:22 *2920:36 0.00153263 +80 *2787:9 *2920:14 1.83828e-05 +81 *2787:9 *2920:33 6.22259e-05 +82 *2789:18 *2920:33 0.000625682 +83 *2916:5 *2920:14 0.00398868 +84 *2916:22 *2920:48 0 +85 *2916:22 *2920:62 2.86353e-06 +86 *2916:22 *2920:87 0 +87 *2916:43 *2920:99 6.84899e-05 +88 *2919:21 *2920:10 0.00184207 +89 *2919:85 *2920:99 0.00018235 +*RES +1 *22065:X *2920:10 40.2157 +2 *2920:10 *2920:14 49.7599 +3 *2920:14 *2920:33 45.6519 +4 *2920:33 *2920:36 43.0519 +5 *2920:36 *2920:40 31.87 +6 *2920:40 *2920:48 47.449 +7 *2920:48 *2920:51 6.3326 +8 *2920:51 *22485:A_N 9.24915 +9 *2920:51 *2920:62 7.99862 +10 *2920:62 *4916:DIODE 16.3756 +11 *2920:62 *2920:87 46.4223 +12 *2920:87 *2920:93 36.0973 +13 *2920:93 *2920:99 35.9551 +14 *2920:99 *21310:A 9.24915 +15 *2920:99 *3500:DIODE 12.191 +*END + +*D_NET *2921 0.0512983 +*CONN +*I *22486:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3501:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21311:A I *D sky130_fd_sc_hd__inv_2 +*I *4918:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22066:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *22486:A_N 0.000108491 +2 *3501:DIODE 4.17469e-05 +3 *21311:A 0 +4 *4918:DIODE 0 +5 *22066:X 0.000396875 +6 *2921:57 0.000514642 +7 *2921:50 0.00112504 +8 *2921:39 0.000664542 +9 *2921:36 0.00169259 +10 *2921:35 0.0023856 +11 *2921:32 0.00272186 +12 *2921:28 0.00200297 +13 *2921:23 0.00135562 +14 *2921:21 0.00214065 +15 *2921:11 0.00156098 +16 *2921:10 0.00107782 +17 *22486:A_N *3189:12 0.000125911 +18 *2921:11 *5466:DIODE 0.000317707 +19 *2921:11 *2924:13 0.00187434 +20 *2921:11 *3329:23 0.000373061 +21 *2921:11 *3333:19 0.000297902 +22 *2921:11 *3337:14 0.00129461 +23 *2921:21 *5352:DIODE 0.000115934 +24 *2921:21 *22834:A 6.50586e-05 +25 *2921:23 *5354:DIODE 6.50727e-05 +26 *2921:23 *21405:A 0.000171288 +27 *2921:23 *2931:42 3.76697e-05 +28 *2921:23 *3204:9 0.00143672 +29 *2921:28 *3595:DIODE 2.70952e-05 +30 *2921:28 *2931:42 0 +31 *2921:32 *3595:DIODE 3.13398e-05 +32 *2921:32 *3139:28 0 +33 *2921:36 *3189:10 2.72036e-05 +34 *2921:36 *3189:12 0.000477122 +35 la_data_in_mprj[89] *2921:10 0.000169041 +36 *3970:DIODE *2921:10 9.80784e-05 +37 *21515:A *2921:11 0.000211464 +38 *23090:A *2921:21 0.000209232 +39 *23210:A *2921:11 6.50727e-05 +40 *23592:TE *2921:36 4.04861e-05 +41 *503:5 *2921:10 0.000169655 +42 *631:8 *2921:10 2.53146e-05 +43 *1141:32 *2921:32 0.000107797 +44 *1141:42 *2921:32 0 +45 *1150:9 *2921:11 0.000137573 +46 *1180:8 *2921:32 0 +47 *1183:19 *3501:DIODE 0.000260374 +48 *1183:19 *2921:57 0.000918507 +49 *1186:21 *2921:57 0.000468957 +50 *1186:25 *2921:57 0.000809281 +51 *1188:47 *2921:57 0.00190818 +52 *1191:27 *2921:39 0.00011818 +53 *1191:27 *2921:50 0.000460728 +54 *1205:23 *2921:39 0.00011818 +55 *1205:23 *2921:50 0.000472679 +56 *1206:41 *3501:DIODE 0.000246176 +57 *1206:41 *2921:57 0.000922719 +58 *1327:6 *2921:32 0.000539812 +59 *1593:9 *2921:21 2.82583e-05 +60 *1826:8 *2921:57 2.33334e-05 +61 *1968:43 *2921:36 2.69639e-06 +62 *1975:24 *2921:35 0.000421376 +63 *2005:23 *2921:32 0.000195701 +64 *2090:21 *22486:A_N 0 +65 *2090:21 *2921:36 0 +66 *2098:33 *2921:36 0.000971272 +67 *2135:41 *2921:36 6.09999e-05 +68 *2274:32 *2921:36 1.5714e-05 +69 *2277:31 *2921:36 0.000121084 +70 *2313:27 *2921:50 2.652e-05 +71 *2450:6 *2921:50 0.000812281 +72 *2507:12 *2921:50 0.000134119 +73 *2517:18 *2921:28 1.84846e-05 +74 *2517:18 *2921:32 0 +75 *2625:30 *2921:36 0 +76 *2627:14 *2921:57 0.000160384 +77 *2628:20 *2921:10 0.000164815 +78 *2641:17 *2921:11 4.09471e-05 +79 *2779:10 *2921:10 2.17933e-05 +80 *2789:18 *2921:11 0.000336446 +81 *2799:41 *2921:35 0.000215846 +82 *2909:9 *2921:11 0.00590346 +83 *2909:13 *2921:21 0.000421654 +84 *2909:13 *2921:23 0.00314611 +85 *2909:22 *2921:32 0.000637775 +86 *2914:46 *2921:57 0 +87 *2916:17 *2921:21 0.000234354 +88 *2916:17 *2921:23 1.28266e-05 +89 *2919:49 *2921:23 0.000461657 +90 *2919:60 *2921:23 0.00239761 +91 *2920:33 *2921:11 4.21879e-05 +92 *2920:33 *2921:21 0.00126366 +*RES +1 *22066:X *2921:10 27.312 +2 *2921:10 *2921:11 63.6709 +3 *2921:11 *2921:21 32.0103 +4 *2921:21 *2921:23 58.9568 +5 *2921:23 *2921:28 6.68618 +6 *2921:28 *2921:32 48.2358 +7 *2921:32 *2921:35 17.9793 +8 *2921:35 *2921:36 37.9589 +9 *2921:36 *2921:39 5.778 +10 *2921:39 *4918:DIODE 9.24915 +11 *2921:39 *2921:50 30.526 +12 *2921:50 *2921:57 42.9185 +13 *2921:57 *21311:A 9.24915 +14 *2921:57 *3501:DIODE 12.191 +15 *2921:36 *22486:A_N 16.4116 +*END + +*D_NET *2922 0.0389185 +*CONN +*I *21312:A I *D sky130_fd_sc_hd__inv_2 +*I *3502:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4920:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22487:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22067:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21312:A 0.000219324 +2 *3502:DIODE 0 +3 *4920:DIODE 0 +4 *22487:A_N 0 +5 *22067:X 0.000208688 +6 *2922:47 0.00120261 +7 *2922:41 0.00310427 +8 *2922:39 0.00239603 +9 *2922:31 0.000333626 +10 *2922:25 0.00218484 +11 *2922:15 0.00295868 +12 *2922:8 0.00104111 +13 *2922:25 *5472:DIODE 0 +14 *2922:25 *22954:A 0 +15 *2922:25 *2928:8 0 +16 *2922:25 *3337:14 0 +17 *2922:25 *3439:26 0.00010473 +18 *2922:41 *2934:13 0.000366403 +19 *2922:41 *2934:19 0.000423922 +20 *2922:47 *2927:12 0.000526844 +21 *2922:47 *2927:31 9.72888e-05 +22 la_data_in_mprj[90] *2922:8 1.44467e-05 +23 *3972:DIODE *2922:8 0.000130389 +24 *4114:DIODE *2922:15 0.000167076 +25 *4116:DIODE *2922:15 0.000166875 +26 *4119:DIODE *2922:25 4.31737e-05 +27 *4255:DIODE *2922:8 3.59267e-05 +28 *4921:DIODE *2922:25 0 +29 *5738:DIODE *2922:39 0.000228593 +30 *21515:A *2922:15 0.000111722 +31 *21930:A *2922:15 0.000160617 +32 *22249:TE *21312:A 0.000156075 +33 *505:5 *2922:8 1.07248e-05 +34 *633:8 *2922:15 0.000160617 +35 *635:8 *2922:15 0.000164829 +36 *1142:8 *2922:47 0 +37 *1149:22 *2922:25 0.000139484 +38 *1716:9 *2922:25 0.000362411 +39 *1717:9 *2922:25 5.8518e-05 +40 *1718:11 *2922:39 0.000152878 +41 *1725:8 *21312:A 7.09666e-06 +42 *1964:14 *2922:25 2.65831e-05 +43 *1964:14 *2922:31 0.000150117 +44 *1964:16 *2922:31 0.000117376 +45 *1964:16 *2922:39 0.00188463 +46 *1964:16 *2922:41 0.00119029 +47 *1967:40 *2922:39 0.000391384 +48 *1967:40 *2922:41 0.00937432 +49 *1972:19 *2922:47 1.5714e-05 +50 *1973:28 *21312:A 0.000187861 +51 *1973:28 *2922:47 0.0002646 +52 *1974:24 *2922:47 1.13607e-05 +53 *1978:29 *2922:47 0.00013521 +54 *1991:30 *2922:25 0.00207226 +55 *2087:46 *2922:41 0.00010238 +56 *2100:49 *2922:25 6.50727e-05 +57 *2100:49 *2922:31 0.000475416 +58 *2100:49 *2922:39 0.000570895 +59 *2479:45 *2922:25 0.00077599 +60 *2506:26 *2922:25 0.000187803 +61 *2627:14 *2922:47 8.95687e-05 +62 *2643:14 *2922:25 0 +63 *2781:8 *2922:15 0.000326398 +64 *2783:10 *2922:15 0.00125852 +65 *2785:11 *2922:15 0.00033041 +66 *2790:14 *2922:25 2.40767e-05 +67 *2912:21 *2922:15 0.00010238 +68 *2916:5 *2922:15 0.00134807 +*RES +1 *22067:X *2922:8 22.9879 +2 *2922:8 *2922:15 42.5747 +3 *2922:15 *2922:25 47.9114 +4 *2922:25 *22487:A_N 9.24915 +5 *2922:25 *2922:31 5.16022 +6 *2922:31 *4920:DIODE 9.24915 +7 *2922:31 *2922:39 21.2678 +8 *2922:39 *2922:41 101.107 +9 *2922:41 *2922:47 30.7991 +10 *2922:47 *3502:DIODE 9.24915 +11 *2922:47 *21312:A 23.3311 +*END + +*D_NET *2923 0.0234812 +*CONN +*I *22488:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3750:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21560:A I *D sky130_fd_sc_hd__clkinv_2 +*I *4922:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22068:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *22488:A_N 1.56082e-05 +2 *3750:DIODE 0.000154025 +3 *21560:A 0 +4 *4922:DIODE 4.51842e-05 +5 *22068:X 0 +6 *2923:30 0.000906102 +7 *2923:24 0.000827437 +8 *2923:12 0.000991332 +9 *2923:9 0.0026014 +10 *2923:8 0.00171501 +11 *2923:6 0.00158548 +12 *2923:5 0.00158548 +13 *2923:6 *22960:A 0 +14 *2923:6 *2961:54 0 +15 *2923:9 *3084:29 0.00615471 +16 *3630:DIODE *2923:30 1.92336e-05 +17 *4752:DIODE *2923:30 0.000164829 +18 *21441:A *2923:12 0 +19 *22488:B *2923:30 0.0001253 +20 *1243:11 *2923:30 0.00145803 +21 *1244:6 *2923:12 9.32891e-05 +22 *1244:6 *2923:24 5.05252e-05 +23 *1244:6 *2923:30 0 +24 *1408:5 *2923:30 0.000782786 +25 *1408:14 *2923:30 0.000324664 +26 *1441:9 *22488:A_N 0.000171288 +27 *1441:9 *2923:24 0.00031994 +28 *1756:15 *4922:DIODE 6.08467e-05 +29 *1756:15 *22488:A_N 7.24449e-05 +30 *1756:15 *2923:24 0.000208315 +31 *1848:13 *2923:9 0 +32 *2326:11 *2923:30 2.02035e-05 +33 *2369:8 *2923:30 0 +34 *2479:6 *2923:6 0.000765861 +35 *2548:10 *2923:12 0.0016286 +36 *2548:10 *2923:24 4.70104e-05 +37 *2549:12 *2923:12 0 +38 *2650:6 *2923:6 0 +39 *2780:10 *2923:6 0.00058628 +*RES +1 *22068:X *2923:5 13.7491 +2 *2923:5 *2923:6 52.0775 +3 *2923:6 *2923:8 4.5 +4 *2923:8 *2923:9 66.7212 +5 *2923:9 *2923:12 33.7386 +6 *2923:12 *4922:DIODE 14.4725 +7 *2923:12 *2923:24 8.9979 +8 *2923:24 *2923:30 38.7226 +9 *2923:30 *21560:A 9.24915 +10 *2923:30 *3750:DIODE 12.7456 +11 *2923:24 *22488:A_N 11.0817 +*END + +*D_NET *2924 0.0351302 +*CONN +*I *3503:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *4924:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22489:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21313:A I *D sky130_fd_sc_hd__inv_2 +*I *22069:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3503:DIODE 0 +2 *4924:DIODE 0.000171078 +3 *22489:A_N 0 +4 *21313:A 0.00010936 +5 *22069:X 0.000528717 +6 *2924:57 0.00170675 +7 *2924:52 0.00172499 +8 *2924:35 0.00363032 +9 *2924:19 0.00565463 +10 *2924:13 0.00285171 +11 *2924:13 *3333:19 0.000620975 +12 *2924:19 *22953:A 0 +13 *3974:DIODE *2924:13 1.05934e-05 +14 *4257:DIODE *2924:13 5.0315e-05 +15 *23338:A *2924:19 0 +16 *23341:A *2924:19 4.49767e-05 +17 *1138:22 *2924:19 0.00024529 +18 *1142:14 *2924:57 0 +19 *1149:31 *2924:19 0.000243745 +20 *1150:9 *2924:13 0.000141764 +21 *1169:42 *2924:52 4.45558e-05 +22 *1169:42 *2924:57 1.91391e-05 +23 *1171:9 *2924:35 0.00523158 +24 *1171:9 *2924:52 0.000314691 +25 *1175:36 *2924:19 2.32756e-05 +26 *1201:23 *2924:35 1.7883e-05 +27 *1207:15 *2924:35 0.000916343 +28 *1453:11 *2924:52 2.18884e-05 +29 *1453:11 *2924:57 5.08731e-06 +30 *1837:8 *4924:DIODE 0.000171273 +31 *1970:73 *2924:19 0.000219495 +32 *1987:13 *2924:19 0.000132548 +33 *2080:49 *21313:A 0 +34 *2080:49 *2924:52 0.000111125 +35 *2080:49 *2924:57 0.00027985 +36 *2086:39 *2924:19 0 +37 *2097:14 *2924:35 0.000247269 +38 *2109:32 *2924:19 0 +39 *2153:20 *2924:35 0.00082154 +40 *2243:23 *2924:35 0.000241411 +41 *2267:25 *2924:19 0.000228386 +42 *2270:24 *2924:35 0.000281137 +43 *2272:27 *21313:A 6.8908e-06 +44 *2272:27 *2924:35 0.00101046 +45 *2272:27 *2924:52 4.42985e-05 +46 *2324:10 *2924:35 0 +47 *2325:9 *2924:35 3.93117e-06 +48 *2326:7 *2924:35 3.31302e-05 +49 *2327:7 *2924:35 8.87683e-07 +50 *2331:11 *2924:35 7.08723e-06 +51 *2495:18 *2924:35 0.0014474 +52 *2501:34 *2924:35 0.00146205 +53 *2641:17 *2924:13 0.00114374 +54 *2783:10 *2924:13 0.000107855 +55 *2786:12 *2924:19 0 +56 *2788:17 *2924:19 0 +57 *2789:18 *2924:19 0.000743928 +58 *2790:20 *2924:19 2.88861e-05 +59 *2916:22 *21313:A 3.34354e-05 +60 *2919:23 *2924:13 0.00011818 +61 *2921:11 *2924:13 0.00187434 +*RES +1 *22069:X *2924:13 49.7309 +2 *2924:13 *2924:19 48.8736 +3 *2924:19 *2924:35 41.3881 +4 *2924:35 *21313:A 19.5484 +5 *2924:35 *2924:52 6.256 +6 *2924:52 *2924:57 36.7197 +7 *2924:57 *22489:A_N 9.24915 +8 *2924:57 *4924:DIODE 13.8548 +9 *2924:52 *3503:DIODE 13.7491 +*END + +*D_NET *2925 0.0512381 +*CONN +*I *4926:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22490:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *21314:A I *D sky130_fd_sc_hd__inv_2 +*I *3504:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22070:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *4926:DIODE 3.0591e-05 +2 *22490:A_N 0 +3 *21314:A 0 +4 *3504:DIODE 0.000358043 +5 *22070:X 0.000481384 +6 *2925:59 0.000362897 +7 *2925:43 0.000777442 +8 *2925:37 0.00610563 +9 *2925:36 0.00659766 +10 *2925:25 0.00160181 +11 *2925:20 0.00113771 +12 *2925:17 0.00360193 +13 *2925:7 0.00396829 +14 *3504:DIODE *3198:5 9.41997e-05 +15 *3504:DIODE *3198:19 6.92705e-05 +16 *2925:17 *22952:A 0 +17 *2925:17 *3406:22 2.33103e-06 +18 *2925:36 *3028:28 6.90376e-05 +19 *2925:43 *3198:5 0.00114387 +20 *4259:DIODE *2925:17 1.75682e-05 +21 *22494:B *2925:43 6.51527e-05 +22 *23465:A *2925:17 0.000197525 +23 *1164:15 *4926:DIODE 2.65667e-05 +24 *1180:13 *2925:37 0 +25 *1180:19 *2925:36 0.000994848 +26 *1710:18 *2925:17 0 +27 *1712:8 *2925:17 0 +28 *1838:7 *4926:DIODE 0 +29 *1954:28 *2925:37 4.50487e-05 +30 *1955:35 *2925:17 0.000829677 +31 *1987:25 *2925:36 6.14894e-05 +32 *1987:26 *2925:37 0 +33 *1988:32 *2925:17 6.03122e-05 +34 *1992:12 *2925:17 0.000379823 +35 *2085:26 *2925:25 0.0033806 +36 *2087:46 *2925:17 0.00112084 +37 *2095:14 *2925:37 0.0101616 +38 *2095:18 *2925:37 7.24449e-05 +39 *2111:39 *2925:17 8.15703e-05 +40 *2243:23 *2925:17 0.00232024 +41 *2244:19 *2925:17 6.03122e-05 +42 *2250:8 *2925:25 0.000259033 +43 *2294:26 *4926:DIODE 6.92705e-05 +44 *2327:7 *2925:20 2.986e-05 +45 *2327:7 *2925:59 6.97834e-05 +46 *2328:18 *2925:20 0.000129803 +47 *2328:18 *2925:59 0.00037283 +48 *2337:10 *2925:25 0.000577085 +49 *2340:10 *2925:25 0.000101987 +50 *2501:34 *2925:17 7.08288e-05 +51 *2504:42 *2925:17 0.00012107 +52 *2624:36 *2925:36 4.62052e-05 +53 *2627:14 *2925:43 9.85917e-05 +54 *2633:48 *2925:36 1.66771e-05 +55 *2634:28 *2925:36 2.4523e-05 +56 *2634:33 *2925:43 0.000897677 +57 *2788:17 *2925:17 0.00129902 +58 *2919:23 *2925:7 0.000776188 +*RES +1 *22070:X *2925:7 22.237 +2 *2925:7 *2925:17 48.781 +3 *2925:17 *2925:20 6.23891 +4 *2925:20 *2925:25 46.3272 +5 *2925:25 *2925:36 31.2716 +6 *2925:36 *2925:37 111.644 +7 *2925:37 *2925:43 26.9393 +8 *2925:43 *3504:DIODE 15.398 +9 *2925:43 *21314:A 9.24915 +10 *2925:20 *2925:59 12.5608 +11 *2925:59 *22490:A_N 9.24915 +12 *2925:59 *4926:DIODE 10.5513 +*END + +*D_NET *2926 0.0382084 +*CONN +*I *21315:A I *D sky130_fd_sc_hd__inv_2 +*I *3505:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22491:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4928:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22071:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21315:A 1.06736e-05 +2 *3505:DIODE 0 +3 *22491:A_N 5.89088e-05 +4 *4928:DIODE 0 +5 *22071:X 0.000273261 +6 *2926:38 0.000784392 +7 *2926:21 0.000893586 +8 *2926:12 0.00302113 +9 *2926:11 0.00296017 +10 *2926:9 0.000606675 +11 *2926:8 0.000879936 +12 *2926:9 *2966:9 0.00104271 +13 *2926:12 *22838:A 4.66767e-05 +14 *2926:12 *3207:8 0.000145904 +15 *2926:12 *3250:18 0.000604366 +16 *2926:21 *3250:18 2.29287e-05 +17 *2926:38 *3191:8 0.000121521 +18 *2926:38 *3198:5 6.92705e-05 +19 la_data_in_mprj[93] *2926:8 0.000123807 +20 *4684:DIODE *2926:38 0.00017517 +21 *22369:TE *2926:38 0.000169041 +22 *22489:B *2926:21 0 +23 *393:8 *2926:12 7.03502e-05 +24 *521:5 *2926:12 3.5828e-05 +25 *1169:31 *21315:A 0.000103983 +26 *1169:31 *2926:38 0.000113968 +27 *1327:6 *2926:38 3.77924e-05 +28 *1329:24 *2926:12 0.000780778 +29 *1333:9 *2926:38 0.000373061 +30 *1839:10 *22491:A_N 0.000164829 +31 *1839:10 *2926:38 0.00193183 +32 *1845:9 *22491:A_N 0.000213739 +33 *1845:9 *2926:38 0.0013399 +34 *1954:31 *2926:12 0 +35 *1954:31 *2926:21 0 +36 *1956:14 *22491:A_N 0.000228593 +37 *1957:25 *2926:12 5.57532e-05 +38 *1965:19 *2926:12 1.37385e-05 +39 *2098:25 *2926:12 0.000317531 +40 *2254:41 *2926:12 0 +41 *2257:21 *2926:12 7.83498e-05 +42 *2270:24 *21315:A 0.00011818 +43 *2270:24 *2926:38 0.000113968 +44 *2513:13 *2926:12 0.00116422 +45 *2630:11 *2926:9 0.00925 +46 *2631:11 *2926:9 0.00185782 +47 *2632:31 *2926:8 7.9433e-05 +48 *2633:49 *22491:A_N 1.67988e-05 +49 *2633:49 *2926:38 0.000249643 +50 *2634:31 *22491:A_N 5.43595e-05 +51 *2634:33 *2926:38 0.000110762 +52 *2639:9 *2926:9 8.92437e-05 +53 *2642:8 *2926:8 0 +54 *2645:9 *2926:9 0.0072088 +55 *2657:8 *2926:12 0 +56 *2796:10 *2926:12 2.50376e-05 +57 *2798:8 *2926:12 0 +58 *2909:22 *2926:38 0 +59 *2911:22 *2926:12 0 +60 *2917:12 *2926:12 0 +61 *2919:64 *2926:38 0 +*RES +1 *22071:X *2926:8 23.8184 +2 *2926:8 *2926:9 97.2244 +3 *2926:9 *2926:11 4.5 +4 *2926:11 *2926:12 72.0096 +5 *2926:12 *4928:DIODE 13.7491 +6 *2926:12 *2926:21 5.91674 +7 *2926:21 *22491:A_N 13.8548 +8 *2926:21 *2926:38 46.4279 +9 *2926:38 *3505:DIODE 9.24915 +10 *2926:38 *21315:A 10.5271 +*END + +*D_NET *2927 0.0392801 +*CONN +*I *22492:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4930:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3506:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21316:A I *D sky130_fd_sc_hd__inv_2 +*I *22072:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *22492:A_N 0 +2 *4930:DIODE 4.78673e-05 +3 *3506:DIODE 4.08536e-05 +4 *21316:A 0 +5 *22072:X 0.0001205 +6 *2927:31 0.0001161 +7 *2927:17 0.000421274 +8 *2927:12 0.00230286 +9 *2927:11 0.00185421 +10 *2927:9 0.00110732 +11 *2927:8 0.00122782 +12 *2927:9 *3045:13 0.00111509 +13 la_data_in_mprj[94] *2927:8 0.000118999 +14 *4933:DIODE *2927:17 6.50586e-05 +15 *529:13 *2927:12 0.000193165 +16 *1142:8 *2927:12 0 +17 *1213:19 *4930:DIODE 0.000111708 +18 *1329:44 *3506:DIODE 0.00027103 +19 *1329:44 *2927:17 7.02172e-06 +20 *1456:10 *3506:DIODE 1.41291e-05 +21 *1958:8 *2927:17 0.000364342 +22 *2005:26 *2927:17 5.72269e-05 +23 *2005:36 *3506:DIODE 0.000164815 +24 *2094:8 *2927:9 0.00223996 +25 *2111:39 *2927:8 0.000131165 +26 *2627:14 *2927:12 0.00256261 +27 *2627:14 *2927:31 0.000103002 +28 *2633:23 *2927:9 0.00195761 +29 *2644:9 *2927:9 0.00485063 +30 *2646:9 *2927:9 0.0122319 +31 *2666:15 *2927:12 9.75294e-05 +32 *2800:9 *2927:9 0.00464675 +33 *2915:25 *2927:9 0.000113392 +34 *2922:47 *2927:12 0.000526844 +35 *2922:47 *2927:31 9.72888e-05 +*RES +1 *22072:X *2927:8 21.3269 +2 *2927:8 *2927:9 152.13 +3 *2927:9 *2927:11 4.5 +4 *2927:11 *2927:12 51.6623 +5 *2927:12 *2927:17 11.6134 +6 *2927:17 *21316:A 9.24915 +7 *2927:17 *3506:DIODE 12.191 +8 *2927:12 *2927:31 6.74725 +9 *2927:31 *4930:DIODE 10.5271 +10 *2927:31 *22492:A_N 9.24915 +*END + +*D_NET *2928 0.0368961 +*CONN +*I *3507:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21317:A I *D sky130_fd_sc_hd__inv_2 +*I *4932:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22493:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22073:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3507:DIODE 2.09358e-05 +2 *21317:A 0 +3 *4932:DIODE 3.87342e-05 +4 *22493:A_N 0.000128242 +5 *22073:X 0.00126321 +6 *2928:45 0.000338755 +7 *2928:27 0.000624479 +8 *2928:24 0.00265122 +9 *2928:15 0.00684045 +10 *2928:14 0.00708516 +11 *2928:8 0.00338382 +12 *2928:8 *3337:14 6.04391e-05 +13 *2928:8 *3439:26 0 +14 *4261:DIODE *2928:8 1.99996e-05 +15 *22492:B *22493:A_N 4.76283e-05 +16 *23220:A *2928:14 6.22259e-05 +17 *23466:A *2928:8 0 +18 *1142:8 *2928:24 0 +19 *1142:8 *2928:45 0 +20 *1153:32 *2928:15 0.00010238 +21 *1172:11 *2928:14 0.00333241 +22 *1172:11 *2928:24 0.00128594 +23 *1175:36 *2928:8 0 +24 *1213:19 *3507:DIODE 0.000171288 +25 *1604:8 *2928:24 1.07248e-05 +26 *1717:9 *2928:8 0 +27 *1841:9 *22493:A_N 0 +28 *1962:10 *3507:DIODE 7.48797e-05 +29 *1965:38 *4932:DIODE 5.31074e-05 +30 *1965:38 *2928:27 0.000716748 +31 *2087:47 *2928:24 6.2179e-05 +32 *2087:47 *2928:45 5.16633e-05 +33 *2261:21 *2928:14 0.000115848 +34 *2500:17 *2928:15 0.00813779 +35 *2502:12 *2928:14 9.59075e-05 +36 *2505:16 *22493:A_N 0 +37 *2519:14 *2928:24 0 +38 *2624:36 *2928:14 0 +39 *2631:16 *2928:8 0 +40 *2632:40 *2928:8 0 +41 *2636:14 *2928:24 0 +42 *2663:10 *2928:24 0.000101081 +43 *2808:12 *22493:A_N 1.88656e-05 +44 *2922:25 *2928:8 0 +*RES +1 *22073:X *2928:8 39.598 +2 *2928:8 *2928:14 47.4653 +3 *2928:14 *2928:15 88.3508 +4 *2928:15 *2928:24 48.6385 +5 *2928:24 *2928:27 12.4332 +6 *2928:27 *22493:A_N 20.4964 +7 *2928:27 *4932:DIODE 9.97254 +8 *2928:24 *2928:45 10.4845 +9 *2928:45 *21317:A 9.24915 +10 *2928:45 *3507:DIODE 11.0817 +*END + +*D_NET *2929 0.0586189 +*CONN +*I *6090:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23392:A I *D sky130_fd_sc_hd__nand2_2 +*I *22074:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6090:DIODE 0 +2 *23392:A 0.000353551 +3 *22074:X 0 +4 *2929:27 0.000394307 +5 *2929:24 0.00264279 +6 *2929:23 0.00260204 +7 *2929:21 0.00640231 +8 *2929:9 0.00884098 +9 *2929:5 0.00243867 +10 *2929:9 *23008:A 3.81944e-05 +11 *2929:9 *3111:36 0 +12 *2929:9 *3135:17 0.0015305 +13 *2929:9 *3396:6 0.00059307 +14 *2929:9 *3397:17 0 +15 *2929:9 *3400:13 0 +16 *2929:9 *3402:16 0.000115313 +17 *2929:21 *3108:18 0.000128721 +18 *2929:21 *3123:50 0.00125272 +19 *2929:21 *3132:9 0.0106578 +20 *2929:21 *3135:17 0.00386118 +21 *2929:21 *3391:20 0.000133175 +22 *2929:24 *3098:20 5.01835e-05 +23 *2929:24 *3113:15 7.41833e-06 +24 *2929:24 *3138:47 0 +25 la_oenb_core[27] *2929:9 6.22259e-05 +26 *4273:DIODE *2929:9 0 +27 *21481:A *2929:9 1.59075e-05 +28 *22423:B *2929:24 0.000180838 +29 *23514:TE *2929:24 7.41833e-06 +30 *1379:14 *2929:24 1.96355e-05 +31 *1430:24 *2929:24 0 +32 *1452:40 *2929:24 0 +33 *1511:7 *23392:A 6.50727e-05 +34 *1641:10 *2929:24 0.00053352 +35 *1644:11 *2929:24 9.32927e-05 +36 *1771:8 *2929:24 0.000555684 +37 *1888:26 *2929:24 0.000709566 +38 *1909:7 *2929:9 0 +39 *1918:7 *2929:21 0.000168995 +40 *2020:23 *2929:24 1.988e-05 +41 *2021:34 *2929:21 0.00152192 +42 *2156:68 *23392:A 0.000527234 +43 *2156:68 *2929:27 5.08751e-05 +44 *2380:15 *2929:21 0.000118134 +45 *2383:18 *2929:21 0.000164439 +46 *2385:22 *2929:9 8.57676e-05 +47 *2439:22 *2929:9 0.00013521 +48 *2454:76 *2929:9 5.39418e-05 +49 *2455:25 *2929:9 1.0992e-05 +50 *2457:41 *2929:21 0.00576006 +51 *2458:31 *2929:9 3.71926e-05 +52 *2458:31 *2929:21 0.0028947 +53 *2564:12 *23392:A 4.78118e-05 +54 *2567:8 *23392:A 7.4235e-06 +55 *2599:18 *2929:9 4.20184e-06 +56 *2773:20 *2929:24 0 +57 *2784:14 *2929:24 0.000441698 +58 *2838:47 *2929:24 0.000345154 +59 *2838:48 *2929:21 0.000108607 +60 *2862:17 *2929:21 0.000220514 +61 *2873:19 *2929:21 0.000108607 +62 *2880:43 *2929:9 0.00149948 +*RES +1 *22074:X *2929:5 13.7491 +2 *2929:5 *2929:9 47.803 +3 *2929:9 *2929:21 48.8732 +4 *2929:21 *2929:23 3.36879 +5 *2929:23 *2929:24 62.6664 +6 *2929:24 *2929:27 5.2234 +7 *2929:27 *23392:A 25.1343 +8 *2929:27 *6090:DIODE 9.24915 +*END + +*D_NET *2930 0.0469601 +*CONN +*I *3508:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21318:A I *D sky130_fd_sc_hd__inv_2 +*I *22494:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4934:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22075:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3508:DIODE 3.73965e-05 +2 *21318:A 0 +3 *22494:A_N 0 +4 *4934:DIODE 0 +5 *22075:X 0.000139153 +6 *2930:61 0.000464751 +7 *2930:55 0.00415574 +8 *2930:54 0.00441364 +9 *2930:43 0.000715502 +10 *2930:37 0.000385323 +11 *2930:24 0.00288331 +12 *2930:23 0.00348929 +13 *2930:9 0.00305433 +14 *2930:8 0.00223242 +15 *2930:9 *22958:A 6.08467e-05 +16 *2930:23 *2931:42 1.66771e-05 +17 *2930:23 *2931:50 0.00200562 +18 *2930:23 *3013:19 0.000242997 +19 *2930:54 *3065:6 0 +20 *2930:54 *3470:7 3.31745e-05 +21 *2930:55 *3348:13 0.00598835 +22 la_data_in_mprj[103] *2930:9 2.54062e-05 +23 la_data_in_mprj[104] *2930:9 1.21461e-06 +24 *3987:DIODE *2930:9 0.000326398 +25 *3988:DIODE *2930:9 0.000171273 +26 *3989:DIODE *2930:9 0.000171273 +27 *4121:DIODE *2930:9 0.000369199 +28 *4122:DIODE *2930:9 0.000166951 +29 *4123:DIODE *2930:9 0.000366334 +30 *4124:DIODE *2930:9 0.000258142 +31 *4263:DIODE *2930:8 6.56365e-05 +32 *6482:DIODE *2930:54 0.000148666 +33 *21656:A *2930:9 6.08467e-05 +34 *21798:A *2930:9 0.000171288 +35 *23211:A *2930:8 0 +36 *23588:TE *2930:54 4.82966e-05 +37 *23594:A *2930:37 7.2125e-05 +38 *23594:TE *2930:37 5.18937e-05 +39 *518:10 *2930:9 0.000118166 +40 *519:10 *2930:9 0.000122378 +41 *520:12 *2930:9 0.00011818 +42 *639:8 *2930:9 0.00017026 +43 *640:8 *2930:9 0.00016491 +44 *641:8 *2930:9 0.000224395 +45 *642:8 *2930:9 6.08467e-05 +46 *1217:8 *2930:61 9.80784e-05 +47 *1224:15 *2930:61 1.65872e-05 +48 *1224:19 *3508:DIODE 0.000271058 +49 *1224:19 *2930:61 1.41976e-05 +50 *1329:10 *2930:9 0.000111722 +51 *1451:9 *2930:54 0.000370829 +52 *1485:14 *3508:DIODE 0.000110583 +53 *1485:14 *2930:61 2.16355e-05 +54 *1602:10 *2930:24 0.000754544 +55 *1990:40 *2930:23 0.00118778 +56 *2090:20 *2930:23 0.00295602 +57 *2095:14 *2930:37 0.00105189 +58 *2095:14 *2930:43 1.19856e-05 +59 *2095:14 *2930:54 1.41291e-05 +60 *2095:18 *2930:37 4.31603e-06 +61 *2095:18 *2930:54 0.000233727 +62 *2100:60 *2930:37 7.48797e-05 +63 *2259:23 *2930:24 0.000300078 +64 *2353:16 *2930:54 0.000160039 +65 *2512:22 *2930:24 3.58047e-05 +66 *2514:10 *2930:23 4.51619e-05 +67 *2514:16 *2930:24 0.000275269 +68 *2524:38 *2930:61 9.45019e-05 +69 *2533:14 *2930:61 0.000100727 +70 *2642:14 *2930:23 7.44425e-06 +71 *2643:14 *2930:8 1.86204e-05 +72 *2653:8 *2930:9 4.60283e-05 +73 *2655:11 *2930:9 0.00045623 +74 *2656:11 *2930:9 0.000835707 +75 *2663:10 *2930:24 0 +76 *2677:14 *2930:61 0 +77 *2787:9 *2930:8 0 +78 *2788:11 *2930:8 2.61574e-05 +79 *2789:10 *2930:9 0.000888669 +80 *2796:42 *2930:61 1.76791e-05 +81 *2796:50 *2930:61 0.000378502 +82 *2798:8 *2930:23 4.04447e-05 +83 *2802:11 *2930:23 0.00143656 +84 *2812:23 *2930:61 5.71844e-05 +85 *2911:28 *2930:24 4.05944e-05 +86 *2911:30 *2930:24 0 +87 *2916:5 *2930:9 0.000510426 +88 *2919:35 *2930:9 0.000111722 +*RES +1 *22075:X *2930:8 21.3269 +2 *2930:8 *2930:9 68.385 +3 *2930:9 *2930:23 48.7438 +4 *2930:23 *2930:24 53.7385 +5 *2930:24 *2930:37 19.9083 +6 *2930:37 *4934:DIODE 9.24915 +7 *2930:37 *2930:43 0.723396 +8 *2930:43 *22494:A_N 9.24915 +9 *2930:43 *2930:54 27.7754 +10 *2930:54 *2930:55 65.612 +11 *2930:55 *2930:61 20.6909 +12 *2930:61 *21318:A 9.24915 +13 *2930:61 *3508:DIODE 12.191 +*END + +*D_NET *2931 0.0442324 +*CONN +*I *3509:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21319:A I *D sky130_fd_sc_hd__inv_2 +*I *4936:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22495:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22076:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3509:DIODE 2.88961e-05 +2 *21319:A 0 +3 *4936:DIODE 0 +4 *22495:A_N 8.66956e-05 +5 *22076:X 0.00101127 +6 *2931:77 0.000866403 +7 *2931:65 0.000913968 +8 *2931:58 0.000163157 +9 *2931:56 0.00235929 +10 *2931:55 0.00235929 +11 *2931:53 0.000515218 +12 *2931:52 0.000515218 +13 *2931:50 0.00125098 +14 *2931:42 0.00247291 +15 *2931:31 0.00297893 +16 *2931:17 0.00276828 +17 *2931:31 *5355:DIODE 5.08751e-05 +18 *2931:31 *22836:A 0.000157839 +19 *2931:31 *3205:5 0.000672359 +20 *2931:42 *21405:A 9.40969e-05 +21 *2931:50 *5359:DIODE 6.08467e-05 +22 *2931:50 *3013:15 0.000156562 +23 *2931:50 *3013:19 0.000316385 +24 *2931:50 *3215:5 2.15184e-05 +25 *2931:56 *3194:8 3.18542e-05 +26 *2931:56 *3221:10 0.000323067 +27 *2931:77 *3067:8 0.000186938 +28 la_data_in_mprj[101] *2931:31 0.000171288 +29 la_data_in_mprj[97] *2931:17 0.000113721 +30 la_data_in_mprj[98] *2931:17 0.000355813 +31 *3706:DIODE *2931:50 6.50727e-05 +32 *3980:DIODE *2931:17 1.38358e-05 +33 *3982:DIODE *2931:17 0.000167076 +34 *3996:DIODE *2931:50 0.000169122 +35 *3998:DIODE *2931:50 7.62175e-05 +36 *4129:DIODE *2931:31 6.08087e-05 +37 *5620:DIODE *2931:42 0.000416183 +38 *21516:A *2931:50 0.000217937 +39 *21792:A *2931:17 6.50727e-05 +40 *22251:A *2931:77 0.000162682 +41 *23102:A *2931:50 6.08467e-05 +42 *23362:A *2931:56 0 +43 *640:8 *2931:17 9.32704e-05 +44 *1142:8 *2931:50 0.000163274 +45 *1148:24 *2931:56 4.26828e-05 +46 *1149:9 *2931:53 0.00477268 +47 *1185:17 *3509:DIODE 0.000275948 +48 *1185:17 *2931:77 0.000515819 +49 *1215:6 *2931:77 0.00017691 +50 *1480:13 *22495:A_N 0.000213725 +51 *1480:13 *2931:65 0.000217951 +52 *1480:13 *2931:77 0.000510762 +53 *1483:9 *2931:56 9.8511e-05 +54 *1600:9 *2931:42 0.000477015 +55 *1609:8 *2931:56 0 +56 *1611:10 *2931:56 0.000100972 +57 *1961:39 *2931:56 0.000641605 +58 *2101:44 *3509:DIODE 6.98314e-05 +59 *2137:40 *3509:DIODE 9.90819e-05 +60 *2137:40 *2931:77 0.000515819 +61 *2267:25 *2931:56 2.33103e-06 +62 *2512:25 *2931:53 0.00477689 +63 *2523:13 *2931:50 0.00043038 +64 *2527:18 *2931:56 0 +65 *2527:20 *2931:56 0 +66 *2596:18 *2931:56 0 +67 *2607:22 *2931:77 0.000859585 +68 *2633:39 *2931:17 0.000317649 +69 *2645:22 *2931:50 0.000315328 +70 *2653:8 *2931:31 0.000627661 +71 *2655:11 *2931:31 0.0013113 +72 *2666:15 *2931:50 1.02986e-05 +73 *2797:26 *2931:77 3.06917e-06 +74 *2802:11 *2931:50 0.000178097 +75 *2808:11 *2931:50 4.82281e-05 +76 *2814:6 *2931:56 0 +77 *2916:5 *2931:17 0.00098579 +78 *2916:5 *2931:31 7.15081e-05 +79 *2919:49 *2931:31 0.000109147 +80 *2919:49 *2931:42 1.61631e-05 +81 *2919:60 *2931:42 0.000644635 +82 *2921:23 *2931:42 3.76697e-05 +83 *2921:28 *2931:42 0 +84 *2930:23 *2931:42 1.66771e-05 +85 *2930:23 *2931:50 0.00200562 +*RES +1 *22076:X *2931:17 43.2351 +2 *2931:17 *2931:31 47.8497 +3 *2931:31 *2931:42 42.0083 +4 *2931:42 *2931:50 48.5511 +5 *2931:50 *2931:52 4.5 +6 *2931:52 *2931:53 51.7469 +7 *2931:53 *2931:55 4.5 +8 *2931:55 *2931:56 52.0775 +9 *2931:56 *2931:58 4.5 +10 *2931:58 *22495:A_N 11.6364 +11 *2931:58 *2931:65 2.38721 +12 *2931:65 *4936:DIODE 9.24915 +13 *2931:65 *2931:77 38.0412 +14 *2931:77 *21319:A 9.24915 +15 *2931:77 *3509:DIODE 12.191 +*END + +*D_NET *2932 0.0514799 +*CONN +*I *3510:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21320:A I *D sky130_fd_sc_hd__inv_2 +*I *4938:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22496:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22077:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3510:DIODE 0.000148782 +2 *21320:A 5.36783e-05 +3 *4938:DIODE 4.71967e-05 +4 *22496:A_N 0 +5 *22077:X 0 +6 *2932:47 0.000777623 +7 *2932:34 4.71967e-05 +8 *2932:32 0.0042033 +9 *2932:31 0.00605861 +10 *2932:28 0.00326745 +11 *2932:21 0.00216231 +12 *2932:9 0.00659026 +13 *2932:7 0.00628592 +14 *2932:4 0.00102099 +15 *3510:DIODE *3067:15 3.82654e-05 +16 *21320:A *3067:15 3.14978e-05 +17 *2932:7 *22834:A 5.73392e-05 +18 *2932:7 *2933:10 2.65831e-05 +19 *2932:7 *2934:9 0.000145998 +20 *2932:9 *22839:A 1.43848e-05 +21 *2932:9 *22840:A 1.43848e-05 +22 *2932:21 *22848:A 0 +23 *2932:28 *5370:DIODE 0.000299312 +24 *2932:28 *3222:5 0.000118166 +25 *2932:32 *3052:14 0.000383751 +26 la_data_in_mprj[106] *2932:9 5.46101e-05 +27 la_data_in_mprj[99] *2932:7 1.19207e-05 +28 *3845:DIODE *2932:7 6.23875e-05 +29 *3859:DIODE *2932:21 1.17299e-05 +30 *4127:DIODE *2932:7 2.16355e-05 +31 *4134:DIODE *2932:9 1.43848e-05 +32 *4145:DIODE *2932:21 0.000111722 +33 *4265:DIODE *2932:7 2.16355e-05 +34 *4267:DIODE *2932:7 0.000111708 +35 *4939:DIODE *2932:47 0 +36 *21664:A *2932:9 5.20546e-06 +37 *21794:A *2932:7 5.27412e-05 +38 *21803:A *2932:9 4.58003e-05 +39 *22496:B *2932:47 4.20184e-06 +40 *393:8 *2932:9 0.00021632 +41 *513:8 *2932:7 0.000574647 +42 *522:8 *2932:9 0.000184627 +43 *531:8 *2932:21 0.000135406 +44 *773:8 *2932:7 4.58003e-05 +45 *779:8 *2932:9 2.85274e-05 +46 *897:12 *2932:7 2.77062e-05 +47 *898:8 *2932:7 0.00033061 +48 *1152:9 *2932:31 0.00391847 +49 *1179:15 *4938:DIODE 0.000423922 +50 *1196:18 *4938:DIODE 0.000423922 +51 *1206:24 *2932:47 1.53606e-05 +52 *1210:8 *2932:32 0 +53 *1459:14 *2932:32 7.71586e-05 +54 *1844:9 *2932:47 0 +55 *1962:13 *2932:32 0 +56 *1962:13 *2932:47 0 +57 *2080:47 *2932:7 7.02172e-06 +58 *2102:23 *2932:32 0.00101365 +59 *2113:17 *2932:32 0 +60 *2355:10 *2932:32 6.96177e-05 +61 *2355:10 *2932:47 0.00016353 +62 *2356:11 *2932:32 0 +63 *2513:7 *2932:9 1.75155e-06 +64 *2514:10 *2932:9 0.00031994 +65 *2515:15 *2932:9 9.43653e-05 +66 *2519:7 *2932:9 0.00217724 +67 *2521:7 *2932:9 0.000780854 +68 *2523:13 *2932:9 0.000211464 +69 *2523:17 *2932:21 0.0002646 +70 *2524:10 *2932:9 0.000211478 +71 *2525:15 *2932:21 0.0019441 +72 *2525:15 *2932:28 2.97556e-05 +73 *2525:22 *2932:28 3.31745e-05 +74 *2526:8 *2932:21 4.03125e-05 +75 *2530:16 *2932:32 0 +76 *2531:10 *2932:28 0 +77 *2618:24 *2932:32 0.00025112 +78 *2630:16 *2932:28 0.000508105 +79 *2632:68 *2932:28 2.81096e-05 +80 *2794:10 *2932:7 0.00107336 +81 *2796:10 *2932:7 0.00153028 +82 *2796:10 *2932:9 7.98017e-05 +83 *2797:7 *2932:7 0.000222149 +84 *2798:23 *2932:32 0 +85 *2809:10 *2932:9 0.000118166 +86 *2919:35 *2932:7 0.00158077 +*RES +1 *22077:X *2932:4 9.24915 +2 *2932:4 *2932:7 47.0569 +3 *2932:7 *2932:9 85.855 +4 *2932:9 *2932:21 45.1824 +5 *2932:21 *2932:28 26.5157 +6 *2932:28 *2932:31 47.3733 +7 *2932:31 *2932:32 83.6367 +8 *2932:32 *2932:34 4.5 +9 *2932:34 *22496:A_N 9.24915 +10 *2932:34 *4938:DIODE 13.8548 +11 *2932:32 *2932:47 17.5438 +12 *2932:47 *21320:A 10.9612 +13 *2932:47 *3510:DIODE 11.6364 +*END + +*D_NET *2933 0.0153372 +*CONN +*I *21321:A I *D sky130_fd_sc_hd__inv_2 +*I *22497:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *4940:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3511:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22078:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21321:A 0 +2 *22497:A_N 1.30387e-05 +3 *4940:DIODE 0 +4 *3511:DIODE 0.000129096 +5 *22078:X 0.000471649 +6 *2933:46 0.000279676 +7 *2933:32 0.00056509 +8 *2933:27 0.000644624 +9 *2933:18 0.0023058 +10 *2933:10 0.00230218 +11 *2933:10 *22959:A 0 +12 *2933:18 *22959:A 3.62856e-05 +13 *2933:18 *3341:6 0.00024739 +14 *2933:18 *3461:6 8.62625e-06 +15 la_data_in_mprj[99] *2933:10 3.14978e-05 +16 *3982:DIODE *2933:10 4.41818e-05 +17 *4266:DIODE *2933:10 0.000299018 +18 *5992:DIODE *2933:27 2.77564e-05 +19 *23343:A *2933:27 0.00027329 +20 *23343:B *2933:27 6.50727e-05 +21 *1961:24 *22497:A_N 4.66492e-05 +22 *1961:24 *2933:46 0.000632154 +23 *1962:10 *2933:27 0.00104832 +24 *1963:22 *2933:27 0.00059883 +25 *1969:10 *2933:27 0.00170647 +26 *1973:18 *2933:27 3.33861e-05 +27 *1973:27 *3511:DIODE 0.000113968 +28 *2086:61 *2933:18 0.00017235 +29 *2112:23 *2933:27 0.000277502 +30 *2112:24 *22497:A_N 6.08467e-05 +31 *2112:24 *2933:46 0.000632154 +32 *2502:12 *2933:18 0.00144089 +33 *2503:18 *3511:DIODE 9.84424e-06 +34 *2503:18 *2933:46 5.11736e-05 +35 *2506:14 *2933:27 0 +36 *2508:16 *2933:46 0 +37 *2624:36 *2933:18 0 +38 *2790:8 *2933:10 0 +39 *2790:8 *2933:18 0 +40 *2791:6 *2933:18 0 +41 *2791:12 *2933:27 0.000741816 +42 *2916:22 *2933:27 0 +43 *2932:7 *2933:10 2.65831e-05 +*RES +1 *22078:X *2933:10 24.6951 +2 *2933:10 *2933:18 48.3091 +3 *2933:18 *2933:27 41.5575 +4 *2933:27 *2933:32 6.73503 +5 *2933:32 *3511:DIODE 15.821 +6 *2933:32 *2933:46 15.0247 +7 *2933:46 *4940:DIODE 9.24915 +8 *2933:46 *22497:A_N 9.97254 +9 *2933:27 *21321:A 9.24915 +*END + +*D_NET *2934 0.0109844 +*CONN +*I *4942:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *3512:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21322:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22498:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *22079:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *4942:DIODE 0 +2 *3512:DIODE 1.30387e-05 +3 *21322:A 1.65659e-05 +4 *22498:A_N 0 +5 *22079:X 0.000620148 +6 *2934:24 0.000170262 +7 *2934:19 0.000228682 +8 *2934:13 0.00163173 +9 *2934:9 0.00216385 +10 *2934:9 *22836:A 6.92705e-05 +11 *2934:13 *22837:A 4.59793e-05 +12 *2934:13 *3250:27 6.1449e-05 +13 *2934:24 *3250:18 0.000159915 +14 la_data_in_mprj[102] *2934:9 6.50727e-05 +15 la_data_in_mprj[103] *2934:13 2.97435e-05 +16 *3845:DIODE *2934:9 0.000164843 +17 *3847:DIODE *2934:13 0 +18 *4127:DIODE *2934:9 5.08751e-05 +19 *4129:DIODE *2934:9 0.000277502 +20 *4130:DIODE *2934:13 0 +21 *23351:A *2934:13 0.000183345 +22 *392:8 *2934:13 7.09666e-06 +23 *773:8 *2934:9 0.000113968 +24 *1462:10 *3512:DIODE 6.08467e-05 +25 *1462:10 *21322:A 0.000118166 +26 *1846:11 *2934:19 4.31539e-05 +27 *1964:16 *2934:13 0.000366403 +28 *1964:16 *2934:19 0.000148666 +29 *2080:47 *2934:9 0.000676571 +30 *2113:8 *21322:A 2.41483e-05 +31 *2114:12 *3512:DIODE 4.66492e-05 +32 *2114:12 *21322:A 0.000171273 +33 *2348:16 *2934:13 0.00060835 +34 *2503:18 *2934:13 0.000373303 +35 *2513:7 *2934:9 0.000534349 +36 *2628:42 *2934:24 0 +37 *2656:11 *2934:13 0 +38 *2796:10 *2934:9 0.000406168 +39 *2797:10 *2934:13 0.000353911 +40 *2909:18 *2934:24 4.27984e-05 +41 *2922:41 *2934:13 0.000366403 +42 *2922:41 *2934:19 0.000423922 +43 *2932:7 *2934:9 0.000145998 +*RES +1 *22079:X *2934:9 38.8992 +2 *2934:9 *2934:13 45.6795 +3 *2934:13 *22498:A_N 9.24915 +4 *2934:13 *2934:19 4.60562 +5 *2934:19 *2934:24 12.493 +6 *2934:24 *21322:A 11.0817 +7 *2934:24 *3512:DIODE 9.97254 +8 *2934:19 *4942:DIODE 9.24915 +*END + +*D_NET *2935 0.0184449 +*CONN +*I *22499:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *3751:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21561:A I *D sky130_fd_sc_hd__inv_2 +*I *4944:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22080:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *22499:A_N 0 +2 *3751:DIODE 9.84832e-05 +3 *21561:A 0 +4 *4944:DIODE 0 +5 *22080:X 0.000103428 +6 *2935:29 9.84832e-05 +7 *2935:27 0.00186905 +8 *2935:14 0.00197346 +9 *2935:11 0.000351861 +10 *2935:8 0.00367254 +11 *2935:7 0.00352852 +12 *3751:DIODE *3200:13 0.000113228 +13 *2935:7 *3330:13 0.000169041 +14 *2935:11 *3059:15 0.000194701 +15 *2935:27 *3102:9 0.00277573 +16 *3623:DIODE *2935:11 0.000167076 +17 *4125:DIODE *2935:8 3.00073e-05 +18 *5009:DIODE *2935:8 0.000139869 +19 *22276:A *2935:27 3.49272e-05 +20 *22277:A *2935:8 6.05644e-05 +21 *22294:TE *2935:8 0.000122331 +22 *22514:A *2935:8 0 +23 *23183:A *2935:8 5.05252e-05 +24 *1155:26 *2935:8 0 +25 *1173:40 *2935:8 0.00105943 +26 *1219:6 *2935:14 2.55493e-05 +27 *1219:6 *2935:27 9.80093e-05 +28 *1240:6 *2935:27 2.652e-05 +29 *1241:8 *2935:8 8.8567e-05 +30 *1302:8 *2935:14 0 +31 *1686:8 *2935:8 0.000744873 +32 *1770:8 *2935:8 3.00073e-05 +33 *1814:9 *2935:14 7.09685e-05 +34 *1814:9 *2935:27 8.33115e-05 +35 *1848:18 *2935:8 0 +36 *1982:17 *2935:8 0.000317254 +37 *2327:21 *2935:27 3.86844e-05 +38 *2375:8 *2935:8 0.000307894 +39 *2396:6 *2935:8 0 +40 *2650:6 *2935:8 0 +41 *2792:8 *2935:8 0 +*RES +1 *22080:X *2935:7 15.5817 +2 *2935:7 *2935:8 101.493 +3 *2935:8 *2935:11 11.324 +4 *2935:11 *2935:14 7.57775 +5 *2935:14 *4944:DIODE 13.7491 +6 *2935:14 *2935:27 46.7945 +7 *2935:27 *2935:29 4.5 +8 *2935:29 *21561:A 9.24915 +9 *2935:29 *3751:DIODE 12.191 +10 *2935:11 *22499:A_N 9.24915 +*END + +*D_NET *2936 0.177799 +*CONN +*I *23603:A I *D sky130_fd_sc_hd__nand2_2 +*I *6511:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22081:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23603:A 0 +2 *6511:DIODE 5.07301e-05 +3 *22081:X 7.96629e-05 +4 *2936:12 0.000374218 +5 *2936:9 0.0131794 +6 *2936:8 0.0129356 +7 *6511:DIODE *22818:A 0.00012568 +8 *6511:DIODE *3187:21 5.39463e-05 +9 *2936:9 *2974:9 0.000524367 +10 *2936:9 *2976:9 0.0804144 +11 *2936:9 *2979:9 0.00612615 +12 *2936:12 *22818:A 0.000176279 +13 *2936:12 *3187:21 4.87746e-05 +14 la_data_in_core[87] *2936:12 5.99399e-05 +15 mprj_adr_o_user[0] *2936:8 6.26091e-05 +16 mprj_we_o_user *2936:8 9.69453e-05 +17 *3826:DIODE *2936:12 1.22734e-05 +18 *373:5 *2936:12 3.28392e-05 +19 *1875:9 *2936:9 0.0634448 +*RES +1 *22081:X *2936:8 21.7421 +2 *2936:8 *2936:9 971.834 +3 *2936:9 *2936:12 12.1455 +4 *2936:12 *6511:DIODE 15.9964 +5 *2936:12 *23603:A 13.7491 +*END + +*D_NET *2937 0.156048 +*CONN +*I *3550:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21360:A I *D sky130_fd_sc_hd__inv_2 +*I *22082:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *3550:DIODE 9.06549e-05 +2 *21360:A 0 +3 *22082:X 8.06028e-05 +4 *2937:20 9.06549e-05 +5 *2937:18 0.00155897 +6 *2937:17 0.00155897 +7 *2937:15 0.017832 +8 *2937:14 0.0180007 +9 *2937:9 0.0074171 +10 *2937:8 0.00732899 +11 *2937:9 *2961:15 0.0102858 +12 *2937:9 *2961:30 0.0227148 +13 *2937:9 *3045:13 0.000240115 +14 *2937:15 *2966:9 0.000137573 +15 *2937:15 *2966:15 0.015114 +16 *2937:18 *22916:A 0 +17 la_data_in_mprj[6] *2937:18 5.70599e-05 +18 *3947:DIODE *2937:18 0.000172144 +19 *6074:DIODE *2937:18 0.000310094 +20 *482:5 *2937:18 0.000170158 +21 *625:5 *2937:14 4.39048e-05 +22 *855:5 *2937:18 0 +23 *1032:9 *2937:8 2.83981e-05 +24 *1097:8 *2937:8 0.000126547 +25 *2080:25 *2937:15 0.000434474 +26 *2103:20 *2937:9 0.036218 +27 *2118:19 *2937:15 0.00056435 +28 *2124:21 *2937:14 1.99347e-05 +29 *2615:21 *2937:15 0.000920066 +30 *2620:19 *2937:15 0.000458559 +31 *2623:11 *2937:15 0.000307483 +32 *2627:11 *2937:15 0.000716904 +33 *2630:11 *2937:15 0.000489918 +34 *2744:8 *2937:18 0 +35 *2744:9 *2937:15 0.000702593 +36 *2822:15 *2937:9 0.00638745 +37 *2840:14 *2937:18 0.00166449 +38 *2861:12 *2937:18 0.00189528 +39 *2863:17 *2937:15 0.00116586 +40 *2886:6 *2937:18 0.000628345 +41 *2913:10 *2937:14 0.000115176 +*RES +1 *22082:X *2937:8 19.5728 +2 *2937:8 *2937:9 54.8933 +3 *2937:9 *2937:14 11.5694 +4 *2937:14 *2937:15 664.584 +5 *2937:15 *2937:17 4.5 +6 *2937:17 *2937:18 76.5774 +7 *2937:18 *2937:20 4.5 +8 *2937:20 *21360:A 9.24915 +9 *2937:20 *3550:DIODE 11.0817 +*END + +*D_NET *2938 0.00275512 +*CONN +*I *21370:A I *D sky130_fd_sc_hd__clkinv_2 +*I *3560:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22083:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21370:A 0 +2 *3560:DIODE 0.000123762 +3 *22083:X 0.000731202 +4 *2938:11 0.000854964 +5 *3560:DIODE *2970:9 0.000136417 +6 *3560:DIODE *3013:11 5.07314e-05 +7 *3560:DIODE *3043:15 3.34377e-05 +8 *2938:11 *3010:11 0 +9 *2938:11 *3013:11 0.000366157 +10 *2938:11 *3044:15 8.9751e-05 +11 mprj_dat_i_core[10] *2938:11 4.59893e-05 +12 *4271:DIODE *2938:11 7.50722e-05 +13 *4376:DIODE *2938:11 2.65831e-05 +14 *4377:DIODE *2938:11 4.31703e-05 +15 *22083:A *2938:11 0.000177886 +*RES +1 *22083:X *2938:11 37.4665 +2 *2938:11 *3560:DIODE 22.1896 +3 *2938:11 *21370:A 9.24915 +*END + +*D_NET *2939 0.00271929 +*CONN +*I *21371:A I *D sky130_fd_sc_hd__inv_6 +*I *3561:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22084:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21371:A 0.00015884 +2 *3561:DIODE 0 +3 *22084:X 0.000768617 +4 *2939:13 0.000927456 +5 *2939:13 *3010:11 1.28326e-05 +6 *2939:13 *3013:10 0.000387204 +7 *4272:DIODE *2939:13 2.79471e-05 +8 *4343:DIODE *2939:13 8.01886e-05 +9 *22083:A *2939:13 2.16355e-05 +10 *22626:A *2939:13 0.000226704 +11 *22627:A *2939:13 0 +12 *904:7 *2939:13 3.31882e-05 +13 *1033:5 *2939:13 3.20069e-06 +14 *2400:12 *2939:13 7.14746e-05 +*RES +1 *22084:X *2939:13 36.6517 +2 *2939:13 *3561:DIODE 9.24915 +3 *2939:13 *21371:A 13.0349 +*END + +*D_NET *2940 0.0539228 +*CONN +*I *23393:A I *D sky130_fd_sc_hd__nand2_2 +*I *6092:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22085:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23393:A 1.8961e-05 +2 *6092:DIODE 2.33543e-05 +3 *22085:X 0.000976573 +4 *2940:53 0.00015785 +5 *2940:47 0.000583179 +6 *2940:34 0.00103335 +7 *2940:28 0.00289098 +8 *2940:22 0.00346139 +9 *2940:17 0.00298526 +10 *2940:16 0.00217459 +11 *2940:11 0.000975212 +12 *2940:10 0.00162634 +13 *2940:10 *5527:DIODE 3.21056e-05 +14 *2940:10 *2988:33 0 +15 *2940:10 *3001:45 6.08467e-05 +16 *2940:10 *3112:34 0 +17 *2940:16 *3104:19 7.09666e-06 +18 *2940:17 *3122:19 0.00736339 +19 *2940:17 *3162:49 0.00103729 +20 *2940:22 *3083:24 0.000228404 +21 *2940:22 *3103:32 0.000112148 +22 *2940:22 *3355:10 0.00043551 +23 *2940:22 *3381:11 0.000120583 +24 *2940:28 *3083:24 0.000159155 +25 *2940:28 *3355:10 5.56505e-05 +26 *2940:28 *3389:10 2.05972e-05 +27 *2940:28 *3394:8 0.000126497 +28 *2940:34 *3096:36 0.000410992 +29 *2940:34 *3120:7 0.00261702 +30 *2940:34 *3120:14 8.73792e-05 +31 la_oenb_core[28] *2940:10 6.87503e-05 +32 *4284:DIODE *2940:10 0 +33 *6093:DIODE *2940:53 0.000148652 +34 *6325:DIODE *2940:28 0 +35 *23268:B *2940:47 0.000219369 +36 *1160:61 *2940:28 0.000275105 +37 *1261:19 *2940:28 2.1203e-06 +38 *1382:18 *2940:28 8.75913e-05 +39 *1512:9 *2940:53 6.50727e-05 +40 *1515:11 *2940:47 6.50727e-05 +41 *1640:16 *6092:DIODE 6.92705e-05 +42 *1786:11 *2940:22 3.53938e-05 +43 *1884:13 *2940:47 0.00277108 +44 *1884:21 *6092:DIODE 0.000169041 +45 *1884:21 *2940:47 0.000543262 +46 *1884:21 *2940:53 0.000220053 +47 *1938:19 *2940:28 0.000246039 +48 *1942:19 *2940:16 0 +49 *2015:34 *2940:28 0.000160068 +50 *2166:42 *6092:DIODE 4.0752e-05 +51 *2166:42 *2940:47 1.5962e-05 +52 *2166:42 *2940:53 0.000256037 +53 *2170:26 *2940:34 0.00324314 +54 *2170:31 *2940:34 8.98169e-05 +55 *2172:24 *2940:47 0.00343564 +56 *2383:19 *2940:11 1.88152e-05 +57 *2445:27 *2940:11 0.00582941 +58 *2474:33 *2940:11 0.00578784 +59 *2529:6 *2940:28 0 +60 *2581:6 *2940:28 7.15202e-06 +61 *2582:16 *2940:28 0 +62 *2851:8 *2940:16 0.00010326 +63 *2855:33 *2940:34 0.000167304 +*RES +1 *22085:X *2940:10 35.3384 +2 *2940:10 *2940:11 63.3936 +3 *2940:11 *2940:16 15.3998 +4 *2940:16 *2940:17 79.4771 +5 *2940:17 *2940:22 31.4792 +6 *2940:22 *2940:28 48.6456 +7 *2940:28 *2940:34 48.1564 +8 *2940:34 *2940:47 47.7832 +9 *2940:47 *2940:53 6.46234 +10 *2940:53 *6092:DIODE 11.0817 +11 *2940:53 *23393:A 9.82786 +*END + +*D_NET *2941 0.00303107 +*CONN +*I *3562:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21372:A I *D sky130_fd_sc_hd__inv_8 +*I *22086:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3562:DIODE 0 +2 *21372:A 0.000252802 +3 *22086:X 0.000872596 +4 *2941:9 0.0011254 +5 *2941:9 *2942:16 0.00065295 +6 *4274:DIODE *2941:9 9.60216e-05 +7 *22627:A *2941:9 0 +8 *1148:11 *2941:9 0 +9 *1149:8 *2941:9 3.12976e-05 +10 *2401:18 *2941:9 0 +11 *2402:14 *2941:9 0 +*RES +1 *22086:X *2941:9 39.633 +2 *2941:9 *21372:A 13.1796 +3 *2941:9 *3562:DIODE 9.24915 +*END + +*D_NET *2942 0.00333836 +*CONN +*I *3563:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21373:A I *D sky130_fd_sc_hd__inv_6 +*I *22087:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *3563:DIODE 4.92394e-05 +2 *21373:A 8.82814e-05 +3 *22087:X 0.000768991 +4 *2942:16 0.000906512 +5 *2942:16 *2943:12 0.000175374 +6 *2942:16 *3013:10 2.84068e-05 +7 mprj_dat_i_core[12] *2942:16 7.34099e-05 +8 *4274:DIODE *2942:16 2.97007e-05 +9 *4344:DIODE *2942:16 3.83336e-05 +10 *4345:DIODE *2942:16 0 +11 *22086:A *2942:16 6.50727e-05 +12 *22087:A *2942:16 0.000107496 +13 *22157:A *2942:16 6.92705e-05 +14 *22628:A *2942:16 0 +15 *905:5 *2942:16 1.15904e-05 +16 *1034:8 *2942:16 0.000204676 +17 *1149:8 *2942:16 3.98296e-05 +18 *1149:9 *3563:DIODE 2.86013e-06 +19 *1149:9 *21373:A 2.63704e-05 +20 *2402:14 *2942:16 0 +21 *2941:9 *2942:16 0.00065295 +*RES +1 *22087:X *2942:16 41.5223 +2 *2942:16 *21373:A 11.5158 +3 *2942:16 *3563:DIODE 9.97254 +*END + +*D_NET *2943 0.00343259 +*CONN +*I *3564:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21374:A I *D sky130_fd_sc_hd__clkinv_8 +*I *22088:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3564:DIODE 0.00025267 +2 *21374:A 4.17153e-05 +3 *22088:X 0.000702688 +4 *2943:12 0.000997073 +5 *2943:12 *3013:10 9.58815e-05 +6 mprj_dat_i_core[13] *2943:12 4.55099e-05 +7 *22629:A *2943:12 6.16671e-05 +8 *907:10 *2943:12 0.000111578 +9 *1036:12 *2943:12 0.000215846 +10 *2403:18 *2943:12 2.0456e-06 +11 *2827:12 *3564:DIODE 0.000109921 +12 *2827:12 *2943:12 0.000620619 +13 *2942:16 *2943:12 0.000175374 +*RES +1 *22088:X *2943:12 35.3318 +2 *2943:12 *21374:A 14.4725 +3 *2943:12 *3564:DIODE 18.3836 +*END + +*D_NET *2944 0.00264842 +*CONN +*I *3565:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21375:A I *D sky130_fd_sc_hd__inv_12 +*I *22089:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3565:DIODE 0.000598786 +2 *21375:A 0.000172925 +3 *22089:X 0.00017189 +4 *2944:6 0.000943601 +5 *3565:DIODE *2945:8 4.97726e-05 +6 *3565:DIODE *3015:13 0 +7 *2944:6 *2945:8 1.51628e-05 +8 *2944:6 *3015:13 0 +9 mprj_dat_i_core[15] *2944:6 7.50722e-05 +10 *4277:DIODE *2944:6 0.000258933 +11 *4347:DIODE *21375:A 0.00036228 +*RES +1 *22089:X *2944:6 19.3184 +2 *2944:6 *21375:A 18.9094 +3 *2944:6 *3565:DIODE 23.2357 +*END + +*D_NET *2945 0.00421451 +*CONN +*I *21376:A I *D sky130_fd_sc_hd__inv_12 +*I *3566:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22090:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21376:A 0.000225899 +2 *3566:DIODE 9.25332e-05 +3 *22090:X 0.00127889 +4 *2945:8 0.00159733 +5 *21376:A *3014:6 6.48533e-05 +6 *21376:A *3015:13 0 +7 *2945:8 *3014:6 0.00018434 +8 *2945:8 *3015:7 0.000114523 +9 *2945:8 *3015:13 0 +10 mprj_dat_i_core[15] *2945:8 1.13359e-05 +11 *3565:DIODE *2945:8 4.97726e-05 +12 *4276:DIODE *2945:8 0 +13 *4277:DIODE *2945:8 0.000333438 +14 *4347:DIODE *2945:8 0 +15 *22090:A *2945:8 2.57986e-05 +16 *908:8 *2945:8 5.9739e-05 +17 *1037:5 *2945:8 0 +18 *1038:8 *2945:8 4.63091e-05 +19 *2478:5 *21376:A 0.000114584 +20 *2944:6 *2945:8 1.51628e-05 +*RES +1 *22090:X *2945:8 41.7855 +2 *2945:8 *3566:DIODE 15.0271 +3 *2945:8 *21376:A 19.7659 +*END + +*D_NET *2946 0.00221959 +*CONN +*I *21377:A I *D sky130_fd_sc_hd__inv_6 +*I *3567:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22091:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21377:A 0.000142145 +2 *3567:DIODE 0 +3 *22091:X 0.000860597 +4 *2946:11 0.00100274 +5 *2946:11 *3569:DIODE 0 +6 *2946:11 *3016:11 0 +7 mprj_dat_i_core[17] *2946:11 0.000150629 +8 *4279:DIODE *2946:11 4.15008e-05 +9 *4349:DIODE *2946:11 0 +10 *910:5 *2946:11 1.77537e-06 +11 *1154:8 *2946:11 2.02035e-05 +*RES +1 *22091:X *2946:11 36.2067 +2 *2946:11 *3567:DIODE 9.24915 +3 *2946:11 *21377:A 12.625 +*END + +*D_NET *2947 0.00363792 +*CONN +*I *3568:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21378:A I *D sky130_fd_sc_hd__inv_12 +*I *22092:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3568:DIODE 0 +2 *21378:A 0.00021784 +3 *22092:X 0.000847868 +4 *2947:9 0.00106571 +5 *21378:A *3016:11 0 +6 mprj_dat_i_core[18] *2947:9 7.21868e-05 +7 *4280:DIODE *2947:9 1.79672e-05 +8 *4351:DIODE *2947:9 0 +9 *22633:A *2947:9 0.000247246 +10 *22634:A *2947:9 0 +11 *2408:18 *21378:A 0 +12 *2408:18 *2947:9 0.000808548 +13 *2409:18 *2947:9 0 +14 *2478:5 *21378:A 0.000246153 +15 *2478:5 *2947:9 0.000114398 +*RES +1 *22092:X *2947:9 42.5398 +2 *2947:9 *21378:A 23.3311 +3 *2947:9 *3568:DIODE 9.24915 +*END + +*D_NET *2948 0.00165441 +*CONN +*I *21379:A I *D sky130_fd_sc_hd__inv_8 +*I *3569:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22093:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21379:A 0 +2 *3569:DIODE 0.000142735 +3 *22093:X 0.000590354 +4 *2948:9 0.000733089 +5 *2948:9 *3020:11 0 +6 mprj_dat_i_core[19] *2948:9 2.68928e-05 +7 *4281:DIODE *2948:9 0.000101118 +8 *4351:DIODE *2948:9 0 +9 *4352:DIODE *2948:9 0 +10 *5152:DIODE *2948:9 1.43983e-05 +11 *5153:DIODE *2948:9 0 +12 *22633:A *3569:DIODE 0 +13 *22634:A *2948:9 4.58259e-05 +14 *2408:18 *3569:DIODE 0 +15 *2410:22 *2948:9 0 +16 *2946:11 *3569:DIODE 0 +*RES +1 *22093:X *2948:9 32.7215 +2 *2948:9 *3569:DIODE 21.635 +3 *2948:9 *21379:A 9.24915 +*END + +*D_NET *2949 0.0102028 +*CONN +*I *21361:A I *D sky130_fd_sc_hd__inv_12 +*I *3551:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22094:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21361:A 0 +2 *3551:DIODE 0.000141186 +3 *22094:X 0 +4 *2949:9 0.000175023 +5 *2949:6 0.00183372 +6 *2949:5 0.00179988 +7 *2949:6 *3014:12 0.00182929 +8 *2949:6 *3021:6 0 +9 mprj_dat_i_core[1] *2949:6 7.86847e-05 +10 *4379:DIODE *2949:6 7.86847e-05 +11 *22636:A *2949:6 7.81625e-05 +12 *2346:12 *2949:6 0.000280381 +13 *2364:8 *3551:DIODE 0.00014663 +14 *2411:20 *2949:6 0.000157887 +15 *2537:22 *3551:DIODE 2.95308e-05 +16 *2537:22 *2949:6 8.32204e-06 +17 *2809:29 *3551:DIODE 6.75453e-05 +18 *2809:29 *2949:9 4.58003e-05 +19 *2824:22 *2949:6 0.00145039 +20 *2825:14 *2949:6 7.42346e-05 +21 *2825:21 *2949:6 0.00186658 +22 *2825:21 *2949:9 6.08467e-05 +*RES +1 *22094:X *2949:5 13.7491 +2 *2949:5 *2949:6 68.6876 +3 *2949:6 *2949:9 5.778 +4 *2949:9 *3551:DIODE 22.7442 +5 *2949:9 *21361:A 9.24915 +*END + +*D_NET *2950 0.00214797 +*CONN +*I *21380:A I *D sky130_fd_sc_hd__clkinv_8 +*I *3570:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22095:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21380:A 0 +2 *3570:DIODE 0.000182673 +3 *22095:X 0.0005916 +4 *2950:11 0.000774273 +5 *3570:DIODE *3020:11 0 +6 *2950:11 *3020:11 7.90123e-05 +7 *2950:11 *3022:14 0 +8 mprj_dat_i_core[20] *2950:11 0 +9 *4353:DIODE *2950:11 0.000344849 +10 *22637:A *2950:11 0 +11 *914:12 *2950:11 0.000164843 +12 *1042:13 *2950:11 1.07248e-05 +13 *2409:18 *3570:DIODE 0 +14 *2412:26 *2950:11 0 +*RES +1 *22095:X *2950:11 35.2397 +2 *2950:11 *3570:DIODE 22.329 +3 *2950:11 *21380:A 9.24915 +*END + +*D_NET *2951 0.0398402 +*CONN +*I *23394:A I *D sky130_fd_sc_hd__nand2_2 +*I *6094:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22096:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23394:A 5.50896e-05 +2 *6094:DIODE 0.000104261 +3 *22096:X 0.00159721 +4 *2951:32 0.00261075 +5 *2951:31 0.0024514 +6 *2951:29 0.00434241 +7 *2951:27 0.00475263 +8 *2951:23 0.00111297 +9 *2951:12 0.00229996 +10 *23394:A *3188:29 1.55025e-05 +11 *2951:12 *22754:A 0 +12 *2951:12 *23010:A 6.25042e-05 +13 *2951:12 *3113:60 7.09671e-05 +14 *2951:12 *3115:40 0 +15 *2951:12 *3418:10 0.000106427 +16 *2951:23 *2973:15 5.04686e-05 +17 *2951:23 *2984:21 1.41689e-05 +18 *2951:23 *3427:7 0.000436811 +19 *2951:27 *2984:21 0.00232235 +20 *2951:29 *2984:21 0.00637198 +21 *2951:32 *3006:50 0 +22 *2951:32 *3188:32 0.000440299 +23 la_oenb_core[29] *2951:12 6.22259e-05 +24 *4295:DIODE *2951:12 0 +25 *6099:DIODE *23394:A 8.67924e-06 +26 *6405:DIODE *2951:12 2.35412e-05 +27 *22286:TE *2951:32 4.77858e-05 +28 *22291:TE *2951:32 1.25326e-05 +29 *22414:B *2951:32 0.000184769 +30 *23394:B *23394:A 1.47978e-05 +31 *23511:TE *2951:29 0.000217937 +32 *1169:89 *23394:A 2.07365e-05 +33 *1374:15 *2951:29 0.000727784 +34 *1402:26 *2951:23 0.000832107 +35 *1402:26 *2951:27 0.000144213 +36 *1403:11 *2951:29 0.00435525 +37 *1403:13 *2951:27 0.000305596 +38 *1403:13 *2951:29 0.000730625 +39 *1412:11 *2951:23 0 +40 *1515:11 *6094:DIODE 0.000115746 +41 *1515:11 *23394:A 6.08467e-05 +42 *1643:13 *6094:DIODE 3.34802e-05 +43 *1762:6 *2951:32 0.000304292 +44 *2341:45 *2951:32 1.9101e-05 +45 *2361:10 *2951:32 0.000297538 +46 *2438:25 *2951:29 0.000101365 +47 *2439:13 *2951:23 0.000778454 +48 *2439:37 *2951:29 0.00010238 +49 *2454:76 *2951:27 0.000712522 +50 *2463:38 *2951:29 0.000364218 +51 *2476:34 *2951:32 4.35741e-05 +52 *2841:12 *6094:DIODE 0 +53 *2841:12 *2951:32 0 +54 *2841:26 *2951:32 0 +*RES +1 *22096:X *2951:12 47.1458 +2 *2951:12 *2951:23 28.9799 +3 *2951:23 *2951:27 25.982 +4 *2951:27 *2951:29 183.465 +5 *2951:29 *2951:31 4.5 +6 *2951:31 *2951:32 53.3233 +7 *2951:32 *6094:DIODE 16.4116 +8 *2951:32 *23394:A 15.6059 +*END + +*D_NET *2952 0.0043184 +*CONN +*I *21381:A I *D sky130_fd_sc_hd__inv_12 +*I *3571:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22097:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21381:A 0.000205531 +2 *3571:DIODE 0.000167152 +3 *22097:X 0.00085835 +4 *2952:9 0.00123103 +5 *21381:A *3020:11 0 +6 *2952:9 *21382:A 0.000248798 +7 *2952:9 *2953:8 0.000445991 +8 *2952:9 *3024:17 0.00102782 +9 *2412:26 *21381:A 6.86637e-05 +10 *2478:5 *21381:A 6.50586e-05 +*RES +1 *22097:X *2952:9 43.1 +2 *2952:9 *3571:DIODE 11.6364 +3 *2952:9 *21381:A 23.7113 +*END + +*D_NET *2953 0.00221381 +*CONN +*I *21382:A I *D sky130_fd_sc_hd__clkinv_8 +*I *3572:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22098:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21382:A 0.000109881 +2 *3572:DIODE 5.81894e-05 +3 *22098:X 0.000456343 +4 *2953:8 0.000624413 +5 *2953:8 *3024:17 1.2693e-05 +6 mprj_dat_i_core[21] *2953:8 9.75356e-05 +7 *4356:DIODE *2953:8 2.65831e-05 +8 *5156:DIODE *2953:8 2.14603e-05 +9 *22097:A *2953:8 2.65667e-05 +10 *1045:8 *2953:8 8.53519e-05 +11 *2952:9 *21382:A 0.000248798 +12 *2952:9 *2953:8 0.000445991 +*RES +1 *22098:X *2953:8 27.3854 +2 *2953:8 *3572:DIODE 14.4725 +3 *2953:8 *21382:A 18.0727 +*END + +*D_NET *2954 0.00335207 +*CONN +*I *21383:A I *D sky130_fd_sc_hd__inv_8 +*I *3573:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22099:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21383:A 0.000189857 +2 *3573:DIODE 0.000106597 +3 *22099:X 0.000883276 +4 *2954:8 0.00117973 +5 *21383:A *2955:11 0 +6 *2954:8 *2955:11 0 +7 mprj_dat_i_core[22] *2954:8 1.77537e-06 +8 *4286:DIODE *2954:8 0 +9 *4287:DIODE *2954:8 0 +10 *4356:DIODE *2954:8 0.00015324 +11 *22099:A *2954:8 0.00011818 +12 *22639:A *2954:8 9.11981e-05 +13 *1046:10 *2954:8 0.000122418 +14 *2414:18 *2954:8 2.0456e-06 +15 *2477:39 *3573:DIODE 7.48633e-05 +16 *2477:39 *21383:A 0.000428887 +*RES +1 *22099:X *2954:8 34.5812 +2 *2954:8 *3573:DIODE 15.5817 +3 *2954:8 *21383:A 19.7715 +*END + +*D_NET *2955 0.00936177 +*CONN +*I *3574:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21384:A I *D sky130_fd_sc_hd__inv_12 +*I *22100:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3574:DIODE 9.96559e-05 +2 *21384:A 0 +3 *22100:X 0.0016573 +4 *2955:20 0.00113071 +5 *2955:11 0.00268836 +6 *3574:DIODE *3025:18 0 +7 *2955:20 *2956:19 0 +8 *2955:20 *3025:17 0.00225776 +9 *2955:20 *3025:18 0 +10 mprj_dat_i_core[23] *2955:11 7.50872e-05 +11 *4287:DIODE *2955:11 1.79672e-05 +12 *4357:DIODE *2955:11 0 +13 *21383:A *2955:11 0 +14 *22100:A *2955:11 1.92926e-05 +15 *22639:A *2955:11 0 +16 *22640:A *2955:11 0 +17 *1046:10 *2955:11 5.05841e-05 +18 *1047:8 *2955:11 3.24105e-05 +19 *2416:26 *2955:11 0 +20 *2418:20 *2955:20 0.00013632 +21 *2419:18 *2955:11 0 +22 *2542:24 *2955:11 5.76392e-05 +23 *2542:24 *2955:20 0.00107194 +24 *2673:8 *3574:DIODE 5.88776e-05 +25 *2673:8 *2955:20 7.86825e-06 +26 *2954:8 *2955:11 0 +*RES +1 *22100:X *2955:11 49.7063 +2 *2955:11 *2955:20 11.9547 +3 *2955:20 *21384:A 13.7491 +4 *2955:20 *3574:DIODE 16.4116 +*END + +*D_NET *2956 0.0108191 +*CONN +*I *3575:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21385:A I *D sky130_fd_sc_hd__clkinv_8 +*I *22101:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3575:DIODE 0.000168401 +2 *21385:A 0 +3 *22101:X 0.00215722 +4 *2956:20 0.000899855 +5 *2956:19 0.00288867 +6 *2956:19 *3026:20 0.000767301 +7 *2956:19 *3027:13 0.000710126 +8 *2956:19 *3027:22 0.00106708 +9 *2956:19 *3031:27 0 +10 *2956:20 *3027:22 0 +11 mprj_dat_i_core[25] *2956:19 0.000195139 +12 *4289:DIODE *2956:19 8.92568e-06 +13 *4360:DIODE *2956:19 0 +14 *6299:DIODE *2956:20 0.000134653 +15 *22642:A *2956:19 6.32486e-05 +16 *919:10 *2956:19 8.92568e-06 +17 *2130:29 *2956:20 1.10793e-05 +18 *2417:18 *2956:19 1.29018e-05 +19 *2418:20 *2956:19 7.90353e-05 +20 *2542:24 *2956:19 0 +21 *2684:8 *3575:DIODE 0.000142485 +22 *2684:8 *2956:20 0.00149085 +23 *2825:48 *3575:DIODE 1.31764e-05 +24 *2955:20 *2956:19 0 +*RES +1 *22101:X *2956:19 42.1651 +2 *2956:19 *2956:20 26.1242 +3 *2956:20 *21385:A 13.7491 +4 *2956:20 *3575:DIODE 17.6896 +*END + +*D_NET *2957 0.0125958 +*CONN +*I *21386:A I *D sky130_fd_sc_hd__inv_8 +*I *3576:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22102:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21386:A 0.000180546 +2 *3576:DIODE 0 +3 *22102:X 0.00168551 +4 *2957:25 0.000573555 +5 *2957:21 0.00207852 +6 *2957:21 *2958:19 0.00116396 +7 *2957:21 *3031:27 0.00215443 +8 *2957:25 *3577:DIODE 0.000134722 +9 *2957:25 *2958:22 0.000689709 +10 *2957:25 *3031:30 4.43417e-05 +11 mprj_dat_i_core[26] *2957:21 8.18622e-05 +12 *4290:DIODE *2957:21 5.79232e-05 +13 *4360:DIODE *2957:21 5.75903e-05 +14 *22274:TE *2957:25 0.000250829 +15 *22642:A *2957:21 0 +16 *920:5 *2957:21 2.71397e-05 +17 *1163:11 *2957:25 4.20184e-06 +18 *1750:12 *2957:25 7.50872e-05 +19 *1992:21 *2957:25 0 +20 *2420:18 *2957:21 0.00331801 +21 *2479:45 *2957:21 1.78765e-05 +*RES +1 *22102:X *2957:21 48.3025 +2 *2957:21 *2957:25 19.7206 +3 *2957:25 *3576:DIODE 9.24915 +4 *2957:25 *21386:A 13.5895 +*END + +*D_NET *2958 0.011083 +*CONN +*I *3577:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21387:A I *D sky130_fd_sc_hd__inv_8 +*I *22103:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3577:DIODE 0.000139158 +2 *21387:A 0 +3 *22103:X 0.000186616 +4 *2958:22 0.00108889 +5 *2958:19 0.00299927 +6 *2958:9 0.00223616 +7 *3577:DIODE *3030:20 0 +8 *2958:9 *3031:27 0.000194651 +9 *2958:19 *3031:27 0.00126458 +10 *2958:22 *3030:20 0 +11 *2958:22 *3031:27 0.000232108 +12 mprj_dat_i_core[26] *2958:19 2.86173e-05 +13 *4363:DIODE *2958:19 0.000100573 +14 *22103:A *2958:9 6.50727e-05 +15 *22175:A *2958:9 6.50727e-05 +16 *22643:A *2958:19 0 +17 *1050:7 *2958:19 1.09738e-05 +18 *1992:21 *2958:22 0 +19 *2419:18 *2958:19 0 +20 *2420:18 *2958:19 0.000482827 +21 *2957:21 *2958:19 0.00116396 +22 *2957:25 *3577:DIODE 0.000134722 +23 *2957:25 *2958:22 0.000689709 +*RES +1 *22103:X *2958:9 18.9335 +2 *2958:9 *2958:19 49.9046 +3 *2958:19 *2958:22 31.154 +4 *2958:22 *21387:A 13.7491 +5 *2958:22 *3577:DIODE 17.135 +*END + +*D_NET *2959 0.0105175 +*CONN +*I *3578:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21388:A I *D sky130_fd_sc_hd__inv_8 +*I *22104:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3578:DIODE 0 +2 *21388:A 0.000154816 +3 *22104:X 7.28727e-05 +4 *2959:23 0.00189967 +5 *2959:13 0.00359634 +6 *2959:7 0.00192436 +7 *21388:A *3035:19 0.000415928 +8 *2959:13 *2960:13 0 +9 *2959:23 *2960:23 0 +10 *2959:23 *3035:19 0.00156937 +11 mprj_dat_i_core[28] *2959:13 1.39717e-06 +12 *4292:DIODE *2959:13 0.000149628 +13 *4364:DIODE *2959:7 0.000114594 +14 *22644:A *2959:13 0 +15 *922:5 *2959:13 5.41227e-05 +16 *2718:6 *2959:23 0.000564367 +*RES +1 *22104:X *2959:7 15.0271 +2 *2959:7 *2959:13 48.7206 +3 *2959:13 *2959:23 46.0094 +4 *2959:23 *21388:A 14.4335 +5 *2959:23 *3578:DIODE 9.24915 +*END + +*D_NET *2960 0.0107283 +*CONN +*I *21389:A I *D sky130_fd_sc_hd__clkinv_8 +*I *3579:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22105:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21389:A 0 +2 *3579:DIODE 0.000288146 +3 *22105:X 0.000206473 +4 *2960:23 0.00247079 +5 *2960:13 0.0040268 +6 *2960:7 0.00205063 +7 *2960:7 *3032:22 8.52139e-05 +8 *4293:DIODE *2960:13 3.40747e-05 +9 *4365:DIODE *2960:13 0.000175674 +10 *22105:A *2960:7 2.65831e-05 +11 *22177:A *2960:7 0.000114594 +12 *22645:A *2960:13 0.000152316 +13 *923:5 *2960:13 8.18344e-06 +14 *1052:5 *2960:13 3.31733e-05 +15 *2421:18 *2960:13 7.12632e-06 +16 *2421:18 *2960:23 0.00104849 +17 *2729:6 *2960:23 0 +18 *2959:13 *2960:13 0 +19 *2959:23 *2960:23 0 +*RES +1 *22105:X *2960:7 17.2456 +2 *2960:7 *2960:13 48.8736 +3 *2960:13 *2960:23 48.788 +4 *2960:23 *3579:DIODE 14.964 +5 *2960:23 *21389:A 9.24915 +*END + +*D_NET *2961 0.174229 +*CONN +*I *3552:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21362:A I *D sky130_fd_sc_hd__inv_2 +*I *22106:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *3552:DIODE 8.1605e-05 +2 *21362:A 0 +3 *22106:X 0.00580448 +4 *2961:56 8.1605e-05 +5 *2961:54 0.00279575 +6 *2961:53 0.00279575 +7 *2961:51 0.000933692 +8 *2961:49 0.00153892 +9 *2961:43 0.00313463 +10 *2961:41 0.00276439 +11 *2961:35 0.00155358 +12 *2961:33 0.00137425 +13 *2961:31 0.00597628 +14 *2961:30 0.0124675 +15 *2961:15 0.0123514 +16 *2961:31 *2966:15 0.000617235 +17 *2961:35 *2966:15 0.00402804 +18 *2961:41 *2966:15 2.39581e-05 +19 *2961:43 *2966:15 0.000468149 +20 *2961:49 *2966:15 0.0011205 +21 *2961:51 *2966:15 5.32955e-05 +22 la_data_in_mprj[30] *2961:43 0.000105847 +23 la_data_in_mprj[72] *2961:31 0.000324166 +24 *3971:DIODE *2961:54 0.000362201 +25 *4256:DIODE *2961:54 1.2693e-05 +26 *624:5 *2961:30 6.61114e-05 +27 *632:8 *2961:54 0.000106357 +28 *880:8 *2961:30 1.50657e-05 +29 *888:9 *2961:54 0.000116329 +30 *1054:7 *2961:15 2.00914e-05 +31 *1099:5 *2961:15 8.62048e-05 +32 *1971:27 *2961:54 1.1573e-05 +33 *1990:28 *2961:30 0 +34 *1990:40 *2961:15 0.00199915 +35 *1990:40 *2961:30 0 +36 *2080:14 *2961:31 0.00134618 +37 *2103:19 *2961:31 0.000319554 +38 *2103:20 *2961:30 0.000326512 +39 *2111:16 *2961:31 7.96044e-05 +40 *2111:18 *2961:31 0.010253 +41 *2395:6 *2961:54 0 +42 *2479:6 *2961:54 0 +43 *2575:11 *2961:41 0.00221494 +44 *2575:11 *2961:43 3.96379e-06 +45 *2583:18 *2961:35 6.14949e-06 +46 *2586:11 *2961:35 0.000111031 +47 *2609:11 *2961:31 0.0019679 +48 *2621:17 *2961:30 0.000169243 +49 *2634:15 *2961:30 0.000131309 +50 *2635:17 *2961:30 0.00881352 +51 *2636:11 *2961:30 0.00649834 +52 *2664:9 *2961:43 0.00225617 +53 *2664:9 *2961:49 1.71154e-05 +54 *2664:9 *2961:51 0.000252235 +55 *2664:20 *2961:43 0.00073389 +56 *2676:11 *2961:49 3.37871e-05 +57 *2676:11 *2961:51 0.00412441 +58 *2676:20 *2961:49 0.00187492 +59 *2689:11 *2961:51 0.00240708 +60 *2732:9 *2961:51 0.00195269 +61 *2735:11 *2961:31 0.000971762 +62 *2741:11 *2961:31 0.000667838 +63 *2744:9 *2961:51 0.000111031 +64 *2768:13 *2961:51 0.00251108 +65 *2768:17 *2961:51 0.000118818 +66 *2780:10 *2961:54 0 +67 *2822:15 *2961:15 0.000789214 +68 *2833:11 *2961:49 0.00208735 +69 *2842:11 *2961:43 0.000886754 +70 *2843:11 *2961:43 0.000987584 +71 *2846:11 *2961:43 0.00420929 +72 *2850:13 *2961:43 0.000973608 +73 *2853:9 *2961:43 0.000341072 +74 *2853:15 *2961:35 1.71154e-05 +75 *2853:19 *2961:35 0.00112702 +76 *2854:11 *2961:41 0.000129927 +77 *2854:11 *2961:43 0.0036055 +78 *2854:13 *2961:35 0.00125571 +79 *2854:13 *2961:41 0.00181537 +80 *2863:17 *2961:35 0.0010341 +81 *2869:16 *2961:35 0.000456433 +82 *2874:12 *2961:54 0 +83 *2894:14 *2961:31 0.00317979 +84 *2899:11 *2961:31 0.000427914 +85 *2899:21 *2961:31 0.00297107 +86 *2907:22 *2961:30 0.00086637 +87 *2911:11 *2961:31 0.000420294 +88 *2919:14 *2961:30 0.000182409 +89 *2923:6 *2961:54 0 +90 *2937:9 *2961:15 0.0102858 +91 *2937:9 *2961:30 0.0227148 +*RES +1 *22106:X *2961:15 41.3851 +2 *2961:15 *2961:30 46.0742 +3 *2961:30 *2961:31 261.11 +4 *2961:31 *2961:33 1.39857 +5 *2961:33 *2961:35 92.5103 +6 *2961:35 *2961:41 25.8614 +7 *2961:41 *2961:43 141.315 +8 *2961:43 *2961:49 45.9598 +9 *2961:49 *2961:51 72.8219 +10 *2961:51 *2961:53 4.5 +11 *2961:53 *2961:54 73.2554 +12 *2961:54 *2961:56 4.5 +13 *2961:56 *21362:A 9.24915 +14 *2961:56 *3552:DIODE 11.0817 +*END + +*D_NET *2962 0.0517326 +*CONN +*I *6096:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23395:A I *D sky130_fd_sc_hd__nand2_1 +*I *22107:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6096:DIODE 0 +2 *23395:A 0.000262946 +3 *22107:X 1.47608e-05 +4 *2962:20 0.00041606 +5 *2962:17 0.00582099 +6 *2962:16 0.00566787 +7 *2962:14 0.00204993 +8 *2962:8 0.00816075 +9 *2962:7 0.00612558 +10 *23395:A *3084:34 3.67528e-06 +11 *2962:7 *3001:29 6.08467e-05 +12 *2962:8 *22755:A 0.000503325 +13 *2962:8 *23000:A 0 +14 *2962:8 *2973:18 0.000564997 +15 *2962:8 *3084:12 0 +16 *2962:8 *3114:22 0.000289566 +17 *2962:8 *3176:24 0.000124297 +18 *2962:8 *3378:16 1.89411e-05 +19 *2962:8 *3387:14 0 +20 *2962:14 *3258:8 3.52271e-05 +21 *2962:20 *3084:34 9.83118e-05 +22 *4306:DIODE *2962:8 0.0002583 +23 *5950:DIODE *2962:17 6.08467e-05 +24 *5972:DIODE *2962:17 6.08467e-05 +25 *6097:DIODE *23395:A 0.000115934 +26 *6507:DIODE *2962:8 1.05746e-05 +27 *22107:A *2962:7 6.08467e-05 +28 *22421:B *2962:8 0 +29 *23267:A *23395:A 2.652e-05 +30 *23272:A *2962:8 5.41377e-05 +31 *23450:A *2962:17 3.14978e-05 +32 *23600:TE *2962:8 5.93375e-06 +33 *1151:74 *2962:14 2.95757e-05 +34 *1151:77 *2962:17 0.00231 +35 *1263:9 *2962:8 0 +36 *1371:26 *2962:8 0.000130501 +37 *1887:24 *2962:8 0 +38 *2007:17 *2962:8 0.00136439 +39 *2141:46 *23395:A 0.000115934 +40 *2146:16 *2962:14 0.00319066 +41 *2146:24 *2962:17 0.00414674 +42 *2156:61 *2962:8 8.03676e-06 +43 *2448:19 *2962:17 0.00354028 +44 *2461:64 *2962:8 0 +45 *2479:9 *2962:17 0.00173486 +46 *2571:6 *2962:8 0.00167026 +47 *2614:8 *23395:A 0 +48 *2664:23 *2962:17 0.00043038 +49 *2704:10 *2962:14 4.66386e-05 +50 *2768:21 *2962:17 0.0011439 +51 *2780:11 *2962:17 0.000956186 +52 *2806:30 *23395:A 0 +53 *2841:12 *2962:8 0 +54 *2841:26 *2962:8 1.07442e-05 +55 *2861:12 *23395:A 0 +56 *2861:12 *2962:20 0 +*RES +1 *22107:X *2962:7 14.4725 +2 *2962:7 *2962:8 143.848 +3 *2962:8 *2962:14 46.632 +4 *2962:14 *2962:16 4.5 +5 *2962:16 *2962:17 211.473 +6 *2962:17 *2962:20 9.65401 +7 *2962:20 *23395:A 20.4987 +8 *2962:20 *6096:DIODE 13.7491 +*END + +*D_NET *2963 0.0129055 +*CONN +*I *3580:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21390:A I *D sky130_fd_sc_hd__clkinv_8 +*I *22108:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3580:DIODE 0 +2 *21390:A 0.000107822 +3 *22108:X 0.000135145 +4 *2963:23 0.00105701 +5 *2963:19 0.00145185 +6 *2963:13 0.00176021 +7 *2963:7 0.00139269 +8 *21390:A *21421:A 0.000264586 +9 *2963:7 *3032:22 0.000217923 +10 *2963:13 *3033:10 0.000391885 +11 *2963:13 *3033:12 4.26767e-05 +12 *2963:19 *3033:12 0.00155777 +13 *2963:19 *3035:13 0 +14 *2963:19 *3036:12 0.00155777 +15 *2963:23 *21421:A 0.000197982 +16 *2963:23 *3033:15 0.000880956 +17 mprj_dat_i_core[30] *2963:13 8.20467e-05 +18 *4296:DIODE *2963:13 0.000100586 +19 *22648:A *2963:13 0.000440211 +20 *22701:A *2963:23 7.50722e-05 +21 *925:8 *2963:13 4.70559e-05 +22 *2422:34 *2963:13 0 +23 *2424:19 *2963:19 2.32702e-05 +24 *2424:26 *2963:13 0.000594579 +25 *2729:6 *2963:23 0 +26 *2740:14 *2963:23 0.000526374 +*RES +1 *22108:X *2963:7 16.1364 +2 *2963:7 *2963:13 49.8979 +3 *2963:13 *2963:19 39.1042 +4 *2963:19 *2963:23 36.8338 +5 *2963:23 *21390:A 12.7697 +6 *2963:23 *3580:DIODE 9.24915 +*END + +*D_NET *2964 0.0128093 +*CONN +*I *21391:A I *D sky130_fd_sc_hd__clkinv_8 +*I *3581:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22109:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21391:A 0.000113698 +2 *3581:DIODE 9.41565e-06 +3 *22109:X 9.90561e-05 +4 *2964:11 0.000438167 +5 *2964:8 0.00141835 +6 *2964:7 0.00120235 +7 *3581:DIODE *21423:A 3.07159e-05 +8 *3581:DIODE *3377:11 6.36477e-05 +9 *21391:A *3092:8 3.31882e-05 +10 *2964:8 *3035:8 0.0036991 +11 *2964:11 *21423:A 6.49003e-05 +12 *2964:11 *3036:17 0.000543982 +13 *2964:11 *3377:11 0.00177165 +14 user1_vcc_powergood *2964:8 7.37879e-05 +15 user2_vdd_powergood *2964:8 0.000245754 +16 user2_vdd_powergood *2964:11 1.82679e-05 +17 *4369:DIODE *2964:8 0.000337654 +18 *4378:DIODE *2964:8 1.37925e-05 +19 *5214:DIODE *2964:8 0.000153225 +20 *5216:DIODE *2964:8 3.04407e-05 +21 *22649:A *2964:8 0.000128365 +22 *22701:A *2964:11 0.000158451 +23 *1055:8 *2964:8 7.50872e-05 +24 *2424:8 *21391:A 4.41118e-05 +25 *2425:12 *2964:8 0.00178431 +26 *2480:12 *2964:8 0.000193604 +27 *2718:6 *21391:A 6.4266e-05 +*RES +1 *22109:X *2964:7 15.0271 +2 *2964:7 *2964:8 75.7469 +3 *2964:8 *2964:11 24.0799 +4 *2964:11 *3581:DIODE 9.97254 +5 *2964:11 *21391:A 21.7421 +*END + +*D_NET *2965 0.0114121 +*CONN +*I *3553:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21363:A I *D sky130_fd_sc_hd__inv_12 +*I *22110:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3553:DIODE 0.000122976 +2 *21363:A 0 +3 *22110:X 0.00326603 +4 *2965:18 0.000514051 +5 *2965:15 0.00365711 +6 *3553:DIODE *3038:20 0 +7 *2965:15 *3038:19 0.000278194 +8 *2965:18 *3038:20 0 +9 mprj_dat_i_core[3] *2965:15 2.99929e-05 +10 *4298:DIODE *2965:15 4.42987e-06 +11 *4382:DIODE *2965:15 0 +12 *22650:A *2965:15 0.000227049 +13 *1099:5 *2965:15 0 +14 *1100:5 *2965:15 0 +15 *1153:15 *2965:15 6.21488e-06 +16 *1171:8 *2965:18 3.91685e-05 +17 *1171:9 *2965:15 1.33805e-05 +18 *1174:15 *2965:15 2.14262e-05 +19 *1195:28 *2965:15 0 +20 *1849:12 *2965:15 0.000357806 +21 *1979:31 *2965:15 3.1594e-05 +22 *1989:63 *2965:15 0.000151239 +23 *1995:41 *2965:18 0.000103276 +24 *1995:57 *3553:DIODE 0 +25 *1995:57 *2965:18 0 +26 *2130:25 *2965:15 0 +27 *2409:12 *2965:15 0.000158886 +28 *2413:36 *2965:15 0.00113494 +29 *2427:12 *2965:15 0.0011576 +30 *2696:19 *2965:15 0.000136775 +*RES +1 *22110:X *2965:15 49.6488 +2 *2965:15 *2965:18 11.6372 +3 *2965:18 *21363:A 13.7491 +4 *2965:18 *3553:DIODE 15.9964 +*END + +*D_NET *2966 0.133092 +*CONN +*I *3554:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21364:A I *D sky130_fd_sc_hd__inv_2 +*I *22111:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *3554:DIODE 0.000156333 +2 *21364:A 0 +3 *22111:X 0.000235938 +4 *2966:20 0.000156333 +5 *2966:18 0.00218241 +6 *2966:17 0.00218241 +7 *2966:15 0.0106456 +8 *2966:14 0.0107614 +9 *2966:9 0.0125222 +10 *2966:8 0.0126424 +11 *2966:9 *3045:13 0.00857872 +12 *2966:18 *22844:A 9.92077e-05 +13 *2966:18 *3213:12 8.3647e-05 +14 *3855:DIODE *2966:18 0 +15 *4138:DIODE *2966:18 0.000141001 +16 *22533:A *3554:DIODE 0.000217951 +17 *527:10 *2966:18 4.2164e-05 +18 *783:5 *2966:18 0.00040515 +19 *929:5 *2966:8 0.00016396 +20 *1058:8 *2966:8 4.20262e-05 +21 *1848:18 *2966:18 0 +22 *2080:14 *2966:15 0.00183527 +23 *2080:25 *2966:15 0.000957243 +24 *2080:26 *2966:15 0.00295329 +25 *2080:32 *2966:9 0.000618045 +26 *2094:8 *2966:15 0.00254822 +27 *2118:19 *2966:9 0.00877061 +28 *2124:14 *2966:15 0.00160294 +29 *2124:16 *2966:15 0.000213421 +30 *2124:22 *2966:9 0.000905139 +31 *2520:9 *2966:9 0.00259927 +32 *2564:11 *2966:15 0.00049882 +33 *2575:11 *2966:15 0.00102158 +34 *2604:18 *2966:15 0.00010211 +35 *2610:22 *2966:15 0.000321357 +36 *2611:21 *2966:15 0.000194801 +37 *2623:11 *2966:9 0.00272929 +38 *2627:11 *2966:9 0.000841695 +39 *2627:11 *2966:15 0.00101679 +40 *2630:11 *2966:9 0.00144984 +41 *2631:11 *2966:9 0.00135199 +42 *2632:11 *2966:9 0.00143396 +43 *2639:9 *2966:9 0.000404093 +44 *2642:9 *2966:9 0.00139288 +45 *2645:9 *2966:9 0.000903049 +46 *2645:15 *2966:9 0.000207517 +47 *2645:19 *2966:9 0.000288665 +48 *2648:11 *2966:9 0.000669504 +49 *2744:9 *2966:15 0.00197694 +50 *2768:17 *2966:15 0.00115912 +51 *2792:8 *2966:18 0 +52 *2803:9 *2966:9 0.000709619 +53 *2843:11 *2966:15 0.000583595 +54 *2846:11 *2966:15 0.000484498 +55 *2863:17 *2966:15 0.000333465 +56 *2872:15 *2966:15 0.000188196 +57 *2898:12 *2966:18 0.00161978 +58 *2902:15 *2966:15 0.000711593 +59 *2911:22 *2966:9 0.000195504 +60 *2911:28 *2966:9 0.00038849 +61 *2914:11 *2966:9 0.00223213 +62 *2915:31 *2966:9 0.000813235 +63 *2926:9 *2966:9 0.00104271 +64 *2937:15 *2966:9 0.000137573 +65 *2937:15 *2966:15 0.015114 +66 *2961:31 *2966:15 0.000617235 +67 *2961:35 *2966:15 0.00402804 +68 *2961:41 *2966:15 2.39581e-05 +69 *2961:43 *2966:15 0.000468149 +70 *2961:49 *2966:15 0.0011205 +71 *2961:51 *2966:15 5.32955e-05 +*RES +1 *22111:X *2966:8 23.7253 +2 *2966:8 *2966:9 61.1655 +3 *2966:9 *2966:14 9.90841 +4 *2966:14 *2966:15 614.67 +5 *2966:15 *2966:17 4.5 +6 *2966:17 *2966:18 71.5944 +7 *2966:18 *2966:20 4.5 +8 *2966:20 *21364:A 9.24915 +9 *2966:20 *3554:DIODE 13.3002 +*END + +*D_NET *2967 0.0111639 +*CONN +*I *21365:A I *D sky130_fd_sc_hd__inv_12 +*I *3555:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22112:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *21365:A 0.000129888 +2 *3555:DIODE 0.000114467 +3 *22112:X 0.000255921 +4 *2967:24 0.000887619 +5 *2967:23 0.00248169 +6 *2967:10 0.00209435 +7 *21365:A *3041:18 4.18989e-05 +8 *2967:10 *2968:11 3.04018e-05 +9 *2967:10 *3039:13 5.01579e-05 +10 *2967:23 *21366:A 0 +11 *2967:23 *2968:11 5.75261e-05 +12 *2967:23 *3039:13 6.44502e-05 +13 *2967:23 *3039:19 0.00105658 +14 *2967:24 *3039:20 0.000229111 +15 *2967:24 *3041:18 0.00019731 +16 *4371:DIODE *2967:10 3.34802e-05 +17 *1160:26 *3555:DIODE 0.000154145 +18 *1160:26 *21365:A 0.000765504 +19 *1172:10 *2967:23 0 +20 *1200:27 *21365:A 0.000765504 +21 *1350:10 *21365:A 5.05252e-05 +22 *1350:10 *2967:24 0.000420464 +23 *2410:6 *2967:24 0.000166523 +24 *2413:37 *2967:10 6.78549e-05 +25 *2822:15 *2967:23 0.00104849 +*RES +1 *22112:X *2967:10 19.5655 +2 *2967:10 *2967:23 44.9982 +3 *2967:23 *2967:24 20.3107 +4 *2967:24 *3555:DIODE 15.5817 +5 *2967:24 *21365:A 23.2385 +*END + +*D_NET *2968 0.00305573 +*CONN +*I *3556:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21366:A I *D sky130_fd_sc_hd__clkinv_8 +*I *22113:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3556:DIODE 0 +2 *21366:A 0.000100204 +3 *22113:X 0.000626877 +4 *2968:11 0.000727081 +5 *2968:11 *3038:19 0.00027309 +6 *2968:11 *3039:7 0.0002646 +7 mprj_dat_i_core[5] *2968:11 4.3116e-06 +8 *4371:DIODE *2968:11 0.000333489 +9 *22112:A *2968:11 7.7502e-05 +10 *22183:A *2968:11 0.000252715 +11 *22651:A *2968:11 0 +12 *929:5 *2968:11 0.000177787 +13 *930:8 *2968:11 6.50727e-05 +14 *1058:8 *2968:11 6.50727e-05 +15 *2428:18 *21366:A 0 +16 *2428:18 *2968:11 0 +17 *2967:10 *2968:11 3.04018e-05 +18 *2967:23 *21366:A 0 +19 *2967:23 *2968:11 5.75261e-05 +*RES +1 *22113:X *2968:11 38.1492 +2 *2968:11 *21366:A 20.4964 +3 *2968:11 *3556:DIODE 9.24915 +*END + +*D_NET *2969 0.00194304 +*CONN +*I *3557:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21367:A I *D sky130_fd_sc_hd__inv_12 +*I *22114:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3557:DIODE 0.000138594 +2 *21367:A 4.76442e-05 +3 *22114:X 0.000276265 +4 *2969:9 0.000462504 +5 *4302:DIODE *2969:9 7.86847e-05 +6 *4372:DIODE *2969:9 2.65831e-05 +7 *4374:DIODE *2969:9 9.8511e-05 +8 *22652:A *3557:DIODE 0 +9 *22653:A *3557:DIODE 0 +10 *22653:A *2969:9 0.000164017 +11 *22654:A *2969:9 5.71487e-05 +12 *1145:5 *21367:A 0.000171273 +13 *1145:5 *2969:9 0.000421818 +14 *2430:18 *3557:DIODE 0 +*RES +1 *22114:X *2969:9 28.424 +2 *2969:9 *21367:A 11.0817 +3 *2969:9 *3557:DIODE 20.9116 +*END + +*D_NET *2970 0.00412681 +*CONN +*I *3558:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21368:A I *D sky130_fd_sc_hd__inv_12 +*I *22115:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3558:DIODE 0 +2 *21368:A 0.00013549 +3 *22115:X 0.00138595 +4 *2970:9 0.00152144 +5 *2970:9 *2971:13 0 +6 *2970:9 *3043:15 0 +7 mprj_dat_i_core[8] *2970:9 2.99929e-05 +8 *3560:DIODE *2970:9 0.000136417 +9 *4303:DIODE *2970:9 2.99929e-05 +10 *1148:13 *21368:A 0.00026881 +11 *2431:18 *21368:A 2.961e-05 +12 *2432:20 *2970:9 0 +13 *2478:5 *21368:A 0.000295393 +14 *2478:5 *2970:9 0.000293712 +*RES +1 *22115:X *2970:9 46.9766 +2 *2970:9 *21368:A 23.3311 +3 *2970:9 *3558:DIODE 9.24915 +*END + +*D_NET *2971 0.00279056 +*CONN +*I *21369:A I *D sky130_fd_sc_hd__inv_6 +*I *3559:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22116:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21369:A 0 +2 *3559:DIODE 0.000188219 +3 *22116:X 0.00077669 +4 *2971:13 0.000964909 +5 *2971:13 *3042:15 0 +6 mprj_dat_i_core[8] *2971:13 7.58739e-05 +7 *22116:A *2971:13 6.50727e-05 +8 *22655:A *2971:13 0.000223294 +9 *932:8 *2971:13 0.000357339 +10 *2432:20 *2971:13 0.000139166 +11 *2970:9 *2971:13 0 +*RES +1 *22116:X *2971:13 38.452 +2 *2971:13 *3559:DIODE 13.3002 +3 *2971:13 *21369:A 9.24915 +*END + +*D_NET *2972 0.0106616 +*CONN +*I *21353:A I *D sky130_fd_sc_hd__inv_6 +*I *3543:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22117:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21353:A 7.83456e-05 +2 *3543:DIODE 0 +3 *22117:X 0.00010343 +4 *2972:8 0.0042411 +5 *2972:7 0.00426619 +6 *2972:8 *3549:DIODE 0.000111176 +7 *2972:8 *3050:8 8.09783e-05 +8 *2972:8 *3351:10 4.80093e-05 +9 *4305:DIODE *2972:8 3.76047e-05 +10 *4383:DIODE *2972:8 0.000250599 +11 *1143:10 *2972:8 0.000295789 +12 *1146:14 *2972:8 0.000133774 +13 *1192:23 *21353:A 0.000171273 +14 *1228:15 *21353:A 0.000171273 +15 *2362:18 *21353:A 0 +16 *2362:18 *2972:8 0 +17 *2513:22 *2972:8 0.000502971 +18 *2513:26 *21353:A 0 +19 *2513:26 *2972:8 0 +20 *2810:12 *2972:8 0 +21 *2825:11 *2972:7 0.000169041 +*RES +1 *22117:X *2972:7 15.5817 +2 *2972:7 *2972:8 94.4332 +3 *2972:8 *3543:DIODE 13.7491 +4 *2972:8 *21353:A 16.9985 +*END + +*D_NET *2973 0.037646 +*CONN +*I *6098:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23396:A I *D sky130_fd_sc_hd__nand2_1 +*I *22118:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6098:DIODE 0 +2 *23396:A 0.000210827 +3 *22118:X 0.00171301 +4 *2973:21 0.000251963 +5 *2973:18 0.00261457 +6 *2973:17 0.00257343 +7 *2973:15 0.00790143 +8 *2973:14 0.00961445 +9 *2973:14 *22756:A 0 +10 *2973:14 *22757:A 0 +11 *2973:14 *23012:A 5.88975e-05 +12 *2973:14 *2988:52 1.5714e-05 +13 *2973:14 *3001:45 6.08467e-05 +14 *2973:14 *3115:40 0.000107775 +15 *2973:14 *3116:40 0 +16 *2973:14 *3400:16 0.000141326 +17 *2973:15 *3397:17 3.99086e-06 +18 *2973:15 *3418:11 0.000366603 +19 *2973:15 *3427:7 0.000183261 +20 *2973:18 *3084:12 0 +21 *2973:18 *3112:8 0.000188385 +22 *2973:18 *3114:22 2.77564e-05 +23 *2973:18 *3378:16 3.88213e-05 +24 *2973:18 *3399:10 0.000562709 +25 la_oenb_core[30] *2973:14 6.51527e-05 +26 *3799:DIODE *2973:15 0.000111722 +27 *6099:DIODE *23396:A 0.000294788 +28 *21481:A *2973:15 0.000247443 +29 *23549:A *2973:15 0.000122378 +30 *1169:89 *23396:A 8.54415e-05 +31 *1288:10 *2973:15 0.000563884 +32 *1371:34 *23396:A 0.000163414 +33 *1371:34 *2973:21 2.29454e-05 +34 *1412:11 *2973:15 3.6408e-05 +35 *2083:23 *2973:14 0.00022138 +36 *2454:79 *2973:15 0.00755786 +37 *2461:64 *2973:15 0.000355794 +38 *2468:27 *2973:15 0.000546165 +39 *2951:23 *2973:15 5.04686e-05 +40 *2962:8 *2973:18 0.000564997 +*RES +1 *22118:X *2973:14 48.8951 +2 *2973:14 *2973:15 222.01 +3 *2973:15 *2973:17 4.5 +4 *2973:17 *2973:18 56.23 +5 *2973:18 *2973:21 5.2234 +6 *2973:21 *23396:A 16.5313 +7 *2973:21 *6098:DIODE 9.24915 +*END + +*D_NET *2974 0.164568 +*CONN +*I *23636:A I *D sky130_fd_sc_hd__nand2_2 +*I *6545:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22119:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23636:A 0 +2 *6545:DIODE 0.000150956 +3 *22119:X 0.00014652 +4 *2974:12 0.000597316 +5 *2974:9 0.0128099 +6 *2974:8 0.0125101 +7 *2974:9 *2976:9 0.00269054 +8 *2974:12 *5331:DIODE 0 +9 la_data_in_core[82] *2974:12 0.00032617 +10 la_oenb_core[81] *2974:12 0 +11 mprj_adr_o_user[1] *2974:8 0 +12 *3821:DIODE *2974:12 0 +13 *1011:5 *2974:8 0.000325195 +14 *1851:11 *6545:DIODE 3.04585e-05 +15 *1862:14 *6545:DIODE 6.92705e-05 +16 *1869:11 *2974:9 0.0605338 +17 *1942:22 *2974:9 0 +18 *2317:10 *2974:9 0.00340643 +19 *2436:9 *2974:9 0.0704468 +20 *2471:8 *6545:DIODE 0 +21 *2471:8 *2974:12 0 +22 *2936:9 *2974:9 0.000524367 +*RES +1 *22119:X *2974:8 23.8184 +2 *2974:8 *2974:9 915.265 +3 *2974:9 *2974:12 14.2218 +4 *2974:12 *6545:DIODE 16.7198 +5 *2974:12 *23636:A 13.7491 +*END + +*D_NET *2975 0.186278 +*CONN +*I *6547:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23637:A I *D sky130_fd_sc_hd__nand2_2 +*I *22120:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *6547:DIODE 9.50395e-05 +2 *23637:A 0 +3 *22120:X 0.00228373 +4 *2975:54 9.50395e-05 +5 *2975:52 0.00642746 +6 *2975:39 0.0106747 +7 *2975:31 0.00798324 +8 *2975:23 0.00865631 +9 *2975:15 0.007204 +10 *6547:DIODE *2980:21 0.000299372 +11 *2975:15 *2976:8 0.000175698 +12 *2975:15 *2982:11 0.00157748 +13 *2975:15 *3005:19 0.0016466 +14 *2975:23 *2982:11 0.00266457 +15 *2975:23 *2982:26 0.00224803 +16 *2975:23 *2989:48 0.00138041 +17 *2975:23 *2992:21 0.00176285 +18 *2975:31 *2981:23 0.0116198 +19 *2975:31 *2981:31 0.00141618 +20 *2975:31 *2982:26 0.00128569 +21 *2975:39 *2981:31 0.00635945 +22 *2975:39 *2982:32 1.85963e-05 +23 *2975:39 *2990:35 0.000137573 +24 *2975:39 *3140:15 5.93953e-05 +25 *2975:39 *3173:19 0.000172612 +26 *2975:39 *3195:14 5.93953e-05 +27 *2975:39 *3228:19 5.93953e-05 +28 *2975:39 *3239:21 5.93953e-05 +29 *2975:39 *3262:20 5.93953e-05 +30 *2975:39 *3409:19 0.00179599 +31 *2975:52 *2981:31 0.0125025 +32 *2975:52 *2981:43 0.0114943 +33 *2975:52 *2982:48 0.00739489 +34 *2975:52 *2982:60 0.0109629 +35 *2975:52 *3306:21 0.000114471 +36 mprj_dat_o_user[11] *2975:15 1.32543e-05 +37 *5177:DIODE *2975:15 0 +38 *22594:A *2975:15 0.00018985 +39 *22595:A *2975:15 0 +40 *1002:7 *2975:15 0 +41 *1852:13 *6547:DIODE 0.000409746 +42 *1881:8 *6547:DIODE 6.08467e-05 +43 *1881:8 *2975:52 0.000183545 +44 *2283:18 *2975:39 0.000480542 +45 *2283:18 *2975:52 0.00217495 +46 *2353:27 *6547:DIODE 6.50727e-05 +47 *2365:44 *2975:15 4.87595e-05 +48 *2472:25 *2975:31 4.67244e-05 +49 *2472:25 *2975:39 0.0107996 +50 *2472:37 *2975:23 0.0179775 +51 *2472:37 *2975:31 0.0188374 +52 *2472:48 *2975:15 0.00587469 +53 *2472:48 *2975:23 0.000483127 +54 *2483:24 *2975:39 0.00161811 +55 *2483:32 *2975:52 0.00601586 +56 *2500:10 *2975:52 0.00024028 +57 *2501:8 *2975:52 1.15826e-05 +*RES +1 *22120:X *2975:15 37.4768 +2 *2975:15 *2975:23 25.7406 +3 *2975:23 *2975:31 25.3615 +4 *2975:31 *2975:39 25.5145 +5 *2975:39 *2975:52 47.7297 +6 *2975:52 *2975:54 4.5 +7 *2975:54 *23637:A 9.24915 +8 *2975:54 *6547:DIODE 15.7115 +*END + +*D_NET *2976 0.183203 +*CONN +*I *23638:A I *D sky130_fd_sc_hd__nand2_2 +*I *6549:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22121:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23638:A 0 +2 *6549:DIODE 0.000130037 +3 *22121:X 0.000255767 +4 *2976:12 0.000457645 +5 *2976:9 0.0107217 +6 *2976:8 0.0106499 +7 *6549:DIODE *23638:B 4.66283e-05 +8 *6549:DIODE *2983:113 6.92705e-05 +9 *6549:DIODE *3349:17 6.50727e-05 +10 *2976:12 *22831:A 0 +11 la_data_in_core[99] *2976:12 0.000263881 +12 la_oenb_core[98] *2976:12 1.47102e-05 +13 mprj_adr_o_user[12] *2976:8 0.000270443 +14 mprj_dat_o_user[11] *2976:8 0 +15 *22595:A *2976:8 0 +16 *1853:9 *6549:DIODE 3.65909e-05 +17 *1868:11 *2976:9 0.0730248 +18 *1869:11 *2976:9 5.8256e-05 +19 *1875:9 *2976:9 0.00385775 +20 *2936:9 *2976:9 0.0804144 +21 *2974:9 *2976:9 0.00269054 +22 *2975:15 *2976:8 0.000175698 +*RES +1 *22121:X *2976:8 25.8947 +2 *2976:8 *2976:9 958.524 +3 *2976:9 *2976:12 12.5608 +4 *2976:12 *6549:DIODE 17.135 +5 *2976:12 *23638:A 13.7491 +*END + +*D_NET *2977 0.187037 +*CONN +*I *6551:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23639:A I *D sky130_fd_sc_hd__nand2_2 +*I *22122:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *6551:DIODE 6.6242e-05 +2 *23639:A 0 +3 *22122:X 0.000725372 +4 *2977:37 0.00105417 +5 *2977:29 0.0243575 +6 *2977:28 0.0234179 +7 *2977:23 0.00494677 +8 *2977:8 0.00562385 +9 *6551:DIODE *2980:57 4.41159e-05 +10 *2977:8 *2978:8 6.21921e-05 +11 *2977:23 *3093:32 3.63593e-05 +12 *2977:23 *3104:9 0.000723847 +13 *2977:23 *3378:26 3.29488e-05 +14 *2977:28 *3094:22 9.84424e-06 +15 *2977:29 *3442:15 0.00017378 +16 *2977:29 *3446:11 0.0001156 +17 *2977:29 *3449:5 0.000291404 +18 *2977:37 *22963:A 0.000140757 +19 *2977:37 *2978:41 0.000625682 +20 *2977:37 *2980:57 7.95926e-06 +21 *2977:37 *3028:6 0.000142217 +22 *2977:37 *3345:14 0.000466272 +23 *4311:DIODE *2977:8 0 +24 *22596:A *2977:8 0 +25 *22597:A *2977:8 0 +26 *1004:5 *2977:8 0 +27 *1854:11 *6551:DIODE 0.000160617 +28 *2051:19 *2977:29 0.000246416 +29 *2075:27 *2977:29 0.0119266 +30 *2348:9 *2977:29 0.00010238 +31 *2349:36 *2977:29 0.00846286 +32 *2367:30 *2977:8 0 +33 *2368:38 *2977:8 0 +34 *2381:29 *2977:23 0 +35 *2383:19 *2977:23 0.000362252 +36 *2445:11 *2977:29 0.000106512 +37 *2446:45 *2977:29 0.00618201 +38 *2452:19 *2977:29 0.000740309 +39 *2453:21 *2977:23 0.000425458 +40 *2453:21 *2977:29 0.00575049 +41 *2455:9 *2977:29 0.000492358 +42 *2455:25 *2977:23 0.00730611 +43 *2455:25 *2977:29 0.00323607 +44 *2456:20 *2977:29 2.17779e-05 +45 *2462:19 *2977:29 0.00216655 +46 *2462:34 *2977:29 0.001237 +47 *2471:11 *2977:29 0.0235009 +48 *2472:37 *2977:23 0 +49 *2472:48 *2977:23 0 +50 *2474:19 *2977:29 0 +51 *2474:45 *2977:23 0.0114708 +52 *2474:45 *2977:29 0.0067679 +53 *2474:54 *2977:23 0.0148768 +54 *2483:20 *2977:29 0.0041817 +55 *2483:33 *2977:29 0 +56 *2498:14 *2977:29 0.000236173 +57 *2504:30 *2977:29 0.0139099 +58 *2508:9 *2977:29 0.00010238 +*RES +1 *22122:X *2977:8 33.2761 +2 *2977:8 *2977:23 47.2274 +3 *2977:23 *2977:28 8.66265 +4 *2977:28 *2977:29 98.7222 +5 *2977:29 *2977:37 29.9056 +6 *2977:37 *23639:A 9.24915 +7 *2977:37 *6551:DIODE 11.6364 +*END + +*D_NET *2978 0.185299 +*CONN +*I *23640:A I *D sky130_fd_sc_hd__nand2_2 +*I *6553:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22123:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23640:A 4.0497e-05 +2 *6553:DIODE 5.12145e-05 +3 *22123:X 0.000452515 +4 *2978:41 0.0015275 +5 *2978:31 0.00207275 +6 *2978:19 0.00940261 +7 *2978:17 0.00948306 +8 *2978:9 0.00451918 +9 *2978:8 0.00425428 +10 *6553:DIODE *22966:A 0 +11 *6553:DIODE *3478:413 5.72035e-05 +12 *23640:A *22966:A 0 +13 *23640:A *3478:413 5.47013e-05 +14 *2978:9 *2981:9 0.023655 +15 *2978:17 *5549:DIODE 6.50727e-05 +16 *2978:17 *23031:A 1.40089e-05 +17 *2978:17 *2980:21 1.67988e-05 +18 *2978:17 *3184:6 2.45002e-05 +19 *2978:17 *3421:13 0.000171273 +20 *2978:19 *6567:DIODE 0.000574566 +21 *2978:19 *6595:DIODE 0.000111722 +22 *2978:19 *23649:B 0.00031994 +23 *2978:19 *2980:21 0.0359288 +24 *2978:19 *2980:31 0.000150041 +25 *2978:19 *3361:27 0.00336941 +26 *2978:19 *3417:13 0.000370815 +27 *2978:31 *23648:B 5.48015e-06 +28 *2978:31 *2980:31 0.000780457 +29 *2978:31 *3478:343 0.000164829 +30 *2978:41 *5225:DIODE 6.50727e-05 +31 *2978:41 *22962:A 6.50586e-05 +32 *2978:41 *22963:A 6.50586e-05 +33 *2978:41 *23639:B 3.29488e-05 +34 *2978:41 *2980:31 0.000832483 +35 *2978:41 *2981:43 0.000537783 +36 *2978:41 *3061:23 0.0013032 +37 *2978:41 *3065:29 0.000141464 +38 *2978:41 *3139:8 3.74433e-05 +39 la_data_in_core[64] *2978:19 0.000171273 +40 la_data_in_core[91] *2978:19 0.000175485 +41 la_oenb_core[48] *2978:17 0.000364225 +42 la_oenb_core[49] *2978:19 0.000175485 +43 la_oenb_core[51] *2978:19 0.000122378 +44 la_oenb_core[53] *2978:19 0.000118166 +45 la_oenb_core[55] *2978:19 0.000122378 +46 la_oenb_core[56] *2978:19 0.000175485 +47 la_oenb_core[57] *2978:19 0.000220665 +48 la_oenb_core[58] *2978:19 0.000175485 +49 la_oenb_core[59] *2978:19 0.000324777 +50 la_oenb_core[60] *2978:19 0.000169041 +51 la_oenb_core[61] *2978:19 0.000122378 +52 la_oenb_core[63] *2978:19 0.000169041 +53 la_oenb_core[65] *2978:19 0.000122378 +54 la_oenb_core[67] *2978:19 0.000122378 +55 la_oenb_core[68] *2978:19 0.000171899 +56 la_oenb_core[69] *2978:19 0.00052643 +57 la_oenb_core[70] *2978:19 0.000122378 +58 la_oenb_core[71] *2978:19 0.000175485 +59 la_oenb_core[73] *2978:19 0.000175485 +60 la_oenb_core[74] *2978:19 0.000175485 +61 la_oenb_core[76] *2978:19 0.000381471 +62 la_oenb_core[78] *2978:19 0.000122378 +63 la_oenb_core[81] *2978:19 0.000434578 +64 la_oenb_core[82] *2978:19 0.000122378 +65 la_oenb_core[83] *2978:19 0.000175485 +66 la_oenb_core[84] *2978:19 0.000175485 +67 la_oenb_core[85] *2978:19 0.000175485 +68 la_oenb_core[86] *2978:19 0.000523665 +69 la_oenb_core[88] *2978:19 0.000381471 +70 la_oenb_core[90] *2978:19 0.000224381 +71 mprj_adr_o_user[14] *2978:8 0.000436458 +72 mprj_dat_o_user[13] *2978:8 0 +73 *3808:DIODE *2978:19 0.000175485 +74 *3809:DIODE *2978:19 0.000115934 +75 *3810:DIODE *2978:19 0.000175485 +76 *3811:DIODE *2978:19 0.000115934 +77 *3812:DIODE *2978:19 0.000171273 +78 *3814:DIODE *2978:19 0.000171273 +79 *3815:DIODE *2978:19 0.000115934 +80 *3816:DIODE *2978:19 0.000122378 +81 *3819:DIODE *2978:19 6.78596e-05 +82 *3820:DIODE *2978:19 6.64392e-05 +83 *3821:DIODE *2978:19 0.000162583 +84 *3822:DIODE *2978:19 0.000122378 +85 *3823:DIODE *2978:19 6.92705e-05 +86 *3824:DIODE *2978:19 6.92705e-05 +87 *3825:DIODE *2978:19 6.92705e-05 +88 *3831:DIODE *2978:19 0.000167625 +89 *4406:DIODE *2978:19 0.000122378 +90 *4408:DIODE *2978:19 0.000118166 +91 *4409:DIODE *2978:19 0.000122378 +92 *4410:DIODE *2978:19 0.000122378 +93 *4412:DIODE *2978:19 0.000122378 +94 *4413:DIODE *2978:19 0.000122378 +95 *4415:DIODE *2978:19 0.000122378 +96 *4416:DIODE *2978:19 0.000122378 +97 *4417:DIODE *2978:19 0.000118796 +98 *4418:DIODE *2978:19 0.000122378 +99 *4420:DIODE *2978:19 0.000122378 +100 *4421:DIODE *2978:19 0.000122378 +101 *4423:DIODE *2978:19 0.000122378 +102 *4424:DIODE *2978:19 0.000164815 +103 *4427:DIODE *2978:19 6.50586e-05 +104 *4429:DIODE *2978:19 0.000122378 +105 *5104:DIODE *2978:31 0.000107496 +106 *5106:DIODE *2978:41 0.000381471 +107 *5107:DIODE *2978:41 6.08467e-05 +108 *22597:A *2978:8 0 +109 *330:5 *2978:17 0 +110 *1004:5 *2978:8 0 +111 *1863:17 *2978:31 5.13902e-05 +112 *1866:10 *2978:19 0.000113968 +113 *1871:11 *2978:41 0 +114 *1942:22 *2978:9 0.0212443 +115 *2338:14 *2978:9 0.0483837 +116 *2364:15 *2978:41 0 +117 *2435:13 *2978:9 0.00133722 +118 *2488:31 *2978:9 0.00096631 +119 *2505:8 *2978:31 0 +120 *2977:8 *2978:8 6.21921e-05 +121 *2977:37 *2978:41 0.000625682 +*RES +1 *22123:X *2978:8 30.4624 +2 *2978:8 *2978:9 537.025 +3 *2978:9 *2978:17 23.9033 +4 *2978:17 *2978:19 388.946 +5 *2978:19 *2978:31 28.5499 +6 *2978:31 *2978:41 40.9363 +7 *2978:41 *6553:DIODE 14.9583 +8 *2978:41 *23640:A 14.9583 +*END + +*D_NET *2979 0.191249 +*CONN +*I *23641:A I *D sky130_fd_sc_hd__nand2_2 +*I *6555:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22124:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23641:A 0 +2 *6555:DIODE 0.000103713 +3 *22124:X 0.000107576 +4 *2979:12 0.000301 +5 *2979:9 0.0119439 +6 *2979:8 0.0118542 +7 *6555:DIODE *2980:59 0.000211478 +8 *6555:DIODE *3077:21 0.00021569 +9 *6555:DIODE *3478:469 0.000136534 +10 la_oenb_core[107] *2979:12 4.96921e-05 +11 mprj_adr_o_user[16] *2979:8 0 +12 mprj_dat_o_user[15] *2979:8 0.000164643 +13 *3840:DIODE *6555:DIODE 1.12605e-05 +14 *3840:DIODE *2979:12 8.12737e-05 +15 *268:5 *2979:12 6.74667e-05 +16 *1870:11 *2979:9 0.0806398 +17 *1872:9 *2979:9 0.000178097 +18 *1875:9 *2979:9 0.0787055 +19 *2496:8 *6555:DIODE 0.000162767 +20 *2496:8 *2979:12 0.000188544 +21 *2936:9 *2979:9 0.00612615 +*RES +1 *22124:X *2979:8 21.3269 +2 *2979:8 *2979:9 996.791 +3 *2979:9 *2979:12 11.315 +4 *2979:12 *6555:DIODE 19.2141 +5 *2979:12 *23641:A 13.7491 +*END + +*D_NET *2980 0.183768 +*CONN +*I *6557:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23642:A I *D sky130_fd_sc_hd__nand2_2 +*I *22125:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *6557:DIODE 0.000243018 +2 *23642:A 9.91653e-05 +3 *22125:X 0.000182808 +4 *2980:59 0.000721137 +5 *2980:57 0.00222261 +6 *2980:31 0.00264853 +7 *2980:21 0.00613621 +8 *2980:20 0.00545842 +9 *2980:15 0.00417465 +10 *2980:14 0.00433622 +11 *2980:9 0.0239433 +12 *2980:8 0.0238375 +13 *6557:DIODE *6559:DIODE 0.000107496 +14 *6557:DIODE *3077:21 0.00010792 +15 *23642:A *23643:B 6.08467e-05 +16 *2980:9 *22716:A 2.65831e-05 +17 *2980:9 *22727:A 2.65667e-05 +18 *2980:9 *22736:A 5.0715e-05 +19 *2980:9 *22755:A 0.000167076 +20 *2980:9 *22832:A 2.65667e-05 +21 *2980:9 *22972:A 2.65667e-05 +22 *2980:9 *22983:A 2.65831e-05 +23 *2980:9 *22992:A 6.53502e-05 +24 *2980:9 *23011:A 2.57847e-05 +25 *2980:9 *2985:17 0.00254334 +26 *2980:9 *2985:33 0.000813303 +27 *2980:9 *2985:45 0.0137998 +28 *2980:9 *2989:7 0.00166622 +29 *2980:9 *2989:27 0.00167371 +30 *2980:9 *2989:48 9.75579e-05 +31 *2980:9 *2998:35 0.00519526 +32 *2980:9 *2998:71 0.000514886 +33 *2980:9 *2998:75 0.0021298 +34 *2980:9 *2998:77 2.97421e-05 +35 *2980:9 *3000:8 0.00163395 +36 *2980:9 *3001:29 5.0367e-05 +37 *2980:9 *3084:7 6.04131e-05 +38 *2980:14 *22761:A 2.77078e-05 +39 *2980:14 *2983:62 8.08437e-05 +40 *2980:14 *3029:8 0 +41 *2980:14 *3405:8 0.000101503 +42 *2980:15 *2990:29 0.00676316 +43 *2980:20 *2983:74 7.48435e-05 +44 *2980:20 *3132:28 2.09468e-05 +45 *2980:20 *3140:15 0 +46 *2980:21 *5294:DIODE 6.50727e-05 +47 *2980:21 *5305:DIODE 0.000110306 +48 *2980:21 *5549:DIODE 5.31074e-05 +49 *2980:21 *5569:DIODE 6.50727e-05 +50 *2980:21 *5582:DIODE 0.000366603 +51 *2980:21 *5588:DIODE 6.50586e-05 +52 *2980:21 *6605:DIODE 0.00047703 +53 *2980:21 *22793:A 6.50586e-05 +54 *2980:21 *22823:A 6.50586e-05 +55 *2980:21 *23028:A 6.50586e-05 +56 *2980:21 *23030:A 6.50727e-05 +57 *2980:21 *23032:A 6.50586e-05 +58 *2980:21 *23035:A 6.08467e-05 +59 *2980:21 *23037:A 0.000277488 +60 *2980:21 *23039:A 6.50586e-05 +61 *2980:21 *23040:A 6.50586e-05 +62 *2980:21 *23041:A 6.36477e-05 +63 *2980:21 *23043:A 0.000171288 +64 *2980:21 *23045:A 6.08467e-05 +65 *2980:21 *23046:A 6.50586e-05 +66 *2980:21 *23052:A 6.50727e-05 +67 *2980:21 *23053:A 6.50586e-05 +68 *2980:21 *23057:A 6.50586e-05 +69 *2980:21 *23060:A 6.50586e-05 +70 *2980:21 *23062:A 0.000118166 +71 *2980:21 *23068:A 0.000158357 +72 *2980:21 *23071:A 6.50586e-05 +73 *2980:21 *23072:A 0.000317721 +74 *2980:21 *23075:A 6.50586e-05 +75 *2980:21 *23636:B 0.000419599 +76 *2980:21 *23647:A 6.08467e-05 +77 *2980:21 *23649:A 3.8519e-05 +78 *2980:21 *23649:B 0.000160617 +79 *2980:21 *23650:A 6.50727e-05 +80 *2980:21 *23661:A 0.000211823 +81 *2980:21 *23661:B 6.08467e-05 +82 *2980:21 *23665:B 0.000151585 +83 *2980:21 *23667:B 6.08467e-05 +84 *2980:21 *2988:67 1.41976e-05 +85 *2980:21 *2988:69 0.00347481 +86 *2980:21 *2988:75 7.5301e-06 +87 *2980:21 *2988:77 0.00195329 +88 *2980:21 *2988:91 0.000724385 +89 *2980:21 *2988:93 0.0024624 +90 *2980:21 *2988:120 0.000917746 +91 *2980:21 *2988:129 0.000866394 +92 *2980:21 *3137:19 0.000791476 +93 *2980:21 *3162:16 0.00172435 +94 *2980:21 *3421:13 0.000895395 +95 *2980:21 *3429:19 0.00135631 +96 *2980:21 *3434:17 0.000432613 +97 *2980:21 *3443:17 0.000772101 +98 *2980:21 *3464:23 0.000437963 +99 *2980:21 *3478:255 9.80912e-05 +100 *2980:21 *3478:343 2.7585e-05 +101 *2980:31 *22962:A 6.50586e-05 +102 *2980:31 *22963:A 6.50586e-05 +103 *2980:31 *3361:13 0.00234797 +104 *2980:31 *3361:27 1.41853e-05 +105 *2980:31 *3478:343 7.46895e-05 +106 *2980:57 *6563:DIODE 0.000122378 +107 *2980:57 *22712:A 0 +108 *2980:57 *23640:B 2.65667e-05 +109 *2980:57 *3068:11 0.000175662 +110 *2980:57 *3349:23 8.83051e-06 +111 *2980:57 *3478:422 0.000301968 +112 *2980:57 *3478:436 0.000201679 +113 *2980:57 *3478:446 0.000117292 +114 *2980:59 *22713:A 0.000118166 +115 *2980:59 *3068:11 0.000629908 +116 *2980:59 *3077:21 5.75508e-05 +117 la_oenb_core[100] *2980:31 7.97944e-05 +118 la_oenb_core[101] *2980:31 7.97944e-05 +119 la_oenb_core[103] *2980:57 0.000175485 +120 la_oenb_core[105] *2980:57 8.62625e-06 +121 la_oenb_core[46] *2980:21 7.97944e-05 +122 mprj_adr_o_user[16] *2980:8 3.66538e-05 +123 *3836:DIODE *2980:21 0.00011818 +124 *3840:DIODE *2980:59 0.000111708 +125 *4184:DIODE *2980:9 0.000126197 +126 *4362:DIODE *2980:31 4.82966e-05 +127 *4373:DIODE *2980:14 0.000129949 +128 *4400:DIODE *2980:31 5.56461e-05 +129 *4404:DIODE *2980:21 5.56461e-05 +130 *4411:DIODE *2980:31 7.48633e-05 +131 *4422:DIODE *2980:57 0.000117376 +132 *5101:DIODE *2980:21 6.50727e-05 +133 *5103:DIODE *2980:21 0.000169041 +134 *5106:DIODE *2980:31 0.000381471 +135 *5107:DIODE *2980:31 6.08467e-05 +136 *6547:DIODE *2980:21 0.000299372 +137 *6551:DIODE *2980:57 4.41159e-05 +138 *6555:DIODE *2980:59 0.000211478 +139 *21629:A *2980:9 0.00011818 +140 *21642:A *2980:9 0.00011818 +141 *21654:A *2980:9 2.65831e-05 +142 *21674:A *2980:9 2.65831e-05 +143 *21896:A *2980:9 2.65831e-05 +144 *21907:A *2980:9 0.000220733 +145 *22107:A *2980:9 5.39247e-05 +146 *22138:A *2980:9 0.00011818 +147 *22139:A *2980:9 0.00011818 +148 *22141:A *2980:9 0.00011818 +149 *22142:A *2980:9 6.50727e-05 +150 *22144:A *2980:9 0.00011818 +151 *22145:A *2980:9 0.000111722 +152 *22206:A *2980:9 2.65831e-05 +153 *22580:A *2980:21 0.000164829 +154 *22582:A *2980:21 6.50727e-05 +155 *22584:A *2980:21 6.50727e-05 +156 *22590:A *2980:9 2.16355e-05 +157 *22599:A *2980:8 0.000164377 +158 *22610:A *2980:9 2.65831e-05 +159 *22615:A *2980:9 2.65667e-05 +160 *22674:A *2980:9 2.16355e-05 +161 *22675:A *2980:9 2.65831e-05 +162 *22676:A *2980:9 2.16355e-05 +163 *282:12 *2980:9 0.000266846 +164 *292:8 *2980:9 0.000116014 +165 *316:5 *2980:14 3.58525e-05 +166 *1007:12 *2980:8 7.13807e-06 +167 *1850:11 *2980:21 0.000133668 +168 *1852:13 *2980:21 0.000426072 +169 *1854:11 *2980:57 0.000162302 +170 *1856:9 *23642:A 4.61732e-05 +171 *1862:14 *2980:21 1.65872e-05 +172 *1863:60 *23642:A 0.000127179 +173 *1864:21 *2980:21 0.000186657 +174 *1864:33 *2980:21 0.00119042 +175 *1881:8 *2980:21 0.000360957 +176 *2348:8 *2980:57 0.000187483 +177 *2353:27 *2980:21 0.000213725 +178 *2355:19 *2980:21 0.000311249 +179 *2496:8 *23642:A 8.92568e-06 +180 *2977:37 *2980:57 7.95926e-06 +181 *2978:17 *2980:21 1.67988e-05 +182 *2978:19 *2980:21 0.0359288 +183 *2978:19 *2980:31 0.000150041 +184 *2978:31 *2980:31 0.000780457 +185 *2978:41 *2980:31 0.000832483 +*RES +1 *22125:X *2980:8 22.5727 +2 *2980:8 *2980:9 412.239 +3 *2980:9 *2980:14 15.815 +4 *2980:14 *2980:15 72.8219 +5 *2980:15 *2980:20 11.6625 +6 *2980:20 *2980:21 423.886 +7 *2980:21 *2980:31 47.9612 +8 *2980:31 *2980:57 46.3479 +9 *2980:57 *2980:59 11.8155 +10 *2980:59 *23642:A 21.7985 +11 *2980:59 *6557:DIODE 14.6023 +*END + +*D_NET *2981 0.191302 +*CONN +*I *6559:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23643:A I *D sky130_fd_sc_hd__nand2_2 +*I *22126:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *6559:DIODE 0.00010426 +2 *23643:A 9.42712e-05 +3 *22126:X 0.000307753 +4 *2981:43 0.0112076 +5 *2981:31 0.0232283 +6 *2981:23 0.0161913 +7 *2981:9 0.00585342 +8 *2981:8 0.00218914 +9 *6559:DIODE *3077:21 0.000124641 +10 *6559:DIODE *3358:17 6.08467e-05 +11 *23643:A *22969:A 0 +12 *23643:A *3078:12 4.06489e-05 +13 *2981:9 *2983:9 0.0123158 +14 *2981:9 *2985:9 6.49003e-05 +15 *2981:23 *22740:A 9.04181e-05 +16 *2981:23 *2982:26 0.00945655 +17 *2981:23 *3005:27 0.00102573 +18 *2981:23 *3099:12 1.4359e-05 +19 *2981:31 *2982:26 0.00443187 +20 *2981:31 *2983:75 0.000115848 +21 *2981:31 *2989:51 0.000225839 +22 *2981:31 *2998:142 0.000959629 +23 *2981:31 *3002:29 0.000113502 +24 *2981:31 *3005:43 0.00554464 +25 *2981:31 *3005:55 0.0096017 +26 *2981:31 *3008:58 3.52829e-05 +27 *2981:31 *3140:15 6.21462e-05 +28 *2981:31 *3173:19 0.000175733 +29 *2981:31 *3195:14 6.21462e-05 +30 *2981:31 *3228:19 6.21462e-05 +31 *2981:31 *3239:21 6.21462e-05 +32 *2981:31 *3262:20 6.21462e-05 +33 *2981:31 *3306:21 0.000118107 +34 *2981:31 *3408:19 6.21462e-05 +35 *2981:31 *3409:19 0.00187625 +36 *2981:31 *3425:9 0.00012601 +37 *2981:43 *2982:60 0.00317888 +38 *2981:43 *2987:17 0.000727617 +39 *2981:43 *3005:55 0.00169378 +40 *2981:43 *3008:61 0.000668353 +41 *2981:43 *3061:23 0.000709033 +42 *2981:43 *3065:29 0.00077742 +43 *2981:43 *3180:17 0.00107351 +44 *2981:43 *3464:23 0.00183172 +45 la_data_in_core[16] *2981:23 0 +46 mprj_dat_o_user[17] *2981:8 0.000370047 +47 *4139:DIODE *2981:23 0.000133612 +48 *6557:DIODE *6559:DIODE 0.000107496 +49 *295:5 *2981:23 0.000323137 +50 *1008:5 *2981:8 9.31689e-05 +51 *1857:11 *23643:A 0.000120343 +52 *1859:16 *2981:43 0.0002891 +53 *1866:38 *2981:31 0.000716429 +54 *1874:9 *2981:9 1.96574e-05 +55 *2283:18 *2981:43 0.000429161 +56 *2338:17 *2981:8 1.92489e-05 +57 *2488:31 *2981:9 0.0043442 +58 *2507:8 *23643:A 0 +59 *2795:6 *2981:23 0 +60 *2807:8 *2981:23 2.34086e-05 +61 *2975:31 *2981:23 0.0116198 +62 *2975:31 *2981:31 0.00141618 +63 *2975:39 *2981:31 0.00635945 +64 *2975:52 *2981:31 0.0125025 +65 *2975:52 *2981:43 0.0114943 +66 *2978:9 *2981:9 0.023655 +67 *2978:41 *2981:43 0.000537783 +*RES +1 *22126:X *2981:8 27.5557 +2 *2981:8 *2981:9 247.522 +3 *2981:9 *2981:23 48.7091 +4 *2981:23 *2981:31 48.6145 +5 *2981:31 *2981:43 40.5979 +6 *2981:43 *23643:A 16.6193 +7 *2981:43 *6559:DIODE 17.2456 +*END + +*D_NET *2982 0.188257 +*CONN +*I *23644:A I *D sky130_fd_sc_hd__nand2_2 +*I *6561:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22127:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23644:A 0.000179673 +2 *6561:DIODE 0 +3 *22127:X 0.00486393 +4 *2982:60 0.00392802 +5 *2982:48 0.00469408 +6 *2982:33 0.00666035 +7 *2982:32 0.00671556 +8 *2982:26 0.00926732 +9 *2982:11 0.0131303 +10 *23644:A *23644:B 7.06733e-06 +11 *23644:A *23645:A 0 +12 *23644:A *23645:B 3.75603e-05 +13 *23644:A *3068:8 2.28389e-05 +14 *23644:A *3349:23 1.15389e-05 +15 *23644:A *3478:436 6.31117e-05 +16 *2982:11 *2989:48 0.00142238 +17 *2982:11 *2990:9 0.000101365 +18 *2982:11 *2992:12 0.000110257 +19 *2982:11 *2992:21 0.0018354 +20 *2982:11 *2998:41 0.000110257 +21 *2982:11 *2998:56 0.00205615 +22 *2982:11 *3002:9 0.000101365 +23 *2982:11 *3005:19 0.00414961 +24 *2982:11 *3005:27 0.000314542 +25 *2982:26 *23018:A 2.41701e-05 +26 *2982:26 *3005:27 0.0119682 +27 *2982:26 *3005:43 0.0106875 +28 *2982:26 *3407:6 4.32837e-05 +29 *2982:32 *3136:24 1.5714e-05 +30 *2982:33 *3441:22 0.00283194 +31 *2982:33 *3478:49 9.19632e-06 +32 *2982:48 *3464:12 5.12982e-05 +33 *2982:48 *3478:140 1.9101e-05 +34 *2982:60 *3068:8 1.86464e-05 +35 *3818:DIODE *23644:A 2.05034e-05 +36 *22601:A *2982:11 0.000123752 +37 *1855:10 *23644:A 0.000235309 +38 *1855:10 *2982:60 4.40531e-05 +39 *1859:16 *23644:A 3.64318e-05 +40 *2283:18 *2982:48 0.00741149 +41 *2283:18 *2982:60 0.0194746 +42 *2338:17 *2982:11 0 +43 *2349:17 *2982:33 5.16628e-05 +44 *2442:19 *2982:33 0.00587973 +45 *2447:15 *2982:33 0.00181608 +46 *2460:15 *2982:48 4.63742e-05 +47 *2472:25 *2982:32 0.00959827 +48 *2483:24 *2982:32 0.00964406 +49 *2483:24 *2982:33 0.00012426 +50 *2483:32 *2982:48 1.32841e-05 +51 *2488:11 *2982:33 0.00506582 +52 *2975:15 *2982:11 0.00157748 +53 *2975:23 *2982:11 0.00266457 +54 *2975:23 *2982:26 0.00224803 +55 *2975:31 *2982:26 0.00128569 +56 *2975:39 *2982:32 1.85963e-05 +57 *2975:52 *2982:48 0.00739489 +58 *2975:52 *2982:60 0.0109629 +59 *2981:23 *2982:26 0.00945655 +60 *2981:31 *2982:26 0.00443187 +61 *2981:43 *2982:60 0.00317888 +*RES +1 *22127:X *2982:11 38.1815 +2 *2982:11 *2982:26 49.4375 +3 *2982:26 *2982:32 20.8417 +4 *2982:32 *2982:33 187.625 +5 *2982:33 *2982:48 30.5562 +6 *2982:48 *2982:60 32.1348 +7 *2982:60 *6561:DIODE 13.7491 +8 *2982:60 *23644:A 19.9294 +*END + +*D_NET *2983 0.185377 +*CONN +*I *6563:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23645:A I *D sky130_fd_sc_hd__nand2_2 +*I *22128:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *6563:DIODE 5.59847e-05 +2 *23645:A 6.55636e-05 +3 *22128:X 0.000344259 +4 *2983:117 0.000550863 +5 *2983:113 0.00112765 +6 *2983:111 0.000717996 +7 *2983:109 0.00136176 +8 *2983:107 0.00151804 +9 *2983:101 0.00124395 +10 *2983:99 0.00127654 +11 *2983:93 0.00137998 +12 *2983:91 0.00226675 +13 *2983:88 0.00229346 +14 *2983:79 0.00204996 +15 *2983:77 0.000874841 +16 *2983:75 0.00291097 +17 *2983:74 0.00429156 +18 *2983:63 0.00322859 +19 *2983:62 0.00327858 +20 *2983:56 0.00196767 +21 *2983:39 0.00333168 +22 *2983:38 0.00326586 +23 *2983:27 0.00142149 +24 *2983:26 0.00121347 +25 *2983:23 0.00118112 +26 *2983:18 0.00339147 +27 *2983:14 0.00313379 +28 *2983:9 0.00150341 +29 *2983:8 0.00116447 +30 *6563:DIODE *3068:11 0.000252635 +31 *2983:9 *2985:9 0.0117912 +32 *2983:14 *2985:17 2.89131e-05 +33 *2983:18 *23077:A 9.34145e-05 +34 *2983:18 *2990:17 0.00399163 +35 *2983:18 *3200:54 0 +36 *2983:18 *3471:10 1.07248e-05 +37 *2983:23 *3002:17 0.00356364 +38 *2983:23 *3381:21 0.00123601 +39 *2983:26 *3094:22 0 +40 *2983:26 *3379:6 5.63942e-05 +41 *2983:27 *5511:DIODE 0.000113968 +42 *2983:27 *5512:DIODE 0.000381471 +43 *2983:27 *22997:A 6.50727e-05 +44 *2983:27 *23003:A 6.92705e-05 +45 *2983:27 *2986:13 0.00807966 +46 *2983:27 *2988:21 0.00202817 +47 *2983:27 *2995:39 0.00189614 +48 *2983:27 *3380:35 0.000171288 +49 *2983:27 *3393:15 0.000559437 +50 *2983:38 *22749:A 5.49916e-05 +51 *2983:38 *2987:17 0.000866278 +52 *2983:38 *2995:39 7.09666e-06 +53 *2983:38 *3007:45 0.000855643 +54 *2983:39 *2989:51 3.58044e-05 +55 *2983:39 *2990:17 0.00461606 +56 *2983:56 *5531:DIODE 2.65831e-05 +57 *2983:56 *2989:51 4.38879e-05 +58 *2983:56 *2990:28 1.31422e-05 +59 *2983:56 *3002:28 0.000189318 +60 *2983:56 *3006:18 0 +61 *2983:56 *3118:16 8.56518e-05 +62 *2983:56 *3401:15 0.000151569 +63 *2983:56 *3404:19 1.20172e-05 +64 *2983:62 *22761:A 2.1203e-06 +65 *2983:62 *2988:59 0.00141809 +66 *2983:62 *2988:61 0.000791337 +67 *2983:63 *5287:DIODE 6.50727e-05 +68 *2983:63 *2986:17 0.00425713 +69 *2983:63 *2988:61 7.6719e-06 +70 *2983:63 *2988:67 3.31745e-05 +71 *2983:63 *3162:16 0.000213725 +72 *2983:63 *3412:17 0.00240334 +73 *2983:74 *22771:A 9.69225e-05 +74 *2983:74 *23029:A 0 +75 *2983:74 *2988:67 1.65872e-05 +76 *2983:74 *2988:69 0.00206321 +77 *2983:74 *3132:28 9.12416e-06 +78 *2983:74 *3135:20 6.27031e-05 +79 *2983:75 *5553:DIODE 0.000164829 +80 *2983:75 *2989:51 0.000604272 +81 *2983:75 *2990:29 0.00032274 +82 *2983:75 *3425:9 0.00281754 +83 *2983:79 *2989:51 0.00630446 +84 *2983:79 *3429:19 0.000793442 +85 *2983:79 *3440:45 0.00109282 +86 *2983:88 *22793:A 8.69165e-05 +87 *2983:88 *2989:51 1.41689e-05 +88 *2983:88 *3157:8 9.12416e-06 +89 *2983:91 *3002:29 0.00288932 +90 *2983:91 *3002:39 1.00981e-05 +91 *2983:93 *5327:DIODE 0.00021569 +92 *2983:93 *5574:DIODE 6.08467e-05 +93 *2983:93 *5577:DIODE 6.50727e-05 +94 *2983:93 *6573:DIODE 9.97706e-05 +95 *2983:93 *6589:DIODE 6.3657e-05 +96 *2983:93 *22800:A 0.000266846 +97 *2983:93 *2989:63 0.00754767 +98 *2983:93 *2998:145 0.000867477 +99 *2983:93 *3175:9 0.000217923 +100 *2983:93 *3478:92 0.00264127 +101 *2983:93 *3478:94 0.00141344 +102 *2983:93 *3478:100 6.50586e-05 +103 *2983:93 *3478:110 0.00104411 +104 *2983:93 *3478:116 6.08467e-05 +105 *2983:93 *3478:134 0.00113401 +106 *2983:101 *5330:DIODE 6.08467e-05 +107 *2983:101 *5331:DIODE 6.50727e-05 +108 *2983:101 *5587:DIODE 6.50586e-05 +109 *2983:101 *5590:DIODE 0.000370829 +110 *2983:101 *6546:DIODE 0.00036002 +111 *2983:101 *6603:DIODE 6.36477e-05 +112 *2983:101 *3180:17 0.00325235 +113 *2983:101 *3478:162 0.00011453 +114 *2983:107 *23074:A 3.48183e-05 +115 *2983:107 *3181:14 9.81123e-06 +116 *2983:109 *5344:DIODE 0.000175467 +117 *2983:109 *5346:DIODE 1.41291e-05 +118 *2983:109 *5347:DIODE 0.000171288 +119 *2983:109 *6571:DIODE 2.20702e-05 +120 *2983:109 *6599:DIODE 0.000181642 +121 *2983:109 *6601:DIODE 0.00036437 +122 *2983:109 *22827:A 0.000118166 +123 *2983:109 *3181:14 5.0715e-05 +124 *2983:109 *3192:11 0.000417544 +125 *2983:109 *3196:15 0.000136587 +126 *2983:109 *3349:15 1.65872e-05 +127 *2983:109 *3478:237 0.00186457 +128 *2983:109 *3478:242 0.000364342 +129 *2983:109 *3478:347 1.41976e-05 +130 *2983:113 *5224:DIODE 6.50727e-05 +131 *2983:113 *5228:DIODE 4.48931e-06 +132 *2983:113 *3060:9 0.00158954 +133 *2983:113 *3065:29 0.000636092 +134 *2983:113 *3077:21 0.000142233 +135 *2983:113 *3347:11 0.00215451 +136 *2983:113 *3349:17 0.000393335 +137 *2983:113 *3478:347 1.65872e-05 +138 *2983:113 *3478:361 0.000161493 +139 *2983:113 *3478:383 0.00263884 +140 *2983:113 *3478:397 1.41689e-05 +141 *2983:117 *5228:DIODE 4.09708e-05 +142 *2983:117 *3068:11 0.00011818 +143 *2983:117 *3077:21 0.000383236 +144 la_data_in_core[37] *2983:63 0.000169041 +145 la_oenb_core[38] *2983:63 0.000118166 +146 la_oenb_core[3] *2983:14 3.48256e-05 +147 la_oenb_core[40] *2983:63 0.000122378 +148 la_oenb_core[41] *2983:63 0.000122378 +149 la_oenb_core[42] *2983:63 0.000122378 +150 la_oenb_core[43] *2983:63 0.000175485 +151 mprj_dat_o_user[19] *2983:8 0.000370047 +152 *3818:DIODE *6563:DIODE 6.08467e-05 +153 *3818:DIODE *23645:A 0.000122083 +154 *3818:DIODE *2983:117 6.50586e-05 +155 *3827:DIODE *2983:109 1.41291e-05 +156 *4250:DIODE *2983:38 1.5714e-05 +157 *4373:DIODE *2983:63 0.000122378 +158 *4384:DIODE *2983:63 0.000175485 +159 *4394:DIODE *2983:63 6.92705e-05 +160 *4395:DIODE *2983:14 5.29388e-05 +161 *4396:DIODE *2983:63 0.000171273 +162 *4397:DIODE *2983:63 0.000122378 +163 *4398:DIODE *2983:63 0.000171273 +164 *4399:DIODE *2983:63 0.000122378 +165 *4414:DIODE *2983:79 0.000118166 +166 *5105:DIODE *2983:113 5.31074e-05 +167 *6541:DIODE *2983:109 0.000208218 +168 *6549:DIODE *2983:113 6.92705e-05 +169 *23644:A *23645:A 0 +170 *321:5 *2983:14 6.93397e-05 +171 *1010:5 *2983:8 9.31689e-05 +172 *1860:8 *23645:A 0.00011439 +173 *1862:14 *2983:99 6.78364e-06 +174 *1862:14 *2983:101 0.000351208 +175 *1866:16 *2983:79 0.00346034 +176 *1866:23 *2983:88 0.00175535 +177 *1866:38 *2983:91 4.89898e-06 +178 *1867:10 *2983:14 0.000371672 +179 *1876:15 *2983:93 1.67988e-05 +180 *1877:49 *2983:93 0.00154475 +181 *1877:49 *2983:99 4.89597e-05 +182 *1877:49 *2983:101 0.00125146 +183 *1877:58 *2983:101 0.000985966 +184 *1878:9 *2983:109 0.000162991 +185 *1879:9 *2983:109 0.00396494 +186 *1881:24 *2983:109 0.00168703 +187 *2348:8 *23645:A 1.2693e-05 +188 *2357:15 *2983:109 0.000688558 +189 *2357:15 *2983:111 9.95922e-06 +190 *2357:15 *2983:113 0.000271044 +191 *2467:10 *2983:88 1.48215e-05 +192 *2762:6 *2983:26 2.55661e-06 +193 *2895:8 *2983:38 1.63131e-05 +194 *2906:6 *2983:38 5.27691e-05 +195 *2980:14 *2983:62 8.08437e-05 +196 *2980:20 *2983:74 7.48435e-05 +197 *2980:57 *6563:DIODE 0.000122378 +198 *2981:9 *2983:9 0.0123158 +199 *2981:31 *2983:75 0.000115848 +*RES +1 *22128:X *2983:8 27.9709 +2 *2983:8 *2983:9 128.837 +3 *2983:9 *2983:14 24.5353 +4 *2983:14 *2983:18 49.2053 +5 *2983:18 *2983:23 47.4364 +6 *2983:23 *2983:26 8.82351 +7 *2983:26 *2983:27 86.687 +8 *2983:27 *2983:38 21.8446 +9 *2983:38 *2983:39 50.6377 +10 *2983:39 *2983:56 28.8469 +11 *2983:56 *2983:62 35.4033 +12 *2983:62 *2983:63 72.8219 +13 *2983:63 *2983:74 44.1563 +14 *2983:74 *2983:75 50.915 +15 *2983:75 *2983:77 0.578717 +16 *2983:77 *2983:79 68.1077 +17 *2983:79 *2983:88 30.9906 +18 *2983:88 *2983:91 32.9145 +19 *2983:91 *2983:93 99.9974 +20 *2983:93 *2983:99 4.36449 +21 *2983:99 *2983:101 54.5199 +22 *2983:101 *2983:107 3.80989 +23 *2983:107 *2983:109 77.2587 +24 *2983:109 *2983:111 0.578717 +25 *2983:111 *2983:113 53.1334 +26 *2983:113 *2983:117 12.1169 +27 *2983:117 *23645:A 20.9116 +28 *2983:117 *6563:DIODE 12.191 +*END + +*D_NET *2984 0.0561788 +*CONN +*I *23397:A I *D sky130_fd_sc_hd__nand2_1 +*I *6100:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22129:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23397:A 0.000223355 +2 *6100:DIODE 4.45914e-05 +3 *22129:X 0.00152673 +4 *2984:31 0.00142365 +5 *2984:24 0.00526983 +6 *2984:23 0.00411412 +7 *2984:21 0.0123452 +8 *2984:20 0.0130353 +9 *2984:14 0.00221676 +10 *23397:A *3006:50 0.000195413 +11 *2984:14 *22758:A 2.67213e-05 +12 *2984:14 *23013:A 0.000160769 +13 *2984:14 *3001:45 0.000171273 +14 *2984:14 *3118:16 0.000346382 +15 *2984:14 *3121:47 5.04582e-05 +16 *2984:14 *3404:19 3.40841e-05 +17 *2984:20 *3427:7 0.000978027 +18 *2984:24 *3200:50 6.34365e-05 +19 *2984:24 *3206:6 0 +20 *2984:24 *3380:20 1.91391e-05 +21 la_data_in_core[32] *2984:14 7.09666e-06 +22 *6101:DIODE *23397:A 0.000158371 +23 *21579:A *2984:24 0 +24 *22420:B *2984:24 6.84443e-05 +25 *23268:A *23397:A 8.39222e-06 +26 *1379:20 *2984:24 0.000101688 +27 *1418:8 *2984:14 1.18458e-05 +28 *1768:10 *2984:24 0 +29 *2156:61 *23397:A 4.49767e-05 +30 *2156:61 *2984:31 0.000467543 +31 *2161:26 *23397:A 3.41459e-05 +32 *2161:39 *23397:A 5.481e-05 +33 *2168:24 *2984:31 0.00114313 +34 *2168:30 *6100:DIODE 6.08467e-05 +35 *2168:30 *2984:31 1.41976e-05 +36 *2439:13 *2984:21 0.00218433 +37 *2440:26 *2984:20 1.75682e-05 +38 *2463:38 *2984:21 0.000466051 +39 *2476:21 *2984:21 0.000101365 +40 *2709:8 *23397:A 4.65699e-05 +41 *2846:20 *2984:24 0 +42 *2846:37 *2984:24 0 +43 *2847:14 *2984:24 0 +44 *2850:36 *2984:24 3.23669e-05 +45 *2851:40 *2984:24 0.000199226 +46 *2855:12 *2984:24 2.1203e-06 +47 *2951:23 *2984:21 1.41689e-05 +48 *2951:27 *2984:21 0.00232235 +49 *2951:29 *2984:21 0.00637198 +*RES +1 *22129:X *2984:14 47.7327 +2 *2984:14 *2984:20 21.123 +3 *2984:20 *2984:21 212.027 +4 *2984:21 *2984:23 4.5 +5 *2984:23 *2984:24 77.8232 +6 *2984:24 *2984:31 30.7834 +7 *2984:31 *6100:DIODE 9.97254 +8 *2984:31 *23397:A 24.5687 +*END + +*D_NET *2985 0.156978 +*CONN +*I *23646:A I *D sky130_fd_sc_hd__nand2_2 +*I *6565:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22130:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23646:A 0 +2 *6565:DIODE 6.25688e-05 +3 *22130:X 0.000532027 +4 *2985:52 0.00259638 +5 *2985:45 0.0171191 +6 *2985:44 0.0148886 +7 *2985:41 0.00275855 +8 *2985:38 0.00258787 +9 *2985:33 0.000765535 +10 *2985:17 0.00149395 +11 *2985:9 0.00302509 +12 *2985:8 0.00269612 +13 *6565:DIODE *3069:37 0.000120584 +14 *2985:17 *22777:A 6.08467e-05 +15 *2985:17 *22788:A 6.50586e-05 +16 *2985:17 *3001:29 0.000517134 +17 *2985:33 *22821:A 0.000118166 +18 *2985:33 *22832:A 1.90817e-05 +19 *2985:33 *2986:13 0.00186805 +20 *2985:33 *2988:21 0.000811384 +21 *2985:33 *2998:68 0.000140307 +22 *2985:33 *2998:71 6.08467e-05 +23 *2985:33 *3001:29 0.000255141 +24 *2985:38 *22983:A 6.3609e-05 +25 *2985:38 *3368:16 6.22114e-05 +26 *2985:41 *2990:17 0.00406931 +27 *2985:44 *22741:A 0 +28 *2985:44 *22996:A 9.66954e-05 +29 *2985:44 *2995:59 5.88009e-05 +30 *2985:45 *5324:DIODE 2.02822e-05 +31 *2985:45 *5329:DIODE 1.9503e-05 +32 *2985:45 *5334:DIODE 7.98425e-06 +33 *2985:45 *5338:DIODE 1.67988e-05 +34 *2985:45 *22743:A 6.50727e-05 +35 *2985:45 *22745:A 6.50586e-05 +36 *2985:45 *22747:A 6.50586e-05 +37 *2985:45 *22749:A 0.000167076 +38 *2985:45 *22752:A 0.000324151 +39 *2985:45 *22753:A 6.50586e-05 +40 *2985:45 *22754:A 6.08467e-05 +41 *2985:45 *22756:A 6.50586e-05 +42 *2985:45 *22759:A 6.50586e-05 +43 *2985:45 *22760:A 6.50586e-05 +44 *2985:45 *22762:A 6.50586e-05 +45 *2985:45 *22765:A 6.08467e-05 +46 *2985:45 *22768:A 6.50586e-05 +47 *2985:45 *22769:A 0.00011818 +48 *2985:45 *22770:A 6.50586e-05 +49 *2985:45 *22771:A 6.50727e-05 +50 *2985:45 *22773:A 6.50727e-05 +51 *2985:45 *22774:A 6.36477e-05 +52 *2985:45 *22779:A 6.50586e-05 +53 *2985:45 *22780:A 6.50586e-05 +54 *2985:45 *22784:A 6.50727e-05 +55 *2985:45 *22785:A 6.50586e-05 +56 *2985:45 *22786:A 6.50586e-05 +57 *2985:45 *22789:A 6.50586e-05 +58 *2985:45 *22790:A 6.50586e-05 +59 *2985:45 *22791:A 6.50586e-05 +60 *2985:45 *22792:A 6.50586e-05 +61 *2985:45 *22795:A 2.75715e-05 +62 *2985:45 *22796:A 0.000157916 +63 *2985:45 *22798:A 0.000110297 +64 *2985:45 *22801:A 6.50727e-05 +65 *2985:45 *22802:A 2.15184e-05 +66 *2985:45 *22803:A 6.50586e-05 +67 *2985:45 *22805:A 6.50727e-05 +68 *2985:45 *22808:A 3.8122e-05 +69 *2985:45 *22809:A 0.000118166 +70 *2985:45 *22813:A 1.98241e-05 +71 *2985:45 *22815:A 6.50727e-05 +72 *2985:45 *22817:A 0.00011818 +73 *2985:45 *22819:A 6.50586e-05 +74 *2985:45 *22825:A 0.000113968 +75 *2985:45 *22829:A 0.000111722 +76 *2985:45 *22831:A 6.50727e-05 +77 *2985:45 *23002:A 6.50727e-05 +78 *2985:45 *23008:A 0.000113968 +79 *2985:45 *23010:A 6.08467e-05 +80 *2985:45 *23019:A 6.50586e-05 +81 *2985:45 *23021:A 6.08467e-05 +82 *2985:45 *23034:A 6.50586e-05 +83 *2985:45 *23036:A 6.50727e-05 +84 *2985:45 *23038:A 6.08467e-05 +85 *2985:45 *23047:A 6.08467e-05 +86 *2985:45 *23049:A 6.08467e-05 +87 *2985:45 *23058:A 6.50586e-05 +88 *2985:45 *23063:A 0.000118166 +89 *2985:45 *23065:A 0.000303594 +90 *2985:45 *23067:A 6.3657e-05 +91 *2985:45 *23076:A 6.50586e-05 +92 *2985:45 *2986:23 0.0295921 +93 *2985:45 *2998:77 0.00354345 +94 *2985:45 *2998:89 0.00168649 +95 *2985:45 *2998:107 0.00038051 +96 *2985:45 *2998:114 0.000165814 +97 *2985:45 *2998:130 9.02556e-05 +98 *2985:45 *3001:45 7.6719e-06 +99 *2985:45 *3001:63 0.000417907 +100 *2985:45 *3001:87 0.000407975 +101 *2985:45 *3001:107 0.000420062 +102 *2985:45 *3001:111 0.000329034 +103 *2985:45 *3001:134 0.000340724 +104 *2985:45 *3001:146 0.00020469 +105 *2985:45 *3004:26 2.37827e-05 +106 *2985:45 *3006:15 6.50727e-05 +107 *2985:45 *3007:58 8.95913e-05 +108 *2985:45 *3151:9 6.50727e-05 +109 *2985:45 *3166:23 0.000429306 +110 *2985:45 *3171:27 0.00060432 +111 *2985:45 *3177:13 0.000117815 +112 *2985:45 *3179:39 0.00068904 +113 *2985:45 *3179:41 1.53438e-05 +114 *2985:45 *3182:15 0.000161942 +115 *2985:45 *3187:21 9.01417e-05 +116 *2985:45 *3187:27 0.000751522 +117 *2985:45 *3217:7 2.65831e-05 +118 *2985:45 *3273:7 2.65831e-05 +119 *2985:45 *3317:7 4.31539e-05 +120 *2985:45 *3339:10 2.16355e-05 +121 *2985:45 *3361:45 0.000342864 +122 *2985:45 *3406:7 2.65831e-05 +123 *2985:45 *3417:13 1.65872e-05 +124 *2985:45 *3428:7 6.48838e-05 +125 *2985:45 *3439:7 2.16355e-05 +126 *2985:45 *3478:340 0.000358562 +127 *2985:52 *22711:A 6.61347e-05 +128 *2985:52 *22712:A 2.65667e-05 +129 *2985:52 *22964:A 4.87301e-05 +130 *2985:52 *3069:37 0.000170009 +131 la_data_in_core[105] *2985:52 1.8078e-05 +132 la_data_in_core[106] *2985:52 0 +133 la_data_in_core[17] *2985:44 2.85887e-05 +134 la_data_in_core[47] *2985:45 2.1941e-05 +135 la_data_in_core[48] *2985:45 1.43983e-05 +136 la_data_in_core[49] *2985:45 3.6455e-05 +137 la_data_in_core[51] *2985:45 2.20837e-05 +138 la_data_in_core[54] *2985:45 1.43848e-05 +139 la_data_in_core[55] *2985:45 2.61147e-05 +140 la_data_in_core[56] *2985:45 2.85274e-05 +141 la_data_in_core[57] *2985:45 2.20837e-05 +142 la_data_in_core[58] *2985:45 2.18161e-05 +143 la_data_in_core[59] *2985:45 3.60456e-05 +144 la_data_in_core[60] *2985:45 2.20837e-05 +145 la_data_in_core[61] *2985:45 2.20837e-05 +146 la_data_in_core[62] *2985:45 2.20837e-05 +147 la_data_in_core[66] *2985:45 1.19856e-05 +148 la_data_in_core[68] *2985:45 3.40423e-05 +149 la_data_in_core[72] *2985:45 2.85274e-05 +150 la_data_in_core[74] *2985:45 3.6455e-05 +151 la_data_in_core[75] *2985:45 1.43983e-05 +152 la_data_in_core[76] *2985:45 2.61147e-05 +153 la_data_in_core[77] *2985:45 1.43983e-05 +154 la_data_in_core[79] *2985:45 1.43848e-05 +155 la_data_in_core[80] *2985:45 2.82599e-05 +156 la_data_in_core[81] *2985:45 4.26566e-05 +157 la_data_in_core[82] *2985:45 2.85274e-05 +158 la_data_in_core[86] *2985:45 2.20702e-05 +159 la_data_in_core[87] *2985:45 3.02534e-05 +160 la_data_in_core[96] *2985:45 3.6455e-05 +161 la_data_in_core[98] *2985:45 1.34424e-05 +162 la_data_in_core[9] *2985:33 6.50206e-05 +163 la_oenb_core[104] *2985:52 6.7671e-06 +164 la_oenb_core[106] *2985:52 1.89195e-05 +165 la_oenb_core[16] *2985:44 5.20176e-05 +166 la_oenb_core[35] *2985:45 0.000630475 +167 la_oenb_core[37] *2985:45 0.000642796 +168 la_oenb_core[39] *2985:45 0.000587456 +169 la_oenb_core[3] *2985:17 0.000315077 +170 la_oenb_core[48] *2985:45 4.81849e-05 +171 la_oenb_core[50] *2985:45 3.62128e-05 +172 la_oenb_core[54] *2985:45 4.26566e-05 +173 la_oenb_core[77] *2985:45 2.42273e-05 +174 la_oenb_core[79] *2985:45 2.42138e-05 +175 la_oenb_core[80] *2985:45 3.53654e-05 +176 la_oenb_core[96] *2985:45 0.00014829 +177 mprj_adr_o_user[20] *2985:8 0 +178 mprj_dat_o_user[20] *2985:8 0 +179 *3808:DIODE *2985:45 6.50586e-05 +180 *3828:DIODE *2985:45 0.000118166 +181 *3831:DIODE *2985:45 0.000118166 +182 *3832:DIODE *2985:45 6.08467e-05 +183 *3833:DIODE *2985:45 6.50586e-05 +184 *3835:DIODE *2985:45 0.000113968 +185 *3837:DIODE *2985:45 6.50586e-05 +186 *4151:DIODE *2985:45 0.000118166 +187 *4320:DIODE *2985:8 0 +188 *4384:DIODE *2985:45 0.000171273 +189 *4392:DIODE *2985:45 6.50586e-05 +190 *4393:DIODE *2985:45 0.000113968 +191 *4398:DIODE *2985:45 6.50586e-05 +192 *4401:DIODE *2985:45 0.000118166 +193 *4424:DIODE *2985:45 0.000118166 +194 *4426:DIODE *2985:45 6.50586e-05 +195 *4432:DIODE *2985:45 6.50586e-05 +196 *4433:DIODE *2985:52 6.08467e-05 +197 *21619:A *2985:52 2.65831e-05 +198 *21621:A *2985:45 6.50727e-05 +199 *21622:A *2985:45 0.00011818 +200 *21623:A *2985:45 6.08467e-05 +201 *21624:A *2985:45 6.50727e-05 +202 *21625:A *2985:45 6.50727e-05 +203 *21626:A *2985:45 6.50727e-05 +204 *21627:A *2985:45 6.50727e-05 +205 *21628:A *2985:45 6.50727e-05 +206 *21629:A *2985:33 0.00011818 +207 *21631:A *2985:45 6.08467e-05 +208 *21633:A *2985:45 6.50727e-05 +209 *21634:A *2985:45 6.50727e-05 +210 *21637:A *2985:45 6.50727e-05 +211 *21638:A *2985:45 6.40448e-05 +212 *21639:A *2985:45 6.50727e-05 +213 *21642:A *2985:33 0.00011818 +214 *21648:A *2985:45 6.50727e-05 +215 *21650:A *2985:45 6.50727e-05 +216 *21651:A *2985:45 0.000171288 +217 *21653:A *2985:45 0.00027329 +218 *21963:A *2985:45 0.00011818 +219 *21974:A *2985:45 0.000171288 +220 *21996:A *2985:45 0.00011818 +221 *22007:A *2985:45 0.000220183 +222 *22018:A *2985:45 6.08467e-05 +223 *22040:A *2985:45 6.36477e-05 +224 *22051:A *2985:45 0.00011818 +225 *22062:A *2985:45 0.00011818 +226 *22063:A *2985:45 0.00011818 +227 *22074:A *2985:45 0.00011818 +228 *22085:A *2985:45 0.00011818 +229 *22096:A *2985:45 6.50727e-05 +230 *22118:A *2985:45 0.00011818 +231 *22129:A *2985:45 0.000113968 +232 *22140:A *2985:45 6.08467e-05 +233 *22151:A *2985:45 6.50727e-05 +234 *22173:A *2985:45 6.50727e-05 +235 *22174:A *2985:45 0.000111722 +236 *22205:A *2985:45 6.50727e-05 +237 *22208:A *2985:45 0.00011818 +238 *22210:A *2985:45 0.00011818 +239 *22211:A *2985:52 2.65831e-05 +240 *22213:A *2985:45 6.08467e-05 +241 *22214:A *2985:45 0.000114594 +242 *22215:A *2985:45 6.50727e-05 +243 *22216:A *2985:45 0.000266832 +244 *22217:A *2985:45 6.50727e-05 +245 *22219:A *2985:45 6.50727e-05 +246 *22220:A *2985:45 6.50727e-05 +247 *22221:A *2985:45 6.50727e-05 +248 *22222:A *2985:52 2.57986e-05 +249 *22224:A *2985:45 0.00011818 +250 *22225:A *2985:45 6.50727e-05 +251 *22226:A *2985:45 0.00011818 +252 *22227:A *2985:45 6.50727e-05 +253 *22228:A *2985:45 6.3657e-05 +254 *22229:A *2985:45 6.50727e-05 +255 *22230:A *2985:17 6.50727e-05 +256 *22231:A *2985:45 6.50727e-05 +257 *22232:A *2985:45 6.50727e-05 +258 *22234:A *2985:45 6.50727e-05 +259 *22239:A *2985:45 0.000426168 +260 *22240:A *2985:45 6.50727e-05 +261 *22241:A *2985:45 0.000220809 +262 *22242:A *2985:17 6.50727e-05 +263 *22581:A *2985:45 6.50586e-05 +264 *22586:A *2985:45 6.08467e-05 +265 *22589:A *2985:45 6.08467e-05 +266 *22604:A *2985:8 0.000178286 +267 *265:8 *2985:52 0.000264612 +268 *267:8 *2985:52 7.72722e-05 +269 *296:10 *2985:45 0.000328363 +270 *317:12 *2985:45 0.000525814 +271 *318:8 *2985:45 0.000277502 +272 *319:12 *2985:45 0.000426168 +273 *322:10 *2985:45 0.000423908 +274 *324:8 *2985:45 0.00043038 +275 *326:8 *2985:45 0.000377273 +276 *347:10 *2985:45 0.000587456 +277 *348:8 *2985:45 0.000307023 +278 *349:10 *2985:45 0.000534349 +279 *355:8 *2985:45 6.50727e-05 +280 *356:8 *2985:45 0.00043038 +281 *375:8 *2985:45 0.000324166 +282 *377:14 *2985:45 0.000428134 +283 *378:8 *2985:45 0.000264612 +284 *379:12 *2985:45 0.000470536 +285 *380:10 *2985:45 0.00027103 +286 *381:12 *2985:45 0.000426168 +287 *383:8 *2985:45 0.000224395 +288 *1012:8 *2985:8 0.000366636 +289 *1861:12 *6565:DIODE 4.55535e-05 +290 *1861:12 *2985:52 2.99644e-05 +291 *1863:17 *2985:45 0.000333586 +292 *1863:53 *2985:45 0.000382436 +293 *1863:53 *2985:52 0.000299064 +294 *1863:60 *2985:52 4.51248e-05 +295 *1874:9 *2985:9 0.00276934 +296 *1876:11 *2985:45 3.55644e-05 +297 *2349:17 *2985:45 1.67988e-05 +298 *2426:14 *2985:45 6.48838e-05 +299 *2459:8 *2985:52 1.2693e-05 +300 *2482:8 *2985:45 2.65831e-05 +301 *2488:34 *2985:17 0.000174628 +302 *2497:7 *2985:33 0.000371925 +303 *2500:9 *2985:45 1.15389e-05 +304 *2504:12 *2985:45 2.60185e-05 +305 *2505:7 *2985:45 2.65831e-05 +306 *2506:10 *2985:45 8.41174e-05 +307 *2795:6 *2985:44 0 +308 *2980:9 *2985:17 0.00254334 +309 *2980:9 *2985:33 0.000813303 +310 *2980:9 *2985:45 0.0137998 +311 *2981:9 *2985:9 6.49003e-05 +312 *2983:9 *2985:9 0.0117912 +313 *2983:14 *2985:17 2.89131e-05 +*RES +1 *22130:X *2985:8 31.7082 +2 *2985:8 *2985:9 124.955 +3 *2985:9 *2985:17 46.6449 +4 *2985:17 *2985:33 48.711 +5 *2985:33 *2985:38 11.6625 +6 *2985:38 *2985:41 48.4825 +7 *2985:41 *2985:44 10.8998 +8 *2985:44 *2985:45 685.382 +9 *2985:45 *2985:52 46.3128 +10 *2985:52 *6565:DIODE 15.9964 +11 *2985:52 *23646:A 13.7491 +*END + +*D_NET *2986 0.155775 +*CONN +*I *6567:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23647:A I *D sky130_fd_sc_hd__nand2_2 +*I *22131:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *6567:DIODE 0.000353181 +2 *23647:A 7.64853e-05 +3 *22131:X 0 +4 *2986:26 0.000526014 +5 *2986:23 0.0178634 +6 *2986:22 0.0179756 +7 *2986:17 0.00102224 +8 *2986:15 0.000833477 +9 *2986:13 0.00713049 +10 *2986:11 0.00721626 +11 *2986:5 0.00809363 +12 *2986:4 0.00798807 +13 *23647:A *23665:B 6.50586e-05 +14 *23647:A *3478:574 9.12416e-06 +15 *2986:5 *23655:A 1.41976e-05 +16 *2986:5 *2988:5 0.00036962 +17 *2986:5 *2991:17 0.000973105 +18 *2986:5 *2994:9 0.00249242 +19 *2986:5 *2998:23 0.00297786 +20 *2986:5 *2998:34 0.000781307 +21 *2986:11 *23655:A 3.57037e-05 +22 *2986:13 *5511:DIODE 2.15184e-05 +23 *2986:13 *23655:A 0.000307023 +24 *2986:13 *2988:21 0.000332109 +25 *2986:13 *2989:48 0.000318639 +26 *2986:13 *2991:23 0.000269163 +27 *2986:13 *2995:19 1.41689e-05 +28 *2986:13 *2995:21 0.00569034 +29 *2986:13 *2998:61 8.40058e-05 +30 *2986:13 *2998:63 0.00459991 +31 *2986:13 *3007:30 0.000309145 +32 *2986:13 *3121:47 0.000164829 +33 *2986:13 *3393:15 1.41689e-05 +34 *2986:15 *2995:19 9.95922e-06 +35 *2986:15 *3121:47 2.53145e-06 +36 *2986:17 *5279:DIODE 5.09367e-05 +37 *2986:17 *5535:DIODE 6.3657e-05 +38 *2986:17 *22763:A 6.08467e-05 +39 *2986:17 *23014:A 0.000171288 +40 *2986:17 *2988:59 0.000652796 +41 *2986:17 *2988:61 0.00181498 +42 *2986:17 *2995:19 0.000160617 +43 *2986:17 *3121:47 0.00220802 +44 *2986:17 *3405:11 0.000729691 +45 *2986:22 *22768:A 0 +46 *2986:22 *3095:10 0 +47 la_data_in_core[41] *2986:22 1.21729e-05 +48 la_oenb_core[13] *2986:13 0.000165459 +49 la_oenb_core[14] *2986:13 0.000122378 +50 la_oenb_core[15] *2986:13 0.000169041 +51 la_oenb_core[16] *2986:13 0.000169041 +52 la_oenb_core[17] *2986:13 0.000175485 +53 la_oenb_core[18] *2986:13 0.000175485 +54 la_oenb_core[19] *2986:13 0.000122378 +55 la_oenb_core[1] *2986:13 0.00041523 +56 la_oenb_core[20] *2986:13 0.000122378 +57 la_oenb_core[22] *2986:13 0.000175485 +58 la_oenb_core[24] *2986:13 0.000120967 +59 la_oenb_core[26] *2986:13 0.000175485 +60 la_oenb_core[28] *2986:13 0.000175485 +61 la_oenb_core[30] *2986:13 0.000169041 +62 la_oenb_core[31] *2986:13 0.000118166 +63 la_oenb_core[3] *2986:13 6.50586e-05 +64 la_oenb_core[40] *2986:22 2.90275e-05 +65 la_oenb_core[5] *2986:13 0.000330596 +66 la_oenb_core[6] *2986:13 0.000175485 +67 la_oenb_core[7] *2986:13 0.000122378 +68 la_oenb_core[80] *2986:26 1.76807e-05 +69 la_oenb_core[8] *2986:13 0.000171273 +70 mprj_adr_o_user[11] *2986:5 0.000118166 +71 mprj_adr_o_user[13] *2986:5 6.92705e-05 +72 mprj_adr_o_user[17] *2986:5 0.000122378 +73 mprj_adr_o_user[19] *2986:5 0.000122378 +74 mprj_adr_o_user[21] *2986:5 0.000122378 +75 mprj_adr_o_user[23] *2986:5 0.000115934 +76 mprj_adr_o_user[9] *2986:5 0.000118166 +77 mprj_dat_o_user[12] *2986:5 0.000122378 +78 mprj_dat_o_user[14] *2986:5 0.000175485 +79 mprj_dat_o_user[16] *2986:5 0.000122378 +80 mprj_dat_o_user[18] *2986:5 0.000118166 +81 mprj_dat_o_user[20] *2986:5 0.000115934 +82 mprj_dat_o_user[22] *2986:5 0.000117376 +83 mprj_dat_o_user[23] *2986:5 0.000121937 +84 mprj_dat_o_user[28] *2986:5 0.000118166 +85 mprj_dat_o_user[2] *2986:5 0.000115934 +86 mprj_dat_o_user[30] *2986:5 0.000122378 +87 mprj_dat_o_user[31] *2986:13 0.000523679 +88 mprj_dat_o_user[3] *2986:5 0.000122378 +89 mprj_dat_o_user[6] *2986:5 0.000115934 +90 mprj_dat_o_user[8] *2986:5 0.000122378 +91 mprj_sel_o_user[2] *2986:5 0.000122378 +92 *3817:DIODE *2986:13 0.000122378 +93 *3819:DIODE *23647:A 8.62625e-06 +94 *3819:DIODE *2986:26 0.000130808 +95 *3830:DIODE *2986:13 0.000122378 +96 *3842:DIODE *2986:13 0.000122378 +97 *3873:DIODE *2986:13 0.000122378 +98 *4095:DIODE *2986:13 0.000111708 +99 *4117:DIODE *2986:13 0.000122378 +100 *4128:DIODE *2986:13 0.000171273 +101 *4139:DIODE *2986:13 0.000122378 +102 *4151:DIODE *2986:13 0.000169041 +103 *4162:DIODE *2986:13 0.000118166 +104 *4173:DIODE *2986:13 0.000175485 +105 *4184:DIODE *2986:13 0.000207266 +106 *4195:DIODE *2986:13 0.000122378 +107 *4206:DIODE *2986:13 0.000115934 +108 *4217:DIODE *2986:13 6.50586e-05 +109 *4250:DIODE *2986:13 0.000115934 +110 *4262:DIODE *2986:13 0.000122378 +111 *4273:DIODE *2986:13 0.000122378 +112 *4284:DIODE *2986:13 0.000122378 +113 *4295:DIODE *2986:13 6.92705e-05 +114 *4317:DIODE *2986:13 0.000122378 +115 *4323:DIODE *2986:5 0.000118796 +116 *4324:DIODE *2986:5 6.50586e-05 +117 *4326:DIODE *2986:5 0.000171273 +118 *4327:DIODE *2986:5 0.000122378 +119 *4328:DIODE *2986:13 0.000118166 +120 *4329:DIODE *2986:5 0.000122378 +121 *4330:DIODE *2986:5 6.92705e-05 +122 *4331:DIODE *2986:5 0.000169041 +123 *4332:DIODE *2986:5 0.000115934 +124 *4396:DIODE *2986:22 0.000125263 +125 *4407:DIODE *2986:13 6.92705e-05 +126 *4419:DIODE *2986:13 0.000122378 +127 *4431:DIODE *2986:13 0.000122378 +128 *22123:A *2986:5 2.65831e-05 +129 *22125:A *2986:5 2.65831e-05 +130 *22127:A *2986:5 2.65831e-05 +131 *22130:A *2986:5 2.65831e-05 +132 *22133:A *2986:5 2.65831e-05 +133 *22150:A *2986:5 2.65831e-05 +134 *22605:A *2986:5 5.07314e-05 +135 *22662:A *2986:5 0.000115632 +136 *22671:A *2986:5 0.000423859 +137 *366:5 *2986:26 1.25047e-05 +138 *1867:10 *2986:13 6.92705e-05 +139 *1868:10 *2986:13 0.000165521 +140 *1870:10 *2986:13 0.000224381 +141 *2375:13 *2986:5 0.00414944 +142 *2375:17 *2986:5 8.90486e-05 +143 *2460:15 *23647:A 6.1449e-05 +144 *2460:15 *2986:26 0.000116986 +145 *2978:19 *6567:DIODE 0.000574566 +146 *2980:21 *23647:A 6.08467e-05 +147 *2983:27 *2986:13 0.00807966 +148 *2983:63 *2986:17 0.00425713 +149 *2985:33 *2986:13 0.00186805 +150 *2985:45 *2986:23 0.0295921 +*RES +1 *22131:X *2986:4 9.24915 +2 *2986:4 *2986:5 236.43 +3 *2986:5 *2986:11 2.43543 +4 *2986:11 *2986:13 266.379 +5 *2986:13 *2986:15 0.578717 +6 *2986:15 *2986:17 71.1581 +7 *2986:17 *2986:22 13.3235 +8 *2986:22 *2986:23 319.066 +9 *2986:23 *2986:26 7.993 +10 *2986:26 *23647:A 15.8893 +11 *2986:26 *6567:DIODE 20.0186 +*END + +*D_NET *2987 0.177215 +*CONN +*I *23648:A I *D sky130_fd_sc_hd__nand2_4 +*I *6569:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22132:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *23648:A 0 +2 *6569:DIODE 6.78197e-05 +3 *22132:X 0.000559384 +4 *2987:20 9.79855e-05 +5 *2987:17 0.0207503 +6 *2987:16 0.0212796 +7 *6569:DIODE *22829:A 0.000119049 +8 *2987:16 *2991:8 7.79473e-05 +9 *2987:16 *2998:35 0.000171861 +10 *2987:16 *3001:29 0.000464064 +11 *2987:16 *3004:9 0.00167887 +12 *2987:16 *3007:17 0.000442616 +13 *2987:16 *3007:30 0.00059058 +14 *2987:17 *3002:28 0.000485469 +15 *2987:17 *3003:9 2.39277e-06 +16 *2987:17 *3003:22 1.96411e-05 +17 *2987:17 *3004:9 0.000268863 +18 *2987:17 *3004:26 0.000399262 +19 *2987:17 *3005:19 0.00211824 +20 *2987:17 *3005:27 0.00283887 +21 *2987:17 *3005:43 0.00119174 +22 *2987:17 *3005:55 0.0021648 +23 *2987:17 *3006:15 0.000815957 +24 *2987:17 *3007:30 0.0169867 +25 *2987:17 *3007:45 0.00855919 +26 *2987:17 *3007:58 0.00507485 +27 *2987:17 *3007:70 0.00398833 +28 *2987:17 *3007:76 0.00232996 +29 *2987:17 *3008:14 0.00565117 +30 *2987:17 *3008:29 0.019142 +31 *2987:17 *3008:44 0.0188024 +32 *2987:17 *3008:58 0.0214205 +33 *2987:17 *3008:61 0.0129199 +34 *2987:17 *3065:29 0.000264746 +35 *2987:17 *3180:17 0.000174726 +36 *2987:17 *3361:27 7.71761e-05 +37 *2987:17 *3395:17 0.00336353 +38 *2987:20 *22829:A 3.63593e-05 +39 mprj_dat_o_user[22] *2987:16 1.00824e-05 +40 *3837:DIODE *6569:DIODE 2.14842e-06 +41 *3837:DIODE *2987:20 4.60221e-06 +42 *4322:DIODE *2987:16 5.97745e-05 +43 *4324:DIODE *2987:16 5.11466e-05 +44 *22134:A *2987:16 6.08467e-05 +45 *22608:A *2987:16 0 +46 *1852:17 *6569:DIODE 3.59283e-05 +47 *2364:15 *2987:17 0 +48 *2981:43 *2987:17 0.000727617 +49 *2983:38 *2987:17 0.000866278 +*RES +1 *22132:X *2987:16 34.4014 +2 *2987:16 *2987:17 115.933 +3 *2987:17 *2987:20 4.16265 +4 *2987:20 *6569:DIODE 15.9964 +5 *2987:20 *23648:A 13.7491 +*END + +*D_NET *2988 0.122087 +*CONN +*I *23649:A I *D sky130_fd_sc_hd__nand2_2 +*I *6571:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22133:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *23649:A 4.43413e-05 +2 *6571:DIODE 0.000176396 +3 *22133:X 0 +4 *2988:129 0.00185847 +5 *2988:120 0.0031612 +6 *2988:93 0.00353273 +7 *2988:91 0.00314746 +8 *2988:77 0.00296052 +9 *2988:75 0.00193552 +10 *2988:69 0.00265944 +11 *2988:67 0.00265281 +12 *2988:61 0.00214337 +13 *2988:59 0.00250687 +14 *2988:52 0.000753952 +15 *2988:33 0.00413192 +16 *2988:21 0.00849722 +17 *2988:19 0.00752444 +18 *2988:15 0.00327983 +19 *2988:5 0.00223985 +20 *2988:4 0.00183526 +21 *6571:DIODE *22819:A 1.47102e-05 +22 *6571:DIODE *3181:14 6.50586e-05 +23 *23649:A *22819:A 1.50262e-05 +24 *23649:A *23649:B 5.22654e-06 +25 *2988:5 *2991:17 0.00319772 +26 *2988:15 *2994:9 0.000876645 +27 *2988:19 *2991:23 0.00340786 +28 *2988:19 *2994:9 3.80872e-05 +29 *2988:19 *2998:63 0.000146025 +30 *2988:21 *5254:DIODE 6.08467e-05 +31 *2988:21 *5255:DIODE 6.50727e-05 +32 *2988:21 *5256:DIODE 6.50727e-05 +33 *2988:21 *5328:DIODE 6.50727e-05 +34 *2988:21 *5501:DIODE 0.000111722 +35 *2988:21 *5510:DIODE 0.0002136 +36 *2988:21 *5511:DIODE 0.000164829 +37 *2988:21 *5512:DIODE 0.000365308 +38 *2988:21 *5520:DIODE 0.00011818 +39 *2988:21 *5562:DIODE 6.50727e-05 +40 *2988:21 *22746:A 6.08467e-05 +41 *2988:21 *22777:A 0.000373061 +42 *2988:21 *22997:A 6.50727e-05 +43 *2988:21 *23044:A 0.000224395 +44 *2988:21 *23066:A 6.08467e-05 +45 *2988:21 *23077:A 6.50586e-05 +46 *2988:21 *2995:39 0.00124365 +47 *2988:21 *2995:50 0.000778574 +48 *2988:21 *2995:59 0.000154145 +49 *2988:21 *2998:63 0.00138902 +50 *2988:21 *3007:30 0.00013473 +51 *2988:21 *3104:19 0.000213739 +52 *2988:21 *3380:35 0.000171288 +53 *2988:33 *5272:DIODE 0.000113968 +54 *2988:33 *22754:A 0.000339549 +55 *2988:33 *3121:35 0.000831681 +56 *2988:52 *22756:A 1.5714e-05 +57 *2988:52 *22757:A 4.69495e-06 +58 *2988:52 *23012:A 0.000113968 +59 *2988:52 *2995:21 0.000105636 +60 *2988:52 *3121:35 0.000375027 +61 *2988:59 *5275:DIODE 0.000111722 +62 *2988:59 *5276:DIODE 6.08467e-05 +63 *2988:59 *23014:A 0.000171288 +64 *2988:59 *2995:19 0.00021569 +65 *2988:59 *2995:21 4.99897e-05 +66 *2988:59 *3118:19 0.000260388 +67 *2988:59 *3121:35 0.000629908 +68 *2988:61 *5282:DIODE 6.50727e-05 +69 *2988:61 *5285:DIODE 6.50727e-05 +70 *2988:61 *5535:DIODE 5.09367e-05 +71 *2988:61 *5539:DIODE 0.000111722 +72 *2988:61 *5542:DIODE 0.000377273 +73 *2988:61 *22763:A 6.08467e-05 +74 *2988:61 *22765:A 0.000373061 +75 *2988:61 *23026:A 6.50586e-05 +76 *2988:61 *3162:22 0.00155584 +77 *2988:61 *3405:11 0.000732487 +78 *2988:61 *3412:17 0.000827875 +79 *2988:67 *3162:16 5.51483e-06 +80 *2988:67 *3412:17 3.85049e-05 +81 *2988:69 *5294:DIODE 5.31074e-05 +82 *2988:69 *5299:DIODE 6.50727e-05 +83 *2988:69 *5305:DIODE 0.000114594 +84 *2988:69 *5554:DIODE 0.00011818 +85 *2988:69 *23028:A 6.50586e-05 +86 *2988:69 *23030:A 6.50727e-05 +87 *2988:69 *23032:A 6.50586e-05 +88 *2988:69 *23035:A 6.08467e-05 +89 *2988:69 *23037:A 0.000277488 +90 *2988:69 *23039:A 6.50586e-05 +91 *2988:69 *23040:A 6.50586e-05 +92 *2988:69 *23041:A 6.36477e-05 +93 *2988:69 *3137:19 0.000795688 +94 *2988:69 *3429:19 0.00135631 +95 *2988:69 *3434:9 0.00419975 +96 *2988:75 *3434:17 1.92336e-05 +97 *2988:77 *5312:DIODE 6.50727e-05 +98 *2988:77 *5565:DIODE 0.000111722 +99 *2988:77 *22793:A 6.50586e-05 +100 *2988:77 *23043:A 0.00015709 +101 *2988:77 *23045:A 6.08467e-05 +102 *2988:77 *23046:A 6.50586e-05 +103 *2988:77 *3158:35 0.000213739 +104 *2988:77 *3434:17 0.000383703 +105 *2988:77 *3443:17 0.000484783 +106 *2988:91 *5569:DIODE 5.31074e-05 +107 *2988:91 *22796:A 0.000213725 +108 *2988:91 *23052:A 6.50727e-05 +109 *2988:91 *23053:A 6.50586e-05 +110 *2988:91 *23661:A 0.000214624 +111 *2988:91 *23661:B 6.08467e-05 +112 *2988:91 *3443:17 0.000632091 +113 *2988:93 *5322:DIODE 6.50727e-05 +114 *2988:93 *23057:A 6.50586e-05 +115 *2988:93 *23060:A 6.50586e-05 +116 *2988:93 *23062:A 0.000118166 +117 *2988:93 *23650:A 6.50727e-05 +118 *2988:93 *3174:11 0.00134339 +119 *2988:93 *3458:9 6.50727e-05 +120 *2988:120 *5582:DIODE 0.000366603 +121 *2988:120 *5583:DIODE 0.000417464 +122 *2988:120 *23068:A 0.000158357 +123 *2988:120 *23636:B 0.000216618 +124 *2988:120 *23665:B 0.000181642 +125 *2988:120 *3458:9 0.000427249 +126 *2988:120 *3464:23 0.000676557 +127 *2988:129 *5588:DIODE 4.88955e-05 +128 *2988:129 *23071:A 6.50586e-05 +129 *2988:129 *23072:A 0.000317721 +130 *2988:129 *23667:B 6.08467e-05 +131 *2988:129 *3464:23 0.000375027 +132 la_data_in_core[29] *2988:33 0.000259474 +133 la_oenb_core[22] *2988:33 4.23338e-05 +134 la_oenb_core[28] *2988:33 0 +135 la_oenb_core[87] *23649:A 0 +136 *4217:DIODE *2988:33 0.000115313 +137 *4428:DIODE *2988:91 0.000527956 +138 *5129:DIODE *2988:5 6.50586e-05 +139 *22607:A *2988:5 6.36477e-05 +140 *22613:A *2988:15 0.000175584 +141 *22671:A *2988:5 0.00015233 +142 *22677:A *2988:15 6.31036e-06 +143 *22678:A *2988:15 6.99486e-05 +144 *23652:B *2988:19 7.48633e-05 +145 *302:7 *2988:33 6.87839e-05 +146 *309:7 *2988:33 3.4664e-05 +147 *1850:11 *6571:DIODE 1.47102e-05 +148 *1850:11 *23649:A 4.3116e-06 +149 *1850:11 *2988:129 0.000351426 +150 *1862:14 *2988:120 0.000111708 +151 *1871:11 *2988:33 0.00571949 +152 *2327:14 *2988:33 0.000517879 +153 *2467:13 *2988:33 0.000517879 +154 *2873:8 *2988:33 0.000131165 +155 *2940:10 *2988:33 0 +156 *2973:14 *2988:52 1.5714e-05 +157 *2980:21 *23649:A 3.8519e-05 +158 *2980:21 *2988:67 1.41976e-05 +159 *2980:21 *2988:69 0.00347481 +160 *2980:21 *2988:75 7.5301e-06 +161 *2980:21 *2988:77 0.00195329 +162 *2980:21 *2988:91 0.000724385 +163 *2980:21 *2988:93 0.0024624 +164 *2980:21 *2988:120 0.000917746 +165 *2980:21 *2988:129 0.000866394 +166 *2983:27 *2988:21 0.00202817 +167 *2983:62 *2988:59 0.00141809 +168 *2983:62 *2988:61 0.000791337 +169 *2983:63 *2988:61 7.6719e-06 +170 *2983:63 *2988:67 3.31745e-05 +171 *2983:74 *2988:67 1.65872e-05 +172 *2983:74 *2988:69 0.00206321 +173 *2983:109 *6571:DIODE 2.20702e-05 +174 *2985:33 *2988:21 0.000811384 +175 *2986:5 *2988:5 0.00036962 +176 *2986:13 *2988:21 0.000332109 +177 *2986:17 *2988:59 0.000652796 +178 *2986:17 *2988:61 0.00181498 +*RES +1 *22133:X *2988:4 9.24915 +2 *2988:4 *2988:5 54.5199 +3 *2988:5 *2988:15 13.9857 +4 *2988:15 *2988:19 48.998 +5 *2988:19 *2988:21 147.693 +6 *2988:21 *2988:33 49.5403 +7 *2988:33 *2988:52 26.1547 +8 *2988:52 *2988:59 27.5614 +9 *2988:59 *2988:61 79.7544 +10 *2988:61 *2988:67 3.26734 +11 *2988:67 *2988:69 119.409 +12 *2988:69 *2988:75 2.43543 +13 *2988:75 *2988:77 57.293 +14 *2988:77 *2988:91 34.9883 +15 *2988:91 *2988:93 65.612 +16 *2988:93 *2988:120 47.4381 +17 *2988:120 *2988:129 47.6293 +18 *2988:129 *6571:DIODE 16.9985 +19 *2988:129 *23649:A 15.1967 +*END + +*D_NET *2989 0.141943 +*CONN +*I *6573:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23650:A I *D sky130_fd_sc_hd__nand2_2 +*I *22134:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *6573:DIODE 1.75055e-05 +2 *23650:A 0.000118148 +3 *22134:X 0.000340475 +4 *2989:63 0.000976526 +5 *2989:62 0.00101333 +6 *2989:51 0.00520689 +7 *2989:50 0.00503443 +8 *2989:48 0.00101109 +9 *2989:27 0.00184915 +10 *2989:7 0.00117853 +11 *23650:A *23650:B 0.000143443 +12 *23650:A *3458:6 0.000104166 +13 *2989:7 *3001:29 0.000346082 +14 *2989:27 *2996:15 0.000303868 +15 *2989:27 *3001:29 0.00265307 +16 *2989:48 *22705:A 0.000355272 +17 *2989:48 *22744:A 0.0001733 +18 *2989:48 *23011:A 9.84424e-06 +19 *2989:48 *2991:23 0.000346076 +20 *2989:48 *3000:8 7.90856e-05 +21 *2989:48 *3001:29 0.00116891 +22 *2989:51 *5531:DIODE 6.08467e-05 +23 *2989:51 *5553:DIODE 0.000152878 +24 *2989:51 *2990:17 0.0215432 +25 *2989:51 *2990:29 0.0113197 +26 *2989:51 *3002:17 0.0215858 +27 *2989:51 *3002:29 0.0267419 +28 *2989:51 *3401:15 0.000128521 +29 *2989:51 *3404:19 0.000124869 +30 *2989:51 *3425:9 0.00282177 +31 *2989:62 *22797:A 5.47629e-05 +32 *2989:62 *23052:A 1.91246e-05 +33 *2989:62 *2998:142 0.000258169 +34 *2989:62 *3002:39 1.21831e-05 +35 *2989:62 *3005:55 9.36191e-05 +36 *2989:62 *3428:8 5.01835e-05 +37 *2989:62 *3444:8 4.49912e-05 +38 *2989:63 *3002:39 0.00172299 +39 *2989:63 *3002:41 0.000571094 +40 *22135:A *2989:7 6.3657e-05 +41 *22138:A *2989:27 5.07314e-05 +42 *22139:A *2989:27 5.07314e-05 +43 *22141:A *2989:27 5.07314e-05 +44 *22142:A *2989:27 6.50727e-05 +45 *22144:A *2989:27 0.00011818 +46 *22145:A *2989:48 4.31703e-05 +47 *22609:A *2989:7 6.50727e-05 +48 *22610:A *2989:27 6.50727e-05 +49 *22612:A *2989:27 0.000222149 +50 *22615:A *2989:48 6.50586e-05 +51 *22673:A *2989:7 6.50727e-05 +52 *22674:A *2989:27 6.08467e-05 +53 *22675:A *2989:27 6.50727e-05 +54 *22676:A *2989:27 6.08467e-05 +55 *22678:A *2989:27 6.50727e-05 +56 *23660:B *2989:48 3.29488e-05 +57 *260:8 *2989:48 6.50586e-05 +58 *1865:11 *23650:A 3.3239e-06 +59 *1866:23 *2989:51 0.00452647 +60 *1876:15 *6573:DIODE 0.000111722 +61 *1876:15 *2989:63 0.0045263 +62 *2806:11 *2989:48 2.15871e-05 +63 *2840:8 *2989:48 0.000177845 +64 *2975:23 *2989:48 0.00138041 +65 *2980:9 *2989:7 0.00166622 +66 *2980:9 *2989:27 0.00167371 +67 *2980:9 *2989:48 9.75579e-05 +68 *2980:21 *23650:A 6.50727e-05 +69 *2981:31 *2989:51 0.000225839 +70 *2982:11 *2989:48 0.00142238 +71 *2983:39 *2989:51 3.58044e-05 +72 *2983:56 *2989:51 4.38879e-05 +73 *2983:75 *2989:51 0.000604272 +74 *2983:79 *2989:51 0.00630446 +75 *2983:88 *2989:51 1.41689e-05 +76 *2983:93 *6573:DIODE 9.97706e-05 +77 *2983:93 *2989:63 0.00754767 +78 *2986:13 *2989:48 0.000318639 +79 *2988:93 *23650:A 6.50727e-05 +*RES +1 *22134:X *2989:7 28.1539 +2 *2989:7 *2989:27 47.9612 +3 *2989:27 *2989:48 48.6044 +4 *2989:48 *2989:50 4.5 +5 *2989:50 *2989:51 525.378 +6 *2989:51 *2989:62 18.5117 +7 *2989:62 *2989:63 81.6955 +8 *2989:63 *23650:A 22.0503 +9 *2989:63 *6573:DIODE 10.5271 +*END + +*D_NET *2990 0.100794 +*CONN +*I *6575:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23651:A I *D sky130_fd_sc_hd__nand2_8 +*I *22135:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6575:DIODE 0 +2 *23651:A 0.000212365 +3 *22135:X 0.000256554 +4 *2990:43 0.000522039 +5 *2990:35 0.00192623 +6 *2990:34 0.00168634 +7 *2990:29 0.00149836 +8 *2990:28 0.00253883 +9 *2990:17 0.00436341 +10 *2990:15 0.0033288 +11 *2990:9 0.00337748 +12 *2990:8 0.00355838 +13 *23651:A *23038:A 0 +14 *23651:A *3262:20 5.36152e-05 +15 *2990:9 *23657:A 0.00010051 +16 *2990:9 *2992:12 0.003841 +17 *2990:9 *2997:9 1.65872e-05 +18 *2990:9 *2998:41 0.000549381 +19 *2990:9 *3002:9 9.82896e-06 +20 *2990:15 *3002:9 0.000118166 +21 *2990:17 *5517:DIODE 6.92705e-05 +22 *2990:17 *5523:DIODE 0.000204502 +23 *2990:17 *6577:DIODE 6.50586e-05 +24 *2990:17 *2995:59 0.00218866 +25 *2990:17 *2998:56 0.00197976 +26 *2990:17 *3002:9 0.000624899 +27 *2990:17 *3002:15 0.000117376 +28 *2990:17 *3002:17 0.000329551 +29 *2990:17 *3386:9 0.00143124 +30 *2990:17 *3392:11 0.00226685 +31 *2990:28 *22757:A 0 +32 *2990:28 *2995:19 1.62418e-05 +33 *2990:28 *2998:88 0.000143431 +34 *2990:28 *3002:28 0.000182777 +35 *2990:28 *3003:9 0.000254239 +36 *2990:28 *3006:18 3.01137e-05 +37 *2990:28 *3116:40 0 +38 *2990:29 *5534:DIODE 5.31074e-05 +39 *2990:29 *5547:DIODE 5.31074e-05 +40 *2990:29 *3404:19 0.00193827 +41 *2990:29 *3419:9 0.00078701 +42 *2990:34 *3135:20 0 +43 *2990:34 *3173:19 5.01835e-05 +44 *2990:34 *3421:10 2.57465e-06 +45 *2990:35 *3002:29 0.00471566 +46 *2990:43 *5300:DIODE 6.50727e-05 +47 *2990:43 *3002:29 0.000563212 +48 la_oenb_core[31] *2990:28 6.23152e-05 +49 la_oenb_core[32] *2990:28 0 +50 mprj_adr_o_user[24] *2990:8 4.84017e-05 +51 mprj_dat_o_user[23] *2990:8 2.13521e-05 +52 *4306:DIODE *2990:17 0.000356273 +53 *4328:DIODE *2990:28 4.09463e-05 +54 *4339:DIODE *2990:28 0.000121665 +55 *4395:DIODE *2990:17 0.000122378 +56 *22671:A *2990:8 0 +57 *22672:A *2990:8 0.000107855 +58 *23660:B *2990:17 6.08467e-05 +59 *312:5 *2990:28 3.37259e-05 +60 *313:5 *2990:28 8.4653e-05 +61 *2364:15 *2990:28 0.000765371 +62 *2488:21 *23651:A 0 +63 *2975:39 *2990:35 0.000137573 +64 *2980:15 *2990:29 0.00676316 +65 *2982:11 *2990:9 0.000101365 +66 *2983:18 *2990:17 0.00399163 +67 *2983:39 *2990:17 0.00461606 +68 *2983:56 *2990:28 1.31422e-05 +69 *2983:75 *2990:29 0.00032274 +70 *2985:41 *2990:17 0.00406931 +71 *2989:51 *2990:17 0.0215432 +72 *2989:51 *2990:29 0.0113197 +*RES +1 *22135:X *2990:8 23.8184 +2 *2990:8 *2990:9 58.4022 +3 *2990:9 *2990:15 2.84536 +4 *2990:15 *2990:17 259.723 +5 *2990:17 *2990:28 33.3186 +6 *2990:28 *2990:29 121.627 +7 *2990:29 *2990:34 10.4167 +8 *2990:34 *2990:35 50.6377 +9 *2990:35 *2990:43 8.70487 +10 *2990:43 *23651:A 22.1574 +11 *2990:43 *6575:DIODE 9.24915 +*END + +*D_NET *2991 0.0170109 +*CONN +*I *23652:A I *D sky130_fd_sc_hd__nand2_8 +*I *6577:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22136:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *23652:A 0 +2 *6577:DIODE 0.000136762 +3 *22136:X 0.000119978 +4 *2991:23 0.000967505 +5 *2991:17 0.00155898 +6 *2991:8 0.000848216 +7 *6577:DIODE *22766:A 0.000100106 +8 *6577:DIODE *3084:8 9.66954e-05 +9 *2991:17 *2994:9 0.00096144 +10 *2991:17 *3004:9 0.00132421 +11 *2991:17 *3007:30 0.00137763 +12 *2991:23 *23655:A 0.000138238 +13 *2991:23 *2994:9 0.000122239 +14 *2991:23 *2998:61 0.000432888 +15 *2991:23 *2998:63 8.76133e-05 +16 mprj_dat_o_user[24] *2991:8 1.07248e-05 +17 mprj_dat_o_user[28] *2991:17 1.81797e-05 +18 *4324:DIODE *2991:8 4.31485e-06 +19 *4332:DIODE *2991:23 5.01835e-05 +20 *22608:A *2991:8 0 +21 *22673:A *2991:8 0 +22 *22677:A *2991:17 2.1203e-06 +23 *22680:A *2991:23 0.000111722 +24 *1868:10 *2991:23 6.87678e-05 +25 *1870:10 *2991:23 9.63981e-05 +26 *1871:10 *2991:23 3.90306e-05 +27 *2986:5 *2991:17 0.000973105 +28 *2986:13 *2991:23 0.000269163 +29 *2987:16 *2991:8 7.79473e-05 +30 *2988:5 *2991:17 0.00319772 +31 *2988:19 *2991:23 0.00340786 +32 *2989:48 *2991:23 0.000346076 +33 *2990:17 *6577:DIODE 6.50586e-05 +*RES +1 *22136:X *2991:8 20.9116 +2 *2991:8 *2991:17 49.5012 +3 *2991:17 *2991:23 45.8307 +4 *2991:23 *6577:DIODE 21.2198 +5 *2991:23 *23652:A 9.24915 +*END + +*D_NET *2992 0.0151053 +*CONN +*I *23653:A I *D sky130_fd_sc_hd__nand2_8 +*I *6579:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22137:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23653:A 0.000322247 +2 *6579:DIODE 0 +3 *22137:X 0.000267746 +4 *2992:21 0.000792486 +5 *2992:12 0.000883854 +6 *2992:8 0.000681361 +7 *23653:A *22744:A 0.000259089 +8 *2992:12 *6587:DIODE 3.29488e-05 +9 *2992:12 *2997:9 0.000825209 +10 *2992:12 *2998:41 0.00267532 +11 *2992:12 *2999:9 5.4678e-05 +12 *2992:12 *3002:9 0.000154144 +13 *2992:21 *6581:DIODE 7.94462e-05 +14 *2992:21 *22961:A 8.4653e-05 +15 *2992:21 *23654:A 1.5714e-05 +16 mprj_dat_o_user[25] *2992:8 5.22654e-06 +17 *4325:DIODE *2992:8 7.21868e-05 +18 *22674:A *2992:8 0 +19 *22680:A *2992:12 5.1493e-06 +20 *1869:10 *23653:A 7.2458e-05 +21 *2381:30 *2992:8 0 +22 *2453:24 *2992:8 0 +23 *2469:25 *23653:A 0.000150646 +24 *2469:25 *2992:21 0.000111708 +25 *2488:67 *23653:A 2.1203e-06 +26 *2488:133 *23653:A 7.39223e-06 +27 *2975:23 *2992:21 0.00176285 +28 *2982:11 *2992:12 0.000110257 +29 *2982:11 *2992:21 0.0018354 +30 *2990:9 *2992:12 0.003841 +*RES +1 *22137:X *2992:8 23.4032 +2 *2992:8 *2992:12 46.9186 +3 *2992:12 *2992:21 16.9763 +4 *2992:21 *6579:DIODE 9.24915 +5 *2992:21 *23653:A 25.651 +*END + +*D_NET *2993 0.00922627 +*CONN +*I *23654:A I *D sky130_fd_sc_hd__nand2_8 +*I *6581:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22138:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23654:A 4.11408e-05 +2 *6581:DIODE 8.13327e-05 +3 *22138:X 0.000359312 +4 *2993:11 0.000122473 +5 *2993:9 0.00153905 +6 *2993:8 0.00189836 +7 *23654:A *22961:A 1.01656e-05 +8 *2993:9 *3002:9 0.00464555 +9 *2993:9 *3411:21 0.000160617 +10 mprj_dat_o_user[26] *2993:8 5.22654e-06 +11 *4326:DIODE *2993:8 1.50057e-05 +12 *5133:DIODE *2993:9 0.000107496 +13 *5198:DIODE *2993:9 0.000107496 +14 *22675:A *2993:8 0 +15 *2383:28 *2993:8 0 +16 *2454:82 *2993:8 0 +17 *2806:11 *6581:DIODE 2.51488e-05 +18 *2806:11 *23654:A 1.27402e-05 +19 *2992:21 *6581:DIODE 7.94462e-05 +20 *2992:21 *23654:A 1.5714e-05 +*RES +1 *22138:X *2993:8 24.6489 +2 *2993:8 *2993:9 50.0831 +3 *2993:9 *2993:11 4.5 +4 *2993:11 *6581:DIODE 15.5811 +5 *2993:11 *23654:A 14.7506 +*END + +*D_NET *2994 0.00705094 +*CONN +*I *23655:A I *D sky130_fd_sc_hd__nand2_8 +*I *6583:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22139:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23655:A 0.000110727 +2 *6583:DIODE 0.000259381 +3 *22139:X 0.000397618 +4 *2994:9 0.000767727 +5 mprj_dat_o_user[27] *2994:9 5.22654e-06 +6 *4327:DIODE *2994:9 1.50057e-05 +7 *22615:A *6583:DIODE 7.82103e-05 +8 *22676:A *2994:9 0 +9 *22677:A *2994:9 1.7747e-05 +10 *22680:A *6583:DIODE 0.000239367 +11 *22680:A *23655:A 5.08751e-05 +12 *22680:A *2994:9 6.08467e-05 +13 *2388:42 *6583:DIODE 6.22114e-05 +14 *2986:5 *23655:A 1.41976e-05 +15 *2986:5 *2994:9 0.00249242 +16 *2986:11 *23655:A 3.57037e-05 +17 *2986:13 *23655:A 0.000307023 +18 *2988:15 *2994:9 0.000876645 +19 *2988:19 *2994:9 3.80872e-05 +20 *2991:17 *2994:9 0.00096144 +21 *2991:23 *23655:A 0.000138238 +22 *2991:23 *2994:9 0.000122239 +*RES +1 *22139:X *2994:9 47.2862 +2 *2994:9 *6583:DIODE 24.2337 +3 *2994:9 *23655:A 14.4335 +*END + +*D_NET *2995 0.0652385 +*CONN +*I *23398:A I *D sky130_fd_sc_hd__nand2_1 +*I *6102:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22140:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23398:A 0.000113732 +2 *6102:DIODE 0 +3 *22140:X 0.000354701 +4 *2995:90 0.000152024 +5 *2995:87 0.00312232 +6 *2995:86 0.00319865 +7 *2995:79 0.00159072 +8 *2995:76 0.00175439 +9 *2995:72 0.00159884 +10 *2995:60 0.00519428 +11 *2995:59 0.0053616 +12 *2995:50 0.00207379 +13 *2995:39 0.00128054 +14 *2995:21 0.00144568 +15 *2995:19 0.00110577 +16 *2995:19 *22758:A 6.39153e-06 +17 *2995:19 *3001:45 0.000107496 +18 *2995:19 *3001:63 0.000114727 +19 *2995:19 *3002:28 2.35827e-05 +20 *2995:21 *5269:DIODE 1.43983e-05 +21 *2995:21 *5524:DIODE 2.65831e-05 +22 *2995:21 *5527:DIODE 6.50586e-05 +23 *2995:21 *23007:A 6.50727e-05 +24 *2995:21 *23012:A 0.000113968 +25 *2995:21 *3121:35 0.00189023 +26 *2995:21 *3393:15 0.000615785 +27 *2995:21 *3397:17 0.00110144 +28 *2995:39 *5267:DIODE 6.50586e-05 +29 *2995:39 *22749:A 1.02986e-05 +30 *2995:39 *23003:A 0 +31 *2995:39 *23004:A 0.000114594 +32 *2995:39 *3391:23 0.000164762 +33 *2995:39 *3393:15 0.000565895 +34 *2995:50 *22999:A 2.20585e-05 +35 *2995:50 *23001:A 5.15415e-05 +36 *2995:50 *3104:19 7.09666e-06 +37 *2995:60 *22996:A 0.000126964 +38 *2995:60 *3029:42 1.69447e-05 +39 *2995:60 *3123:30 4.60375e-07 +40 *2995:60 *3123:32 0.000589946 +41 *2995:60 *3125:22 0.000367605 +42 *2995:60 *3382:16 0.00251397 +43 *2995:72 *3029:42 0.000419021 +44 *2995:72 *3029:46 4.58259e-05 +45 *2995:86 *3124:17 9.12416e-06 +46 *2995:87 *23401:A 0.000176727 +47 *4339:DIODE *2995:19 6.51527e-05 +48 *5886:DIODE *2995:72 0.000204248 +49 *6103:DIODE *23398:A 0.000169041 +50 *6109:DIODE *2995:87 0.000266846 +51 *304:7 *2995:39 0 +52 *1393:17 *2995:72 0.000211478 +53 *1406:8 *2995:60 0.000234976 +54 *1650:11 *2995:86 0 +55 *1886:19 *2995:60 5.01835e-05 +56 *1887:13 *2995:60 0.000103562 +57 *1896:12 *2995:72 1.24189e-05 +58 *1942:19 *2995:50 5.11322e-06 +59 *2017:13 *2995:60 3.70572e-05 +60 *2017:13 *2995:72 0.000606324 +61 *2017:18 *2995:60 0.000172025 +62 *2150:23 *2995:86 5.74984e-05 +63 *2150:26 *23398:A 0.000417464 +64 *2152:34 *2995:87 2.90905e-05 +65 *2156:41 *2995:60 0.000219925 +66 *2159:40 *2995:60 0.000168132 +67 *2168:19 *2995:60 1.78063e-05 +68 *2175:24 *2995:60 0.000610523 +69 *2397:19 *2995:79 0.00416744 +70 *2448:13 *23398:A 0.000100705 +71 *2581:6 *2995:86 6.19725e-05 +72 *2587:27 *2995:72 0.000207266 +73 *2733:8 *2995:72 0 +74 *2733:8 *2995:76 7.51323e-05 +75 *2751:23 *2995:87 0.00437131 +76 *2795:6 *2995:60 0.0008945 +77 *2829:6 *2995:50 1.36007e-05 +78 *2847:14 *23398:A 1.30666e-05 +79 *2847:14 *2995:90 2.12377e-05 +80 *2857:6 *23398:A 7.2465e-05 +81 *2857:6 *2995:90 7.50872e-05 +82 *2865:51 *2995:60 0.000484849 +83 *2870:12 *2995:72 3.65419e-06 +84 *2870:12 *2995:76 0.000299941 +85 *2870:23 *2995:72 0.000312641 +86 *2870:23 *2995:76 1.03966e-05 +87 *2895:8 *2995:39 4.49767e-05 +88 *2983:27 *2995:39 0.00189614 +89 *2983:38 *2995:39 7.09666e-06 +90 *2985:44 *2995:59 5.88009e-05 +91 *2986:13 *2995:19 1.41689e-05 +92 *2986:13 *2995:21 0.00569034 +93 *2986:15 *2995:19 9.95922e-06 +94 *2986:17 *2995:19 0.000160617 +95 *2988:21 *2995:39 0.00124365 +96 *2988:21 *2995:50 0.000778574 +97 *2988:21 *2995:59 0.000154145 +98 *2988:52 *2995:21 0.000105636 +99 *2988:59 *2995:19 0.00021569 +100 *2988:59 *2995:21 4.99897e-05 +101 *2990:17 *2995:59 0.00218866 +102 *2990:28 *2995:19 1.62418e-05 +*RES +1 *22140:X *2995:19 27.591 +2 *2995:19 *2995:21 61.1752 +3 *2995:21 *2995:39 49.2968 +4 *2995:39 *2995:50 28.9061 +5 *2995:50 *2995:59 40.2115 +6 *2995:59 *2995:60 105.022 +7 *2995:60 *2995:72 43.7158 +8 *2995:72 *2995:76 11.7303 +9 *2995:76 *2995:79 49.5917 +10 *2995:79 *2995:86 7.23578 +11 *2995:86 *2995:87 54.5199 +12 *2995:87 *2995:90 5.91674 +13 *2995:90 *6102:DIODE 13.7491 +14 *2995:90 *23398:A 19.7715 +*END + +*D_NET *2996 0.00393377 +*CONN +*I *23656:A I *D sky130_fd_sc_hd__nand2_8 +*I *6585:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22141:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23656:A 7.95864e-05 +2 *6585:DIODE 0 +3 *22141:X 0.000829704 +4 *2996:15 0.00090929 +5 *2996:15 *2997:9 0.000244189 +6 *2996:15 *3001:29 0.000727432 +7 mprj_dat_o_user[29] *2996:15 3.9897e-05 +8 *4330:DIODE *2996:15 8.24443e-06 +9 *22612:A *2996:15 0.000222149 +10 *2385:44 *2996:15 0 +11 *2386:38 *2996:15 7.62009e-05 +12 *2449:9 *23656:A 0.000222149 +13 *2449:9 *2996:15 0.000271058 +14 *2989:27 *2996:15 0.000303868 +*RES +1 *22141:X *2996:15 42.6565 +2 *2996:15 *6585:DIODE 9.24915 +3 *2996:15 *23656:A 12.2151 +*END + +*D_NET *2997 0.00377344 +*CONN +*I *23657:A I *D sky130_fd_sc_hd__nand2_8 +*I *6587:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22142:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23657:A 6.49391e-05 +2 *6587:DIODE 0.000144982 +3 *22142:X 0.000376855 +4 *2997:9 0.000586776 +5 *6587:DIODE *2999:9 7.70944e-06 +6 *23657:A *3002:9 0.000237472 +7 *2997:9 *3002:9 0.00087607 +8 *4330:DIODE *2997:9 2.00098e-05 +9 *5198:DIODE *6587:DIODE 5.88009e-05 +10 *22680:A *6587:DIODE 0.000115313 +11 *2469:25 *6587:DIODE 6.50727e-05 +12 *2990:9 *23657:A 0.00010051 +13 *2990:9 *2997:9 1.65872e-05 +14 *2992:12 *6587:DIODE 3.29488e-05 +15 *2992:12 *2997:9 0.000825209 +16 *2996:15 *2997:9 0.000244189 +*RES +1 *22142:X *2997:9 33.4155 +2 *2997:9 *6587:DIODE 21.635 +3 *2997:9 *23657:A 12.191 +*END + +*D_NET *2998 0.1471 +*CONN +*I *6589:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23658:A I *D sky130_fd_sc_hd__nand2_2 +*I *22143:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *6589:DIODE 8.17369e-06 +2 *23658:A 0.000176823 +3 *22143:X 3.5247e-05 +4 *2998:145 0.0004015 +5 *2998:142 0.00206949 +6 *2998:130 0.00416975 +7 *2998:114 0.00467798 +8 *2998:107 0.00370651 +9 *2998:89 0.00240368 +10 *2998:88 0.00159103 +11 *2998:77 0.00223045 +12 *2998:75 0.00209321 +13 *2998:71 0.00107666 +14 *2998:68 0.000814174 +15 *2998:63 0.000746713 +16 *2998:61 0.000716388 +17 *2998:56 0.00188873 +18 *2998:41 0.00233228 +19 *2998:40 0.000898523 +20 *2998:35 0.00207009 +21 *2998:34 0.00207272 +22 *2998:23 0.0011822 +23 *2998:10 0.00343419 +24 *2998:5 0.00264225 +25 *6589:DIODE *3478:92 5.09367e-05 +26 *23658:A *23054:A 6.61829e-06 +27 *23658:A *3478:597 5.16277e-05 +28 *2998:10 *3001:11 0.000993302 +29 *2998:23 *3008:14 0.000154145 +30 *2998:35 *3001:27 3.10962e-05 +31 *2998:35 *3001:29 0.00102199 +32 *2998:41 *3002:9 0.0045484 +33 *2998:56 *23000:A 0.000100598 +34 *2998:56 *3005:19 0.00190454 +35 *2998:63 *23044:A 0.000224395 +36 *2998:63 *23066:A 6.08467e-05 +37 *2998:63 *23077:A 6.50586e-05 +38 *2998:71 *22716:A 6.50727e-05 +39 *2998:71 *22727:A 6.50586e-05 +40 *2998:71 *22736:A 0.000118166 +41 *2998:71 *22832:A 6.50586e-05 +42 *2998:71 *22972:A 6.50586e-05 +43 *2998:71 *22983:A 6.50727e-05 +44 *2998:71 *22992:A 0.000161262 +45 *2998:71 *3001:29 0.00366437 +46 *2998:75 *22740:A 6.50586e-05 +47 *2998:75 *3001:29 0.000322947 +48 *2998:77 *22743:A 6.50727e-05 +49 *2998:77 *22745:A 6.50586e-05 +50 *2998:77 *22747:A 6.50586e-05 +51 *2998:77 *22749:A 0.000167076 +52 *2998:77 *22752:A 0.000324151 +53 *2998:77 *22753:A 6.50586e-05 +54 *2998:77 *22754:A 6.50727e-05 +55 *2998:77 *22756:A 6.50586e-05 +56 *2998:77 *23002:A 6.50727e-05 +57 *2998:77 *23008:A 0.000113968 +58 *2998:77 *23010:A 6.08467e-05 +59 *2998:77 *3001:29 0.00444954 +60 *2998:77 *3001:43 0.00165536 +61 *2998:77 *3001:45 0.00505458 +62 *2998:88 *22758:A 5.48015e-06 +63 *2998:88 *3003:9 0.00212021 +64 *2998:88 *3007:45 2.35827e-05 +65 *2998:89 *22762:A 6.50586e-05 +66 *2998:89 *22765:A 6.50727e-05 +67 *2998:89 *22768:A 6.50586e-05 +68 *2998:89 *22769:A 0.00011818 +69 *2998:89 *22770:A 6.50586e-05 +70 *2998:89 *22771:A 6.50727e-05 +71 *2998:89 *23019:A 6.50586e-05 +72 *2998:89 *23021:A 6.50727e-05 +73 *2998:89 *3001:65 0.00792967 +74 *2998:89 *3151:9 1.41976e-05 +75 *2998:107 *22773:A 6.50727e-05 +76 *2998:107 *3151:9 4.14532e-05 +77 *2998:114 *22781:A 6.22259e-05 +78 *2998:114 *3001:87 0.000597521 +79 *2998:114 *3001:107 1.67988e-05 +80 *2998:114 *3217:7 2.65831e-05 +81 *2998:130 *22786:A 1.58588e-05 +82 *2998:130 *3001:111 0.000469791 +83 *2998:130 *3003:9 0.0091443 +84 *2998:130 *3384:6 0.000256807 +85 *2998:142 *22798:A 7.44425e-06 +86 *2998:142 *23053:A 5.35941e-05 +87 *2998:142 *3005:55 0.00020378 +88 *2998:142 *3444:8 3.29488e-05 +89 *2998:142 *3445:16 9.12416e-06 +90 *2998:145 *6596:DIODE 0.000364298 +91 *2998:145 *3478:92 0.000110306 +92 la_data_in_core[32] *2998:88 0 +93 la_data_in_core[46] *2998:107 5.07314e-05 +94 la_data_in_core[47] *2998:107 0.000165459 +95 la_data_in_core[48] *2998:107 6.92705e-05 +96 la_data_in_core[49] *2998:114 0.000169041 +97 la_data_in_core[51] *2998:114 0.000169041 +98 la_data_in_core[53] *2998:114 0.000128765 +99 la_data_in_core[59] *2998:130 9.4385e-05 +100 la_oenb_core[34] *2998:88 7.57527e-05 +101 la_oenb_core[48] *2998:114 0.000222149 +102 la_oenb_core[50] *2998:114 0.000222149 +103 la_oenb_core[52] *2998:114 0.000222149 +104 la_oenb_core[58] *2998:130 0 +105 la_oenb_core[64] *2998:130 9.71543e-06 +106 la_oenb_core[8] *2998:68 2.19921e-05 +107 mprj_adr_o_user[13] *2998:34 0.000162015 +108 mprj_adr_o_user[23] *2998:40 0.000148632 +109 mprj_adr_o_user[3] *2998:10 6.92705e-05 +110 mprj_adr_o_user[4] *2998:10 0.000122378 +111 mprj_adr_o_user[5] *2998:10 0.000115934 +112 mprj_adr_o_user[6] *2998:10 0.000441022 +113 mprj_adr_o_user[7] *2998:10 0.000122378 +114 mprj_dat_o_user[13] *2998:35 0.000580998 +115 mprj_dat_o_user[15] *2998:35 0.000187335 +116 mprj_dat_o_user[17] *2998:35 0.000213934 +117 mprj_dat_o_user[4] *2998:10 6.92705e-05 +118 *4151:DIODE *2998:77 0.000102003 +119 *4184:DIODE *2998:61 0.000211464 +120 *4306:DIODE *2998:56 1.68432e-05 +121 *4310:DIODE *2998:34 0.000170436 +122 *4312:DIODE *2998:35 5.0715e-05 +123 *4314:DIODE *2998:35 5.0715e-05 +124 *4316:DIODE *2998:35 4.58003e-05 +125 *4320:DIODE *2998:35 0.000111708 +126 *4322:DIODE *2998:40 0 +127 *4323:DIODE *2998:40 0 +128 *4338:DIODE *2998:10 1.77537e-06 +129 *4339:DIODE *2998:88 2.77564e-05 +130 *4384:DIODE *2998:89 0.00015511 +131 *4392:DIODE *2998:89 4.88955e-05 +132 *4393:DIODE *2998:89 9.97706e-05 +133 *4398:DIODE *2998:89 4.88955e-05 +134 *4401:DIODE *2998:89 0.000102003 +135 *4412:DIODE *2998:114 1.05934e-05 +136 *4417:DIODE *2998:130 4.99151e-05 +137 *5140:DIODE *2998:23 0.000192862 +138 *5141:DIODE *2998:23 0.000115042 +139 *21654:A *2998:71 6.50727e-05 +140 *21674:A *2998:71 6.50727e-05 +141 *21896:A *2998:71 6.50727e-05 +142 *21907:A *2998:71 8.8264e-05 +143 *21918:A *2998:75 6.50727e-05 +144 *21940:A *2998:75 6.50727e-05 +145 *21963:A *2998:77 0.00011818 +146 *21974:A *2998:77 0.000171288 +147 *21996:A *2998:77 0.00011818 +148 *22007:A *2998:77 0.000220183 +149 *22018:A *2998:77 6.08467e-05 +150 *22040:A *2998:77 6.36477e-05 +151 *22051:A *2998:77 0.00011818 +152 *22063:A *2998:77 0.00011818 +153 *22074:A *2998:77 0.00011818 +154 *22085:A *2998:77 0.00011818 +155 *22096:A *2998:77 6.50727e-05 +156 *22118:A *2998:77 0.00011818 +157 *22129:A *2998:77 0.000113968 +158 *22134:A *2998:35 0.00011818 +159 *22146:A *2998:10 2.93863e-05 +160 *22174:A *2998:89 0.000111722 +161 *22205:A *2998:89 6.50727e-05 +162 *22208:A *2998:89 0.00011818 +163 *22210:A *2998:89 0.00011818 +164 *22213:A *2998:89 6.08467e-05 +165 *22214:A *2998:107 0.000114594 +166 *22215:A *2998:107 1.43983e-05 +167 *22216:A *2998:107 7.48797e-05 +168 *22216:A *2998:114 1.777e-05 +169 *22217:A *2998:114 1.43983e-05 +170 *22219:A *2998:114 1.43983e-05 +171 *22228:A *2998:130 2.57986e-05 +172 *22590:A *2998:71 6.08467e-05 +173 *22594:A *2998:23 0.000283098 +174 *22595:A *2998:34 7.48114e-05 +175 *22599:A *2998:35 6.50727e-05 +176 *22604:A *2998:35 6.08467e-05 +177 *22607:A *2998:40 0 +178 *22617:A *2998:10 1.43983e-05 +179 *22622:A *2998:10 0.000144546 +180 *22661:A *2998:35 6.50727e-05 +181 *22667:A *2998:35 6.08467e-05 +182 *22677:A *2998:56 1.81797e-05 +183 *23652:B *2998:63 0.000171273 +184 *282:12 *2998:71 0.000115615 +185 *292:8 *2998:71 5.36612e-05 +186 *294:8 *2998:75 0.000479276 +187 *296:10 *2998:77 0.000328363 +188 *313:5 *2998:88 4.44699e-05 +189 *317:12 *2998:89 0.000528596 +190 *318:8 *2998:89 0.0002817 +191 *319:12 *2998:89 0.000430366 +192 *322:10 *2998:89 0.000423908 +193 *324:8 *2998:89 0.00042812 +194 *326:8 *2998:89 0.000381471 +195 *336:5 *2998:114 7.15882e-06 +196 *341:5 *2998:130 4.44699e-05 +197 *1004:5 *2998:34 0 +198 *1005:8 *2998:35 0.000129801 +199 *1007:12 *2998:35 0.000200403 +200 *1009:12 *2998:35 0.000178868 +201 *1012:8 *2998:35 0.000224395 +202 *1029:5 *2998:10 3.69003e-05 +203 *1866:23 *2998:142 0.00248378 +204 *1866:38 *23658:A 5.01835e-05 +205 *1872:8 *2998:56 1.91246e-05 +206 *1873:9 *23658:A 5.6437e-05 +207 *2338:11 *2998:142 0 +208 *2364:15 *2998:88 0.000816734 +209 *2364:15 *2998:130 0.00369388 +210 *2385:44 *2998:56 1.49935e-05 +211 *2467:10 *2998:130 0.000321922 +212 *2980:9 *2998:35 0.00519526 +213 *2980:9 *2998:71 0.000514886 +214 *2980:9 *2998:75 0.0021298 +215 *2980:9 *2998:77 2.97421e-05 +216 *2981:31 *2998:142 0.000959629 +217 *2982:11 *2998:41 0.000110257 +218 *2982:11 *2998:56 0.00205615 +219 *2983:93 *6589:DIODE 6.3657e-05 +220 *2983:93 *2998:145 0.000867477 +221 *2985:33 *2998:68 0.000140307 +222 *2985:33 *2998:71 6.08467e-05 +223 *2985:45 *2998:77 0.00354345 +224 *2985:45 *2998:89 0.00168649 +225 *2985:45 *2998:107 0.00038051 +226 *2985:45 *2998:114 0.000165814 +227 *2985:45 *2998:130 9.02556e-05 +228 *2986:5 *2998:23 0.00297786 +229 *2986:5 *2998:34 0.000781307 +230 *2986:13 *2998:61 8.40058e-05 +231 *2986:13 *2998:63 0.00459991 +232 *2987:16 *2998:35 0.000171861 +233 *2988:19 *2998:63 0.000146025 +234 *2988:21 *2998:63 0.00138902 +235 *2989:62 *2998:142 0.000258169 +236 *2990:9 *2998:41 0.000549381 +237 *2990:17 *2998:56 0.00197976 +238 *2990:28 *2998:88 0.000143431 +239 *2991:23 *2998:61 0.000432888 +240 *2991:23 *2998:63 8.76133e-05 +241 *2992:12 *2998:41 0.00267532 +*RES +1 *22143:X *2998:5 10.2378 +2 *2998:5 *2998:10 48.9266 +3 *2998:10 *2998:23 42.7918 +4 *2998:23 *2998:34 22.2999 +5 *2998:34 *2998:35 81.6955 +6 *2998:35 *2998:40 16.2303 +7 *2998:40 *2998:41 48.4193 +8 *2998:41 *2998:56 48.5979 +9 *2998:56 *2998:61 9.96164 +10 *2998:61 *2998:63 49.2512 +11 *2998:63 *2998:68 12.0778 +12 *2998:68 *2998:71 41.0889 +13 *2998:71 *2998:75 23.8962 +14 *2998:75 *2998:77 126.064 +15 *2998:77 *2998:88 21.7898 +16 *2998:88 *2998:89 86.687 +17 *2998:89 *2998:107 28.3812 +18 *2998:107 *2998:114 47.6509 +19 *2998:114 *2998:130 47.4007 +20 *2998:130 *2998:142 45.804 +21 *2998:142 *2998:145 14.0971 +22 *2998:145 *23658:A 22.1574 +23 *2998:145 *6589:DIODE 9.97254 +*END + +*D_NET *2999 0.00388149 +*CONN +*I *23659:A I *D sky130_fd_sc_hd__nand2_8 +*I *6591:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22144:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23659:A 1.83941e-05 +2 *6591:DIODE 0 +3 *22144:X 0.000676615 +4 *2999:9 0.000695009 +5 *23659:A *3104:9 0.000208032 +6 *2999:9 *3104:9 0.000370629 +7 mprj_dat_o_user[30] *2999:9 0.000146854 +8 *5198:DIODE *2999:9 8.23644e-05 +9 *6587:DIODE *2999:9 7.70944e-06 +10 *22680:A *2999:9 1.72105e-05 +11 *1871:10 *2999:9 0.000645741 +12 *1883:35 *23659:A 0.000223615 +13 *1883:35 *2999:9 0.000366417 +14 *2457:44 *2999:9 0 +15 *2461:74 *2999:9 0.000368227 +16 *2992:12 *2999:9 5.4678e-05 +*RES +1 *22144:X *2999:9 39.9117 +2 *2999:9 *6591:DIODE 9.24915 +3 *2999:9 *23659:A 11.6364 +*END + +*D_NET *3000 0.00495742 +*CONN +*I *23660:A I *D sky130_fd_sc_hd__nand2_8 +*I *6593:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22145:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *23660:A 0 +2 *6593:DIODE 0.000331436 +3 *22145:X 0.000615151 +4 *3000:8 0.000946587 +5 *6593:DIODE *23000:A 0 +6 *3000:8 *22705:A 0.000311235 +7 *3000:8 *22961:A 6.08467e-05 +8 *3000:8 *23000:A 0 +9 *3000:8 *3001:29 1.31867e-05 +10 *3000:8 *3008:29 0.0001212 +11 la_data_in_core[2] *3000:8 1.84131e-05 +12 la_oenb_core[1] *3000:8 2.93502e-05 +13 *4184:DIODE *3000:8 6.50586e-05 +14 *23653:B *3000:8 0 +15 *260:8 *3000:8 0.000324166 +16 *299:12 *3000:8 0.000158371 +17 *2444:17 *6593:DIODE 6.3657e-05 +18 *2488:67 *6593:DIODE 0 +19 *2840:7 *3000:8 0.000185726 +20 *2980:9 *3000:8 0.00163395 +21 *2989:48 *3000:8 7.90856e-05 +*RES +1 *22145:X *3000:8 38.8955 +2 *3000:8 *6593:DIODE 19.2113 +3 *3000:8 *23660:A 13.7491 +*END + +*D_NET *3001 0.127591 +*CONN +*I *6595:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23661:A I *D sky130_fd_sc_hd__nand2_4 +*I *22146:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *6595:DIODE 7.65268e-05 +2 *23661:A 0.000106847 +3 *22146:X 0.000817357 +4 *3001:146 0.00114096 +5 *3001:134 0.00240933 +6 *3001:111 0.00424525 +7 *3001:107 0.00464097 +8 *3001:87 0.00507869 +9 *3001:65 0.00570199 +10 *3001:63 0.00331845 +11 *3001:45 0.00222625 +12 *3001:43 0.0023412 +13 *3001:29 0.0114382 +14 *3001:27 0.0119488 +15 *3001:11 0.00229058 +16 *23661:A *22798:A 5.88009e-05 +17 *23661:A *23053:A 1.05272e-06 +18 *3001:27 *3007:17 1.00981e-05 +19 *3001:29 *22740:A 2.65667e-05 +20 *3001:29 *22755:A 1.19721e-05 +21 *3001:29 *22777:A 2.65831e-05 +22 *3001:29 *22788:A 2.65667e-05 +23 *3001:29 *22961:A 2.16355e-05 +24 *3001:29 *23011:A 2.57847e-05 +25 *3001:29 *3084:7 0.000319954 +26 *3001:63 *22759:A 2.65667e-05 +27 *3001:63 *22760:A 2.65667e-05 +28 *3001:63 *3006:15 2.65831e-05 +29 *3001:65 *3040:7 6.08467e-05 +30 *3001:65 *3095:10 0.000324166 +31 *3001:87 *22779:A 1.58588e-05 +32 *3001:87 *22780:A 6.50586e-05 +33 *3001:87 *3151:10 4.15661e-05 +34 *3001:107 *22784:A 1.43983e-05 +35 *3001:107 *23036:A 6.50727e-05 +36 *3001:107 *23038:A 1.00981e-05 +37 *3001:107 *3273:7 2.65831e-05 +38 *3001:111 *3007:58 0.000455564 +39 *3001:111 *3317:7 4.31539e-05 +40 *3001:111 *3339:10 2.16355e-05 +41 *3001:134 *22792:A 6.50586e-05 +42 *3001:134 *22795:A 0.000211478 +43 *3001:134 *23047:A 3.57324e-05 +44 *3001:134 *23049:A 6.50727e-05 +45 *3001:134 *3373:7 6.50727e-05 +46 *3001:134 *3406:8 1.24189e-05 +47 *3001:146 *22795:A 0.000107496 +48 *3001:146 *22796:A 0.000157916 +49 *3001:146 *22798:A 5.51709e-05 +50 *3001:146 *3417:13 0.000317707 +51 *3001:146 *3428:7 9.14669e-05 +52 la_data_in_core[0] *3001:29 6.08467e-05 +53 la_data_in_core[10] *3001:29 0.000111722 +54 la_data_in_core[11] *3001:29 0.000115934 +55 la_data_in_core[12] *3001:29 0.000171273 +56 la_data_in_core[13] *3001:29 6.3657e-05 +57 la_data_in_core[14] *3001:29 6.50727e-05 +58 la_data_in_core[15] *3001:29 0.00011818 +59 la_data_in_core[16] *3001:29 0.000164843 +60 la_data_in_core[17] *3001:29 0.00011818 +61 la_data_in_core[18] *3001:29 0.000164829 +62 la_data_in_core[19] *3001:29 0.00011818 +63 la_data_in_core[1] *3001:29 0.000164829 +64 la_data_in_core[20] *3001:29 0.000164843 +65 la_data_in_core[21] *3001:29 0.000111722 +66 la_data_in_core[22] *3001:29 0.000164843 +67 la_data_in_core[24] *3001:43 0.00011818 +68 la_data_in_core[26] *3001:45 0.000164829 +69 la_data_in_core[27] *3001:45 6.08467e-05 +70 la_data_in_core[28] *3001:45 0.000164843 +71 la_data_in_core[29] *3001:45 0.000111722 +72 la_data_in_core[2] *3001:29 0.000116014 +73 la_data_in_core[30] *3001:45 0.000164843 +74 la_data_in_core[31] *3001:45 0.000164829 +75 la_data_in_core[32] *3001:45 0.000164829 +76 la_data_in_core[33] *3001:63 0.000164843 +77 la_data_in_core[34] *3001:63 0.000164843 +78 la_data_in_core[35] *3001:65 0.000161243 +79 la_data_in_core[36] *3001:65 0.000164843 +80 la_data_in_core[38] *3001:65 0.000164829 +81 la_data_in_core[39] *3001:65 0.000164843 +82 la_data_in_core[3] *3001:29 0.000158371 +83 la_data_in_core[40] *3001:65 0.000164829 +84 la_data_in_core[41] *3001:65 0.000164843 +85 la_data_in_core[42] *3001:65 6.50586e-05 +86 la_data_in_core[43] *3001:65 0.000115934 +87 la_data_in_core[45] *3001:65 6.50727e-05 +88 la_data_in_core[4] *3001:29 0.00011818 +89 la_data_in_core[51] *3001:87 0 +90 la_data_in_core[53] *3001:107 0.000158371 +91 la_data_in_core[54] *3001:107 6.92705e-05 +92 la_data_in_core[55] *3001:107 0.000122378 +93 la_data_in_core[56] *3001:107 0.000122378 +94 la_data_in_core[57] *3001:111 0.000169041 +95 la_data_in_core[58] *3001:111 0.000167625 +96 la_data_in_core[59] *3001:111 0.000165464 +97 la_data_in_core[5] *3001:29 0.000164843 +98 la_data_in_core[60] *3001:111 0.000169041 +99 la_data_in_core[61] *3001:111 0.000169041 +100 la_data_in_core[62] *3001:111 0.000169041 +101 la_data_in_core[63] *3001:134 0.000160617 +102 la_data_in_core[65] *3001:134 0.000160617 +103 la_data_in_core[66] *3001:134 0.000169041 +104 la_data_in_core[67] *3001:146 0.000171288 +105 la_data_in_core[68] *3001:146 0.000169041 +106 la_data_in_core[6] *3001:29 0.000164829 +107 la_data_in_core[7] *3001:29 0.000164829 +108 la_data_in_core[8] *3001:29 0.000167076 +109 la_data_in_core[9] *3001:29 0.000164843 +110 la_oenb_core[0] *3001:29 0.000158357 +111 la_oenb_core[10] *3001:29 0.000164843 +112 la_oenb_core[11] *3001:29 0.000118166 +113 la_oenb_core[12] *3001:29 6.50586e-05 +114 la_oenb_core[21] *3001:29 0.000217937 +115 la_oenb_core[23] *3001:43 0.000214364 +116 la_oenb_core[25] *3001:45 0.000217951 +117 la_oenb_core[27] *3001:45 0.000160617 +118 la_oenb_core[29] *3001:45 0.000164829 +119 la_oenb_core[2] *3001:29 0.000114523 +120 la_oenb_core[45] *3001:87 0 +121 la_oenb_core[4] *3001:29 0.000217951 +122 la_oenb_core[52] *3001:107 0.000217937 +123 la_oenb_core[54] *3001:107 0.000175485 +124 la_oenb_core[62] *3001:134 0.000370913 +125 la_oenb_core[64] *3001:134 0.000162991 +126 la_oenb_core[66] *3001:134 4.15661e-05 +127 la_oenb_core[66] *3001:146 0.000223235 +128 la_oenb_core[9] *3001:29 0.000171288 +129 mprj_adr_o_user[10] *3001:27 0.000417863 +130 mprj_adr_o_user[12] *3001:27 6.3657e-05 +131 mprj_adr_o_user[16] *3001:29 0.000113968 +132 mprj_adr_o_user[18] *3001:29 0.00011818 +133 mprj_adr_o_user[20] *3001:29 0.00011818 +134 mprj_adr_o_user[22] *3001:29 0.000114594 +135 mprj_adr_o_user[24] *3001:29 0.00011818 +136 mprj_adr_o_user[25] *3001:29 0.00011818 +137 mprj_adr_o_user[26] *3001:29 0.00011818 +138 mprj_adr_o_user[27] *3001:29 0.00011818 +139 mprj_adr_o_user[28] *3001:29 0.000583258 +140 mprj_adr_o_user[29] *3001:29 0.00011818 +141 mprj_adr_o_user[30] *3001:29 0.000510776 +142 mprj_adr_o_user[31] *3001:29 0.000111722 +143 mprj_adr_o_user[4] *3001:11 0.00011818 +144 mprj_adr_o_user[5] *3001:11 0.000111708 +145 mprj_adr_o_user[6] *3001:11 0.000436825 +146 mprj_adr_o_user[7] *3001:11 0.000118166 +147 mprj_adr_o_user[8] *3001:27 0.000224395 +148 mprj_dat_o_user[11] *3001:27 0.000249167 +149 mprj_dat_o_user[15] *3001:29 0.000576786 +150 mprj_dat_o_user[17] *3001:29 0.0005768 +151 mprj_dat_o_user[19] *3001:29 0.000171288 +152 mprj_dat_o_user[24] *3001:29 0.00011818 +153 mprj_dat_o_user[25] *3001:29 0.00011818 +154 mprj_dat_o_user[26] *3001:29 0.00011818 +155 mprj_dat_o_user[27] *3001:29 0.00011818 +156 mprj_dat_o_user[29] *3001:29 0.00011818 +157 mprj_dat_o_user[4] *3001:11 6.50586e-05 +158 mprj_dat_o_user[7] *3001:11 0.000217937 +159 mprj_dat_o_user[7] *3001:27 0.000113392 +160 *4184:DIODE *3001:29 0.000145414 +161 *4308:DIODE *3001:27 4.58003e-05 +162 *4312:DIODE *3001:29 0.000102003 +163 *4314:DIODE *3001:29 0.000102003 +164 *4316:DIODE *3001:29 9.97706e-05 +165 *4320:DIODE *3001:29 3.58044e-05 +166 *4337:DIODE *3001:11 5.95286e-05 +167 *4340:DIODE *3001:27 9.97706e-05 +168 *4402:DIODE *3001:87 4.15661e-05 +169 *4409:DIODE *3001:87 4.99151e-05 +170 *4424:DIODE *3001:134 4.33655e-05 +171 *21918:A *3001:29 2.65831e-05 +172 *21940:A *3001:29 2.65831e-05 +173 *22107:A *3001:29 7.0512e-05 +174 *22134:A *3001:29 2.15348e-05 +175 *22135:A *3001:29 2.57986e-05 +176 *22140:A *3001:45 1.65872e-05 +177 *22140:A *3001:63 1.41976e-05 +178 *22148:A *3001:11 6.50727e-05 +179 *22151:A *3001:63 2.65831e-05 +180 *22220:A *3001:87 2.65831e-05 +181 *22221:A *3001:87 6.50727e-05 +182 *22224:A *3001:107 4.15959e-05 +183 *22225:A *3001:107 1.43983e-05 +184 *22226:A *3001:107 4.15959e-05 +185 *22229:A *3001:111 1.43983e-05 +186 *22230:A *3001:29 2.65831e-05 +187 *22231:A *3001:111 1.43983e-05 +188 *22232:A *3001:111 1.43983e-05 +189 *22234:A *3001:134 2.65831e-05 +190 *22239:A *3001:146 4.30968e-05 +191 *22242:A *3001:29 2.65831e-05 +192 *22604:A *3001:29 2.16355e-05 +193 *22609:A *3001:29 2.65831e-05 +194 *22618:A *3001:11 0.000164829 +195 *22619:A *3001:11 6.08467e-05 +196 *22620:A *3001:11 6.08467e-05 +197 *22622:A *3001:11 0.000100705 +198 *22622:A *3001:27 0.000381471 +199 *22667:A *3001:29 2.16355e-05 +200 *22673:A *3001:29 2.65831e-05 +201 *22678:A *3001:29 2.65831e-05 +202 *22686:A *3001:27 6.08467e-05 +203 *260:8 *3001:29 0.000103022 +204 *294:8 *3001:29 0.000204664 +205 *299:12 *3001:29 3.8122e-05 +206 *327:5 *3001:87 0.000207467 +207 *334:5 *3001:87 0.000197248 +208 *347:10 *3001:134 0.000223764 +209 *348:8 *3001:134 0.000185634 +210 *349:10 *3001:134 0.000204606 +211 *1001:10 *3001:27 0.000248111 +212 *1003:10 *3001:27 0.000180515 +213 *1005:8 *3001:29 0.000375027 +214 *1007:12 *3001:29 0.00022949 +215 *1009:12 *3001:29 0.000178615 +216 *1012:8 *3001:29 0.000103943 +217 *1028:10 *3001:11 0.000213784 +218 *1030:8 *3001:27 0.000301032 +219 *1871:11 *3001:87 0.00506552 +220 *2327:14 *3001:87 0.000453569 +221 *2448:7 *3001:29 0.000217937 +222 *2467:13 *3001:87 0.000453569 +223 *2497:7 *3001:29 0.000570356 +224 *2509:7 *3001:29 0.000217937 +225 *2651:7 *3001:29 0.000111722 +226 *2818:7 *3001:29 6.50727e-05 +227 *2840:7 *3001:29 0.000510789 +228 *2851:7 *3001:29 6.50586e-05 +229 *2884:10 *3001:43 6.50586e-05 +230 *2895:8 *3001:43 6.08467e-05 +231 *2918:12 *3001:45 0.000171288 +232 *2940:10 *3001:45 6.08467e-05 +233 *2962:7 *3001:29 6.08467e-05 +234 *2973:14 *3001:45 6.08467e-05 +235 *2978:19 *6595:DIODE 0.000111722 +236 *2980:9 *3001:29 5.0367e-05 +237 *2980:21 *23661:A 0.000211823 +238 *2984:14 *3001:45 0.000171273 +239 *2985:17 *3001:29 0.000517134 +240 *2985:33 *3001:29 0.000255141 +241 *2985:45 *3001:45 7.6719e-06 +242 *2985:45 *3001:63 0.000417907 +243 *2985:45 *3001:87 0.000407975 +244 *2985:45 *3001:107 0.000420062 +245 *2985:45 *3001:111 0.000329034 +246 *2985:45 *3001:134 0.000340724 +247 *2985:45 *3001:146 0.00020469 +248 *2987:16 *3001:29 0.000464064 +249 *2988:91 *23661:A 0.000214624 +250 *2989:7 *3001:29 0.000346082 +251 *2989:27 *3001:29 0.00265307 +252 *2989:48 *3001:29 0.00116891 +253 *2995:19 *3001:45 0.000107496 +254 *2995:19 *3001:63 0.000114727 +255 *2996:15 *3001:29 0.000727432 +256 *2998:10 *3001:11 0.000993302 +257 *2998:35 *3001:27 3.10962e-05 +258 *2998:35 *3001:29 0.00102199 +259 *2998:71 *3001:29 0.00366437 +260 *2998:75 *3001:29 0.000322947 +261 *2998:77 *3001:29 0.00444954 +262 *2998:77 *3001:43 0.00165536 +263 *2998:77 *3001:45 0.00505458 +264 *2998:89 *3001:65 0.00792967 +265 *2998:114 *3001:87 0.000597521 +266 *2998:114 *3001:107 1.67988e-05 +267 *2998:130 *3001:111 0.000469791 +268 *3000:8 *3001:29 1.31867e-05 +*RES +1 *22146:X *3001:11 43.4658 +2 *3001:11 *3001:27 44.7179 +3 *3001:27 *3001:29 334.872 +4 *3001:29 *3001:43 25.56 +5 *3001:43 *3001:45 56.1838 +6 *3001:45 *3001:63 22.8352 +7 *3001:63 *3001:65 86.1323 +8 *3001:65 *3001:87 46.347 +9 *3001:87 *3001:107 36.3145 +10 *3001:107 *3001:111 46.225 +11 *3001:111 *3001:134 48.5103 +12 *3001:134 *3001:146 26.9917 +13 *3001:146 *23661:A 17.5531 +14 *3001:146 *6595:DIODE 15.0271 +*END + +*D_NET *3002 0.143146 +*CONN +*I *6597:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23662:A I *D sky130_fd_sc_hd__nand2_4 +*I *22147:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *6597:DIODE 0 +2 *23662:A 0.00010143 +3 *22147:X 0.000126704 +4 *3002:41 0.00279769 +5 *3002:39 0.00318422 +6 *3002:29 0.00531401 +7 *3002:28 0.00534705 +8 *3002:17 0.00408622 +9 *3002:15 0.00360831 +10 *3002:9 0.00616691 +11 *3002:8 0.00625053 +12 *23662:A *3174:10 0 +13 *3002:9 *3411:21 1.15389e-05 +14 *3002:9 *3411:23 0.000449795 +15 *3002:15 *3411:23 3.89073e-05 +16 *3002:17 *5260:DIODE 6.50727e-05 +17 *3002:17 *5317:DIODE 0.00011818 +18 *3002:17 *5513:DIODE 6.08467e-05 +19 *3002:17 *5514:DIODE 6.50727e-05 +20 *3002:17 *5515:DIODE 0.00011818 +21 *3002:17 *5516:DIODE 6.50727e-05 +22 *3002:17 *5525:DIODE 0.00011818 +23 *3002:17 *5540:DIODE 0.00011818 +24 *3002:17 *5551:DIODE 6.50727e-05 +25 *3002:17 *5573:DIODE 6.50727e-05 +26 *3002:17 *5584:DIODE 0.000111722 +27 *3002:17 *3112:23 0.00491881 +28 *3002:17 *3112:34 0.000966617 +29 *3002:17 *3381:21 0.00157896 +30 *3002:17 *3388:19 0.000809857 +31 *3002:17 *3398:13 0.000991017 +32 *3002:17 *3411:23 0.00184708 +33 *3002:17 *3459:9 0.000532369 +34 *3002:28 *22758:A 0.000101888 +35 *3002:28 *23013:A 7.3844e-06 +36 *3002:28 *3007:45 0.000487645 +37 *3002:28 *3116:40 0 +38 *3002:28 *3118:16 1.78895e-05 +39 *3002:28 *3121:47 8.44495e-06 +40 *3002:28 *3404:19 2.28746e-05 +41 *3002:29 *5290:DIODE 6.50727e-05 +42 *3002:29 *5300:DIODE 6.50727e-05 +43 *3002:29 *5301:DIODE 0.000324166 +44 *3002:29 *5533:DIODE 6.08467e-05 +45 *3002:29 *5536:DIODE 6.50586e-05 +46 *3002:29 *5541:DIODE 6.50586e-05 +47 *3002:29 *5543:DIODE 6.50727e-05 +48 *3002:29 *5545:DIODE 6.50727e-05 +49 *3002:29 *5560:DIODE 6.50727e-05 +50 *3002:29 *5566:DIODE 6.08467e-05 +51 *3002:29 *3133:43 0.000113968 +52 *3002:29 *3401:15 0.00126496 +53 *3002:29 *3414:15 0.000426154 +54 *3002:29 *3440:21 0.00111741 +55 *3002:29 *3440:45 0.0016139 +56 *3002:29 *3440:55 0.00136494 +57 *3002:39 *22797:A 8.12701e-05 +58 *3002:39 *3428:8 3.33173e-06 +59 la_oenb_core[31] *3002:28 1.91246e-05 +60 mprj_dat_o_user[9] *3002:9 3.25584e-05 +61 *4309:DIODE *3002:9 3.18806e-05 +62 *4322:DIODE *3002:9 5.96432e-05 +63 *4336:DIODE *3002:8 0 +64 *4338:DIODE *3002:9 4.66876e-05 +65 *4341:DIODE *3002:9 1.84293e-05 +66 *4430:DIODE *3002:39 0.000322611 +67 *5115:DIODE *3002:9 6.50586e-05 +68 *5118:DIODE *3002:9 6.50586e-05 +69 *5119:DIODE *3002:9 6.50586e-05 +70 *5120:DIODE *3002:9 6.50586e-05 +71 *5125:DIODE *3002:9 0.000116 +72 *5139:DIODE *3002:9 6.50727e-05 +73 *5176:DIODE *3002:9 0.000386499 +74 *5177:DIODE *3002:9 1.84293e-05 +75 *5178:DIODE *3002:9 7.97944e-05 +76 *5205:DIODE *3002:9 6.50586e-05 +77 *22619:A *3002:8 0 +78 *22658:A *3002:9 0.00015281 +79 *22670:A *3002:9 6.28701e-05 +80 *23657:A *3002:9 0.000237472 +81 *23660:B *3002:17 6.08467e-05 +82 *1866:22 *3002:29 0.00123924 +83 *1866:23 *3002:29 1.92172e-05 +84 *1866:38 *3002:29 7.92757e-06 +85 *1866:38 *3002:39 0.000771963 +86 *1876:15 *3002:41 0.00314401 +87 *2395:9 *3002:9 0.000213739 +88 *2404:8 *23662:A 0.000103047 +89 *2438:41 *3002:9 0.00308272 +90 *2465:26 *3002:8 0 +91 *2981:31 *3002:29 0.000113502 +92 *2982:11 *3002:9 0.000101365 +93 *2983:23 *3002:17 0.00356364 +94 *2983:56 *3002:28 0.000189318 +95 *2983:91 *3002:29 0.00288932 +96 *2983:91 *3002:39 1.00981e-05 +97 *2987:17 *3002:28 0.000485469 +98 *2989:51 *3002:17 0.0215858 +99 *2989:51 *3002:29 0.0267419 +100 *2989:62 *3002:39 1.21831e-05 +101 *2989:63 *3002:39 0.00172299 +102 *2989:63 *3002:41 0.000571094 +103 *2990:9 *3002:9 9.82896e-06 +104 *2990:15 *3002:9 0.000118166 +105 *2990:17 *3002:9 0.000624899 +106 *2990:17 *3002:15 0.000117376 +107 *2990:17 *3002:17 0.000329551 +108 *2990:28 *3002:28 0.000182777 +109 *2990:35 *3002:29 0.00471566 +110 *2990:43 *3002:29 0.000563212 +111 *2992:12 *3002:9 0.000154144 +112 *2993:9 *3002:9 0.00464555 +113 *2995:19 *3002:28 2.35827e-05 +114 *2997:9 *3002:9 0.00087607 +115 *2998:41 *3002:9 0.0045484 +*RES +1 *22147:X *3002:8 21.3269 +2 *3002:8 *3002:9 223.674 +3 *3002:9 *3002:15 2.43543 +4 *3002:15 *3002:17 241.976 +5 *3002:17 *3002:28 26.2922 +6 *3002:28 *3002:29 289.672 +7 *3002:29 *3002:39 30.8929 +8 *3002:39 *3002:41 47.8647 +9 *3002:41 *23662:A 20.4964 +10 *3002:41 *6597:DIODE 9.24915 +*END + +*D_NET *3003 0.184798 +*CONN +*I *23663:A I *D sky130_fd_sc_hd__nand2_2 +*I *6599:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22148:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23663:A 0 +2 *6599:DIODE 0.000375483 +3 *22148:X 1.53745e-05 +4 *3003:22 0.00235098 +5 *3003:9 0.0302067 +6 *3003:8 0.0282465 +7 *6599:DIODE *6601:DIODE 0.000169041 +8 *3003:9 *3004:9 0.0876066 +9 *3003:9 *3007:45 0.00046321 +10 *3003:9 *3007:70 9.62374e-05 +11 *3003:22 *3004:26 0.00314593 +12 *3003:22 *3007:70 0.00214589 +13 la_data_in_core[80] *3003:22 0 +14 la_oenb_core[88] *3003:22 8.72221e-06 +15 mprj_adr_o_user[6] *3003:8 0 +16 *3827:DIODE *3003:22 2.16196e-05 +17 *366:5 *3003:22 4.25147e-05 +18 *374:5 *3003:22 2.33103e-06 +19 *1878:9 *6599:DIODE 4.80093e-05 +20 *1878:9 *3003:22 2.15812e-05 +21 *2364:15 *3003:9 0.0160454 +22 *2364:15 *3003:22 0.00183463 +23 *2494:10 *6599:DIODE 7.53044e-05 +24 *2494:10 *3003:22 0.000153087 +25 *2983:109 *6599:DIODE 0.000181642 +26 *2987:17 *3003:9 2.39277e-06 +27 *2987:17 *3003:22 1.96411e-05 +28 *2990:28 *3003:9 0.000254239 +29 *2998:88 *3003:9 0.00212021 +30 *2998:130 *3003:9 0.0091443 +*RES +1 *22148:X *3003:8 17.4965 +2 *3003:8 *3003:9 117.768 +3 *3003:9 *3003:22 24.6653 +4 *3003:22 *6599:DIODE 22.4559 +5 *3003:22 *23663:A 13.7491 +*END + +*D_NET *3004 0.208755 +*CONN +*I *23664:A I *D sky130_fd_sc_hd__nand2_2 +*I *6601:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22149:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *23664:A 0 +2 *6601:DIODE 0.000238672 +3 *22149:X 1.08107e-05 +4 *3004:26 0.00258494 +5 *3004:9 0.0210599 +6 *3004:8 0.0187245 +7 *6601:DIODE *23075:A 0 +8 *6601:DIODE *3180:8 5.49916e-05 +9 *6601:DIODE *3478:250 0.000116986 +10 *3004:9 *3005:19 0 +11 *3004:9 *3007:17 0.00632828 +12 *3004:9 *3007:30 0.00521296 +13 *3004:9 *3007:45 0.0190378 +14 *3004:9 *3007:58 0.014953 +15 *3004:9 *3007:70 0.0178834 +16 *3004:9 *3008:29 0.0021885 +17 *3004:26 *23075:A 0 +18 *3004:26 *3007:76 0.00491413 +19 *3004:26 *3177:13 0.000207821 +20 *3004:26 *3361:48 0 +21 la_data_in_core[89] *3004:26 4.75721e-06 +22 la_oenb_core[80] *3004:26 1.66771e-05 +23 la_oenb_core[88] *3004:26 3.39643e-05 +24 mprj_adr_o_user[8] *3004:8 7.13655e-06 +25 *3819:DIODE *3004:26 2.76267e-05 +26 *6599:DIODE *6601:DIODE 0.000169041 +27 *21631:A *3004:26 2.16355e-05 +28 *1879:8 *6601:DIODE 4.4178e-05 +29 *2327:17 *3004:8 1.05746e-05 +30 *2364:15 *3004:26 3.03034e-05 +31 *2460:15 *3004:26 2.01653e-05 +32 *2494:10 *6601:DIODE 2.6278e-05 +33 *2494:10 *3004:26 1.46169e-05 +34 *2983:109 *6601:DIODE 0.00036437 +35 *2985:45 *3004:26 2.37827e-05 +36 *2987:16 *3004:9 0.00167887 +37 *2987:17 *3004:9 0.000268863 +38 *2987:17 *3004:26 0.000399262 +39 *2991:17 *3004:9 0.00132421 +40 *3003:9 *3004:9 0.0876066 +41 *3003:22 *3004:26 0.00314593 +*RES +1 *22149:X *3004:8 17.4965 +2 *3004:8 *3004:9 115.971 +3 *3004:9 *3004:26 35.7021 +4 *3004:26 *6601:DIODE 21.7084 +5 *3004:26 *23664:A 13.7491 +*END + +*D_NET *3005 0.165512 +*CONN +*I *23665:A I *D sky130_fd_sc_hd__nand2_2 +*I *6603:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22150:X O *D sky130_fd_sc_hd__buf_8 +*CAP +1 *23665:A 5.22818e-05 +2 *6603:DIODE 0.000113661 +3 *22150:X 0.00569237 +4 *3005:55 0.00655543 +5 *3005:43 0.0121048 +6 *3005:27 0.00963348 +7 *3005:19 0.00961057 +8 *6603:DIODE *3460:6 6.1578e-06 +9 *23665:A *23067:A 5.1493e-06 +10 *3005:19 *3007:17 0 +11 *3005:19 *3008:14 0.0132299 +12 *3005:27 *3008:29 0.0154091 +13 *3005:43 *23029:A 6.23715e-06 +14 *3005:43 *3008:44 0.0149251 +15 *3005:43 *3008:58 0.00558615 +16 *3005:43 *3134:26 4.69495e-06 +17 *3005:43 *3408:19 6.21462e-05 +18 *3005:55 *3008:58 0.00330091 +19 *3005:55 *3008:61 0.00770337 +20 *3005:55 *3395:17 0.00220471 +21 *3005:55 *3464:23 0.000754197 +22 *1862:14 *6603:DIODE 0.000131075 +23 *1862:14 *23665:A 2.58521e-05 +24 *1866:38 *3005:55 0.000265094 +25 *1880:8 *6603:DIODE 2.52921e-05 +26 *1880:8 *23665:A 1.22858e-05 +27 *2327:17 *3005:19 8.07734e-05 +28 *2472:48 *3005:19 0.000800121 +29 *2975:15 *3005:19 0.0016466 +30 *2981:23 *3005:27 0.00102573 +31 *2981:31 *3005:43 0.00554464 +32 *2981:31 *3005:55 0.0096017 +33 *2981:43 *3005:55 0.00169378 +34 *2982:11 *3005:19 0.00414961 +35 *2982:11 *3005:27 0.000314542 +36 *2982:26 *3005:27 0.0119682 +37 *2982:26 *3005:43 0.0106875 +38 *2983:101 *6603:DIODE 6.36477e-05 +39 *2987:17 *3005:19 0.00211824 +40 *2987:17 *3005:27 0.00283887 +41 *2987:17 *3005:43 0.00119174 +42 *2987:17 *3005:55 0.0021648 +43 *2989:62 *3005:55 9.36191e-05 +44 *2998:56 *3005:19 0.00190454 +45 *2998:142 *3005:55 0.00020378 +46 *3004:9 *3005:19 0 +*RES +1 *22150:X *3005:19 49.8005 +2 *3005:19 *3005:27 25.3232 +3 *3005:27 *3005:43 43.0727 +4 *3005:43 *3005:55 34.5153 +5 *3005:55 *6603:DIODE 16.5122 +6 *3005:55 *23665:A 14.9583 +*END + +*D_NET *3006 0.0657365 +*CONN +*I *23399:A I *D sky130_fd_sc_hd__nand2_1 +*I *6104:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22151:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23399:A 6.83286e-05 +2 *6104:DIODE 0 +3 *22151:X 0.000236715 +4 *3006:61 0.000470542 +5 *3006:50 0.00359548 +6 *3006:49 0.00319327 +7 *3006:47 0.00150584 +8 *3006:45 0.00178895 +9 *3006:35 0.0039593 +10 *3006:33 0.00376043 +11 *3006:28 0.00228209 +12 *3006:18 0.00387984 +13 *3006:15 0.00191871 +14 *3006:15 *3007:45 0.000797424 +15 *3006:18 *3402:28 0.000482441 +16 *3006:28 *3402:23 1.65872e-05 +17 *3006:45 *3388:14 0.000193189 +18 *3006:50 *3102:18 0.000238749 +19 *3006:50 *3188:32 0 +20 *3006:61 *3084:24 0.000199991 +21 la_oenb_core[32] *3006:18 1.91246e-05 +22 la_oenb_core[33] *3006:15 3.73212e-05 +23 *4350:DIODE *3006:15 3.73212e-05 +24 *4850:DIODE *3006:28 2.33103e-06 +25 *4850:DIODE *3006:33 0.00011818 +26 *23268:A *3006:50 2.9053e-05 +27 *23397:A *3006:50 0.000195413 +28 *23513:A *3006:47 0.000102003 +29 *23513:TE *3006:45 0.000162663 +30 *314:5 *3006:15 2.1203e-06 +31 *1376:9 *3006:47 0.00741644 +32 *1418:8 *3006:18 0 +33 *1513:10 *3006:50 0.000107956 +34 *1762:6 *3006:50 0 +35 *1800:8 *3006:33 0.000224381 +36 *1997:32 *23399:A 4.36956e-05 +37 *1997:32 *3006:61 5.97411e-05 +38 *2000:22 *3006:50 0.000301486 +39 *2000:22 *3006:61 0.000569871 +40 *2000:23 *3006:50 0.00254615 +41 *2012:22 *3006:50 0 +42 *2361:10 *3006:50 0 +43 *2384:21 *3006:47 0.000220514 +44 *2386:31 *3006:33 1.41291e-05 +45 *2386:31 *3006:35 0.000127625 +46 *2386:33 *3006:35 0.000299149 +47 *2386:33 *3006:45 4.10714e-05 +48 *2386:33 *3006:47 0.00239112 +49 *2388:41 *3006:28 0.00122304 +50 *2465:15 *3006:28 0.00130096 +51 *2709:8 *3006:50 8.90185e-06 +52 *2840:8 *3006:50 0.00044566 +53 *2840:8 *3006:61 0.000239566 +54 *2851:50 *3006:50 0.000273326 +55 *2870:76 *3006:28 1.5714e-05 +56 *2870:79 *3006:28 0.0031275 +57 *2882:39 *3006:28 1.05272e-06 +58 *2906:12 *3006:35 0.00149578 +59 *2906:21 *3006:47 0.0129728 +60 *2918:19 *3006:28 3.77568e-05 +61 *2951:32 *3006:50 0 +62 *2983:56 *3006:18 0 +63 *2985:45 *3006:15 6.50727e-05 +64 *2987:17 *3006:15 0.000815957 +65 *2990:28 *3006:18 3.01137e-05 +66 *3001:63 *3006:15 2.65831e-05 +*RES +1 *22151:X *3006:15 25.288 +2 *3006:15 *3006:18 36.853 +3 *3006:18 *3006:28 48.0658 +4 *3006:28 *3006:33 8.02053 +5 *3006:33 *3006:35 60.066 +6 *3006:35 *3006:45 7.61977 +7 *3006:45 *3006:47 140.484 +8 *3006:47 *3006:49 4.5 +9 *3006:49 *3006:50 80.5223 +10 *3006:50 *3006:61 19.5596 +11 *3006:61 *6104:DIODE 9.24915 +12 *3006:61 *23399:A 11.1059 +*END + +*D_NET *3007 0.187787 +*CONN +*I *6605:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23666:A I *D sky130_fd_sc_hd__nand2_2 +*I *22152:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *6605:DIODE 5.2582e-05 +2 *23666:A 0 +3 *22152:X 0.00299206 +4 *3007:76 0.00179127 +5 *3007:70 0.00715387 +6 *3007:58 0.0095495 +7 *3007:45 0.00921881 +8 *3007:30 0.00802943 +9 *3007:17 0.00593699 +10 *3007:17 *3008:14 0.00758154 +11 *3007:30 *22736:A 1.37385e-05 +12 *3007:30 *22737:A 2.07138e-05 +13 *3007:30 *22992:A 5.01835e-05 +14 *3007:30 *3008:14 0.000208343 +15 *3007:30 *3008:29 0.00226213 +16 *3007:45 *22761:A 2.05342e-06 +17 *3007:45 *3008:29 0.00299105 +18 *3007:45 *3029:8 2.2979e-05 +19 *3007:58 *22785:A 9.84424e-06 +20 *3007:58 *3008:44 0.00318993 +21 *3007:70 *5329:DIODE 7.13655e-06 +22 *3007:70 *3008:58 0.00606639 +23 *3007:70 *3395:17 0.00281407 +24 *3007:76 *5338:DIODE 0.000121637 +25 la_data_in_core[35] *3007:45 3.63127e-05 +26 la_data_in_core[36] *3007:45 0 +27 la_data_in_core[58] *3007:58 2.1203e-06 +28 la_data_in_core[80] *3007:70 0.000136325 +29 la_data_in_core[83] *3007:70 3.03357e-05 +30 la_oenb_core[34] *3007:45 9.82762e-06 +31 la_oenb_core[35] *3007:45 4.19401e-06 +32 la_oenb_core[57] *3007:58 4.21609e-06 +33 la_oenb_core[79] *3007:70 0 +34 la_oenb_core[82] *3007:70 6.36073e-05 +35 mprj_adr_o_user[10] *3007:17 7.34948e-06 +36 mprj_dat_o_user[9] *3007:17 0 +37 *4095:DIODE *3007:30 1.5714e-05 +38 *4373:DIODE *3007:45 0.000118908 +39 *4416:DIODE *3007:58 3.29488e-05 +40 *22227:A *3007:58 2.65831e-05 +41 *316:5 *3007:45 5.49916e-05 +42 *1864:21 *6605:DIODE 4.0752e-05 +43 *1881:8 *6605:DIODE 0.000381471 +44 *2364:15 *3007:45 0.000156557 +45 *2364:15 *3007:70 0.00237772 +46 *2364:15 *3007:76 5.78798e-05 +47 *2495:6 *3007:76 0.000118055 +48 *2751:6 *3007:30 0 +49 *2980:21 *6605:DIODE 0.00047703 +50 *2983:38 *3007:45 0.000855643 +51 *2985:45 *3007:58 8.95913e-05 +52 *2986:13 *3007:30 0.000309145 +53 *2987:16 *3007:17 0.000442616 +54 *2987:16 *3007:30 0.00059058 +55 *2987:17 *3007:30 0.0169867 +56 *2987:17 *3007:45 0.00855919 +57 *2987:17 *3007:58 0.00507485 +58 *2987:17 *3007:70 0.00398833 +59 *2987:17 *3007:76 0.00232996 +60 *2988:21 *3007:30 0.00013473 +61 *2991:17 *3007:30 0.00137763 +62 *2998:88 *3007:45 2.35827e-05 +63 *3001:27 *3007:17 1.00981e-05 +64 *3001:111 *3007:58 0.000455564 +65 *3002:28 *3007:45 0.000487645 +66 *3003:9 *3007:45 0.00046321 +67 *3003:9 *3007:70 9.62374e-05 +68 *3003:22 *3007:70 0.00214589 +69 *3004:9 *3007:17 0.00632828 +70 *3004:9 *3007:30 0.00521296 +71 *3004:9 *3007:45 0.0190378 +72 *3004:9 *3007:58 0.014953 +73 *3004:9 *3007:70 0.0178834 +74 *3004:26 *3007:76 0.00491413 +75 *3005:19 *3007:17 0 +76 *3006:15 *3007:45 0.000797424 +*RES +1 *22152:X *3007:17 34.248 +2 *3007:17 *3007:30 45.3649 +3 *3007:30 *3007:45 48.8948 +4 *3007:45 *3007:58 42.92 +5 *3007:58 *3007:70 48.2504 +6 *3007:70 *3007:76 17.8696 +7 *3007:76 *23666:A 9.24915 +8 *3007:76 *6605:DIODE 14.4094 +*END + +*D_NET *3008 0.205731 +*CONN +*I *23667:A I *D sky130_fd_sc_hd__nand2_2 +*I *6607:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22153:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *23667:A 1.53308e-05 +2 *6607:DIODE 0.00013315 +3 *22153:X 0.00408537 +4 *3008:61 0.00323627 +5 *3008:58 0.00817786 +6 *3008:44 0.00885819 +7 *3008:29 0.00771397 +8 *3008:14 0.00803123 +9 *6607:DIODE *3181:14 6.92705e-05 +10 *3008:29 *23001:A 1.91391e-05 +11 *3008:44 *22749:A 8.08437e-05 +12 *3008:44 *22773:A 7.8446e-05 +13 *3008:44 *3117:6 2.95972e-05 +14 *3008:44 *3130:46 0 +15 *3008:44 *3134:26 2.27135e-05 +16 *3008:58 *22793:A 1.5714e-05 +17 *3008:58 *3373:8 0 +18 *3008:58 *3395:17 0.000770304 +19 *3008:61 *3464:23 0.000391081 +20 la_data_in_core[64] *3008:58 3.93117e-06 +21 la_oenb_core[1] *3008:29 5.11466e-05 +22 la_oenb_core[20] *3008:29 1.91246e-05 +23 la_oenb_core[71] *3008:58 4.19401e-06 +24 *4403:DIODE *3008:44 1.91246e-05 +25 *4424:DIODE *3008:58 1.5714e-05 +26 *22615:A *3008:14 9.53559e-05 +27 *22616:A *3008:14 2.16686e-05 +28 *23653:B *3008:29 4.20184e-06 +29 *1868:10 *3008:29 1.13071e-05 +30 *1882:9 *6607:DIODE 3.24554e-05 +31 *2349:17 *3008:58 0 +32 *2435:18 *3008:14 1.86487e-05 +33 *2467:10 *3008:58 1.15862e-05 +34 *2492:8 *6607:DIODE 4.34229e-05 +35 *2492:8 *23667:A 2.57465e-06 +36 *2851:8 *3008:29 9.04456e-05 +37 *2981:31 *3008:58 3.52829e-05 +38 *2981:43 *3008:61 0.000668353 +39 *2987:17 *3008:14 0.00565117 +40 *2987:17 *3008:29 0.019142 +41 *2987:17 *3008:44 0.0188024 +42 *2987:17 *3008:58 0.0214205 +43 *2987:17 *3008:61 0.0129199 +44 *2998:23 *3008:14 0.000154145 +45 *3000:8 *3008:29 0.0001212 +46 *3004:9 *3008:29 0.0021885 +47 *3005:19 *3008:14 0.0132299 +48 *3005:27 *3008:29 0.0154091 +49 *3005:43 *3008:44 0.0149251 +50 *3005:43 *3008:58 0.00558615 +51 *3005:55 *3008:58 0.00330091 +52 *3005:55 *3008:61 0.00770337 +53 *3007:17 *3008:14 0.00758154 +54 *3007:30 *3008:14 0.000208343 +55 *3007:30 *3008:29 0.00226213 +56 *3007:45 *3008:29 0.00299105 +57 *3007:58 *3008:44 0.00318993 +58 *3007:70 *3008:58 0.00606639 +*RES +1 *22153:X *3008:14 47.8984 +2 *3008:14 *3008:29 49.407 +3 *3008:29 *3008:44 46.9165 +4 *3008:44 *3008:58 48.6031 +5 *3008:58 *3008:61 20.323 +6 *3008:61 *6607:DIODE 16.5122 +7 *3008:61 *23667:A 14.1278 +*END + +*D_NET *3009 0.0118309 +*CONN +*I *21392:A I *D sky130_fd_sc_hd__inv_6 +*I *3582:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22154:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21392:A 7.57528e-05 +2 *3582:DIODE 4.51842e-05 +3 *22154:X 0.000241785 +4 *3009:10 0.00258247 +5 *3009:8 0.00270332 +6 *4342:DIODE *3009:8 7.86847e-05 +7 *22625:A *3009:8 0 +8 *1162:7 *3582:DIODE 6.08467e-05 +9 *1162:7 *21392:A 6.23875e-05 +10 *1205:5 *21392:A 0.000160617 +11 *2120:31 *3009:10 0.000304584 +12 *2364:8 *21392:A 6.89449e-05 +13 *2364:8 *3009:10 0.00184701 +14 *2399:22 *3009:10 0 +15 *2429:26 *3009:10 0 +16 *2514:20 *21392:A 6.18026e-05 +17 *2514:20 *3009:10 0.00344889 +18 *2538:26 *3009:10 8.86344e-05 +19 *2825:14 *3009:8 0 +20 *2825:14 *3009:10 0 +*RES +1 *22154:X *3009:8 18.8421 +2 *3009:8 *3009:10 80.278 +3 *3009:10 *3582:DIODE 14.4725 +4 *3009:10 *21392:A 16.9985 +*END + +*D_NET *3010 0.0103331 +*CONN +*I *3592:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21402:A I *D sky130_fd_sc_hd__inv_4 +*I *22155:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3592:DIODE 7.05707e-05 +2 *21402:A 0 +3 *22155:X 0 +4 *3010:20 0.00150485 +5 *3010:11 0.00300857 +6 *3010:5 0.00157429 +7 *3010:11 *3044:15 0 +8 *3010:20 *3075:6 0 +9 mprj_dat_i_core[10] *3010:11 6.08696e-05 +10 *4343:DIODE *3010:11 0.000169093 +11 *4737:DIODE *3010:20 6.09999e-05 +12 *22626:A *3010:11 9.27546e-05 +13 *1162:7 *3592:DIODE 9.9028e-05 +14 *1163:15 *3010:20 1.79334e-05 +15 *1195:17 *3010:20 1.79334e-05 +16 *1221:9 *3010:20 0 +17 *1990:46 *3010:20 0.00286134 +18 *2400:12 *3010:11 0.000763496 +19 *2407:17 *3010:20 0 +20 *2524:52 *3010:20 9.6321e-06 +21 *2824:32 *3010:11 8.92601e-06 +22 *2938:11 *3010:11 0 +23 *2939:13 *3010:11 1.28326e-05 +*RES +1 *22155:X *3010:5 13.7491 +2 *3010:5 *3010:11 46.1526 +3 *3010:11 *3010:20 19.9904 +4 *3010:20 *21402:A 9.24915 +5 *3010:20 *3592:DIODE 11.6364 +*END + +*D_NET *3011 0.0163587 +*CONN +*I *21403:A I *D sky130_fd_sc_hd__clkinv_4 +*I *3593:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22156:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21403:A 0.000128309 +2 *3593:DIODE 0 +3 *22156:X 0.0059999 +4 *3011:20 0.00612821 +5 *3011:20 *3038:19 0 +6 *3011:20 *3039:19 0.00112242 +7 *3011:20 *3039:20 0.000102893 +8 *3011:20 *3041:17 0.000122844 +9 *3011:20 *3045:13 0.000951052 +10 *3011:20 *3358:13 1.91391e-05 +11 mprj_dat_i_core[12] *3011:20 4.69495e-06 +12 *1035:7 *3011:20 7.13655e-06 +13 *1150:9 *3011:20 0 +14 *1163:15 *3011:20 5.60804e-05 +15 *1349:17 *21403:A 0.000268798 +16 *1990:40 *3011:20 0.000330219 +17 *2119:45 *3011:20 1.05746e-05 +18 *2137:69 *3011:20 1.79239e-05 +19 *2822:15 *3011:20 0.00108856 +*RES +1 *22156:X *3011:20 45.3426 +2 *3011:20 *3593:DIODE 9.24915 +3 *3011:20 *21403:A 13.1796 +*END + +*D_NET *3012 0.0271118 +*CONN +*I *21404:A I *D sky130_fd_sc_hd__inv_4 +*I *3594:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22157:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21404:A 7.2547e-05 +2 *3594:DIODE 0.00011699 +3 *22157:X 5.62059e-05 +4 *3012:26 0.000926986 +5 *3012:16 0.00246833 +6 *3012:14 0.00216667 +7 *3012:9 0.0023607 +8 *3012:8 0.00198111 +9 *3012:26 *3351:13 0.000233472 +10 mprj_dat_i_core[2] *3012:14 4.34573e-05 +11 *4294:DIODE *3012:14 0.00031602 +12 *906:5 *3012:8 9.60366e-05 +13 *924:8 *3012:14 4.97325e-05 +14 *1035:7 *3012:8 2.22923e-05 +15 *1190:17 *3012:26 0.00032051 +16 *1192:23 *3594:DIODE 0.000317693 +17 *1228:15 *3594:DIODE 0.000313495 +18 *2115:31 *21404:A 1.19971e-05 +19 *2123:30 *3012:26 8.29362e-06 +20 *2123:36 *3012:26 0.000536307 +21 *2401:12 *3012:16 0.000122209 +22 *2401:12 *3012:26 0.000862205 +23 *2411:16 *21404:A 0.000155355 +24 *2411:16 *3012:26 0.000210977 +25 *2429:18 *3594:DIODE 2.66039e-05 +26 *2433:14 *3012:16 0.00228916 +27 *2433:14 *3012:26 0.000195273 +28 *2537:22 *3594:DIODE 0.000111838 +29 *2541:9 *3012:9 4.26431e-05 +30 *2541:16 *3012:14 5.25036e-05 +31 *2541:16 *3012:16 0.00339751 +32 *2802:20 *3012:26 0.00013262 +33 *2802:31 *21404:A 0.000128231 +34 *2802:31 *3012:26 5.97908e-05 +35 *2821:12 *3012:14 0.000107884 +36 *2821:12 *3012:16 0 +37 *2827:9 *3012:9 0.0067981 +*RES +1 *22157:X *3012:8 20.0811 +2 *3012:8 *3012:9 73.9311 +3 *3012:9 *3012:14 16.6522 +4 *3012:14 *3012:16 67.8205 +5 *3012:16 *3012:26 36.6916 +6 *3012:26 *3594:DIODE 28.4928 +7 *3012:26 *21404:A 16.8269 +*END + +*D_NET *3013 0.0483713 +*CONN +*I *3595:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21405:A I *D sky130_fd_sc_hd__inv_2 +*I *22158:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3595:DIODE 5.42842e-05 +2 *21405:A 0.000109406 +3 *22158:X 0.00157681 +4 *3013:19 0.00111002 +5 *3013:15 0.00992124 +6 *3013:13 0.00899673 +7 *3013:11 0.00301955 +8 *3013:10 0.00457453 +9 *3013:15 *5365:DIODE 2.16355e-05 +10 *3013:15 *5367:DIODE 6.50586e-05 +11 *3013:15 *5369:DIODE 6.50586e-05 +12 *3013:15 *5371:DIODE 6.08467e-05 +13 *3013:15 *5380:DIODE 0.000636352 +14 *3013:15 *5381:DIODE 0.000271044 +15 *3013:15 *3216:9 0.000604224 +16 *3013:15 *3221:11 0.000719022 +17 *3013:15 *3223:11 0.000718945 +18 *3013:19 *5360:DIODE 0.000354397 +19 *3013:19 *5361:DIODE 6.50586e-05 +20 *3013:19 *3211:9 0.000324151 +21 mprj_dat_i_core[1] *3013:11 1.00937e-05 +22 *3560:DIODE *3013:11 5.07314e-05 +23 *4272:DIODE *3013:10 0.000101133 +24 *4344:DIODE *3013:10 6.73022e-05 +25 *5109:DIODE *3013:15 6.50727e-05 +26 *5143:DIODE *3013:11 6.3657e-05 +27 *5144:DIODE *3013:11 6.08467e-05 +28 *5168:DIODE *3013:11 6.08467e-05 +29 *5172:DIODE *3013:11 6.50727e-05 +30 *5173:DIODE *3013:11 6.08467e-05 +31 *21516:A *21405:A 5.24963e-06 +32 *21516:A *3013:19 3.117e-05 +33 *22083:A *3013:10 7.34948e-06 +34 *22087:A *3013:10 1.82679e-05 +35 *22627:A *3013:10 0 +36 *22636:A *3013:11 2.65667e-05 +37 *23108:A *3013:15 0.000107496 +38 *23115:A *3013:15 7.49459e-05 +39 *1034:8 *3013:10 0.000144797 +40 *1145:5 *3013:11 0.0046511 +41 *1154:9 *3013:11 0.000110257 +42 *1154:9 *3013:15 0.000101365 +43 *1327:6 *3595:DIODE 7.86847e-05 +44 *1605:9 *3013:19 0.000158371 +45 *2523:13 *3013:15 6.21391e-05 +46 *2523:17 *3013:15 7.34455e-05 +47 *2525:15 *3013:15 0.000529301 +48 *2525:22 *3013:15 7.6719e-06 +49 *2799:12 *3595:DIODE 0 +50 *2802:11 *3013:19 0.00184209 +51 *2804:23 *3013:15 0.00112609 +52 *2811:39 *3013:15 0.00256406 +53 *2824:13 *3013:11 0.000597273 +54 *2824:13 *3013:15 0.000262268 +55 *2921:23 *21405:A 0.000171288 +56 *2921:28 *3595:DIODE 2.70952e-05 +57 *2921:32 *3595:DIODE 3.13398e-05 +58 *2930:23 *3013:19 0.000242997 +59 *2931:42 *21405:A 9.40969e-05 +60 *2931:50 *3013:15 0.000156562 +61 *2931:50 *3013:19 0.000316385 +62 *2938:11 *3013:11 0.000366157 +63 *2939:13 *3013:10 0.000387204 +64 *2942:16 *3013:10 2.84068e-05 +65 *2943:12 *3013:10 9.58815e-05 +*RES +1 *22158:X *3013:10 45.8926 +2 *3013:10 *3013:11 85.0231 +3 *3013:11 *3013:13 0.578717 +4 *3013:13 *3013:15 150.466 +5 *3013:15 *3013:19 33.4691 +6 *3013:19 *21405:A 13.5172 +7 *3013:19 *3595:DIODE 20.0811 +*END + +*D_NET *3014 0.024083 +*CONN +*I *3596:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21406:A I *D sky130_fd_sc_hd__inv_2 +*I *22159:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3596:DIODE 0.00020515 +2 *21406:A 2.81751e-05 +3 *22159:X 0.00143991 +4 *3014:12 0.00108114 +5 *3014:9 0.00249932 +6 *3014:8 0.00165151 +7 *3014:6 0.00143991 +8 *3014:6 *3015:13 0 +9 *4347:DIODE *3014:6 4.27003e-05 +10 *21376:A *3014:6 6.48533e-05 +11 *22630:A *3014:6 0 +12 *1151:15 *3014:6 0 +13 *1169:11 *21406:A 0.000167076 +14 *1201:5 *3596:DIODE 0.000216526 +15 *1207:9 *21406:A 6.99486e-05 +16 *2346:12 *3014:12 0.000763778 +17 *2401:15 *3014:9 0.00245468 +18 *2402:11 *3014:9 0.00632088 +19 *2405:26 *3014:6 0 +20 *2409:15 *3014:9 0.000606176 +21 *2411:20 *3014:12 8.45896e-06 +22 *2429:18 *3596:DIODE 0.000118485 +23 *2429:18 *3014:12 0.00123807 +24 *2519:19 *3014:9 0.000977188 +25 *2537:22 *3596:DIODE 2.15153e-05 +26 *2537:22 *3014:12 3.96375e-05 +27 *2824:32 *3014:9 0.000614316 +28 *2945:8 *3014:6 0.00018434 +29 *2949:6 *3014:12 0.00182929 +*RES +1 *22159:X *3014:6 48.3861 +2 *3014:6 *3014:8 4.5 +3 *3014:8 *3014:9 93.3422 +4 *3014:9 *3014:12 42.4589 +5 *3014:12 *21406:A 15.5817 +6 *3014:12 *3596:DIODE 18.3836 +*END + +*D_NET *3015 0.0151479 +*CONN +*I *21407:A I *D sky130_fd_sc_hd__inv_4 +*I *3597:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22160:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21407:A 0.000102463 +2 *3597:DIODE 0 +3 *22160:X 2.86988e-05 +4 *3015:20 0.00511492 +5 *3015:13 0.00704205 +6 *3015:7 0.00205829 +7 mprj_dat_i_core[15] *3015:13 4.66932e-05 +8 *3565:DIODE *3015:13 0 +9 *4348:DIODE *3015:13 0 +10 *21376:A *3015:13 0 +11 *22631:A *3015:13 0 +12 *22632:A *3015:13 0 +13 *1038:8 *3015:7 6.50727e-05 +14 *1038:8 *3015:13 3.0676e-05 +15 *1152:8 *3015:13 3.77286e-05 +16 *1164:9 *3015:20 6.21462e-05 +17 *1168:23 *3015:20 6.21462e-05 +18 *1183:8 *21407:A 0 +19 *1183:9 *21407:A 0.000167692 +20 *1745:5 *21407:A 0.000167692 +21 *2407:18 *3015:13 0 +22 *2408:17 *3015:13 4.71392e-05 +23 *2944:6 *3015:13 0 +24 *2945:8 *3015:7 0.000114523 +25 *2945:8 *3015:13 0 +26 *3014:6 *3015:13 0 +*RES +1 *22160:X *3015:7 15.0271 +2 *3015:7 *3015:13 46.8738 +3 *3015:13 *3015:20 13.2087 +4 *3015:20 *3597:DIODE 13.7491 +5 *3015:20 *21407:A 16.9985 +*END + +*D_NET *3016 0.00887406 +*CONN +*I *3598:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21408:A I *D sky130_fd_sc_hd__inv_6 +*I *22161:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3598:DIODE 0 +2 *21408:A 0.000126179 +3 *22161:X 0 +4 *3016:20 0.00181042 +5 *3016:11 0.00348166 +6 *3016:5 0.00179742 +7 mprj_dat_i_core[16] *3016:11 0 +8 *4348:DIODE *3016:11 0 +9 *4349:DIODE *3016:11 0.000145031 +10 *21378:A *3016:11 0 +11 *22632:A *3016:11 0 +12 *1039:13 *3016:11 5.22654e-06 +13 *1153:15 *3016:11 7.47831e-05 +14 *1163:15 *3016:20 4.35313e-05 +15 *2407:18 *3016:11 0 +16 *2408:17 *3016:11 2.81568e-05 +17 *2816:27 *3016:20 0.00136165 +18 *2816:31 *3016:20 0 +19 *2816:45 *3016:20 0 +20 *2946:11 *3016:11 0 +*RES +1 *22161:X *3016:5 13.7491 +2 *3016:5 *3016:11 46.2291 +3 *3016:11 *3016:20 23.3889 +4 *3016:20 *21408:A 12.2151 +5 *3016:20 *3598:DIODE 9.24915 +*END + +*D_NET *3017 0.0704965 +*CONN +*I *23400:A I *D sky130_fd_sc_hd__nand2_1 +*I *6106:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22162:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23400:A 3.7016e-05 +2 *6106:DIODE 5.02953e-05 +3 *22162:X 0.000526068 +4 *3017:29 0.00177821 +5 *3017:22 0.0035466 +6 *3017:19 0.00967487 +7 *3017:10 0.0113725 +8 *3017:8 0.0040794 +9 *3017:8 *22761:A 0 +10 *3017:8 *3120:28 0 +11 *3017:10 *3120:28 0 +12 *3017:10 *3217:22 7.44425e-06 +13 *3017:10 *3317:31 0.000343965 +14 *3017:19 *3145:11 0.00942183 +15 *3017:22 *3328:6 0 +16 la_oenb_core[34] *3017:8 4.1752e-05 +17 *3676:DIODE *3017:10 7.52574e-06 +18 *4361:DIODE *3017:8 3.82791e-05 +19 *4803:DIODE *3017:22 0.00012791 +20 *21459:A *3017:29 0.00011818 +21 *22428:A_N *3017:22 6.37077e-06 +22 *22428:B *3017:22 4.15661e-05 +23 *23272:B *3017:29 7.88576e-05 +24 *23400:B *23400:A 4.31603e-06 +25 *23400:B *3017:29 5.63629e-05 +26 *1261:7 *3017:29 0.000825237 +27 *1264:11 *3017:22 8.0653e-05 +28 *1379:26 *3017:19 0.00234216 +29 *1519:5 *3017:29 2.78496e-05 +30 *1805:12 *3017:10 0.000268057 +31 *1952:32 *3017:19 0.0088938 +32 *2003:55 *3017:22 0.000294192 +33 *2031:33 *3017:10 0 +34 *2176:10 *3017:19 0.000133887 +35 *2324:35 *3017:19 0.00150231 +36 *2329:58 *3017:19 0.00240136 +37 *2343:8 *3017:19 0.0112814 +38 *2381:26 *3017:10 2.65974e-05 +39 *2571:9 *3017:29 0.000670111 +40 *2603:20 *3017:19 0.000182281 +41 *2605:20 *3017:10 7.22263e-05 +42 *2762:27 *3017:29 4.04995e-05 +43 *2762:29 *6106:DIODE 8.96314e-06 +44 *2762:29 *3017:29 3.90248e-05 +45 *2846:20 *3017:29 2.45002e-05 +46 *2847:14 *3017:29 2.20585e-05 +*RES +1 *22162:X *3017:8 23.7274 +2 *3017:8 *3017:10 65.7808 +3 *3017:10 *3017:19 39.7381 +4 *3017:19 *3017:22 41.8361 +5 *3017:22 *3017:29 37.9299 +6 *3017:29 *6106:DIODE 9.97254 +7 *3017:29 *23400:A 10.2378 +*END + +*D_NET *3018 0.0125053 +*CONN +*I *21409:A I *D sky130_fd_sc_hd__clkinv_4 +*I *3599:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22163:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21409:A 9.47718e-05 +2 *3599:DIODE 0 +3 *22163:X 0.00251433 +4 *3018:18 0.00260911 +5 *3018:18 *3019:20 0.000112847 +6 *3018:18 *3045:11 0.000223816 +7 mprj_dat_i_core[18] *3018:18 1.91391e-05 +8 *1163:15 *3018:18 1.84122e-06 +9 *1185:5 *21409:A 0.000220044 +10 *1985:83 *3018:18 0.00327966 +11 *2137:78 *21409:A 0.000220044 +12 *2410:22 *3018:18 0.000113623 +13 *2538:26 *3018:18 9.69953e-05 +14 *2816:27 *3018:18 0.00299908 +*RES +1 *22163:X *3018:18 34.6873 +2 *3018:18 *3599:DIODE 13.7491 +3 *3018:18 *21409:A 17.5531 +*END + +*D_NET *3019 0.00997172 +*CONN +*I *21410:A I *D sky130_fd_sc_hd__inv_6 +*I *3600:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22164:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21410:A 8.67151e-05 +2 *3600:DIODE 0 +3 *22164:X 0.00413972 +4 *3019:20 0.00422644 +5 *3019:20 *3020:18 0 +6 *3019:20 *3045:11 7.49735e-06 +7 mprj_dat_i_core[18] *3019:20 5.06495e-05 +8 *4351:DIODE *3019:20 0.000118583 +9 *22093:A *3019:20 0.000171288 +10 *1040:8 *3019:20 6.50727e-05 +11 *1041:10 *3019:20 9.41917e-05 +12 *1163:15 *3019:20 1.84122e-06 +13 *1191:15 *21410:A 9.90116e-05 +14 *2128:47 *21410:A 2.71366e-05 +15 *2128:47 *3019:20 0.00010783 +16 *2137:78 *21410:A 0.000224381 +17 *2410:22 *3019:20 0.000100588 +18 *2417:18 *3019:20 0 +19 *2538:26 *3019:20 0.000337933 +20 *3018:18 *3019:20 0.000112847 +*RES +1 *22164:X *3019:20 41.963 +2 *3019:20 *3600:DIODE 13.7491 +3 *3019:20 *21410:A 17.5531 +*END + +*D_NET *3020 0.00969748 +*CONN +*I *21411:A I *D sky130_fd_sc_hd__inv_6 +*I *3601:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22165:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21411:A 0.000159719 +2 *3601:DIODE 0 +3 *22165:X 0 +4 *3020:18 0.00179119 +5 *3020:11 0.00352226 +6 *3020:5 0.00189079 +7 *21411:A *3366:6 6.45156e-05 +8 *3020:18 *3366:6 7.20173e-06 +9 *3570:DIODE *3020:11 0 +10 *4352:DIODE *3020:11 8.50941e-05 +11 *4353:DIODE *3020:11 0.000148241 +12 *5153:DIODE *3020:11 0 +13 *21381:A *3020:11 0 +14 *1042:13 *3020:11 5.22654e-06 +15 *1157:15 *3020:11 0 +16 *1991:39 *3020:11 0.000234187 +17 *1995:57 *3020:18 3.53091e-06 +18 *2410:22 *3020:11 0 +19 *2412:26 *3020:11 0 +20 *2417:18 *3020:18 0.00165225 +21 *2538:26 *3020:18 0 +22 *2824:32 *3020:11 5.42599e-05 +23 *2824:39 *21411:A 0 +24 *2824:59 *21411:A 0 +25 *2948:9 *3020:11 0 +26 *2950:11 *3020:11 7.90123e-05 +27 *3019:20 *3020:18 0 +*RES +1 *22165:X *3020:5 13.7491 +2 *3020:5 *3020:11 46.7646 +3 *3020:11 *3020:18 11.578 +4 *3020:18 *3601:DIODE 13.7491 +5 *3020:18 *21411:A 18.0727 +*END + +*D_NET *3021 0.0127225 +*CONN +*I *21393:A I *D sky130_fd_sc_hd__inv_4 +*I *3583:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22166:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21393:A 7.53455e-05 +2 *3583:DIODE 7.65377e-05 +3 *22166:X 0 +4 *3021:6 0.002445 +5 *3021:5 0.00229312 +6 mprj_dat_i_core[1] *3021:6 0 +7 *4354:DIODE *3021:6 9.68437e-05 +8 *22504:A *3021:6 0.000229421 +9 *22636:A *3021:6 3.33173e-06 +10 *1145:8 *3021:6 0.00218135 +11 *1178:5 *21393:A 0.000317693 +12 *1198:21 *3583:DIODE 0.000111708 +13 *1198:21 *21393:A 0.000317693 +14 *2411:16 *21393:A 3.5577e-05 +15 *2411:16 *3021:6 0.000915009 +16 *2411:20 *3021:6 0.000315328 +17 *2802:20 *21393:A 4.31921e-05 +18 *2802:20 *3021:6 0.00326533 +19 *2815:12 *3021:6 0 +20 *2949:6 *3021:6 0 +*RES +1 *22166:X *3021:5 13.7491 +2 *3021:5 *3021:6 81.5604 +3 *3021:6 *3583:DIODE 15.0271 +4 *3021:6 *21393:A 18.2471 +*END + +*D_NET *3022 0.0116095 +*CONN +*I *21412:A I *D sky130_fd_sc_hd__inv_4 +*I *3602:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22167:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21412:A 0 +2 *3602:DIODE 0.000146262 +3 *22167:X 0 +4 *3022:23 0.000278536 +5 *3022:20 0.001763 +6 *3022:14 0.00357744 +7 *3022:5 0.00194672 +8 *3022:14 *3024:17 0 +9 *3022:20 *3024:29 0.000616494 +10 mprj_dat_i_core[20] *3022:14 0 +11 *4283:DIODE *3022:14 0.000156823 +12 *4355:DIODE *3022:14 8.0123e-05 +13 *4751:DIODE *3022:20 0 +14 *6313:DIODE *3022:20 0 +15 *21350:A *3022:20 0 +16 *21548:A *3022:23 0.000275322 +17 *22267:TE *3022:20 0 +18 *22637:A *3022:14 0 +19 *1158:8 *3022:14 2.02035e-05 +20 *1188:8 *3602:DIODE 3.28899e-05 +21 *1192:10 *3022:20 7.26819e-05 +22 *1199:9 *3602:DIODE 6.50727e-05 +23 *1199:9 *3022:23 1.67988e-05 +24 *1235:9 *3602:DIODE 1.85628e-05 +25 *1366:9 *3022:20 0 +26 *1743:14 *3022:20 0 +27 *1991:39 *3022:14 0 +28 *2135:55 *3022:20 0 +29 *2412:25 *3022:14 0.00110622 +30 *2412:25 *3022:20 0 +31 *2412:26 *3022:14 0 +32 *2414:18 *3022:14 0.00128941 +33 *2414:18 *3022:20 0.00012424 +34 *2539:22 *3022:20 2.27135e-05 +35 *2827:30 *3022:20 0 +36 *2950:11 *3022:14 0 +*RES +1 *22167:X *3022:5 13.7491 +2 *3022:5 *3022:14 49.8493 +3 *3022:14 *3022:20 47.9375 +4 *3022:20 *3022:23 4.07513 +5 *3022:23 *3602:DIODE 21.635 +6 *3022:23 *21412:A 9.24915 +*END + +*D_NET *3023 0.0148329 +*CONN +*I *3603:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21413:A I *D sky130_fd_sc_hd__inv_6 +*I *22168:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *3603:DIODE 0.000134386 +2 *21413:A 0 +3 *22168:X 0.00258529 +4 *3023:18 0.00209106 +5 *3023:17 0.00454197 +6 *3603:DIODE *3087:6 0 +7 *3603:DIODE *3366:6 0 +8 *3023:17 *3024:29 0 +9 *3023:17 *3025:17 4.48151e-05 +10 *3023:17 *3045:11 3.09863e-05 +11 *3023:18 *3366:6 0 +12 *4286:DIODE *3023:17 1.33977e-05 +13 *4745:DIODE *3023:18 8.12681e-05 +14 *22267:TE *3023:18 0 +15 *22269:A *3023:18 0.000109033 +16 *916:5 *3023:17 5.22654e-06 +17 *1045:8 *3023:17 2.32531e-05 +18 *1189:10 *3603:DIODE 1.79807e-05 +19 *1190:8 *3023:18 0 +20 *1191:13 *3023:18 0 +21 *1233:18 *3023:18 4.27148e-05 +22 *1235:9 *3023:18 0 +23 *1356:10 *3023:18 0 +24 *1743:14 *3023:18 0 +25 *1746:8 *3603:DIODE 2.65904e-05 +26 *1746:8 *3023:18 5.3697e-05 +27 *1747:9 *3023:18 0.000161578 +28 *1991:39 *3023:18 6.42339e-05 +29 *2134:44 *3603:DIODE 1.43983e-05 +30 *2136:48 *3023:17 0 +31 *2412:25 *3023:17 0 +32 *2412:25 *3023:18 0 +33 *2414:18 *3023:17 0 +34 *2418:20 *3023:17 0.00398538 +35 *2539:22 *3023:17 0 +36 *2820:28 *3023:18 0 +37 *2824:32 *3023:18 1.91391e-05 +38 *2824:39 *3023:18 0.000210826 +39 *2824:59 *3023:18 0.000575692 +40 *2827:30 *3023:18 0 +*RES +1 *22168:X *3023:17 33.4323 +2 *3023:17 *3023:18 51.4546 +3 *3023:18 *21413:A 13.7491 +4 *3023:18 *3603:DIODE 16.7198 +*END + +*D_NET *3024 0.0128062 +*CONN +*I *21414:A I *D sky130_fd_sc_hd__inv_4 +*I *3604:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22169:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21414:A 0 +2 *3604:DIODE 3.83652e-05 +3 *22169:X 0.00117629 +4 *3024:29 0.0013998 +5 *3024:17 0.00289503 +6 *3024:11 0.00270989 +7 mprj_dat_i_core[21] *3024:17 4.54077e-05 +8 mprj_dat_i_core[23] *3024:11 3.00073e-05 +9 *4285:DIODE *3024:17 0.000149628 +10 *4355:DIODE *3024:17 7.86904e-05 +11 *4751:DIODE *3024:29 0 +12 *915:5 *3024:17 0.000127179 +13 *1047:8 *3024:11 3.00073e-05 +14 *1191:13 *3604:DIODE 0.000171273 +15 *1195:5 *3024:29 7.6719e-06 +16 *1359:8 *3604:DIODE 3.07726e-05 +17 *1359:8 *3024:29 0.00041959 +18 *1366:9 *3024:29 0.000315622 +19 *1996:55 *3024:29 0 +20 *2137:78 *3604:DIODE 0.000268798 +21 *2137:78 *3024:29 1.92172e-05 +22 *2412:25 *3024:29 0 +23 *2539:22 *3024:17 0.000113487 +24 *2539:22 *3024:29 0.00112242 +25 *2952:9 *3024:17 0.00102782 +26 *2953:8 *3024:17 1.2693e-05 +27 *3022:14 *3024:17 0 +28 *3022:20 *3024:29 0.000616494 +29 *3023:17 *3024:29 0 +*RES +1 *22169:X *3024:11 39.8636 +2 *3024:11 *3024:17 49.0594 +3 *3024:17 *3024:29 41.1103 +4 *3024:29 *3604:DIODE 12.191 +5 *3024:29 *21414:A 9.24915 +*END + +*D_NET *3025 0.0105885 +*CONN +*I *3605:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21415:A I *D sky130_fd_sc_hd__inv_4 +*I *22170:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3605:DIODE 0.000184917 +2 *21415:A 0 +3 *22170:X 0.00210237 +4 *3025:18 0.00122142 +5 *3025:17 0.00313888 +6 *3025:17 *3045:11 0.000193306 +7 *3025:18 *3377:10 0 +8 *3574:DIODE *3025:18 0 +9 *4288:DIODE *3025:17 2.0456e-06 +10 *4751:DIODE *3605:DIODE 0 +11 *4751:DIODE *3025:18 0 +12 *918:5 *3025:17 2.14842e-06 +13 *1047:8 *3025:17 1.91246e-05 +14 *1197:5 *3605:DIODE 0.000114584 +15 *1750:11 *3025:18 0 +16 *1996:55 *3025:18 0 +17 *2414:18 *3025:17 0 +18 *2418:20 *3025:17 0.0010855 +19 *2418:20 *3025:18 0.000221618 +20 *2673:8 *3605:DIODE 0 +21 *2673:8 *3025:18 0 +22 *2955:20 *3025:17 0.00225776 +23 *2955:20 *3025:18 0 +24 *3023:17 *3025:17 4.48151e-05 +*RES +1 *22170:X *3025:17 31.2612 +2 *3025:17 *3025:18 24.8785 +3 *3025:18 *21415:A 13.7491 +4 *3025:18 *3605:DIODE 17.2744 +*END + +*D_NET *3026 0.0105513 +*CONN +*I *21416:A I *D sky130_fd_sc_hd__inv_6 +*I *3606:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22171:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21416:A 0.000113211 +2 *3606:DIODE 0 +3 *22171:X 0 +4 *3026:20 0.00181213 +5 *3026:11 0.0036334 +6 *3026:5 0.00193448 +7 *3026:11 *3027:13 0 +8 *3026:11 *3027:22 0.000306517 +9 *3026:20 *3027:22 0.000105504 +10 *3026:20 *3031:27 0 +11 *3026:20 *3369:6 0.0004882 +12 *4358:DIODE *3026:11 0 +13 *4359:DIODE *3026:11 6.46135e-05 +14 *22641:A *3026:11 7.04404e-05 +15 *919:10 *3026:11 2.71397e-05 +16 *1048:5 *3026:11 2.71397e-05 +17 *1161:13 *3026:20 0.000268195 +18 *1163:11 *3026:20 0.000114471 +19 *1167:9 *3026:20 0.000114382 +20 *1199:9 *21416:A 0.00021569 +21 *2136:52 *3026:20 0.00032326 +22 *2416:26 *3026:11 0 +23 *2417:18 *3026:11 4.19401e-06 +24 *2542:24 *3026:20 0 +25 *2684:8 *3026:20 0.000161001 +26 *2825:29 *3026:20 0 +27 *2956:19 *3026:20 0.000767301 +*RES +1 *22171:X *3026:5 13.7491 +2 *3026:5 *3026:11 49.1796 +3 *3026:11 *3026:20 27.1261 +4 *3026:20 *3606:DIODE 9.24915 +5 *3026:20 *21416:A 12.625 +*END + +*D_NET *3027 0.00938546 +*CONN +*I *21417:A I *D sky130_fd_sc_hd__inv_4 +*I *3607:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22172:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21417:A 0 +2 *3607:DIODE 5.90067e-05 +3 *22172:X 0.00121953 +4 *3027:22 0.00206383 +5 *3027:13 0.00322435 +6 *3027:22 *3031:27 0 +7 *6299:DIODE *3607:DIODE 2.85139e-05 +8 *919:10 *3027:13 0.000225925 +9 *1049:8 *3027:13 6.50727e-05 +10 *1193:5 *3607:DIODE 4.31703e-05 +11 *1197:5 *3607:DIODE 0.000266832 +12 *1992:21 *3027:22 0 +13 *2419:18 *3027:13 0 +14 *2684:8 *3027:22 0 +15 *2956:19 *3027:13 0.000710126 +16 *2956:19 *3027:22 0.00106708 +17 *2956:20 *3027:22 0 +18 *3026:11 *3027:13 0 +19 *3026:11 *3027:22 0.000306517 +20 *3026:20 *3027:22 0.000105504 +*RES +1 *22172:X *3027:13 48.8179 +2 *3027:13 *3027:22 40.6271 +3 *3027:22 *3607:DIODE 12.191 +4 *3027:22 *21417:A 9.24915 +*END + +*D_NET *3028 0.0663953 +*CONN +*I *23347:A I *D sky130_fd_sc_hd__nand2_1 +*I *6000:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22173:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23347:A 0 +2 *6000:DIODE 0.000112442 +3 *22173:X 0.00138086 +4 *3028:28 0.00458443 +5 *3028:27 0.00447199 +6 *3028:25 0.00241321 +7 *3028:24 0.00283649 +8 *3028:18 0.000829461 +9 *3028:6 0.00178704 +10 *3028:6 *22707:A 0 +11 *3028:6 *22708:A 0 +12 *3028:6 *22963:A 0 +13 *3028:6 *3345:14 0 +14 *3028:6 *3347:10 0 +15 *3028:6 *3353:6 0 +16 *3028:18 *3066:18 1.47102e-05 +17 *3028:24 *3349:6 4.98393e-05 +18 *3028:25 *3079:5 7.34948e-06 +19 *3028:25 *3165:19 0.000772066 +20 *3028:28 *3439:8 0.00162706 +21 *3028:28 *3478:45 7.8412e-05 +22 la_oenb_core[101] *3028:6 4.90264e-05 +23 *4400:DIODE *3028:6 2.66039e-05 +24 *22262:TE *3028:25 3.63956e-05 +25 *22542:A *3028:28 0 +26 *1180:19 *3028:28 0.00168881 +27 *1594:9 *6000:DIODE 6.92514e-05 +28 *1823:8 *3028:28 0 +29 *1954:25 *3028:28 2.05866e-05 +30 *1987:25 *3028:28 0.000354938 +31 *2095:13 *3028:28 0.000601089 +32 *2099:17 *3028:28 2.21643e-05 +33 *2112:23 *3028:28 0.0001125 +34 *2280:24 *3028:25 0.000202229 +35 *2280:26 *3028:25 0.000366132 +36 *2280:43 *3028:25 0.000593585 +37 *2280:44 *3028:25 9.24602e-05 +38 *2350:17 *3028:25 0.000344035 +39 *2362:18 *3028:6 0.00059946 +40 *2411:9 *3028:24 0.00305079 +41 *2427:9 *3028:25 0.0120476 +42 *2447:9 *3028:25 0.00352098 +43 *2491:9 *3028:25 0.00180262 +44 *2503:15 *3028:25 0.0150225 +45 *2585:9 *3028:24 0.00305501 +46 *2618:15 *3028:18 0.000854573 +47 *2618:19 *3028:18 0.00011818 +48 *2624:36 *6000:DIODE 0 +49 *2624:36 *3028:28 0 +50 *2634:22 *6000:DIODE 2.3386e-05 +51 *2634:22 *3028:28 0.000468584 +52 *2662:12 *3028:18 7.50872e-05 +53 *2920:40 *3028:28 0 +54 *2925:36 *3028:28 6.90376e-05 +55 *2977:37 *3028:6 0.000142217 +*RES +1 *22173:X *3028:6 46.7251 +2 *3028:6 *3028:18 26.3705 +3 *3028:18 *3028:24 44.5529 +4 *3028:24 *3028:25 213.137 +5 *3028:25 *3028:27 4.5 +6 *3028:27 *3028:28 109.798 +7 *3028:28 *6000:DIODE 16.4116 +8 *3028:28 *23347:A 13.7491 +*END + +*D_NET *3029 0.0606627 +*CONN +*I *6108:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23401:A I *D sky130_fd_sc_hd__nand2_1 +*I *22174:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6108:DIODE 0 +2 *23401:A 0.000134809 +3 *22174:X 0 +4 *3029:47 0.00286761 +5 *3029:46 0.00379096 +6 *3029:42 0.00137881 +7 *3029:34 0.00278696 +8 *3029:33 0.0024663 +9 *3029:31 0.000726773 +10 *3029:30 0.000919092 +11 *3029:27 0.00266889 +12 *3029:24 0.00257092 +13 *3029:21 0.000696192 +14 *3029:8 0.00263731 +15 *3029:5 0.00203546 +16 *3029:8 *22762:A 0 +17 *3029:8 *3122:30 0 +18 *3029:8 *3405:8 0.000258534 +19 *3029:21 *3130:35 0.00106447 +20 *3029:21 *3146:5 0.000241797 +21 *3029:24 *3118:16 1.74671e-05 +22 *3029:24 *3398:12 0 +23 *3029:27 *3436:5 0.00404177 +24 *3029:31 *3130:23 0.00676809 +25 *3029:34 *3123:30 0.000609982 +26 *3029:34 *3123:32 0.000309902 +27 *3029:34 *3382:16 5.4773e-05 +28 *3029:42 *3123:30 0.000448718 +29 *3029:46 *3123:27 3.348e-05 +30 la_data_in_core[36] *3029:8 0 +31 *4373:DIODE *3029:8 2.1558e-06 +32 *4598:DIODE *3029:21 6.08467e-05 +33 *6109:DIODE *23401:A 6.73186e-05 +34 *22326:TE *3029:24 3.60933e-06 +35 *22440:B *3029:34 9.83674e-06 +36 *1153:45 *3029:47 0.00367284 +37 *1290:10 *3029:21 5.61206e-05 +38 *1381:28 *3029:34 0.00011867 +39 *1402:26 *3029:30 0.000214054 +40 *1414:20 *3029:8 5.20878e-05 +41 *1414:20 *3029:21 0.00234589 +42 *1416:13 *3029:8 0 +43 *1788:8 *3029:34 0.000270877 +44 *1883:20 *3029:34 0.000383393 +45 *1883:22 *3029:34 0.000225102 +46 *1887:13 *3029:42 4.23622e-05 +47 *1908:14 *3029:34 4.49767e-05 +48 *2013:13 *3029:34 1.89323e-05 +49 *2013:20 *3029:34 0.00029845 +50 *2013:23 *3029:34 0.00157537 +51 *2020:22 *3029:46 6.23313e-05 +52 *2032:24 *3029:42 0.000107496 +53 *2125:19 *3029:8 0.000245829 +54 *2150:12 *23401:A 5.07314e-05 +55 *2150:12 *3029:47 0.000176696 +56 *2152:34 *23401:A 0.000239662 +57 *2158:31 *3029:34 0.000310825 +58 *2383:18 *3029:30 7.48482e-05 +59 *2384:6 *3029:8 0 +60 *2388:41 *3029:27 0.00012601 +61 *2434:11 *3029:21 0.000115582 +62 *2446:34 *3029:8 1.56847e-05 +63 *2458:21 *3029:21 0.000626312 +64 *2458:31 *3029:31 0.000110257 +65 *2587:16 *3029:46 0.00147144 +66 *2733:8 *3029:46 0.000433307 +67 *2851:28 *3029:34 3.65909e-05 +68 *2865:54 *3029:31 0.00676388 +69 *2865:58 *3029:21 1.65872e-05 +70 *2869:22 *3029:46 5.21758e-06 +71 *2980:14 *3029:8 0 +72 *2995:60 *3029:42 1.69447e-05 +73 *2995:72 *3029:42 0.000419021 +74 *2995:72 *3029:46 4.58259e-05 +75 *2995:87 *23401:A 0.000176727 +76 *3007:45 *3029:8 2.2979e-05 +*RES +1 *22174:X *3029:5 13.7491 +2 *3029:5 *3029:8 43.2894 +3 *3029:8 *3029:21 49.5709 +4 *3029:21 *3029:24 6.332 +5 *3029:24 *3029:27 48.4825 +6 *3029:27 *3029:30 9.23876 +7 *3029:30 *3029:31 73.3765 +8 *3029:31 *3029:33 4.5 +9 *3029:33 *3029:34 67.8571 +10 *3029:34 *3029:42 20.7326 +11 *3029:42 *3029:46 35.8149 +12 *3029:46 *3029:47 47.8647 +13 *3029:47 *23401:A 15.5909 +14 *3029:47 *6108:DIODE 9.24915 +*END + +*D_NET *3030 0.011457 +*CONN +*I *21418:A I *D sky130_fd_sc_hd__inv_6 +*I *3608:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22175:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21418:A 0.000172713 +2 *3608:DIODE 5.32625e-05 +3 *22175:X 0.00256463 +4 *3030:21 0.000502796 +5 *3030:20 0.0020039 +6 *3030:17 0.00429171 +7 *3030:17 *3031:27 0 +8 *3030:17 *3045:11 1.57312e-05 +9 *3577:DIODE *3030:20 0 +10 *22274:A *3030:20 7.97533e-05 +11 *22400:B *3030:20 0 +12 *921:5 *3030:17 4.01315e-05 +13 *1050:7 *3030:17 1.83332e-05 +14 *1199:8 *3030:20 0.000348793 +15 *1238:16 *3030:20 0.000166362 +16 *1365:10 *21418:A 0 +17 *1748:8 *3030:20 0 +18 *1992:21 *3030:20 0 +19 *2420:18 *3030:17 0.00117952 +20 *2422:22 *3030:20 0 +21 *2422:34 *3030:17 1.93857e-05 +22 *2696:8 *3030:20 0 +23 *2958:22 *3030:20 0 +*RES +1 *22175:X *3030:17 31.6739 +2 *3030:17 *3030:20 49.7258 +3 *3030:20 *3030:21 4.05102 +4 *3030:21 *3608:DIODE 9.97254 +5 *3030:21 *21418:A 22.5727 +*END + +*D_NET *3031 0.0111695 +*CONN +*I *21419:A I *D sky130_fd_sc_hd__clkinv_4 +*I *3609:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22176:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21419:A 0 +2 *3609:DIODE 0.000140526 +3 *22176:X 0.00272194 +4 *3031:30 0.000316337 +5 *3031:27 0.00289775 +6 *3031:27 *3045:11 4.61276e-05 +7 mprj_dat_i_core[26] *3031:27 9.25366e-05 +8 *22103:A *3031:27 6.50727e-05 +9 *22175:A *3031:27 6.08467e-05 +10 *22274:TE *3031:30 0.000107279 +11 *1051:8 *3031:27 6.50727e-05 +12 *1195:5 *3609:DIODE 5.56461e-05 +13 *1750:12 *3031:30 3.00073e-05 +14 *1992:21 *3031:30 0.000474312 +15 *2420:18 *3031:27 0.000205985 +16 *2956:19 *3031:27 0 +17 *2957:21 *3031:27 0.00215443 +18 *2957:25 *3031:30 4.43417e-05 +19 *2958:9 *3031:27 0.000194651 +20 *2958:19 *3031:27 0.00126458 +21 *2958:22 *3031:27 0.000232108 +22 *3026:20 *3031:27 0 +23 *3027:22 *3031:27 0 +24 *3030:17 *3031:27 0 +*RES +1 *22176:X *3031:27 44.0119 +2 *3031:27 *3031:30 12.7684 +3 *3031:30 *3609:DIODE 12.7456 +4 *3031:30 *21419:A 9.24915 +*END + +*D_NET *3032 0.013876 +*CONN +*I *21420:A I *D sky130_fd_sc_hd__inv_4 +*I *3610:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22177:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21420:A 0.000168606 +2 *3610:DIODE 0 +3 *22177:X 0.00099832 +4 *3032:27 0.00186599 +5 *3032:24 0.00422019 +6 *3032:22 0.00352113 +7 mprj_dat_i_core[31] *3032:22 0 +8 user1_vdd_powergood *3032:24 4.76318e-05 +9 *4296:DIODE *3032:22 6.92705e-05 +10 *4297:DIODE *3032:22 0.000292492 +11 *4297:DIODE *3032:24 9.73734e-05 +12 *4378:DIODE *3032:22 0.000125761 +13 *22105:A *3032:22 6.50727e-05 +14 *22177:A *3032:22 0.000114594 +15 *22649:A *3032:24 8.89679e-05 +16 *925:8 *3032:22 4.88955e-05 +17 *926:8 *3032:22 0.00026715 +18 *1055:8 *3032:22 1.46079e-05 +19 *1096:8 *3032:22 1.92172e-05 +20 *2425:12 *3032:24 0.00154758 +21 *2960:7 *3032:22 8.52139e-05 +22 *2963:7 *3032:22 0.000217923 +*RES +1 *22177:X *3032:22 40.9267 +2 *3032:22 *3032:24 86.9221 +3 *3032:24 *3032:27 28.5167 +4 *3032:27 *3610:DIODE 9.24915 +5 *3032:27 *21420:A 13.0349 +*END + +*D_NET *3033 0.0144407 +*CONN +*I *3611:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21421:A I *D sky130_fd_sc_hd__inv_4 +*I *22178:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3611:DIODE 0 +2 *21421:A 0.00082866 +3 *22178:X 0.000717235 +4 *3033:15 0.00152379 +5 *3033:12 0.00182698 +6 *3033:10 0.00184909 +7 *3033:10 *3036:8 7.60607e-05 +8 *3033:10 *3036:12 0.00107847 +9 *3033:12 *3036:12 6.67771e-05 +10 *3033:12 *3036:17 0.000657433 +11 mprj_dat_i_core[30] *3033:10 6.65668e-05 +12 user1_vcc_powergood *3033:10 0.000139215 +13 user_irq[0] *3033:12 3.42037e-06 +14 *21390:A *21421:A 0.000264586 +15 *22178:A *3033:10 2.99287e-05 +16 *22648:A *3033:10 0.000440211 +17 *22695:A *3033:10 0.00034873 +18 *2424:19 *3033:12 0.000304742 +19 *2424:26 *3033:10 0.000601342 +20 *2740:14 *3033:12 0.000546173 +21 *2963:13 *3033:10 0.000391885 +22 *2963:13 *3033:12 4.26767e-05 +23 *2963:19 *3033:12 0.00155777 +24 *2963:23 *21421:A 0.000197982 +25 *2963:23 *3033:15 0.000880956 +*RES +1 *22178:X *3033:10 48.8041 +2 *3033:10 *3033:12 47.7174 +3 *3033:12 *3033:15 16.3155 +4 *3033:15 *21421:A 22.0533 +5 *3033:15 *3611:DIODE 9.24915 +*END + +*D_NET *3034 0.0125042 +*CONN +*I *21394:A I *D sky130_fd_sc_hd__clkinv_4 +*I *3584:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22179:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21394:A 0.00010978 +2 *3584:DIODE 0 +3 *22179:X 0 +4 *3034:6 0.0020088 +5 *3034:5 0.00189902 +6 *3034:6 *3037:12 0.00409988 +7 *4381:DIODE *3034:6 7.86847e-05 +8 *22650:A *3034:6 0 +9 *1162:7 *21394:A 7.77744e-05 +10 *1173:10 *3034:6 0.00197955 +11 *1195:28 *3034:6 4.25398e-05 +12 *1205:5 *21394:A 0.000205101 +13 *1975:49 *21394:A 2.6333e-05 +14 *1975:49 *3034:6 0.000286862 +15 *2130:25 *3034:6 0.000124429 +16 *2519:22 *21394:A 2.29959e-05 +17 *2519:22 *3034:6 0.000174403 +18 *2673:16 *3034:6 0.00110162 +19 *2684:28 *3034:6 5.4224e-06 +20 *2740:20 *3034:6 0.000260982 +*RES +1 *22179:X *3034:5 13.7491 +2 *3034:5 *3034:6 84.4672 +3 *3034:6 *3584:DIODE 13.7491 +4 *3034:6 *21394:A 17.5531 +*END + +*D_NET *3035 0.0148124 +*CONN +*I *21422:A I *D sky130_fd_sc_hd__inv_4 +*I *3612:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22180:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21422:A 2.06324e-05 +2 *3612:DIODE 0.000105191 +3 *22180:X 6.17059e-05 +4 *3035:19 0.00135166 +5 *3035:13 0.00188238 +6 *3035:8 0.00133775 +7 *3035:7 0.000742913 +8 *3035:8 *3036:8 0.000644957 +9 *3035:8 *3036:12 7.44766e-05 +10 user1_vcc_powergood *3035:8 1.2693e-05 +11 user2_vdd_powergood *3035:8 0.000536394 +12 *21388:A *3035:19 0.000415928 +13 *22181:A *3035:7 4.31539e-05 +14 *22697:A *3035:8 0.000223534 +15 *1056:8 *3035:7 5.04829e-06 +16 *2424:19 *3035:13 0 +17 *2424:25 *3035:8 0.00206535 +18 *2479:48 *3035:8 2.02035e-05 +19 *2729:9 *3035:13 0 +20 *2959:23 *3035:19 0.00156937 +21 *2963:19 *3035:13 0 +22 *2964:8 *3035:8 0.0036991 +*RES +1 *22180:X *3035:7 15.0271 +2 *3035:7 *3035:8 60.7978 +3 *3035:8 *3035:13 24.6977 +4 *3035:13 *3035:19 41.7016 +5 *3035:19 *3612:DIODE 11.6364 +6 *3035:19 *21422:A 9.82786 +*END + +*D_NET *3036 0.0123671 +*CONN +*I *3613:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21423:A I *D sky130_fd_sc_hd__clkinv_4 +*I *22181:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3613:DIODE 0 +2 *21423:A 0.000216568 +3 *22181:X 0.000224491 +4 *3036:17 0.00100258 +5 *3036:12 0.00143063 +6 *3036:8 0.00086911 +7 *21423:A *3377:11 6.34953e-05 +8 user1_vcc_powergood *3036:8 7.50722e-05 +9 user2_vdd_powergood *3036:12 0.000522452 +10 user2_vdd_powergood *3036:17 0.000296427 +11 user_irq[0] *3036:17 3.06627e-05 +12 *3581:DIODE *21423:A 3.07159e-05 +13 *22695:A *3036:8 0.000377461 +14 *22697:A *3036:12 0.00021441 +15 *22701:A *3036:17 0.000162663 +16 *2424:25 *3036:12 0.00206535 +17 *2479:48 *3036:12 2.02035e-05 +18 *2963:19 *3036:12 0.00155777 +19 *2964:11 *21423:A 6.49003e-05 +20 *2964:11 *3036:17 0.000543982 +21 *3033:10 *3036:8 7.60607e-05 +22 *3033:10 *3036:12 0.00107847 +23 *3033:12 *3036:12 6.67771e-05 +24 *3033:12 *3036:17 0.000657433 +25 *3035:8 *3036:8 0.000644957 +26 *3035:8 *3036:12 7.44766e-05 +*RES +1 *22181:X *3036:8 25.6571 +2 *3036:8 *3036:12 49.757 +3 *3036:12 *3036:17 31.9347 +4 *3036:17 *21423:A 14.9881 +5 *3036:17 *3613:DIODE 9.24915 +*END + +*D_NET *3037 0.0124923 +*CONN +*I *21395:A I *D sky130_fd_sc_hd__inv_4 +*I *3585:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22182:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21395:A 0 +2 *3585:DIODE 5.65826e-05 +3 *22182:X 0.00043522 +4 *3037:14 5.65826e-05 +5 *3037:12 0.00189641 +6 *3037:11 0.00233163 +7 *3037:12 *3046:10 0.000394706 +8 *4367:DIODE *3037:12 0.000123582 +9 *4381:DIODE *3037:12 1.87269e-05 +10 *1054:7 *3037:12 8.39346e-05 +11 *1057:8 *3037:11 0.000171288 +12 *1099:5 *3037:12 8.76418e-05 +13 *1160:26 *3585:DIODE 3.31745e-05 +14 *1200:27 *3585:DIODE 0.000200794 +15 *1201:5 *3585:DIODE 0.000107496 +16 *2130:25 *3037:12 0.000125458 +17 *2402:8 *3037:12 1.08183e-05 +18 *2519:22 *3037:12 0.00225835 +19 *3034:6 *3037:12 0.00409988 +*RES +1 *22182:X *3037:11 23.5391 +2 *3037:11 *3037:12 79.8994 +3 *3037:12 *3037:14 4.5 +4 *3037:14 *3585:DIODE 11.6364 +5 *3037:14 *21395:A 9.24915 +*END + +*D_NET *3038 0.0121231 +*CONN +*I *21396:A I *D sky130_fd_sc_hd__inv_4 +*I *3586:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22183:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21396:A 0.000191046 +2 *3586:DIODE 0 +3 *22183:X 0.00401478 +4 *3038:20 0.00148139 +5 *3038:19 0.00530513 +6 *21396:A *3351:14 0 +7 *3038:20 *3351:14 0 +8 *3553:DIODE *3038:20 0 +9 *22111:A *3038:19 2.65831e-05 +10 *928:5 *3038:19 4.15236e-05 +11 *1058:8 *3038:19 9.95208e-05 +12 *1100:5 *3038:19 1.61138e-05 +13 *1202:8 *21396:A 3.67708e-05 +14 *1349:13 *3038:20 0.000335971 +15 *1979:31 *3038:19 5.51377e-06 +16 *1990:40 *3038:19 4.20312e-06 +17 *1995:57 *3038:20 0 +18 *2409:6 *21396:A 0 +19 *2409:6 *3038:20 0 +20 *2541:24 *3038:20 0 +21 *2822:15 *3038:19 1.32822e-05 +22 *2965:15 *3038:19 0.000278194 +23 *2965:18 *3038:20 0 +24 *2968:11 *3038:19 0.00027309 +25 *3011:20 *3038:19 0 +*RES +1 *22183:X *3038:19 43.3685 +2 *3038:19 *3038:20 26.9548 +3 *3038:20 *3586:DIODE 13.7491 +4 *3038:20 *21396:A 18.0727 +*END + +*D_NET *3039 0.0146897 +*CONN +*I *21397:A I *D sky130_fd_sc_hd__inv_4 +*I *3587:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22184:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21397:A 8.64514e-05 +2 *3587:DIODE 0 +3 *22184:X 0.000323569 +4 *3039:23 0.000162005 +5 *3039:20 0.00186066 +6 *3039:19 0.00195064 +7 *3039:13 0.00235486 +8 *3039:7 0.00251289 +9 *21397:A *3352:13 0.000282995 +10 *3039:20 *3041:18 3.88655e-06 +11 *3039:20 *3352:13 1.77537e-06 +12 *3039:20 *3358:13 0.000184336 +13 *3039:23 *3352:13 4.33655e-05 +14 mprj_dat_i_core[5] *3039:13 4.89039e-05 +15 mprj_dat_i_core[6] *3039:13 0 +16 *4371:DIODE *3039:13 0 +17 *22652:A *3039:13 0 +18 *930:8 *3039:7 1.67988e-05 +19 *1059:20 *3039:7 0.000222149 +20 *1059:20 *3039:13 2.12235e-05 +21 *1188:23 *21397:A 8.64022e-05 +22 *1733:12 *3039:20 0 +23 *1976:39 *3039:13 8.32723e-05 +24 *2119:45 *3039:20 0 +25 *2429:30 *3039:13 0 +26 *2821:20 *3039:20 0.00153489 +27 *2822:15 *3039:19 1.83756e-05 +28 *2967:10 *3039:13 5.01579e-05 +29 *2967:23 *3039:13 6.44502e-05 +30 *2967:23 *3039:19 0.00105658 +31 *2967:24 *3039:20 0.000229111 +32 *2968:11 *3039:7 0.0002646 +33 *3011:20 *3039:19 0.00112242 +34 *3011:20 *3039:20 0.000102893 +*RES +1 *22184:X *3039:7 19.464 +2 *3039:7 *3039:13 48.2726 +3 *3039:13 *3039:19 6.05575 +4 *3039:19 *3039:20 46.8869 +5 *3039:20 *3039:23 5.778 +6 *3039:23 *3587:DIODE 9.24915 +7 *3039:23 *21397:A 13.3484 +*END + +*D_NET *3040 0.0769859 +*CONN +*I *6110:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23402:A I *D sky130_fd_sc_hd__nand2_1 +*I *22185:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6110:DIODE 0 +2 *23402:A 5.38619e-05 +3 *22185:X 4.51842e-05 +4 *3040:36 0.000196153 +5 *3040:31 0.00072998 +6 *3040:30 0.000587689 +7 *3040:28 0.00173142 +8 *3040:27 0.00653947 +9 *3040:8 0.0068127 +10 *3040:7 0.00204983 +11 *3040:8 *22763:A 0.000168774 +12 *3040:8 *23018:A 0.000177913 +13 *3040:8 *3123:62 0.00162674 +14 *3040:8 *3407:6 0.00231931 +15 *3040:27 *3116:31 0.000101365 +16 *3040:27 *3133:29 0.000130331 +17 *3040:27 *3134:17 0.0106418 +18 *3040:36 *3328:6 0.00014348 +19 la_data_in_core[37] *3040:8 6.51637e-05 +20 *4384:DIODE *3040:8 1.17351e-05 +21 *6111:DIODE *23402:A 0.000175485 +22 *1262:12 *3040:31 0.00258352 +23 *1264:11 *3040:36 0 +24 *1410:17 *3040:27 0.000149641 +25 *1415:11 *3040:27 0.000137573 +26 *1893:21 *3040:31 0.00456439 +27 *1899:13 *3040:27 7.10185e-05 +28 *1901:18 *3040:27 5.34805e-06 +29 *1907:11 *3040:27 7.69987e-05 +30 *1926:14 *3040:27 0.000110257 +31 *1940:35 *3040:27 0.00120763 +32 *2008:53 *3040:27 0.00747597 +33 *2026:37 *3040:27 5.93953e-05 +34 *2058:17 *3040:27 0.000162497 +35 *2105:24 *3040:31 0.000831096 +36 *2108:22 *3040:8 2.61574e-05 +37 *2178:18 *23402:A 0.000120742 +38 *2341:36 *3040:27 0.00718974 +39 *2341:45 *3040:27 0.0131724 +40 *2381:18 *3040:8 0 +41 *2582:16 *3040:28 0.00253771 +42 *2651:8 *3040:28 0.000470352 +43 *2762:27 *23402:A 0.000441022 +44 *2866:14 *3040:28 0.00122326 +45 *3001:65 *3040:7 6.08467e-05 +*RES +1 *22185:X *3040:7 14.4725 +2 *3040:7 *3040:8 56.0224 +3 *3040:8 *3040:27 44.134 +4 *3040:27 *3040:28 52.7004 +5 *3040:28 *3040:30 4.5 +6 *3040:30 *3040:31 49.5285 +7 *3040:31 *3040:36 12.0778 +8 *3040:36 *23402:A 14.4335 +9 *3040:36 *6110:DIODE 9.24915 +*END + +*D_NET *3041 0.0173885 +*CONN +*I *21398:A I *D sky130_fd_sc_hd__inv_4 +*I *3588:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22186:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21398:A 4.72272e-05 +2 *3588:DIODE 0 +3 *22186:X 0.00304072 +4 *3041:18 0.00112948 +5 *3041:17 0.00412297 +6 *4739:DIODE *3041:18 3.95141e-05 +7 *21365:A *3041:18 4.18989e-05 +8 *1060:12 *3041:17 4.6012e-05 +9 *1195:28 *3041:17 0 +10 *1233:14 *21398:A 0.000204447 +11 *1233:14 *3041:18 0.000104731 +12 *1350:10 *3041:18 4.21771e-05 +13 *1979:31 *3041:17 1.79535e-05 +14 *1988:39 *3041:18 0.00101761 +15 *1990:40 *3041:17 1.15244e-05 +16 *2119:45 *21398:A 0 +17 *2119:45 *3041:18 0 +18 *2410:6 *21398:A 4.3116e-06 +19 *2410:6 *3041:18 2.41958e-05 +20 *2430:18 *3041:17 0.00433927 +21 *2821:20 *3041:18 0.00156587 +22 *2822:15 *3041:17 0.00109329 +23 *2823:17 *21398:A 0.000171273 +24 *2967:24 *3041:18 0.00019731 +25 *3011:20 *3041:17 0.000122844 +26 *3039:20 *3041:18 3.88655e-06 +*RES +1 *22186:X *3041:17 34.2685 +2 *3041:17 *3041:18 36.9208 +3 *3041:18 *3588:DIODE 13.7491 +4 *3041:18 *21398:A 16.5832 +*END + +*D_NET *3042 0.0104784 +*CONN +*I *21399:A I *D sky130_fd_sc_hd__inv_4 +*I *3589:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22187:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *21399:A 0.000121935 +2 *3589:DIODE 0 +3 *22187:X 0 +4 *3042:18 0.001401 +5 *3042:15 0.00320466 +6 *3042:5 0.0019256 +7 *4375:DIODE *3042:15 0.00015321 +8 *22654:A *3042:15 0 +9 *22655:A *3042:15 5.8966e-05 +10 *932:8 *3042:15 3.67528e-06 +11 *1162:7 *21399:A 7.16754e-05 +12 *1175:8 *3042:15 0.000146295 +13 *1976:39 *3042:15 8.30949e-05 +14 *2406:8 *21399:A 0 +15 *2406:8 *3042:18 0 +16 *2406:20 *3042:15 3.27616e-06 +17 *2412:8 *21399:A 1.58565e-05 +18 *2412:8 *3042:18 0.000194612 +19 *2431:18 *3042:15 0 +20 *2432:20 *3042:15 0.000473919 +21 *2523:30 *3042:18 0 +22 *2531:20 *3042:15 0.00116396 +23 *2707:22 *3042:18 0.000173605 +24 *2811:46 *3042:15 0.00118015 +25 *2811:46 *3042:18 0.000102893 +26 *2971:13 *3042:15 0 +*RES +1 *22187:X *3042:5 13.7491 +2 *3042:5 *3042:15 49.1834 +3 *3042:15 *3042:18 33.6456 +4 *3042:18 *3589:DIODE 13.7491 +5 *3042:18 *21399:A 16.9985 +*END + +*D_NET *3043 0.00979743 +*CONN +*I *3590:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21400:A I *D sky130_fd_sc_hd__clkinv_4 +*I *22188:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3590:DIODE 5.86561e-05 +2 *21400:A 0 +3 *22188:X 0 +4 *3043:20 0.00141378 +5 *3043:15 0.00355511 +6 *3043:5 0.00219999 +7 *3560:DIODE *3043:15 3.34377e-05 +8 *4303:DIODE *3043:15 2.99929e-05 +9 *4376:DIODE *3043:15 0.000258933 +10 *22656:A *3043:15 0 +11 *1146:8 *3043:15 0 +12 *1193:13 *3043:20 9.60556e-05 +13 *1197:13 *3590:DIODE 0.000103139 +14 *1735:10 *3043:20 5.15415e-05 +15 *1976:39 *3043:15 0.000372715 +16 *1979:31 *3043:20 0 +17 *2123:38 *3590:DIODE 0.000260388 +18 *2406:20 *3043:15 3.27616e-06 +19 *2432:20 *3043:15 0 +20 *2433:20 *3043:15 0 +21 *2521:34 *3043:15 0.000357993 +22 *2523:30 *3043:20 0 +23 *2822:18 *3043:20 0.000981974 +24 *2824:32 *3043:15 2.04539e-05 +25 *2970:9 *3043:15 0 +*RES +1 *22188:X *3043:5 13.7491 +2 *3043:5 *3043:15 47.7846 +3 *3043:15 *3043:20 42.2981 +4 *3043:20 *21400:A 9.24915 +5 *3043:20 *3590:DIODE 12.191 +*END + +*D_NET *3044 0.00972212 +*CONN +*I *3591:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21401:A I *D sky130_fd_sc_hd__inv_6 +*I *22189:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3591:DIODE 0 +2 *21401:A 1.70148e-05 +3 *22189:X 0 +4 *3044:20 0.000966523 +5 *3044:15 0.00281235 +6 *3044:5 0.00186285 +7 *4271:DIODE *3044:15 0.000120052 +8 *4377:DIODE *3044:15 0.000338555 +9 *22656:A *3044:15 0 +10 *903:5 *3044:15 3.67708e-05 +11 *1147:10 *3044:15 0.000761841 +12 *1185:5 *21401:A 0.000169862 +13 *1195:17 *3044:20 7.08723e-06 +14 *1207:8 *3044:20 0 +15 *1352:20 *3044:20 4.26859e-05 +16 *2122:51 *3044:15 0.00116396 +17 *2137:76 *21401:A 0.000169862 +18 *2406:20 *3044:15 3.27616e-06 +19 *2433:20 *3044:15 0 +20 *2524:52 *3044:20 0 +21 *2526:32 *3044:15 0.00055951 +22 *2820:20 *3044:20 0.00056582 +23 *2820:41 *3044:20 1.38872e-05 +24 *2824:32 *3044:15 2.04654e-05 +25 *2938:11 *3044:15 8.9751e-05 +26 *3010:11 *3044:15 0 +*RES +1 *22189:X *3044:5 13.7491 +2 *3044:5 *3044:15 49.0304 +3 *3044:15 *3044:20 32.7473 +4 *3044:20 *21401:A 11.0817 +5 *3044:20 *3591:DIODE 9.24915 +*END + +*D_NET *3045 0.106922 +*CONN +*I *6508:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23601:A I *D sky130_fd_sc_hd__and2_4 +*I *22190:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6508:DIODE 0 +2 *23601:A 0.000150649 +3 *22190:X 0.0053736 +4 *3045:22 0.00340827 +5 *3045:13 0.0109971 +6 *3045:11 0.0131131 +7 mprj_dat_i_core[30] *3045:11 5.19175e-05 +8 user1_vcc_powergood *3045:11 1.23869e-05 +9 *1055:8 *3045:11 5.54049e-05 +10 *1943:36 *23601:A 5.39627e-05 +11 *2094:8 *3045:13 0.00156478 +12 *2103:20 *3045:13 0.0289055 +13 *2110:37 *3045:22 0.00273213 +14 *2118:19 *3045:22 0.00592291 +15 *2245:17 *3045:22 0 +16 *2247:19 *3045:22 0.000335512 +17 *2294:26 *23601:A 0.000264572 +18 *2312:11 *23601:A 9.66954e-05 +19 *2312:11 *3045:22 7.13066e-05 +20 *2313:7 *23601:A 3.03084e-05 +21 *2313:7 *3045:22 2.60141e-05 +22 *2328:18 *3045:22 5.76913e-05 +23 *2330:20 *3045:22 5.76913e-05 +24 *2410:22 *3045:11 0 +25 *2410:22 *3045:13 0 +26 *2414:18 *3045:11 0 +27 *2488:7 *23601:A 6.08467e-05 +28 *2490:20 *3045:22 0 +29 *2530:9 *3045:13 0.000405492 +30 *2536:9 *3045:13 0.00058012 +31 *2537:9 *3045:13 0.00104755 +32 *2541:9 *3045:13 0.000815722 +33 *2644:9 *3045:13 0.000385925 +34 *2800:9 *3045:13 0.00170909 +35 *2822:15 *3045:13 0.0104874 +36 *2913:11 *3045:13 0.00132274 +37 *2914:11 *3045:13 0.00542277 +38 *2927:9 *3045:13 0.00111509 +39 *2937:9 *3045:13 0.000240115 +40 *2966:9 *3045:13 0.00857872 +41 *3011:20 *3045:13 0.000951052 +42 *3018:18 *3045:11 0.000223816 +43 *3019:20 *3045:11 7.49735e-06 +44 *3023:17 *3045:11 3.09863e-05 +45 *3025:17 *3045:11 0.000193306 +46 *3030:17 *3045:11 1.57312e-05 +47 *3031:27 *3045:11 4.61276e-05 +*RES +1 *22190:X *3045:11 34.8526 +2 *3045:11 *3045:13 58.0294 +3 *3045:13 *3045:22 19.8301 +4 *3045:22 *23601:A 18.9382 +5 *3045:22 *6508:DIODE 13.7491 +*END + +*D_NET *3046 0.0145334 +*CONN +*I *21356:A I *D sky130_fd_sc_hd__inv_2 +*I *3546:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22191:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *21356:A 6.88271e-05 +2 *3546:DIODE 0 +3 *22191:X 0.000450234 +4 *3046:10 0.00280245 +5 *3046:9 0.00318385 +6 *3046:10 *3048:10 0.000342307 +7 *4367:DIODE *3046:10 4.96175e-05 +8 *22647:A *3046:10 1.37385e-05 +9 *924:8 *3046:9 0.000162677 +10 *1054:7 *3046:10 0.000219765 +11 *1192:23 *21356:A 0.000158357 +12 *1228:15 *21356:A 0.000158357 +13 *2401:12 *3046:10 3.41475e-05 +14 *2402:8 *21356:A 6.96846e-05 +15 *2402:8 *3046:10 0.00292196 +16 *2432:14 *21356:A 5.97908e-05 +17 *2432:14 *3046:10 0.00278547 +18 *2541:14 *3046:10 7.58395e-05 +19 *2541:16 *3046:10 0.00038057 +20 *2815:11 *3046:9 0.000201074 +21 *3037:12 *3046:10 0.000394706 +*RES +1 *22191:X *3046:9 24.4795 +2 *3046:9 *3046:10 96.0942 +3 *3046:10 *3546:DIODE 13.7491 +4 *3046:10 *21356:A 16.9985 +*END + +*D_NET *3047 0.0169624 +*CONN +*I *3547:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21357:A I *D sky130_fd_sc_hd__inv_2 +*I *22192:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3547:DIODE 0 +2 *21357:A 5.28969e-05 +3 *22192:X 0.00105823 +4 *3047:25 0.000508487 +5 *3047:20 0.00208575 +6 *3047:10 0.00268839 +7 *3047:10 *3049:11 0.00149631 +8 *3047:20 *22859:A 3.73754e-05 +9 *3047:20 *22861:A 0.00011818 +10 *3047:20 *22862:A 0.000220561 +11 *3047:25 *5376:DIODE 6.50727e-05 +12 *3047:25 *3229:5 0.000519481 +13 mprj_ack_i_core *3047:10 0.00011818 +14 mprj_dat_i_core[0] *3047:10 0.000167701 +15 *4018:DIODE *3047:10 0 +16 *4149:DIODE *21357:A 0.000165459 +17 *4155:DIODE *3047:20 0 +18 *4155:DIODE *3047:25 6.50727e-05 +19 *4270:DIODE *3047:10 0.000167076 +20 *4305:DIODE *3047:10 0.000207266 +21 *21521:A *3047:20 0.00011818 +22 *21826:A *3047:20 0.000171288 +23 *21827:A *3047:20 0.000167076 +24 *21828:A *3047:20 6.08467e-05 +25 *21968:A *3047:20 6.08467e-05 +26 *22197:A *3047:10 6.73186e-05 +27 *22591:A *3047:10 3.14978e-05 +28 *23114:A *3047:25 0.000222149 +29 *546:9 *3047:10 0 +30 *1143:10 *3047:10 6.08467e-05 +31 *2535:14 *3047:10 7.02602e-05 +32 *2681:7 *3047:20 6.92705e-05 +33 *2682:7 *3047:20 6.92705e-05 +34 *2804:23 *21357:A 0.00042034 +35 *2804:23 *3047:25 0.00228919 +36 *2811:25 *21357:A 1.41689e-05 +37 *2811:32 *21357:A 0.000220183 +38 *2811:32 *3047:25 0.00189612 +39 *2813:21 *3047:20 0.0004164 +40 *2813:29 *3047:10 0.000423922 +41 *2813:29 *3047:20 0.000371781 +*RES +1 *22192:X *3047:10 49.7833 +2 *3047:10 *3047:20 48.3521 +3 *3047:20 *3047:25 32.5287 +4 *3047:25 *21357:A 13.8548 +5 *3047:25 *3547:DIODE 9.24915 +*END + +*D_NET *3048 0.0254909 +*CONN +*I *21358:A I *D sky130_fd_sc_hd__inv_2 +*I *3548:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22193:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *21358:A 8.48021e-05 +2 *3548:DIODE 0 +3 *22193:X 0.000338624 +4 *3048:11 0.00608985 +5 *3048:10 0.00634367 +6 *21358:A *3050:13 0.000171288 +7 *21358:A *3050:21 6.50586e-05 +8 *3048:10 *3049:11 0.000464127 +9 *3048:11 *3050:9 0.00922587 +10 *3048:11 *3050:13 0.000966919 +11 mprj_dat_i_core[2] *3048:10 6.36477e-05 +12 *5165:DIODE *3048:11 1.65872e-05 +13 *22647:A *3048:10 0.000305814 +14 *1156:11 *3048:11 0.000110257 +15 *2423:21 *3048:11 0.00085359 +16 *2511:9 *21358:A 2.15184e-05 +17 *2541:14 *3048:10 1.91246e-05 +18 *2541:16 *3048:10 7.84457e-06 +19 *3046:10 *3048:10 0.000342307 +*RES +1 *22193:X *3048:10 30.2244 +2 *3048:10 *3048:11 166.55 +3 *3048:11 *3548:DIODE 9.24915 +4 *3048:11 *21358:A 12.625 +*END + +*D_NET *3049 0.00644889 +*CONN +*I *21359:A I *D sky130_fd_sc_hd__inv_2 +*I *3549:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22194:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *21359:A 2.06324e-05 +2 *3549:DIODE 0.000170662 +3 *22194:X 0.00116126 +4 *3049:11 0.00135255 +5 mprj_dat_i_core[2] *3049:11 3.54024e-05 +6 *4298:DIODE *3049:11 0.00043038 +7 *4305:DIODE *3549:DIODE 2.65831e-05 +8 *4305:DIODE *3049:11 5.23916e-05 +9 *22094:A *3049:11 0.000107496 +10 *22166:A *3049:11 6.3657e-05 +11 *22179:A *3049:11 6.50586e-05 +12 *22192:A *3049:11 0.000210077 +13 *22193:A *3049:11 6.50727e-05 +14 *22194:A *3049:11 6.08467e-05 +15 *22197:A *3049:11 0.000164829 +16 *1143:10 *3549:DIODE 0.000272272 +17 *2413:37 *3049:11 0.000118112 +18 *2972:8 *3549:DIODE 0.000111176 +19 *3047:10 *3049:11 0.00149631 +20 *3048:10 *3049:11 0.000464127 +*RES +1 *22194:X *3049:11 48.3125 +2 *3049:11 *3549:DIODE 24.1266 +3 *3049:11 *21359:A 9.82786 +*END + +*D_NET *3050 0.0407653 +*CONN +*I *3544:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21354:A I *D sky130_fd_sc_hd__clkinv_4 +*I *22195:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *3544:DIODE 0 +2 *21354:A 6.18498e-05 +3 *22195:X 0.000258435 +4 *3050:23 0.00116981 +5 *3050:21 0.00158945 +6 *3050:13 0.00126828 +7 *3050:9 0.00211347 +8 *3050:8 0.00158512 +9 *3704:DIODE *3050:23 2.41483e-05 +10 *3712:DIODE *3050:23 9.5562e-05 +11 *4383:DIODE *3050:8 0.000254196 +12 *4385:DIODE *3050:8 0.00011497 +13 *5619:DIODE *3050:23 0.000220183 +14 *21358:A *3050:13 0.000171288 +15 *21358:A *3050:21 6.50586e-05 +16 *1107:5 *3050:8 0.000139226 +17 *1142:7 *3050:21 0.000141229 +18 *1156:11 *3050:9 0.000110257 +19 *1325:7 *3050:23 0.00130518 +20 *2423:19 *3050:9 0.0014637 +21 *2423:21 *3050:9 0.00386683 +22 *2511:9 *21354:A 0.000334808 +23 *2511:9 *3050:9 0.000454015 +24 *2511:9 *3050:13 0.00415722 +25 *2511:9 *3050:21 0.000126099 +26 *2511:9 *3050:23 0.00669149 +27 *2627:14 *3050:21 2.18369e-05 +28 *2639:21 *21354:A 0 +29 *2639:25 *21354:A 8.9075e-05 +30 *2639:25 *3050:23 0.000206156 +31 *2648:17 *3050:23 0.000250542 +32 *2794:16 *3050:23 0.00206111 +33 *2809:10 *3050:21 0 +34 *2810:12 *3050:8 8.09783e-05 +35 *2972:8 *3050:8 8.09783e-05 +36 *3048:11 *3050:9 0.00922587 +37 *3048:11 *3050:13 0.000966919 +*RES +1 *22195:X *3050:8 27.1404 +2 *3050:8 *3050:9 100.275 +3 *3050:9 *3050:13 45.803 +4 *3050:13 *3050:21 21.6964 +5 *3050:21 *3050:23 72.8219 +6 *3050:23 *21354:A 13.3243 +7 *3050:23 *3544:DIODE 9.24915 +*END + +*D_NET *3051 0.0567849 +*CONN +*I *23403:A I *D sky130_fd_sc_hd__nand2_1 +*I *6112:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22196:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23403:A 0 +2 *6112:DIODE 6.67041e-06 +3 *22196:X 0 +4 *3051:24 0.00937652 +5 *3051:6 0.0144521 +6 *3051:5 0.00508223 +7 *3051:6 *22763:A 0 +8 *3051:6 *3124:28 0 +9 *3051:6 *3132:17 0 +10 *3051:24 *3124:17 0.00290923 +11 *3051:24 *3124:26 0.00536719 +12 *3051:24 *3262:48 0.00319471 +13 *3051:24 *3410:13 0.00090587 +14 *4384:DIODE *3051:6 0 +15 *4392:DIODE *3051:6 2.7985e-05 +16 *4865:DIODE *3051:6 9.0819e-05 +17 *4987:DIODE *3051:6 1.5714e-05 +18 *1153:54 *3051:24 0.0010269 +19 *1164:46 *3051:6 4.91246e-05 +20 *1522:10 *3051:24 2.1203e-06 +21 *1986:19 *3051:24 0.000207156 +22 *2029:30 *3051:24 0.000474512 +23 *2035:20 *3051:24 0.00103456 +24 *2036:25 *3051:6 0.000186908 +25 *2065:26 *3051:24 0.000863014 +26 *2072:17 *3051:24 3.46822e-05 +27 *2137:24 *3051:24 0.00010238 +28 *2141:10 *3051:24 0.00015065 +29 *2146:16 *6112:DIODE 6.92705e-05 +30 *2146:16 *3051:24 0.000181021 +31 *2147:34 *3051:24 0.000163504 +32 *2148:14 *3051:24 0.000196901 +33 *2148:20 *6112:DIODE 6.50586e-05 +34 *2148:20 *3051:24 0.000318594 +35 *2171:19 *3051:6 0 +36 *2174:25 *3051:24 0.00251315 +37 *2193:7 *3051:6 0 +38 *2202:33 *3051:24 0.00146933 +39 *2205:19 *3051:24 0.00161579 +40 *2207:22 *3051:24 7.84467e-06 +41 *2372:29 *3051:24 0.00423815 +42 *2372:41 *3051:24 0.000151613 +43 *2381:18 *3051:6 0 +44 *2474:32 *3051:6 0 +45 *2529:6 *3051:24 0 +46 *2581:6 *3051:24 1.27831e-06 +47 *2601:20 *3051:24 0 +48 *2863:45 *3051:6 0 +49 *2889:18 *3051:24 2.98713e-05 +50 *2890:33 *3051:6 0.000202468 +*RES +1 *22196:X *3051:5 13.7491 +2 *3051:5 *3051:6 92.5646 +3 *3051:6 *3051:24 49.4597 +4 *3051:24 *6112:DIODE 9.97254 +5 *3051:24 *23403:A 9.24915 +*END + +*D_NET *3052 0.0236562 +*CONN +*I *3545:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *21355:A I *D sky130_fd_sc_hd__clkinv_2 +*I *22197:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *3545:DIODE 0 +2 *21355:A 0.000107718 +3 *22197:X 0 +4 *3052:15 0.00191563 +5 *3052:14 0.00248091 +6 *3052:9 0.00362456 +7 *3052:8 0.00454771 +8 *3052:5 0.00159614 +9 *21355:A *3198:27 0.000225006 +10 *3052:15 *3198:27 0.000102742 +11 *1978:49 *3052:8 3.42931e-05 +12 *2113:17 *3052:14 0.0003764 +13 *2114:22 *3052:9 0.00394003 +14 *2428:14 *3052:8 0 +15 *2524:45 *3052:15 0.00161043 +16 *2537:18 *3052:8 0 +17 *2801:42 *3052:15 4.58529e-05 +18 *2810:12 *3052:8 0.00232132 +19 *2823:9 *3052:9 0.000343686 +20 *2932:32 *3052:14 0.000383751 +*RES +1 *22197:X *3052:5 13.7491 +2 *3052:5 *3052:8 49.103 +3 *3052:8 *3052:9 51.7469 +4 *3052:9 *3052:14 27.8573 +5 *3052:14 *3052:15 29.0082 +6 *3052:15 *21355:A 13.0349 +7 *3052:15 *3545:DIODE 9.24915 +*END + +*D_NET *3053 0.0060377 +*CONN +*I *5606:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23086:A I *D sky130_fd_sc_hd__nand2_1 +*I *22198:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5606:DIODE 3.18203e-05 +2 *23086:A 0 +3 *22198:X 0.00105345 +4 *3053:15 0.000550455 +5 *3053:11 0.00157208 +6 *5606:DIODE *5607:DIODE 0.000142758 +7 *3053:11 *3054:11 0.000314561 +8 *3053:15 *5607:DIODE 6.50586e-05 +9 *3053:15 *22733:A 5.66868e-06 +10 *3053:15 *22988:A 0.000190042 +11 *3053:15 *3090:12 3.80794e-05 +12 *3053:15 *3374:19 0.00108979 +13 *3053:15 *3474:10 2.17582e-05 +14 *3053:15 *3475:10 1.07248e-05 +15 *4387:DIODE *3053:11 0.00015789 +16 *22700:A *3053:11 7.08646e-05 +17 *1119:10 *3053:11 6.92705e-05 +18 *1875:14 *3053:15 0.000133143 +19 *2422:17 *3053:15 0.000302478 +20 *2485:7 *5606:DIODE 0.000156955 +21 *2485:7 *3053:15 6.08467e-05 +*RES +1 *22198:X *3053:11 36.295 +2 *3053:11 *3053:15 27.818 +3 *3053:15 *23086:A 9.24915 +4 *3053:15 *5606:DIODE 11.0817 +*END + +*D_NET *3054 0.0048916 +*CONN +*I *5608:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23087:A I *D sky130_fd_sc_hd__nand2_1 +*I *22199:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5608:DIODE 0 +2 *23087:A 0.000131895 +3 *22199:X 0.00115043 +4 *3054:11 0.00128233 +5 *23087:A *3089:16 0.000193377 +6 *23087:A *3376:15 0.000189779 +7 *3054:11 *5250:DIODE 5.20546e-06 +8 *3054:11 *23085:A 4.31539e-05 +9 la_oenb_core[125] *3054:11 0.000120962 +10 *4073:DIODE *3054:11 0.000118792 +11 *4084:DIODE *3054:11 6.78549e-05 +12 *22700:A *3054:11 0.000520062 +13 *23625:A *23087:A 3.75382e-05 +14 *2696:8 *3054:11 0.000362186 +15 *2707:8 *3054:11 0.000353477 +16 *3053:11 *3054:11 0.000314561 +*RES +1 *22199:X *3054:11 49.9437 +2 *3054:11 *23087:A 23.623 +3 *3054:11 *5608:DIODE 9.24915 +*END + +*D_NET *3055 0.00345923 +*CONN +*I *5610:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23088:A I *D sky130_fd_sc_hd__nand2_1 +*I *22200:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5610:DIODE 0 +2 *23088:A 0.000295933 +3 *22200:X 0.00087219 +4 *3055:11 0.00116812 +5 *23088:A *5611:DIODE 1.82679e-05 +6 *3055:11 *22734:A 2.81678e-06 +7 *3055:11 *22735:A 0.000103294 +8 *3055:11 *3058:5 2.72092e-05 +9 *3055:11 *3091:8 3.52807e-05 +10 *3055:11 *3092:11 0.000573169 +11 *4391:DIODE *3055:11 0.000205991 +12 *5605:DIODE *23088:A 0.000156955 +13 *2729:6 *3055:11 0 +*RES +1 *22200:X *3055:11 38.7206 +2 *3055:11 *23088:A 16.3625 +3 *3055:11 *5610:DIODE 9.24915 +*END + +*D_NET *3056 0.00450135 +*CONN +*I *23083:A I *D sky130_fd_sc_hd__and2_1 +*I *5600:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22201:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23083:A 3.5247e-05 +2 *5600:DIODE 5.00838e-05 +3 *22201:X 0.00207539 +4 *3056:11 0.00216072 +5 *4389:DIODE *3056:11 2.16355e-05 +6 *5601:DIODE *3056:11 2.16355e-05 +7 *2280:49 *3056:11 7.14746e-05 +8 *2707:12 *3056:11 6.51637e-05 +*RES +1 *22201:X *3056:11 47.7337 +2 *3056:11 *5600:DIODE 9.97254 +3 *3056:11 *23083:A 10.2378 +*END + +*D_NET *3057 0.00412984 +*CONN +*I *5602:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23084:A I *D sky130_fd_sc_hd__and2_1 +*I *22202:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5602:DIODE 0 +2 *23084:A 0.00026356 +3 *22202:X 0.00167045 +4 *3057:9 0.00193401 +5 *5603:DIODE *23084:A 0.000160617 +6 *1122:10 *3057:9 2.61208e-05 +7 *2425:10 *3057:9 7.50872e-05 +*RES +1 *22202:X *3057:9 42.5734 +2 *3057:9 *23084:A 15.2533 +3 *3057:9 *5602:DIODE 9.24915 +*END + +*D_NET *3058 0.00535831 +*CONN +*I *23085:A I *D sky130_fd_sc_hd__and2_1 +*I *5604:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22203:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *23085:A 0.000165094 +2 *5604:DIODE 7.98254e-05 +3 *22203:X 0.00143743 +4 *3058:5 0.00168235 +5 *5604:DIODE *3375:9 0.000107496 +6 *23085:A *3477:5 5.04829e-06 +7 *3058:5 *5507:DIODE 6.50727e-05 +8 *3058:5 *5508:DIODE 5.07314e-05 +9 *3058:5 *22735:A 2.7837e-05 +10 *3058:5 *3092:11 3.55215e-05 +11 *3058:5 *3375:9 0.000638742 +12 *3058:5 *3376:15 0.000263733 +13 *4386:DIODE *3058:5 6.50586e-05 +14 *4391:DIODE *3058:5 0.000133742 +15 *5605:DIODE *23085:A 4.81015e-05 +16 *1875:14 *23085:A 0.000238537 +17 *2707:8 *23085:A 0.000243633 +18 *3054:11 *23085:A 4.31539e-05 +19 *3055:11 *3058:5 2.72092e-05 +*RES +1 *22203:X *3058:5 33.2659 +2 *3058:5 *5604:DIODE 10.5271 +3 *3058:5 *23085:A 24.9599 +*END + +*D_NET *3059 0.0421703 +*CONN +*I *5223:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22705:A I *D sky130_fd_sc_hd__buf_2 +*I *22244:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5223:DIODE 0 +2 *22705:A 0.000553772 +3 *22244:Z 0.000400507 +4 *3059:26 0.00156646 +5 *3059:23 0.00461105 +6 *3059:22 0.00490713 +7 *3059:19 0.00162671 +8 *3059:15 0.00148814 +9 *3059:7 0.00157071 +10 *3059:22 *3099:8 0.000249221 +11 *3059:26 *3411:21 0.000159227 +12 *3623:DIODE *3059:15 0.000379505 +13 *3627:DIODE *3059:15 0.000148541 +14 *3745:DIODE *3059:7 0.000128091 +15 *3748:DIODE *3059:15 0.000233295 +16 *4434:DIODE *3059:7 0.000176388 +17 *6592:DIODE *3059:26 0.000237074 +18 *21434:A *3059:15 0.000175485 +19 *21558:A *3059:15 7.41778e-05 +20 *22305:TE *3059:7 4.58003e-05 +21 *22681:A *22705:A 2.75867e-05 +22 *260:8 *22705:A 7.09666e-06 +23 *1136:9 *3059:7 0.0022209 +24 *1136:9 *3059:15 0.00125047 +25 *1335:10 *3059:15 6.92705e-05 +26 *1430:7 *3059:15 0.000294891 +27 *1430:11 *3059:15 1.6383e-05 +28 *1430:11 *3059:19 0.00183843 +29 *1874:8 *22705:A 0.000525155 +30 *1874:8 *3059:26 8.30815e-05 +31 *2368:38 *3059:22 0.000839906 +32 *2370:9 *3059:23 0.00839722 +33 *2383:24 *3059:26 0 +34 *2386:33 *3059:23 0.00191093 +35 *2462:46 *22705:A 1.98486e-05 +36 *2462:46 *3059:26 6.46134e-05 +37 *2465:19 *3059:23 0.000241456 +38 *2465:23 *3059:23 0.000622175 +39 *2488:80 *22705:A 3.32273e-05 +40 *2545:9 *3059:15 0.000276087 +41 *2830:19 *3059:19 0.00011818 +42 *2830:25 *3059:19 0.000228593 +43 *2830:33 *3059:19 0.000693671 +44 *2861:39 *3059:7 0.000147325 +45 *2898:41 *3059:15 0.000480074 +46 *2906:21 *3059:23 0.00217125 +47 *2935:11 *3059:15 0.000194701 +48 *2989:48 *22705:A 0.000355272 +49 *3000:8 *22705:A 0.000311235 +*RES +1 *22244:Z *3059:7 33.29 +2 *3059:7 *3059:15 49.6009 +3 *3059:15 *3059:19 24.0799 +4 *3059:19 *3059:22 41.2132 +5 *3059:22 *3059:23 146.584 +6 *3059:23 *3059:26 27.0946 +7 *3059:26 *22705:A 29.3612 +8 *3059:26 *5223:DIODE 13.7491 +*END + +*D_NET *3060 0.0147246 +*CONN +*I *5224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22706:A I *D sky130_fd_sc_hd__buf_2 +*I *22245:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5224:DIODE 1.67539e-05 +2 *22706:A 0.000281303 +3 *22245:Z 0 +4 *3060:9 0.000623109 +5 *3060:6 0.00340068 +6 *3060:5 0.00307563 +7 *22706:A *3361:8 0 +8 *3060:6 *3198:32 0.000225203 +9 *3060:9 *5348:DIODE 6.08467e-05 +10 *3060:9 *5349:DIODE 6.08467e-05 +11 *3060:9 *3478:361 0.000523382 +12 *3060:9 *3478:383 0.000266832 +13 la_data_in_core[100] *22706:A 5.05252e-05 +14 *4362:DIODE *22706:A 3.88002e-05 +15 *22245:A *3060:6 3.12828e-05 +16 *22589:A *22706:A 6.27907e-05 +17 *1340:11 *3060:6 0.000193887 +18 *1615:16 *3060:6 4.34496e-05 +19 *2110:43 *3060:6 1.66771e-05 +20 *2356:12 *3060:6 0.00393544 +21 *2359:16 *22706:A 0.000162512 +22 *2400:6 *3060:6 0 +23 *2505:8 *3060:6 0 +24 *2917:8 *22706:A 0 +25 *2983:113 *5224:DIODE 6.50727e-05 +26 *2983:113 *3060:9 0.00158954 +*RES +1 *22245:Z *3060:5 13.7491 +2 *3060:5 *3060:6 86.5434 +3 *3060:6 *3060:9 21.8615 +4 *3060:9 *22706:A 25.4794 +5 *3060:9 *5224:DIODE 9.97254 +*END + +*D_NET *3061 0.0157828 +*CONN +*I *5225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22707:A I *D sky130_fd_sc_hd__buf_2 +*I *22246:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5225:DIODE 3.27515e-05 +2 *22707:A 0.000221609 +3 *22246:Z 0.000485498 +4 *3061:23 0.00143124 +5 *3061:14 0.00396014 +6 *3061:13 0.00326876 +7 *22707:A *22962:A 0 +8 *3061:14 *22829:A 0 +9 *3061:14 *3197:6 0 +10 *3061:14 *3478:347 4.88382e-05 +11 *3061:23 *3065:29 0.00171431 +12 la_data_in_core[101] *22707:A 5.41377e-05 +13 *4400:DIODE *22707:A 0 +14 *4438:DIODE *3061:13 0.000167076 +15 *1161:23 *3061:13 0.000678617 +16 *1182:24 *3061:13 0.0003284 +17 *1183:9 *3061:13 7.15245e-05 +18 *1188:25 *3061:13 9.51286e-05 +19 *1332:6 *3061:13 0 +20 *1332:6 *3061:14 0.000372135 +21 *2358:16 *3061:23 1.91391e-05 +22 *2505:8 *3061:14 0.000751512 +23 *2508:8 *3061:23 4.69495e-06 +24 *2794:46 *3061:13 0 +25 *2917:8 *22707:A 0 +26 *2978:41 *5225:DIODE 6.50727e-05 +27 *2978:41 *3061:23 0.0013032 +28 *2981:43 *3061:23 0.000709033 +29 *3028:6 *22707:A 0 +*RES +1 *22246:Z *3061:13 36.8413 +2 *3061:13 *3061:14 66.4037 +3 *3061:14 *3061:23 27.9947 +4 *3061:23 *22707:A 22.9879 +5 *3061:23 *5225:DIODE 9.97254 +*END + +*D_NET *3062 0.0652599 +*CONN +*I *6114:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23404:A I *D sky130_fd_sc_hd__nand2_1 +*I *22204:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6114:DIODE 0 +2 *23404:A 5.55081e-05 +3 *22204:X 0 +4 *3062:40 0.00535148 +5 *3062:27 0.00847757 +6 *3062:8 0.00522077 +7 *3062:5 0.00203918 +8 *3062:8 *5538:DIODE 7.12632e-06 +9 *3062:8 *23020:A 5.49347e-05 +10 *3062:27 *3108:18 7.99851e-05 +11 *3062:27 *3391:20 8.44387e-05 +12 *3062:27 *3402:16 8.24823e-05 +13 la_data_in_core[39] *3062:8 3.67528e-06 +14 la_oenb_core[38] *3062:8 2.55536e-05 +15 *4393:DIODE *3062:8 0 +16 *6115:DIODE *23404:A 0.000164829 +17 *21617:A *3062:8 3.92776e-05 +18 *23557:TE *3062:27 6.3657e-05 +19 *1893:15 *3062:40 0.0012724 +20 *1895:18 *3062:40 0.00149643 +21 *1896:15 *23404:A 0.000220183 +22 *1896:27 *23404:A 1.15389e-05 +23 *1897:13 *23404:A 0.000421676 +24 *2012:18 *3062:40 0.00179082 +25 *2020:22 *3062:40 0.00306857 +26 *2063:29 *3062:27 6.01588e-05 +27 *2154:18 *3062:40 0.00184835 +28 *2389:16 *3062:8 0 +29 *2398:21 *3062:27 0.0149407 +30 *2434:11 *3062:27 0.0165511 +31 *2446:14 *3062:8 0 +32 *2581:6 *3062:40 4.69495e-06 +33 *2589:18 *3062:40 0.0012318 +34 *2875:28 *3062:40 6.69933e-05 +35 *2884:19 *3062:27 9.45402e-05 +36 *2891:36 *3062:8 8.03599e-05 +37 *2892:35 *3062:8 0.000349113 +*RES +1 *22204:X *3062:5 13.7491 +2 *3062:5 *3062:8 41.1201 +3 *3062:8 *3062:27 46.1998 +4 *3062:27 *3062:40 28.6766 +5 *3062:40 *23404:A 13.8548 +6 *3062:40 *6114:DIODE 9.24915 +*END + +*D_NET *3063 0.0061227 +*CONN +*I *22708:A I *D sky130_fd_sc_hd__buf_2 +*I *5226:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22247:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22708:A 0.000145223 +2 *5226:DIODE 0 +3 *22247:Z 5.67398e-05 +4 *3063:8 0.00156456 +5 *3063:7 0.00147608 +6 *22708:A *3139:8 0 +7 *3063:8 *22963:A 0 +8 *3063:8 *3079:10 0 +9 *3063:8 *3139:8 0 +10 *3063:8 *3346:11 0 +11 *3063:8 *3348:22 0.00175213 +12 la_data_in_core[102] *22708:A 1.36691e-05 +13 la_oenb_core[101] *22708:A 0 +14 *4411:DIODE *22708:A 0.00014663 +15 *2518:11 *3063:7 0.000418075 +16 *2562:9 *3063:7 0.000413863 +17 *2814:40 *3063:8 0.000135741 +18 *3028:6 *22708:A 0 +*RES +1 *22247:Z *3063:7 18.3548 +2 *3063:7 *3063:8 39.2047 +3 *3063:8 *5226:DIODE 13.7491 +4 *3063:8 *22708:A 17.6574 +*END + +*D_NET *3064 0.00894042 +*CONN +*I *22709:A I *D sky130_fd_sc_hd__buf_2 +*I *5227:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22248:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22709:A 0.000247604 +2 *5227:DIODE 1.47608e-05 +3 *22248:Z 0.0001113 +4 *3064:8 0.00177619 +5 *3064:7 0.00162513 +6 *5227:DIODE *3347:11 6.08467e-05 +7 *5227:DIODE *3478:397 6.08467e-05 +8 *22709:A *22964:A 0.000111454 +9 *3064:8 *22964:A 2.1203e-06 +10 *3064:8 *3139:8 0 +11 *3064:8 *3478:386 0 +12 la_data_in_core[103] *22709:A 5.41377e-05 +13 *2126:26 *3064:7 0.000703768 +14 *2134:44 *3064:7 0.00070798 +15 *2364:8 *3064:8 0.000129791 +16 *2364:12 *22709:A 0.000383267 +17 *2364:12 *3064:8 0.00227716 +18 *2431:12 *3064:8 0.000674062 +*RES +1 *22248:Z *3064:7 21.6824 +2 *3064:7 *3064:8 48.7555 +3 *3064:8 *5227:DIODE 14.4725 +4 *3064:8 *22709:A 21.3947 +*END + +*D_NET *3065 0.0380764 +*CONN +*I *5228:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22710:A I *D sky130_fd_sc_hd__buf_2 +*I *22249:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5228:DIODE 4.01427e-05 +2 *22710:A 0.00027877 +3 *22249:Z 0 +4 *3065:29 0.00405227 +5 *3065:15 0.00491722 +6 *3065:9 0.00454532 +7 *3065:8 0.00336146 +8 *3065:6 0.00438111 +9 *3065:5 0.00438111 +10 *22710:A *22965:A 2.31263e-05 +11 *22710:A *3250:6 0 +12 *3065:6 *3183:8 0 +13 *3065:29 *22964:A 2.00234e-05 +14 *3065:29 *23639:B 0.000115245 +15 *3065:29 *3197:6 0 +16 *3065:29 *3349:15 5.66868e-06 +17 *3065:29 *3349:17 0.000360034 +18 *3065:29 *3478:386 7.09666e-06 +19 la_data_in_core[104] *22710:A 5.33048e-05 +20 la_oenb_core[103] *22710:A 0 +21 *6543:DIODE *3065:15 0.000317693 +22 *6544:DIODE *3065:9 0.000184705 +23 *1852:17 *3065:29 0.00018153 +24 *1854:11 *22710:A 2.68362e-05 +25 *1882:9 *3065:9 0.000128091 +26 *1947:50 *3065:6 1.48215e-05 +27 *2097:19 *3065:6 4.69495e-06 +28 *2348:9 *3065:9 0.000561433 +29 *2348:9 *3065:15 0.00112685 +30 *2353:16 *3065:6 0 +31 *2353:18 *3065:6 0 +32 *2357:10 *3065:29 0.000220551 +33 *2364:15 *3065:29 0 +34 *2433:10 *3065:9 0.00221403 +35 *2456:6 *3065:6 0.00071194 +36 *2491:6 *3065:6 0.000913236 +37 *2517:32 *3065:6 0.000228995 +38 *2913:14 *3065:6 0 +39 *2913:18 *3065:6 0.000236186 +40 *2913:42 *3065:6 0.000883422 +41 *2930:54 *3065:6 0 +42 *2978:41 *3065:29 0.000141464 +43 *2981:43 *3065:29 0.00077742 +44 *2983:113 *5228:DIODE 4.48931e-06 +45 *2983:113 *3065:29 0.000636092 +46 *2983:117 *5228:DIODE 4.09708e-05 +47 *2987:17 *3065:29 0.000264746 +48 *3061:23 *3065:29 0.00171431 +*RES +1 *22249:Z *3065:5 13.7491 +2 *3065:5 *3065:6 102.323 +3 *3065:6 *3065:8 4.5 +4 *3065:8 *3065:9 56.4611 +5 *3065:9 *3065:15 37.1372 +6 *3065:15 *3065:29 45.1158 +7 *3065:29 *22710:A 24.2337 +8 *3065:29 *5228:DIODE 9.97254 +*END + +*D_NET *3066 0.026291 +*CONN +*I *22711:A I *D sky130_fd_sc_hd__buf_2 +*I *5229:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22250:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22711:A 0.000264766 +2 *5229:DIODE 0 +3 *22250:Z 0.00130234 +4 *3066:22 0.00239203 +5 *3066:18 0.00377841 +6 *3066:14 0.00282554 +7 *3066:10 0.00240349 +8 *3066:5 0.00253144 +9 *22711:A *22966:A 0.000290837 +10 *3066:14 *3344:8 0 +11 *3066:18 *3069:18 0.00010569 +12 *3066:18 *3344:8 0 +13 *3066:22 *22966:A 0.000172459 +14 la_data_in_core[105] *22711:A 2.49237e-05 +15 la_oenb_core[104] *22711:A 2.57986e-05 +16 *4446:DIODE *3066:5 0.00024619 +17 *6042:DIODE *3066:10 0.000165638 +18 *21545:A *3066:14 3.19539e-05 +19 *1140:12 *3066:14 0.000326769 +20 *1203:10 *3066:14 9.34145e-05 +21 *1214:11 *3066:5 6.50586e-05 +22 *1231:11 *3066:10 4.37999e-05 +23 *1232:10 *3066:14 5.13908e-05 +24 *1855:10 *22711:A 1.81814e-05 +25 *1855:10 *3066:22 3.83522e-05 +26 *1863:60 *22711:A 2.34061e-05 +27 *1968:55 *3066:10 0.000297858 +28 *2117:21 *3066:10 0.00127477 +29 *2359:16 *3066:18 0 +30 *2429:13 *3066:18 1.43055e-05 +31 *2432:8 *3066:18 0.000207394 +32 *2536:12 *3066:10 0.000179514 +33 *2536:16 *3066:10 0 +34 *2536:16 *3066:14 6.10409e-05 +35 *2607:9 *3066:22 0.00327589 +36 *2662:12 *3066:10 0.000536257 +37 *2662:12 *3066:14 0.000498515 +38 *2662:12 *3066:18 0 +39 *2707:23 *3066:5 0.00102252 +40 *2813:37 *3066:14 0 +41 *2820:13 *3066:5 0.000888035 +42 *2917:8 *3066:18 0.000732143 +43 *2985:52 *22711:A 6.61347e-05 +44 *3028:18 *3066:18 1.47102e-05 +*RES +1 *22250:Z *3066:5 46.0218 +2 *3066:5 *3066:10 42.9841 +3 *3066:10 *3066:14 31.2416 +4 *3066:14 *3066:18 45.2802 +5 *3066:18 *3066:22 43.6564 +6 *3066:22 *5229:DIODE 13.7491 +7 *3066:22 *22711:A 21.5663 +*END + +*D_NET *3067 0.0299904 +*CONN +*I *22712:A I *D sky130_fd_sc_hd__buf_2 +*I *5230:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22251:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22712:A 0.000398911 +2 *5230:DIODE 2.59228e-05 +3 *22251:Z 0 +4 *3067:20 0.0021666 +5 *3067:19 0.00174176 +6 *3067:17 0.00516013 +7 *3067:15 0.00814834 +8 *3067:8 0.0044026 +9 *3067:5 0.00141438 +10 *5230:DIODE *3077:21 6.50727e-05 +11 *3067:15 *3182:11 5.85387e-05 +12 *3067:20 *6564:DIODE 0 +13 *3067:20 *3069:37 0 +14 la_data_in_core[106] *22712:A 5.92192e-05 +15 la_oenb_core[105] *22712:A 0 +16 *3510:DIODE *3067:15 3.82654e-05 +17 *4725:DIODE *3067:17 3.28898e-06 +18 *21320:A *3067:15 3.14978e-05 +19 *22352:A *3067:8 0.000204632 +20 *22355:A *3067:15 5.97296e-05 +21 *22368:A *3067:17 1.4164e-05 +22 *22368:TE *3067:15 5.56461e-05 +23 *1233:14 *3067:17 0.000162599 +24 *1316:8 *3067:8 0.000268744 +25 *1319:9 *3067:15 0.000135087 +26 *1332:9 *3067:17 1.83795e-06 +27 *1460:10 *3067:15 6.92705e-05 +28 *1844:9 *3067:15 6.05996e-05 +29 *1861:12 *22712:A 0 +30 *1863:60 *22712:A 1.43848e-05 +31 *2131:42 *3067:17 0.000636366 +32 *2348:8 *22712:A 0 +33 *2348:8 *3067:20 0 +34 *2403:8 *3067:20 0 +35 *2409:6 *3067:20 0.00227964 +36 *2427:12 *3067:20 0.000609612 +37 *2459:8 *3067:20 0 +38 *2607:22 *3067:8 0.00036774 +39 *2797:26 *3067:8 0.000790686 +40 *2797:30 *3067:8 0.000331653 +41 *2931:77 *3067:8 0.000186938 +42 *2980:57 *22712:A 0 +43 *2985:52 *22712:A 2.65667e-05 +*RES +1 *22251:Z *3067:5 13.7491 +2 *3067:5 *3067:8 45.3657 +3 *3067:8 *3067:15 48.359 +4 *3067:15 *3067:17 74.2084 +5 *3067:17 *3067:19 4.5 +6 *3067:19 *3067:20 54.9843 +7 *3067:20 *5230:DIODE 14.4725 +8 *3067:20 *22712:A 22.1181 +*END + +*D_NET *3068 0.00934739 +*CONN +*I *22713:A I *D sky130_fd_sc_hd__buf_2 +*I *5231:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22252:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22713:A 0.000304304 +2 *5231:DIODE 0 +3 *22252:Z 2.32419e-05 +4 *3068:11 0.00046298 +5 *3068:8 0.00251757 +6 *3068:7 0.00238214 +7 *22713:A *22968:A 0 +8 *22713:A *3069:37 0.000164829 +9 *22713:A *3077:21 0.000106215 +10 *22713:A *3478:469 1.25395e-05 +11 *3068:11 *3077:21 0.00140073 +12 la_data_in_core[107] *22713:A 7.08723e-06 +13 *3840:DIODE *22713:A 0.000331044 +14 *6563:DIODE *3068:11 0.000252635 +15 *23644:A *3068:8 2.28389e-05 +16 *1202:9 *3068:7 6.92705e-05 +17 *1855:10 *3068:8 2.22177e-05 +18 *1859:16 *22713:A 1.5714e-05 +19 *1860:8 *3068:8 0.000188273 +20 *2131:41 *3068:8 3.20069e-06 +21 *2402:8 *3068:8 0 +22 *2403:8 *3068:8 0 +23 *2427:12 *3068:8 0 +24 *2459:8 *22713:A 0 +25 *2980:57 *3068:11 0.000175662 +26 *2980:59 *22713:A 0.000118166 +27 *2980:59 *3068:11 0.000629908 +28 *2982:60 *3068:8 1.86464e-05 +29 *2983:117 *3068:11 0.00011818 +*RES +1 *22252:Z *3068:7 14.4725 +2 *3068:7 *3068:8 52.908 +3 *3068:8 *3068:11 19.6431 +4 *3068:11 *5231:DIODE 9.24915 +5 *3068:11 *22713:A 28.1748 +*END + +*D_NET *3069 0.0372697 +*CONN +*I *5232:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22714:A I *D sky130_fd_sc_hd__buf_2 +*I *22253:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5232:DIODE 0.000372849 +2 *22714:A 2.06324e-05 +3 *22253:Z 3.08557e-05 +4 *3069:37 0.00117255 +5 *3069:19 0.00367924 +6 *3069:18 0.0029953 +7 *3069:13 0.00333593 +8 *3069:12 0.0032408 +9 *3069:10 0.00267221 +10 *3069:9 0.00270307 +11 *5232:DIODE *22715:A 2.7961e-05 +12 *3069:10 *3478:305 2.77564e-05 +13 *3069:19 *6554:DIODE 0.000172659 +14 *3069:19 *3077:20 0.00230703 +15 *3069:19 *3348:30 0.000171862 +16 *3069:37 *22969:A 6.50586e-05 +17 la_data_in_core[107] *3069:37 6.50727e-05 +18 la_data_in_core[108] *3069:37 6.50727e-05 +19 la_data_in_core[109] *5232:DIODE 9.04224e-05 +20 la_oenb_core[107] *3069:37 0.000217951 +21 la_oenb_core[108] *5232:DIODE 0 +22 *3829:DIODE *3069:37 4.33655e-05 +23 *6517:DIODE *3069:19 0.000235208 +24 *6565:DIODE *3069:37 0.000120584 +25 *21641:A *3069:37 6.50727e-05 +26 *21652:A *5232:DIODE 2.65831e-05 +27 *22557:A *3069:10 3.1718e-05 +28 *22713:A *3069:37 0.000164829 +29 *267:8 *3069:37 8.39059e-05 +30 *1195:42 *3069:10 0.000103461 +31 *1859:19 *5232:DIODE 6.92705e-05 +32 *1861:12 *3069:37 1.37687e-05 +33 *1863:60 *3069:37 0.000634675 +34 *2101:54 *3069:9 4.56752e-05 +35 *2137:48 *3069:9 6.93747e-05 +36 *2352:14 *3069:10 0 +37 *2429:13 *3069:18 1.25946e-05 +38 *2459:8 *3069:37 0.000537688 +39 *2500:10 *3069:10 0.004441 +40 *2540:15 *3069:19 0.000245991 +41 *2540:17 *3069:13 0.000187123 +42 *2540:17 *3069:19 6.17551e-05 +43 *2607:9 *3069:13 0.00482573 +44 *2629:18 *3069:10 0.00154034 +45 *2629:22 *3069:10 0 +46 *2985:52 *3069:37 0.000170009 +47 *3066:18 *3069:18 0.00010569 +48 *3067:20 *3069:37 0 +*RES +1 *22253:Z *3069:9 15.0513 +2 *3069:9 *3069:10 84.8824 +3 *3069:10 *3069:12 4.5 +4 *3069:12 *3069:13 57.293 +5 *3069:13 *3069:18 11.2472 +6 *3069:18 *3069:19 49.5285 +7 *3069:19 *3069:37 36.9379 +8 *3069:37 *22714:A 9.82786 +9 *3069:37 *5232:DIODE 26.9346 +*END + +*D_NET *3070 0.0084474 +*CONN +*I *22715:A I *D sky130_fd_sc_hd__buf_2 +*I *5233:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22254:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22715:A 0.000230752 +2 *5233:DIODE 3.07124e-05 +3 *22254:Z 0.000776781 +4 *3070:12 0.00131052 +5 *3070:11 0.00182584 +6 *5233:DIODE *3077:21 6.08467e-05 +7 *22715:A *22971:A 5.302e-05 +8 *22715:A *3086:6 6.28484e-05 +9 *3070:12 *3086:6 0.00244924 +10 la_data_in_core[109] *22715:A 0 +11 *3862:DIODE *22715:A 0.000156823 +12 *4472:DIODE *3070:11 4.58003e-05 +13 *5232:DIODE *22715:A 2.7961e-05 +14 *22254:TE *3070:11 0.000113968 +15 *22263:TE *3070:11 9.40969e-05 +16 *1194:11 *3070:11 0.000227265 +17 *1227:10 *3070:12 2.36813e-05 +18 *2412:8 *3070:12 0 +19 *2507:8 *22715:A 0 +20 *2518:10 *22715:A 0.000104607 +21 *2518:10 *3070:12 0.000852642 +*RES +1 *22254:Z *3070:11 27.9759 +2 *3070:11 *3070:12 43.7725 +3 *3070:12 *5233:DIODE 14.4725 +4 *3070:12 *22715:A 21.3947 +*END + +*D_NET *3071 0.0608318 +*CONN +*I *22716:A I *D sky130_fd_sc_hd__buf_2 +*I *5234:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22255:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22716:A 0.000239874 +2 *5234:DIODE 0 +3 *22255:Z 0.000590338 +4 *3071:18 0.00220373 +5 *3071:17 0.00382235 +6 *3071:9 0.0162243 +7 *3071:8 0.0149561 +8 *3071:8 *3164:8 0 +9 *3071:9 *3101:9 0.0163587 +10 *3071:17 *3105:7 0.00289148 +11 *3071:17 *3450:6 2.03872e-05 +12 *3071:18 *3095:22 0.00126066 +13 *3873:DIODE *22716:A 4.56516e-05 +14 *4456:DIODE *3071:8 0.000127164 +15 *22590:A *22716:A 6.98045e-05 +16 *22590:A *3071:18 3.5534e-06 +17 *1219:6 *3071:8 3.67708e-05 +18 *2327:17 *3071:8 0 +19 *2327:21 *3071:8 0 +20 *2361:14 *3071:18 0.000137053 +21 *2464:25 *3071:9 0.00175225 +22 *2529:6 *3071:18 0 +23 *2836:44 *3071:17 0 +24 *2980:9 *22716:A 2.65831e-05 +25 *2998:71 *22716:A 6.50727e-05 +*RES +1 *22255:Z *3071:8 32.954 +2 *3071:8 *3071:9 243.64 +3 *3071:9 *3071:17 46.9738 +4 *3071:17 *3071:18 43.3572 +5 *3071:18 *5234:DIODE 13.7491 +6 *3071:18 *22716:A 19.2113 +*END + +*D_NET *3072 0.00478174 +*CONN +*I *22717:A I *D sky130_fd_sc_hd__buf_2 +*I *5235:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22256:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22717:A 0.000318061 +2 *5235:DIODE 0.000160286 +3 *22256:Z 0 +4 *3072:6 0.0021908 +5 *3072:5 0.00171246 +6 *5235:DIODE *3078:21 0.000277502 +7 la_data_in_core[110] *22717:A 7.78711e-05 +8 la_oenb_core[109] *22717:A 4.47578e-05 +9 *3884:DIODE *22717:A 0 +10 *2412:8 *3072:6 0 +11 *2518:10 *22717:A 0 +12 *2518:10 *3072:6 0 +13 *2540:8 *22717:A 0 +14 *2540:8 *3072:6 0 +*RES +1 *22256:Z *3072:5 13.7491 +2 *3072:5 *3072:6 38.3742 +3 *3072:6 *5235:DIODE 16.691 +4 *3072:6 *22717:A 21.9843 +*END + +*D_NET *3073 0.0735918 +*CONN +*I *23405:A I *D sky130_fd_sc_hd__nand2_1 +*I *6116:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22205:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23405:A 8.21894e-05 +2 *6116:DIODE 0 +3 *22205:X 0 +4 *3073:41 0.00247768 +5 *3073:33 0.00984264 +6 *3073:6 0.00946715 +7 *3073:5 0.00201999 +8 *23405:A *3123:17 0.000113968 +9 *3073:6 *22765:A 0 +10 *3073:6 *22767:A 0 +11 *3073:6 *23020:A 0 +12 *3073:6 *3125:26 0.000324217 +13 *3073:33 *23423:A 3.11177e-05 +14 *3073:33 *3251:24 0.0032267 +15 *3073:33 *3251:32 0.00591485 +16 *3073:41 *3123:17 1.65872e-05 +17 *4394:DIODE *3073:6 2.45002e-05 +18 *6117:DIODE *23405:A 0.000164829 +19 *23295:B *3073:33 0.000203971 +20 *23562:A *3073:6 5.65123e-05 +21 *1425:8 *3073:6 0.000372256 +22 *1808:8 *3073:6 4.59164e-06 +23 *1809:8 *3073:6 0 +24 *1928:28 *3073:33 0.00730645 +25 *2026:37 *3073:33 0.00324624 +26 *2028:17 *3073:33 0.000166147 +27 *2030:16 *3073:33 2.66884e-05 +28 *2040:14 *3073:41 0.000163504 +29 *2042:19 *3073:41 8.71124e-06 +30 *2071:22 *23405:A 0.000395939 +31 *2071:22 *3073:41 6.50727e-05 +32 *2093:10 *3073:41 0.000287109 +33 *2093:16 *23405:A 3.59302e-05 +34 *2101:20 *3073:33 0.00372034 +35 *2101:20 *3073:41 6.65275e-06 +36 *2135:20 *3073:33 5.34206e-05 +37 *2135:20 *3073:41 0.00134503 +38 *2174:19 *3073:33 0.00270955 +39 *2179:25 *3073:41 0.00905842 +40 *2181:49 *3073:41 0.000629139 +41 *2199:22 *3073:33 0.00301746 +42 *2367:23 *3073:33 0.000465716 +43 *2368:34 *3073:41 0.00430555 +44 *2372:29 *3073:33 0.000241605 +45 *2389:16 *3073:6 0.0013986 +46 *2464:23 *3073:33 0.000402479 +47 *2581:6 *3073:41 1.9101e-05 +48 *2595:14 *3073:33 9.5725e-05 +49 *2599:18 *3073:33 7.74612e-05 +*RES +1 *22205:X *3073:5 13.7491 +2 *3073:5 *3073:6 46.8869 +3 *3073:6 *3073:33 37.4054 +4 *3073:33 *3073:41 21.6033 +5 *3073:41 *6116:DIODE 9.24915 +6 *3073:41 *23405:A 14.4335 +*END + +*D_NET *3074 0.0095773 +*CONN +*I *22718:A I *D sky130_fd_sc_hd__buf_2 +*I *5236:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22257:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22718:A 0.000169388 +2 *5236:DIODE 4.18856e-05 +3 *22257:Z 0.000169566 +4 *3074:10 0.002679 +5 *3074:8 0.00263729 +6 *5236:DIODE *3078:21 6.50727e-05 +7 *22718:A *22974:A 2.22342e-05 +8 *22718:A *3076:10 6.94589e-05 +9 *3074:8 *3357:10 2.02201e-05 +10 *3074:10 *22974:A 4.20662e-05 +11 *3074:10 *3076:8 0.000418997 +12 *3074:10 *3076:10 0.00253626 +13 *3074:10 *3357:10 0 +14 la_data_in_core[111] *22718:A 5.92342e-05 +15 la_oenb_core[110] *22718:A 0 +16 *3895:DIODE *22718:A 0 +17 *4740:DIODE *3074:10 3.00073e-05 +18 *4743:DIODE *3074:10 0 +19 *22257:A *3074:8 3.5534e-06 +20 *22397:B *3074:10 0 +21 *22398:B *3074:10 0 +22 *23488:TE *3074:10 0 +23 *23497:A *3074:10 0 +24 *1218:10 *3074:10 0.000460684 +25 *1223:10 *3074:8 0 +26 *1223:10 *3074:10 0.000152376 +27 *2122:51 *3074:10 0 +28 *2540:8 *22718:A 0 +29 *2551:6 *22718:A 0 +30 *2551:6 *3074:10 0 +*RES +1 *22257:Z *3074:8 16.6071 +2 *3074:8 *3074:10 75.3316 +3 *3074:10 *5236:DIODE 14.4725 +4 *3074:10 *22718:A 18.4879 +*END + +*D_NET *3075 0.00917954 +*CONN +*I *22719:A I *D sky130_fd_sc_hd__buf_2 +*I *5237:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22258:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22719:A 0.000158956 +2 *5237:DIODE 4.18965e-05 +3 *22258:Z 0 +4 *3075:6 0.00409975 +5 *3075:5 0.0038989 +6 *5237:DIODE *3078:21 6.50586e-05 +7 *3075:6 *3359:8 0 +8 la_data_in_core[112] *22719:A 0 +9 la_oenb_core[111] *22719:A 0 +10 *3906:DIODE *22719:A 0.000156823 +11 *23494:A *3075:6 0 +12 *1221:9 *3075:6 0 +13 *1222:10 *3075:6 3.67528e-06 +14 *1351:10 *3075:6 0 +15 *1352:20 *3075:6 0 +16 *1357:6 *3075:6 0 +17 *1859:23 *22719:A 3.74738e-05 +18 *1859:23 *3075:6 0.000237795 +19 *1866:45 *3075:6 0.000143068 +20 *2407:17 *3075:6 0.000264661 +21 *2562:8 *22719:A 0 +22 *2562:8 *3075:6 0 +23 *2823:36 *3075:6 7.14746e-05 +24 *2823:48 *3075:6 0 +25 *3010:20 *3075:6 0 +*RES +1 *22258:Z *3075:5 13.7491 +2 *3075:5 *3075:6 88.6197 +3 *3075:6 *5237:DIODE 14.4725 +4 *3075:6 *22719:A 18.4879 +*END + +*D_NET *3076 0.0116092 +*CONN +*I *5238:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22720:A I *D sky130_fd_sc_hd__buf_2 +*I *22259:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5238:DIODE 2.11181e-05 +2 *22720:A 0.000136004 +3 *22259:Z 0.000796996 +4 *3076:13 0.000458868 +5 *3076:10 0.00143092 +6 *3076:8 0.00192617 +7 *5238:DIODE *3359:11 2.41483e-05 +8 *3076:8 *3357:10 4.30866e-05 +9 *3076:8 *3357:12 0.000462299 +10 *3076:10 *22973:A 0 +11 *3076:10 *3357:12 0.000621821 +12 *3076:13 *22974:A 0.00043038 +13 *3076:13 *3078:21 0.000259585 +14 *3076:13 *3078:23 9.41997e-05 +15 *3076:13 *3359:11 5.07314e-05 +16 la_data_in_core[113] *22720:A 5.92342e-05 +17 la_oenb_core[112] *22720:A 0 +18 la_oenb_core[112] *3076:13 7.97944e-05 +19 *3906:DIODE *3076:13 5.56461e-05 +20 *3917:DIODE *22720:A 0 +21 *22718:A *3076:10 6.94589e-05 +22 *1218:10 *3076:8 0.000460684 +23 *1361:10 *3076:8 4.70005e-05 +24 *1859:19 *3076:13 0.000897677 +25 *2407:17 *3076:10 0.000180211 +26 *2540:8 *3076:10 0 +27 *2562:8 *22720:A 0 +28 *2573:8 *22720:A 0 +29 *2822:27 *3076:8 4.79289e-05 +30 *3074:10 *3076:8 0.000418997 +31 *3074:10 *3076:10 0.00253626 +*RES +1 *22259:Z *3076:8 41.3146 +2 *3076:8 *3076:10 46.5937 +3 *3076:10 *3076:13 21.3069 +4 *3076:13 *22720:A 21.7421 +5 *3076:13 *5238:DIODE 9.97254 +*END + +*D_NET *3077 0.0296195 +*CONN +*I *5239:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22721:A I *D sky130_fd_sc_hd__buf_2 +*I *22260:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5239:DIODE 4.77184e-05 +2 *22721:A 0.000389725 +3 *22260:Z 0 +4 *3077:21 0.00253357 +5 *3077:20 0.00263301 +6 *3077:14 0.00104608 +7 *3077:6 0.00388912 +8 *3077:5 0.00337992 +9 *5239:DIODE *3086:13 0.00016553 +10 *3077:6 *3199:16 0 +11 *3077:14 *3344:8 0.000662865 +12 *3077:20 *22965:A 8.62625e-06 +13 *3077:20 *3250:6 0 +14 *3077:20 *3348:30 0.00113297 +15 *3077:21 *5489:DIODE 0.000511727 +16 *3077:21 *5491:DIODE 6.08467e-05 +17 *3077:21 *5492:DIODE 0.000471523 +18 *3077:21 *22970:A 6.50586e-05 +19 *3077:21 *3086:11 0.000322245 +20 *3077:21 *3086:13 0.00156651 +21 *3077:21 *3353:15 0.000510776 +22 *3077:21 *3354:11 0.000324166 +23 *3077:21 *3357:15 0.000317707 +24 *3077:21 *3358:17 1.96574e-05 +25 *3077:21 *3478:397 0.000419666 +26 la_data_in_core[114] *22721:A 1.82696e-05 +27 la_oenb_core[113] *22721:A 0 +28 *3514:DIODE *3077:6 0 +29 *3929:DIODE *22721:A 0 +30 *5230:DIODE *3077:21 6.50727e-05 +31 *5233:DIODE *3077:21 6.08467e-05 +32 *6555:DIODE *3077:21 0.00021569 +33 *6557:DIODE *3077:21 0.00010792 +34 *6559:DIODE *3077:21 0.000124641 +35 *22713:A *3077:21 0.000106215 +36 *23366:B *3077:6 3.5534e-06 +37 *23474:TE *3077:6 5.49913e-05 +38 *1339:8 *3077:6 0 +39 *1854:11 *3077:20 0 +40 *1861:12 *3077:21 0.000678803 +41 *1869:16 *22721:A 0 +42 *2108:27 *3077:6 1.10793e-05 +43 *2359:15 *3077:14 0.000901889 +44 *2362:17 *3077:14 0.000213918 +45 *2431:9 *3077:14 0.00141363 +46 *2506:10 *3077:6 0 +47 *2540:17 *3077:20 0.000494972 +48 *2573:8 *22721:A 0 +49 *2797:36 *3077:6 0 +50 *2808:37 *3077:6 0.000111921 +51 *2917:8 *3077:14 0.000266313 +52 *2980:59 *3077:21 5.75508e-05 +53 *2983:113 *3077:21 0.000142233 +54 *2983:117 *3077:21 0.000383236 +55 *3068:11 *3077:21 0.00140073 +56 *3069:19 *3077:20 0.00230703 +*RES +1 *22260:Z *3077:5 13.7491 +2 *3077:5 *3077:6 69.9334 +3 *3077:6 *3077:14 41.2716 +4 *3077:14 *3077:20 38.0342 +5 *3077:20 *3077:21 81.6955 +6 *3077:21 *22721:A 26.7574 +7 *3077:21 *5239:DIODE 11.0817 +*END + +*D_NET *3078 0.0196435 +*CONN +*I *22722:A I *D sky130_fd_sc_hd__buf_2 +*I *5240:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22261:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22722:A 0.000215968 +2 *5240:DIODE 0 +3 *22261:Z 4.61731e-05 +4 *3078:23 0.00163295 +5 *3078:21 0.00273985 +6 *3078:12 0.00299188 +7 *3078:11 0.00226829 +8 *3078:8 0.000645457 +9 *22722:A *22977:A 0 +10 *22722:A *3080:19 6.50586e-05 +11 *3078:11 *3356:9 0.000124674 +12 *3078:12 *22969:A 0.000140531 +13 *3078:12 *3352:16 0.000971314 +14 *3078:12 *3353:15 0.000222803 +15 *3078:12 *3358:14 0 +16 *3078:21 *22971:A 0.000477044 +17 *3078:21 *22974:A 0.00043038 +18 *3078:23 *22976:A 0.00015511 +19 *3078:23 *22977:A 2.57847e-05 +20 *3078:23 *3080:19 0.000171288 +21 *3078:23 *3359:11 0.00064281 +22 la_data_in_core[115] *22722:A 0 +23 la_oenb_core[113] *3078:23 6.19019e-06 +24 la_oenb_core[114] *3078:23 6.30725e-06 +25 *3929:DIODE *3078:23 6.91078e-06 +26 *3940:DIODE *22722:A 6.65546e-05 +27 *5235:DIODE *3078:21 0.000277502 +28 *5236:DIODE *3078:21 6.50727e-05 +29 *5237:DIODE *3078:21 6.50586e-05 +30 *23643:A *3078:12 4.06489e-05 +31 *1189:11 *3078:11 0.003167 +32 *1225:16 *3078:8 0.000119486 +33 *1348:10 *3078:12 8.98279e-05 +34 *1857:11 *3078:12 1.10937e-05 +35 *1857:11 *3078:21 0.000160617 +36 *1859:19 *3078:21 0.000375058 +37 *2429:14 *3078:8 0.000122948 +38 *2808:39 *3078:11 0.000659322 +39 *2813:62 *3078:11 8.27055e-05 +40 *3076:13 *3078:21 0.000259585 +41 *3076:13 *3078:23 9.41997e-05 +*RES +1 *22261:Z *3078:8 20.4964 +2 *3078:8 *3078:11 39.0542 +3 *3078:11 *3078:12 48.3402 +4 *3078:12 *3078:21 40.935 +5 *3078:21 *3078:23 22.9075 +6 *3078:23 *5240:DIODE 9.24915 +7 *3078:23 *22722:A 23.7113 +*END + +*D_NET *3079 0.0283705 +*CONN +*I *22723:A I *D sky130_fd_sc_hd__buf_2 +*I *5241:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22262:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22723:A 0.000217264 +2 *5241:DIODE 0 +3 *22262:Z 0 +4 *3079:14 0.000902214 +5 *3079:11 0.00811553 +6 *3079:10 0.00787048 +7 *3079:5 0.00122782 +8 *3079:4 0.000787911 +9 *22723:A *22978:A 1.32509e-05 +10 *22723:A *3371:21 6.36477e-05 +11 *3079:10 *3346:11 0.000827856 +12 *3079:14 *22978:A 4.44824e-05 +13 *3079:14 *3363:8 0.000241108 +14 la_data_in_core[116] *22723:A 2.22342e-05 +15 *4470:DIODE *3079:5 0.000258128 +16 *6518:DIODE *3079:11 0.000100239 +17 *1856:9 *3079:11 0.000231581 +18 *2280:44 *3079:5 0.00432886 +19 *2405:7 *3079:11 0.00140927 +20 *2427:9 *3079:5 0.000988046 +21 *2431:9 *3079:11 0.000168023 +22 *2607:8 *3079:14 0 +23 *2640:9 *3079:11 0.000104058 +24 *2640:17 *3079:11 0.000209208 +25 *2814:40 *3079:10 0.000231941 +26 *3028:25 *3079:5 7.34948e-06 +27 *3063:8 *3079:10 0 +*RES +1 *22262:Z *3079:4 9.24915 +2 *3079:4 *3079:5 46.7555 +3 *3079:5 *3079:10 24.1201 +4 *3079:10 *3079:11 114.417 +5 *3079:11 *3079:14 22.5268 +6 *3079:14 *5241:DIODE 13.7491 +7 *3079:14 *22723:A 19.2113 +*END + +*D_NET *3080 0.0144933 +*CONN +*I *5242:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22724:A I *D sky130_fd_sc_hd__buf_2 +*I *22263:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5242:DIODE 3.14528e-05 +2 *22724:A 0.00016863 +3 *22263:Z 8.01143e-05 +4 *3080:19 0.000940869 +5 *3080:16 0.00243544 +6 *3080:15 0.00408096 +7 *3080:8 0.00246643 +8 *22724:A *22979:A 0 +9 *3080:15 *3356:9 0.000391804 +10 *3080:15 *3367:5 0.00143455 +11 *3080:16 *22977:A 8.22e-05 +12 *3080:16 *3360:8 0.0011857 +13 la_data_in_core[117] *22724:A 5.92342e-05 +14 la_oenb_core[115] *3080:19 2.24708e-05 +15 la_oenb_core[116] *22724:A 0 +16 *3940:DIODE *3080:19 1.27193e-05 +17 *3951:DIODE *3080:19 1.31657e-05 +18 *6294:DIODE *3080:15 0.000314121 +19 *22722:A *3080:19 6.50586e-05 +20 *23494:TE *3080:15 4.66876e-05 +21 *1189:11 *3080:15 7.01316e-05 +22 *1227:10 *3080:8 0.000211923 +23 *2126:32 *3080:16 0 +24 *2412:8 *3080:8 0.00020834 +25 *2596:10 *3080:16 0 +26 *2607:8 *22724:A 0 +27 *3078:23 *3080:19 0.000171288 +*RES +1 *22263:Z *3080:8 22.1574 +2 *3080:8 *3080:15 49.7846 +3 *3080:15 *3080:16 50.0013 +4 *3080:16 *3080:19 21.3069 +5 *3080:19 *22724:A 22.5727 +6 *3080:19 *5242:DIODE 9.97254 +*END + +*D_NET *3081 0.00726656 +*CONN +*I *22725:A I *D sky130_fd_sc_hd__buf_2 +*I *5243:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22264:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22725:A 0.000160993 +2 *5243:DIODE 0 +3 *22264:Z 0 +4 *3081:6 0.00219974 +5 *3081:5 0.00203875 +6 *22725:A *22981:A 5.86449e-05 +7 *22725:A *3366:6 3.67528e-06 +8 *3081:6 *3365:8 0 +9 *3081:6 *3366:6 0.000855847 +10 la_data_in_core[118] *22725:A 2.41274e-06 +11 *3962:DIODE *22725:A 4.30017e-06 +12 *21543:A *3081:6 0.000115067 +13 *1745:10 *3081:6 0.000714664 +14 *2417:18 *3081:6 5.25197e-05 +15 *2533:18 *3081:6 0.000275565 +16 *2618:6 *22725:A 9.45864e-05 +17 *2618:6 *3081:6 0.000689801 +*RES +1 *22264:Z *3081:5 13.7491 +2 *3081:5 *3081:6 68.6876 +3 *3081:6 *5243:DIODE 13.7491 +4 *3081:6 *22725:A 18.9354 +*END + +*D_NET *3082 0.00635225 +*CONN +*I *22726:A I *D sky130_fd_sc_hd__buf_2 +*I *5244:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22265:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22726:A 0.000190127 +2 *5244:DIODE 0 +3 *22265:Z 0 +4 *3082:9 0.000465357 +5 *3082:6 0.00156259 +6 *3082:5 0.00128736 +7 *22726:A *22982:A 2.78588e-05 +8 *22726:A *3087:6 0 +9 *22726:A *3367:11 6.50586e-05 +10 *3082:6 *3087:6 0.00115904 +11 *3082:6 *3366:6 0 +12 *3082:9 *22981:A 4.58003e-05 +13 *3082:9 *3087:9 1.28832e-05 +14 *3082:9 *3367:11 0.000224395 +15 la_data_in_core[119] *22726:A 0 +16 la_oenb_core[118] *22726:A 0 +17 *3984:DIODE *22726:A 0.000156823 +18 *2417:18 *3082:6 0.000194453 +19 *2418:20 *3082:6 4.51619e-05 +20 *2629:12 *22726:A 0 +21 *2629:12 *3082:6 0.000915353 +*RES +1 *22265:Z *3082:5 13.7491 +2 *3082:5 *3082:6 45.8487 +3 *3082:6 *3082:9 9.10562 +4 *3082:9 *5244:DIODE 9.24915 +5 *3082:9 *22726:A 23.7113 +*END + +*D_NET *3083 0.0474259 +*CONN +*I *22727:A I *D sky130_fd_sc_hd__buf_2 +*I *5245:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22266:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22727:A 0.000254599 +2 *5245:DIODE 0 +3 *22266:Z 0 +4 *3083:24 0.00282294 +5 *3083:23 0.00256835 +6 *3083:21 0.00367984 +7 *3083:20 0.00380175 +8 *3083:15 0.00124053 +9 *3083:13 0.00168587 +10 *3083:5 0.00390581 +11 *3083:4 0.00333856 +12 *22727:A *22972:A 0 +13 *22727:A *22983:A 3.77085e-05 +14 *22727:A *3368:16 2.14842e-06 +15 *3083:13 *3097:15 0.00272822 +16 *3083:15 *3097:15 0.00239162 +17 *3083:15 *3097:24 0.000139991 +18 *3083:20 *3084:12 2.98395e-05 +19 *3083:20 *3112:8 0.000196159 +20 *3083:21 *3382:11 0.00202288 +21 *3083:24 *3355:10 0 +22 *3083:24 *3368:16 0.000470869 +23 *3083:24 *3378:23 0 +24 la_data_in_core[11] *22727:A 2.53348e-05 +25 *3752:DIODE *3083:5 0.000118245 +26 *4478:DIODE *3083:5 0.000101958 +27 *4759:DIODE *3083:5 6.50586e-05 +28 *23567:A *3083:21 0.000222149 +29 *1336:5 *3083:5 0.000170403 +30 *1347:5 *3083:5 0.000444746 +31 *1367:9 *3083:5 0.000498421 +32 *1368:23 *3083:21 0.00316241 +33 *1368:31 *3083:21 1.67988e-05 +34 *1380:22 *3083:24 0 +35 *1381:8 *3083:20 0.000101148 +36 *1430:25 *3083:21 0.000695917 +37 *1452:9 *3083:5 0.00446245 +38 *1786:11 *3083:24 0.000221919 +39 *1997:35 *3083:13 5.35694e-05 +40 *1998:13 *3083:13 0 +41 *2339:8 *3083:15 0.000307401 +42 *2582:16 *3083:24 0 +43 *2829:20 *3083:24 1.30304e-05 +44 *2836:23 *3083:13 0.00117986 +45 *2836:23 *3083:15 0.00376822 +46 *2940:22 *3083:24 0.000228404 +47 *2940:28 *3083:24 0.000159155 +48 *2980:9 *22727:A 2.65667e-05 +49 *2998:71 *22727:A 6.50586e-05 +*RES +1 *22266:Z *3083:4 9.24915 +2 *3083:4 *3083:5 95.006 +3 *3083:5 *3083:13 43.1877 +4 *3083:13 *3083:15 59.7887 +5 *3083:15 *3083:20 12.9083 +6 *3083:20 *3083:21 66.1666 +7 *3083:21 *3083:23 4.5 +8 *3083:23 *3083:24 54.569 +9 *3083:24 *5245:DIODE 13.7491 +10 *3083:24 *22727:A 19.2113 +*END + +*D_NET *3084 0.0672528 +*CONN +*I *6118:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23406:A I *D sky130_fd_sc_hd__nand2_1 +*I *22206:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6118:DIODE 0 +2 *23406:A 0.000162456 +3 *22206:X 6.09169e-05 +4 *3084:34 0.000853779 +5 *3084:29 0.00341156 +6 *3084:27 0.00504767 +7 *3084:24 0.00330467 +8 *3084:12 0.00399233 +9 *3084:10 0.00304976 +10 *3084:8 0.00216295 +11 *3084:7 0.00218921 +12 *3084:8 *22766:A 0.000225285 +13 *3084:8 *3112:12 6.17425e-05 +14 *3084:8 *3126:22 0.00161683 +15 *3084:12 *3093:14 5.87453e-05 +16 *3084:12 *3112:8 0.000245456 +17 *3084:12 *3112:12 3.20069e-06 +18 *4395:DIODE *3084:8 4.57681e-05 +19 *6119:DIODE *23406:A 0.000175485 +20 *6577:DIODE *3084:8 9.66954e-05 +21 *22206:A *3084:7 6.50727e-05 +22 *22421:B *3084:12 0.00018928 +23 *23395:A *3084:34 3.67528e-06 +24 *1153:57 *3084:27 0.00385387 +25 *1153:61 *3084:27 1.64699e-05 +26 *1153:61 *3084:29 0.000117275 +27 *1263:9 *3084:12 0.000141619 +28 *1381:8 *3084:12 0.000101148 +29 *1382:14 *3084:12 0 +30 *1767:9 *3084:12 9.68179e-05 +31 *1885:28 *3084:12 0 +32 *1887:24 *3084:12 0.000497451 +33 *1888:23 *3084:24 0.000278768 +34 *2071:42 *3084:24 0.000500043 +35 *2142:62 *23406:A 0.000593901 +36 *2344:57 *3084:12 0.000123931 +37 *2373:5 *3084:29 0.00679996 +38 *2571:6 *3084:12 0 +39 *2614:8 *3084:34 9.22013e-06 +40 *2614:13 *3084:29 0 +41 *2713:8 *3084:12 0 +42 *2806:25 *3084:29 0.017453 +43 *2806:30 *3084:34 0.00193607 +44 *2840:8 *3084:24 0.000203603 +45 *2846:37 *3084:12 0 +46 *2848:22 *3084:12 0 +47 *2848:26 *3084:12 0 +48 *2848:47 *3084:12 0 +49 *2850:33 *3084:12 0.000216577 +50 *2856:6 *3084:12 0.000427372 +51 *2923:9 *3084:29 0.00615471 +52 *2962:8 *3084:12 0 +53 *2962:20 *3084:34 9.83118e-05 +54 *2973:18 *3084:12 0 +55 *2980:9 *3084:7 6.04131e-05 +56 *3001:29 *3084:7 0.000319954 +57 *3006:61 *3084:24 0.000199991 +58 *3083:20 *3084:12 2.98395e-05 +*RES +1 *22206:X *3084:7 17.2456 +2 *3084:7 *3084:8 49.5005 +3 *3084:8 *3084:10 0.732798 +4 *3084:10 *3084:12 67.9426 +5 *3084:12 *3084:24 34.9627 +6 *3084:24 *3084:27 42.3428 +7 *3084:27 *3084:29 190.952 +8 *3084:29 *3084:34 40.7302 +9 *3084:34 *23406:A 16.5072 +10 *3084:34 *6118:DIODE 9.24915 +*END + +*D_NET *3085 0.00700614 +*CONN +*I *22728:A I *D sky130_fd_sc_hd__buf_2 +*I *5246:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22267:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22728:A 0.000183104 +2 *5246:DIODE 0 +3 *22267:Z 2.80066e-05 +4 *3085:8 0.00331018 +5 *3085:7 0.00315508 +6 *22728:A *3371:21 6.50727e-05 +7 la_data_in_core[120] *22728:A 1.82832e-05 +8 *4006:DIODE *22728:A 6.80864e-05 +9 *1192:10 *3085:8 0.000113257 +10 *1231:25 *3085:7 6.50727e-05 +11 *1234:18 *3085:8 0 +12 *1235:9 *3085:8 0 +13 *2135:55 *3085:8 0 +14 *2640:8 *22728:A 0 +15 *2640:8 *3085:8 0 +16 *2662:8 *22728:A 0 +17 *2662:8 *3085:8 0 +*RES +1 *22267:Z *3085:7 14.4725 +2 *3085:7 *3085:8 74.9164 +3 *3085:8 *5246:DIODE 13.7491 +4 *3085:8 *22728:A 19.2113 +*END + +*D_NET *3086 0.0201486 +*CONN +*I *22729:A I *D sky130_fd_sc_hd__buf_2 +*I *5247:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22268:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22729:A 0.000205039 +2 *5247:DIODE 0 +3 *22268:Z 0 +4 *3086:16 0.000301532 +5 *3086:13 0.00193462 +6 *3086:11 0.00208895 +7 *3086:6 0.00153273 +8 *3086:5 0.0012819 +9 *22729:A *22984:A 6.50586e-05 +10 *22729:A *3370:12 0 +11 *22729:A *3371:21 6.50586e-05 +12 *3086:6 *22971:A 3.31882e-05 +13 *3086:6 *3354:8 0 +14 *3086:11 *5489:DIODE 0.00047703 +15 *3086:11 *5492:DIODE 0.000483488 +16 *3086:11 *3357:15 0.000313495 +17 *3086:11 *3358:17 0.00202513 +18 *3086:13 *5493:DIODE 2.41483e-05 +19 *3086:13 *5496:DIODE 2.65831e-05 +20 *3086:13 *5499:DIODE 0.000148823 +21 *3086:13 *3358:17 0.000267146 +22 *3086:13 *3372:11 0.000866671 +23 *3086:13 *3372:13 0.00218443 +24 *3086:16 *3370:12 0 +25 *3086:16 *3375:6 3.31882e-05 +26 la_data_in_core[121] *22729:A 2.22342e-05 +27 *5239:DIODE *3086:13 0.00016553 +28 *22715:A *3086:6 6.28484e-05 +29 *1227:10 *3086:6 7.2393e-05 +30 *1232:16 *3086:6 1.07248e-05 +31 *2406:8 *3086:6 0.00101794 +32 *2430:18 *3086:6 0.000100693 +33 *2662:8 *22729:A 0 +34 *2662:8 *3086:16 0 +35 *2673:8 *22729:A 0 +36 *3070:12 *3086:6 0.00244924 +37 *3077:21 *3086:11 0.000322245 +38 *3077:21 *3086:13 0.00156651 +*RES +1 *22268:Z *3086:5 13.7491 +2 *3086:5 *3086:6 50.8318 +3 *3086:6 *3086:11 26.8771 +4 *3086:11 *3086:13 74.4857 +5 *3086:13 *3086:16 6.74725 +6 *3086:16 *5247:DIODE 13.7491 +7 *3086:16 *22729:A 19.2113 +*END + +*D_NET *3087 0.0101129 +*CONN +*I *5248:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22730:A I *D sky130_fd_sc_hd__buf_2 +*I *22269:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5248:DIODE 2.27714e-05 +2 *22730:A 0.000124835 +3 *22269:Z 0 +4 *3087:9 0.00108011 +5 *3087:6 0.00226566 +6 *3087:5 0.00133316 +7 *5248:DIODE *3369:9 5.31074e-05 +8 *3087:6 *3366:6 0 +9 *3087:6 *3367:8 0.00123038 +10 *3087:9 *5500:DIODE 6.47133e-05 +11 *3087:9 *5502:DIODE 0.000536581 +12 *3087:9 *22981:A 5.04829e-06 +13 *3087:9 *3367:11 0.00010307 +14 *3087:9 *3369:9 0.000377273 +15 la_data_in_core[122] *22730:A 5.92342e-05 +16 la_oenb_core[118] *3087:9 0.00011818 +17 la_oenb_core[121] *22730:A 0 +18 la_oenb_core[121] *3087:9 0.000169041 +19 *3603:DIODE *3087:6 0 +20 *3984:DIODE *3087:9 0.000118166 +21 *4006:DIODE *3087:9 0.000122378 +22 *4028:DIODE *22730:A 0 +23 *22726:A *3087:6 0 +24 *1189:10 *3087:6 0.000393344 +25 *1229:10 *3087:6 0.000478656 +26 *1871:17 *22730:A 0 +27 *2417:18 *3087:6 0.000213714 +28 *2418:20 *3087:6 4.04556e-05 +29 *2629:12 *3087:6 3.11022e-05 +30 *3082:6 *3087:6 0.00115904 +31 *3082:9 *3087:9 1.28832e-05 +*RES +1 *22269:Z *3087:5 13.7491 +2 *3087:5 *3087:6 56.6453 +3 *3087:6 *3087:9 31.2898 +4 *3087:9 *22730:A 21.3269 +5 *3087:9 *5248:DIODE 9.97254 +*END + +*D_NET *3088 0.00859073 +*CONN +*I *22731:A I *D sky130_fd_sc_hd__buf_2 +*I *5249:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22270:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22731:A 0.000207144 +2 *5249:DIODE 0 +3 *22270:Z 0.000739034 +4 *3088:8 0.00206938 +5 *3088:7 0.00260127 +6 *22731:A *3372:18 0 +7 *3088:8 *3372:18 0 +8 la_data_in_core[123] *22731:A 5.37208e-05 +9 la_oenb_core[123] *22731:A 0 +10 *4486:DIODE *3088:7 0.000171288 +11 *1194:11 *3088:7 0.0027262 +12 *2421:18 *3088:8 2.26985e-05 +13 *2684:8 *22731:A 0 +14 *2684:8 *3088:8 0 +*RES +1 *22270:Z *3088:7 42.7573 +2 *3088:7 *3088:8 45.8487 +3 *3088:8 *5249:DIODE 13.7491 +4 *3088:8 *22731:A 19.2113 +*END + +*D_NET *3089 0.0125912 +*CONN +*I *5250:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22732:A I *D sky130_fd_sc_hd__buf_2 +*I *22271:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5250:DIODE 0.000255643 +2 *22732:A 0.000137996 +3 *22271:Z 0.000964621 +4 *3089:16 0.00198219 +5 *3089:15 0.00255317 +6 *3089:15 *3370:11 0.000954871 +7 *3089:15 *3376:7 0.000555013 +8 *3089:16 *3376:10 0.00226318 +9 *3089:16 *3376:15 0.000365951 +10 *3089:16 *3473:9 0.000646634 +11 *3089:16 *3476:10 2.96862e-05 +12 *4040:DIODE *22732:A 6.17194e-05 +13 *4051:DIODE *5250:DIODE 6.91561e-06 +14 *4051:DIODE *22732:A 0.000151726 +15 *4488:DIODE *3089:15 0.000277502 +16 *6310:DIODE *3089:15 0.00036174 +17 *21550:A *3089:15 6.92705e-05 +18 *23087:A *3089:16 0.000193377 +19 *23625:A *3089:16 0 +20 *1194:10 *3089:15 0.000122378 +21 *1194:10 *3089:16 0 +22 *1235:9 *3089:15 6.50586e-05 +23 *1237:10 *3089:15 0.000172763 +24 *1237:10 *3089:16 0 +25 *1872:12 *22732:A 4.46284e-05 +26 *1872:12 *3089:16 0 +27 *2420:18 *3089:16 0.000349953 +28 *2696:8 *22732:A 0 +29 *2696:8 *3089:16 0 +30 *3054:11 *5250:DIODE 5.20546e-06 +*RES +1 *22271:Z *3089:15 49.2438 +2 *3089:15 *3089:16 58.7215 +3 *3089:16 *22732:A 19.2207 +4 *3089:16 *5250:DIODE 17.2456 +*END + +*D_NET *3090 0.0100641 +*CONN +*I *22733:A I *D sky130_fd_sc_hd__buf_2 +*I *5251:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22272:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22733:A 0.000208682 +2 *5251:DIODE 0 +3 *22272:Z 0.000294733 +4 *3090:12 0.000417616 +5 *3090:8 0.0014848 +6 *3090:7 0.0015706 +7 *22733:A *22988:A 0 +8 *3090:8 *3374:14 0.00159057 +9 *3090:8 *3374:19 0.000997887 +10 *3090:8 *3472:10 7.50722e-05 +11 *3090:12 *5611:DIODE 6.96979e-05 +12 *3090:12 *3374:19 2.15861e-05 +13 la_data_in_core[125] *22733:A 6.77978e-05 +14 *4490:DIODE *3090:7 0.000166937 +15 *1236:15 *3090:7 1.00981e-05 +16 *1874:14 *22733:A 6.47151e-05 +17 *1874:14 *3090:8 0 +18 *1874:14 *3090:12 7.08387e-05 +19 *2424:8 *3090:8 0.00252133 +20 *2484:8 *3090:8 0.000387356 +21 *3053:15 *22733:A 5.66868e-06 +22 *3053:15 *3090:12 3.80794e-05 +*RES +1 *22272:Z *3090:7 20.5732 +2 *3090:7 *3090:8 60.5902 +3 *3090:8 *3090:12 6.09444 +4 *3090:12 *5251:DIODE 13.7491 +5 *3090:12 *22733:A 19.7659 +*END + +*D_NET *3091 0.00654029 +*CONN +*I *22734:A I *D sky130_fd_sc_hd__buf_2 +*I *5252:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22273:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22734:A 0.000305765 +2 *5252:DIODE 2.59228e-05 +3 *22273:Z 0.000859569 +4 *3091:8 0.00222407 +5 *3091:7 0.00275195 +6 *5252:DIODE *3092:11 6.50727e-05 +7 *22734:A *22989:A 0 +8 la_data_in_core[126] *22734:A 5.4704e-05 +9 la_oenb_core[125] *22734:A 0 +10 *4062:DIODE *22734:A 0.000140255 +11 *4492:DIODE *3091:7 7.48797e-05 +12 *2718:6 *22734:A 0 +13 *2718:6 *3091:8 0 +14 *2729:6 *3091:8 0 +15 *3055:11 *22734:A 2.81678e-06 +16 *3055:11 *3091:8 3.52807e-05 +*RES +1 *22273:Z *3091:7 31.1106 +2 *3091:7 *3091:8 45.4335 +3 *3091:8 *5252:DIODE 14.4725 +4 *3091:8 *22734:A 21.3947 +*END + +*D_NET *3092 0.0143213 +*CONN +*I *22735:A I *D sky130_fd_sc_hd__buf_2 +*I *5253:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22274:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22735:A 0.000320609 +2 *5253:DIODE 0 +3 *22274:Z 0.00043151 +4 *3092:11 0.000547731 +5 *3092:8 0.00244585 +6 *3092:7 0.00265024 +7 *3092:11 *5508:DIODE 0.000105465 +8 *3092:11 *3376:15 0.000830077 +9 la_data_in_core[127] *22735:A 5.4704e-05 +10 *4084:DIODE *22735:A 4.34143e-05 +11 *5252:DIODE *3092:11 6.50727e-05 +12 *21391:A *3092:8 3.31882e-05 +13 *1168:12 *3092:7 3.14978e-05 +14 *1874:14 *3092:8 0.000962386 +15 *2424:8 *3092:8 0 +16 *2718:6 *3092:8 0.00505976 +17 *2740:8 *22735:A 0 +18 *3055:11 *22735:A 0.000103294 +19 *3055:11 *3092:11 0.000573169 +20 *3058:5 *22735:A 2.7837e-05 +21 *3058:5 *3092:11 3.55215e-05 +*RES +1 *22274:Z *3092:7 22.7916 +2 *3092:7 *3092:8 86.5434 +3 *3092:8 *3092:11 16.3155 +4 *3092:11 *5253:DIODE 9.24915 +5 *3092:11 *22735:A 26.7574 +*END + +*D_NET *3093 0.0492777 +*CONN +*I *5254:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22736:A I *D sky130_fd_sc_hd__buf_2 +*I *22275:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5254:DIODE 4.45933e-05 +2 *22736:A 0.000273065 +3 *22275:Z 0 +4 *3093:32 0.000978691 +5 *3093:30 0.00250776 +6 *3093:27 0.00359151 +7 *3093:19 0.00227575 +8 *3093:14 0.00256645 +9 *3093:5 0.00339388 +10 *3093:4 0.0013584 +11 *22736:A *22992:A 1.00532e-05 +12 *3093:14 *3389:5 0.00207957 +13 *3093:19 *3097:25 0.0022584 +14 *3093:19 *3109:19 0.00416922 +15 *3093:27 *3383:25 0.000472832 +16 *3093:30 *3378:26 0.00158937 +17 *3093:30 *3381:16 0 +18 *3093:32 *3378:26 0.000212353 +19 *3093:32 *3381:16 0 +20 la_data_in_core[12] *22736:A 0 +21 *3995:DIODE *22736:A 0 +22 *4095:DIODE *22736:A 0.000121386 +23 *4819:DIODE *3093:30 7.50872e-05 +24 *21579:A *3093:14 0 +25 *21579:A *3093:19 0.000330596 +26 *1136:9 *3093:5 0.00293181 +27 *1368:31 *3093:27 0.000463301 +28 *1373:25 *3093:5 0.000484464 +29 *1373:25 *3093:14 9.79796e-06 +30 *1374:14 *3093:30 0 +31 *1383:8 *3093:14 7.50872e-05 +32 *1430:13 *3093:5 0.00947198 +33 *1452:40 *3093:14 0.000838139 +34 *1784:9 *3093:30 0.000136879 +35 *1883:30 *3093:30 2.84561e-05 +36 *1883:30 *3093:32 5.01835e-05 +37 *2333:14 *3093:5 0.000311337 +38 *2461:64 *3093:30 6.74182e-05 +39 *2463:38 *3093:30 3.29488e-05 +40 *2509:12 *3093:27 0.000283256 +41 *2651:8 *3093:32 0 +42 *2751:6 *3093:30 0.000166324 +43 *2795:17 *3093:19 0.00125351 +44 *2842:44 *3093:5 0.00361414 +45 *2865:18 *3093:30 0.00013147 +46 *2867:12 *3093:30 0 +47 *2867:34 *3093:30 0 +48 *2918:34 *3093:27 0.000279643 +49 *2977:23 *3093:32 3.63593e-05 +50 *2980:9 *22736:A 5.0715e-05 +51 *2988:21 *5254:DIODE 6.08467e-05 +52 *2998:71 *22736:A 0.000118166 +53 *3007:30 *22736:A 1.37385e-05 +54 *3084:12 *3093:14 5.87453e-05 +*RES +1 *22275:Z *3093:4 9.24915 +2 *3093:4 *3093:5 113.863 +3 *3093:5 *3093:14 45.9568 +4 *3093:14 *3093:19 49.7214 +5 *3093:19 *3093:27 44.7498 +6 *3093:27 *3093:30 43.8824 +7 *3093:30 *3093:32 13.6667 +8 *3093:32 *22736:A 20.4987 +9 *3093:32 *5254:DIODE 14.4725 +*END + +*D_NET *3094 0.0560752 +*CONN +*I *22737:A I *D sky130_fd_sc_hd__buf_2 +*I *5255:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22276:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22737:A 0.000235681 +2 *5255:DIODE 4.18856e-05 +3 *22276:Z 0 +4 *3094:22 0.00274455 +5 *3094:21 0.00456573 +6 *3094:14 0.00273597 +7 *3094:5 0.00327193 +8 *3094:4 0.0026347 +9 *3094:5 *3152:11 0.00122074 +10 *3094:5 *3188:35 0.00293801 +11 *3094:5 *3188:42 6.8085e-05 +12 *3094:5 *3435:9 6.24819e-05 +13 *3094:5 *3435:15 0.0036979 +14 *3094:14 *3116:11 0.000523707 +15 *3094:14 *3116:13 0.000338654 +16 *3094:14 *3188:35 0 +17 *3094:14 *3188:42 0.00170218 +18 *3094:14 *3188:44 0.000115343 +19 *3094:14 *3355:7 2.61012e-05 +20 *3094:14 *3471:10 0 +21 *3094:21 *3368:13 0.00221821 +22 *3094:21 *3378:23 0.000828019 +23 *3094:22 *3103:32 0 +24 *3094:22 *3379:6 0 +25 la_data_in_core[13] *22737:A 6.56365e-05 +26 la_oenb_core[12] *22737:A 0 +27 la_oenb_core[13] *22737:A 0 +28 *3757:DIODE *3094:5 0.000328363 +29 *6375:DIODE *3094:5 0.000164829 +30 *22276:TE *3094:5 0.000228593 +31 *23484:A *3094:5 4.82966e-05 +32 *23484:A *3094:14 4.7654e-05 +33 *23534:A *3094:5 4.66492e-05 +34 *1250:15 *3094:5 0.00248371 +35 *1272:10 *3094:21 0.000339402 +36 *1272:10 *3094:22 0.000462951 +37 *1347:25 *3094:5 2.41483e-05 +38 *1370:7 *3094:5 0.000815191 +39 *1370:11 *3094:5 0.00209757 +40 *1370:16 *3094:5 0.000207743 +41 *1397:9 *3094:5 0.0158283 +42 *1752:9 *3094:5 1.00846e-05 +43 *2366:12 *3094:22 0 +44 *2461:64 *3094:22 1.00009e-05 +45 *2463:38 *3094:22 4.88764e-06 +46 *2578:9 *3094:14 0.000213725 +47 *2751:6 *3094:22 0 +48 *2832:29 *3094:5 0.002582 +49 *2865:34 *3094:22 0 +50 *2977:28 *3094:22 9.84424e-06 +51 *2983:26 *3094:22 0 +52 *2988:21 *5255:DIODE 6.50727e-05 +53 *3007:30 *22737:A 2.07138e-05 +*RES +1 *22276:Z *3094:4 9.24915 +2 *3094:4 *3094:5 212.582 +3 *3094:5 *3094:14 36.5229 +4 *3094:14 *3094:21 43.1293 +5 *3094:21 *3094:22 46.264 +6 *3094:22 *5255:DIODE 14.4725 +7 *3094:22 *22737:A 18.4879 +*END + +*D_NET *3095 0.056896 +*CONN +*I *6120:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23407:A I *D sky130_fd_sc_hd__nand2_1 +*I *22207:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6120:DIODE 8.01326e-05 +2 *23407:A 0 +3 *22207:X 0.00117963 +4 *3095:26 8.01326e-05 +5 *3095:24 0.00260491 +6 *3095:22 0.0036379 +7 *3095:17 0.0127238 +8 *3095:16 0.0116908 +9 *3095:14 0.000598385 +10 *3095:10 0.00177802 +11 *3095:10 *5541:DIODE 0 +12 *3095:10 *23021:A 0.000165775 +13 *3095:10 *3410:14 0.000903449 +14 *3095:14 *3148:9 0.0037446 +15 *3095:14 *3162:25 0.00162425 +16 *3095:14 *3405:8 6.04335e-05 +17 *3095:17 *3122:19 0.00532778 +18 *3095:17 *3122:23 0.00548067 +19 *3095:22 *3122:16 0.000292907 +20 *3095:24 *3096:36 0.00014605 +21 *3095:24 *3098:43 0.000124237 +22 *3095:24 *3113:28 1.57662e-05 +23 *3095:24 *3122:16 0 +24 *3095:24 *3383:16 5.36085e-05 +25 la_oenb_core[40] *3095:10 0 +26 *6121:DIODE *6120:DIODE 0.000167076 +27 *23561:TE *3095:10 1.48605e-05 +28 *1262:26 *3095:24 7.5909e-06 +29 *1407:18 *3095:10 0 +30 *1889:24 *3095:24 7.30895e-05 +31 *1889:29 *3095:24 0 +32 *1909:20 *3095:17 0.000665873 +33 *2067:17 *3095:10 8.72221e-06 +34 *2079:20 *3095:17 0.000157517 +35 *2079:27 *3095:17 0.000108607 +36 *2083:18 *3095:17 0.000101365 +37 *2083:23 *3095:14 0.00012601 +38 *2156:42 *6120:DIODE 0.000158371 +39 *2156:48 *6120:DIODE 1.15389e-05 +40 *2166:40 *3095:24 4.04447e-05 +41 *2168:20 *6120:DIODE 0.00014616 +42 *2380:8 *3095:22 2.2497e-06 +43 *2380:8 *3095:24 0.000629207 +44 *2529:6 *3095:22 0 +45 *2580:16 *3095:24 0.000459635 +46 *2762:14 *3095:24 2.7558e-05 +47 *2851:34 *3095:24 9.20637e-05 +48 *2986:22 *3095:10 0 +49 *3001:65 *3095:10 0.000324166 +50 *3071:18 *3095:22 0.00126066 +*RES +1 *22207:X *3095:10 44.3402 +2 *3095:10 *3095:14 46.5716 +3 *3095:14 *3095:16 4.5 +4 *3095:16 *3095:17 201.49 +5 *3095:17 *3095:22 32.7249 +6 *3095:22 *3095:24 56.7308 +7 *3095:24 *3095:26 4.5 +8 *3095:26 *23407:A 9.24915 +9 *3095:26 *6120:DIODE 13.3002 +*END + +*D_NET *3096 0.0595675 +*CONN +*I *22738:A I *D sky130_fd_sc_hd__buf_2 +*I *5256:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22277:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22738:A 0.000245387 +2 *5256:DIODE 4.18856e-05 +3 *22277:Z 0 +4 *3096:40 0.00472334 +5 *3096:39 0.00538977 +6 *3096:36 0.00132301 +7 *3096:25 0.00128038 +8 *3096:23 0.00132402 +9 *3096:17 0.00264979 +10 *3096:16 0.00275965 +11 *3096:5 0.00509892 +12 *3096:4 0.00457612 +13 *3096:17 *3256:7 0.00011818 +14 *3096:25 *3106:35 0.000534005 +15 *3096:36 *3120:14 0.00125801 +16 *3096:39 *3119:9 0.00153203 +17 *3096:40 *3380:32 0 +18 la_data_in_core[14] *22738:A 7.05604e-05 +19 *3642:DIODE *3096:17 0.000167076 +20 *4117:DIODE *22738:A 0 +21 *4821:DIODE *3096:40 9.66634e-05 +22 *6068:DIODE *3096:5 1.43983e-05 +23 *6085:DIODE *3096:17 5.04829e-06 +24 *6087:DIODE *3096:17 0.000171288 +25 *21441:A *3096:5 7.48633e-05 +26 *22298:TE *3096:36 5.22654e-06 +27 *22314:TE *3096:40 0.00020023 +28 *23408:B *3096:25 0.000171273 +29 *23506:A *3096:17 0.000159322 +30 *23506:TE *3096:23 3.17103e-05 +31 *1256:10 *3096:17 0.000217937 +32 *1261:19 *3096:36 0.000763397 +33 *1262:26 *3096:36 4.42033e-05 +34 *1369:15 *3096:5 0.003157 +35 *1369:31 *3096:17 0.00289654 +36 *1369:33 *3096:17 0.00327393 +37 *1637:9 *3096:16 0.000510857 +38 *1655:8 *3096:25 0.000517249 +39 *1774:6 *3096:36 4.60197e-05 +40 *1785:8 *3096:40 4.17888e-05 +41 *1790:8 *3096:40 8.6931e-05 +42 *1885:25 *3096:25 0.00253038 +43 *1886:22 *3096:40 0.000379274 +44 *1900:19 *3096:40 0 +45 *1902:19 *3096:40 0.000154715 +46 *1916:18 *3096:25 0.000541803 +47 *1938:26 *3096:5 0.00108279 +48 *1938:36 *3096:5 0.000363651 +49 *2011:43 *3096:40 0.000343188 +50 *2011:50 *3096:40 0.000781926 +51 *2157:24 *3096:17 7.35863e-05 +52 *2157:24 *3096:23 0.00171521 +53 *2157:24 *3096:25 0.00120408 +54 *2170:26 *3096:36 3.83172e-05 +55 *2325:16 *3096:5 0.000267774 +56 *2325:16 *3096:17 0.000141764 +57 *2377:8 *3096:16 0 +58 *2379:26 *3096:16 0.000106217 +59 *2394:18 *3096:40 0 +60 *2556:8 *3096:16 2.55021e-05 +61 *2557:6 *3096:16 3.98296e-05 +62 *2557:9 *3096:5 0.000113968 +63 *2580:16 *3096:36 3.44118e-05 +64 *2762:6 *22738:A 0 +65 *2762:6 *3096:40 0 +66 *2773:8 *22738:A 0 +67 *2773:8 *3096:40 0 +68 *2842:12 *3096:16 0.000102676 +69 *2851:50 *3096:23 0.000570108 +70 *2851:50 *3096:25 0.000547384 +71 *2851:57 *3096:16 0.000496798 +72 *2866:33 *3096:40 0 +73 *2867:12 *3096:36 0.000112159 +74 *2918:44 *3096:25 0.00159984 +75 *2940:34 *3096:36 0.000410992 +76 *2988:21 *5256:DIODE 6.50727e-05 +77 *3095:24 *3096:36 0.00014605 +*RES +1 *22277:Z *3096:4 9.24915 +2 *3096:4 *3096:5 119.963 +3 *3096:5 *3096:16 35.4192 +4 *3096:16 *3096:17 72.8219 +5 *3096:17 *3096:23 20.3154 +6 *3096:23 *3096:25 60.8979 +7 *3096:25 *3096:36 37.3589 +8 *3096:36 *3096:39 21.3069 +9 *3096:39 *3096:40 89.8655 +10 *3096:40 *5256:DIODE 14.4725 +11 *3096:40 *22738:A 18.4879 +*END + +*D_NET *3097 0.0450628 +*CONN +*I *22739:A I *D sky130_fd_sc_hd__buf_2 +*I *5257:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22278:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22739:A 0.000262423 +2 *5257:DIODE 0 +3 *22278:Z 0.000461406 +4 *3097:34 0.0026574 +5 *3097:33 0.00327986 +6 *3097:25 0.00162154 +7 *3097:24 0.00133268 +8 *3097:15 0.00325787 +9 *3097:13 0.00309249 +10 *3097:10 0.000892056 +11 *3097:10 *3200:17 7.40309e-05 +12 *3097:24 *3116:11 0.000160218 +13 *3097:24 *3121:6 0 +14 *3097:25 *3109:19 0.00025715 +15 *3097:25 *3109:32 0.000261805 +16 *3097:25 *3113:29 0.000255942 +17 *3097:33 *3151:58 0 +18 *3097:34 *22995:A 0 +19 *3097:34 *3130:20 9.93314e-05 +20 *3097:34 *3380:32 0 +21 *3097:34 *3402:6 0.000757495 +22 la_oenb_core[14] *22739:A 0 +23 la_oenb_core[15] *22739:A 0 +24 *4502:DIODE *3097:10 0.000103943 +25 *4767:DIODE *3097:10 3.77659e-05 +26 *21443:A *3097:10 6.73022e-05 +27 *22535:A *3097:24 4.66876e-05 +28 *23519:TE *3097:25 0.000165393 +29 *294:8 *22739:A 9.12416e-06 +30 *1136:15 *3097:15 0.00134039 +31 *1136:15 *3097:24 0.000564739 +32 *1358:5 *3097:13 0.000854165 +33 *1358:5 *3097:15 0.00214058 +34 *1368:34 *3097:33 0 +35 *1380:25 *3097:33 0.00184211 +36 *1381:11 *3097:24 0.00246286 +37 *1760:12 *3097:10 0.000163912 +38 *2021:34 *3097:34 0 +39 *2339:8 *3097:15 0.000370189 +40 *2339:8 *3097:24 0.000115848 +41 *2372:42 *3097:10 5.66868e-06 +42 *2373:8 *3097:10 0 +43 *2751:6 *3097:33 0.000118021 +44 *2773:8 *22739:A 0 +45 *2784:6 *22739:A 0 +46 *2784:6 *3097:34 0 +47 *2795:17 *3097:25 0.00484653 +48 *2829:9 *3097:33 0.00184632 +49 *2836:8 *3097:10 5.53789e-05 +50 *2836:11 *3097:10 6.50727e-05 +51 *2836:17 *3097:10 6.50586e-05 +52 *2836:23 *3097:13 0.000709063 +53 *2836:23 *3097:15 0.00064537 +54 *2865:24 *3097:33 2.1203e-06 +55 *2868:21 *3097:34 0.000177259 +56 *3083:13 *3097:15 0.00272822 +57 *3083:15 *3097:15 0.00239162 +58 *3083:15 *3097:24 0.000139991 +59 *3093:19 *3097:25 0.0022584 +*RES +1 *22278:Z *3097:10 32.1725 +2 *3097:10 *3097:13 22.0997 +3 *3097:13 *3097:15 116.636 +4 *3097:15 *3097:24 40.8327 +5 *3097:24 *3097:25 52.8561 +6 *3097:25 *3097:33 46.6783 +7 *3097:33 *3097:34 48.7555 +8 *3097:34 *5257:DIODE 13.7491 +9 *3097:34 *22739:A 18.4879 +*END + +*D_NET *3098 0.0514193 +*CONN +*I *22740:A I *D sky130_fd_sc_hd__buf_2 +*I *5258:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22279:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22740:A 0.00039881 +2 *5258:DIODE 0 +3 *22279:Z 2.06324e-05 +4 *3098:50 0.00236103 +5 *3098:49 0.00270766 +6 *3098:46 0.00164527 +7 *3098:43 0.00234094 +8 *3098:23 0.00220875 +9 *3098:22 0.000767637 +10 *3098:20 0.000958557 +11 *3098:7 0.00163506 +12 *3098:5 0.000697131 +13 *3098:7 *3176:9 0.000622981 +14 *3098:20 *3176:9 0.00262791 +15 *3098:20 *3176:24 1.9101e-05 +16 *3098:23 *3115:7 0.000736911 +17 *3098:23 *3423:11 0.00386743 +18 *3098:23 *3471:9 7.34948e-06 +19 *3098:43 *3115:7 0.00198788 +20 *3098:43 *3471:9 0.000330109 +21 *3098:46 *3151:55 0.000299092 +22 *3098:46 *3379:6 0.000293851 +23 la_data_in_core[16] *22740:A 2.34902e-05 +24 *3638:DIODE *3098:20 8.45043e-05 +25 *3773:DIODE *3098:43 0.000314433 +26 *3787:DIODE *3098:49 0.000259093 +27 *4128:DIODE *22740:A 1.14523e-05 +28 *4505:DIODE *3098:7 0.000164829 +29 *22416:A_N *3098:20 0.000466373 +30 *23545:A *3098:20 5.56461e-05 +31 *23589:A *3098:23 8.16599e-05 +32 *23589:TE *3098:43 0.00015143 +33 *1252:8 *3098:20 0.000207266 +34 *1379:14 *3098:20 2.77419e-05 +35 *1379:20 *3098:23 0.00351727 +36 *1386:5 *3098:7 0.00321853 +37 *1403:8 *3098:50 0.000226086 +38 *1408:15 *3098:7 0.00185623 +39 *1408:15 *3098:20 0.000424308 +40 *1452:41 *3098:23 0.000849684 +41 *1755:9 *3098:7 0.000260374 +42 *2020:23 *3098:20 8.72221e-06 +43 *2333:14 *3098:20 0.00146391 +44 *2333:14 *3098:43 0.00284198 +45 *2343:8 *3098:20 0.00355091 +46 *2343:8 *3098:43 0.00113068 +47 *2366:12 *3098:46 0.0013231 +48 *2370:6 *3098:20 0 +49 *2380:8 *3098:43 0.000123778 +50 *2392:6 *3098:20 3.77568e-05 +51 *2762:6 *3098:46 0.000273899 +52 *2784:6 *22740:A 0 +53 *2784:6 *3098:50 0 +54 *2795:6 *22740:A 0 +55 *2795:6 *3098:50 0 +56 *2852:34 *3098:43 0.000782786 +57 *2862:17 *3098:49 0.000264925 +58 *2868:21 *3098:49 0.000525925 +59 *2929:24 *3098:20 5.01835e-05 +60 *2981:23 *22740:A 9.04181e-05 +61 *2998:75 *22740:A 6.50586e-05 +62 *3001:29 *22740:A 2.65667e-05 +63 *3095:24 *3098:43 0.000124237 +*RES +1 *22279:Z *3098:5 9.82786 +2 *3098:5 *3098:7 50.6377 +3 *3098:7 *3098:20 47.3903 +4 *3098:20 *3098:22 4.5 +5 *3098:22 *3098:23 61.1752 +6 *3098:23 *3098:43 44.2884 +7 *3098:43 *3098:46 31.87 +8 *3098:46 *3098:49 24.6345 +9 *3098:49 *3098:50 36.7132 +10 *3098:50 *5258:DIODE 13.7491 +11 *3098:50 *22740:A 22.1181 +*END + +*D_NET *3099 0.0574481 +*CONN +*I *22741:A I *D sky130_fd_sc_hd__buf_2 +*I *5259:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22280:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22741:A 0.000265742 +2 *5259:DIODE 0 +3 *22280:Z 0.000890285 +4 *3099:12 0.00276632 +5 *3099:11 0.0113348 +6 *3099:8 0.00972446 +7 *3099:11 *3108:9 0.0205231 +8 *3099:11 *3152:11 0.00291028 +9 *3099:11 *3200:17 0.000223271 +10 *3099:11 *3343:7 0.000212637 +11 *3099:11 *3399:7 0.000340318 +12 *3099:12 *22996:A 0 +13 *3099:12 *3162:52 0 +14 *3099:12 *3382:16 0 +15 *296:10 *22741:A 9.12416e-06 +16 *1756:15 *3099:8 1.77537e-06 +17 *1883:22 *3099:12 0.0018754 +18 *2339:8 *3099:11 0.000547256 +19 *2341:45 *3099:11 0.00514716 +20 *2368:38 *3099:8 0 +21 *2805:30 *3099:8 0 +22 *2807:8 *22741:A 0 +23 *2807:8 *3099:12 0 +24 *2870:34 *3099:12 0.00041254 +25 *2981:23 *3099:12 1.4359e-05 +26 *2985:44 *22741:A 0 +27 *3059:22 *3099:8 0.000249221 +*RES +1 *22280:Z *3099:8 38.2592 +2 *3099:8 *3099:11 42.5816 +3 *3099:11 *3099:12 55.1919 +4 *3099:12 *5259:DIODE 13.7491 +5 *3099:12 *22741:A 18.4879 +*END + +*D_NET *3100 0.0564536 +*CONN +*I *22742:A I *D sky130_fd_sc_hd__buf_2 +*I *5260:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22281:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22742:A 0.000425085 +2 *5260:DIODE 2.59228e-05 +3 *22281:Z 0.000303629 +4 *3100:20 0.0035273 +5 *3100:19 0.0116857 +6 *3100:16 0.00958797 +7 *3100:10 0.00128223 +8 *22742:A *22997:A 0 +9 *3100:16 *3104:6 0 +10 *3100:16 *3138:26 0.000949062 +11 *3100:16 *3188:7 0.000402523 +12 *3100:19 *3109:9 0.000110257 +13 *3100:19 *3113:28 0.000308693 +14 *3100:19 *3136:23 0.00105355 +15 *3100:19 *3176:24 0.00182518 +16 *3100:19 *3383:16 0.000461488 +17 *3100:20 *3383:28 0 +18 *3100:20 *3385:12 0 +19 *3100:20 *3389:22 6.7034e-05 +20 la_data_in_core[18] *22742:A 6.22259e-05 +21 *4151:DIODE *22742:A 2.09468e-05 +22 *4162:DIODE *22742:A 0 +23 *4508:DIODE *3100:10 0.000224395 +24 *22441:B *3100:20 6.21167e-05 +25 *23536:A *3100:20 0 +26 *1249:10 *3100:16 5.94319e-06 +27 *1347:15 *3100:19 0.000176586 +28 *1373:14 *3100:16 0.00145697 +29 *1408:14 *3100:10 7.3242e-05 +30 *1452:27 *3100:19 0.000322911 +31 *1937:11 *3100:20 0 +32 *2129:37 *3100:20 0.00067101 +33 *2175:24 *3100:19 0.00124324 +34 *2318:82 *3100:10 0.000239531 +35 *2324:52 *3100:10 0.00034612 +36 *2331:12 *3100:19 0.00364539 +37 *2339:8 *3100:19 0.00175202 +38 *2372:42 *3100:10 0.000191822 +39 *2376:16 *3100:16 0.000150641 +40 *2784:11 *3100:19 0.0135098 +41 *2795:17 *3100:19 0.000162703 +42 *2807:8 *22742:A 0 +43 *2818:8 *22742:A 0 +44 *2818:8 *3100:20 0 +45 *2870:67 *3100:20 1.66771e-05 +46 *2873:18 *3100:20 3.86017e-05 +47 *3002:17 *5260:DIODE 6.50727e-05 +*RES +1 *22281:Z *3100:10 31.1999 +2 *3100:10 *3100:16 45.6916 +3 *3100:16 *3100:19 36.3859 +4 *3100:19 *3100:20 59.7597 +5 *3100:20 *5260:DIODE 14.4725 +6 *3100:20 *22742:A 21.3947 +*END + +*D_NET *3101 0.0533211 +*CONN +*I *22743:A I *D sky130_fd_sc_hd__buf_2 +*I *5261:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22282:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22743:A 0.000258386 +2 *5261:DIODE 0 +3 *22282:Z 0.000149889 +4 *3101:20 0.00234149 +5 *3101:19 0.00252476 +6 *3101:15 0.000917993 +7 *3101:9 0.0042025 +8 *3101:8 0.00387604 +9 *3101:9 *3103:20 4.53111e-05 +10 *3101:9 *3103:32 1.67988e-05 +11 *3101:9 *3105:7 0.00119032 +12 *3101:9 *3381:11 0.0017531 +13 *3101:15 *3103:32 0.00050644 +14 *3101:15 *3105:15 0.000749108 +15 *3101:19 *3105:15 0.00297863 +16 *3101:20 *22998:A 0 +17 *3101:20 *3119:22 0.000314468 +18 la_data_in_core[19] *22743:A 1.05934e-05 +19 la_oenb_core[18] *22743:A 0 +20 *4173:DIODE *22743:A 4.44192e-05 +21 *4515:DIODE *3101:9 2.32834e-05 +22 *22286:A *3101:9 0.000175485 +23 *22286:TE *3101:9 8.82627e-05 +24 *23507:A *3101:9 0.000111722 +25 *23513:TE *3101:20 5.13902e-05 +26 *1250:21 *3101:9 0.00499916 +27 *1378:7 *3101:9 0.0028741 +28 *1380:29 *3101:19 0.0019628 +29 *2013:31 *3101:9 1.41976e-05 +30 *2013:31 *3101:15 0.00095663 +31 *2013:31 *3101:19 0.000250483 +32 *2013:36 *3101:9 0.000843618 +33 *2019:17 *3101:20 0.00013228 +34 *2464:25 *3101:9 0.00122075 +35 *2483:12 *3101:20 0.00051592 +36 *2807:12 *3101:8 0 +37 *2818:8 *22743:A 0 +38 *2829:6 *22743:A 0 +39 *2829:6 *3101:20 0 +40 *2841:33 *3101:9 0.000731896 +41 *2985:45 *22743:A 6.50727e-05 +42 *2998:77 *22743:A 6.50727e-05 +43 *3071:9 *3101:9 0.0163587 +*RES +1 *22282:Z *3101:8 21.7421 +2 *3101:8 *3101:9 220.346 +3 *3101:9 *3101:15 26.0061 +4 *3101:15 *3101:19 37.1131 +5 *3101:19 *3101:20 42.5267 +6 *3101:20 *5261:DIODE 13.7491 +7 *3101:20 *22743:A 19.2113 +*END + +*D_NET *3102 0.0445582 +*CONN +*I *22744:A I *D sky130_fd_sc_hd__buf_2 +*I *5262:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22283:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22744:A 0.00064816 +2 *5262:DIODE 8.37661e-05 +3 *22283:Z 0.000247694 +4 *3102:18 0.00167554 +5 *3102:15 0.0116546 +6 *3102:14 0.0118448 +7 *3102:9 0.00451415 +8 *3102:7 0.00362807 +9 la_data_in_core[1] *22744:A 6.22259e-05 +10 *3619:DIODE *3102:9 7.48797e-05 +11 *4513:DIODE *3102:7 9.48415e-05 +12 *4513:DIODE *3102:9 2.98284e-05 +13 *4622:DIODE *3102:9 0.000195605 +14 *4623:DIODE *3102:9 5.20546e-06 +15 *23653:A *22744:A 0.000259089 +16 *1247:9 *3102:7 0.000114584 +17 *1302:11 *3102:9 2.65831e-05 +18 *1752:9 *3102:14 0 +19 *1759:9 *3102:7 0.000224395 +20 *1814:9 *3102:9 5.20546e-06 +21 *1869:10 *22744:A 3.09467e-05 +22 *2361:10 *3102:18 0 +23 *2385:41 *3102:15 0.00290065 +24 *2389:17 *5262:DIODE 1.92926e-05 +25 *2434:11 *3102:15 0.00110056 +26 *2461:74 *3102:18 1.81263e-05 +27 *2488:67 *22744:A 1.75454e-05 +28 *2819:40 *3102:9 0.000512599 +29 *2840:8 *22744:A 0.000176721 +30 *2840:8 *3102:18 0.0012047 +31 *2935:27 *3102:9 0.00277573 +32 *2989:48 *22744:A 0.0001733 +33 *3006:50 *3102:18 0.000238749 +*RES +1 *22283:Z *3102:7 16.9292 +2 *3102:7 *3102:9 91.9557 +3 *3102:9 *3102:14 32.8404 +4 *3102:14 *3102:15 160.449 +5 *3102:15 *3102:18 29.1709 +6 *3102:18 *5262:DIODE 15.0271 +7 *3102:18 *22744:A 29.3577 +*END + +*D_NET *3103 0.0550946 +*CONN +*I *22745:A I *D sky130_fd_sc_hd__buf_2 +*I *5263:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22284:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22745:A 0.000218905 +2 *5263:DIODE 0 +3 *22284:Z 0.000615673 +4 *3103:36 0.00274504 +5 *3103:33 0.00589701 +6 *3103:32 0.0036736 +7 *3103:21 0.00517304 +8 *3103:20 0.00678546 +9 *3103:9 0.0060359 +10 *3103:7 0.00473642 +11 *3103:20 *3105:7 6.50586e-05 +12 *3103:20 *3343:10 0 +13 *3103:20 *3411:14 0 +14 *3103:20 *3411:21 2.36463e-05 +15 *3103:21 *3121:18 0.000101365 +16 *3103:21 *3368:13 3.70748e-05 +17 *3103:32 *3105:7 0.00107787 +18 *3103:32 *3105:13 4.69414e-05 +19 *3103:32 *3105:15 0.000114584 +20 *3103:33 *3105:15 0.00544281 +21 *3103:36 *22999:A 1.8714e-05 +22 *3103:36 *3112:22 2.78668e-05 +23 *3103:36 *3394:34 0 +24 la_data_in_core[20] *22745:A 2.00098e-05 +25 la_oenb_core[19] *22745:A 0 +26 *3656:DIODE *3103:21 6.99486e-05 +27 *4195:DIODE *22745:A 2.26568e-05 +28 *22286:A *3103:20 4.23874e-05 +29 *1250:21 *3103:20 0.000575115 +30 *1463:9 *3103:7 0.000122378 +31 *1463:15 *3103:7 4.38712e-05 +32 *1463:27 *3103:21 7.06457e-06 +33 *1786:11 *3103:32 0.000112148 +34 *2013:36 *3103:32 0.000776327 +35 *2380:14 *3103:21 0.00315057 +36 *2470:61 *3103:9 0.000253087 +37 *2580:19 *3103:21 5.20545e-05 +38 *2751:6 *3103:32 9.41833e-06 +39 *2829:6 *22745:A 0 +40 *2829:6 *3103:36 0 +41 *2829:27 *3103:7 0.00145307 +42 *2829:27 *3103:9 0.00240209 +43 *2836:39 *3103:21 0.000389385 +44 *2836:44 *3103:21 0.00135923 +45 *2838:42 *3103:9 0.000310437 +46 *2851:8 *22745:A 0 +47 *2851:8 *3103:36 0 +48 *2884:27 *3103:20 0.000253436 +49 *2884:27 *3103:36 2.21291e-05 +50 *2940:22 *3103:32 0.000112148 +51 *2985:45 *22745:A 6.50586e-05 +52 *2998:77 *22745:A 6.50586e-05 +53 *3094:22 *3103:32 0 +54 *3101:9 *3103:20 4.53111e-05 +55 *3101:9 *3103:32 1.67988e-05 +56 *3101:15 *3103:32 0.00050644 +*RES +1 *22284:Z *3103:7 28.5759 +2 *3103:7 *3103:9 70.8808 +3 *3103:9 *3103:20 49.8417 +4 *3103:20 *3103:21 83.3593 +5 *3103:21 *3103:32 35.8372 +6 *3103:32 *3103:33 59.5114 +7 *3103:33 *3103:36 48.6877 +8 *3103:36 *5263:DIODE 13.7491 +9 *3103:36 *22745:A 18.3808 +*END + +*D_NET *3104 0.0553057 +*CONN +*I *22746:A I *D sky130_fd_sc_hd__buf_2 +*I *5264:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22285:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22746:A 0.000236757 +2 *5264:DIODE 0 +3 *22285:Z 0 +4 *3104:19 0.00109527 +5 *3104:9 0.00347709 +6 *3104:8 0.00261858 +7 *3104:6 0.00257843 +8 *3104:5 0.00257843 +9 *3104:19 *23001:A 4.64786e-05 +10 *4206:DIODE *22746:A 3.77755e-05 +11 *23659:A *3104:9 0.000208032 +12 *301:7 *22746:A 9.12416e-06 +13 *1373:14 *3104:6 6.73739e-06 +14 *1883:31 *3104:9 0.00928293 +15 *1883:35 *3104:9 0.00354937 +16 *1942:19 *3104:19 6.93735e-05 +17 *1944:19 *22746:A 0.000212357 +18 *2376:16 *3104:6 0 +19 *2377:8 *3104:6 0 +20 *2383:19 *3104:9 0.00255114 +21 *2445:27 *3104:9 0.0240851 +22 *2446:45 *3104:9 0.000137573 +23 *2474:45 *3104:19 7.13655e-06 +24 *2851:8 *3104:19 0.000411818 +25 *2862:8 *22746:A 1.48106e-05 +26 *2862:20 *3104:6 0.000708123 +27 *2940:16 *3104:19 7.09666e-06 +28 *2977:23 *3104:9 0.000723847 +29 *2988:21 *22746:A 6.08467e-05 +30 *2988:21 *3104:19 0.000213739 +31 *2995:50 *3104:19 7.09666e-06 +32 *2999:9 *3104:9 0.000370629 +33 *3100:16 *3104:6 0 +*RES +1 *22285:Z *3104:5 13.7491 +2 *3104:5 *3104:6 58.7215 +3 *3104:6 *3104:8 4.5 +4 *3104:8 *3104:9 258.059 +5 *3104:9 *3104:19 26.4584 +6 *3104:19 *5264:DIODE 9.24915 +7 *3104:19 *22746:A 23.7113 +*END + +*D_NET *3105 0.0323193 +*CONN +*I *22747:A I *D sky130_fd_sc_hd__buf_2 +*I *5265:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22286:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22747:A 0.000290425 +2 *5265:DIODE 0 +3 *22286:Z 2.3034e-05 +4 *3105:18 0.00247126 +5 *3105:15 0.0035609 +6 *3105:13 0.00152925 +7 *3105:7 0.00301574 +8 *3105:5 0.00288958 +9 *22747:A *23002:A 0 +10 *3105:7 *3381:11 0.000151302 +11 *3105:15 *3413:9 0.000173828 +12 *3105:18 *3390:11 0.000279614 +13 *3105:18 *3392:10 0.000337381 +14 la_data_in_core[22] *22747:A 2.00098e-05 +15 *22286:TE *3105:7 0.00021217 +16 *23507:A *3105:7 4.31703e-05 +17 *23507:TE *3105:7 0.000213739 +18 *302:7 *22747:A 1.05272e-06 +19 *1380:29 *3105:15 0.000634174 +20 *1920:19 *3105:18 0 +21 *2013:36 *3105:7 0.00124742 +22 *2841:33 *3105:7 0.000317844 +23 *2873:8 *22747:A 0 +24 *2873:8 *3105:18 0 +25 *2884:27 *3105:7 0.000220514 +26 *2985:45 *22747:A 6.50586e-05 +27 *2998:77 *22747:A 6.50586e-05 +28 *3071:17 *3105:7 0.00289148 +29 *3101:9 *3105:7 0.00119032 +30 *3101:15 *3105:15 0.000749108 +31 *3101:19 *3105:15 0.00297863 +32 *3103:20 *3105:7 6.50586e-05 +33 *3103:32 *3105:7 0.00107787 +34 *3103:32 *3105:13 4.69414e-05 +35 *3103:32 *3105:15 0.000114584 +36 *3103:33 *3105:15 0.00544281 +*RES +1 *22286:Z *3105:5 9.82786 +2 *3105:5 *3105:7 95.5606 +3 *3105:7 *3105:13 2.99004 +4 *3105:13 *3105:15 76.7041 +5 *3105:15 *3105:18 47.442 +6 *3105:18 *5265:DIODE 13.7491 +7 *3105:18 *22747:A 19.2113 +*END + +*D_NET *3106 0.0630331 +*CONN +*I *23408:A I *D sky130_fd_sc_hd__nand2_1 +*I *6122:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22208:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23408:A 7.41896e-05 +2 *6122:DIODE 4.13057e-05 +3 *22208:X 0 +4 *3106:35 0.000491193 +5 *3106:27 0.00210397 +6 *3106:25 0.00178883 +7 *3106:19 0.0013614 +8 *3106:18 0.00236635 +9 *3106:15 0.00297787 +10 *3106:8 0.00447113 +11 *3106:5 0.00255877 +12 *3106:8 *22768:A 0 +13 *3106:8 *3129:30 0 +14 *3106:8 *3130:46 0 +15 *3106:8 *3162:22 0 +16 *3106:8 *3413:34 0 +17 *3106:15 *3131:14 0 +18 *3106:15 *3142:20 0 +19 *3106:18 *3125:26 0.000378025 +20 *3106:18 *3410:14 0 +21 *3106:27 *3117:17 1.67988e-05 +22 *3106:27 *3117:24 0.00182704 +23 *3106:27 *3119:9 0.000791812 +24 *3106:35 *3119:5 3.41459e-05 +25 la_oenb_core[41] *3106:8 2.7973e-05 +26 *4397:DIODE *3106:8 1.36007e-05 +27 *4871:DIODE *3106:8 9.2874e-05 +28 *22298:A *3106:35 2.16355e-05 +29 *22298:TE *3106:27 0.000207266 +30 *1262:26 *23408:A 1.77537e-06 +31 *1262:26 *3106:35 0.00050655 +32 *1390:19 *3106:27 0.00701947 +33 *1390:23 *3106:19 2.7922e-05 +34 *1390:23 *3106:27 3.03541e-05 +35 *1391:9 *3106:19 0.000233214 +36 *1391:9 *3106:25 0.000107496 +37 *1391:9 *3106:27 1.15389e-05 +38 *1398:15 *3106:8 0.000525028 +39 *1424:10 *3106:8 0 +40 *1527:10 *23408:A 0 +41 *1655:8 *6122:DIODE 6.50586e-05 +42 *1655:8 *3106:35 6.50727e-05 +43 *1810:14 *3106:8 0 +44 *1928:31 *3106:8 1.91391e-05 +45 *2085:20 *3106:19 0.00206022 +46 *2137:24 *3106:19 0.00659964 +47 *2157:23 *3106:19 0.00119402 +48 *2157:23 *3106:25 2.81262e-05 +49 *2157:23 *3106:27 6.08467e-05 +50 *2157:24 *3106:27 0.0111081 +51 *2157:24 *3106:35 5.11121e-05 +52 *2158:11 *3106:19 0.00254243 +53 *2206:32 *3106:18 0.000112803 +54 *2321:14 *3106:19 0.00681565 +55 *2388:18 *3106:15 1.24189e-05 +56 *2398:8 *3106:8 0 +57 *2398:8 *3106:15 0 +58 *2470:35 *3106:15 0.0013052 +59 *2893:26 *3106:15 5.45571e-05 +60 *2893:38 *3106:15 0.000155855 +61 *2893:58 *3106:8 0.000117473 +62 *2918:34 *23408:A 2.18764e-05 +63 *3096:25 *3106:35 0.000534005 +*RES +1 *22208:X *3106:5 13.7491 +2 *3106:5 *3106:8 49.9035 +3 *3106:8 *3106:15 43.9675 +4 *3106:15 *3106:18 26.6794 +5 *3106:18 *3106:19 109.426 +6 *3106:19 *3106:25 2.43543 +7 *3106:25 *3106:27 124.4 +8 *3106:27 *3106:35 12.4424 +9 *3106:35 *6122:DIODE 9.97254 +10 *3106:35 *23408:A 19.6659 +*END + +*D_NET *3107 0.0503537 +*CONN +*I *22748:A I *D sky130_fd_sc_hd__buf_2 +*I *5266:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22287:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22748:A 0.000264172 +2 *5266:DIODE 0 +3 *22287:Z 0 +4 *3107:12 0.00122015 +5 *3107:9 0.0073093 +6 *3107:8 0.00635332 +7 *3107:6 0.00294592 +8 *3107:5 0.00294592 +9 *22748:A *3393:10 0 +10 *3107:6 *3108:9 4.20184e-06 +11 *3107:6 *3110:12 0 +12 *3107:9 *3387:11 0.00511532 +13 *3107:12 *23003:A 0 +14 *3107:12 *3393:10 0 +15 la_data_in_core[23] *22748:A 1.72919e-05 +16 *3663:DIODE *3107:9 0.0002646 +17 *4527:DIODE *3107:6 0 +18 *22289:A *3107:6 0 +19 *22289:TE *3107:6 0 +20 *22416:A_N *3107:6 5.39313e-05 +21 *303:7 *22748:A 3.5534e-06 +22 *1250:20 *3107:6 0.000551482 +23 *1254:9 *3107:6 0 +24 *1766:6 *3107:6 0 +25 *2367:27 *3107:9 0.000588117 +26 *2450:49 *3107:9 0.0224098 +27 *2451:36 *3107:9 0.000152056 +28 *2845:33 *3107:6 0.000154572 +29 *2873:8 *22748:A 0 +30 *2873:8 *3107:12 0 +*RES +1 *22287:Z *3107:5 13.7491 +2 *3107:5 *3107:6 62.4588 +3 *3107:6 *3107:8 4.5 +4 *3107:8 *3107:9 240.312 +5 *3107:9 *3107:12 20.8658 +6 *3107:12 *5266:DIODE 13.7491 +7 *3107:12 *22748:A 18.4879 +*END + +*D_NET *3108 0.0560087 +*CONN +*I *22749:A I *D sky130_fd_sc_hd__buf_2 +*I *5267:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22288:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22749:A 0.000259463 +2 *5267:DIODE 4.18965e-05 +3 *22288:Z 0.00680928 +4 *3108:18 0.00238727 +5 *3108:17 0.00208591 +6 *3108:15 0.00222172 +7 *3108:9 0.00903099 +8 *22749:A *23004:A 0 +9 *3108:9 *3142:11 0.000367252 +10 *3108:9 *3200:39 0.000370189 +11 *3108:18 *3109:59 0 +12 *3108:18 *3113:52 0 +13 *3108:18 *3123:50 5.46889e-05 +14 *3108:18 *3391:18 6.39153e-06 +15 *3108:18 *3391:20 0.000590596 +16 la_data_in_core[24] *22749:A 8.72256e-06 +17 *23554:TE *3108:15 4.49767e-05 +18 *304:7 *22749:A 7.09666e-06 +19 *1270:28 *3108:18 0.00021627 +20 *1368:9 *3108:9 0.000340334 +21 *1368:23 *3108:9 0.000107534 +22 *1404:13 *3108:15 0.00296909 +23 *1906:18 *3108:9 0.000254613 +24 *1920:19 *3108:18 3.88655e-06 +25 *2008:45 *3108:15 0 +26 *2061:19 *3108:9 0.000195448 +27 *2061:19 *3108:15 0.000282371 +28 *2339:8 *3108:9 0.00199797 +29 *2341:45 *3108:9 0.000454382 +30 *2367:26 *3108:18 0.000573102 +31 *2483:11 *3108:9 0.00235602 +32 *2483:20 *3108:18 0.000236988 +33 *2844:29 *3108:9 0.000241472 +34 *2851:8 *3108:15 0 +35 *2866:46 *3108:15 0 +36 *2870:67 *3108:18 3.29488e-05 +37 *2895:8 *22749:A 1.0819e-05 +38 *2895:8 *3108:18 0.000167581 +39 *2929:21 *3108:18 0.000128721 +40 *2983:38 *22749:A 5.49916e-05 +41 *2985:45 *22749:A 0.000167076 +42 *2995:39 *5267:DIODE 6.50586e-05 +43 *2995:39 *22749:A 1.02986e-05 +44 *2998:77 *22749:A 0.000167076 +45 *3008:44 *22749:A 8.08437e-05 +46 *3062:27 *3108:18 7.99851e-05 +47 *3099:11 *3108:9 0.0205231 +48 *3107:6 *3108:9 4.20184e-06 +*RES +1 *22288:Z *3108:9 47.6836 +2 *3108:9 *3108:15 48.473 +3 *3108:15 *3108:17 4.5 +4 *3108:17 *3108:18 47.925 +5 *3108:18 *5267:DIODE 14.4725 +6 *3108:18 *22749:A 20.3205 +*END + +*D_NET *3109 0.0598337 +*CONN +*I *5268:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22750:A I *D sky130_fd_sc_hd__buf_2 +*I *22289:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5268:DIODE 3.27515e-05 +2 *22750:A 0.000519602 +3 *22289:Z 0.00107294 +4 *3109:59 0.00198073 +5 *3109:55 0.00305468 +6 *3109:52 0.00278925 +7 *3109:47 0.00176335 +8 *3109:40 0.00123465 +9 *3109:32 0.000962072 +10 *3109:19 0.00140782 +11 *3109:17 0.00217132 +12 *3109:9 0.00216427 +13 *5268:DIODE *3112:23 6.50727e-05 +14 *22750:A *23005:A 0.000101503 +15 *3109:17 *3113:15 5.4509e-05 +16 *3109:19 *3113:15 0.000119838 +17 *3109:19 *3113:17 0.00760088 +18 *3109:32 *3113:29 0.000294093 +19 *3109:32 *3383:16 1.99184e-05 +20 *3109:32 *3389:10 4.15661e-05 +21 *3109:32 *3394:8 4.11e-05 +22 *3109:40 *3111:5 0.00365082 +23 *3109:40 *3217:26 1.97745e-05 +24 *3109:40 *3394:12 7.09666e-06 +25 *3109:47 *3111:15 0.00123903 +26 *3109:47 *3111:17 0.00212005 +27 *3109:59 *3112:23 0.000167076 +28 *3109:59 *3113:52 0.00139699 +29 la_data_in_core[25] *22750:A 1.48605e-05 +30 la_oenb_core[24] *22750:A 2.09013e-05 +31 *21579:A *3109:19 0.000330596 +32 *22289:TE *3109:9 0.000207266 +33 *22293:TE *3109:19 0.000330596 +34 *23519:A *3109:32 0.000160952 +35 *1347:15 *3109:17 1.25841e-05 +36 *1347:15 *3109:19 0.000252073 +37 *1373:25 *3109:40 0.00365926 +38 *1380:34 *3109:52 0.000103246 +39 *1383:9 *3109:47 0.00188797 +40 *1385:11 *3109:47 0.00192465 +41 *1430:24 *3109:17 0.00110789 +42 *1452:23 *3109:9 0.000536196 +43 *1452:27 *3109:9 0.0025604 +44 *1452:27 *3109:17 0.000226572 +45 *1934:7 *3109:52 4.60221e-06 +46 *1940:19 *3109:52 0.000428491 +47 *1942:17 *3109:52 0 +48 *1942:19 *3109:52 0.00050418 +49 *1944:17 *3109:52 0.00102298 +50 *1944:19 *3109:52 0.000215977 +51 *2384:21 *3109:59 8.56518e-05 +52 *2385:31 *3109:55 0.00267308 +53 *2586:12 *3109:40 1.84334e-05 +54 *2795:17 *3109:19 0.000444537 +55 *2895:8 *3109:59 0.000208627 +56 *2906:6 *22750:A 0 +57 *3093:19 *3109:19 0.00416922 +58 *3097:25 *3109:19 0.00025715 +59 *3097:25 *3109:32 0.000261805 +60 *3100:19 *3109:9 0.000110257 +61 *3108:18 *3109:59 0 +*RES +1 *22289:Z *3109:9 44.4062 +2 *3109:9 *3109:17 20.3515 +3 *3109:17 *3109:19 86.1323 +4 *3109:19 *3109:32 19.5341 +5 *3109:32 *3109:40 47.4826 +6 *3109:40 *3109:47 47.9761 +7 *3109:47 *3109:52 40.3149 +8 *3109:52 *3109:55 33.5082 +9 *3109:55 *3109:59 37.6475 +10 *3109:59 *22750:A 28.1175 +11 *3109:59 *5268:DIODE 9.97254 +*END + +*D_NET *3110 0.0599015 +*CONN +*I *22751:A I *D sky130_fd_sc_hd__buf_2 +*I *5269:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22290:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22751:A 0.000248783 +2 *5269:DIODE 4.85009e-05 +3 *22290:Z 0.000118416 +4 *3110:18 0.00140772 +5 *3110:15 0.00504136 +6 *3110:14 0.00393092 +7 *3110:12 0.00240106 +8 *3110:10 0.00251948 +9 *22751:A *23006:A 0 +10 *22751:A *3121:22 1.05272e-06 +11 *3110:15 *3114:19 0.000381458 +12 *3110:18 *3121:22 0.000120418 +13 la_data_in_core[26] *22751:A 6.22259e-05 +14 *4262:DIODE *22751:A 0 +15 *22289:TE *3110:12 1.67271e-05 +16 *22416:A_N *3110:12 0 +17 *22416:B *3110:12 6.22259e-05 +18 *22443:B *3110:18 0.000127946 +19 *1250:20 *3110:12 0 +20 *1254:9 *3110:12 2.28823e-05 +21 *1764:9 *3110:12 0.000472869 +22 *1765:10 *3110:12 0.000117384 +23 *1791:9 *3110:18 0.00039114 +24 *2383:18 *3110:18 0.000125703 +25 *2440:27 *3110:15 0.00012089 +26 *2454:79 *3110:15 0.0233554 +27 *2461:47 *3110:15 0.000101365 +28 *2461:64 *3110:15 0.000137573 +29 *2463:54 *3110:15 0.000253421 +30 *2807:9 *3110:15 0.0179147 +31 *2845:34 *3110:10 2.65831e-05 +32 *2906:6 *3110:18 0 +33 *2906:24 *3110:12 0.000358896 +34 *2995:21 *5269:DIODE 1.43983e-05 +35 *3107:6 *3110:12 0 +*RES +1 *22290:Z *3110:10 15.7841 +2 *3110:10 *3110:12 53.7385 +3 *3110:12 *3110:14 4.5 +4 *3110:14 *3110:15 260.278 +5 *3110:15 *3110:18 27.5099 +6 *3110:18 *5269:DIODE 14.4725 +7 *3110:18 *22751:A 18.4879 +*END + +*D_NET *3111 0.0519549 +*CONN +*I *22752:A I *D sky130_fd_sc_hd__buf_2 +*I *5270:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22291:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22752:A 0.00014738 +2 *5270:DIODE 0 +3 *22291:Z 0 +4 *3111:36 0.00151998 +5 *3111:34 0.00228914 +6 *3111:26 0.00269461 +7 *3111:17 0.00274192 +8 *3111:15 0.00128326 +9 *3111:5 0.00163104 +10 *3111:4 0.00131164 +11 *3111:5 *3176:29 0.00352229 +12 *3111:5 *3389:5 0.00716308 +13 *3111:5 *3394:12 0.00361259 +14 *3111:15 *3385:11 6.14069e-05 +15 *3111:17 *3149:18 6.3657e-05 +16 *3111:17 *3385:11 0.000878205 +17 *3111:26 *3113:52 7.16771e-05 +18 *3111:34 *3397:10 0.000821447 +19 *3111:34 *3400:8 0.000793005 +20 *3111:34 *3400:13 4.0605e-06 +21 *3111:36 *3397:10 1.69718e-05 +22 *3111:36 *3397:17 0.00110932 +23 *3111:36 *3400:13 0.000104129 +24 la_data_in_core[27] *22752:A 8.72256e-06 +25 *4273:DIODE *22752:A 0.000125263 +26 *4273:DIODE *3111:36 1.07248e-05 +27 *6327:DIODE *3111:15 0.000158357 +28 *22291:TE *3111:5 6.08467e-05 +29 *22324:TE *3111:36 0.000165881 +30 *23510:A *3111:15 5.56461e-05 +31 *1373:25 *3111:5 0.000595449 +32 *1373:25 *3111:15 0.000203865 +33 *1383:9 *3111:5 1.41853e-05 +34 *1383:9 *3111:15 7.6719e-06 +35 *1385:11 *3111:17 0.000173521 +36 *1411:10 *3111:26 0 +37 *1413:8 *3111:26 0.000158009 +38 *1800:8 *3111:36 0.000463698 +39 *1913:5 *3111:26 0.000246827 +40 *1923:11 *3111:26 0.000222147 +41 *1924:7 *3111:26 2.15056e-05 +42 *1929:19 *3111:26 0 +43 *1931:23 *3111:34 4.0752e-05 +44 *1937:28 *3111:26 0 +45 *1939:22 *3111:17 0.00213276 +46 *1941:26 *3111:26 0.00141714 +47 *2017:18 *3111:15 0.000211492 +48 *2762:20 *3111:5 0.0021078 +49 *2851:20 *3111:17 0.00128375 +50 *2851:28 *3111:15 0.000103139 +51 *2877:43 *3111:17 0.00202228 +52 *2887:33 *3111:26 7.78074e-05 +53 *2918:12 *22752:A 0 +54 *2918:12 *3111:36 0 +55 *2918:19 *3111:34 0.000366603 +56 *2929:9 *3111:36 0 +57 *2985:45 *22752:A 0.000324151 +58 *2998:77 *22752:A 0.000324151 +59 *3109:40 *3111:5 0.00365082 +60 *3109:47 *3111:15 0.00123903 +61 *3109:47 *3111:17 0.00212005 +*RES +1 *22291:Z *3111:4 9.24915 +2 *3111:4 *3111:5 117.745 +3 *3111:5 *3111:15 16.9034 +4 *3111:15 *3111:17 56.7384 +5 *3111:17 *3111:26 47.8108 +6 *3111:26 *3111:34 32.7122 +7 *3111:34 *3111:36 32.353 +8 *3111:36 *5270:DIODE 13.7491 +9 *3111:36 *22752:A 19.9081 +*END + +*D_NET *3112 0.0448987 +*CONN +*I *22753:A I *D sky130_fd_sc_hd__buf_2 +*I *5271:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22292:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22753:A 0.000149998 +2 *5271:DIODE 0 +3 *22292:Z 0.00133518 +4 *3112:34 0.00096216 +5 *3112:23 0.00225878 +6 *3112:22 0.00158454 +7 *3112:17 0.00255534 +8 *3112:15 0.00244044 +9 *3112:13 0.00325611 +10 *3112:12 0.00493191 +11 *3112:8 0.00303401 +12 *22753:A *23008:A 0 +13 *3112:8 *3399:10 0.000442722 +14 *3112:12 *22766:A 0.000106934 +15 *3112:12 *23011:A 0.000106762 +16 *3112:12 *3126:22 0.00059479 +17 *3112:12 *3399:10 0.000648048 +18 *3112:17 *3119:25 0.000791476 +19 *3112:23 *5519:DIODE 6.50727e-05 +20 *3112:23 *23003:A 6.50586e-05 +21 *3112:23 *3388:19 0.000579046 +22 *3112:23 *3390:11 0.000377273 +23 *3112:34 *5525:DIODE 0.00011818 +24 *3112:34 *23008:A 0 +25 *3112:34 *3396:6 0 +26 la_data_in_core[28] *22753:A 1.75682e-05 +27 *4284:DIODE *22753:A 2.26568e-05 +28 *4284:DIODE *3112:34 3.67528e-06 +29 *5268:DIODE *3112:23 6.50727e-05 +30 *2344:57 *3112:8 4.22091e-05 +31 *2381:29 *3112:13 0.000137573 +32 *2442:19 *3112:13 0.00118236 +33 *2442:19 *3112:17 0.00751321 +34 *2469:25 *3112:13 0.0026051 +35 *2940:10 *3112:34 0 +36 *2973:18 *3112:8 0.000188385 +37 *2985:45 *22753:A 6.50586e-05 +38 *2998:77 *22753:A 6.50586e-05 +39 *3002:17 *3112:23 0.00491881 +40 *3002:17 *3112:34 0.000966617 +41 *3083:20 *3112:8 0.000196159 +42 *3084:8 *3112:12 6.17425e-05 +43 *3084:12 *3112:8 0.000245456 +44 *3084:12 *3112:12 3.20069e-06 +45 *3103:36 *3112:22 2.78668e-05 +46 *3109:59 *3112:23 0.000167076 +*RES +1 *22292:Z *3112:8 45.0518 +2 *3112:8 *3112:12 45.2802 +3 *3112:12 *3112:13 57.0157 +4 *3112:13 *3112:15 0.578717 +5 *3112:15 *3112:17 80.8636 +6 *3112:17 *3112:22 11.2472 +7 *3112:22 *3112:23 53.4107 +8 *3112:23 *3112:34 23.3503 +9 *3112:34 *5271:DIODE 13.7491 +10 *3112:34 *22753:A 17.135 +*END + +*D_NET *3113 0.0531457 +*CONN +*I *5272:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22754:A I *D sky130_fd_sc_hd__buf_2 +*I *22293:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5272:DIODE 6.59525e-05 +2 *22754:A 0.000414343 +3 *22293:Z 0.000364526 +4 *3113:60 0.000734041 +5 *3113:57 0.00239417 +6 *3113:52 0.00504402 +7 *3113:51 0.0040256 +8 *3113:47 0.00211512 +9 *3113:29 0.00292075 +10 *3113:28 0.00204207 +11 *3113:17 0.00226707 +12 *3113:15 0.00251715 +13 *3113:17 *3176:24 0.00137703 +14 *3113:28 *3383:16 0.000214931 +15 *3113:29 *3389:22 0.00153426 +16 *3113:29 *3394:23 0.001942 +17 *3113:47 *3385:12 2.46281e-05 +18 *3113:47 *3391:7 0.00200747 +19 *3113:47 *3394:23 0.000704266 +20 *3113:51 *3391:7 2.24789e-05 +21 *3113:57 *3119:27 0.00365635 +22 *3765:DIODE *3113:15 0 +23 *3769:DIODE *3113:17 6.50586e-05 +24 *3794:DIODE *3113:51 6.12651e-05 +25 *4295:DIODE *22754:A 4.22989e-05 +26 *4533:DIODE *3113:17 6.50586e-05 +27 *4565:DIODE *3113:29 0.000199527 +28 *4584:DIODE *3113:47 0.000118166 +29 *21469:A *3113:29 7.39264e-05 +30 *21470:A *3113:29 0.000423922 +31 *22309:A *3113:29 9.9028e-05 +32 *22309:TE *3113:29 0.000118166 +33 *23509:A *3113:17 0.000111708 +34 *23509:A *3113:28 2.69685e-05 +35 *23519:TE *3113:29 0.000411006 +36 *309:7 *22754:A 7.09666e-06 +37 *1270:28 *3113:52 0 +38 *1273:9 *3113:29 0.000262495 +39 *1284:19 *3113:52 0 +40 *1347:15 *3113:15 3.14978e-05 +41 *1372:25 *3113:17 0.000629908 +42 *1387:34 *3113:52 7.09666e-06 +43 *1388:8 *3113:28 3.88655e-06 +44 *1410:13 *3113:52 0 +45 *1413:8 *3113:52 0.000154584 +46 *1452:40 *3113:15 1.0064e-05 +47 *1900:10 *3113:29 5.00593e-05 +48 *1900:10 *3113:47 0.000148077 +49 *1921:7 *3113:52 0 +50 *1922:11 *3113:52 1.27402e-05 +51 *1923:11 *3113:52 9.80784e-05 +52 *1924:13 *3113:51 0.000256037 +53 *1935:24 *3113:51 0.00126634 +54 *1937:11 *3113:47 1.05746e-05 +55 *2022:28 *3113:47 0.000693098 +56 *2129:55 *3113:52 0 +57 *2331:12 *3113:28 4.42985e-05 +58 *2380:8 *3113:28 3.77568e-05 +59 *2580:16 *3113:28 3.77568e-05 +60 *2586:15 *3113:29 0.00015511 +61 *2795:15 *3113:29 0.000232754 +62 *2795:17 *3113:29 1.58058e-05 +63 *2929:24 *3113:15 7.41833e-06 +64 *2951:12 *22754:A 0 +65 *2951:12 *3113:60 7.09671e-05 +66 *2985:45 *22754:A 6.08467e-05 +67 *2988:33 *5272:DIODE 0.000113968 +68 *2988:33 *22754:A 0.000339549 +69 *2998:77 *22754:A 6.50727e-05 +70 *3095:24 *3113:28 1.57662e-05 +71 *3097:25 *3113:29 0.000255942 +72 *3100:19 *3113:28 0.000308693 +73 *3108:18 *3113:52 0 +74 *3109:17 *3113:15 5.4509e-05 +75 *3109:19 *3113:15 0.000119838 +76 *3109:19 *3113:17 0.00760088 +77 *3109:32 *3113:29 0.000294093 +78 *3109:59 *3113:52 0.00139699 +79 *3111:26 *3113:52 7.16771e-05 +*RES +1 *22293:Z *3113:15 24.782 +2 *3113:15 *3113:17 82.2501 +3 *3113:17 *3113:28 17.7576 +4 *3113:28 *3113:29 65.0574 +5 *3113:29 *3113:47 44.316 +6 *3113:47 *3113:51 23.8026 +7 *3113:51 *3113:52 58.7215 +8 *3113:52 *3113:57 47.991 +9 *3113:57 *3113:60 9.23876 +10 *3113:60 *22754:A 26.6531 +11 *3113:60 *5272:DIODE 10.5271 +*END + +*D_NET *3114 0.0365435 +*CONN +*I *5273:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22755:A I *D sky130_fd_sc_hd__buf_2 +*I *22294:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5273:DIODE 0 +2 *22755:A 0.000531159 +3 *22294:Z 0.0018249 +4 *3114:22 0.00117561 +5 *3114:19 0.00730455 +6 *3114:18 0.0066601 +7 *3114:16 0.00255334 +8 *3114:15 0.00437824 +9 *22755:A *23011:A 0 +10 *3114:22 *3399:10 0.000155342 +11 *3746:DIODE *3114:15 0.000120546 +12 *4306:DIODE *22755:A 6.14756e-06 +13 *4534:DIODE *3114:15 6.51628e-05 +14 *22107:A *22755:A 0.000113968 +15 *310:7 *22755:A 3.3239e-06 +16 *1324:11 *3114:16 0 +17 *1408:5 *3114:15 0.000419205 +18 *1875:8 *22755:A 0.000384855 +19 *2397:52 *3114:16 0 +20 *2440:27 *3114:19 0.000934026 +21 *2454:79 *3114:19 0.00584888 +22 *2461:74 *3114:19 0.000302792 +23 *2468:27 *3114:19 0.00012875 +24 *2473:51 *3114:19 0.000154778 +25 *2488:47 *22755:A 1.64564e-05 +26 *2805:12 *3114:16 0 +27 *2807:9 *3114:19 0.00169744 +28 *2874:38 *3114:15 0.000382777 +29 *2962:8 *22755:A 0.000503325 +30 *2962:8 *3114:22 0.000289566 +31 *2973:18 *3114:22 2.77564e-05 +32 *2980:9 *22755:A 0.000167076 +33 *3001:29 *22755:A 1.19721e-05 +34 *3110:15 *3114:19 0.000381458 +*RES +1 *22294:Z *3114:15 47.2906 +2 *3114:15 *3114:16 56.6453 +3 *3114:16 *3114:18 4.5 +4 *3114:18 *3114:19 200.935 +5 *3114:19 *3114:22 20.0353 +6 *3114:22 *22755:A 28.6913 +7 *3114:22 *5273:DIODE 13.7491 +*END + +*D_NET *3115 0.0526474 +*CONN +*I *22756:A I *D sky130_fd_sc_hd__buf_2 +*I *5274:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22295:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22756:A 0.000257442 +2 *5274:DIODE 0 +3 *22295:Z 2.06324e-05 +4 *3115:40 0.00391433 +5 *3115:39 0.00469683 +6 *3115:35 0.00138327 +7 *3115:24 0.00218458 +8 *3115:7 0.00558824 +9 *3115:5 0.00376763 +10 *22756:A *23010:A 2.90989e-05 +11 *3115:7 *3423:7 4.75121e-05 +12 *3115:7 *3423:11 0.00411784 +13 *3115:35 *3149:18 0.000263516 +14 *3115:40 *23010:A 2.43621e-05 +15 *3115:40 *23012:A 0 +16 *3115:40 *3127:14 0.000334066 +17 *3115:40 *3400:16 0 +18 la_data_in_core[30] *22756:A 1.75682e-05 +19 *4317:DIODE *22756:A 2.26568e-05 +20 *4596:DIODE *3115:39 0.000220799 +21 *4597:DIODE *3115:24 6.64392e-05 +22 *4597:DIODE *3115:35 0.000161234 +23 *4841:DIODE *3115:24 6.08467e-05 +24 *21575:A *3115:7 5.56461e-05 +25 *22295:TE *3115:7 0.000207266 +26 *23521:TE *3115:39 0.000572388 +27 *23552:TE *3115:40 1.49589e-05 +28 *23589:A *3115:7 6.92705e-05 +29 *23589:TE *3115:7 0.00015143 +30 *1259:9 *3115:7 0.000147308 +31 *1379:27 *3115:7 0.00831944 +32 *1384:23 *3115:35 0.000167618 +33 *1392:36 *3115:39 0.00125577 +34 *1925:27 *3115:24 4.69495e-06 +35 *1929:14 *3115:24 5.39635e-06 +36 *2025:10 *3115:7 0.000533201 +37 *2054:19 *3115:40 0 +38 *2058:17 *3115:40 1.05746e-05 +39 *2176:14 *3115:39 0.00185714 +40 *2176:30 *3115:24 6.36477e-05 +41 *2176:30 *3115:35 0.000575774 +42 *2176:30 *3115:39 0.000508385 +43 *2176:38 *3115:24 0.000218582 +44 *2176:42 *3115:7 0.0026696 +45 *2176:42 *3115:24 0.000478977 +46 *2440:26 *3115:40 0 +47 *2762:14 *3115:7 0.00256036 +48 *2851:29 *3115:7 1.41291e-05 +49 *2875:56 *3115:24 0.0015235 +50 *2882:42 *3115:40 0.00050501 +51 *2951:12 *3115:40 0 +52 *2973:14 *22756:A 0 +53 *2973:14 *3115:40 0.000107775 +54 *2985:45 *22756:A 6.50586e-05 +55 *2988:52 *22756:A 1.5714e-05 +56 *2998:77 *22756:A 6.50586e-05 +57 *3098:23 *3115:7 0.000736911 +58 *3098:43 *3115:7 0.00198788 +*RES +1 *22295:Z *3115:5 9.82786 +2 *3115:5 *3115:7 168.768 +3 *3115:7 *3115:24 49.1435 +4 *3115:24 *3115:35 12.6353 +5 *3115:35 *3115:39 44.6003 +6 *3115:39 *3115:40 69.5181 +7 *3115:40 *5274:DIODE 13.7491 +8 *3115:40 *22756:A 19.2113 +*END + +*D_NET *3116 0.0439974 +*CONN +*I *22757:A I *D sky130_fd_sc_hd__buf_2 +*I *5275:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22296:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22757:A 0.000311042 +2 *5275:DIODE 7.56208e-05 +3 *22296:Z 0.00106141 +4 *3116:40 0.00280818 +5 *3116:39 0.0028645 +6 *3116:31 0.00272991 +7 *3116:25 0.00327524 +8 *3116:23 0.00125543 +9 *3116:13 0.00115381 +10 *3116:11 0.00194811 +11 *3116:11 *3121:6 0 +12 *3116:11 *3355:7 0.000117536 +13 *3116:11 *3450:6 0 +14 *3116:13 *3151:55 0.000406745 +15 *3116:13 *3355:7 0.00284037 +16 *3116:23 *3133:9 0.00118473 +17 *3116:25 *3133:9 0.00019812 +18 *3116:25 *3133:17 0.00147451 +19 *3116:31 *3133:21 5.18751e-05 +20 *3116:39 *3153:7 0.0021124 +21 *3116:40 *3398:12 1.36318e-05 +22 *3116:40 *3404:8 3.23619e-05 +23 *3116:40 *3404:12 0.000672566 +24 la_data_in_core[31] *22757:A 6.22259e-05 +25 *3654:DIODE *3116:13 0.000328363 +26 *3783:DIODE *3116:13 0.000324151 +27 *3788:DIODE *3116:25 0.000261951 +28 *4328:DIODE *22757:A 0 +29 *4569:DIODE *3116:23 0.000111722 +30 *4571:DIODE *3116:25 5.08751e-05 +31 *21477:A *3116:25 6.3657e-05 +32 *21477:A *3116:31 2.77564e-05 +33 *22311:TE *3116:23 6.50586e-05 +34 *22312:A *3116:23 0.000111722 +35 *22312:TE *3116:25 0.000107496 +36 *22328:A *3116:39 0.000322677 +37 *23484:TE *3116:11 0.000222149 +38 *1270:7 *3116:13 1.41689e-05 +39 *1270:11 *3116:13 0.000209496 +40 *1270:15 *3116:13 6.9892e-05 +41 *1270:15 *3116:23 0.000568663 +42 *1270:17 *3116:23 7.6719e-06 +43 *1270:17 *3116:25 0.00455429 +44 *1284:11 *3116:31 9.12416e-06 +45 *1399:10 *3116:13 0.000535757 +46 *1783:8 *3116:11 0.00011383 +47 *1901:18 *3116:39 9.80784e-05 +48 *1909:7 *3116:39 0.000101619 +49 *1926:14 *3116:31 0.00286677 +50 *1931:23 *3116:39 0.000391697 +51 *1933:7 *3116:31 7.60356e-05 +52 *1941:16 *3116:31 0.000413252 +53 *1941:26 *3116:39 0.000160711 +54 *2344:57 *3116:11 9.12416e-06 +55 *2385:22 *3116:40 0.000480086 +56 *2440:26 *3116:40 0 +57 *2454:59 *3116:39 0.000856852 +58 *2466:9 *3116:39 0.000149641 +59 *2470:53 *3116:13 0.000998427 +60 *2470:53 *3116:23 2.97421e-05 +61 *2483:11 *3116:11 2.26985e-05 +62 *2578:9 *3116:13 0.000258142 +63 *2864:35 *3116:13 0.000689473 +64 *2869:50 *3116:25 0.000423922 +65 *2973:14 *22757:A 0 +66 *2973:14 *3116:40 0 +67 *2988:52 *22757:A 4.69495e-06 +68 *2988:59 *5275:DIODE 0.000111722 +69 *2990:28 *22757:A 0 +70 *2990:28 *3116:40 0 +71 *3002:28 *3116:40 0 +72 *3040:27 *3116:31 0.000101365 +73 *3094:14 *3116:11 0.000523707 +74 *3094:14 *3116:13 0.000338654 +75 *3097:24 *3116:11 0.000160218 +*RES +1 *22296:Z *3116:11 44.2305 +2 *3116:11 *3116:13 56.7384 +3 *3116:13 *3116:23 16.9034 +4 *3116:23 *3116:25 56.7384 +5 *3116:25 *3116:31 48.7138 +6 *3116:31 *3116:39 44.2008 +7 *3116:39 *3116:40 49.1707 +8 *3116:40 *5275:DIODE 15.0271 +9 *3116:40 *22757:A 19.2207 +*END + +*D_NET *3117 0.0594536 +*CONN +*I *6124:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23409:A I *D sky130_fd_sc_hd__nand2_1 +*I *22209:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6124:DIODE 0 +2 *23409:A 0.000138244 +3 *22209:X 0 +4 *3117:25 0.000726448 +5 *3117:24 0.00150877 +6 *3117:17 0.00142821 +7 *3117:9 0.00333546 +8 *3117:8 0.00282781 +9 *3117:6 0.00498051 +10 *3117:5 0.00498051 +11 *3117:6 *22769:A 0 +12 *3117:6 *22770:A 0 +13 *3117:6 *23025:A 0.000186007 +14 *3117:6 *3130:46 0 +15 *3117:6 *3131:18 0 +16 *3117:6 *3132:27 3.20679e-05 +17 *3117:6 *3155:13 3.11725e-05 +18 *3117:6 *3414:12 0 +19 *3117:6 *3438:22 2.54013e-05 +20 *3117:9 *3118:7 0.00662012 +21 *3117:9 *3120:15 1.15389e-05 +22 *3117:9 *3120:21 0.00355431 +23 *3117:9 *3125:23 0.00130046 +24 *3117:17 *3118:7 5.37898e-06 +25 *3117:17 *3125:23 0.00141664 +26 *3117:24 *3119:9 0.00177616 +27 la_oenb_core[42] *3117:6 6.56365e-05 +28 *4797:DIODE *3117:24 8.77775e-05 +29 *6125:DIODE *23409:A 0.000169041 +30 *21294:A *3117:6 0 +31 *22334:TE *3117:6 0.000210539 +32 *22468:B *3117:6 2.91899e-05 +33 *1164:40 *3117:6 3.8071e-05 +34 *1171:15 *3117:9 0.000869526 +35 *1298:11 *3117:6 5.34057e-05 +36 *1390:19 *3117:17 0.00182636 +37 *1404:43 *3117:6 2.01503e-05 +38 *1432:9 *3117:6 6.28269e-05 +39 *1773:10 *3117:24 0.00017687 +40 *1810:14 *3117:6 6.87762e-05 +41 *1889:16 *3117:25 0.000823008 +42 *1890:16 *3117:24 8.77951e-05 +43 *1916:10 *3117:17 2.81552e-05 +44 *1933:19 *3117:6 1.05934e-05 +45 *1952:17 *3117:17 2.46823e-05 +46 *2011:43 *3117:24 0 +47 *2036:20 *3117:9 0.00367246 +48 *2101:32 *3117:9 0.000558334 +49 *2172:12 *3117:9 1.88014e-05 +50 *2178:14 *23409:A 0.00042169 +51 *2178:14 *3117:25 1.76273e-05 +52 *2185:8 *3117:9 0.0122723 +53 *2216:8 *3117:6 0 +54 *2318:26 *3117:6 0.000120121 +55 *2329:55 *3117:6 7.72394e-06 +56 *2366:12 *3117:24 0.000916717 +57 *2398:8 *3117:6 0 +58 *2474:32 *3117:6 2.61574e-05 +59 *2613:20 *3117:6 1.05746e-05 +60 *2900:18 *3117:6 0 +61 *2900:31 *3117:6 0 +62 *3008:44 *3117:6 2.95972e-05 +63 *3106:27 *3117:17 1.67988e-05 +64 *3106:27 *3117:24 0.00182704 +*RES +1 *22209:X *3117:5 13.7491 +2 *3117:5 *3117:6 94.018 +3 *3117:6 *3117:8 4.5 +4 *3117:8 *3117:9 192.616 +5 *3117:9 *3117:17 32.2392 +6 *3117:17 *3117:24 47.9919 +7 *3117:24 *3117:25 10.1517 +8 *3117:25 *23409:A 14.8434 +9 *3117:25 *6124:DIODE 9.24915 +*END + +*D_NET *3118 0.0446466 +*CONN +*I *5276:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22758:A I *D sky130_fd_sc_hd__buf_2 +*I *22297:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5276:DIODE 3.60482e-05 +2 *22758:A 0.000254494 +3 *22297:Z 4.20206e-05 +4 *3118:19 0.000463596 +5 *3118:16 0.00528578 +6 *3118:15 0.0055947 +7 *3118:7 0.00374665 +8 *3118:5 0.00330669 +9 *22758:A *23013:A 1.48605e-05 +10 *3118:7 *3120:15 0.0109673 +11 *3118:7 *3125:23 0.000119991 +12 *3118:16 *3398:8 0 +13 *3118:16 *3398:12 0 +14 la_data_in_core[32] *22758:A 6.22259e-05 +15 *23558:TE *3118:16 3.92776e-05 +16 *1290:10 *3118:16 0 +17 *1418:8 *3118:16 0 +18 *1802:8 *3118:16 0 +19 *1925:39 *3118:16 0 +20 *2029:34 *3118:16 0.000236487 +21 *2064:23 *3118:16 0.000319051 +22 *2085:20 *3118:15 9.32704e-05 +23 *2119:25 *3118:16 4.69495e-06 +24 *2153:26 *3118:15 0.00270495 +25 *2165:10 *3118:16 0.000680701 +26 *2165:17 *3118:15 9.32704e-05 +27 *2165:28 *3118:5 9.95922e-06 +28 *2165:28 *3118:7 9.87126e-06 +29 *2168:7 *3118:16 0 +30 *2184:8 *3118:15 0.00269364 +31 *2185:8 *3118:7 0.000312506 +32 *2983:56 *3118:16 8.56518e-05 +33 *2984:14 *22758:A 2.67213e-05 +34 *2984:14 *3118:16 0.000346382 +35 *2988:59 *5276:DIODE 6.08467e-05 +36 *2988:59 *3118:19 0.000260388 +37 *2995:19 *22758:A 6.39153e-06 +38 *2998:88 *22758:A 5.48015e-06 +39 *3002:28 *22758:A 0.000101888 +40 *3002:28 *3118:16 1.78895e-05 +41 *3029:24 *3118:16 1.74671e-05 +42 *3117:9 *3118:7 0.00662012 +43 *3117:17 *3118:7 5.37898e-06 +*RES +1 *22297:Z *3118:5 10.2378 +2 *3118:5 *3118:7 123.291 +3 *3118:7 *3118:15 45.8646 +4 *3118:15 *3118:16 93.1875 +5 *3118:16 *3118:19 7.44181 +6 *3118:19 *22758:A 22.9879 +7 *3118:19 *5276:DIODE 9.97254 +*END + +*D_NET *3119 0.043385 +*CONN +*I *22759:A I *D sky130_fd_sc_hd__buf_2 +*I *5277:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22298:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22759:A 0.000477343 +2 *5277:DIODE 0 +3 *22298:Z 1.55939e-05 +4 *3119:30 0.000583508 +5 *3119:27 0.00127402 +6 *3119:25 0.00377838 +7 *3119:22 0.00453731 +8 *3119:18 0.00373898 +9 *3119:15 0.00279337 +10 *3119:9 0.00213377 +11 *3119:5 0.0011682 +12 *22759:A *3401:6 0 +13 *22759:A *3402:28 0 +14 *3119:18 *3385:12 0.00101093 +15 *3119:18 *3394:23 5.15415e-05 +16 *3119:22 *3385:12 0.000142343 +17 *3119:22 *3388:14 0.000387958 +18 *3119:27 *3404:19 0.000629908 +19 la_data_in_core[33] *22759:A 2.34902e-05 +20 *4350:DIODE *22759:A 2.08935e-05 +21 *4839:DIODE *3119:18 0 +22 *21473:A *3119:22 6.22259e-05 +23 *22298:TE *3119:9 0.000207266 +24 *22445:B *3119:18 0.000166156 +25 *23513:TE *3119:22 1.58101e-05 +26 *1169:72 *3119:15 0.00154874 +27 *1385:10 *3119:18 0 +28 *1387:27 *3119:18 0 +29 *1390:18 *3119:15 8.82188e-05 +30 *1794:6 *3119:18 0 +31 *1904:26 *3119:18 0 +32 *1916:10 *3119:18 1.40614e-05 +33 *1927:33 *3119:18 4.39753e-05 +34 *1932:15 *3119:15 0.000147606 +35 *1937:11 *3119:18 0 +36 *1943:7 *3119:18 3.59784e-05 +37 *1949:12 *3119:15 9.6057e-05 +38 *1949:16 *3119:15 8.42293e-05 +39 *2019:17 *3119:22 4.95857e-05 +40 *2156:26 *3119:15 7.92757e-06 +41 *2163:32 *3119:15 0.000213725 +42 *2183:24 *3119:15 0.000100604 +43 *2324:35 *3119:18 0.000109358 +44 *2381:29 *3119:27 0.000107534 +45 *2442:19 *3119:25 0.0014171 +46 *2442:19 *3119:27 0.00656653 +47 *2483:12 *3119:18 0 +48 *2483:12 *3119:22 0 +49 *2586:12 *3119:15 0.000288792 +50 *2589:18 *3119:22 5.01835e-05 +51 *2851:20 *3119:18 8.6931e-05 +52 *2875:28 *3119:18 7.08433e-05 +53 *2875:38 *3119:18 4.99006e-05 +54 *2985:45 *22759:A 6.50586e-05 +55 *3001:63 *22759:A 2.65667e-05 +56 *3096:39 *3119:9 0.00153203 +57 *3101:20 *3119:22 0.000314468 +58 *3106:27 *3119:9 0.000791812 +59 *3106:35 *3119:5 3.41459e-05 +60 *3112:17 *3119:25 0.000791476 +61 *3113:57 *3119:27 0.00365635 +62 *3117:24 *3119:9 0.00177616 +*RES +1 *22298:Z *3119:5 9.82786 +2 *3119:5 *3119:9 46.8187 +3 *3119:9 *3119:15 37.7527 +4 *3119:15 *3119:18 39.339 +5 *3119:18 *3119:22 45.2802 +6 *3119:22 *3119:25 44.8385 +7 *3119:25 *3119:27 70.3261 +8 *3119:27 *3119:30 6.332 +9 *3119:30 *5277:DIODE 13.7491 +10 *3119:30 *22759:A 22.5333 +*END + +*D_NET *3120 0.0572354 +*CONN +*I *22760:A I *D sky130_fd_sc_hd__buf_2 +*I *5278:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22299:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22760:A 0.000149481 +2 *5278:DIODE 0 +3 *22299:Z 0 +4 *3120:28 0.00369309 +5 *3120:27 0.00498691 +6 *3120:21 0.00198227 +7 *3120:15 0.00222124 +8 *3120:14 0.00240056 +9 *3120:7 0.00145413 +10 *3120:4 0.000735848 +11 *3120:28 *23015:A 2.89404e-05 +12 *3120:28 *3403:6 0.000584484 +13 la_data_in_core[34] *22760:A 2.34902e-05 +14 la_oenb_core[33] *22760:A 0 +15 *4361:DIODE *22760:A 0 +16 *4361:DIODE *3120:28 0 +17 *22297:A *3120:14 5.0715e-05 +18 *22299:TE *3120:7 0.000162583 +19 *23525:TE *3120:28 5.12109e-05 +20 *315:7 *22760:A 1.05272e-06 +21 *1261:19 *3120:14 4.0752e-05 +22 *1262:26 *3120:7 0.000583244 +23 *1805:12 *3120:28 0.000286583 +24 *1932:67 *3120:21 0.00113292 +25 *1936:43 *3120:27 0.000320826 +26 *1938:25 *3120:7 0.000863818 +27 *1938:25 *3120:14 0.000740086 +28 *2065:27 *3120:27 0.000144943 +29 *2157:17 *3120:21 0.00134046 +30 *2165:18 *3120:15 2.77625e-06 +31 *2165:28 *3120:14 5.58449e-05 +32 *2165:28 *3120:15 0.00225183 +33 *2165:30 *3120:7 0.000120974 +34 *2165:36 *3120:7 0.000785018 +35 *2170:14 *3120:15 8.15039e-05 +36 *2170:26 *3120:7 1.65872e-05 +37 *2171:13 *3120:27 0.000767155 +38 *2172:12 *3120:21 0.000935938 +39 *2172:14 *3120:15 0.00853657 +40 *2172:14 *3120:21 4.77848e-05 +41 *2470:39 *3120:27 0.000876061 +42 *2918:52 *3120:7 0.000190573 +43 *2940:34 *3120:7 0.00261702 +44 *2940:34 *3120:14 8.73792e-05 +45 *2985:45 *22760:A 6.50586e-05 +46 *3001:63 *22760:A 2.65667e-05 +47 *3017:8 *3120:28 0 +48 *3017:10 *3120:28 0 +49 *3096:36 *3120:14 0.00125801 +50 *3117:9 *3120:15 1.15389e-05 +51 *3117:9 *3120:21 0.00355431 +52 *3118:7 *3120:15 0.0109673 +*RES +1 *22299:Z *3120:4 9.24915 +2 *3120:4 *3120:7 43.1747 +3 *3120:7 *3120:14 31.8156 +4 *3120:14 *3120:15 123.291 +5 *3120:15 *3120:21 44.6244 +6 *3120:21 *3120:27 43.6832 +7 *3120:27 *3120:28 68.2723 +8 *3120:28 *5278:DIODE 13.7491 +9 *3120:28 *22760:A 17.135 +*END + +*D_NET *3121 0.0549497 +*CONN +*I *5279:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22761:A I *D sky130_fd_sc_hd__buf_2 +*I *22300:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5279:DIODE 2.41023e-05 +2 *22761:A 0.000138754 +3 *22300:Z 0 +4 *3121:47 0.00104547 +5 *3121:35 0.00282669 +6 *3121:22 0.00409358 +7 *3121:18 0.00830465 +8 *3121:6 0.00812505 +9 *3121:5 0.00196992 +10 *3121:6 *3328:6 0.00260017 +11 *3121:6 *3435:16 0.000324979 +12 *3121:18 *3123:50 0.00209075 +13 *3121:18 *3129:17 0.000657576 +14 *3121:18 *3251:32 0.00157658 +15 *3121:18 *3433:15 0.00235879 +16 *3121:22 *23007:A 0 +17 *3121:22 *3394:38 0 +18 *3121:35 *5527:DIODE 1.92172e-05 +19 *3121:35 *23007:A 2.65831e-05 +20 *3121:35 *3397:17 0.000479371 +21 la_data_in_core[35] *22761:A 6.48631e-05 +22 la_oenb_core[31] *3121:47 2.1203e-06 +23 la_oenb_core[32] *3121:47 0.0002817 +24 la_oenb_core[33] *3121:47 0.000122378 +25 la_oenb_core[34] *22761:A 0 +26 *4339:DIODE *3121:47 0.000115934 +27 *4350:DIODE *3121:47 0.000122378 +28 *4361:DIODE *3121:47 6.92705e-05 +29 *4847:DIODE *3121:22 7.60356e-05 +30 *21601:A *3121:22 1.26094e-05 +31 *22443:A_N *3121:22 1.40578e-05 +32 *22448:B *3121:18 2.32756e-05 +33 *22452:A_N *3121:22 5.85325e-05 +34 *22452:B *3121:22 2.73309e-05 +35 *22751:A *3121:22 1.05272e-06 +36 *1260:16 *3121:6 1.59945e-05 +37 *1279:11 *3121:22 0 +38 *1372:21 *3121:6 0.000147421 +39 *1402:26 *3121:22 0.000167724 +40 *1775:10 *3121:6 0.000249629 +41 *1791:9 *3121:22 0.000132815 +42 *1901:22 *3121:18 0.000101365 +43 *1909:20 *3121:22 3.55859e-05 +44 *1915:7 *3121:18 3.54812e-05 +45 *1915:7 *3121:22 9.93089e-05 +46 *1920:28 *3121:18 1.49935e-05 +47 *1920:28 *3121:22 1.61409e-05 +48 *1928:28 *3121:18 8.46605e-05 +49 *2165:35 *3121:6 2.62709e-05 +50 *2383:18 *3121:22 0 +51 *2394:26 *3121:18 0.00167651 +52 *2470:61 *3121:18 0.00209881 +53 *2870:67 *3121:18 0.00373567 +54 *2872:31 *3121:22 6.34651e-06 +55 *2872:38 *3121:22 2.52575e-05 +56 *2878:25 *3121:18 7.22263e-05 +57 *2884:27 *3121:18 0.00208798 +58 *2918:12 *3121:22 0 +59 *2918:44 *3121:6 0 +60 *2980:14 *22761:A 2.77078e-05 +61 *2983:62 *22761:A 2.1203e-06 +62 *2984:14 *3121:47 5.04582e-05 +63 *2986:13 *3121:47 0.000164829 +64 *2986:15 *3121:47 2.53145e-06 +65 *2986:17 *5279:DIODE 5.09367e-05 +66 *2986:17 *3121:47 0.00220802 +67 *2988:33 *3121:35 0.000831681 +68 *2988:52 *3121:35 0.000375027 +69 *2988:59 *3121:35 0.000629908 +70 *2995:21 *3121:35 0.00189023 +71 *3002:28 *3121:47 8.44495e-06 +72 *3007:45 *22761:A 2.05342e-06 +73 *3017:8 *22761:A 0 +74 *3097:24 *3121:6 0 +75 *3103:21 *3121:18 0.000101365 +76 *3110:18 *3121:22 0.000120418 +77 *3116:11 *3121:6 0 +*RES +1 *22300:Z *3121:5 13.7491 +2 *3121:5 *3121:6 53.1156 +3 *3121:6 *3121:18 35.0802 +4 *3121:18 *3121:22 44.7428 +5 *3121:22 *3121:35 48.0094 +6 *3121:35 *3121:47 38.1322 +7 *3121:47 *22761:A 21.3269 +8 *3121:47 *5279:DIODE 9.97254 +*END + +*D_NET *3122 0.0607502 +*CONN +*I *22762:A I *D sky130_fd_sc_hd__buf_2 +*I *5280:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22301:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22762:A 0.000266601 +2 *5280:DIODE 0 +3 *22301:Z 2.0864e-05 +4 *3122:30 0.00148757 +5 *3122:23 0.00182036 +6 *3122:21 0.000620014 +7 *3122:19 0.0023343 +8 *3122:18 0.00231366 +9 *3122:16 0.00289192 +10 *3122:15 0.0034318 +11 *3122:7 0.00225496 +12 *3122:5 0.00173594 +13 *22762:A *23017:A 3.02426e-05 +14 *3122:15 *3410:7 0.000366603 +15 *3122:19 *3162:47 0.000301485 +16 *3122:19 *3162:49 0.000904574 +17 *3122:23 *3148:9 0.00567879 +18 *3122:23 *3162:47 2.72092e-05 +19 *3122:30 *3148:9 1.37531e-05 +20 la_data_in_core[36] *22762:A 2.1221e-05 +21 *5858:DIODE *3122:15 0.000139023 +22 *22301:TE *3122:7 6.50727e-05 +23 *22432:B *3122:15 1.75682e-05 +24 *22443:A_N *3122:19 0.000470585 +25 *23276:B *3122:15 2.0762e-05 +26 *1393:13 *3122:15 4.88764e-06 +27 *1416:13 *3122:30 0.000220058 +28 *1651:8 *3122:15 0.000298181 +29 *1654:15 *3122:15 2.83186e-05 +30 *1895:28 *3122:15 0.000170122 +31 *1897:20 *3122:15 0 +32 *1909:20 *3122:19 0.00067007 +33 *1994:30 *3122:7 0.000110257 +34 *1997:24 *3122:7 0.00430109 +35 *1997:30 *3122:5 9.95922e-06 +36 *1997:30 *3122:7 0.000290341 +37 *2014:26 *3122:7 0.000670098 +38 *2079:20 *3122:23 0.000144814 +39 *2079:27 *3122:23 0.000118134 +40 *2083:18 *3122:19 0.000110257 +41 *2529:6 *3122:16 0.00455421 +42 *2580:16 *3122:16 0.00321966 +43 *2721:18 *3122:15 0 +44 *2940:17 *3122:19 0.00736339 +45 *2985:45 *22762:A 6.50586e-05 +46 *2998:89 *22762:A 6.50586e-05 +47 *3029:8 *22762:A 0 +48 *3029:8 *3122:30 0 +49 *3095:17 *3122:19 0.00532778 +50 *3095:17 *3122:23 0.00548067 +51 *3095:22 *3122:16 0.000292907 +52 *3095:24 *3122:16 0 +*RES +1 *22301:Z *3122:5 9.82786 +2 *3122:5 *3122:7 55.0746 +3 *3122:7 *3122:15 28.1033 +4 *3122:15 *3122:16 93.6027 +5 *3122:16 *3122:18 4.5 +6 *3122:18 *3122:19 141.593 +7 *3122:19 *3122:21 0.578717 +8 *3122:21 *3122:23 62.2844 +9 *3122:23 *3122:30 26.0474 +10 *3122:30 *5280:DIODE 13.7491 +11 *3122:30 *22762:A 19.2113 +*END + +*D_NET *3123 0.0665966 +*CONN +*I *22763:A I *D sky130_fd_sc_hd__buf_2 +*I *5281:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22302:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22763:A 0.00019327 +2 *5281:DIODE 0 +3 *22302:Z 0.000498937 +4 *3123:62 0.00159869 +5 *3123:61 0.00257387 +6 *3123:50 0.00484994 +7 *3123:32 0.00547266 +8 *3123:30 0.00249673 +9 *3123:27 0.00126767 +10 *3123:17 0.00145478 +11 *3123:16 0.0013856 +12 *3123:8 0.00099187 +13 *3123:30 *3125:22 0.000101411 +14 *3123:32 *3125:22 0.000108653 +15 *3123:32 *3382:16 0.000574653 +16 *3123:50 *3132:9 0.0061069 +17 *3123:50 *3251:32 0.000439711 +18 *3123:50 *3391:20 0 +19 *3123:50 *3393:10 8.03676e-06 +20 *3123:50 *3413:9 0.000416013 +21 *3123:61 *3132:9 0.000267774 +22 *3123:61 *3135:17 0.00143933 +23 *3123:61 *3144:5 0.00260925 +24 *3123:61 *3405:8 7.67825e-05 +25 *4551:DIODE *3123:8 0.000171273 +26 *5856:DIODE *3123:8 1.77537e-06 +27 *23405:A *3123:17 0.000113968 +28 *1268:14 *3123:8 7.01752e-06 +29 *1400:10 *3123:62 8.897e-05 +30 *1651:8 *3123:8 6.88675e-05 +31 *1652:8 *3123:8 0.000612765 +32 *1778:9 *3123:8 0.000217951 +33 *1887:13 *3123:30 9.17656e-06 +34 *1920:19 *3123:50 5.01835e-05 +35 *2013:13 *3123:30 0 +36 *2013:23 *3123:32 0.00147919 +37 *2060:8 *3123:17 0.000451867 +38 *2060:17 *3123:17 0.000543559 +39 *2071:22 *3123:16 0.000672345 +40 *2071:22 *3123:17 0.000154626 +41 *2093:14 *3123:17 0.00255982 +42 *2093:16 *3123:8 0.000364072 +43 *2093:16 *3123:17 0.000841494 +44 *2168:19 *3123:30 0.000302743 +45 *2188:30 *3123:27 0.00011818 +46 *2368:23 *3123:17 0.000254475 +47 *2381:18 *3123:62 0.000236965 +48 *2457:29 *3123:50 0.000532336 +49 *2457:41 *3123:50 0.0011587 +50 *2464:23 *3123:50 0.0025416 +51 *2464:25 *3123:50 8.54995e-05 +52 *2497:15 *3123:8 0.00145159 +53 *2529:6 *3123:16 0 +54 *2581:6 *3123:16 2.28524e-05 +55 *2587:16 *3123:27 0.000854746 +56 *2601:20 *3123:50 6.03122e-05 +57 *2608:18 *3123:61 0.00051327 +58 *2651:23 *3123:8 0.00223482 +59 *2651:25 *3123:8 0.000165488 +60 *2869:22 *3123:27 0.000946922 +61 *2869:22 *3123:30 1.57881e-05 +62 *2878:19 *3123:50 0.000106353 +63 *2878:40 *3123:50 0.00134366 +64 *2880:20 *3123:50 6.21462e-05 +65 *2884:27 *3123:50 0.00286198 +66 *2885:18 *3123:50 6.03237e-05 +67 *2929:21 *3123:50 0.00125272 +68 *2986:17 *22763:A 6.08467e-05 +69 *2988:61 *22763:A 6.08467e-05 +70 *2995:60 *3123:30 4.60375e-07 +71 *2995:60 *3123:32 0.000589946 +72 *3029:34 *3123:30 0.000609982 +73 *3029:34 *3123:32 0.000309902 +74 *3029:42 *3123:30 0.000448718 +75 *3029:46 *3123:27 3.348e-05 +76 *3040:8 *22763:A 0.000168774 +77 *3040:8 *3123:62 0.00162674 +78 *3051:6 *22763:A 0 +79 *3073:41 *3123:17 1.65872e-05 +80 *3108:18 *3123:50 5.46889e-05 +81 *3121:18 *3123:50 0.00209075 +*RES +1 *22302:Z *3123:8 48.0563 +2 *3123:8 *3123:16 22.2954 +3 *3123:16 *3123:17 49.5285 +4 *3123:17 *3123:27 34.6186 +5 *3123:27 *3123:30 21.4588 +6 *3123:30 *3123:32 48.3402 +7 *3123:32 *3123:50 48.2696 +8 *3123:50 *3123:61 47.2231 +9 *3123:61 *3123:62 34.8445 +10 *3123:62 *5281:DIODE 13.7491 +11 *3123:62 *22763:A 18.3808 +*END + +*D_NET *3124 0.0646239 +*CONN +*I *22764:A I *D sky130_fd_sc_hd__buf_2 +*I *5282:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22303:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22764:A 0.000250813 +2 *5282:DIODE 4.18856e-05 +3 *22303:Z 0.00610796 +4 *3124:28 0.00396501 +5 *3124:26 0.00656494 +6 *3124:17 0.00900058 +7 *22764:A *23019:A 0 +8 *3124:17 *3128:26 0.000570944 +9 *3124:17 *3262:33 0.000126544 +10 *3124:17 *3306:34 0.00638939 +11 *3124:17 *3339:34 0.00101163 +12 *3124:26 *3125:23 0.00010238 +13 *3124:26 *3317:31 0.000489057 +14 *3124:26 *3410:13 6.92952e-05 +15 *3124:28 *3132:17 0.000113426 +16 *3124:28 *3408:22 0 +17 la_data_in_core[38] *22764:A 6.48631e-05 +18 *4392:DIODE *22764:A 0 +19 *21592:A *3124:28 2.34497e-05 +20 *1171:26 *3124:26 0.000273984 +21 *1294:8 *3124:28 0.00026547 +22 *1650:11 *3124:17 0 +23 *2029:30 *3124:26 1.05594e-05 +24 *2036:25 *3124:26 0.000113043 +25 *2065:26 *3124:17 0.00128517 +26 *2174:25 *3124:17 0.01139 +27 *2189:31 *3124:26 0.000276837 +28 *2192:25 *3124:26 0.000290111 +29 *2195:25 *3124:17 0.00025093 +30 *2196:7 *3124:26 0.000222561 +31 *2197:13 *3124:26 6.81933e-05 +32 *2197:13 *3124:28 1.97457e-05 +33 *2202:33 *3124:17 3.51771e-05 +34 *2205:19 *3124:17 0.000269344 +35 *2206:32 *3124:17 0.000226901 +36 *2211:25 *3124:26 0.000345637 +37 *2325:16 *3124:26 5.76799e-05 +38 *2365:39 *3124:17 0.00104211 +39 *2365:43 *3124:17 0.000874484 +40 *2386:12 *3124:28 0.00202706 +41 *2397:17 *3124:17 0.000420172 +42 *2446:14 *3124:28 0.000156631 +43 *2474:32 *3124:28 5.27691e-05 +44 *2581:6 *3124:17 3.57291e-06 +45 *2601:20 *3124:17 0 +46 *2608:18 *3124:28 1.5714e-05 +47 *2863:45 *3124:28 2.20585e-05 +48 *2889:18 *3124:26 0.00121206 +49 *2890:33 *3124:28 0.000153063 +50 *2988:61 *5282:DIODE 6.50727e-05 +51 *2995:86 *3124:17 9.12416e-06 +52 *3051:6 *3124:28 0 +53 *3051:24 *3124:17 0.00290923 +54 *3051:24 *3124:26 0.00536719 +*RES +1 *22303:Z *3124:17 47.6731 +2 *3124:17 *3124:26 24.7432 +3 *3124:26 *3124:28 79.0567 +4 *3124:28 *5282:DIODE 14.4725 +5 *3124:28 *22764:A 18.4879 +*END + +*D_NET *3125 0.0627094 +*CONN +*I *5283:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22765:A I *D sky130_fd_sc_hd__buf_2 +*I *22304:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5283:DIODE 0 +2 *22765:A 0.000485531 +3 *22304:Z 0.000275709 +4 *3125:28 0.000485531 +5 *3125:26 0.00447955 +6 *3125:25 0.00447955 +7 *3125:23 0.0025818 +8 *3125:22 0.00400474 +9 *3125:13 0.00252124 +10 *3125:10 0.00137401 +11 *3125:26 *3127:18 0 +12 *3125:26 *3410:14 0 +13 *4393:DIODE *22765:A 4.09793e-05 +14 *4394:DIODE *22765:A 4.22989e-05 +15 *23562:A *3125:26 2.00098e-05 +16 *320:7 *22765:A 7.09666e-06 +17 *1296:11 *3125:26 0.000135295 +18 *1390:19 *3125:23 6.6044e-05 +19 *1390:23 *3125:23 0.00567411 +20 *1409:16 *3125:26 2.65314e-05 +21 *1425:8 *3125:26 0.000124315 +22 *1807:10 *3125:26 0 +23 *1894:7 *3125:22 0.000696297 +24 *1997:23 *3125:22 4.50856e-05 +25 *2001:8 *3125:10 0.00167845 +26 *2007:14 *3125:13 0.00180415 +27 *2009:34 *3125:10 0.00167845 +28 *2014:22 *3125:10 6.1438e-05 +29 *2101:32 *3125:23 0.00333452 +30 *2137:24 *3125:23 0.000458544 +31 *2158:20 *3125:23 0.00499323 +32 *2175:27 *3125:22 0.000400767 +33 *2185:8 *3125:23 0.0130998 +34 *2204:8 *3125:26 0.000694592 +35 *2332:58 *3125:22 0.000703953 +36 *2388:29 *3125:26 0 +37 *2586:12 *3125:22 0.00144706 +38 *2588:14 *3125:22 4.79692e-05 +39 *2726:6 *3125:10 2.2404e-05 +40 *2985:45 *22765:A 6.08467e-05 +41 *2988:61 *22765:A 0.000373061 +42 *2995:60 *3125:22 0.000367605 +43 *2998:89 *22765:A 6.50727e-05 +44 *3073:6 *22765:A 0 +45 *3073:6 *3125:26 0.000324217 +46 *3106:18 *3125:26 0.000378025 +47 *3117:9 *3125:23 0.00130046 +48 *3117:17 *3125:23 0.00141664 +49 *3118:7 *3125:23 0.000119991 +50 *3123:30 *3125:22 0.000101411 +51 *3123:32 *3125:22 0.000108653 +52 *3124:26 *3125:23 0.00010238 +*RES +1 *22304:Z *3125:10 38.1366 +2 *3125:10 *3125:13 24.0799 +3 *3125:13 *3125:22 49.0895 +4 *3125:22 *3125:23 185.406 +5 *3125:23 *3125:25 4.5 +6 *3125:25 *3125:26 89.8655 +7 *3125:26 *3125:28 4.5 +8 *3125:28 *22765:A 27.7623 +9 *3125:28 *5283:DIODE 9.24915 +*END + +*D_NET *3126 0.057316 +*CONN +*I *5284:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22766:A I *D sky130_fd_sc_hd__buf_2 +*I *22305:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5284:DIODE 4.51842e-05 +2 *22766:A 0.000544967 +3 *22305:Z 0.000858688 +4 *3126:22 0.00171647 +5 *3126:21 0.00142004 +6 *3126:17 0.000612906 +7 *3126:9 0.0105777 +8 *3126:8 0.0111172 +9 *22766:A *23011:A 0.000105015 +10 *3126:9 *3164:9 0.0138257 +11 *3126:17 *3164:9 0.00338506 +12 *3126:17 *3164:11 4.31603e-06 +13 *3126:17 *3164:13 4.09471e-05 +14 *3126:21 *3164:13 0.00267366 +15 la_data_in_core[3] *22766:A 6.9787e-05 +16 *6577:DIODE *22766:A 0.000100106 +17 *1397:8 *3126:8 0 +18 *2380:15 *3126:17 0.00348483 +19 *2380:15 *3126:21 1.41689e-05 +20 *2391:8 *3126:8 0.000431957 +21 *2444:17 *5284:DIODE 6.08467e-05 +22 *2457:41 *3126:17 0.000154795 +23 *2464:25 *3126:9 0.000682576 +24 *2464:25 *3126:17 0.00011251 +25 *2464:28 *3126:8 0.000114141 +26 *2838:48 *3126:21 0.00261859 +27 *3084:8 *22766:A 0.000225285 +28 *3084:8 *3126:22 0.00161683 +29 *3112:12 *22766:A 0.000106934 +30 *3112:12 *3126:22 0.00059479 +*RES +1 *22305:Z *3126:8 43.7505 +2 *3126:8 *3126:9 179.306 +3 *3126:9 *3126:17 47.3528 +4 *3126:17 *3126:21 33.5082 +5 *3126:21 *3126:22 32.9759 +6 *3126:22 *22766:A 26.7685 +7 *3126:22 *5284:DIODE 14.4725 +*END + +*D_NET *3127 0.0257735 +*CONN +*I *22767:A I *D sky130_fd_sc_hd__buf_2 +*I *5285:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22306:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22767:A 0.000235704 +2 *5285:DIODE 4.18856e-05 +3 *22306:Z 0.00120487 +4 *3127:18 0.00148009 +5 *3127:15 0.00199781 +6 *3127:14 0.0016417 +7 *3127:11 0.00205125 +8 *22767:A *23021:A 4.0788e-05 +9 *22767:A *3410:14 1.05272e-06 +10 *3127:11 *3413:21 0.00199865 +11 *3127:15 *3427:7 0.00647834 +12 *3127:15 *3427:13 0.000364632 +13 *3127:18 *3410:14 0.000195231 +14 la_data_in_core[40] *22767:A 6.22259e-05 +15 *3799:DIODE *3127:15 0.000171288 +16 *1416:7 *3127:15 0.000496145 +17 *1418:9 *3127:15 0.00599541 +18 *1907:11 *3127:11 8.6297e-06 +19 *2051:19 *3127:18 1.91391e-05 +20 *2125:20 *3127:15 0.000157517 +21 *2385:22 *3127:14 0 +22 *2440:26 *3127:14 0 +23 *2454:76 *3127:11 7.58067e-06 +24 *2457:41 *3127:11 0.000231712 +25 *2461:47 *3127:15 0.000220514 +26 *2885:18 *3127:14 5.36085e-05 +27 *2885:38 *3127:14 0.000218621 +28 *2988:61 *5285:DIODE 6.50727e-05 +29 *3073:6 *22767:A 0 +30 *3115:40 *3127:14 0.000334066 +31 *3125:26 *3127:18 0 +*RES +1 *22306:Z *3127:11 44.9944 +2 *3127:11 *3127:14 23.3573 +3 *3127:14 *3127:15 78.9225 +4 *3127:15 *3127:18 27.0946 +5 *3127:18 *5285:DIODE 14.4725 +6 *3127:18 *22767:A 18.4879 +*END + +*D_NET *3128 0.0594905 +*CONN +*I *6126:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23410:A I *D sky130_fd_sc_hd__nand2_1 +*I *22210:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6126:DIODE 0 +2 *23410:A 0.000117269 +3 *22210:X 0.00358053 +4 *3128:26 0.00673578 +5 *3128:11 0.010199 +6 *3128:11 *22770:A 0 +7 *3128:11 *23026:A 0 +8 *3128:11 *3131:18 0 +9 *3128:11 *3162:16 0 +10 *3128:11 *3415:8 0 +11 la_oenb_core[43] *3128:11 2.24412e-05 +12 *4399:DIODE *3128:11 1.05934e-05 +13 *6127:DIODE *23410:A 0 +14 *1529:6 *23410:A 0 +15 *2042:19 *3128:26 0 +16 *2083:18 *3128:26 0.000655305 +17 *2150:8 *23410:A 0.000432474 +18 *2152:34 *23410:A 0.000436686 +19 *2181:49 *3128:26 0.00231602 +20 *2365:39 *3128:26 0.00143277 +21 *2381:26 *3128:11 0.00238737 +22 *2381:29 *3128:26 0.00283165 +23 *2456:21 *3128:11 0.0163596 +24 *2456:21 *3128:26 0.00073553 +25 *2483:24 *3128:11 0.00566667 +26 *2483:24 *3128:26 0.000228808 +27 *2592:15 *23410:A 0 +28 *2593:18 *3128:26 0.000298483 +29 *2876:21 *3128:26 4.49946e-05 +30 *2877:15 *3128:26 0.00442749 +31 *3124:17 *3128:26 0.000570944 +*RES +1 *22210:X *3128:11 49.732 +2 *3128:11 *3128:26 29.6897 +3 *3128:26 *23410:A 19.7715 +4 *3128:26 *6126:DIODE 13.7491 +*END + +*D_NET *3129 0.062812 +*CONN +*I *22768:A I *D sky130_fd_sc_hd__buf_2 +*I *5286:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22307:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22768:A 0.00041465 +2 *5286:DIODE 0 +3 *22307:Z 0.00540031 +4 *3129:30 0.00198647 +5 *3129:27 0.00223949 +6 *3129:26 0.00163687 +7 *3129:17 0.00636951 +8 *22768:A *5541:DIODE 3.33454e-05 +9 *3129:17 *3378:16 0.000124759 +10 *3129:17 *3433:15 0.00629796 +11 *3129:17 *3433:19 0.00054841 +12 *3129:26 *3401:6 0 +13 *3129:27 *3132:17 0.00240487 +14 *3129:27 *3436:21 0.0025035 +15 *3129:27 *3436:23 0.000317802 +16 *3129:30 *5541:DIODE 1.77537e-06 +17 la_data_in_core[41] *22768:A 2.00098e-05 +18 *4396:DIODE *22768:A 1.14523e-05 +19 *4397:DIODE *22768:A 1.93998e-05 +20 *6425:DIODE *3129:26 6.01588e-05 +21 *1271:10 *3129:17 5.12223e-05 +22 *1293:13 *3129:26 0 +23 *1407:18 *3129:30 0 +24 *1424:10 *3129:30 0.000446068 +25 *2008:53 *3129:17 8.50838e-05 +26 *2042:19 *3129:17 4.20695e-05 +27 *2129:47 *3129:17 0.00204999 +28 *2129:56 *3129:17 0.00745534 +29 *2394:26 *3129:17 0.0021399 +30 *2448:8 *3129:17 0 +31 *2454:58 *3129:26 2.1203e-06 +32 *2458:21 *3129:27 0.00567646 +33 *2466:9 *3129:17 0.0122202 +34 *2470:61 *3129:17 0.00017777 +35 *2497:8 *3129:17 0 +36 *2829:20 *3129:17 0.000499615 +37 *2870:67 *3129:17 0.000730849 +38 *2893:58 *3129:30 0 +39 *2897:33 *3129:30 5.68436e-05 +40 *2985:45 *22768:A 6.50586e-05 +41 *2986:22 *22768:A 0 +42 *2998:89 *22768:A 6.50586e-05 +43 *3106:8 *22768:A 0 +44 *3106:8 *3129:30 0 +45 *3121:18 *3129:17 0.000657576 +*RES +1 *22307:Z *3129:17 48.164 +2 *3129:17 *3129:26 25.3704 +3 *3129:26 *3129:27 61.7298 +4 *3129:27 *3129:30 34.5691 +5 *3129:30 *5286:DIODE 13.7491 +6 *3129:30 *22768:A 22.1181 +*END + +*D_NET *3130 0.044681 +*CONN +*I *22769:A I *D sky130_fd_sc_hd__buf_2 +*I *5287:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22308:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22769:A 0.00015722 +2 *5287:DIODE 4.18856e-05 +3 *22308:Z 0.000740928 +4 *3130:46 0.00174376 +5 *3130:45 0.00201308 +6 *3130:35 0.00244681 +7 *3130:34 0.00207795 +8 *3130:23 0.00256176 +9 *3130:22 0.00246221 +10 *3130:20 0.000740928 +11 *3130:20 *3380:32 0 +12 *3130:34 *3135:17 8.62244e-05 +13 *3130:45 *3158:17 0.00136471 +14 *3130:46 *3132:27 0 +15 *3130:46 *3413:34 0.000585238 +16 *3130:46 *3414:12 0.00128337 +17 la_data_in_core[42] *22769:A 2.24412e-05 +18 la_oenb_core[41] *22769:A 0 +19 *3784:DIODE *3130:35 0.0001237 +20 *3786:DIODE *3130:20 2.33664e-05 +21 *3787:DIODE *3130:20 6.50727e-05 +22 *3797:DIODE *3130:23 0.000211478 +23 *3801:DIODE *3130:23 1.92172e-05 +24 *3801:DIODE *3130:35 5.04829e-06 +25 *3802:DIODE *3130:23 0.000406745 +26 *4562:DIODE *3130:20 0.000371507 +27 *4847:DIODE *3130:23 0.000110306 +28 *21488:A *3130:35 0.000111708 +29 *22333:A *3130:45 2.00573e-05 +30 *22333:TE *3130:45 0.00013538 +31 *324:8 *22769:A 1.05272e-06 +32 *1290:10 *3130:34 2.02035e-05 +33 *1296:11 *3130:35 0.000107832 +34 *1296:11 *3130:45 0.000755129 +35 *1402:11 *3130:20 0.00127428 +36 *1402:13 *3130:23 0.00760859 +37 *1403:8 *3130:20 3.83003e-05 +38 *1414:7 *3130:23 0.000759458 +39 *1414:20 *3130:35 7.68538e-06 +40 *1414:23 *3130:35 0.000236206 +41 *1414:25 *3130:35 9.21514e-05 +42 *1414:25 *3130:45 0.00154196 +43 *1418:8 *3130:34 1.66771e-05 +44 *2021:34 *3130:20 5.40742e-06 +45 *2045:17 *3130:46 1.27831e-06 +46 *2385:22 *3130:23 1.58551e-05 +47 *2385:30 *3130:23 0.000538103 +48 *2385:31 *3130:23 0.000130655 +49 *2385:41 *3130:20 1.78942e-05 +50 *2398:8 *3130:46 2.54271e-05 +51 *2434:11 *3130:23 0.000111178 +52 *2434:11 *3130:34 0.000318812 +53 *2434:11 *3130:35 0.000102363 +54 *2458:31 *3130:23 0.000110257 +55 *2784:6 *3130:20 0.0001214 +56 *2862:17 *3130:20 0.000519453 +57 *2865:54 *3130:23 0.000337754 +58 *2865:58 *3130:23 8.88984e-06 +59 *2865:58 *3130:35 1.71154e-05 +60 *2867:34 *3130:20 6.78364e-06 +61 *2868:21 *3130:20 0.000477015 +62 *2885:38 *3130:23 0.00119039 +63 *2983:63 *5287:DIODE 6.50727e-05 +64 *2985:45 *22769:A 0.00011818 +65 *2998:89 *22769:A 0.00011818 +66 *3008:44 *3130:46 0 +67 *3029:21 *3130:35 0.00106447 +68 *3029:31 *3130:23 0.00676809 +69 *3097:34 *3130:20 9.93314e-05 +70 *3106:8 *3130:46 0 +71 *3117:6 *22769:A 0 +72 *3117:6 *3130:46 0 +*RES +1 *22308:Z *3130:20 49.9957 +2 *3130:20 *3130:22 4.5 +3 *3130:22 *3130:23 129.946 +4 *3130:23 *3130:34 16.9271 +5 *3130:34 *3130:35 53.1334 +6 *3130:35 *3130:45 32.194 +7 *3130:45 *3130:46 37.1284 +8 *3130:46 *5287:DIODE 14.4725 +9 *3130:46 *22769:A 17.6896 +*END + +*D_NET *3131 0.0573626 +*CONN +*I *22770:A I *D sky130_fd_sc_hd__buf_2 +*I *5288:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22309:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22770:A 0.000437431 +2 *5288:DIODE 0 +3 *22309:Z 0.000282915 +4 *3131:18 0.00234165 +5 *3131:17 0.00209548 +6 *3131:14 0.00181996 +7 *3131:9 0.0143656 +8 *3131:8 0.0130198 +9 *22770:A *23025:A 0 +10 *3131:8 *3151:58 4.87552e-05 +11 *3131:9 *3397:7 0.000466329 +12 *3131:17 *3144:5 0.00153423 +13 *3131:18 *3415:8 0 +14 la_data_in_core[43] *22770:A 2.24412e-05 +15 *4398:DIODE *22770:A 8.44495e-06 +16 *4399:DIODE *22770:A 1.63924e-05 +17 *6349:DIODE *3131:9 0.000160617 +18 *21294:A *3131:18 7.41833e-06 +19 *22465:B *3131:14 0 +20 *23521:A *3131:9 0.000114594 +21 *23529:A *3131:9 0.000118792 +22 *1282:8 *3131:9 0.000472773 +23 *1379:36 *3131:9 8.53355e-05 +24 *1384:23 *3131:9 0.00435902 +25 *1392:37 *3131:9 0.00416923 +26 *1414:36 *3131:18 0 +27 *1429:10 *3131:18 0 +28 *1432:9 *3131:18 0 +29 *1813:10 *3131:14 0.000141573 +30 *1931:35 *3131:14 0 +31 *1939:30 *3131:9 0.000626933 +32 *1939:34 *3131:9 0.00431687 +33 *2025:10 *3131:9 9.83028e-05 +34 *2176:30 *3131:9 0.000103161 +35 *2176:42 *3131:9 7.33307e-05 +36 *2343:8 *3131:9 0.000238938 +37 *2366:12 *3131:8 4.55435e-05 +38 *2389:11 *3131:17 0.00153002 +39 *2476:21 *3131:18 8.56161e-05 +40 *2851:20 *3131:9 0.00107351 +41 *2851:29 *3131:9 0.00273212 +42 *2893:26 *3131:14 0 +43 *2893:38 *3131:14 0 +44 *2893:58 *3131:14 0 +45 *2897:33 *3131:14 6.68829e-05 +46 *2900:18 *3131:18 0.000130395 +47 *2900:31 *3131:18 2.2043e-05 +48 *2985:45 *22770:A 6.50586e-05 +49 *2998:89 *22770:A 6.50586e-05 +50 *3106:15 *3131:14 0 +51 *3117:6 *22770:A 0 +52 *3117:6 *3131:18 0 +53 *3128:11 *22770:A 0 +54 *3128:11 *3131:18 0 +*RES +1 *22309:Z *3131:8 23.8184 +2 *3131:8 *3131:9 223.119 +3 *3131:9 *3131:14 38.6539 +4 *3131:14 *3131:17 21.3069 +5 *3131:17 *3131:18 35.4674 +6 *3131:18 *5288:DIODE 13.7491 +7 *3131:18 *22770:A 22.1181 +*END + +*D_NET *3132 0.0639691 +*CONN +*I *22771:A I *D sky130_fd_sc_hd__buf_2 +*I *5289:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22310:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22771:A 0.000228197 +2 *5289:DIODE 0 +3 *22310:Z 0.00502925 +4 *3132:28 0.00146936 +5 *3132:27 0.00163987 +6 *3132:17 0.00106434 +7 *3132:9 0.00569489 +8 *22771:A *3162:16 5.11322e-06 +9 *22771:A *3412:14 6.57048e-05 +10 *3132:9 *3135:17 0.01132 +11 *3132:9 *3144:5 0.00022447 +12 *3132:9 *3368:16 6.3082e-06 +13 *3132:17 *3436:23 0.00261086 +14 *3132:27 *3436:23 1.15389e-05 +15 *3132:28 *23027:A 0 +16 *3132:28 *3140:15 0 +17 *3132:28 *3412:14 0.00138796 +18 *3132:28 *3416:8 0 +19 la_data_in_core[44] *22771:A 1.24564e-05 +20 *23539:TE *3132:27 9.70097e-06 +21 *23551:TE *3132:28 1.92974e-05 +22 *326:8 *22771:A 2.1203e-06 +23 *1274:9 *3132:9 1.9101e-05 +24 *1398:15 *3132:27 0.00073191 +25 *2023:37 *3132:9 5.60804e-05 +26 *2045:17 *3132:27 7.13655e-06 +27 *2057:17 *3132:28 1.74351e-05 +28 *2133:28 *3132:9 0.00468801 +29 *2457:29 *3132:9 1.58061e-05 +30 *2457:41 *3132:9 0.00290514 +31 *2458:21 *3132:17 8.66138e-05 +32 *2458:21 *3132:27 0.000782772 +33 *2608:18 *3132:17 1.91391e-05 +34 *2616:22 *3132:28 1.15133e-05 +35 *2651:8 *3132:9 6.75845e-06 +36 *2870:89 *3132:27 0.00130966 +37 *2884:19 *3132:9 0.00135673 +38 *2893:62 *3132:27 0.00131387 +39 *2929:21 *3132:9 0.0106578 +40 *2980:20 *3132:28 2.09468e-05 +41 *2983:74 *22771:A 9.69225e-05 +42 *2983:74 *3132:28 9.12416e-06 +43 *2985:45 *22771:A 6.50727e-05 +44 *2998:89 *22771:A 6.50727e-05 +45 *3051:6 *3132:17 0 +46 *3117:6 *3132:27 3.20679e-05 +47 *3123:50 *3132:9 0.0061069 +48 *3123:61 *3132:9 0.000267774 +49 *3124:28 *3132:17 0.000113426 +50 *3129:27 *3132:17 0.00240487 +51 *3130:46 *3132:27 0 +*RES +1 *22310:Z *3132:9 47.2574 +2 *3132:9 *3132:17 43.9237 +3 *3132:17 *3132:27 39.5147 +4 *3132:27 *3132:28 29.6539 +5 *3132:28 *5289:DIODE 13.7491 +6 *3132:28 *22771:A 19.2113 +*END + +*D_NET *3133 0.0510724 +*CONN +*I *5290:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22772:A I *D sky130_fd_sc_hd__buf_2 +*I *22311:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5290:DIODE 1.93778e-05 +2 *22772:A 0.000407589 +3 *22311:Z 0.000395435 +4 *3133:43 0.00212679 +5 *3133:37 0.00217965 +6 *3133:36 0.00118809 +7 *3133:31 0.00137297 +8 *3133:29 0.00116286 +9 *3133:21 0.0011515 +10 *3133:17 0.00142081 +11 *3133:9 0.00116289 +12 *22772:A *23027:A 0 +13 *22772:A *3140:15 0 +14 *22772:A *3151:10 0 +15 *3133:29 *3431:11 0.00137024 +16 *3133:31 *3431:11 3.26316e-05 +17 *3133:31 *3431:13 0.000296017 +18 *3133:37 *3144:5 0.00312032 +19 *3133:37 *3144:11 0.00028696 +20 *3133:37 *3144:13 0.000660672 +21 *3133:43 *23027:A 1.77537e-06 +22 *3133:43 *3142:20 0.000123511 +23 *3133:43 *3151:10 0 +24 *3133:43 *3412:11 0.000189397 +25 *3133:43 *3416:8 0.000193478 +26 *3133:43 *3430:8 0.000166872 +27 *3133:43 *3446:10 6.51089e-05 +28 la_data_in_core[45] *22772:A 6.56365e-05 +29 *3675:DIODE *3133:31 0.00031827 +30 *3788:DIODE *3133:17 0.000278114 +31 *4401:DIODE *22772:A 3.64559e-05 +32 *4571:DIODE *3133:9 2.65831e-05 +33 *6347:DIODE *3133:29 7.00583e-05 +34 *6410:DIODE *3133:29 0.000323141 +35 *21477:A *3133:17 4.03362e-05 +36 *21477:A *3133:21 1.80122e-05 +37 *22312:TE *3133:9 3.82228e-05 +38 *22340:TE *3133:43 1.49589e-05 +39 *22461:B *3133:36 0.000190621 +40 *23551:TE *3133:43 0 +41 *1404:10 *3133:17 0.00083352 +42 *1410:13 *3133:21 0.00174593 +43 *1410:17 *3133:21 1.92172e-05 +44 *1410:17 *3133:29 0.000562545 +45 *1410:19 *3133:29 7.68538e-06 +46 *1410:19 *3133:31 0.00429607 +47 *1414:36 *3133:43 3.12925e-05 +48 *1415:11 *3133:29 0.00200289 +49 *1423:9 *3133:37 0.00442644 +50 *1809:8 *3133:36 0.000104151 +51 *1816:8 *3133:43 0.00020684 +52 *1926:14 *3133:21 0.00286958 +53 *1931:16 *3133:21 5.99856e-05 +54 *1931:30 *3133:29 0.00254115 +55 *1941:16 *3133:17 0.00117675 +56 *1941:16 *3133:21 0.00112626 +57 *1941:26 *3133:21 0.000306907 +58 *1941:36 *3133:31 0.00115107 +59 *2341:36 *3133:29 0.000149641 +60 *2341:45 *3133:21 0.000101365 +61 *2470:53 *3133:9 0.00222862 +62 *2470:53 *3133:17 0.000915287 +63 *2869:50 *3133:17 0.000423922 +64 *2892:22 *3133:36 3.38813e-05 +65 *2892:35 *3133:36 1.74351e-05 +66 *3002:29 *5290:DIODE 6.50727e-05 +67 *3002:29 *3133:43 0.000113968 +68 *3040:27 *3133:29 0.000130331 +69 *3116:23 *3133:9 0.00118473 +70 *3116:25 *3133:9 0.00019812 +71 *3116:25 *3133:17 0.00147451 +72 *3116:31 *3133:21 5.18751e-05 +*RES +1 *22311:Z *3133:9 34.7006 +2 *3133:9 *3133:17 47.8044 +3 *3133:17 *3133:21 40.679 +4 *3133:21 *3133:29 44.6094 +5 *3133:29 *3133:31 46.7555 +6 *3133:31 *3133:36 22.8743 +7 *3133:36 *3133:37 47.8647 +8 *3133:37 *3133:43 46.5759 +9 *3133:43 *22772:A 25.8947 +10 *3133:43 *5290:DIODE 9.97254 +*END + +*D_NET *3134 0.0611878 +*CONN +*I *22773:A I *D sky130_fd_sc_hd__buf_2 +*I *5291:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22312:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22773:A 0.000193765 +2 *5291:DIODE 0 +3 *22312:Z 0.00495724 +4 *3134:26 0.00222501 +5 *3134:25 0.00296178 +6 *3134:17 0.00588777 +7 *22773:A *3151:10 0 +8 *3134:17 *3217:22 0.00344277 +9 *3134:17 *3217:25 0.0137822 +10 *3134:17 *3431:11 0.000141764 +11 *3134:17 *3431:13 0.00010238 +12 *3134:25 *3158:19 0.00156429 +13 *3134:25 *3159:11 0.00156008 +14 *3134:25 *3415:8 0 +15 *3134:25 *3424:10 0.000201508 +16 *3134:26 *23028:A 0 +17 *3134:26 *23029:A 0 +18 *3134:26 *3418:18 0 +19 *3134:26 *3419:8 0 +20 la_data_in_core[46] *22773:A 1.32315e-05 +21 *4403:DIODE *22773:A 3.52414e-05 +22 *1931:30 *3134:17 0.000130331 +23 *2008:53 *3134:17 0.00371983 +24 *2108:22 *3134:17 0.00545511 +25 *2108:24 *3134:17 0.00136443 +26 *2341:36 *3134:17 0.00225492 +27 *2341:45 *3134:17 5.4289e-05 +28 *2466:9 *3134:17 0.000144668 +29 *2604:18 *3134:17 5.71007e-05 +30 *2784:6 *3134:17 0 +31 *2893:26 *3134:17 6.03122e-05 +32 *2985:45 *22773:A 6.50727e-05 +33 *2998:107 *22773:A 6.50727e-05 +34 *3005:43 *3134:26 4.69495e-06 +35 *3008:44 *22773:A 7.8446e-05 +36 *3008:44 *3134:26 2.27135e-05 +37 *3040:27 *3134:17 0.0106418 +*RES +1 *22312:Z *3134:17 49.8576 +2 *3134:17 *3134:25 44.9186 +3 *3134:25 *3134:26 36.2979 +4 *3134:26 *5291:DIODE 13.7491 +5 *3134:26 *22773:A 18.3808 +*END + +*D_NET *3135 0.0463803 +*CONN +*I *22774:A I *D sky130_fd_sc_hd__buf_2 +*I *5292:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22313:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22774:A 0.000259028 +2 *5292:DIODE 0 +3 *22313:Z 0.00624556 +4 *3135:20 0.00232116 +5 *3135:17 0.00830769 +6 *22774:A *3162:16 0 +7 *22774:A *3173:19 0 +8 *3135:17 *3144:5 0.000239007 +9 *3135:17 *3163:11 0.000120517 +10 *3135:17 *3394:34 3.63593e-05 +11 *3135:20 *3173:19 0 +12 *3135:20 *3421:10 0 +13 la_data_in_core[47] *22774:A 4.41256e-05 +14 la_oenb_core[46] *22774:A 0 +15 *4404:DIODE *22774:A 2.36854e-05 +16 *1920:30 *3135:17 0.000244161 +17 *1925:40 *3135:17 0.00012309 +18 *2021:34 *3135:17 0.0016821 +19 *2046:19 *3135:17 4.35506e-05 +20 *2063:29 *3135:17 0.000225467 +21 *2133:28 *3135:17 0.0032078 +22 *2133:30 *3135:17 0.000866418 +23 *2434:11 *3135:17 0.00229087 +24 *2458:31 *3135:17 0.00116888 +25 *2608:18 *3135:17 0.000500697 +26 *2829:6 *3135:17 4.09154e-05 +27 *2880:43 *3135:17 2.56868e-05 +28 *2929:9 *3135:17 0.0015305 +29 *2929:21 *3135:17 0.00386118 +30 *2983:74 *3135:20 6.27031e-05 +31 *2985:45 *22774:A 6.36477e-05 +32 *2990:34 *3135:20 0 +33 *3123:61 *3135:17 0.00143933 +34 *3130:34 *3135:17 8.62244e-05 +35 *3132:9 *3135:17 0.01132 +*RES +1 *22313:Z *3135:17 48.9069 +2 *3135:17 *3135:20 41.1201 +3 *3135:20 *5292:DIODE 13.7491 +4 *3135:20 *22774:A 19.2113 +*END + +*D_NET *3136 0.0721308 +*CONN +*I *22775:A I *D sky130_fd_sc_hd__buf_2 +*I *5293:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22314:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22775:A 0.000215804 +2 *5293:DIODE 0 +3 *22314:Z 0.000728904 +4 *3136:24 0.00274201 +5 *3136:23 0.00827285 +6 *3136:8 0.00647555 +7 *22775:A *3137:19 5.88052e-06 +8 *22775:A *3173:19 0 +9 *22775:A *3184:6 1.6538e-05 +10 *3136:8 *3402:6 0 +11 *3136:23 *3141:15 0.0140808 +12 *3136:23 *3141:35 0.00714325 +13 *3136:23 *3149:29 0.00148488 +14 *3136:24 *3137:19 4.10911e-05 +15 *3136:24 *3184:6 3.48818e-05 +16 *3136:24 *3420:8 0 +17 *3136:24 *3421:10 0 +18 *3136:24 *3425:6 0.00229683 +19 la_data_in_core[48] *22775:A 1.06977e-05 +20 la_oenb_core[47] *22775:A 0 +21 *4405:DIODE *22775:A 0.000133612 +22 *1413:37 *3136:24 0.000157519 +23 *1819:9 *3136:24 0 +24 *1941:41 *3136:24 0.000111894 +25 *2031:27 *3136:23 0.000471827 +26 *2053:19 *3136:24 0 +27 *2056:28 *3136:23 5.69065e-05 +28 *2056:28 *3136:24 0.000632391 +29 *2175:24 *3136:23 1.9577e-05 +30 *2331:12 *3136:23 0.0256144 +31 *2472:25 *3136:24 6.99585e-05 +32 *2583:20 *3136:8 0.000243507 +33 *2871:38 *3136:8 0 +34 *2982:32 *3136:24 1.5714e-05 +35 *3100:19 *3136:23 0.00105355 +*RES +1 *22314:Z *3136:8 31.6151 +2 *3136:8 *3136:23 41.2281 +3 *3136:23 *3136:24 63.4969 +4 *3136:24 *5293:DIODE 13.7491 +5 *3136:24 *22775:A 18.4879 +*END + +*D_NET *3137 0.0281225 +*CONN +*I *5294:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22776:A I *D sky130_fd_sc_hd__buf_2 +*I *22315:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5294:DIODE 6.19783e-06 +2 *22776:A 0.000214447 +3 *22315:Z 0.00119852 +4 *3137:19 0.000687948 +5 *3137:13 0.0014905 +6 *3137:11 0.00104623 +7 *3137:9 0.00166005 +8 *3137:7 0.00283554 +9 *22776:A *23031:A 0 +10 *22776:A *3195:14 0 +11 *3137:13 *3166:5 0.000474449 +12 *3137:13 *3422:5 0.000786087 +13 *3137:19 *23030:A 4.41867e-05 +14 *3137:19 *3420:8 0.000113142 +15 la_data_in_core[49] *22776:A 4.99151e-05 +16 *4406:DIODE *22776:A 0 +17 *4576:DIODE *3137:7 0.00030153 +18 *4626:DIODE *3137:13 0.000220665 +19 *6394:DIODE *3137:13 7.48797e-05 +20 *22340:TE *3137:13 6.49003e-05 +21 *22775:A *3137:19 5.88052e-06 +22 *23544:TE *3137:13 5.0715e-05 +23 *1279:11 *3137:7 6.92705e-05 +24 *1304:11 *3137:13 0.0007828 +25 *2389:17 *3137:7 0.00144799 +26 *2389:17 *3137:9 0.0058889 +27 *2449:9 *3137:9 3.07726e-05 +28 *2449:9 *3137:13 0.00630597 +29 *2453:21 *3137:7 0.000118134 +30 *2453:21 *3137:9 0.000108607 +31 *2456:21 *3137:13 0.00010238 +32 *2472:25 *3137:19 0.000195459 +33 *2980:21 *5294:DIODE 6.50727e-05 +34 *2980:21 *3137:19 0.000791476 +35 *2988:69 *5294:DIODE 5.31074e-05 +36 *2988:69 *3137:19 0.000795688 +37 *3136:24 *3137:19 4.10911e-05 +*RES +1 *22315:Z *3137:7 49.0962 +2 *3137:7 *3137:9 64.2255 +3 *3137:9 *3137:11 0.578717 +4 *3137:11 *3137:13 67.8304 +5 *3137:13 *3137:19 26.7944 +6 *3137:19 *22776:A 22.1574 +7 *3137:19 *5294:DIODE 9.97254 +*END + +*D_NET *3138 0.0508546 +*CONN +*I *5295:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22777:A I *D sky130_fd_sc_hd__buf_2 +*I *22316:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5295:DIODE 0 +2 *22777:A 0.000492385 +3 *22316:Z 2.06324e-05 +4 *3138:50 0.000492385 +5 *3138:48 0.00178373 +6 *3138:47 0.00479787 +7 *3138:41 0.00490405 +8 *3138:31 0.00240127 +9 *3138:26 0.00311347 +10 *3138:21 0.00321998 +11 *3138:17 0.00175772 +12 *3138:9 0.00313417 +13 *3138:5 0.00201495 +14 *22777:A *23022:A 1.06977e-05 +15 *3138:17 *3188:7 0.000568041 +16 *3138:21 *3188:7 0.000838223 +17 *3138:26 *3188:7 0.000193234 +18 *3138:26 *3188:20 1.43848e-05 +19 *3138:31 *3188:20 0.00108774 +20 *3138:41 *3188:20 7.02172e-06 +21 *3138:47 *3152:11 0.000486797 +22 *3138:47 *3378:16 0.00184857 +23 *3138:48 *23033:A 0.000134394 +24 *3138:48 *3206:6 0.000508493 +25 *3138:48 *3423:12 0.00223824 +26 la_data_in_core[4] *22777:A 1.45067e-05 +27 *4407:DIODE *22777:A 4.69712e-05 +28 *4506:DIODE *3138:21 9.40969e-05 +29 *4507:DIODE *3138:17 4.81015e-05 +30 *4666:DIODE *3138:17 0.000164843 +31 *21449:A *3138:31 0.000164829 +32 *21575:A *3138:47 5.84021e-05 +33 *22280:A *3138:21 0.000423908 +34 *22280:TE *3138:17 1.09551e-05 +35 *22295:TE *3138:47 1.05746e-05 +36 *22316:TE *3138:9 6.08167e-05 +37 *22360:A *3138:17 0.000110701 +38 *22360:TE *3138:17 9.40769e-05 +39 *332:7 *22777:A 7.09666e-06 +40 *1136:14 *3138:47 0 +41 *1257:8 *3138:47 0.000164354 +42 *1269:15 *3138:9 0.000129144 +43 *1324:11 *3138:17 0.000691642 +44 *1373:14 *3138:26 1.41291e-05 +45 *1379:14 *3138:47 0 +46 *1430:24 *3138:47 8.7143e-05 +47 *1452:40 *3138:47 0 +48 *1756:15 *3138:17 2.41483e-05 +49 *1756:15 *3138:21 0.00064924 +50 *1792:11 *3138:9 0.000129171 +51 *2020:23 *3138:47 0 +52 *2318:75 *3138:41 0.00208048 +53 *2328:43 *3138:17 0.000117719 +54 *2329:58 *3138:41 0.00302697 +55 *2343:8 *3138:41 0.000734124 +56 *2370:6 *3138:41 0 +57 *2377:8 *3138:26 3.60327e-05 +58 *2392:6 *3138:41 0.000164752 +59 *2470:61 *3138:47 0.00109583 +60 *2488:34 *22777:A 0 +61 *2818:19 *3138:47 0.000115848 +62 *2829:21 *3138:47 0.00012601 +63 *2834:25 *3138:31 0.00251308 +64 *2842:44 *3138:47 0.000129401 +65 *2845:34 *3138:41 1.19856e-05 +66 *2862:20 *3138:26 2.54723e-05 +67 *2929:24 *3138:47 0 +68 *2985:17 *22777:A 6.08467e-05 +69 *2988:21 *22777:A 0.000373061 +70 *3001:29 *22777:A 2.65831e-05 +71 *3100:16 *3138:26 0.000949062 +*RES +1 *22316:Z *3138:5 9.82786 +2 *3138:5 *3138:9 48.0214 +3 *3138:9 *3138:17 34.3493 +4 *3138:17 *3138:21 26.1146 +5 *3138:21 *3138:26 46.4322 +6 *3138:26 *3138:31 34.0869 +7 *3138:31 *3138:41 42.2747 +8 *3138:41 *3138:47 35.8072 +9 *3138:47 *3138:48 48.5479 +10 *3138:48 *3138:50 4.5 +11 *3138:50 *22777:A 27.7623 +12 *3138:50 *5295:DIODE 9.24915 +*END + +*D_NET *3139 0.0692473 +*CONN +*I *6002:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23348:A I *D sky130_fd_sc_hd__nand2_1 +*I *22211:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6002:DIODE 0 +2 *23348:A 0.000200567 +3 *22211:X 0.0011173 +4 *3139:35 0.00217189 +5 *3139:34 0.00363571 +6 *3139:28 0.00260386 +7 *3139:23 0.00266031 +8 *3139:18 0.00290936 +9 *3139:14 0.00228827 +10 *3139:9 0.011907 +11 *3139:8 0.0119246 +12 *3139:8 *22964:A 0 +13 *3139:8 *23639:B 3.08465e-05 +14 *3139:8 *3348:22 4.50659e-05 +15 *3139:8 *3478:386 3.33045e-05 +16 *3139:14 *3191:8 0 +17 *3139:18 *3191:8 0 +18 la_oenb_core[102] *3139:8 1.44611e-05 +19 *4411:DIODE *3139:8 2.66039e-05 +20 *22553:TE *3139:23 0 +21 *22574:A *3139:23 0 +22 *22708:A *3139:8 0 +23 *23478:TE *3139:23 5.04829e-06 +24 *1137:32 *3139:23 0 +25 *1141:32 *3139:28 0.00015945 +26 *1141:42 *3139:23 0.000205922 +27 *1141:42 *3139:28 0.000684399 +28 *1172:11 *23348:A 6.08467e-05 +29 *1172:11 *3139:35 0.00331638 +30 *1179:40 *3139:18 4.69495e-06 +31 *1180:12 *3139:28 0.00138777 +32 *1198:52 *3139:23 2.1558e-05 +33 *1440:8 *3139:18 0.00028975 +34 *1824:9 *3139:18 0.000739317 +35 *1942:25 *3139:14 0.0004381 +36 *1942:25 *3139:18 8.62625e-06 +37 *1942:29 *3139:18 0.00029171 +38 *1948:97 *3139:18 0 +39 *1973:28 *3139:23 2.93863e-05 +40 *1975:16 *3139:23 2.38934e-06 +41 *1980:52 *3139:34 0.000515311 +42 *2120:25 *3139:28 9.02621e-05 +43 *2250:15 *23348:A 0.000215135 +44 *2272:39 *3139:23 6.01745e-05 +45 *2307:15 *3139:23 0 +46 *2316:33 *3139:18 2.38991e-05 +47 *2316:33 *3139:23 8.08437e-05 +48 *2358:9 *3139:9 0.0153111 +49 *2399:18 *3139:8 9.24241e-05 +50 *2428:8 *3139:8 0.000216146 +51 *2431:12 *3139:8 0 +52 *2440:14 *3139:14 8.3506e-05 +53 *2472:6 *3139:23 0 +54 *2596:15 *3139:9 0.00101414 +55 *2628:42 *3139:34 0 +56 *2791:6 *23348:A 0.000218718 +57 *2909:18 *3139:34 0.000161404 +58 *2909:22 *3139:23 0.00190847 +59 *2909:40 *3139:23 3.83492e-06 +60 *2921:32 *3139:28 0 +61 *2978:41 *3139:8 3.74433e-05 +62 *3063:8 *3139:8 0 +63 *3064:8 *3139:8 0 +*RES +1 *22211:X *3139:8 43.7505 +2 *3139:8 *3139:9 191.507 +3 *3139:9 *3139:14 29.4029 +4 *3139:14 *3139:18 31.2416 +5 *3139:18 *3139:23 46.8541 +6 *3139:23 *3139:28 37.8234 +7 *3139:28 *3139:34 35.9551 +8 *3139:34 *3139:35 35.6634 +9 *3139:35 *23348:A 23.7113 +10 *3139:35 *6002:DIODE 9.24915 +*END + +*D_NET *3140 0.0571762 +*CONN +*I *23411:A I *D sky130_fd_sc_hd__nand2_1 +*I *6128:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22212:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23411:A 0.000122389 +2 *6128:DIODE 0 +3 *22212:X 0.00604762 +4 *3140:27 0.00335188 +5 *3140:26 0.0033733 +6 *3140:21 0.00185585 +7 *3140:20 0.00253374 +8 *3140:15 0.00686933 +9 *3140:15 *23027:A 6.47701e-05 +10 *3140:15 *3262:27 0.00010238 +11 *3140:15 *3339:34 0.00226851 +12 *3140:15 *3362:32 0.00104996 +13 *3140:20 *3461:53 9.01321e-05 +14 *3140:21 *6178:DIODE 6.08467e-05 +15 *3140:21 *3173:31 8.17142e-05 +16 *3140:21 *3406:65 0.00481054 +17 *3140:21 *3417:43 0.000120873 +18 *3140:26 *23435:A 0 +19 *3140:26 *3299:6 0 +20 *3140:27 *3195:20 0.000117719 +21 la_oenb_core[44] *3140:15 6.56365e-05 +22 *5922:DIODE *3140:21 6.08467e-05 +23 *6129:DIODE *23411:A 0.0002817 +24 *22772:A *3140:15 0 +25 *1679:11 *3140:26 0 +26 *1682:8 *3140:26 0.000149953 +27 *1687:9 *3140:20 0 +28 *2011:30 *3140:27 0.0099551 +29 *2041:19 *3140:15 0.000177633 +30 *2092:9 *3140:20 0.000934746 +31 *2139:13 *23411:A 1.00981e-05 +32 *2139:13 *3140:27 0.00198914 +33 *2140:8 *23411:A 0.000540793 +34 *2140:8 *3140:27 0.000170214 +35 *2228:29 *3140:15 0.0021144 +36 *2229:21 *3140:15 0.000202304 +37 *2616:22 *3140:15 0.00748051 +38 *2975:39 *3140:15 5.93953e-05 +39 *2980:20 *3140:15 0 +40 *2981:31 *3140:15 6.21462e-05 +41 *3132:28 *3140:15 0 +*RES +1 *22212:X *3140:15 47.3571 +2 *3140:15 *3140:20 27.349 +3 *3140:20 *3140:21 53.9653 +4 *3140:21 *3140:26 12.493 +5 *3140:26 *3140:27 114.417 +6 *3140:27 *6128:DIODE 9.24915 +7 *3140:27 *23411:A 15.9526 +*END + +*D_NET *3141 0.0672154 +*CONN +*I *22778:A I *D sky130_fd_sc_hd__buf_2 +*I *5296:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22317:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22778:A 0.000232944 +2 *5296:DIODE 0 +3 *22317:Z 0.0051009 +4 *3141:36 0.00368745 +5 *3141:35 0.00721145 +6 *3141:15 0.00885785 +7 *22778:A *3195:14 0 +8 *22778:A *3217:8 0 +9 *3141:35 *3147:25 0.000362141 +10 *3141:35 *3149:29 0 +11 *3141:35 *3317:23 0.00022447 +12 *3141:36 *23032:A 0 +13 *3141:36 *3143:45 0 +14 *3141:36 *3217:8 0 +15 *3141:36 *3422:8 0 +16 la_data_in_core[50] *22778:A 2.08128e-05 +17 la_oenb_core[49] *22778:A 0 +18 *4408:DIODE *22778:A 5.03817e-05 +19 *4625:DIODE *3141:36 5.11322e-06 +20 *6436:DIODE *3141:35 1.74249e-05 +21 *22343:A *3141:36 0 +22 *1303:10 *3141:36 0 +23 *1902:12 *3141:15 0.00580654 +24 *1935:24 *3141:15 0.00170839 +25 *1935:24 *3141:35 1.58253e-05 +26 *1937:11 *3141:15 0.00010045 +27 *1940:35 *3141:36 5.1805e-05 +28 *2031:27 *3141:35 0.00446244 +29 *2047:33 *3141:35 5.01835e-05 +30 *2052:29 *3141:15 0.000279216 +31 *2089:19 *3141:35 3.38813e-05 +32 *2089:30 *3141:36 0.000751111 +33 *2129:33 *3141:15 0.00037836 +34 *2129:37 *3141:15 3.44075e-05 +35 *2175:24 *3141:15 0.00072404 +36 *2333:14 *3141:35 0.0027708 +37 *2341:36 *3141:36 2.0456e-06 +38 *2343:8 *3141:35 0.00092865 +39 *2458:16 *3141:36 0 +40 *2470:20 *3141:36 0 +41 *2611:21 *3141:35 0.00105276 +42 *2617:20 *3141:35 0.000115533 +43 *2888:20 *3141:15 0.000772437 +44 *2899:27 *3141:35 0.00017686 +45 *2903:53 *3141:36 4.69495e-06 +46 *3136:23 *3141:15 0.0140808 +47 *3136:23 *3141:35 0.00714325 +*RES +1 *22317:Z *3141:15 49.3149 +2 *3141:15 *3141:35 42.8527 +3 *3141:35 *3141:36 66.819 +4 *3141:36 *5296:DIODE 13.7491 +5 *3141:36 *22778:A 18.4879 +*END + +*D_NET *3142 0.0672885 +*CONN +*I *22779:A I *D sky130_fd_sc_hd__buf_2 +*I *5297:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22318:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22779:A 0.000257 +2 *5297:DIODE 0 +3 *22318:Z 0.00456694 +4 *3142:24 0.00190606 +5 *3142:21 0.00437047 +6 *3142:20 0.00417275 +7 *3142:11 0.00601828 +8 *22779:A *23034:A 0 +9 *22779:A *3228:19 0 +10 *3142:11 *3143:17 0.000110252 +11 *3142:11 *3394:30 0 +12 *3142:20 *3412:11 0.000135188 +13 *3142:24 *3228:19 0 +14 *3142:24 *3424:14 0 +15 *3142:24 *3427:23 8.14359e-05 +16 la_data_in_core[51] *22779:A 4.49076e-05 +17 *4409:DIODE *22779:A 1.64908e-05 +18 *1401:14 *3142:20 0.000493827 +19 *1413:9 *3142:11 0.000372569 +20 *1414:36 *3142:20 0.000173692 +21 *1811:10 *3142:20 0 +22 *1934:11 *3142:11 0.017358 +23 *1934:11 *3142:20 0.00253584 +24 *1937:28 *3142:20 0.00267142 +25 *1940:35 *3142:11 0.0146725 +26 *2008:45 *3142:11 0.000505265 +27 *2041:19 *3142:20 0 +28 *2044:19 *3142:20 0.000777162 +29 *2048:29 *3142:24 0 +30 *2339:8 *3142:11 1.76025e-05 +31 *2341:45 *3142:11 0.00063386 +32 *2465:15 *3142:21 0.00017378 +33 *2594:20 *3142:11 1.79239e-05 +34 *2866:46 *3142:11 5.69706e-05 +35 *2876:42 *3142:11 3.55043e-05 +36 *2893:64 *3142:21 0.0045411 +37 *2985:45 *22779:A 6.50586e-05 +38 *3001:87 *22779:A 1.58588e-05 +39 *3106:15 *3142:20 0 +40 *3108:9 *3142:11 0.000367252 +41 *3133:43 *3142:20 0.000123511 +*RES +1 *22318:Z *3142:11 48.3753 +2 *3142:11 *3142:20 43.5163 +3 *3142:20 *3142:21 48.9739 +4 *3142:21 *3142:24 34.5691 +5 *3142:24 *5297:DIODE 13.7491 +6 *3142:24 *22779:A 19.2113 +*END + +*D_NET *3143 0.065883 +*CONN +*I *22780:A I *D sky130_fd_sc_hd__buf_2 +*I *5298:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22319:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22780:A 0.000419482 +2 *5298:DIODE 0 +3 *22319:Z 0.00407949 +4 *3143:46 0.00167829 +5 *3143:45 0.00263748 +6 *3143:35 0.00383552 +7 *3143:17 0.00653634 +8 *22780:A *23035:A 0.000122784 +9 *22780:A *3228:19 0 +10 *22780:A *3239:21 0 +11 *22780:A *3429:10 2.8328e-05 +12 *3143:35 *3273:23 0.00301997 +13 *3143:35 *3405:8 3.31182e-05 +14 *3143:35 *3426:22 1.5714e-05 +15 *3143:45 *3217:8 0.000181791 +16 *3143:45 *3424:14 0.0001328 +17 *3143:46 *3427:23 0 +18 *3143:46 *3429:10 0.000432183 +19 la_data_in_core[52] *22780:A 4.49767e-05 +20 la_oenb_core[51] *22780:A 0 +21 *3789:DIODE *3143:45 2.35857e-05 +22 *4410:DIODE *22780:A 0 +23 *4582:DIODE *3143:17 0 +24 *4885:DIODE *3143:35 9.15252e-05 +25 *1405:9 *3143:45 0.000347131 +26 *1431:8 *3143:45 0 +27 *1904:15 *3143:17 6.74182e-05 +28 *1907:11 *3143:17 0 +29 *1934:11 *3143:17 0.00558531 +30 *1935:24 *3143:17 0.00434843 +31 *1937:28 *3143:17 0.00298246 +32 *1937:28 *3143:35 0.00896682 +33 *1940:35 *3143:35 0.00291886 +34 *2047:33 *3143:35 1.66626e-05 +35 *2048:29 *3143:46 0 +36 *2052:29 *3143:35 4.69495e-06 +37 *2061:19 *3143:17 0 +38 *2079:27 *3143:46 1.91246e-05 +39 *2089:26 *3143:35 2.77564e-05 +40 *2108:24 *3143:35 1.93896e-05 +41 *2339:8 *3143:17 0.00654868 +42 *2339:8 *3143:35 0.00896346 +43 *2341:36 *3143:35 1.15279e-05 +44 *2341:36 *3143:45 2.80141e-05 +45 *2440:15 *3143:45 0.000993125 +46 *2851:8 *3143:17 4.41243e-05 +47 *2893:64 *3143:45 0.000436215 +48 *2899:59 *3143:45 0 +49 *2985:45 *22780:A 6.50586e-05 +50 *3001:87 *22780:A 6.50586e-05 +51 *3141:36 *3143:45 0 +52 *3142:11 *3143:17 0.000110252 +*RES +1 *22319:Z *3143:17 49.5315 +2 *3143:17 *3143:35 48.4636 +3 *3143:35 *3143:45 47.98 +4 *3143:45 *3143:46 25.5014 +5 *3143:46 *5298:DIODE 13.7491 +6 *3143:46 *22780:A 22.5333 +*END + +*D_NET *3144 0.03426 +*CONN +*I *22781:A I *D sky130_fd_sc_hd__buf_2 +*I *5299:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22320:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22781:A 0.000249839 +2 *5299:DIODE 4.18856e-05 +3 *22320:Z 0 +4 *3144:22 0.00189499 +5 *3144:21 0.00197767 +6 *3144:13 0.00165727 +7 *3144:11 0.00138784 +8 *3144:5 0.00238151 +9 *3144:4 0.00227654 +10 *22781:A *23036:A 0 +11 *22781:A *3251:6 0 +12 *3144:21 *3429:10 0.000208221 +13 *3144:22 *3146:19 0.000129105 +14 *3144:22 *3251:6 0 +15 *3144:22 *3426:26 0 +16 *3144:22 *3434:8 0 +17 la_data_in_core[53] *22781:A 4.49912e-05 +18 *4412:DIODE *22781:A 0 +19 *4586:DIODE *3144:5 9.14669e-05 +20 *4855:DIODE *3144:5 5.31074e-05 +21 *4867:DIODE *3144:5 3.93258e-05 +22 *21483:A *3144:5 4.03381e-05 +23 *22454:A_N *3144:5 1.43983e-05 +24 *22460:A_N *3144:5 8.57082e-05 +25 *1290:10 *3144:5 0.00098442 +26 *1423:9 *3144:5 0.000122239 +27 *1423:9 *3144:13 0.00237398 +28 *1436:10 *3144:22 0 +29 *1802:8 *3144:5 6.9815e-05 +30 *1808:8 *3144:5 0.000682205 +31 *1820:15 *3144:13 6.50727e-05 +32 *1920:30 *3144:5 0.00209744 +33 *1925:40 *3144:5 0.00198023 +34 *2076:19 *3144:22 1.91246e-05 +35 *2133:30 *3144:13 0.000160257 +36 *2389:11 *3144:5 0.00052233 +37 *2389:11 *3144:11 0.000672579 +38 *2389:11 *3144:13 0.00173846 +39 *2440:15 *3144:21 0.000261013 +40 *2893:64 *3144:21 0.000623405 +41 *2904:36 *3144:21 0.000511007 +42 *2988:69 *5299:DIODE 6.50727e-05 +43 *2998:114 *22781:A 6.22259e-05 +44 *3123:61 *3144:5 0.00260925 +45 *3131:17 *3144:5 0.00153423 +46 *3132:9 *3144:5 0.00022447 +47 *3133:37 *3144:5 0.00312032 +48 *3133:37 *3144:11 0.00028696 +49 *3133:37 *3144:13 0.000660672 +50 *3135:17 *3144:5 0.000239007 +*RES +1 *22320:Z *3144:4 9.24915 +2 *3144:4 *3144:5 123.845 +3 *3144:5 *3144:11 8.25877 +4 *3144:11 *3144:13 65.8893 +5 *3144:13 *3144:21 30.0458 +6 *3144:21 *3144:22 29.2386 +7 *3144:22 *5299:DIODE 14.4725 +8 *3144:22 *22781:A 18.4879 +*END + +*D_NET *3145 0.0702916 +*CONN +*I *22782:A I *D sky130_fd_sc_hd__buf_2 +*I *5300:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22321:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22782:A 0.000381592 +2 *5300:DIODE 9.34923e-06 +3 *22321:Z 0.00577155 +4 *3145:16 0.00383111 +5 *3145:15 0.00510318 +6 *3145:11 0.00743456 +7 *22782:A *23037:A 0 +8 *22782:A *3251:6 0 +9 *22782:A *3262:20 0 +10 *22782:A *3429:19 0.000104151 +11 *3145:11 *3161:7 0.000127226 +12 *3145:11 *3161:15 0.000107505 +13 *3145:16 *23037:A 0 +14 *3145:16 *3251:6 0 +15 *3145:16 *3427:24 0 +16 la_data_in_core[54] *22782:A 1.25836e-05 +17 la_oenb_core[53] *22782:A 4.69088e-05 +18 *4413:DIODE *22782:A 0 +19 *22470:B *3145:16 0 +20 *22474:B *3145:16 0 +21 *1161:69 *3145:15 0.00034536 +22 *1168:36 *3145:15 0.00293451 +23 *1423:14 *3145:16 8.27573e-05 +24 *1433:9 *3145:16 0.000269791 +25 *1920:7 *3145:11 0 +26 *1935:13 *3145:11 0.000127341 +27 *1939:30 *3145:11 0.00012309 +28 *1952:32 *3145:11 0.00312266 +29 *1952:32 *3145:15 0.00351499 +30 *2033:23 *3145:11 0.000286759 +31 *2044:19 *3145:11 0.000162497 +32 *2074:25 *3145:16 7.86825e-06 +33 *2171:26 *3145:11 0.000267904 +34 *2171:28 *3145:11 0.00022447 +35 *2329:27 *3145:15 0.00337345 +36 *2343:8 *3145:11 0.0189792 +37 *2343:8 *3145:15 0.000122156 +38 *2381:13 *3145:11 0.000244161 +39 *2381:17 *3145:11 0.00012601 +40 *2454:33 *3145:16 3.26015e-05 +41 *2470:20 *3145:15 0.00330653 +42 *2606:29 *3145:11 5.93953e-05 +43 *2619:24 *3145:16 1.6059e-05 +44 *2621:26 *3145:16 0 +45 *2890:22 *3145:11 5.93953e-05 +46 *2907:22 *3145:16 2.09155e-05 +47 *2907:36 *3145:16 0 +48 *2990:43 *5300:DIODE 6.50727e-05 +49 *3002:29 *5300:DIODE 6.50727e-05 +50 *3017:19 *3145:11 0.00942183 +*RES +1 *22321:Z *3145:11 45.8634 +2 *3145:11 *3145:15 12.7122 +3 *3145:15 *3145:16 67.2342 +4 *3145:16 *5300:DIODE 14.4725 +5 *3145:16 *22782:A 21.3947 +*END + +*D_NET *3146 0.0481739 +*CONN +*I *22783:A I *D sky130_fd_sc_hd__buf_2 +*I *5301:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22322:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22783:A 0.0002688 +2 *5301:DIODE 0.000114359 +3 *22322:Z 0.000404925 +4 *3146:20 0.0015219 +5 *3146:19 0.00251604 +6 *3146:11 0.0106405 +7 *3146:10 0.0093224 +8 *3146:5 0.000464113 +9 *22783:A *3273:8 1.7165e-05 +10 *3146:5 *3436:5 0.00285212 +11 *3146:5 *3436:18 0.000598809 +12 *3146:11 *3150:7 0.000806879 +13 *3146:11 *3150:9 0.012896 +14 *3146:11 *3436:18 0.000591935 +15 *3146:19 *3157:5 0.000403832 +16 *3146:19 *3251:6 0 +17 *3146:19 *3426:26 0 +18 *3146:20 *3273:8 0.00037151 +19 *4414:DIODE *22783:A 0.000289912 +20 *4590:DIODE *3146:5 0.000213539 +21 *4598:DIODE *3146:5 0.000142328 +22 *6416:DIODE *3146:19 7.22498e-05 +23 *22326:TE *3146:5 0.00011818 +24 *23555:TE *3146:19 2.16355e-05 +25 *1416:20 *3146:20 0.000115573 +26 *1418:8 *3146:10 0 +27 *2062:19 *3146:19 1.91391e-05 +28 *2388:29 *3146:11 0.000137573 +29 *2438:13 *3146:19 0.000165394 +30 *2458:21 *3146:5 9.68627e-06 +31 *2458:30 *3146:5 0.000386934 +32 *2475:19 *3146:11 0.00017378 +33 *2475:31 *3146:5 0.000115848 +34 *2488:14 *3146:20 3.601e-05 +35 *2488:21 *22783:A 0.000175959 +36 *2488:21 *3146:20 5.22654e-06 +37 *2488:30 *22783:A 0.00019146 +38 *2882:39 *3146:5 0.00129713 +39 *3002:29 *5301:DIODE 0.000324166 +40 *3029:21 *3146:5 0.000241797 +41 *3144:22 *3146:19 0.000129105 +*RES +1 *22322:Z *3146:5 46.5764 +2 *3146:5 *3146:10 10.0015 +3 *3146:10 *3146:11 165.441 +4 *3146:11 *3146:19 37.2641 +5 *3146:19 *3146:20 23.8404 +6 *3146:20 *5301:DIODE 17.2456 +7 *3146:20 *22783:A 22.2985 +*END + +*D_NET *3147 0.0498256 +*CONN +*I *22784:A I *D sky130_fd_sc_hd__buf_2 +*I *5302:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22323:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22784:A 0.000209675 +2 *5302:DIODE 0 +3 *22323:Z 0.000289464 +4 *3147:34 0.00249495 +5 *3147:33 0.00228528 +6 *3147:31 0.000465611 +7 *3147:29 0.000741798 +8 *3147:25 0.00187128 +9 *3147:15 0.00328076 +10 *3147:14 0.00197514 +11 *22784:A *3284:6 0 +12 *3147:14 *3284:30 9.32704e-05 +13 *3147:14 *3397:10 8.43701e-05 +14 *3147:14 *3400:8 9.66809e-05 +15 *3147:25 *3445:7 6.29154e-05 +16 *3147:29 *3445:7 0.00211155 +17 *3147:29 *3445:9 1.37531e-05 +18 *3147:31 *3445:9 0.00456667 +19 *3147:34 *23039:A 3.01936e-05 +20 *3147:34 *3284:6 0 +21 *3147:34 *3440:21 6.79109e-05 +22 la_data_in_core[56] *22784:A 1.06977e-05 +23 la_oenb_core[55] *22784:A 0 +24 *3677:DIODE *3147:15 0.000268824 +25 *4415:DIODE *22784:A 4.78996e-05 +26 *4592:DIODE *3147:15 0.000110297 +27 *4865:DIODE *3147:15 5.16917e-05 +28 *22537:A *3147:25 6.08167e-05 +29 *23565:A *3147:25 0.000234494 +30 *23565:TE *3147:25 4.31703e-05 +31 *1137:61 *3147:31 0.0021553 +32 *1137:65 *3147:29 0.00184262 +33 *1137:65 *3147:31 0.00107345 +34 *1137:71 *3147:25 0.000756252 +35 *1137:71 *3147:29 0.000127174 +36 *1295:8 *3147:15 0.00109976 +37 *1305:20 *3147:25 0.000121377 +38 *1310:11 *3147:34 0.000277371 +39 *1385:26 *3147:15 9.5238e-05 +40 *1387:42 *3147:14 8.43701e-05 +41 *1409:10 *3147:15 4.0752e-05 +42 *1807:10 *3147:15 0.000324293 +43 *1866:10 *22784:A 0 +44 *1924:13 *3147:14 0.000207266 +45 *1924:14 *3147:15 0.00705106 +46 *1939:29 *3147:15 0.000307037 +47 *2281:7 *3147:34 0 +48 *2283:13 *3147:34 0.00102032 +49 *2283:17 *3147:34 0.000644896 +50 *2331:12 *3147:25 0.000244161 +51 *2333:14 *3147:15 0.000113329 +52 *2333:14 *3147:31 0.000362043 +53 *2388:23 *3147:15 0.00124986 +54 *2388:23 *3147:25 1.92172e-05 +55 *2398:8 *3147:25 0.000326398 +56 *2469:14 *3147:34 0.000330362 +57 *2469:18 *3147:34 0.00191684 +58 *2470:31 *3147:25 0.000264545 +59 *2470:35 *3147:15 0.000746203 +60 *2470:35 *3147:25 7.68538e-06 +61 *2470:39 *3147:15 0.00425306 +62 *2470:43 *3147:15 0.000752565 +63 *2985:45 *22784:A 6.50727e-05 +64 *3001:107 *22784:A 1.43983e-05 +65 *3141:35 *3147:25 0.000362141 +*RES +1 *22323:Z *3147:14 33.7156 +2 *3147:14 *3147:15 116.081 +3 *3147:15 *3147:25 47.8165 +4 *3147:25 *3147:29 24.0409 +5 *3147:29 *3147:31 48.9739 +6 *3147:31 *3147:33 4.5 +7 *3147:33 *3147:34 66.1961 +8 *3147:34 *5302:DIODE 13.7491 +9 *3147:34 *22784:A 18.3808 +*END + +*D_NET *3148 0.0402759 +*CONN +*I *22785:A I *D sky130_fd_sc_hd__buf_2 +*I *5303:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22324:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22785:A 0.00021345 +2 *5303:DIODE 0 +3 *22324:Z 0.000145311 +4 *3148:16 0.00125092 +5 *3148:13 0.00247137 +6 *3148:11 0.00145693 +7 *3148:9 0.00205906 +8 *3148:8 0.00218133 +9 *22785:A *3284:6 0 +10 *22785:A *3295:6 0 +11 *3148:9 *3162:25 0.00113689 +12 *3148:9 *3162:47 0.000334154 +13 *3148:9 *3441:5 0.00143485 +14 *3148:13 *3441:5 0.00120054 +15 *3148:13 *3441:11 4.78069e-06 +16 *3148:13 *3454:5 0.00331188 +17 *3148:16 *23040:A 0 +18 *3148:16 *3295:6 0 +19 *3148:16 *3431:16 0 +20 la_data_in_core[57] *22785:A 4.49076e-05 +21 la_oenb_core[56] *22785:A 0 +22 *4416:DIODE *22785:A 2.04072e-05 +23 *6428:DIODE *3148:9 0.00027329 +24 *21294:A *3148:9 0.000118166 +25 *23561:TE *3148:9 0.000171288 +26 *23573:TE *3148:13 0.000164829 +27 *1288:10 *3148:8 0 +28 *1416:13 *3148:9 0.00230198 +29 *1416:15 *3148:9 0.00232355 +30 *1416:15 *3148:13 0.0069695 +31 *2079:27 *3148:13 0.000291404 +32 *2083:23 *3148:9 0.000369269 +33 *2281:15 *3148:16 0.000145288 +34 *2446:34 *3148:8 3.63738e-05 +35 *2452:13 *3148:13 0.000111708 +36 *2452:18 *3148:16 5.50379e-05 +37 *2474:19 *3148:13 0.000165394 +38 *2985:45 *22785:A 6.50586e-05 +39 *3007:58 *22785:A 9.84424e-06 +40 *3095:14 *3148:9 0.0037446 +41 *3122:23 *3148:9 0.00567879 +42 *3122:30 *3148:9 1.37531e-05 +*RES +1 *22324:Z *3148:8 20.9116 +2 *3148:8 *3148:9 144.088 +3 *3148:9 *3148:11 0.578717 +4 *3148:11 *3148:13 88.6281 +5 *3148:13 *3148:16 23.7726 +6 *3148:16 *5303:DIODE 13.7491 +7 *3148:16 *22785:A 18.3808 +*END + +*D_NET *3149 0.0677897 +*CONN +*I *22786:A I *D sky130_fd_sc_hd__buf_2 +*I *5304:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22325:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22786:A 0.000210864 +2 *5304:DIODE 0 +3 *22325:Z 0.00108586 +4 *3149:36 0.00217007 +5 *3149:35 0.00328924 +6 *3149:32 0.00234823 +7 *3149:29 0.00640051 +8 *3149:18 0.00646817 +9 *22786:A *3295:6 0 +10 *22786:A *3306:21 0 +11 *3149:32 *3273:8 0.000362428 +12 *3149:35 *3154:31 0.000421832 +13 *3149:35 *3154:35 2.38934e-06 +14 *3149:35 *3172:5 0.00014496 +15 *3149:36 *23041:A 0 +16 *3149:36 *3306:21 0 +17 *3149:36 *3432:8 0 +18 *3149:36 *3433:20 0 +19 la_data_in_core[58] *22786:A 4.49076e-05 +20 *3792:DIODE *3149:18 1.15389e-05 +21 *4417:DIODE *22786:A 1.64908e-05 +22 *4638:DIODE *3149:35 6.21603e-05 +23 *21602:A *3149:18 6.08467e-05 +24 *1310:11 *3149:35 5.85252e-05 +25 *1385:22 *3149:29 0.000999922 +26 *1409:10 *3149:18 0.00067013 +27 *1434:14 *3149:36 0 +28 *1799:6 *3149:18 1.49935e-05 +29 *1918:7 *3149:18 1.8172e-05 +30 *1923:11 *3149:18 2.74004e-05 +31 *1924:7 *3149:18 6.24189e-05 +32 *1939:22 *3149:18 6.45953e-05 +33 *2028:17 *3149:29 0.000114382 +34 *2031:27 *3149:29 0.000235382 +35 *2047:33 *3149:29 5.273e-05 +36 *2056:28 *3149:29 1.68253e-05 +37 *2072:17 *3149:29 0.0107728 +38 *2134:19 *3149:32 9.0584e-05 +39 *2176:30 *3149:18 7.49971e-05 +40 *2280:13 *3149:36 0.000306482 +41 *2281:7 *3149:32 0.000511921 +42 *2331:12 *3149:29 0.00763402 +43 *2333:14 *3149:29 0.00168638 +44 *2343:8 *3149:29 0.0168793 +45 *2349:36 *3149:36 0.000210049 +46 *2442:12 *3149:36 0 +47 *2470:9 *3149:29 0.00186937 +48 *2889:18 *3149:29 0.000424855 +49 *2985:45 *22786:A 6.50586e-05 +50 *2998:130 *22786:A 1.58588e-05 +51 *3111:17 *3149:18 6.3657e-05 +52 *3115:35 *3149:18 0.000263516 +53 *3136:23 *3149:29 0.00148488 +54 *3141:35 *3149:29 0 +*RES +1 *22325:Z *3149:18 46.0114 +2 *3149:18 *3149:29 47.3227 +3 *3149:29 *3149:32 31.0395 +4 *3149:32 *3149:35 25.1891 +5 *3149:35 *3149:36 38.7894 +6 *3149:36 *5304:DIODE 13.7491 +7 *3149:36 *22786:A 18.3808 +*END + +*D_NET *3150 0.0449576 +*CONN +*I *22787:A I *D sky130_fd_sc_hd__buf_2 +*I *5305:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22326:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22787:A 0.000238522 +2 *5305:DIODE 1.92866e-05 +3 *22326:Z 0.000304965 +4 *3150:16 0.00219886 +5 *3150:9 0.00483726 +6 *3150:7 0.00320117 +7 *22787:A *3306:21 0 +8 *22787:A *3317:8 0 +9 *22787:A *3434:17 8.79865e-06 +10 *3150:7 *3436:18 0.000543025 +11 *3150:7 *3436:21 0.00187214 +12 *3150:9 *3153:48 0.000260325 +13 *3150:9 *3436:21 0.000369303 +14 *3150:9 *3436:23 0.0166047 +15 *3150:16 *23042:A 0 +16 *3150:16 *3317:8 0 +17 *3150:16 *3433:20 0 +18 *3150:16 *3434:17 5.71998e-06 +19 *3150:16 *3451:16 0 +20 la_data_in_core[59] *22787:A 4.99151e-05 +21 la_oenb_core[58] *22787:A 0 +22 *4418:DIODE *22787:A 0 +23 *4598:DIODE *3150:7 0.000200536 +24 *2444:16 *3150:16 0 +25 *2458:21 *3150:7 2.39581e-05 +26 *2465:8 *3150:16 0 +27 *2475:19 *3150:9 0.000291404 +28 *2980:21 *5305:DIODE 0.000110306 +29 *2988:69 *5305:DIODE 0.000114594 +30 *3146:11 *3150:7 0.000806879 +31 *3146:11 *3150:9 0.012896 +*RES +1 *22326:Z *3150:7 35.5084 +2 *3150:7 *3150:9 188.734 +3 *3150:9 *3150:16 38.5263 +4 *3150:16 *5305:DIODE 15.0271 +5 *3150:16 *22787:A 18.3902 +*END + +*D_NET *3151 0.0540136 +*CONN +*I *23412:A I *D sky130_fd_sc_hd__nand2_1 +*I *6130:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22213:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23412:A 0 +2 *6130:DIODE 3.66296e-05 +3 *22213:X 3.01273e-05 +4 *3151:60 3.66296e-05 +5 *3151:58 0.00336619 +6 *3151:57 0.00336619 +7 *3151:55 0.00138953 +8 *3151:45 0.00379471 +9 *3151:43 0.00304648 +10 *3151:27 0.00199931 +11 *3151:10 0.00378324 +12 *3151:9 0.00245536 +13 *3151:10 *23028:A 0 +14 *3151:10 *3419:8 0.000419065 +15 *3151:10 *3430:8 0 +16 *3151:27 *3155:13 0.00197622 +17 *3151:27 *3433:19 0.000101365 +18 *3151:45 *3433:19 0.000152056 +19 la_oenb_core[45] *3151:10 1.90305e-05 +20 *3679:DIODE *3151:27 0.000426168 +21 *3680:DIODE *3151:27 0.000414746 +22 *4402:DIODE *3151:10 2.40371e-05 +23 *6131:DIODE *6130:DIODE 6.78549e-05 +24 *6317:DIODE *3151:55 0.00015709 +25 *21493:A *3151:27 8.90486e-05 +26 *21615:A *3151:43 3.41459e-05 +27 *22309:A *3151:58 0 +28 *22772:A *3151:10 0 +29 *22773:A *3151:10 0 +30 *23536:TE *3151:45 0.000217937 +31 *1169:72 *3151:58 0 +32 *1270:11 *3151:55 0.000126194 +33 *1270:15 *3151:55 0.000110306 +34 *1301:8 *3151:27 6.50727e-05 +35 *1304:11 *3151:10 8.00269e-05 +36 *1368:34 *3151:58 0 +37 *1404:24 *3151:45 0.00117472 +38 *1414:36 *3151:10 0 +39 *1810:14 *3151:27 0.000218971 +40 *1816:8 *3151:10 0 +41 *1888:21 *6130:DIODE 0.000277488 +42 *1895:28 *6130:DIODE 7.48633e-05 +43 *1901:18 *3151:45 0.00228259 +44 *1901:22 *3151:45 0.00191913 +45 *1901:28 *3151:45 0.000514243 +46 *1901:28 *3151:55 4.70494e-05 +47 *1928:28 *3151:45 0.00227232 +48 *1941:30 *3151:45 0.00550992 +49 *2011:50 *3151:55 6.08467e-05 +50 *2041:19 *3151:10 0.000256676 +51 *2105:23 *3151:58 0 +52 *2173:45 *3151:58 0 +53 *2366:12 *3151:58 0 +54 *2385:15 *3151:45 0.00362826 +55 *2446:14 *3151:43 2.63468e-05 +56 *2751:6 *3151:58 0 +57 *2751:16 *3151:58 0 +58 *2762:6 *3151:55 0.000290716 +59 *2863:42 *3151:45 0.00177804 +60 *2865:51 *3151:55 0.000943193 +61 *2866:47 *3151:43 0.00128787 +62 *2866:47 *3151:45 0.00211151 +63 *2870:37 *3151:45 0.00020468 +64 *2870:43 *3151:45 2.65667e-05 +65 *2890:33 *3151:45 0.00036437 +66 *2893:38 *3151:27 1.00846e-05 +67 *2893:58 *3151:27 3.14978e-05 +68 *2985:45 *3151:9 6.50727e-05 +69 *2998:89 *3151:9 1.41976e-05 +70 *2998:107 *3151:9 4.14532e-05 +71 *3001:87 *3151:10 4.15661e-05 +72 *3097:33 *3151:58 0 +73 *3098:46 *3151:55 0.000299092 +74 *3116:13 *3151:55 0.000406745 +75 *3131:8 *3151:58 4.87552e-05 +76 *3133:43 *3151:10 0 +*RES +1 *22213:X *3151:9 15.0513 +2 *3151:9 *3151:10 50.0013 +3 *3151:10 *3151:27 48.9406 +4 *3151:27 *3151:43 28.6861 +5 *3151:43 *3151:45 170.155 +6 *3151:45 *3151:55 49.1703 +7 *3151:55 *3151:57 4.5 +8 *3151:57 *3151:58 59.9673 +9 *3151:58 *3151:60 4.5 +10 *3151:60 *6130:DIODE 12.191 +11 *3151:60 *23412:A 9.24915 +*END + +*D_NET *3152 0.043729 +*CONN +*I *22788:A I *D sky130_fd_sc_hd__buf_2 +*I *5306:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22327:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22788:A 0.000255279 +2 *5306:DIODE 0 +3 *22327:Z 1.01864e-05 +4 *3152:12 0.00305744 +5 *3152:11 0.0164389 +6 *3152:8 0.0136469 +7 *22788:A *23033:A 0 +8 *3152:11 *3378:5 0.000176808 +9 *3152:11 *3378:16 0.000501908 +10 *3152:11 *3435:9 0.000448925 +11 *3152:11 *3435:15 0.00010238 +12 *3152:12 *23033:A 0 +13 *3152:12 *3328:6 0 +14 *3152:12 *3423:12 0 +15 la_data_in_core[5] *22788:A 2.53568e-05 +16 *4419:DIODE *22788:A 2.44358e-05 +17 *1250:15 *3152:11 0.000101365 +18 *1370:7 *3152:11 0.000120008 +19 *1370:11 *3152:11 0.000106088 +20 *1370:16 *3152:12 0 +21 *1375:10 *3152:8 2.37478e-05 +22 *1375:11 *3152:11 0.000418697 +23 *1397:9 *3152:11 0.00148065 +24 *1419:9 *3152:11 0.000861643 +25 *2341:45 *3152:11 0.00103275 +26 *2470:61 *3152:11 0 +27 *2843:43 *3152:11 0.000186162 +28 *2985:17 *22788:A 6.50586e-05 +29 *3001:29 *22788:A 2.65667e-05 +30 *3094:5 *3152:11 0.00122074 +31 *3099:11 *3152:11 0.00291028 +32 *3138:47 *3152:11 0.000486797 +*RES +1 *22327:Z *3152:8 17.4965 +2 *3152:8 *3152:11 41.6638 +3 *3152:11 *3152:12 51.8699 +4 *3152:12 *5306:DIODE 13.7491 +5 *3152:12 *22788:A 19.2113 +*END + +*D_NET *3153 0.0518123 +*CONN +*I *22789:A I *D sky130_fd_sc_hd__buf_2 +*I *5307:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22328:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22789:A 0.00041373 +2 *5307:DIODE 0 +3 *22328:Z 0.00125575 +4 *3153:48 0.00265105 +5 *3153:44 0.00333913 +6 *3153:37 0.00299075 +7 *3153:29 0.00277178 +8 *3153:21 0.00142918 +9 *3153:17 0.000968467 +10 *3153:9 0.00308846 +11 *3153:7 0.00392208 +12 *22789:A *3317:8 0 +13 *22789:A *3339:10 0 +14 *3153:7 *3217:25 0.000246195 +15 *3153:9 *3155:5 0.000268954 +16 *3153:9 *3437:7 0.00249643 +17 *3153:9 *3437:9 2.62866e-05 +18 *3153:17 *3437:9 0.00293564 +19 *3153:17 *3437:11 9.95922e-06 +20 *3153:17 *3437:13 1.67988e-05 +21 *3153:21 *3160:10 0.00063406 +22 *3153:21 *3437:13 0.00407442 +23 *3153:29 *3431:13 0.000725189 +24 *3153:29 *3437:13 0.000555924 +25 *3153:29 *3438:35 0.00114387 +26 *3153:37 *3155:30 2.93327e-05 +27 *3153:37 *3438:37 2.77625e-06 +28 *3153:44 *3155:30 0.000228342 +29 *3153:44 *3433:20 0.000769408 +30 *3153:44 *3438:37 0.000343194 +31 *3153:48 *3317:8 0 +32 *3153:48 *3339:10 0 +33 *3153:48 *3436:23 0.000341913 +34 *3153:48 *3440:45 7.39022e-06 +35 *3153:48 *3456:8 1.92489e-05 +36 la_data_in_core[60] *22789:A 4.49076e-05 +37 *4420:DIODE *22789:A 2.08935e-05 +38 *4602:DIODE *3153:7 0.000114584 +39 *6400:DIODE *3153:29 0.00016763 +40 *22330:TE *3153:9 3.8122e-05 +41 *22472:B *3153:37 7.14746e-05 +42 *22474:B *3153:37 0.000118166 +43 *23547:TE *3153:21 0.000110306 +44 *23558:TE *3153:7 0.00011818 +45 *1410:29 *3153:29 0.000261013 +46 *1431:8 *3153:29 0.000137789 +47 *1438:9 *3153:48 2.20663e-05 +48 *1806:11 *3153:9 6.99486e-05 +49 *1818:11 *3153:44 0.00112208 +50 *1822:10 *3153:37 0.000587851 +51 *1866:16 *22789:A 8.5985e-05 +52 *1866:16 *3153:48 1.07248e-05 +53 *2048:29 *3153:29 5.11138e-05 +54 *2442:8 *3153:44 3.40825e-05 +55 *2454:33 *3153:37 0.00105695 +56 *2454:35 *3153:21 0.0001842 +57 *2454:35 *3153:29 0.000879201 +58 *2454:41 *3153:17 9.95922e-06 +59 *2454:41 *3153:21 0.00166832 +60 *2454:47 *3153:9 0.0003425 +61 *2454:47 *3153:17 0.00298874 +62 *2454:47 *3153:21 1.41689e-05 +63 *2454:59 *3153:7 0.00034067 +64 *2454:59 *3153:9 7.02172e-06 +65 *2465:8 *3153:44 0.000944701 +66 *2907:31 *3153:37 4.54787e-05 +67 *2985:45 *22789:A 6.50586e-05 +68 *3116:39 *3153:7 0.0021124 +69 *3150:9 *3153:48 0.000260325 +*RES +1 *22328:Z *3153:7 47.7097 +2 *3153:7 *3153:9 45.6463 +3 *3153:9 *3153:17 43.3313 +4 *3153:17 *3153:21 44.5612 +5 *3153:21 *3153:29 45.8309 +6 *3153:29 *3153:37 42.2221 +7 *3153:37 *3153:44 49.2404 +8 *3153:44 *3153:48 44.169 +9 *3153:48 *5307:DIODE 13.7491 +10 *3153:48 *22789:A 22.1181 +*END + +*D_NET *3154 0.0368837 +*CONN +*I *22790:A I *D sky130_fd_sc_hd__buf_2 +*I *5308:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22329:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22790:A 0.000276041 +2 *5308:DIODE 0 +3 *22329:Z 0.000609953 +4 *3154:36 0.00246033 +5 *3154:35 0.00450089 +6 *3154:31 0.00316264 +7 *3154:28 0.00203304 +8 *3154:19 0.00255656 +9 *3154:18 0.00136955 +10 *3154:16 0.00159581 +11 *3154:7 0.00220576 +12 *22790:A *23045:A 0 +13 *22790:A *3350:6 0 +14 *3154:16 *3415:7 1.00937e-05 +15 *3154:19 *3415:7 6.50727e-05 +16 *3154:28 *3251:6 7.09417e-05 +17 *3154:28 *3426:26 0 +18 *3154:35 *3172:5 0.00334036 +19 *3154:36 *23045:A 0 +20 *3154:36 *3350:6 0 +21 *3154:36 *3436:26 0 +22 *3154:36 *3451:16 1.32841e-05 +23 la_data_in_core[61] *22790:A 4.49076e-05 +24 *3782:DIODE *3154:7 1.92336e-05 +25 *4421:DIODE *22790:A 2.08935e-05 +26 *4604:DIODE *3154:7 0.00022297 +27 *4635:DIODE *3154:31 6.65341e-05 +28 *4868:DIODE *3154:16 0.000324166 +29 *4871:DIODE *3154:16 1.43983e-05 +30 *4876:DIODE *3154:16 6.50727e-05 +31 *6383:DIODE *3154:16 4.73037e-06 +32 *22346:TE *3154:31 7.78069e-05 +33 *22461:A_N *3154:16 0.000114584 +34 *22465:A_N *3154:16 6.08467e-05 +35 *23538:A *3154:16 5.0715e-05 +36 *23538:A *3154:19 6.08467e-05 +37 *23541:A *3154:19 5.07314e-05 +38 *23541:TE *3154:19 0.000171273 +39 *1310:11 *3154:35 8.14875e-05 +40 *1398:11 *3154:7 0.000428682 +41 *1398:11 *3154:16 0.00029936 +42 *1401:14 *3154:16 4.63742e-05 +43 *1401:14 *3154:19 7.68538e-06 +44 *1404:31 *3154:7 0.00075365 +45 *1404:31 *3154:16 0.0024238 +46 *1404:43 *3154:16 5.1493e-06 +47 *1404:43 *3154:19 0.000510349 +48 *1427:9 *3154:19 3.95516e-05 +49 *1820:15 *3154:31 0.000519132 +50 *2044:20 *3154:16 1.70293e-05 +51 *2044:20 *3154:19 0.000418866 +52 *2089:30 *3154:28 0.00248159 +53 *2133:30 *3154:31 0.000110257 +54 *2463:12 *3154:36 0 +55 *2897:27 *3154:16 0.000326398 +56 *2901:33 *3154:19 0.0022773 +57 *2901:33 *3154:28 7.6719e-06 +58 *2985:45 *22790:A 6.50586e-05 +59 *3149:35 *3154:31 0.000421832 +60 *3149:35 *3154:35 2.38934e-06 +*RES +1 *22329:Z *3154:7 31.6262 +2 *3154:7 *3154:16 48.6936 +3 *3154:16 *3154:18 4.5 +4 *3154:18 *3154:19 50.6377 +5 *3154:19 *3154:28 42.0718 +6 *3154:28 *3154:31 24.1614 +7 *3154:31 *3154:35 44.6003 +8 *3154:35 *3154:36 40.0352 +9 *3154:36 *5308:DIODE 13.7491 +10 *3154:36 *22790:A 19.2113 +*END + +*D_NET *3155 0.0412213 +*CONN +*I *22791:A I *D sky130_fd_sc_hd__buf_2 +*I *5309:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22330:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22791:A 0.000268925 +2 *5309:DIODE 0 +3 *22330:Z 0.00115878 +4 *3155:40 0.00211373 +5 *3155:39 0.00251913 +6 *3155:36 0.00224656 +7 *3155:30 0.00204693 +8 *3155:19 0.000969833 +9 *3155:17 0.00109294 +10 *3155:13 0.001298 +11 *3155:5 0.00185897 +12 *22791:A *23046:A 1.25173e-05 +13 *22791:A *3362:11 0 +14 *3155:13 *3433:19 0.000110257 +15 *3155:17 *3160:11 4.17605e-05 +16 *3155:19 *3160:11 0.00512394 +17 *3155:19 *3433:19 0.000118134 +18 *3155:30 *3431:16 0.000107063 +19 *3155:30 *3438:37 0.00145749 +20 *3155:36 *3160:11 0.00208163 +21 *3155:36 *3317:8 0.00035973 +22 *3155:36 *3317:17 2.9854e-05 +23 *3155:39 *3161:23 0.00184627 +24 *3155:40 *23046:A 5.38664e-05 +25 *3155:40 *3159:26 9.24241e-05 +26 *3155:40 *3362:11 0 +27 *3155:40 *3437:28 0.000561198 +28 la_data_in_core[62] *22791:A 4.49076e-05 +29 *3480:DIODE *3155:17 0.000212123 +30 *4423:DIODE *22791:A 2.08935e-05 +31 *1822:10 *3155:30 0.000774107 +32 *1941:42 *3155:19 0.00507449 +33 *2066:17 *3155:30 3.06153e-05 +34 *2449:8 *3155:40 0 +35 *2454:41 *3155:5 6.36999e-05 +36 *2454:47 *3155:5 0.0032924 +37 *2458:10 *3155:30 0.000107063 +38 *2464:11 *3155:36 0.000265259 +39 *2613:20 *3155:13 1.91391e-05 +40 *2893:75 *3155:40 8.08437e-05 +41 *2894:42 *3155:13 0.000761293 +42 *2894:42 *3155:17 0.000121278 +43 *2900:18 *3155:13 0.00018422 +44 *2907:36 *3155:30 0 +45 *2985:45 *22791:A 6.50586e-05 +46 *3117:6 *3155:13 3.11725e-05 +47 *3151:27 *3155:13 0.00197622 +48 *3153:9 *3155:5 0.000268954 +49 *3153:37 *3155:30 2.93327e-05 +50 *3153:44 *3155:30 0.000228342 +*RES +1 *22330:Z *3155:5 46.5764 +2 *3155:5 *3155:13 39.9994 +3 *3155:13 *3155:17 15.9991 +4 *3155:17 *3155:19 55.0746 +5 *3155:19 *3155:30 39.5773 +6 *3155:30 *3155:36 40.3836 +7 *3155:36 *3155:39 24.6345 +8 *3155:39 *3155:40 38.3742 +9 *3155:40 *5309:DIODE 13.7491 +10 *3155:40 *22791:A 19.2113 +*END + +*D_NET *3156 0.0350285 +*CONN +*I *22792:A I *D sky130_fd_sc_hd__buf_2 +*I *5310:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22331:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22792:A 0.000220881 +2 *5310:DIODE 0 +3 *22331:Z 2.3034e-05 +4 *3156:16 0.00135704 +5 *3156:13 0.00421714 +6 *3156:12 0.00445145 +7 *3156:7 0.00401725 +8 *3156:5 0.00266981 +9 *22792:A *3362:11 0 +10 *3156:7 *3273:23 0.000102438 +11 *3156:7 *3426:23 0.00187437 +12 *3156:12 *3295:6 0 +13 *3156:12 *3295:21 0 +14 *3156:12 *3431:16 0 +15 *3156:13 *3157:5 0.00108806 +16 *3156:16 *3362:11 0 +17 la_data_in_core[63] *22792:A 1.48605e-05 +18 *4608:DIODE *3156:7 5.93184e-05 +19 *4873:DIODE *3156:7 1.43983e-05 +20 *1413:23 *3156:7 0.00582748 +21 *1818:8 *3156:12 8.51886e-05 +22 *2126:25 *3156:12 2.69247e-05 +23 *2281:15 *3156:12 7.09666e-06 +24 *2286:8 *3156:7 8.01725e-05 +25 *2288:7 *3156:12 0.000131716 +26 *2317:7 *22792:A 0.000212515 +27 *2317:7 *3156:16 0.00128315 +28 *2341:18 *3156:7 0.00504626 +29 *2449:8 *3156:16 0 +30 *2458:10 *3156:12 0.000174791 +31 *2901:21 *3156:7 0.00021218 +32 *2901:27 *3156:7 0.000409531 +33 *2902:29 *3156:7 0.000404915 +34 *2902:38 *3156:7 0.000886417 +35 *2985:45 *22792:A 6.50586e-05 +36 *3001:134 *22792:A 6.50586e-05 +*RES +1 *22331:Z *3156:5 9.82786 +2 *3156:5 *3156:7 134.937 +3 *3156:7 *3156:12 37.8234 +4 *3156:12 *3156:13 48.4193 +5 *3156:13 *3156:16 31.2471 +6 *3156:16 *5310:DIODE 13.7491 +7 *3156:16 *22792:A 19.2113 +*END + +*D_NET *3157 0.0350372 +*CONN +*I *22793:A I *D sky130_fd_sc_hd__buf_2 +*I *5311:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22332:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22793:A 0.000205902 +2 *5311:DIODE 0 +3 *22332:Z 0 +4 *3157:8 0.00138699 +5 *3157:5 0.0043356 +6 *3157:4 0.00315452 +7 *22793:A *3373:8 0 +8 *3157:5 *3416:7 0.000497309 +9 *3157:5 *3420:7 0.00240322 +10 *3157:8 *3373:8 0 +11 *3488:DIODE *3157:5 0.000222979 +12 *4610:DIODE *3157:5 0.0002817 +13 *6384:DIODE *3157:5 0.000164815 +14 *6391:DIODE *3157:5 0.000169872 +15 *6408:DIODE *3157:5 0.000224395 +16 *6416:DIODE *3157:5 0.000164829 +17 *21292:A *3157:5 0.000122378 +18 *21298:A *3157:5 6.50586e-05 +19 *23551:TE *3157:5 0.000167701 +20 *23555:TE *3157:5 0.000118166 +21 *1402:33 *3157:5 3.83172e-05 +22 *1402:45 *3157:5 0.000423928 +23 *1436:10 *3157:5 3.15893e-05 +24 *2281:15 *3157:5 0.000226703 +25 *2426:26 *3157:5 0.000165394 +26 *2438:25 *3157:5 0.00017378 +27 *2439:13 *3157:5 0.0177104 +28 *2456:20 *3157:8 9.15136e-05 +29 *2467:10 *3157:8 0 +30 *2483:24 *3157:8 9.15101e-05 +31 *2492:21 *3157:8 6.52046e-06 +32 *2498:26 *3157:8 8.14896e-05 +33 *2897:33 *3157:5 0.0005768 +34 *2980:21 *22793:A 6.50586e-05 +35 *2983:88 *22793:A 8.69165e-05 +36 *2983:88 *3157:8 9.12416e-06 +37 *2988:77 *22793:A 6.50586e-05 +38 *3008:58 *22793:A 1.5714e-05 +39 *3146:19 *3157:5 0.000403832 +40 *3156:13 *3157:5 0.00108806 +*RES +1 *22332:Z *3157:4 9.24915 +2 *3157:4 *3157:5 190.952 +3 *3157:5 *3157:8 27.0946 +4 *3157:8 *5311:DIODE 13.7491 +5 *3157:8 *22793:A 18.3808 +*END + +*D_NET *3158 0.0409404 +*CONN +*I *5312:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22794:A I *D sky130_fd_sc_hd__buf_2 +*I *22333:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5312:DIODE 3.27515e-05 +2 *22794:A 0.000214474 +3 *22333:Z 0.00062167 +4 *3158:35 0.00199729 +5 *3158:25 0.00625983 +6 *3158:24 0.00455449 +7 *3158:19 0.00103786 +8 *3158:17 0.0016148 +9 *3158:17 *3159:9 3.51034e-05 +10 *3158:17 *3163:9 0.0010325 +11 *3158:19 *3159:9 0.000222149 +12 *3158:19 *3159:11 0.00289147 +13 *3158:19 *3163:9 3.34025e-05 +14 *3158:19 *3163:11 0.00827214 +15 *3158:25 *3163:11 6.50727e-05 +16 *3158:25 *3163:17 0.000617826 +17 *3158:25 *3163:19 0.00570793 +18 *3158:35 *3384:6 0.000492484 +19 la_data_in_core[65] *22794:A 4.49912e-05 +20 *4612:DIODE *3158:17 2.61147e-05 +21 *4614:DIODE *3158:17 7.22547e-05 +22 *22333:A *3158:17 9.14992e-05 +23 *22337:TE *3158:17 0.000122378 +24 *1298:11 *3158:17 0.000319465 +25 *1414:25 *3158:17 6.1818e-05 +26 *1439:10 *3158:35 0.000575015 +27 *2066:17 *3158:24 1.87469e-05 +28 *2069:29 *3158:35 1.91391e-05 +29 *2327:11 *22794:A 6.09962e-05 +30 *2327:11 *3158:35 0.00036828 +31 *2434:11 *3158:19 0.00017378 +32 *2435:10 *22794:A 7.48482e-05 +33 *2988:77 *5312:DIODE 6.50727e-05 +34 *2988:77 *3158:35 0.000213739 +35 *3130:45 *3158:17 0.00136471 +36 *3134:25 *3158:19 0.00156429 +*RES +1 *22333:Z *3158:17 39.8006 +2 *3158:17 *3158:19 90.0146 +3 *3158:19 *3158:24 10.0015 +4 *3158:24 *3158:25 78.9225 +5 *3158:25 *3158:35 49.0042 +6 *3158:35 *22794:A 22.9879 +7 *3158:35 *5312:DIODE 9.97254 +*END + +*D_NET *3159 0.0342307 +*CONN +*I *22795:A I *D sky130_fd_sc_hd__buf_2 +*I *5313:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22334:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22795:A 0.000201395 +2 *5313:DIODE 0 +3 *22334:Z 7.04624e-05 +4 *3159:36 0.00171846 +5 *3159:32 0.00221866 +6 *3159:26 0.00153654 +7 *3159:20 0.00271945 +8 *3159:11 0.00416435 +9 *3159:9 0.0023503 +10 *22795:A *22796:A 7.94462e-05 +11 *22795:A *3406:7 6.50727e-05 +12 *3159:11 *3163:11 7.68538e-06 +13 *3159:11 *3163:17 0.000632525 +14 *3159:20 *3163:19 0.00015651 +15 *3159:20 *3339:23 2.652e-05 +16 *3159:20 *3452:11 0.000342147 +17 *3159:20 *3456:8 3.00073e-05 +18 *3159:26 *3437:28 9.60216e-05 +19 *3159:32 *3452:13 0.00292939 +20 *3159:32 *3456:9 0.00127369 +21 *3159:36 *22796:A 0.00011036 +22 *3159:36 *3170:12 0.000290093 +23 *3159:36 *3406:8 0 +24 *3159:36 *3417:14 0.000394687 +25 *3159:36 *3451:17 0.000578922 +26 la_oenb_core[66] *22795:A 8.51781e-05 +27 *4614:DIODE *3159:9 0.000226465 +28 *6448:DIODE *3159:20 9.21475e-05 +29 *23571:TE *3159:20 6.53312e-05 +30 *1426:9 *3159:32 0.000100318 +31 *2280:14 *3159:26 0.000490105 +32 *2440:15 *3159:36 0.00057471 +33 *2444:8 *3159:32 0.000103791 +34 *2458:11 *3159:11 0.0053522 +35 *2985:45 *22795:A 2.75715e-05 +36 *3001:134 *22795:A 0.000211478 +37 *3001:146 *22795:A 0.000107496 +38 *3134:25 *3159:11 0.00156008 +39 *3155:40 *3159:26 9.24241e-05 +40 *3158:17 *3159:9 3.51034e-05 +41 *3158:19 *3159:9 0.000222149 +42 *3158:19 *3159:11 0.00289147 +*RES +1 *22334:Z *3159:9 13.3484 +2 *3159:9 *3159:11 104.434 +3 *3159:11 *3159:20 39.449 +4 *3159:20 *3159:26 23.7567 +5 *3159:26 *3159:32 47.181 +6 *3159:32 *3159:36 41.6691 +7 *3159:36 *5313:DIODE 13.7491 +8 *3159:36 *22795:A 20.0662 +*END + +*D_NET *3160 0.0346176 +*CONN +*I *5314:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22796:A I *D sky130_fd_sc_hd__buf_2 +*I *22335:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5314:DIODE 0 +2 *22796:A 0.000350996 +3 *22335:Z 0.000341056 +4 *3160:22 0.00127563 +5 *3160:19 0.00373996 +6 *3160:16 0.00390977 +7 *3160:11 0.00408586 +8 *3160:10 0.00333247 +9 *22796:A *3417:14 0.000106762 +10 *3160:10 *3421:8 0 +11 *3160:11 *3433:19 0.000118134 +12 *3160:16 *3350:6 0.000513186 +13 *3160:19 *3454:9 0.000273126 +14 *3160:19 *3457:7 0.000472454 +15 *3160:22 *3417:14 0.00019376 +16 la_data_in_core[67] *22796:A 1.48503e-05 +17 la_oenb_core[66] *22796:A 1.27402e-05 +18 *3486:DIODE *3160:11 0.000266846 +19 *21501:A *3160:11 0.000319815 +20 *22795:A *22796:A 7.94462e-05 +21 *23547:TE *3160:10 8.51781e-05 +22 *23576:A *3160:19 0.000211478 +23 *23576:TE *3160:19 0.000175485 +24 *1410:29 *3160:10 2.1203e-06 +25 *1434:14 *3160:11 0.00148087 +26 *1941:41 *3160:10 2.95626e-05 +27 *1941:42 *3160:11 0.00101025 +28 *1941:54 *3160:11 0.000794203 +29 *1941:54 *3160:16 2.95757e-05 +30 *2305:8 *3160:11 0.000820771 +31 *2338:11 *3160:22 0.000339443 +32 *2447:14 *3160:16 0.000211767 +33 *2454:35 *3160:10 0.00149872 +34 *2488:8 *3160:16 0 +35 *2985:45 *22796:A 0.000157916 +36 *2988:91 *22796:A 0.000213725 +37 *3001:146 *22796:A 0.000157916 +38 *3153:21 *3160:10 0.00063406 +39 *3155:17 *3160:11 4.17605e-05 +40 *3155:19 *3160:11 0.00512394 +41 *3155:36 *3160:11 0.00208163 +42 *3159:36 *22796:A 0.00011036 +*RES +1 *22335:Z *3160:10 37.5792 +2 *3160:10 *3160:11 111.09 +3 *3160:11 *3160:16 35.3319 +4 *3160:16 *3160:19 48.4825 +5 *3160:19 *3160:22 24.6031 +6 *3160:22 *22796:A 27.1354 +7 *3160:22 *5314:DIODE 9.24915 +*END + +*D_NET *3161 0.0384236 +*CONN +*I *22797:A I *D sky130_fd_sc_hd__buf_2 +*I *5315:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22336:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22797:A 0.000483599 +2 *5315:DIODE 0 +3 *22336:Z 0.000373897 +4 *3161:26 0.00220221 +5 *3161:23 0.00378577 +6 *3161:22 0.00343268 +7 *3161:17 0.00246437 +8 *3161:15 0.00306166 +9 *3161:7 0.00233671 +10 *22797:A *23052:A 1.5047e-05 +11 *22797:A *3428:8 5.0682e-05 +12 *22797:A *3444:8 1.20415e-05 +13 *3161:23 *3168:27 0.000213739 +14 *3161:23 *3172:5 0.00299703 +15 *3161:26 *3428:8 0.000504615 +16 *3161:26 *3444:8 0 +17 la_data_in_core[68] *22797:A 4.63742e-05 +18 *3490:DIODE *3161:23 0.000267404 +19 *3684:DIODE *3161:17 0.000319829 +20 *4428:DIODE *22797:A 0 +21 *4618:DIODE *3161:7 0.000275256 +22 *5032:DIODE *3161:17 0.000114584 +23 *21498:A *3161:15 2.29454e-05 +24 *22520:A *3161:15 0.000415258 +25 *1161:65 *3161:17 0.00378216 +26 *1161:69 *3161:15 0.000275948 +27 *1161:69 *3161:17 0.00144636 +28 *1168:36 *3161:17 0.000488151 +29 *1307:15 *3161:15 0.000383714 +30 *1307:15 *3161:17 0.000225011 +31 *1427:18 *3161:26 4.25324e-05 +32 *1434:14 *3161:22 0.00034108 +33 *1939:34 *3161:15 9.91895e-05 +34 *1939:34 *3161:17 0.0012277 +35 *1939:38 *3161:17 0.000926229 +36 *2280:13 *3161:22 0 +37 *2360:33 *3161:26 0.000289137 +38 *2381:9 *3161:15 0.000636092 +39 *2381:13 *3161:7 0.0012914 +40 *2381:13 *3161:15 0.000919608 +41 *2442:8 *3161:22 0.000421528 +42 *2504:30 *3161:26 1.49935e-05 +43 *2989:62 *22797:A 5.47629e-05 +44 *3002:39 *22797:A 8.12701e-05 +45 *3145:11 *3161:7 0.000127226 +46 *3145:11 *3161:15 0.000107505 +47 *3155:39 *3161:23 0.00184627 +*RES +1 *22336:Z *3161:7 23.5844 +2 *3161:7 *3161:15 41.1492 +3 *3161:15 *3161:17 76.1495 +4 *3161:17 *3161:22 39.4844 +5 *3161:22 *3161:23 78.3679 +6 *3161:23 *3161:26 39.9674 +7 *3161:26 *5315:DIODE 13.7491 +8 *3161:26 *22797:A 24.1793 +*END + +*D_NET *3162 0.0585428 +*CONN +*I *6132:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23413:A I *D sky130_fd_sc_hd__nand2_1 +*I *22214:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6132:DIODE 2.28476e-05 +2 *23413:A 1.69156e-05 +3 *22214:X 0.000808669 +4 *3162:54 3.97631e-05 +5 *3162:52 0.00573207 +6 *3162:51 0.00573207 +7 *3162:49 0.00437114 +8 *3162:47 0.00620286 +9 *3162:25 0.00769706 +10 *3162:24 0.00586534 +11 *3162:22 0.00179977 +12 *3162:16 0.00260844 +13 *3162:16 *23026:A 1.6917e-05 +14 *3162:16 *3412:14 2.77724e-05 +15 *3162:22 *3413:34 0.000957758 +16 *3162:25 *3441:5 0.000579032 +17 *3162:52 *3383:28 0.000536877 +18 la_oenb_core[44] *3162:16 0.000175485 +19 la_oenb_core[45] *3162:16 0.000175485 +20 la_oenb_core[46] *3162:16 0.000108639 +21 *4401:DIODE *3162:16 0.000169041 +22 *4402:DIODE *3162:16 0.000118166 +23 *4403:DIODE *3162:16 0.000169728 +24 *6133:DIODE *23413:A 0.000175485 +25 *22443:A_N *3162:47 0.000116764 +26 *22443:A_N *3162:49 0.000151319 +27 *22771:A *3162:16 5.11322e-06 +28 *22774:A *3162:16 0 +29 *23561:TE *3162:25 7.97944e-05 +30 *1658:5 *6132:DIODE 6.75138e-05 +31 *1658:5 *23413:A 2.41483e-05 +32 *1906:18 *3162:52 2.19138e-05 +33 *2032:24 *3162:52 0.000480446 +34 *2083:23 *3162:25 0.000224455 +35 *2105:16 *3162:52 7.02939e-05 +36 *2129:16 *3162:52 2.88776e-05 +37 *2140:8 *6132:DIODE 0.000167076 +38 *2140:8 *23413:A 0.000224381 +39 *2155:47 *3162:52 0.000369603 +40 *2185:13 *3162:52 0.00101787 +41 *2188:29 *3162:52 0.000707046 +42 *2440:26 *3162:47 0.000154778 +43 *2591:16 *3162:52 0.00050824 +44 *2807:8 *3162:52 0.000160954 +45 *2851:20 *3162:52 0.000100741 +46 *2869:22 *3162:52 0 +47 *2869:28 *3162:52 0.000518171 +48 *2869:50 *3162:52 7.54166e-05 +49 *2870:34 *3162:52 0.000295319 +50 *2940:17 *3162:49 0.00103729 +51 *2980:21 *3162:16 0.00172435 +52 *2983:63 *3162:16 0.000213725 +53 *2988:61 *3162:22 0.00155584 +54 *2988:67 *3162:16 5.51483e-06 +55 *3095:14 *3162:25 0.00162425 +56 *3099:12 *3162:52 0 +57 *3106:8 *3162:22 0 +58 *3122:19 *3162:47 0.000301485 +59 *3122:19 *3162:49 0.000904574 +60 *3122:23 *3162:47 2.72092e-05 +61 *3128:11 *3162:16 0 +62 *3148:9 *3162:25 0.00113689 +63 *3148:9 *3162:47 0.000334154 +*RES +1 *22214:X *3162:16 49.6501 +2 *3162:16 *3162:22 45.91 +3 *3162:22 *3162:24 4.5 +4 *3162:24 *3162:25 97.2244 +5 *3162:25 *3162:47 33.1557 +6 *3162:47 *3162:49 70.6034 +7 *3162:49 *3162:51 4.5 +8 *3162:51 *3162:52 135.128 +9 *3162:52 *3162:54 4.5 +10 *3162:54 *23413:A 11.6364 +11 *3162:54 *6132:DIODE 11.0817 +*END + +*D_NET *3163 0.0426559 +*CONN +*I *22798:A I *D sky130_fd_sc_hd__buf_2 +*I *5316:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22337:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22798:A 0.000485065 +2 *5316:DIODE 0 +3 *22337:Z 0.000734445 +4 *3163:28 0.00209695 +5 *3163:27 0.00285692 +6 *3163:19 0.00249997 +7 *3163:17 0.00158592 +8 *3163:11 0.00310301 +9 *3163:9 0.00350648 +10 *22798:A *23053:A 0 +11 *22798:A *3439:8 0 +12 *3163:19 *3452:11 0.00135125 +13 *3163:19 *3456:9 0.00466468 +14 *3163:27 *3165:16 0.000144993 +15 *3163:28 *3439:8 0 +16 *3163:28 *3445:16 0 +17 la_data_in_core[69] *22798:A 6.80097e-05 +18 *3789:DIODE *3163:11 0.000266846 +19 *4430:DIODE *22798:A 5.77371e-05 +20 *23571:TE *3163:19 6.94804e-05 +21 *23661:A *22798:A 5.88009e-05 +22 *1866:38 *22798:A 4.00504e-05 +23 *2280:24 *3163:27 0.00182927 +24 *2349:17 *3163:28 1.4026e-05 +25 *2382:27 *3163:28 1.9101e-05 +26 *2434:11 *3163:11 0.000156964 +27 *2439:12 *3163:28 8.19134e-05 +28 *2908:46 *3163:27 0.000144993 +29 *2985:45 *22798:A 0.000110297 +30 *2998:142 *22798:A 7.44425e-06 +31 *3001:146 *22798:A 5.51709e-05 +32 *3135:17 *3163:11 0.000120517 +33 *3158:17 *3163:9 0.0010325 +34 *3158:19 *3163:9 3.34025e-05 +35 *3158:19 *3163:11 0.00827214 +36 *3158:25 *3163:11 6.50727e-05 +37 *3158:25 *3163:17 0.000617826 +38 *3158:25 *3163:19 0.00570793 +39 *3159:11 *3163:11 7.68538e-06 +40 *3159:11 *3163:17 0.000632525 +41 *3159:20 *3163:19 0.00015651 +*RES +1 *22337:Z *3163:9 22.7767 +2 *3163:9 *3163:11 89.46 +3 *3163:11 *3163:17 17.4097 +4 *3163:17 *3163:19 77.8133 +5 *3163:19 *3163:27 36.7123 +6 *3163:27 *3163:28 30.0691 +7 *3163:28 *5316:DIODE 13.7491 +8 *3163:28 *22798:A 23.9917 +*END + +*D_NET *3164 0.0439794 +*CONN +*I *22799:A I *D sky130_fd_sc_hd__buf_2 +*I *5317:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22338:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22799:A 0.000465108 +2 *5317:DIODE 4.21492e-05 +3 *22338:Z 0.000847451 +4 *3164:16 0.00247623 +5 *3164:13 0.00505437 +6 *3164:11 0.00310576 +7 *3164:9 0.00389984 +8 *3164:8 0.00472692 +9 *22799:A *3435:16 0 +10 *22799:A *3450:6 0 +11 *3164:16 *3435:16 0 +12 *3164:16 *3450:6 0 +13 la_data_in_core[6] *22799:A 6.9787e-05 +14 la_oenb_core[5] *22799:A 0 +15 *4431:DIODE *22799:A 0 +16 *22255:TE *3164:8 0 +17 *1219:6 *3164:8 0 +18 *1374:8 *3164:16 6.4802e-05 +19 *1731:6 *3164:8 0 +20 *2380:14 *3164:16 2.96167e-05 +21 *2380:15 *3164:9 0.00091652 +22 *2397:52 *3164:8 0 +23 *2457:41 *3164:9 0.000145548 +24 *2464:25 *3164:9 0.000404439 +25 *2469:24 *3164:16 0 +26 *2836:44 *3164:16 5.92342e-05 +27 *2838:48 *3164:13 0.000946311 +28 *2838:54 *3164:13 1.00846e-05 +29 *2862:17 *3164:9 0.000667386 +30 *3002:17 *5317:DIODE 0.00011818 +31 *3071:8 *3164:8 0 +32 *3126:9 *3164:9 0.0138257 +33 *3126:17 *3164:9 0.00338506 +34 *3126:17 *3164:11 4.31603e-06 +35 *3126:17 *3164:13 4.09471e-05 +36 *3126:21 *3164:13 0.00267366 +*RES +1 *22338:Z *3164:8 37.5217 +2 *3164:8 *3164:9 182.079 +3 *3164:9 *3164:11 0.578717 +4 *3164:11 *3164:13 53.9653 +5 *3164:13 *3164:16 41.6284 +6 *3164:16 *5317:DIODE 15.0271 +7 *3164:16 *22799:A 22.2985 +*END + +*D_NET *3165 0.0338784 +*CONN +*I *5318:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22800:A I *D sky130_fd_sc_hd__buf_2 +*I *22339:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5318:DIODE 0 +2 *22800:A 0.000481891 +3 *22339:Z 3.93497e-05 +4 *3165:22 0.00181755 +5 *3165:19 0.0017866 +6 *3165:16 0.00143341 +7 *3165:11 0.00173903 +8 *3165:9 0.000777864 +9 *3165:7 0.00395128 +10 *3165:5 0.00396933 +11 *22800:A *23054:A 7.45053e-05 +12 *22800:A *3166:23 0 +13 *22800:A *3461:6 2.81002e-05 +14 *22800:A *3478:92 0.000271044 +15 *3165:7 *3169:9 0.00034678 +16 *3165:7 *3169:15 1.30988e-05 +17 *3165:11 *3169:17 0.00241473 +18 *3165:16 *3170:12 0.000187536 +19 *3165:16 *3406:8 6.37435e-05 +20 *3165:16 *3417:14 0.000116792 +21 *3165:22 *3448:14 6.63377e-05 +22 *3165:22 *3461:6 0.000291845 +23 *3687:DIODE *3165:7 1.00981e-05 +24 *4432:DIODE *22800:A 0.000168033 +25 *4624:DIODE *3165:7 6.08167e-05 +26 *4897:DIODE *3165:16 0.000114239 +27 *22565:A *3165:11 0.000267394 +28 *355:8 *22800:A 9.12416e-06 +29 *1306:5 *3165:7 0.00146166 +30 *1821:16 *3165:16 0.000158841 +31 *2118:20 *3165:11 0.000104949 +32 *2126:25 *3165:7 0.00012309 +33 *2280:24 *3165:19 0.00300971 +34 *2341:8 *3165:11 0.00566045 +35 *2423:10 *3165:22 0.000393006 +36 *2447:9 *3165:19 0.000943267 +37 *2908:28 *3165:16 8.15634e-05 +38 *2908:46 *3165:16 0.000257422 +39 *2983:93 *22800:A 0.000266846 +40 *3028:25 *3165:19 0.000772066 +41 *3163:27 *3165:16 0.000144993 +*RES +1 *22339:Z *3165:5 10.2378 +2 *3165:5 *3165:7 63.9482 +3 *3165:7 *3165:9 0.578717 +4 *3165:9 *3165:11 62.2844 +5 *3165:11 *3165:16 34.9166 +6 *3165:16 *3165:19 37.3904 +7 *3165:19 *3165:22 34.1539 +8 *3165:22 *22800:A 30.3021 +9 *3165:22 *5318:DIODE 9.24915 +*END + +*D_NET *3166 0.0324963 +*CONN +*I *22801:A I *D sky130_fd_sc_hd__buf_2 +*I *5319:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22340:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22801:A 7.62714e-06 +2 *5319:DIODE 0.000149958 +3 *22340:Z 0 +4 *3166:23 0.00101123 +5 *3166:9 0.0053345 +6 *3166:7 0.00450389 +7 *3166:5 0.001089 +8 *3166:4 0.00106596 +9 *3166:5 *3422:5 0.00280426 +10 *3166:23 *3439:8 0.000109747 +11 *3166:23 *3478:45 1.59309e-05 +12 *3166:23 *3478:49 0.000167965 +13 *3166:23 *3478:597 0.000196136 +14 la_data_in_core[70] *3166:23 0.000124295 +15 la_data_in_core[71] *5319:DIODE 3.3239e-06 +16 la_data_in_core[71] *3166:23 1.65872e-05 +17 la_oenb_core[69] *3166:23 3.13066e-05 +18 *4432:DIODE *3166:23 1.92172e-05 +19 *4626:DIODE *3166:5 0.000204502 +20 *22800:A *3166:23 0 +21 *355:8 *3166:23 6.92705e-05 +22 *356:8 *5319:DIODE 2.1203e-06 +23 *1304:11 *3166:5 0.000169041 +24 *1866:38 *3166:23 3.03857e-05 +25 *1876:11 *3166:23 0.00050186 +26 *2349:17 *5319:DIODE 3.02981e-05 +27 *2349:17 *22801:A 5.08751e-05 +28 *2349:17 *3166:23 0.000113968 +29 *2449:9 *3166:5 9.82896e-06 +30 *2453:21 *3166:5 0.000149641 +31 *2453:21 *3166:9 0.000110257 +32 *2472:9 *3166:9 0.00690216 +33 *2472:13 *3166:5 0.00398538 +34 *2472:13 *3166:9 0.00233535 +35 *2504:30 *3166:9 0.000211573 +36 *2985:45 *22801:A 6.50727e-05 +37 *2985:45 *3166:23 0.000429306 +38 *3137:13 *3166:5 0.000474449 +*RES +1 *22340:Z *3166:4 9.24915 +2 *3166:4 *3166:5 58.6795 +3 *3166:5 *3166:7 0.578717 +4 *3166:7 *3166:9 132.996 +5 *3166:9 *3166:23 35.4855 +6 *3166:23 *5319:DIODE 20.9116 +7 *3166:23 *22801:A 9.97254 +*END + +*D_NET *3167 0.041631 +*CONN +*I *22802:A I *D sky130_fd_sc_hd__buf_2 +*I *5320:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22341:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22802:A 0.000445533 +2 *5320:DIODE 0 +3 *22341:Z 0 +4 *3167:20 0.00102728 +5 *3167:19 0.00130502 +6 *3167:16 0.00192329 +7 *3167:11 0.00184815 +8 *3167:10 0.000869203 +9 *3167:5 0.0014816 +10 *3167:4 0.00126053 +11 *22802:A *23058:A 5.93901e-05 +12 *22802:A *3171:27 0.000181642 +13 *3167:5 *3431:13 0.00545607 +14 *3167:10 *3437:28 0 +15 *3167:16 *3428:8 0.000583786 +16 *3167:16 *3445:16 0 +17 *3167:19 *3446:11 0.00144947 +18 *3167:19 *3449:5 0.0025367 +19 *3167:19 *3453:24 0.000248046 +20 *3167:20 *23058:A 4.90185e-05 +21 *3167:20 *3451:20 0.000165375 +22 la_oenb_core[72] *22802:A 6.50586e-05 +23 *3483:DIODE *3167:5 0.00027103 +24 *4896:DIODE *3167:11 0.00042177 +25 *6406:DIODE *3167:5 0.000271058 +26 *21499:A *3167:5 0.000471282 +27 *21621:A *22802:A 1.97124e-05 +28 *1189:11 *3167:11 0.00378116 +29 *1413:37 *3167:5 0.000440828 +30 *1435:11 *3167:5 0.00341284 +31 *1823:8 *3167:11 0.000443728 +32 *1876:11 *22802:A 5.04829e-06 +33 *1940:36 *3167:5 0.000899648 +34 *1941:54 *3167:11 0.00160232 +35 *1941:56 *3167:11 0.000334086 +36 *2126:26 *3167:5 0.000318494 +37 *2129:56 *3167:11 0.00010238 +38 *2134:20 *3167:5 0.00532109 +39 *2310:26 *3167:11 0.000171288 +40 *2317:7 *3167:10 0 +41 *2360:17 *22802:A 3.20146e-05 +42 *2360:17 *3167:20 0.000123972 +43 *2443:8 *3167:16 0.00167263 +44 *2445:8 *3167:16 1.9946e-05 +45 *2454:21 *3167:5 0.000209228 +46 *2455:9 *3167:19 3.59302e-05 +47 *2468:26 *3167:16 0.000207759 +48 *2488:8 *3167:10 0 +49 *2899:59 *3167:5 6.50727e-05 +50 *2985:45 *22802:A 2.15184e-05 +*RES +1 *22341:Z *3167:4 9.24915 +2 *3167:4 *3167:5 106.098 +3 *3167:5 *3167:10 12.9083 +4 *3167:10 *3167:11 46.7555 +5 *3167:11 *3167:16 44.8827 +6 *3167:16 *3167:19 39.6088 +7 *3167:19 *3167:20 12.6286 +8 *3167:20 *5320:DIODE 13.7491 +9 *3167:20 *22802:A 23.0293 +*END + +*D_NET *3168 0.0228059 +*CONN +*I *22803:A I *D sky130_fd_sc_hd__buf_2 +*I *5321:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22342:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22803:A 0.000251064 +2 *5321:DIODE 0 +3 *22342:Z 0.000897966 +4 *3168:28 0.00225959 +5 *3168:27 0.00315152 +6 *3168:24 0.00149712 +7 *3168:21 0.00100915 +8 *3168:17 0.00155299 +9 *22803:A *23058:A 0 +10 *22803:A *3171:27 6.50586e-05 +11 *3168:17 *3437:27 0.000984386 +12 *3168:24 *3428:8 0 +13 *3168:27 *3172:5 0.000397008 +14 *3168:27 *3172:11 7.02172e-06 +15 *3168:27 *3174:7 0.00189579 +16 *3168:28 *23058:A 0 +17 *3168:28 *3187:10 0.000162376 +18 *3168:28 *3451:20 0 +19 la_data_in_core[73] *22803:A 1.48605e-05 +20 *3810:DIODE *22803:A 4.44192e-05 +21 *22347:TE *3168:27 4.87439e-05 +22 *22551:A *3168:21 0.000205006 +23 *22551:TE *3168:17 7.28188e-05 +24 *22566:A *3168:17 0.000169733 +25 *1204:17 *3168:17 0.000772746 +26 *1204:17 *3168:21 0.00382135 +27 *1204:22 *3168:17 0.000137921 +28 *1427:18 *3168:24 6.22063e-05 +29 *1941:54 *3168:17 0.000360145 +30 *2305:8 *3168:17 1.19856e-05 +31 *2310:26 *3168:17 7.39022e-06 +32 *2310:26 *3168:21 0.000113213 +33 *2310:28 *3168:21 0.000825489 +34 *2338:11 *3168:24 0 +35 *2371:6 *22803:A 0 +36 *2371:6 *3168:28 0 +37 *2423:11 *3168:27 0.00172805 +38 *2463:12 *3168:17 0 +39 *2985:45 *22803:A 6.50586e-05 +40 *3161:23 *3168:27 0.000213739 +*RES +1 *22342:Z *3168:17 48.5025 +2 *3168:17 *3168:21 45.7095 +3 *3168:21 *3168:24 11.7303 +4 *3168:24 *3168:27 47.3733 +5 *3168:27 *3168:28 38.3742 +6 *3168:28 *5321:DIODE 13.7491 +7 *3168:28 *22803:A 19.2113 +*END + +*D_NET *3169 0.0371152 +*CONN +*I *22804:A I *D sky130_fd_sc_hd__buf_2 +*I *5322:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22343:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22804:A 0.000227468 +2 *5322:DIODE 4.18856e-05 +3 *22343:Z 0.000297868 +4 *3169:32 0.00123661 +5 *3169:30 0.00221402 +6 *3169:27 0.00157229 +7 *3169:23 0.00161166 +8 *3169:17 0.00217488 +9 *3169:15 0.00121585 +10 *3169:9 0.00234378 +11 *3169:7 0.00231455 +12 *3169:30 *3185:6 0 +13 la_data_in_core[74] *22804:A 4.99151e-05 +14 *3494:DIODE *3169:27 0.000260388 +15 *3687:DIODE *3169:9 0.000273166 +16 *3811:DIODE *22804:A 0 +17 *4624:DIODE *3169:9 0.000436825 +18 *4887:DIODE *3169:9 6.50727e-05 +19 *22544:A *3169:27 4.43826e-05 +20 *22565:A *3169:15 1.37189e-05 +21 *22565:A *3169:17 0.000219249 +22 *22565:TE *3169:9 0.000110297 +23 *1306:5 *3169:9 0.00130591 +24 *1306:5 *3169:15 2.94007e-05 +25 *1443:10 *3169:30 0.000493412 +26 *1445:8 *3169:27 0.000956452 +27 *1815:11 *3169:7 0.000118166 +28 *1935:36 *3169:9 0.000727457 +29 *1944:25 *22804:A 5.98742e-05 +30 *1944:25 *3169:30 0.00195458 +31 *1944:25 *3169:32 0.000358143 +32 *2089:26 *3169:7 0.000220733 +33 *2118:20 *3169:23 0.00010238 +34 *2287:8 *3169:9 0.00067297 +35 *2298:8 *3169:17 0.0065123 +36 *2298:8 *3169:23 0.000135002 +37 *2339:8 *3169:17 0.000133887 +38 *2341:8 *3169:17 0.000203527 +39 *2341:8 *3169:23 0.00014472 +40 *2436:6 *22804:A 0 +41 *2436:6 *3169:30 0 +42 *2436:6 *3169:32 0 +43 *2441:7 *3169:23 0.00269815 +44 *2468:10 *3169:30 0.000411039 +45 *2912:43 *3169:27 0.000313481 +46 *2988:93 *5322:DIODE 6.50727e-05 +47 *3165:7 *3169:9 0.00034678 +48 *3165:7 *3169:15 1.30988e-05 +49 *3165:11 *3169:17 0.00241473 +*RES +1 *22343:Z *3169:7 17.4717 +2 *3169:7 *3169:9 63.6709 +3 *3169:9 *3169:15 5.89568 +4 *3169:15 *3169:17 70.8808 +5 *3169:17 *3169:23 38.0627 +6 *3169:23 *3169:27 17.9793 +7 *3169:27 *3169:30 39.3146 +8 *3169:30 *3169:32 20.3107 +9 *3169:32 *5322:DIODE 14.4725 +10 *3169:32 *22804:A 18.4879 +*END + +*D_NET *3170 0.028007 +*CONN +*I *22805:A I *D sky130_fd_sc_hd__buf_2 +*I *5323:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22344:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22805:A 0.000255903 +2 *5323:DIODE 0 +3 *22344:Z 0 +4 *3170:18 0.00148865 +5 *3170:15 0.00381956 +6 *3170:14 0.00258681 +7 *3170:12 0.000977984 +8 *3170:5 0.00224596 +9 *3170:4 0.00126798 +10 *22805:A *3171:27 1.43983e-05 +11 *3170:5 *3448:7 0.00147612 +12 *3170:12 *3406:8 0 +13 *3170:12 *3417:14 0.000962538 +14 *3170:12 *3448:7 0.00206938 +15 *3170:18 *23060:A 0 +16 *3170:18 *3453:24 0 +17 la_data_in_core[75] *22805:A 1.83409e-05 +18 la_oenb_core[74] *22805:A 0 +19 *3812:DIODE *22805:A 4.78996e-05 +20 *22344:A *3170:5 0.000160617 +21 *1427:9 *3170:5 0.00629335 +22 *1427:9 *3170:12 2.34052e-05 +23 *1427:18 *3170:12 0.000854804 +24 *2348:16 *3170:18 0 +25 *2358:6 *3170:18 1.40216e-05 +26 *2358:9 *3170:15 3.86121e-05 +27 *2382:9 *22805:A 0 +28 *2382:27 *3170:15 5.04291e-05 +29 *2393:6 *22805:A 0 +30 *2393:6 *3170:18 0 +31 *2461:24 *3170:15 0.000101365 +32 *2506:11 *3170:15 0.00269619 +33 *2985:45 *22805:A 6.50727e-05 +34 *3159:36 *3170:12 0.000290093 +35 *3165:16 *3170:12 0.000187536 +*RES +1 *22344:Z *3170:4 9.24915 +2 *3170:4 *3170:5 68.9396 +3 *3170:5 *3170:12 47.5294 +4 *3170:12 *3170:14 4.5 +5 *3170:14 *3170:15 67.2758 +6 *3170:15 *3170:18 27.5099 +7 *3170:18 *5323:DIODE 13.7491 +8 *3170:18 *22805:A 19.2113 +*END + +*D_NET *3171 0.0170147 +*CONN +*I *5324:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22806:A I *D sky130_fd_sc_hd__buf_2 +*I *22345:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5324:DIODE 0.000134201 +2 *22806:A 0 +3 *22345:Z 0.000445596 +4 *3171:27 0.00166723 +5 *3171:8 0.00436011 +6 *3171:7 0.00327268 +7 *5324:DIODE *23061:A 0 +8 *3171:8 *23057:A 0 +9 *3171:8 *3449:8 0 +10 *3171:8 *3451:20 0 +11 *3171:8 *3453:20 0 +12 *3171:27 *23058:A 6.50586e-05 +13 la_data_in_core[73] *3171:27 0.000164843 +14 la_data_in_core[74] *3171:27 0.000169041 +15 la_data_in_core[75] *3171:27 6.92705e-05 +16 la_data_in_core[76] *5324:DIODE 4.85378e-05 +17 la_data_in_core[76] *3171:27 1.9472e-05 +18 la_oenb_core[72] *3171:27 0.000217951 +19 la_oenb_core[75] *3171:27 0.000188992 +20 *3809:DIODE *3171:8 8.58125e-05 +21 *3813:DIODE *5324:DIODE 0 +22 *6455:DIODE *3171:8 0 +23 *21621:A *3171:27 5.04829e-06 +24 *21622:A *3171:27 4.03123e-05 +25 *21623:A *3171:27 1.03403e-05 +26 *21624:A *3171:27 1.43983e-05 +27 *22802:A *3171:27 0.000181642 +28 *22803:A *3171:27 6.50586e-05 +29 *22805:A *3171:27 1.43983e-05 +30 *23582:A *3171:8 0 +31 *1445:8 *3171:8 0 +32 *1876:14 *3171:8 6.25785e-05 +33 *2091:17 *3171:7 0.00010238 +34 *2134:36 *3171:7 0.0013767 +35 *2360:17 *3171:8 0.000413775 +36 *2905:54 *3171:7 0.00319471 +37 *2985:45 *5324:DIODE 2.02822e-05 +38 *2985:45 *3171:27 0.00060432 +*RES +1 *22345:Z *3171:7 48.3034 +2 *3171:7 *3171:8 56.6453 +3 *3171:8 *3171:27 37.8003 +4 *3171:27 *22806:A 9.24915 +5 *3171:27 *5324:DIODE 21.1528 +*END + +*D_NET *3172 0.0290338 +*CONN +*I *5325:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22807:A I *D sky130_fd_sc_hd__buf_2 +*I *22346:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5325:DIODE 1.05456e-05 +2 *22807:A 0.000464608 +3 *22346:Z 0 +4 *3172:16 0.0020899 +5 *3172:13 0.00320085 +6 *3172:11 0.00169184 +7 *3172:5 0.00232851 +8 *3172:4 0.00222277 +9 *22807:A *23062:A 0 +10 *22807:A *23662:B 6.06802e-05 +11 *3172:5 *3478:36 0.0049123 +12 *3172:11 *3174:7 1.19721e-05 +13 *3172:13 *3174:7 0.00159521 +14 *3172:13 *3250:15 0.000908347 +15 *3172:16 *3455:8 0 +16 la_data_in_core[77] *22807:A 5.34415e-05 +17 la_oenb_core[76] *22807:A 0 +18 *3490:DIODE *3172:5 0.000267404 +19 *3814:DIODE *22807:A 0 +20 *4638:DIODE *3172:5 0.000250483 +21 *4640:DIODE *3172:13 0.000271058 +22 *22347:TE *3172:5 0.000114594 +23 *1310:11 *3172:5 6.50727e-05 +24 *2359:6 *3172:16 0.00110257 +25 *2404:8 *22807:A 0 +26 *2404:8 *3172:16 0 +27 *2415:14 *22807:A 0 +28 *2423:11 *3172:13 7.5555e-05 +29 *2489:17 *3172:13 0.000123288 +30 *2917:9 *3172:13 0.000326398 +31 *3149:35 *3172:5 0.00014496 +32 *3154:35 *3172:5 0.00334036 +33 *3161:23 *3172:5 0.00299703 +34 *3168:27 *3172:5 0.000397008 +35 *3168:27 *3172:11 7.02172e-06 +*RES +1 *22346:Z *3172:4 9.24915 +2 *3172:4 *3172:5 113.308 +3 *3172:5 *3172:11 2.43543 +4 *3172:11 *3172:13 50.6377 +5 *3172:13 *3172:16 40.5903 +6 *3172:16 *22807:A 22.7503 +7 *3172:16 *5325:DIODE 14.1278 +*END + +*D_NET *3173 0.0652995 +*CONN +*I *23414:A I *D sky130_fd_sc_hd__nand2_1 +*I *6134:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22215:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23414:A 0.000231078 +2 *6134:DIODE 0 +3 *22215:X 0.00747747 +4 *3173:51 0.000726391 +5 *3173:49 0.00131318 +6 *3173:39 0.0019045 +7 *3173:37 0.00180678 +8 *3173:31 0.00181569 +9 *3173:30 0.00121132 +10 *3173:25 0.00157384 +11 *3173:19 0.00893554 +12 *3173:19 *3362:32 0.00250158 +13 *3173:19 *3421:10 0.000213432 +14 *3173:25 *3239:27 0.00144094 +15 *3173:31 *3239:35 0.000364546 +16 *3173:31 *3239:37 0.00540773 +17 *3173:31 *3406:65 0.0053079 +18 *3173:31 *3417:43 0.000110039 +19 *3173:37 *3239:37 0.000393246 +20 *3173:39 *23420:A 6.50586e-05 +21 *3173:39 *3195:21 0.00414028 +22 *3173:39 *3239:37 0.00144414 +23 *3173:39 *3239:43 0.00205228 +24 *3173:49 *5426:DIODE 1.43848e-05 +25 *3173:49 *22909:A 1.87469e-05 +26 *3173:49 *3286:8 0 +27 *3173:51 *3279:8 0.000948566 +28 la_oenb_core[47] *3173:19 4.1752e-05 +29 *4404:DIODE *3173:19 2.13749e-05 +30 *5888:DIODE *3173:39 8.90321e-05 +31 *6135:DIODE *23414:A 0.000164843 +32 *6147:DIODE *3173:39 4.33819e-05 +33 *22774:A *3173:19 0 +34 *22775:A *3173:19 0 +35 *23159:A *3173:49 0.000229517 +36 *23159:A *3173:51 1.41291e-05 +37 *23288:A *3173:49 0.000222149 +38 *23291:B *3173:39 0.000107496 +39 *23292:B *3173:39 0.000125937 +40 *23313:A *3173:25 6.08467e-05 +41 *23315:A *3173:25 0.000116764 +42 *23416:B *3173:39 0.000161234 +43 *1153:44 *3173:25 1.66626e-05 +44 *1539:5 *3173:39 2.57847e-05 +45 *1662:17 *3173:49 0.00218899 +46 *1663:9 *3173:49 0.000122098 +47 *1667:8 *3173:39 2.32625e-05 +48 *2011:7 *3173:25 0 +49 *2011:20 *3173:25 0.000723258 +50 *2050:17 *3173:19 0.00203128 +51 *2053:18 *3173:19 0.00208485 +52 *2136:17 *3173:19 0.00249449 +53 *2140:8 *3173:25 7.6719e-06 +54 *2143:14 *3173:39 1.41689e-05 +55 *2187:23 *3173:37 0.000101182 +56 *2214:19 *3173:30 0 +57 *2218:20 *3173:25 0.000213521 +58 *2221:19 *3173:25 0.000111935 +59 *2222:17 *3173:25 0 +60 *2349:64 *3173:31 0.00111997 +61 *2731:11 *3173:49 0.000102814 +62 *2734:15 *3173:39 6.44819e-05 +63 *2736:17 *3173:49 0 +64 *2758:8 *3173:30 0.000124327 +65 *2760:11 *3173:25 0.000163418 +66 *2903:28 *3173:19 0.000267958 +67 *2975:39 *3173:19 0.000172612 +68 *2981:31 *3173:19 0.000175733 +69 *2990:34 *3173:19 5.01835e-05 +70 *3135:20 *3173:19 0 +71 *3140:21 *3173:31 8.17142e-05 +*RES +1 *22215:X *3173:19 47.4118 +2 *3173:19 *3173:25 48.3168 +3 *3173:25 *3173:30 11.6625 +4 *3173:30 *3173:31 79.1998 +5 *3173:31 *3173:37 18.7842 +6 *3173:37 *3173:39 60.8979 +7 *3173:39 *3173:49 40.4568 +8 *3173:49 *3173:51 14.0339 +9 *3173:51 *6134:DIODE 9.24915 +10 *3173:51 *23414:A 14.8434 +*END + +*D_NET *3174 0.0140912 +*CONN +*I *5326:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22808:A I *D sky130_fd_sc_hd__buf_2 +*I *22347:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5326:DIODE 0 +2 *22808:A 0.000268752 +3 *22347:Z 0 +4 *3174:11 0.00108858 +5 *3174:10 0.00289322 +6 *3174:7 0.00271253 +7 *3174:4 0.000639143 +8 *22808:A *23063:A 0.000262474 +9 *3174:10 *3454:16 0 +10 la_oenb_core[77] *22808:A 6.63269e-05 +11 *4640:DIODE *3174:7 0.000271058 +12 *23662:A *3174:10 0 +13 *2393:6 *3174:10 0 +14 *2404:8 *3174:10 0 +15 *2415:14 *22808:A 0 +16 *2423:11 *3174:7 0.000896029 +17 *2489:17 *3174:7 0.000108607 +18 *2985:45 *22808:A 3.8122e-05 +19 *2988:93 *3174:11 0.00134339 +20 *3168:27 *3174:7 0.00189579 +21 *3172:11 *3174:7 1.19721e-05 +22 *3172:13 *3174:7 0.00159521 +*RES +1 *22347:Z *3174:4 9.24915 +2 *3174:4 *3174:7 47.9279 +3 *3174:7 *3174:10 43.2894 +4 *3174:10 *3174:11 14.5885 +5 *3174:11 *22808:A 24.984 +6 *3174:11 *5326:DIODE 9.24915 +*END + +*D_NET *3175 0.00856752 +*CONN +*I *22809:A I *D sky130_fd_sc_hd__buf_2 +*I *5327:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22348:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22809:A 0.000389648 +2 *5327:DIODE 2.96353e-05 +3 *22348:Z 0 +4 *3175:9 0.000445596 +5 *3175:6 0.00243589 +6 *3175:5 0.00240958 +7 *5327:DIODE *3478:134 0.00021569 +8 *22809:A *5582:DIODE 2.18052e-05 +9 *22809:A *23065:A 0.00026259 +10 *22809:A *3457:14 0 +11 *3175:6 *3462:11 0 +12 *3175:6 *3464:12 0 +13 *3175:9 *3478:134 0.000201759 +14 la_data_in_core[79] *22809:A 5.21758e-06 +15 *3816:DIODE *22809:A 0.000130187 +16 *1446:9 *3175:6 0 +17 *1876:25 *22809:A 7.26859e-05 +18 *2437:8 *22809:A 0 +19 *2437:8 *3175:6 0.000956098 +20 *2451:6 *3175:6 0.000439359 +21 *2915:70 *3175:6 0 +22 *2983:93 *5327:DIODE 0.00021569 +23 *2983:93 *3175:9 0.000217923 +24 *2985:45 *22809:A 0.000118166 +*RES +1 *22348:Z *3175:5 13.7491 +2 *3175:5 *3175:6 55.3995 +3 *3175:6 *3175:9 6.88721 +4 *3175:9 *5327:DIODE 11.6364 +5 *3175:9 *22809:A 27.9055 +*END + +*D_NET *3176 0.061965 +*CONN +*I *22810:A I *D sky130_fd_sc_hd__buf_2 +*I *5328:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22349:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22810:A 0.000232929 +2 *5328:DIODE 4.18856e-05 +3 *22349:Z 0.000541552 +4 *3176:30 0.00333502 +5 *3176:29 0.00339642 +6 *3176:24 0.00209573 +7 *3176:9 0.0113688 +8 *3176:8 0.0101509 +9 *3176:30 *23055:A 0 +10 *3176:30 *3447:12 0 +11 *3176:30 *3450:6 0 +12 *3176:30 *3459:6 0.00107763 +13 la_data_in_core[7] *22810:A 6.9787e-05 +14 la_oenb_core[6] *22810:A 0 +15 *3744:DIODE *3176:9 6.14884e-05 +16 *3817:DIODE *22810:A 0 +17 *22293:TE *3176:24 8.62625e-06 +18 *1258:16 *3176:8 0 +19 *1302:8 *3176:9 0.00160896 +20 *1371:39 *3176:30 0.000167909 +21 *1373:25 *3176:29 0.00353072 +22 *1386:5 *3176:9 0.00813273 +23 *1769:12 *3176:24 5.65463e-05 +24 *2007:17 *3176:24 0.000127708 +25 *2008:53 *3176:30 4.69495e-06 +26 *2331:12 *3176:24 0.00444096 +27 *2370:6 *3176:24 3.4082e-05 +28 *2392:6 *3176:24 5.19951e-05 +29 *2395:6 *3176:8 0.000237382 +30 *2448:8 *22810:A 2.97944e-05 +31 *2448:8 *3176:30 2.50481e-05 +32 *2806:16 *3176:24 5.65463e-05 +33 *2841:30 *3176:30 0.000185458 +34 *2849:36 *3176:9 0.000709799 +35 *2874:38 *3176:8 0 +36 *2962:8 *3176:24 0.000124297 +37 *2988:21 *5328:DIODE 6.50727e-05 +38 *3098:7 *3176:9 0.000622981 +39 *3098:20 *3176:9 0.00262791 +40 *3098:20 *3176:24 1.9101e-05 +41 *3100:19 *3176:24 0.00182518 +42 *3111:5 *3176:29 0.00352229 +43 *3113:17 *3176:24 0.00137703 +*RES +1 *22349:Z *3176:8 32.954 +2 *3176:8 *3176:9 170.432 +3 *3176:9 *3176:24 48.6631 +4 *3176:24 *3176:29 46.8818 +5 *3176:29 *3176:30 65.7808 +6 *3176:30 *5328:DIODE 14.4725 +7 *3176:30 *22810:A 18.4879 +*END + +*D_NET *3177 0.0131167 +*CONN +*I *22811:A I *D sky130_fd_sc_hd__buf_2 +*I *5329:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22350:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22811:A 6.03612e-05 +2 *5329:DIODE 0.000179503 +3 *22350:Z 0 +4 *3177:13 0.00243972 +5 *3177:6 0.00437458 +6 *3177:5 0.00217472 +7 *3177:13 *5588:DIODE 0 +8 *3177:13 *22813:A 9.72282e-05 +9 *3177:13 *22815:A 5.70572e-05 +10 *3177:13 *3179:39 0.000561263 +11 *3177:13 *3179:41 0.000150632 +12 *3177:13 *3181:14 4.27148e-05 +13 la_data_in_core[80] *5329:DIODE 6.3657e-05 +14 la_data_in_core[81] *3177:13 0.000174074 +15 la_data_in_core[82] *3177:13 0.000122378 +16 la_data_in_core[83] *3177:13 0.000122378 +17 la_data_in_core[84] *3177:13 6.50586e-05 +18 la_oenb_core[80] *3177:13 0.000216391 +19 la_oenb_core[83] *3177:13 0 +20 *3819:DIODE *5329:DIODE 0 +21 *23630:A *3177:6 0.000220983 +22 *1877:58 *3177:6 7.51301e-05 +23 *2399:14 *3177:6 0.000123497 +24 *2431:8 *3177:6 0 +25 *2437:8 *5329:DIODE 0 +26 *2440:6 *3177:6 0 +27 *2461:8 *3177:6 0.00144306 +28 *2489:8 *3177:6 0 +29 *2489:8 *3177:13 0 +30 *2490:9 *3177:6 0 +31 *2490:9 *3177:13 0 +32 *2985:45 *5329:DIODE 1.9503e-05 +33 *2985:45 *3177:13 0.000117815 +34 *3004:26 *3177:13 0.000207821 +35 *3007:70 *5329:DIODE 7.13655e-06 +*RES +1 *22350:Z *3177:5 13.7491 +2 *3177:5 *3177:6 52.2851 +3 *3177:6 *3177:13 42.6587 +4 *3177:13 *5329:DIODE 21.4985 +5 *3177:13 *22811:A 10.6477 +*END + +*D_NET *3178 0.013579 +*CONN +*I *5330:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22812:A I *D sky130_fd_sc_hd__buf_2 +*I *22351:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5330:DIODE 1.28414e-05 +2 *22812:A 0.000397931 +3 *22351:Z 0.00132388 +4 *3178:12 0.00219738 +5 *3178:11 0.001894 +6 *3178:7 0.00143128 +7 *22812:A *23067:A 0 +8 *22812:A *23636:B 3.59507e-05 +9 *3178:12 *3460:6 0 +10 *3820:DIODE *22812:A 9.10838e-05 +11 *4648:DIODE *3178:7 0.000277502 +12 *21308:A *3178:7 6.08467e-05 +13 *21308:A *3178:11 5.9852e-05 +14 *1448:10 *3178:12 0.000189392 +15 *1449:13 *3178:11 0.000613409 +16 *1865:14 *22812:A 8.23875e-05 +17 *1865:14 *3178:12 4.02788e-05 +18 *1877:49 *5330:DIODE 6.36477e-05 +19 *1880:8 *3178:12 0 +20 *2134:36 *3178:7 0.00133868 +21 *2134:36 *3178:11 0.000769921 +22 *2352:8 *3178:12 0.00177758 +23 *2414:6 *3178:12 0 +24 *2450:6 *3178:12 0.000654743 +25 *2471:8 *22812:A 3.933e-05 +26 *2471:8 *3178:12 0.000166199 +27 *2983:101 *5330:DIODE 6.08467e-05 +*RES +1 *22351:Z *3178:7 46.6005 +2 *3178:7 *3178:11 12.9878 +3 *3178:11 *3178:12 49.586 +4 *3178:12 *22812:A 22.2856 +5 *3178:12 *5330:DIODE 14.4725 +*END + +*D_NET *3179 0.0218029 +*CONN +*I *5331:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22813:A I *D sky130_fd_sc_hd__buf_2 +*I *22352:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5331:DIODE 0.00023263 +2 *22813:A 6.35234e-05 +3 *22352:Z 0 +4 *3179:41 0.000326406 +5 *3179:39 0.0012756 +6 *3179:9 0.00182795 +7 *3179:8 0.0005826 +8 *3179:6 0.00202865 +9 *3179:5 0.00202865 +10 *5331:DIODE *3464:23 1.09893e-05 +11 *5331:DIODE *3478:162 4.42142e-05 +12 *3179:6 *3190:28 0.00191149 +13 *3179:9 *6572:DIODE 0.000381471 +14 *3179:39 *5334:DIODE 3.05797e-05 +15 *3179:39 *22815:A 3.57324e-05 +16 *3179:39 *23072:A 6.91633e-05 +17 *3179:39 *3466:8 0.000117407 +18 la_data_in_core[83] *3179:39 0.00011818 +19 la_data_in_core[84] *3179:39 0.00011818 +20 la_data_in_core[85] *3179:39 0.00011818 +21 *3821:DIODE *5331:DIODE 8.98169e-05 +22 *3824:DIODE *3179:39 0.000111569 +23 *6510:DIODE *3179:9 0.000622168 +24 *21633:A *22813:A 2.16355e-05 +25 *21633:A *3179:41 5.04829e-06 +26 *21634:A *3179:39 2.65831e-05 +27 *22573:TE *3179:6 3.92776e-05 +28 *371:9 *3179:39 9.78551e-06 +29 *1196:26 *3179:6 0.00046733 +30 *1850:11 *3179:9 6.50586e-05 +31 *1851:11 *5331:DIODE 0 +32 *1862:14 *5331:DIODE 6.08467e-05 +33 *1866:39 *3179:9 0.00138487 +34 *2312:27 *3179:6 6.85778e-05 +35 *2428:5 *3179:9 0.0043247 +36 *2456:6 *3179:39 4.04447e-05 +37 *2482:8 *5331:DIODE 0.000284494 +38 *2482:8 *3179:41 6.50727e-05 +39 *2491:6 *3179:39 0.000178224 +40 *2499:10 *3179:6 0.000196577 +41 *2585:12 *3179:6 0.000525697 +42 *2607:22 *3179:6 0 +43 *2797:30 *3179:6 0.000295111 +44 *2974:12 *5331:DIODE 0 +45 *2983:101 *5331:DIODE 6.50727e-05 +46 *2985:45 *22813:A 1.98241e-05 +47 *2985:45 *3179:39 0.00068904 +48 *2985:45 *3179:41 1.53438e-05 +49 *3177:13 *22813:A 9.72282e-05 +50 *3177:13 *3179:39 0.000561263 +51 *3177:13 *3179:41 0.000150632 +*RES +1 *22352:Z *3179:5 13.7491 +2 *3179:5 *3179:6 62.8741 +3 *3179:6 *3179:8 4.5 +4 *3179:8 *3179:9 46.7555 +5 *3179:9 *3179:39 49.4699 +6 *3179:39 *3179:41 1.8326 +7 *3179:41 *22813:A 11.8534 +8 *3179:41 *5331:DIODE 24.9571 +*END + +*D_NET *3180 0.0167613 +*CONN +*I *22814:A I *D sky130_fd_sc_hd__buf_2 +*I *5332:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22353:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22814:A 0.000229582 +2 *5332:DIODE 0 +3 *22353:Z 5.59665e-05 +4 *3180:20 0.000333917 +5 *3180:17 0.00075023 +6 *3180:8 0.00339252 +7 *3180:7 0.00280259 +8 *22814:A *23069:A 0 +9 *3180:8 *23075:A 3.76631e-05 +10 *3180:8 *3469:8 0.000552206 +11 *3180:17 *3181:14 0.00257706 +12 *3180:17 *3478:162 0.000489753 +13 *3180:17 *3478:164 1.67988e-05 +14 *3180:20 *23069:A 0 +15 la_data_in_core[83] *22814:A 0 +16 *3822:DIODE *22814:A 0.000131559 +17 *6601:DIODE *3180:8 5.49916e-05 +18 *1188:44 *3180:7 0.000164829 +19 *1830:8 *3180:8 0.000305765 +20 *1831:8 *3180:8 0 +21 *1850:11 *3180:17 1.5714e-05 +22 *1879:8 *3180:8 1.91246e-05 +23 *2462:6 *3180:8 0 +24 *2476:6 *3180:8 0 +25 *2489:8 *22814:A 0 +26 *2489:8 *3180:20 0 +27 *2493:8 *3180:17 1.9101e-05 +28 *2494:10 *3180:8 0.000311383 +29 *2981:43 *3180:17 0.00107351 +30 *2983:101 *3180:17 0.00325235 +31 *2987:17 *3180:17 0.000174726 +*RES +1 *22353:Z *3180:7 15.5817 +2 *3180:7 *3180:8 63.9122 +3 *3180:8 *3180:17 48.1517 +4 *3180:17 *3180:20 6.74725 +5 *3180:20 *5332:DIODE 13.7491 +6 *3180:20 *22814:A 18.4879 +*END + +*D_NET *3181 0.0148026 +*CONN +*I *22815:A I *D sky130_fd_sc_hd__buf_2 +*I *5333:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22354:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22815:A 0.000252613 +2 *5333:DIODE 0 +3 *22354:Z 0.000447956 +4 *3181:14 0.000826827 +5 *3181:8 0.00264747 +6 *3181:7 0.00252121 +7 *3181:7 *3182:11 0.000171273 +8 *3181:8 *22819:A 8.47457e-05 +9 *3181:8 *23074:A 4.16974e-05 +10 *3181:8 *3186:8 0.000610878 +11 *3181:8 *3468:12 0.000517734 +12 *3181:14 *23074:A 3.27454e-05 +13 *3181:14 *3478:164 0.00172163 +14 la_data_in_core[84] *22815:A 1.06805e-05 +15 la_oenb_core[87] *3181:14 0.000164829 +16 *3823:DIODE *22815:A 2.65524e-05 +17 *3826:DIODE *3181:14 0.000118166 +18 *6571:DIODE *3181:14 6.50586e-05 +19 *6607:DIODE *3181:14 6.92705e-05 +20 *22354:TE *3181:7 0.000122378 +21 *1831:11 *3181:7 0.000262231 +22 *2490:9 *22815:A 0 +23 *2490:9 *3181:14 0 +24 *2528:6 *3181:8 0.000118742 +25 *2562:16 *3181:8 0.00109209 +26 *2808:28 *3181:8 3.76125e-05 +27 *2983:107 *3181:14 9.81123e-06 +28 *2983:109 *3181:14 5.0715e-05 +29 *2985:45 *22815:A 6.50727e-05 +30 *3177:13 *22815:A 5.70572e-05 +31 *3177:13 *3181:14 4.27148e-05 +32 *3179:39 *22815:A 3.57324e-05 +33 *3180:17 *3181:14 0.00257706 +*RES +1 *22354:Z *3181:7 26.6738 +2 *3181:7 *3181:8 57.0605 +3 *3181:8 *3181:14 41.504 +4 *3181:14 *5333:DIODE 13.7491 +5 *3181:14 *22815:A 19.2113 +*END + +*D_NET *3182 0.0150288 +*CONN +*I *22816:A I *D sky130_fd_sc_hd__buf_2 +*I *5334:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22355:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22816:A 6.00198e-05 +2 *5334:DIODE 0.000117089 +3 *22355:Z 0.000481453 +4 *3182:15 0.00220967 +5 *3182:12 0.00447222 +6 *3182:11 0.00292111 +7 *3182:12 *5338:DIODE 5.19484e-05 +8 *3182:12 *23666:B 0.000113471 +9 *3182:12 *3189:21 4.7974e-05 +10 *3182:12 *3193:12 0.000624071 +11 *3182:12 *3194:8 0 +12 *3182:12 *3361:48 0.00083409 +13 *3182:12 *3478:242 8.95237e-05 +14 *3182:15 *22817:A 2.61147e-05 +15 *3182:15 *3187:21 0.000470571 +16 *3182:15 *3187:27 0.000197473 +17 *3182:15 *3361:45 0.000113968 +18 la_data_in_core[86] *3182:15 0.000118166 +19 la_data_in_core[87] *3182:15 0.000162583 +20 la_data_in_core[88] *3182:15 0.000169041 +21 la_data_in_core[89] *3182:15 0.000122378 +22 la_oenb_core[84] *5334:DIODE 0 +23 *21637:A *3182:15 1.43983e-05 +24 *22354:A *3182:11 3.94667e-05 +25 *22355:TE *3182:11 3.61993e-05 +26 *1318:9 *3182:11 1.93033e-05 +27 *1831:11 *3182:11 0.000831631 +28 *2476:6 *3182:12 9.77993e-05 +29 *2495:6 *3182:12 0 +30 *2814:6 *3182:12 0.000156746 +31 *2985:45 *5334:DIODE 7.98425e-06 +32 *2985:45 *3182:15 0.000161942 +33 *3067:15 *3182:11 5.85387e-05 +34 *3179:39 *5334:DIODE 3.05797e-05 +35 *3181:7 *3182:11 0.000171273 +*RES +1 *22355:Z *3182:11 30.6043 +2 *3182:11 *3182:12 61.6283 +3 *3182:12 *3182:15 37.3904 +4 *3182:15 *5334:DIODE 20.3893 +5 *3182:15 *22816:A 10.6477 +*END + +*D_NET *3183 0.00966478 +*CONN +*I *22817:A I *D sky130_fd_sc_hd__buf_2 +*I *5335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22356:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22817:A 0.000266042 +2 *5335:DIODE 0 +3 *22356:Z 0.000149991 +4 *3183:8 0.00320667 +5 *3183:7 0.00309062 +6 *22817:A *23667:B 0 +7 *3183:8 *23667:B 0 +8 *3183:8 *3478:170 0 +9 la_data_in_core[86] *22817:A 2.62694e-05 +10 la_oenb_core[85] *22817:A 0 +11 *3825:DIODE *22817:A 2.65599e-05 +12 *4663:DIODE *3183:8 0 +13 *5058:DIODE *3183:8 0 +14 *1161:41 *3183:7 0.000470571 +15 *1196:34 *3183:8 0 +16 *1947:50 *3183:7 0.000111708 +17 *2351:6 *3183:8 0.00217205 +18 *2491:6 *22817:A 0 +19 *2491:6 *3183:8 0 +20 *2492:8 *22817:A 0 +21 *2492:8 *3183:8 0 +22 *2913:42 *3183:8 0 +23 *2985:45 *22817:A 0.00011818 +24 *3065:6 *3183:8 0 +25 *3182:15 *22817:A 2.61147e-05 +*RES +1 *22356:Z *3183:7 18.9094 +2 *3183:7 *3183:8 69.5181 +3 *3183:8 *5335:DIODE 13.7491 +4 *3183:8 *22817:A 19.7659 +*END + +*D_NET *3184 0.0521452 +*CONN +*I *23415:A I *D sky130_fd_sc_hd__nand2_2 +*I *6136:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22216:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23415:A 0.000234279 +2 *6136:DIODE 0 +3 *22216:X 0 +4 *3184:26 0.00472158 +5 *3184:9 0.00516326 +6 *3184:8 0.000675959 +7 *3184:6 0.00468681 +8 *3184:5 0.00468681 +9 *3184:6 *23031:A 0 +10 *3184:6 *3425:6 0.000841392 +11 *3184:26 *3195:20 0.00382129 +12 *3184:26 *3295:28 0.0079989 +13 *4405:DIODE *3184:6 2.45002e-05 +14 *6137:DIODE *23415:A 0.00017407 +15 *21498:A *3184:6 6.63798e-05 +16 *22467:A_N *3184:6 0 +17 *22775:A *3184:6 1.6538e-05 +18 *23287:A *23415:A 0 +19 *1171:15 *3184:9 0.00679321 +20 *1534:7 *23415:A 5.3103e-05 +21 *1819:9 *3184:6 0 +22 *2036:20 *3184:9 0.00679753 +23 *2051:19 *3184:26 0.00196764 +24 *2053:19 *3184:6 0 +25 *2056:28 *3184:6 0.000234903 +26 *2089:19 *3184:9 0.000268142 +27 *2140:8 *3184:26 0.000108464 +28 *2151:38 *23415:A 6.92731e-05 +29 *2189:31 *3184:26 0 +30 *2191:17 *3184:26 0.000144467 +31 *2200:9 *3184:26 0 +32 *2201:23 *3184:26 0.000308719 +33 *2202:33 *3184:26 0.000392389 +34 *2203:24 *3184:26 0.000369426 +35 *2204:8 *3184:26 0 +36 *2205:19 *3184:26 0.0010996 +37 *2211:25 *3184:26 3.6211e-05 +38 *2245:17 *3184:6 0 +39 *2247:19 *3184:6 9.64542e-05 +40 *2249:7 *3184:6 0 +41 *2620:20 *3184:6 7.49849e-05 +42 *2892:19 *3184:26 0.00015958 +43 *2899:35 *3184:6 0 +44 *2899:53 *3184:6 0 +45 *2903:32 *3184:6 0 +46 *2978:17 *3184:6 2.45002e-05 +47 *3136:24 *3184:6 3.48818e-05 +*RES +1 *22216:X *3184:5 13.7491 +2 *3184:5 *3184:6 94.8485 +3 *3184:6 *3184:8 4.5 +4 *3184:8 *3184:9 73.3765 +5 *3184:9 *3184:26 40.369 +6 *3184:26 *6136:DIODE 9.24915 +7 *3184:26 *23415:A 16.1214 +*END + +*D_NET *3185 0.0208013 +*CONN +*I *5336:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22818:A I *D sky130_fd_sc_hd__buf_2 +*I *22357:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5336:DIODE 9.47982e-06 +2 *22818:A 0.000396922 +3 *22357:Z 0.00124524 +4 *3185:15 0.00310228 +5 *3185:14 0.00357414 +6 *3185:11 0.00281783 +7 *3185:6 0.0031848 +8 *5336:DIODE *3478:195 2.65831e-05 +9 *22818:A *6572:DIODE 1.58588e-05 +10 *22818:A *23603:B 0.000109564 +11 *22818:A *3187:21 3.89188e-05 +12 *3185:14 *3455:8 0.000156945 +13 *3185:15 *3478:140 0.000136366 +14 *3185:15 *3478:146 0.000127174 +15 *3185:15 *3478:162 0.000835773 +16 *3185:15 *3478:170 9.63981e-05 +17 *3185:15 *3478:176 5.99691e-05 +18 *3185:15 *3478:195 4.0752e-05 +19 la_data_in_core[87] *22818:A 5.26705e-05 +20 la_oenb_core[86] *22818:A 0 +21 *6511:DIODE *22818:A 0.00012568 +22 *6527:DIODE *3185:15 0.00062559 +23 *6539:DIODE *3185:15 5.07314e-05 +24 *1321:16 *3185:6 3.40382e-05 +25 *1827:11 *3185:6 0.000546766 +26 *2415:14 *3185:14 7.14923e-05 +27 *2436:6 *3185:6 0.000708614 +28 *2453:10 *3185:14 0.000174314 +29 *2459:12 *3185:14 0.000210061 +30 *2468:10 *3185:6 0.000475379 +31 *2492:8 *22818:A 0 +32 *2496:16 *3185:14 6.77071e-05 +33 *2540:23 *5336:DIODE 5.31074e-05 +34 *2540:23 *3185:15 0.00145386 +35 *2936:12 *22818:A 0.000176279 +36 *3169:30 *3185:6 0 +*RES +1 *22357:Z *3185:6 49.6318 +2 *3185:6 *3185:11 36.3444 +3 *3185:11 *3185:14 25.8488 +4 *3185:14 *3185:15 76.1495 +5 *3185:15 *22818:A 28.3862 +6 *3185:15 *5336:DIODE 9.97254 +*END + +*D_NET *3186 0.0114461 +*CONN +*I *22819:A I *D sky130_fd_sc_hd__buf_2 +*I *5337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22358:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22819:A 0.000551656 +2 *5337:DIODE 0 +3 *22358:Z 0.000160676 +4 *3186:8 0.00288916 +5 *3186:7 0.00249818 +6 *22819:A *23649:B 6.76686e-05 +7 *22819:A *3187:27 6.50586e-05 +8 la_data_in_core[88] *22819:A 1.48605e-05 +9 la_oenb_core[87] *22819:A 0 +10 *3827:DIODE *22819:A 4.51671e-05 +11 *6571:DIODE *22819:A 1.47102e-05 +12 *22358:A *3186:7 2.15184e-05 +13 *23649:A *22819:A 1.50262e-05 +14 *1188:44 *3186:7 0.00145605 +15 *1829:13 *3186:7 0.00135406 +16 *1850:11 *22819:A 0 +17 *1878:9 *22819:A 0 +18 *2362:8 *3186:8 7.23673e-05 +19 *2462:6 *3186:8 0 +20 *2494:10 *22819:A 0 +21 *2494:10 *3186:8 0 +22 *2562:16 *3186:8 0.00145925 +23 *2985:45 *22819:A 6.50586e-05 +24 *3181:8 *22819:A 8.47457e-05 +25 *3181:8 *3186:8 0.000610878 +*RES +1 *22358:Z *3186:7 29.4468 +2 *3186:7 *3186:8 59.1368 +3 *3186:8 *5337:DIODE 13.7491 +4 *3186:8 *22819:A 25.8553 +*END + +*D_NET *3187 0.0386912 +*CONN +*I *22820:A I *D sky130_fd_sc_hd__buf_2 +*I *5338:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22359:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22820:A 0 +2 *5338:DIODE 0.000335659 +3 *22359:Z 0 +4 *3187:27 0.000548288 +5 *3187:21 0.00183774 +6 *3187:13 0.00337738 +7 *3187:12 0.00175227 +8 *3187:10 0.00166245 +9 *3187:6 0.00395294 +10 *3187:5 0.00229049 +11 *5338:DIODE *23666:B 0.000122098 +12 *5338:DIODE *3189:21 0.00010696 +13 *5338:DIODE *3361:45 0.000171273 +14 *5338:DIODE *3361:48 0 +15 *5338:DIODE *3478:242 0.00014696 +16 *3187:13 *3462:11 0.000453958 +17 *3187:21 *6572:DIODE 0 +18 *3187:21 *23073:A 5.27158e-05 +19 *3187:21 *3467:8 0.000255155 +20 *3187:27 *3361:45 1.92336e-05 +21 la_data_in_core[88] *3187:27 0.000164843 +22 la_data_in_core[89] *5338:DIODE 0 +23 la_data_in_core[89] *3187:27 5.07314e-05 +24 *3826:DIODE *3187:21 0.000251289 +25 *4905:DIODE *3187:10 0.000123997 +26 *5089:DIODE *3187:6 0.000135188 +27 *5993:DIODE *3187:6 0 +28 *6455:DIODE *3187:10 0 +29 *6511:DIODE *3187:21 5.39463e-05 +30 *21638:A *3187:21 2.65831e-05 +31 *21639:A *3187:27 6.50727e-05 +32 *22818:A *3187:21 3.89188e-05 +33 *22819:A *3187:27 6.50586e-05 +34 *375:8 *5338:DIODE 0.000118166 +35 *1137:44 *3187:10 0 +36 *1142:14 *3187:6 0.000845954 +37 *1186:34 *3187:10 1.70077e-05 +38 *1437:10 *3187:10 0.00020371 +39 *1453:11 *3187:6 3.83737e-05 +40 *1882:9 *3187:21 0 +41 *2080:49 *3187:6 0 +42 *2080:49 *3187:10 0 +43 *2085:31 *3187:6 0 +44 *2085:31 *3187:10 0 +45 *2086:61 *3187:6 5.12779e-05 +46 *2086:67 *3187:6 0.000372877 +47 *2350:14 *3187:6 8.52335e-05 +48 *2350:14 *3187:10 0.00216331 +49 *2354:9 *3187:13 0.000204875 +50 *2411:9 *3187:13 0.003055 +51 *2440:15 *3187:13 0.00095265 +52 *2473:11 *3187:6 7.44803e-05 +53 *2476:9 *3187:13 0.00017482 +54 *2494:13 *3187:13 0.0102694 +55 *2494:16 *3187:6 0 +56 *2494:16 *3187:10 2.80094e-05 +57 *2495:6 *5338:DIODE 6.36326e-05 +58 *2912:43 *3187:10 0 +59 *2920:87 *3187:10 0 +60 *2936:12 *3187:21 4.87746e-05 +61 *2985:45 *5338:DIODE 1.67988e-05 +62 *2985:45 *3187:21 9.01417e-05 +63 *2985:45 *3187:27 0.000751522 +64 *3007:76 *5338:DIODE 0.000121637 +65 *3168:28 *3187:10 0.000162376 +66 *3182:12 *5338:DIODE 5.19484e-05 +67 *3182:15 *3187:21 0.000470571 +68 *3182:15 *3187:27 0.000197473 +*RES +1 *22359:Z *3187:5 13.7491 +2 *3187:5 *3187:6 50.2089 +3 *3187:6 *3187:10 46.3739 +4 *3187:10 *3187:12 4.5 +5 *3187:12 *3187:13 112.753 +6 *3187:13 *3187:21 48.1301 +7 *3187:21 *3187:27 12.0084 +8 *3187:27 *5338:DIODE 29.0294 +9 *3187:27 *22820:A 9.24915 +*END + +*D_NET *3188 0.0484497 +*CONN +*I *22821:A I *D sky130_fd_sc_hd__buf_2 +*I *5339:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22360:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22821:A 0.000265475 +2 *5339:DIODE 0 +3 *22360:Z 3.8149e-05 +4 *3188:44 0.00294373 +5 *3188:42 0.00400024 +6 *3188:35 0.00167133 +7 *3188:32 0.00160082 +8 *3188:29 0.00267988 +9 *3188:20 0.00284183 +10 *3188:7 0.003233 +11 *3188:5 0.00185772 +12 *3188:42 *3471:10 0 +13 *3188:44 *23077:A 0 +14 *3188:44 *3471:10 0 +15 la_data_in_core[8] *22821:A 1.18458e-05 +16 *3764:DIODE *3188:44 3.36844e-05 +17 *3830:DIODE *22821:A 4.07317e-05 +18 *4817:DIODE *3188:42 2.1203e-06 +19 *21449:A *3188:20 6.73186e-05 +20 *22280:A *3188:7 0.000423908 +21 *22290:TE *3188:20 6.50586e-05 +22 *23394:A *3188:29 1.55025e-05 +23 *23394:B *3188:29 0.000114594 +24 *23507:A *3188:44 0.00023155 +25 *1169:89 *3188:29 0.000268272 +26 *1254:9 *3188:20 3.77568e-05 +27 *1370:16 *3188:35 0.00288713 +28 *1373:14 *3188:7 0.00129029 +29 *1376:8 *3188:44 9.65622e-05 +30 *1641:10 *3188:29 0.00122918 +31 *2318:75 *3188:20 0.000126489 +32 *2320:36 *3188:29 0.00221267 +33 *2330:30 *3188:29 0.00516632 +34 *2470:58 *3188:44 4.85776e-05 +35 *2497:7 *22821:A 0.000118166 +36 *2497:8 *22821:A 0 +37 *2497:8 *3188:44 0 +38 *2559:13 *3188:20 0.000253916 +39 *2578:6 *3188:42 3.74542e-05 +40 *2578:6 *3188:44 3.88655e-06 +41 *2773:20 *3188:29 7.48886e-05 +42 *2784:14 *3188:29 7.83498e-05 +43 *2834:25 *3188:7 0.00374193 +44 *2834:25 *3188:20 1.41689e-05 +45 *2845:33 *3188:20 1.79426e-05 +46 *2845:34 *3188:20 0.000113968 +47 *2951:32 *3188:32 0.000440299 +48 *2985:33 *22821:A 0.000118166 +49 *3006:50 *3188:32 0 +50 *3094:5 *3188:35 0.00293801 +51 *3094:5 *3188:42 6.8085e-05 +52 *3094:14 *3188:35 0 +53 *3094:14 *3188:42 0.00170218 +54 *3094:14 *3188:44 0.000115343 +55 *3100:16 *3188:7 0.000402523 +56 *3138:17 *3188:7 0.000568041 +57 *3138:21 *3188:7 0.000838223 +58 *3138:26 *3188:7 0.000193234 +59 *3138:26 *3188:20 1.43848e-05 +60 *3138:31 *3188:20 0.00108774 +61 *3138:41 *3188:20 7.02172e-06 +*RES +1 *22360:Z *3188:5 10.2378 +2 *3188:5 *3188:7 74.4857 +3 *3188:7 *3188:20 47.726 +4 *3188:20 *3188:29 35.0375 +5 *3188:29 *3188:32 30.4166 +6 *3188:32 *3188:35 32.7698 +7 *3188:35 *3188:42 27.825 +8 *3188:42 *3188:44 52.7004 +9 *3188:44 *5339:DIODE 13.7491 +10 *3188:44 *22821:A 19.7659 +*END + +*D_NET *3189 0.0281469 +*CONN +*I *5340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22822:A I *D sky130_fd_sc_hd__buf_2 +*I *22361:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5340:DIODE 3.87342e-05 +2 *22822:A 0.000278292 +3 *22361:Z 0.000290376 +4 *3189:21 0.000815548 +5 *3189:15 0.00463498 +6 *3189:14 0.00413646 +7 *3189:12 0.0039599 +8 *3189:10 0.00425028 +9 *22822:A *23076:A 0 +10 *3189:12 *3460:6 0 +11 *3189:12 *3462:11 0 +12 *3189:12 *3464:12 0 +13 la_data_in_core[90] *22822:A 5.41377e-05 +14 *3828:DIODE *22822:A 3.60268e-05 +15 *5338:DIODE *3189:21 0.00010696 +16 *21308:A *3189:12 4.66171e-05 +17 *22486:A_N *3189:12 0.000125911 +18 *1169:23 *3189:10 0.000118796 +19 *1312:10 *3189:12 0 +20 *1442:8 *3189:12 0 +21 *1446:9 *3189:12 0 +22 *1449:8 *3189:12 0.000125644 +23 *1832:11 *3189:12 0 +24 *1866:39 *3189:15 0.00683234 +25 *1878:9 *5340:DIODE 5.31074e-05 +26 *1878:9 *3189:21 0.000377259 +27 *2090:21 *3189:12 0 +28 *2270:24 *3189:10 0.000116014 +29 *2427:8 *3189:12 0 +30 *2495:6 *22822:A 0 +31 *2495:6 *3189:21 0.000316667 +32 *2625:30 *3189:10 3.82033e-05 +33 *2625:30 *3189:12 0 +34 *2915:70 *3189:12 0 +35 *2919:73 *3189:12 3.50768e-05 +36 *2919:85 *3189:12 0.000807281 +37 *2921:36 *3189:10 2.72036e-05 +38 *2921:36 *3189:12 0.000477122 +39 *3182:12 *3189:21 4.7974e-05 +*RES +1 *22361:Z *3189:10 20.0224 +2 *3189:10 *3189:12 83.8443 +3 *3189:12 *3189:14 4.5 +4 *3189:14 *3189:15 73.9311 +5 *3189:15 *3189:21 19.866 +6 *3189:21 *22822:A 24.2337 +7 *3189:21 *5340:DIODE 9.97254 +*END + +*D_NET *3190 0.035833 +*CONN +*I *22823:A I *D sky130_fd_sc_hd__buf_2 +*I *5341:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22362:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22823:A 0.000163853 +2 *5341:DIODE 0 +3 *22362:Z 0.00104454 +4 *3190:28 0.00177432 +5 *3190:25 0.00288002 +6 *3190:24 0.00143001 +7 *3190:13 0.00401262 +8 *3190:12 0.00385216 +9 *3190:10 0.00104454 +10 *3190:10 *3445:16 6.0493e-05 +11 *3190:24 *3463:10 3.14544e-05 +12 *3190:25 *3193:17 8.14875e-05 +13 *1179:50 *3190:10 6.4447e-05 +14 *1194:11 *3190:13 0.00314302 +15 *1194:11 *3190:24 0.00079792 +16 *1194:11 *3190:25 0.00548241 +17 *1204:13 *3190:24 0.00079792 +18 *1204:17 *3190:13 0.000773638 +19 *1444:9 *3190:25 0.00029368 +20 *1864:33 *22823:A 0.000113374 +21 *1881:8 *22823:A 6.50586e-05 +22 *2310:28 *3190:13 0.00200354 +23 *2343:7 *3190:10 3.98675e-05 +24 *2344:7 *3190:10 0.00034025 +25 *2354:6 *3190:24 2.26084e-05 +26 *2441:10 *3190:10 0.000644501 +27 *2443:8 *3190:10 0.000654402 +28 *2445:8 *3190:10 0.000847232 +29 *2498:8 *22823:A 3.98226e-05 +30 *2498:8 *3190:28 0.000149576 +31 *2499:10 *22823:A 0 +32 *2499:10 *3190:28 0 +33 *2607:20 *3190:28 0 +34 *2607:22 *3190:28 0 +35 *2814:9 *3190:25 0.00120766 +36 *2980:21 *22823:A 6.50586e-05 +37 *3179:6 *3190:28 0.00191149 +*RES +1 *22362:Z *3190:10 47.2136 +2 *3190:10 *3190:12 4.5 +3 *3190:12 *3190:13 114.417 +4 *3190:13 *3190:24 28.9061 +5 *3190:24 *3190:25 58.9568 +6 *3190:25 *3190:28 47.442 +7 *3190:28 *5341:DIODE 13.7491 +8 *3190:28 *22823:A 18.3808 +*END + +*D_NET *3191 0.0305828 +*CONN +*I *5342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22824:A I *D sky130_fd_sc_hd__buf_2 +*I *22363:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5342:DIODE 3.36036e-05 +2 *22824:A 0.00023303 +3 *22363:Z 2.04392e-05 +4 *3191:21 0.00165308 +5 *3191:11 0.00301852 +6 *3191:10 0.00163208 +7 *3191:8 0.00336698 +8 *3191:7 0.00338742 +9 *3191:8 *3457:14 0 +10 *3191:11 *3194:11 0.000377273 +11 *3191:21 *23076:A 8.95006e-05 +12 *3191:21 *3470:14 0.000294884 +13 la_data_in_core[92] *22824:A 5.41377e-05 +14 *3832:DIODE *22824:A 0 +15 *22580:A *3191:21 0.000101133 +16 *22581:A *22824:A 0 +17 *377:14 *3191:21 0 +18 *1137:32 *3191:8 1.03079e-05 +19 *1327:9 *3191:7 6.50586e-05 +20 *1455:9 *3191:8 9.29761e-05 +21 *1824:9 *3191:8 0 +22 *1881:8 *5342:DIODE 6.3657e-05 +23 *1881:8 *3191:21 0.0010376 +24 *1942:25 *3191:8 0 +25 *2306:22 *3191:8 5.69587e-05 +26 *2314:25 *3191:8 0.000176105 +27 *2350:20 *3191:21 0.000937516 +28 *2359:9 *3191:11 0.00837825 +29 *2431:9 *3191:11 0.00196052 +30 *2451:6 *3191:8 0.00329424 +31 *2474:19 *3191:11 0.00012601 +32 *2498:8 *3191:21 0 +33 *2499:10 *22824:A 0 +34 *2909:22 *3191:8 0 +35 *2909:40 *3191:8 0 +36 *2919:64 *3191:8 0 +37 *2926:38 *3191:8 0.000121521 +38 *3139:14 *3191:8 0 +39 *3139:18 *3191:8 0 +*RES +1 *22363:Z *3191:7 14.4725 +2 *3191:7 *3191:8 84.0519 +3 *3191:8 *3191:10 4.5 +4 *3191:10 *3191:11 90.5692 +5 *3191:11 *3191:21 43.0753 +6 *3191:21 *22824:A 22.9879 +7 *3191:21 *5342:DIODE 9.97254 +*END + +*D_NET *3192 0.017942 +*CONN +*I *5343:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22825:A I *D sky130_fd_sc_hd__buf_2 +*I *22364:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5343:DIODE 0 +2 *22825:A 0.000271867 +3 *22364:Z 0.000124824 +4 *3192:11 0.000432172 +5 *3192:8 0.00461849 +6 *3192:7 0.00458301 +7 *22825:A *3478:305 0.000204696 +8 *22825:A *3478:340 0.000123405 +9 *3192:8 *3196:6 6.38563e-05 +10 *3192:8 *3478:237 0.000109983 +11 *3833:DIODE *22825:A 0.000198477 +12 *22576:A *3192:8 3.3239e-06 +13 *380:10 *22825:A 3.20069e-06 +14 *1143:18 *3192:8 0.000444895 +15 *1192:35 *3192:8 6.87106e-05 +16 *1331:8 *3192:8 0 +17 *1729:11 *3192:8 0.000236435 +18 *1840:15 *3192:7 0.000272789 +19 *1962:10 *3192:7 0.000665804 +20 *2351:12 *3192:8 0 +21 *2352:14 *22825:A 0 +22 *2352:14 *3192:8 0.000120156 +23 *2455:6 *3192:8 0.00375819 +24 *2500:9 *22825:A 0.00011818 +25 *2500:10 *22825:A 9.22013e-06 +26 *2520:12 *3192:8 0.000978772 +27 *2629:18 *3192:8 0 +28 *2629:22 *3192:8 0 +29 *2983:109 *3192:11 0.000417544 +30 *2985:45 *22825:A 0.000113968 +*RES +1 *22364:Z *3192:7 21.1278 +2 *3192:7 *3192:8 118.518 +3 *3192:8 *3192:11 9.10562 +4 *3192:11 *22825:A 27.1727 +5 *3192:11 *5343:DIODE 9.24915 +*END + +*D_NET *3193 0.0286531 +*CONN +*I *5344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22826:A I *D sky130_fd_sc_hd__buf_2 +*I *22365:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5344:DIODE 8.34723e-05 +2 *22826:A 0.000260166 +3 *22365:Z 0.000339595 +4 *3193:21 0.000404225 +5 *3193:20 0.00200991 +6 *3193:17 0.00402399 +7 *3193:12 0.00523521 +8 *3193:11 0.00350014 +9 *5344:DIODE *3196:15 0.000683015 +10 *22826:A *3196:15 2.95757e-05 +11 *3193:20 *3196:15 0 +12 *3193:21 *3196:15 6.08467e-05 +13 la_data_in_core[94] *22826:A 0 +14 *3834:DIODE *22826:A 0 +15 *4704:DIODE *3193:12 0.000109598 +16 *6541:DIODE *5344:DIODE 0.000224381 +17 *22390:B *3193:20 0 +18 *22583:A *22826:A 0.000198507 +19 *1160:42 *3193:12 0.000119553 +20 *1201:16 *3193:12 3.88655e-06 +21 *1317:9 *3193:12 0.000268578 +22 *1329:44 *3193:11 0.000114664 +23 *1727:9 *3193:12 9.93605e-05 +24 *1738:9 *3193:20 0 +25 *1864:33 *3193:21 0.000213725 +26 *1879:9 *5344:DIODE 5.31074e-05 +27 *1879:9 *3193:21 0.000311249 +28 *1978:38 *3193:11 0.00196556 +29 *1983:38 *3193:20 0 +30 *2005:36 *3193:11 0.00196135 +31 *2353:27 *22826:A 2.1558e-05 +32 *2353:27 *3193:20 0.000206827 +33 *2476:6 *3193:12 0.00081819 +34 *2500:10 *3193:20 0 +35 *2501:8 *3193:20 0.000380081 +36 *2504:12 *22826:A 0 +37 *2607:17 *3193:20 6.22259e-05 +38 *2631:20 *3193:12 0.000528865 +39 *2636:24 *3193:11 4.41527e-05 +40 *2669:6 *3193:11 0 +41 *2671:8 *3193:12 4.84823e-05 +42 *2812:8 *3193:12 0.000201719 +43 *2814:6 *3193:12 0 +44 *2814:9 *3193:17 0.00318636 +45 *2983:109 *5344:DIODE 0.000175467 +46 *3182:12 *3193:12 0.000624071 +47 *3190:25 *3193:17 8.14875e-05 +*RES +1 *22365:Z *3193:11 47.4859 +2 *3193:11 *3193:12 79.0689 +3 *3193:12 *3193:17 45.7726 +4 *3193:17 *3193:20 49.5182 +5 *3193:20 *3193:21 3.49641 +6 *3193:21 *22826:A 24.6489 +7 *3193:21 *5344:DIODE 16.6278 +*END + +*D_NET *3194 0.0267986 +*CONN +*I *5345:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22827:A I *D sky130_fd_sc_hd__buf_2 +*I *22366:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5345:DIODE 0 +2 *22827:A 0.000279819 +3 *22366:Z 0 +4 *3194:16 0.00100705 +5 *3194:11 0.00130015 +6 *3194:8 0.00454264 +7 *3194:7 0.00449402 +8 *3194:4 0.000524306 +9 *22827:A *3196:15 4.58003e-05 +10 *3194:7 *3348:13 0.00384446 +11 *3194:8 *3470:14 0 +12 *3835:DIODE *22827:A 7.1406e-05 +13 *3836:DIODE *22827:A 0.000202089 +14 *4678:DIODE *3194:7 0.000260388 +15 *22579:A *3194:8 0 +16 *22584:A *22827:A 0 +17 *22584:A *3194:16 0 +18 *1961:39 *3194:8 0 +19 *1987:26 *3194:7 0.0011499 +20 *2354:12 *3194:16 0 +21 *2355:16 *22827:A 0 +22 *2355:16 *3194:16 0.000340722 +23 *2359:9 *3194:11 0.00154899 +24 *2413:10 *3194:16 0 +25 *2431:9 *3194:11 0.00400158 +26 *2495:6 *3194:8 0 +27 *2596:18 *3194:8 0.00173945 +28 *2801:21 *3194:7 0.000417478 +29 *2801:36 *3194:7 0.000501057 +30 *2814:6 *3194:8 0 +31 *2931:56 *3194:8 3.18542e-05 +32 *2983:109 *22827:A 0.000118166 +33 *3182:12 *3194:8 0 +34 *3191:11 *3194:11 0.000377273 +*RES +1 *22366:Z *3194:4 9.24915 +2 *3194:4 *3194:7 46.2641 +3 *3194:7 *3194:8 90.2807 +4 *3194:8 *3194:11 47.9279 +5 *3194:11 *3194:16 25.7811 +6 *3194:16 *22827:A 26.3422 +7 *3194:16 *5345:DIODE 9.24915 +*END + +*D_NET *3195 0.0561623 +*CONN +*I *23416:A I *D sky130_fd_sc_hd__nand2_1 +*I *6138:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22217:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23416:A 4.34088e-05 +2 *6138:DIODE 1.32767e-05 +3 *22217:X 0.00731268 +4 *3195:21 0.000529041 +5 *3195:20 0.0058174 +6 *3195:14 0.0126577 +7 *3195:14 *23032:A 3.08351e-05 +8 *3195:20 *3239:43 7.09666e-06 +9 *3195:20 *3295:21 1.0973e-05 +10 *3195:20 *3295:28 0.00169268 +11 la_oenb_core[49] *3195:14 0.000111569 +12 *4406:DIODE *3195:14 0 +13 *22776:A *3195:14 0 +14 *22778:A *3195:14 0 +15 *23297:A *3195:20 0 +16 *1154:38 *3195:20 0.00774849 +17 *1159:39 *3195:20 3.15978e-05 +18 *2011:30 *3195:20 0.000130331 +19 *2059:20 *3195:14 0 +20 *2143:14 *6138:DIODE 6.92705e-05 +21 *2143:14 *3195:21 0.00418917 +22 *2187:23 *3195:20 7.29453e-06 +23 *2189:31 *3195:20 0.00114979 +24 *2211:25 *3195:20 5.60804e-05 +25 *2232:19 *3195:20 0.00309547 +26 *2233:23 *3195:20 3.48623e-05 +27 *2235:35 *3195:14 0.000673807 +28 *2251:25 *3195:14 4.45671e-05 +29 *2253:28 *3195:14 0.00044698 +30 *2255:19 *3195:14 0.000916285 +31 *2332:34 *3195:14 0.000159964 +32 *2415:26 *3195:14 0.000360702 +33 *2734:15 *6138:DIODE 1.43983e-05 +34 *2734:15 *3195:21 9.82896e-06 +35 *2741:12 *3195:20 0 +36 *2899:27 *3195:14 4.7528e-05 +37 *2903:28 *3195:14 0.000548408 +38 *2975:39 *3195:14 5.93953e-05 +39 *2981:31 *3195:14 6.21462e-05 +40 *3140:27 *3195:20 0.000117719 +41 *3173:39 *3195:21 0.00414028 +42 *3184:26 *3195:20 0.00382129 +*RES +1 *22217:X *3195:14 45.95 +2 *3195:14 *3195:20 35.5662 +3 *3195:20 *3195:21 45.6463 +4 *3195:21 *6138:DIODE 9.97254 +5 *3195:21 *23416:A 10.2378 +*END + +*D_NET *3196 0.0167585 +*CONN +*I *5346:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22828:A I *D sky130_fd_sc_hd__buf_2 +*I *22367:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5346:DIODE 4.22257e-05 +2 *22828:A 0.000270092 +3 *22367:Z 0 +4 *3196:15 0.00228182 +5 *3196:11 0.00244231 +6 *3196:6 0.00289031 +7 *3196:5 0.0024175 +8 *22828:A *3478:340 6.47469e-05 +9 la_data_in_core[96] *22828:A 5.92342e-05 +10 *3837:DIODE *22828:A 0 +11 *5344:DIODE *3196:15 0.000683015 +12 *22826:A *3196:15 2.95757e-05 +13 *22827:A *3196:15 4.58003e-05 +14 *383:8 *22828:A 0 +15 *1196:18 *3196:6 9.34404e-05 +16 *1729:11 *3196:6 0.00049774 +17 *1864:39 *3196:15 0.000371385 +18 *2351:12 *3196:6 7.09666e-06 +19 *2353:27 *3196:15 0.000228997 +20 *2354:9 *3196:11 0.00128799 +21 *2354:12 *3196:15 0 +22 *2423:14 *3196:6 0.000265976 +23 *2430:8 *3196:15 0.000371798 +24 *2455:6 *3196:6 0.00111951 +25 *2474:6 *3196:6 0.00101249 +26 *2503:6 *22828:A 0 +27 *2504:12 *3196:15 0 +28 *2983:109 *5346:DIODE 1.41291e-05 +29 *2983:109 *3196:15 0.000136587 +30 *3192:8 *3196:6 6.38563e-05 +31 *3193:20 *3196:15 0 +32 *3193:21 *3196:15 6.08467e-05 +*RES +1 *22367:Z *3196:5 13.7491 +2 *3196:5 *3196:6 70.3486 +3 *3196:6 *3196:11 23.0339 +4 *3196:11 *3196:15 46.8055 +5 *3196:15 *22828:A 24.2337 +6 *3196:15 *5346:DIODE 9.97254 +*END + +*D_NET *3197 0.0068912 +*CONN +*I *22829:A I *D sky130_fd_sc_hd__buf_2 +*I *5347:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22368:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22829:A 0.00034817 +2 *5347:DIODE 5.83756e-05 +3 *22368:Z 0 +4 *3197:6 0.00309779 +5 *3197:5 0.00269124 +6 *22829:A *23648:B 0 +7 *3197:6 *3349:6 0 +8 la_data_in_core[97] *22829:A 8.01837e-05 +9 la_oenb_core[96] *22829:A 7.03792e-05 +10 *3837:DIODE *22829:A 0 +11 *6569:DIODE *22829:A 0.000119049 +12 *1852:17 *22829:A 1.1833e-05 +13 *1852:17 *3197:6 6.87871e-05 +14 *1863:17 *22829:A 2.60202e-05 +15 *2357:10 *3197:6 0 +16 *2983:109 *5347:DIODE 0.000171288 +17 *2985:45 *22829:A 0.000111722 +18 *2987:20 *22829:A 3.63593e-05 +19 *3061:14 *22829:A 0 +20 *3061:14 *3197:6 0 +21 *3065:29 *3197:6 0 +*RES +1 *22368:Z *3197:5 13.7491 +2 *3197:5 *3197:6 55.3995 +3 *3197:6 *5347:DIODE 15.5817 +4 *3197:6 *22829:A 22.6727 +*END + +*D_NET *3198 0.0387375 +*CONN +*I *22830:A I *D sky130_fd_sc_hd__buf_2 +*I *5348:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22369:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22830:A 0.000201725 +2 *5348:DIODE 1.47608e-05 +3 *22369:Z 0 +4 *3198:32 0.00375474 +5 *3198:31 0.00419985 +6 *3198:27 0.00210311 +7 *3198:19 0.00229089 +8 *3198:5 0.0016454 +9 *3198:4 0.000796016 +10 *5348:DIODE *3478:361 6.08467e-05 +11 la_data_in_core[98] *22830:A 5.56367e-05 +12 *3504:DIODE *3198:5 9.41997e-05 +13 *3504:DIODE *3198:19 6.92705e-05 +14 *3514:DIODE *3198:32 3.74433e-05 +15 *3839:DIODE *22830:A 8.92568e-06 +16 *4684:DIODE *3198:5 0.000228593 +17 *5772:DIODE *3198:19 6.08467e-05 +18 *5773:DIODE *3198:19 7.5032e-05 +19 *5794:DIODE *3198:31 3.82228e-05 +20 *5795:DIODE *3198:31 4.33819e-05 +21 *6028:DIODE *3198:27 6.3657e-05 +22 *6029:DIODE *3198:19 3.07726e-05 +23 *21355:A *3198:27 0.000225006 +24 *22245:A *3198:32 0.000238673 +25 *22260:TE *3198:32 0 +26 *22586:A *22830:A 0.000175612 +27 *23361:A *3198:19 6.50586e-05 +28 *23361:A *3198:27 0.000105636 +29 *1185:16 *3198:32 1.21359e-05 +30 *1199:32 *3198:32 4.69495e-06 +31 *1200:38 *3198:32 3.93117e-06 +32 *1209:8 *3198:32 0.000677887 +33 *1339:8 *3198:32 0 +34 *1454:15 *3198:5 0.000377273 +35 *1454:15 *3198:19 0.000374045 +36 *1480:13 *3198:19 0.00246422 +37 *1491:5 *3198:31 0.000217923 +38 *1736:8 *3198:32 0 +39 *1843:8 *3198:19 3.57037e-05 +40 *1843:8 *3198:27 0.000171288 +41 *2278:14 *3198:5 0.00020476 +42 *2356:12 *22830:A 0.000137795 +43 *2356:12 *3198:32 0.00390452 +44 *2506:10 *22830:A 0.000361002 +45 *2506:10 *3198:32 0.00105678 +46 *2524:38 *3198:27 0.00134713 +47 *2633:49 *3198:5 0.00197743 +48 *2633:67 *3198:19 0.00291565 +49 *2634:33 *3198:5 0.00139489 +50 *2797:36 *3198:32 0 +51 *2801:42 *3198:27 0.00258574 +52 *2801:42 *3198:31 0.00021432 +53 *2804:33 *3198:31 1.31867e-05 +54 *2808:37 *3198:32 0 +55 *2925:43 *3198:5 0.00114387 +56 *2926:38 *3198:5 6.92705e-05 +57 *3052:15 *3198:27 0.000102742 +58 *3060:6 *3198:32 0.000225203 +59 *3060:9 *5348:DIODE 6.08467e-05 +*RES +1 *22369:Z *3198:4 9.24915 +2 *3198:4 *3198:5 53.9653 +3 *3198:5 *3198:19 45.9356 +4 *3198:19 *3198:27 48.0697 +5 *3198:27 *3198:31 15.4836 +6 *3198:31 *3198:32 103.569 +7 *3198:32 *5348:DIODE 14.4725 +8 *3198:32 *22830:A 21.3947 +*END + +*D_NET *3199 0.0411219 +*CONN +*I *22831:A I *D sky130_fd_sc_hd__buf_2 +*I *5349:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22370:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22831:A 0.000296773 +2 *5349:DIODE 3.07124e-05 +3 *22370:Z 3.72627e-05 +4 *3199:16 0.00619934 +5 *3199:15 0.00587185 +6 *3199:13 0.00228703 +7 *3199:12 0.00244943 +8 *3199:7 0.00162989 +9 *3199:5 0.00150475 +10 *22831:A *3478:383 5.65265e-05 +11 *3199:16 *3348:21 0 +12 *3199:16 *3478:383 8.62625e-06 +13 la_data_in_core[99] *22831:A 1.36691e-05 +14 *3841:DIODE *22831:A 5.96936e-05 +15 *4466:DIODE *3199:16 4.15661e-05 +16 *4686:DIODE *3199:7 0.000422368 +17 *6014:DIODE *3199:7 0.00011818 +18 *23116:A *3199:16 1.32543e-05 +19 *23117:A *3199:16 1.12325e-05 +20 *23354:A *3199:7 0.000368635 +21 *23354:B *3199:7 1.72399e-05 +22 *23366:A *3199:16 6.10372e-05 +23 *23366:B *3199:16 4.61004e-06 +24 *23372:A *3199:16 0 +25 *23474:TE *3199:16 0 +26 *1141:13 *3199:7 0.000107496 +27 *1172:11 *3199:13 0.00276077 +28 *1181:10 *3199:16 0.000172375 +29 *1224:23 *3199:16 0 +30 *1485:20 *3199:16 2.73362e-05 +31 *1613:11 *3199:16 0.00052994 +32 *1619:6 *3199:16 0.000306233 +33 *1620:6 *3199:16 9.80811e-05 +34 *1853:9 *22831:A 3.79662e-05 +35 *1853:9 *3199:16 0.000195801 +36 *1863:17 *22831:A 6.50727e-05 +37 *1985:68 *3199:7 0.000339965 +38 *2109:37 *3199:16 0 +39 *2269:52 *3199:7 5.42391e-05 +40 *2358:16 *22831:A 0.000185764 +41 *2358:16 *3199:16 0.00098011 +42 *2362:17 *3199:16 7.14746e-05 +43 *2500:17 *3199:13 0.00538963 +44 *2508:8 *22831:A 0 +45 *2523:18 *3199:12 0.000297071 +46 *2542:8 *3199:16 0 +47 *2640:20 *3199:16 0.00137068 +48 *2682:8 *3199:16 0 +49 *2796:35 *3199:13 0.00333206 +50 *2798:24 *3199:16 0 +51 *2801:15 *3199:7 0.0028721 +52 *2801:48 *3199:16 2.55661e-06 +53 *2811:46 *3199:16 0 +54 *2913:14 *3199:12 0.000295557 +55 *2976:12 *22831:A 0 +56 *2985:45 *22831:A 6.50727e-05 +57 *3060:9 *5349:DIODE 6.08467e-05 +58 *3077:6 *3199:16 0 +*RES +1 *22370:Z *3199:5 10.2378 +2 *3199:5 *3199:7 46.7555 +3 *3199:7 *3199:12 14.9845 +4 *3199:12 *3199:13 106.653 +5 *3199:13 *3199:15 4.5 +6 *3199:15 *3199:16 142.187 +7 *3199:16 *5349:DIODE 14.4725 +8 *3199:16 *22831:A 22.1181 +*END + +*D_NET *3200 0.048147 +*CONN +*I *22832:A I *D sky130_fd_sc_hd__buf_2 +*I *5350:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22371:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22832:A 0.000244347 +2 *5350:DIODE 0 +3 *22371:Z 0.00132623 +4 *3200:54 0.00233648 +5 *3200:53 0.00239145 +6 *3200:50 0.00149565 +7 *3200:39 0.00194757 +8 *3200:37 0.00108317 +9 *3200:33 0.00143294 +10 *3200:17 0.0028272 +11 *3200:13 0.00322034 +12 *3200:9 0.00282038 +13 *3200:33 *3343:7 0.00077322 +14 *3200:33 *3399:7 0.000310793 +15 *3200:37 *3343:7 0.000333722 +16 *3200:50 *3435:16 0 +17 *3200:50 *3450:6 0 +18 *3200:53 *3368:13 0.000632333 +19 *3200:54 *3471:10 0 +20 la_data_in_core[9] *22832:A 2.18764e-05 +21 *3751:DIODE *3200:13 0.000113228 +22 *3755:DIODE *3200:33 0.000252649 +23 *3767:DIODE *3200:39 0.000205985 +24 *3842:DIODE *22832:A 8.19958e-06 +25 *4457:DIODE *3200:13 0.00011818 +26 *4498:DIODE *3200:13 0.000118166 +27 *4502:DIODE *3200:17 0.000441022 +28 *4503:DIODE *3200:17 1.31657e-05 +29 *4523:DIODE *3200:37 0.000148666 +30 *4770:DIODE *3200:33 4.56831e-05 +31 *6253:DIODE *3200:33 0.000171288 +32 *21443:A *3200:17 0.000164815 +33 *21444:A *3200:17 6.08467e-05 +34 *22255:TE *3200:9 1.20742e-05 +35 *22255:TE *3200:13 9.16123e-05 +36 *22288:A *3200:33 0.00011818 +37 *22371:TE *3200:9 2.65667e-05 +38 *22412:A_N *3200:17 2.29272e-05 +39 *22412:A_N *3200:33 1.41976e-05 +40 *22412:B *3200:17 2.81584e-05 +41 *23523:A *3200:33 0.000262509 +42 *23567:A *3200:39 6.75138e-05 +43 *1242:11 *3200:17 0.000483488 +44 *1368:7 *3200:33 0.00238625 +45 *1368:9 *3200:33 1.67988e-05 +46 *1368:9 *3200:37 0.00211446 +47 *1368:9 *3200:39 0.00238409 +48 *1368:23 *3200:39 0.000976807 +49 *1380:7 *3200:50 0.000685711 +50 *1430:25 *3200:39 0.000193186 +51 *1754:9 *3200:17 2.20556e-05 +52 *1758:11 *3200:17 0.000634119 +53 *1760:12 *3200:17 0.000835607 +54 *1764:9 *3200:37 6.50727e-05 +55 *2380:14 *3200:53 0.00221397 +56 *2394:26 *3200:54 0.000247537 +57 *2509:10 *22832:A 5.71239e-05 +58 *2509:10 *3200:54 0.000809932 +59 *2509:12 *3200:54 1.0645e-05 +60 *2830:41 *3200:33 0.00085524 +61 *2836:44 *3200:50 7.09666e-06 +62 *2841:30 *3200:53 0.00073191 +63 *2844:29 *3200:37 0.000241098 +64 *2844:29 *3200:39 0.00562986 +65 *2980:9 *22832:A 2.65667e-05 +66 *2983:18 *3200:54 0 +67 *2984:24 *3200:50 6.34365e-05 +68 *2985:33 *22832:A 1.90817e-05 +69 *2998:71 *22832:A 6.50586e-05 +70 *3097:10 *3200:17 7.40309e-05 +71 *3099:11 *3200:17 0.000223271 +72 *3108:9 *3200:39 0.000370189 +*RES +1 *22371:Z *3200:9 29.0099 +2 *3200:9 *3200:13 34.8436 +3 *3200:13 *3200:17 48.576 +4 *3200:17 *3200:33 49.9867 +5 *3200:33 *3200:37 23.209 +6 *3200:37 *3200:39 68.6623 +7 *3200:39 *3200:50 46.0848 +8 *3200:50 *3200:53 28.5167 +9 *3200:53 *3200:54 45.4335 +10 *3200:54 *5350:DIODE 13.7491 +11 *3200:54 *22832:A 19.2113 +*END + +*D_NET *3201 0.00297469 +*CONN +*I *5351:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22833:A I *D sky130_fd_sc_hd__buf_2 +*I *23089:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5351:DIODE 0 +2 *22833:A 0.000208545 +3 *23089:Y 0.000608513 +4 *3201:5 0.000817058 +5 *3201:5 *3244:8 0.000557482 +6 *4126:DIODE *22833:A 0.000320287 +7 *5612:DIODE *3201:5 6.50586e-05 +8 *2553:6 *22833:A 0.000372364 +9 *2793:10 *22833:A 2.5386e-05 +*RES +1 *23089:Y *3201:5 28.2745 +2 *3201:5 *22833:A 27.0361 +3 *3201:5 *5351:DIODE 9.24915 +*END + +*D_NET *3202 0.0016353 +*CONN +*I *22834:A I *D sky130_fd_sc_hd__buf_2 +*I *5352:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23090:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22834:A 0.00027734 +2 *5352:DIODE 7.32391e-05 +3 *23090:Y 0 +4 *3202:4 0.000350579 +5 *22834:A *22959:A 4.14103e-05 +6 *642:8 *22834:A 0.000185485 +7 *898:8 *22834:A 6.50727e-05 +8 *2080:47 *22834:A 0.000111722 +9 *2649:9 *22834:A 0.000292117 +10 *2921:21 *5352:DIODE 0.000115934 +11 *2921:21 *22834:A 6.50586e-05 +12 *2932:7 *22834:A 5.73392e-05 +*RES +1 *23090:Y *3202:4 9.24915 +2 *3202:4 *5352:DIODE 10.5271 +3 *3202:4 *22834:A 27.3443 +*END + +*D_NET *3203 0.00432712 +*CONN +*I *5353:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22835:A I *D sky130_fd_sc_hd__buf_2 +*I *23091:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5353:DIODE 1.69747e-05 +2 *22835:A 0.000269372 +3 *23091:Y 0.000575571 +4 *3203:9 0.000861918 +5 *3203:9 *22959:A 2.95757e-05 +6 *3203:9 *3341:6 0.000255465 +7 *4127:DIODE *22835:A 1.35239e-05 +8 *1329:10 *22835:A 0.000313381 +9 *2080:49 *22835:A 0 +10 *2253:51 *3203:9 0.00107561 +11 *2491:24 *3203:9 7.23007e-05 +12 *2511:9 *5353:DIODE 6.08467e-05 +13 *2511:9 *3203:9 0.000513023 +14 *2632:40 *22835:A 0.000143149 +15 *2639:21 *5353:DIODE 1.65872e-05 +16 *2639:21 *3203:9 5.99527e-05 +17 *2649:9 *3203:9 4.98646e-05 +*RES +1 *23091:Y *3203:9 37.7129 +2 *3203:9 *22835:A 25.4794 +3 *3203:9 *5353:DIODE 9.97254 +*END + +*D_NET *3204 0.00549839 +*CONN +*I *5354:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22836:A I *D sky130_fd_sc_hd__buf_2 +*I *23092:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5354:DIODE 7.62714e-06 +2 *22836:A 0.000274879 +3 *23092:Y 0.000633893 +4 *3204:9 0.000916399 +5 *3846:DIODE *22836:A 0.000225583 +6 *4129:DIODE *22836:A 2.46191e-05 +7 *23093:A *3204:9 0.000113968 +8 *391:9 *22836:A 7.09666e-06 +9 *1596:9 *3204:9 6.08467e-05 +10 *2503:26 *3204:9 1.75682e-05 +11 *2511:8 *3204:9 0.000205499 +12 *2655:15 *3204:9 0 +13 *2658:12 *22836:A 0 +14 *2794:10 *22836:A 4.10004e-05 +15 *2796:10 *22836:A 6.50586e-05 +16 *2916:20 *3204:9 0 +17 *2919:49 *5354:DIODE 5.08751e-05 +18 *2919:49 *3204:9 0.00112457 +19 *2921:23 *5354:DIODE 6.50727e-05 +20 *2921:23 *3204:9 0.00143672 +21 *2931:31 *22836:A 0.000157839 +22 *2934:9 *22836:A 6.92705e-05 +*RES +1 *23092:Y *3204:9 42.8381 +2 *3204:9 *22836:A 26.2028 +3 *3204:9 *5354:DIODE 9.97254 +*END + +*D_NET *3205 0.00239209 +*CONN +*I *5355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22837:A I *D sky130_fd_sc_hd__buf_2 +*I *23093:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5355:DIODE 7.62714e-06 +2 *22837:A 0.000121452 +3 *23093:Y 0.000167048 +4 *3205:5 0.000296127 +5 *4130:DIODE *22837:A 2.34447e-05 +6 *2919:49 *5355:DIODE 6.50727e-05 +7 *2919:49 *3205:5 0.000942108 +8 *2931:31 *5355:DIODE 5.08751e-05 +9 *2931:31 *3205:5 0.000672359 +10 *2934:13 *22837:A 4.59793e-05 +*RES +1 *23093:Y *3205:5 19.4008 +2 *3205:5 *22837:A 20.9116 +3 *3205:5 *5355:DIODE 9.97254 +*END + +*D_NET *3206 0.0454918 +*CONN +*I *6140:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23417:A I *D sky130_fd_sc_hd__nand2_2 +*I *22218:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6140:DIODE 0 +2 *23417:A 0.000356979 +3 *22218:X 0 +4 *3206:9 0.00664767 +5 *3206:8 0.00629069 +6 *3206:6 0.00720042 +7 *3206:5 0.00720042 +8 *3206:6 *23022:A 0 +9 *3206:6 *23033:A 0 +10 *3206:6 *3423:12 0 +11 *3206:9 *3260:8 0.00241971 +12 la_oenb_core[4] *3206:6 2.01855e-05 +13 *4407:DIODE *3206:6 4.05126e-05 +14 *5784:DIODE *3206:9 6.50727e-05 +15 *23142:A *3206:9 8.39223e-05 +16 *23274:A *3206:6 0.000240335 +17 *23417:B *23417:A 0.00016553 +18 *1153:54 *3206:6 0 +19 *1719:14 *3206:9 0.00325677 +20 *1776:10 *3206:6 0.000231144 +21 *1916:23 *3206:6 4.10998e-05 +22 *2003:55 *3206:6 0.000533479 +23 *2140:8 *23417:A 7.26455e-05 +24 *2140:8 *3206:9 0.00391397 +25 *2488:39 *3206:6 0 +26 *2676:21 *3206:9 0.00256197 +27 *2846:19 *3206:9 0.00121993 +28 *2847:35 *3206:6 7.20738e-05 +29 *2851:40 *3206:6 0.00113166 +30 *2855:12 *3206:6 0.000993831 +31 *2857:21 *3206:6 0.000223308 +32 *2984:24 *3206:6 0 +33 *3138:48 *3206:6 0.000508493 +*RES +1 *22218:X *3206:5 13.7491 +2 *3206:5 *3206:6 160.043 +3 *3206:6 *3206:8 4.5 +4 *3206:8 *3206:9 203.154 +5 *3206:9 *23417:A 17.2788 +6 *3206:9 *6140:DIODE 9.24915 +*END + +*D_NET *3207 0.00459057 +*CONN +*I *5356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22838:A I *D sky130_fd_sc_hd__buf_2 +*I *23094:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5356:DIODE 0 +2 *22838:A 0.000205487 +3 *23094:Y 0.000961487 +4 *3207:8 0.00116697 +5 *3207:8 *3250:18 0.000461678 +6 la_data_in_mprj[104] *22838:A 9.22607e-06 +7 *1846:11 *3207:8 6.50586e-05 +8 *1985:57 *3207:8 0.000217937 +9 *2257:21 *3207:8 3.27935e-05 +10 *2269:52 *3207:8 6.49003e-05 +11 *2796:11 *22838:A 6.1331e-06 +12 *2798:8 *22838:A 0.000211613 +13 *2798:8 *3207:8 0.000867334 +14 *2909:18 *3207:8 0.000127367 +15 *2926:12 *22838:A 4.66767e-05 +16 *2926:12 *3207:8 0.000145904 +*RES +1 *23094:Y *3207:8 41.6377 +2 *3207:8 *22838:A 19.3265 +3 *3207:8 *5356:DIODE 13.7491 +*END + +*D_NET *3208 0.00326969 +*CONN +*I *5357:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22839:A I *D sky130_fd_sc_hd__buf_2 +*I *23095:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5357:DIODE 0 +2 *22839:A 0.000404953 +3 *23095:Y 0.00046352 +4 *3208:8 0.000868474 +5 *3849:DIODE *22839:A 3.2752e-05 +6 *4132:DIODE *22839:A 0 +7 *1600:9 *22839:A 6.8457e-05 +8 *1600:9 *3208:8 0.00027377 +9 *2512:18 *3208:8 0.000202228 +10 *2515:15 *22839:A 6.92705e-05 +11 *2632:51 *3208:8 0.000871886 +12 *2648:17 *22839:A 0 +13 *2660:10 *22839:A 0 +14 *2660:10 *3208:8 0 +15 *2932:9 *22839:A 1.43848e-05 +*RES +1 *23095:Y *3208:8 28.5002 +2 *3208:8 *22839:A 22.5333 +3 *3208:8 *5357:DIODE 13.7491 +*END + +*D_NET *3209 0.00405366 +*CONN +*I *5358:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22840:A I *D sky130_fd_sc_hd__buf_2 +*I *23096:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5358:DIODE 9.89383e-05 +2 *22840:A 0.000315731 +3 *23096:Y 0.000599874 +4 *3209:7 0.00101454 +5 la_data_in_mprj[106] *22840:A 6.92705e-05 +6 *523:8 *22840:A 5.32981e-05 +7 *1180:8 *5358:DIODE 0.000171033 +8 *1180:8 *22840:A 7.50722e-05 +9 *1333:6 *5358:DIODE 0.000114074 +10 *2517:18 *22840:A 0.000169062 +11 *2639:25 *3209:7 0.000988743 +12 *2659:16 *5358:DIODE 3.48042e-05 +13 *2659:16 *22840:A 0.00033483 +14 *2932:9 *22840:A 1.43848e-05 +*RES +1 *23096:Y *3209:7 24.4554 +2 *3209:7 *22840:A 23.7791 +3 *3209:7 *5358:DIODE 17.2421 +*END + +*D_NET *3210 0.00371342 +*CONN +*I *5359:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22841:A I *D sky130_fd_sc_hd__buf_2 +*I *23097:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5359:DIODE 0.000307993 +2 *22841:A 2.06324e-05 +3 *23097:Y 0.000367684 +4 *3210:9 0.000696309 +5 *5359:DIODE *22842:A 9.12137e-05 +6 *3992:DIODE *3210:9 0.000169041 +7 *3993:DIODE *5359:DIODE 0.000164815 +8 *523:8 *3210:9 0.000158371 +9 *524:8 *5359:DIODE 0.000164829 +10 *2516:17 *3210:9 0 +11 *2659:16 *3210:9 0 +12 *2660:10 *5359:DIODE 0.000271044 +13 *2660:10 *3210:9 0.000889 +14 *2796:11 *3210:9 9.82307e-05 +15 *2801:9 *5359:DIODE 0.000141323 +16 *2801:9 *3210:9 7.48797e-05 +17 *2802:11 *5359:DIODE 3.72076e-05 +18 *2919:60 *3210:9 0 +19 *2931:50 *5359:DIODE 6.08467e-05 +*RES +1 *23097:Y *3210:9 31.7545 +2 *3210:9 *22841:A 9.82786 +3 *3210:9 *5359:DIODE 28.1832 +*END + +*D_NET *3211 0.00370288 +*CONN +*I *5360:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22842:A I *D sky130_fd_sc_hd__buf_2 +*I *23098:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5360:DIODE 0.000228017 +2 *22842:A 0.000421078 +3 *23098:Y 0.000373853 +4 *3211:9 0.00102295 +5 la_data_in_mprj[108] *22842:A 0.000261387 +6 *3853:DIODE *22842:A 0.000110675 +7 *5359:DIODE *22842:A 9.12137e-05 +8 *525:8 *22842:A 0 +9 *2519:7 *22842:A 0.0003112 +10 *2661:10 *22842:A 0 +11 *2799:12 *3211:9 5.78011e-05 +12 *2802:11 *22842:A 5.00169e-05 +13 *2915:40 *22842:A 0 +14 *2915:40 *3211:9 5.23737e-05 +15 *2915:42 *3211:9 4.37651e-05 +16 *3013:19 *5360:DIODE 0.000354397 +17 *3013:19 *3211:9 0.000324151 +*RES +1 *23098:Y *3211:9 26.4843 +2 *3211:9 *22842:A 29.9698 +3 *3211:9 *5360:DIODE 13.3002 +*END + +*D_NET *3212 0.00276891 +*CONN +*I *5361:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22843:A I *D sky130_fd_sc_hd__buf_2 +*I *23099:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5361:DIODE 4.18965e-05 +2 *22843:A 0.000170205 +3 *23099:Y 0.000462632 +4 *3212:6 0.000674733 +5 *3996:DIODE *22843:A 1.90543e-05 +6 *526:5 *22843:A 4.94e-06 +7 *1604:8 *3212:6 0.000458422 +8 *2636:14 *22843:A 0 +9 *2636:14 *3212:6 0 +10 *2663:10 *22843:A 0.000162626 +11 *2663:10 *3212:6 0.000709345 +12 *3013:19 *5361:DIODE 6.50586e-05 +*RES +1 *23099:Y *3212:6 28.454 +2 *3212:6 *22843:A 18.0727 +3 *3212:6 *5361:DIODE 14.4725 +*END + +*D_NET *3213 0.0243766 +*CONN +*I *5362:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22844:A I *D sky130_fd_sc_hd__buf_2 +*I *23100:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5362:DIODE 0 +2 *22844:A 0.000205988 +3 *23100:Y 4.96918e-05 +4 *3213:12 0.000326217 +5 *3213:9 0.00909888 +6 *3213:8 0.00902834 +7 *22844:A *3330:11 9.90116e-05 +8 *3213:8 *22880:A 8.62625e-06 +9 *3213:8 *3254:10 6.64609e-05 +10 *3213:9 *3225:10 1.42903e-05 +11 *3855:DIODE *22844:A 4.66876e-05 +12 *4268:DIODE *22844:A 0 +13 *5728:DIODE *3213:9 6.98347e-05 +14 *23111:A *3213:9 0.000214364 +15 *1614:9 *3213:9 0.000526555 +16 *1675:9 *3213:9 0.00253408 +17 *1697:13 *3213:9 9.39502e-05 +18 *1708:15 *3213:9 0.00181076 +19 *2792:8 *22844:A 0 +20 *2792:8 *3213:12 0 +21 *2845:6 *3213:8 0 +22 *2966:18 *22844:A 9.92077e-05 +23 *2966:18 *3213:12 8.3647e-05 +*RES +1 *23100:Y *3213:8 19.6659 +2 *3213:8 *3213:9 142.702 +3 *3213:9 *3213:12 7.993 +4 *3213:12 *22844:A 20.4599 +5 *3213:12 *5362:DIODE 13.7491 +*END + +*D_NET *3214 0.00353008 +*CONN +*I *5363:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22845:A I *D sky130_fd_sc_hd__buf_2 +*I *23101:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5363:DIODE 0 +2 *22845:A 0.000403885 +3 *23101:Y 0.000630347 +4 *3214:12 0.00103423 +5 *5624:DIODE *3214:12 0.000169862 +6 *23102:A *22845:A 4.2547e-05 +7 *528:5 *22845:A 3.51249e-05 +8 *784:8 *22845:A 0.000198477 +9 *1605:9 *22845:A 0.000166533 +10 *1605:9 *3214:12 8.96998e-05 +11 *2523:13 *22845:A 6.32596e-05 +12 *2632:63 *3214:12 0.000164857 +13 *2632:65 *3214:12 0.000390924 +14 *2665:9 *22845:A 0.000126063 +15 *2665:9 *3214:12 1.42662e-05 +16 *2808:11 *22845:A 0 +*RES +1 *23101:Y *3214:12 26.4803 +2 *3214:12 *22845:A 25.6205 +3 *3214:12 *5363:DIODE 13.7491 +*END + +*D_NET *3215 0.00261606 +*CONN +*I *5364:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22846:A I *D sky130_fd_sc_hd__buf_2 +*I *23102:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5364:DIODE 6.66191e-05 +2 *22846:A 0.000215576 +3 *23102:Y 6.4976e-05 +4 *3215:5 0.000347171 +5 *3857:DIODE *22846:A 0.000139435 +6 *3998:DIODE *3215:5 0.000324151 +7 *4141:DIODE *5364:DIODE 4.66492e-05 +8 *4141:DIODE *22846:A 5.19205e-05 +9 *4141:DIODE *3215:5 0.000113968 +10 *21669:A *22846:A 2.65831e-05 +11 *529:13 *5364:DIODE 0.000113968 +12 *2521:7 *22846:A 6.08467e-05 +13 *2523:13 *5364:DIODE 0.000260374 +14 *2523:13 *22846:A 0 +15 *2523:13 *3215:5 0.000426168 +16 *2645:22 *22846:A 0.000333051 +17 *2808:11 *22846:A 3.08636e-06 +18 *2931:50 *3215:5 2.15184e-05 +*RES +1 *23102:Y *3215:5 14.4094 +2 *3215:5 *22846:A 25.3723 +3 *3215:5 *5364:DIODE 12.191 +*END + +*D_NET *3216 0.00485419 +*CONN +*I *22847:A I *D sky130_fd_sc_hd__buf_2 +*I *5365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23103:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22847:A 0.000108583 +2 *5365:DIODE 2.50285e-05 +3 *23103:Y 0.00102065 +4 *3216:9 0.000333679 +5 *3216:8 0.00122071 +6 *3216:8 *3219:8 0 +7 *4000:DIODE *22847:A 3.08133e-05 +8 *23103:A *3216:8 0 +9 *530:5 *22847:A 3.67528e-06 +10 *2523:17 *5365:DIODE 2.16355e-05 +11 *2523:17 *3216:9 8.40003e-05 +12 *2523:18 *3216:8 0 +13 *2525:8 *22847:A 0 +14 *2525:15 *3216:9 0.00119476 +15 *2809:10 *22847:A 0.000184799 +16 *3013:15 *5365:DIODE 2.16355e-05 +17 *3013:15 *3216:9 0.000604224 +*RES +1 *23103:Y *3216:8 37.937 +2 *3216:8 *3216:9 15.1431 +3 *3216:9 *5365:DIODE 9.97254 +4 *3216:9 *22847:A 21.7421 +*END + +*D_NET *3217 0.0815847 +*CONN +*I *6142:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23418:A I *D sky130_fd_sc_hd__nand2_1 +*I *22219:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6142:DIODE 1.26553e-05 +2 *23418:A 0.000110749 +3 *22219:X 1.59132e-05 +4 *3217:26 0.00297271 +5 *3217:25 0.00660933 +6 *3217:22 0.00738575 +7 *3217:8 0.00640718 +8 *3217:7 0.00279737 +9 *3217:8 *23034:A 0 +10 *3217:8 *3424:14 0 +11 *3217:22 *3437:7 0.000676555 +12 *3217:22 *3438:15 0.000629906 +13 *3217:22 *3438:17 7.92757e-06 +14 *3217:26 *3394:12 3.07029e-05 +15 *3217:26 *3402:6 0 +16 la_oenb_core[50] *3217:8 5.47232e-06 +17 *3789:DIODE *3217:8 7.59407e-05 +18 *4408:DIODE *3217:8 3.82791e-05 +19 *22312:A *3217:26 4.15661e-05 +20 *22778:A *3217:8 0 +21 *1405:9 *3217:8 4.20615e-05 +22 *1898:15 *6142:DIODE 2.65667e-05 +23 *1941:35 *3217:22 5.4678e-05 +24 *1994:16 *23418:A 0.00036775 +25 *1997:24 *6142:DIODE 6.50586e-05 +26 *2002:20 *23418:A 0.000375025 +27 *2003:38 *23418:A 5.77901e-05 +28 *2003:38 *3217:26 6.14756e-06 +29 *2008:53 *3217:25 0.000165657 +30 *2108:22 *3217:22 0.00138938 +31 *2108:24 *3217:22 0.00195364 +32 *2175:27 *3217:26 0.000305591 +33 *2176:45 *3217:26 0.00209743 +34 *2466:9 *3217:22 0.0119003 +35 *2466:9 *3217:25 0.0151041 +36 *2586:12 *23418:A 4.12977e-05 +37 *2586:12 *3217:26 0 +38 *2588:14 *23418:A 3.38973e-05 +39 *2588:14 *3217:26 0.00142063 +40 *2604:18 *3217:25 5.76799e-05 +41 *2784:6 *3217:26 0.000200153 +42 *2870:92 *3217:8 0.000312423 +43 *2871:16 *3217:26 0 +44 *2893:26 *3217:22 5.60804e-05 +45 *2985:45 *3217:7 2.65831e-05 +46 *2998:114 *3217:7 2.65831e-05 +47 *3017:10 *3217:22 7.44425e-06 +48 *3109:40 *3217:26 1.97745e-05 +49 *3134:17 *3217:22 0.00344277 +50 *3134:17 *3217:25 0.0137822 +51 *3141:36 *3217:8 0 +52 *3143:45 *3217:8 0.000181791 +53 *3153:7 *3217:25 0.000246195 +*RES +1 *22219:X *3217:7 14.4725 +2 *3217:7 *3217:8 54.3614 +3 *3217:8 *3217:22 45.5515 +4 *3217:22 *3217:25 24.4535 +5 *3217:25 *3217:26 71.802 +6 *3217:26 *23418:A 22.5833 +7 *3217:26 *6142:DIODE 14.4725 +*END + +*D_NET *3218 0.0162361 +*CONN +*I *5366:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22848:A I *D sky130_fd_sc_hd__buf_2 +*I *23104:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5366:DIODE 0 +2 *22848:A 0.000393441 +3 *23104:Y 0 +4 *3218:8 0.0026334 +5 *3218:5 0.00509718 +6 *3218:4 0.00285723 +7 *3859:DIODE *22848:A 0.000133941 +8 *4143:DIODE *22848:A 0 +9 *21671:A *22848:A 5.04829e-06 +10 *1213:27 *3218:8 0.000142523 +11 *1975:30 *3218:5 0.00464905 +12 *2263:25 *3218:8 1.66771e-05 +13 *2517:32 *3218:8 0 +14 *2521:7 *22848:A 6.08467e-05 +15 *2526:8 *22848:A 0 +16 *2526:8 *3218:8 0 +17 *2526:15 *3218:8 0 +18 *2540:30 *3218:8 0.000206653 +19 *2636:20 *3218:8 4.01379e-05 +20 *2668:10 *22848:A 0 +21 *2668:10 *3218:8 0 +22 *2668:12 *3218:8 0 +23 *2932:21 *22848:A 0 +*RES +1 *23104:Y *3218:4 9.24915 +2 *3218:4 *3218:5 50.6377 +3 *3218:5 *3218:8 49.5182 +4 *3218:8 *22848:A 22.1181 +5 *3218:8 *5366:DIODE 13.7491 +*END + +*D_NET *3219 0.00793461 +*CONN +*I *5367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22849:A I *D sky130_fd_sc_hd__buf_2 +*I *23105:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5367:DIODE 1.26553e-05 +2 *22849:A 0.000332656 +3 *23105:Y 0.00116066 +4 *3219:8 0.00184247 +5 *3219:7 0.00265782 +6 *3707:DIODE *3219:7 0.000271058 +7 *4144:DIODE *22849:A 0 +8 *21814:A *22849:A 6.6828e-05 +9 *23103:A *3219:8 7.2465e-05 +10 *23105:A *3219:7 6.92705e-05 +11 *404:8 *22849:A 6.73739e-06 +12 *532:5 *22849:A 0.000132291 +13 *1967:40 *3219:7 0.00121808 +14 *2094:13 *22849:A 0 +15 *2525:15 *5367:DIODE 2.65667e-05 +16 *2636:24 *3219:8 0 +17 *2669:6 *22849:A 0 +18 *2669:6 *3219:8 0 +19 *3013:15 *5367:DIODE 6.50586e-05 +20 *3216:8 *3219:8 0 +*RES +1 *23105:Y *3219:7 48.858 +2 *3219:7 *3219:8 29.2386 +3 *3219:8 *22849:A 21.3947 +4 *3219:8 *5367:DIODE 14.4725 +*END + +*D_NET *3220 0.00600072 +*CONN +*I *5368:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22850:A I *D sky130_fd_sc_hd__buf_2 +*I *23106:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5368:DIODE 0 +2 *22850:A 0.00020331 +3 *23106:Y 0.000936405 +4 *3220:8 0.00113971 +5 *3861:DIODE *22850:A 0.0002646 +6 *1980:62 *3220:8 0.00105477 +7 *2524:17 *22850:A 0.00032445 +8 *2670:6 *22850:A 6.65184e-05 +9 *2670:6 *3220:8 0.000436576 +10 *2798:15 *3220:8 0.00105477 +11 *2803:16 *22850:A 6.65184e-05 +12 *2803:16 *3220:8 0.00043651 +13 *2804:17 *22850:A 1.65872e-05 +*RES +1 *23106:Y *3220:8 47.6046 +2 *3220:8 *22850:A 21.3173 +3 *3220:8 *5368:DIODE 13.7491 +*END + +*D_NET *3221 0.00525293 +*CONN +*I *22851:A I *D sky130_fd_sc_hd__buf_2 +*I *5369:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23107:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22851:A 0.000165174 +2 *5369:DIODE 9.36721e-06 +3 *23107:Y 0.000981001 +4 *3221:11 0.000265748 +5 *3221:10 0.00107221 +6 *4003:DIODE *22851:A 0 +7 *4146:DIODE *22851:A 0 +8 *23108:A *3221:10 2.1203e-06 +9 *1141:13 *3221:10 6.50727e-05 +10 *1483:9 *3221:10 0.000101987 +11 *1985:68 *3221:10 2.65831e-05 +12 *2268:63 *3221:10 7.22263e-05 +13 *2525:15 *5369:DIODE 6.50586e-05 +14 *2525:15 *3221:11 0.000709036 +15 *2646:14 *3221:10 7.37342e-05 +16 *2670:6 *22851:A 0 +17 *2796:32 *22851:A 8.02166e-05 +18 *2814:6 *3221:10 0.000456252 +19 *2931:56 *3221:10 0.000323067 +20 *3013:15 *5369:DIODE 6.50586e-05 +21 *3013:15 *3221:11 0.000719022 +*RES +1 *23107:Y *3221:10 44.4739 +2 *3221:10 *3221:11 7.93324 +3 *3221:11 *5369:DIODE 9.97254 +4 *3221:11 *22851:A 22.1574 +*END + +*D_NET *3222 0.00120579 +*CONN +*I *5370:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22852:A I *D sky130_fd_sc_hd__buf_2 +*I *23108:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5370:DIODE 4.6511e-05 +2 *22852:A 0.000101515 +3 *23108:Y 1.35195e-05 +4 *3222:5 0.000161545 +5 *23108:A *5370:DIODE 6.50727e-05 +6 *23108:A *3222:5 6.50586e-05 +7 *2525:15 *5370:DIODE 1.41689e-05 +8 *2525:15 *3222:5 2.41483e-05 +9 *2525:22 *5370:DIODE 0.000213739 +10 *2646:14 *22852:A 5.14745e-05 +11 *2814:6 *22852:A 3.15551e-05 +12 *2932:28 *5370:DIODE 0.000299312 +13 *2932:28 *3222:5 0.000118166 +*RES +1 *23108:Y *3222:5 10.5271 +2 *3222:5 *22852:A 20.9116 +3 *3222:5 *5370:DIODE 12.7456 +*END + +*D_NET *3223 0.00414108 +*CONN +*I *22853:A I *D sky130_fd_sc_hd__buf_2 +*I *5371:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23109:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22853:A 0.000236707 +2 *5371:DIODE 1.47608e-05 +3 *23109:Y 0.000516274 +4 *3223:11 0.000767741 +5 *4005:DIODE *22853:A 0 +6 *4148:DIODE *22853:A 0.000142484 +7 *408:8 *22853:A 3.19905e-05 +8 *2477:31 *3223:11 6.08467e-05 +9 *2524:28 *22853:A 0 +10 *2525:22 *22853:A 6.16595e-06 +11 *2531:10 *22853:A 0.000352796 +12 *2674:8 *3223:11 0.000463137 +13 *2675:16 *3223:11 0 +14 *2804:23 *5371:DIODE 6.08467e-05 +15 *2804:23 *3223:11 0.000707539 +16 *3013:15 *5371:DIODE 6.08467e-05 +17 *3013:15 *3223:11 0.000718945 +*RES +1 *23109:Y *3223:11 36.6276 +2 *3223:11 *5371:DIODE 9.97254 +3 *3223:11 *22853:A 25.8947 +*END + +*D_NET *3224 0.0134743 +*CONN +*I *5372:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22854:A I *D sky130_fd_sc_hd__buf_2 +*I *23110:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5372:DIODE 9.10213e-05 +2 *22854:A 7.81747e-05 +3 *23110:Y 2.59228e-05 +4 *3224:15 0.00117117 +5 *3224:8 0.00393288 +6 *3224:7 0.00295683 +7 *3224:15 *22857:A 6.92705e-05 +8 la_data_in_mprj[120] *3224:15 4.23858e-05 +9 la_data_in_mprj[121] *3224:15 5.07314e-05 +10 *3711:DIODE *3224:8 6.84784e-06 +11 *3866:DIODE *22854:A 3.86121e-05 +12 *3868:DIODE *3224:15 0.000118245 +13 *3869:DIODE *3224:15 7.48797e-05 +14 *3870:DIODE *3224:15 3.82228e-05 +15 *4011:DIODE *3224:15 6.73186e-05 +16 *4012:DIODE *3224:15 0.000152878 +17 *4013:DIODE *3224:15 4.58003e-05 +18 *4154:DIODE *3224:15 6.73351e-05 +19 *21822:A *3224:15 6.50727e-05 +20 *541:8 *3224:15 0.000164829 +21 *1332:6 *3224:8 0 +22 *1613:11 *3224:7 6.50727e-05 +23 *1973:39 *3224:8 0 +24 *2275:30 *3224:8 0 +25 *2532:7 *22854:A 0.000321985 +26 *2532:7 *3224:15 0.00011818 +27 *2532:8 *5372:DIODE 3.77804e-05 +28 *2533:6 *5372:DIODE 2.1588e-05 +29 *2538:9 *3224:8 0.00118849 +30 *2647:12 *3224:8 6.08697e-06 +31 *2680:8 *3224:8 0 +32 *2804:30 *3224:8 0 +33 *2813:13 *3224:15 4.66108e-05 +34 *2813:21 *3224:15 0.00150711 +35 *2823:8 *3224:8 0.000902975 +*RES +1 *23110:Y *3224:7 14.4725 +2 *3224:7 *3224:8 69.5181 +3 *3224:8 *3224:15 42.5747 +4 *3224:15 *22854:A 12.7456 +5 *3224:15 *5372:DIODE 20.4964 +*END + +*D_NET *3225 0.016207 +*CONN +*I *5373:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22855:A I *D sky130_fd_sc_hd__buf_2 +*I *23111:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5373:DIODE 0 +2 *22855:A 0.000243648 +3 *23111:Y 0.000760349 +4 *3225:15 0.00121239 +5 *3225:11 0.00415813 +6 *3225:10 0.00394974 +7 *3225:10 *22875:A 0 +8 *3225:10 *3247:8 0 +9 *3225:10 *3248:6 9.75356e-05 +10 *3225:11 *5383:DIODE 6.08467e-05 +11 *3225:11 *5384:DIODE 6.08467e-05 +12 *3225:11 *5385:DIODE 6.50727e-05 +13 *3225:11 *5386:DIODE 4.66492e-05 +14 *3225:11 *5388:DIODE 6.08467e-05 +15 *3225:11 *5394:DIODE 7.34948e-06 +16 *3225:11 *3238:11 0.00142649 +17 *3225:11 *3240:11 0.00115454 +18 *3225:11 *3342:11 0.000837329 +19 *3225:15 *3238:11 9.78804e-05 +20 *3867:DIODE *22855:A 3.86121e-05 +21 *4161:DIODE *3225:15 1.777e-05 +22 *4172:DIODE *3225:11 5.05976e-05 +23 *1664:11 *3225:15 0.00113745 +24 *1708:15 *3225:10 0.000338403 +25 *2534:6 *22855:A 9.91932e-05 +26 *2805:10 *22855:A 0.000271044 +27 *3213:9 *3225:10 1.42903e-05 +*RES +1 *23111:Y *3225:10 31.342 +2 *3225:10 *3225:11 83.9139 +3 *3225:11 *3225:15 16.831 +4 *3225:15 *22855:A 25.5145 +5 *3225:15 *5373:DIODE 9.24915 +*END + +*D_NET *3226 0.0158328 +*CONN +*I *5374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22856:A I *D sky130_fd_sc_hd__buf_2 +*I *23112:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5374:DIODE 4.18965e-05 +2 *22856:A 0.000327967 +3 *23112:Y 0.00178579 +4 *3226:16 0.00321941 +5 *3226:15 0.0030495 +6 *3226:10 0.00198574 +7 *4150:DIODE *22856:A 2.93493e-05 +8 *22253:A *3226:10 0.000160466 +9 *23365:A *3226:16 1.48605e-05 +10 *1143:18 *3226:16 0.000811466 +11 *1201:5 *3226:15 0.000317721 +12 *1217:8 *3226:10 1.07248e-05 +13 *2121:39 *3226:10 1.91391e-05 +14 *2137:50 *3226:10 0.00259145 +15 *2423:19 *5374:DIODE 6.50586e-05 +16 *2500:10 *3226:10 5.59381e-05 +17 *2511:15 *22856:A 2.81678e-06 +18 *2511:15 *3226:16 3.13073e-05 +19 *2520:12 *22856:A 0 +20 *2520:12 *3226:16 0 +21 *2523:26 *3226:16 0.000157572 +22 *2629:24 *3226:16 0.000718589 +23 *2817:10 *22856:A 0.000103487 +24 *2817:14 *22856:A 3.12396e-05 +25 *2817:14 *3226:16 0.000301323 +*RES +1 *23112:Y *3226:10 46.4285 +2 *3226:10 *3226:15 12.4964 +3 *3226:15 *3226:16 67.8571 +4 *3226:16 *22856:A 21.3947 +5 *3226:16 *5374:DIODE 14.4725 +*END + +*D_NET *3227 0.00549145 +*CONN +*I *5375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22857:A I *D sky130_fd_sc_hd__buf_2 +*I *23113:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5375:DIODE 0 +2 *22857:A 0.000197962 +3 *23113:Y 0.000441383 +4 *3227:8 0.00126163 +5 *3227:7 0.00150505 +6 *1143:17 *3227:7 0.00118184 +7 *2677:10 *22857:A 3.19513e-05 +8 *2677:10 *3227:8 0.000174824 +9 *2677:14 *3227:8 0.000562458 +10 *2800:12 *22857:A 0 +11 *2800:12 *3227:8 0 +12 *2813:21 *22857:A 6.50727e-05 +13 *2820:8 *22857:A 0 +14 *3224:15 *22857:A 6.92705e-05 +*RES +1 *23113:Y *3227:7 26.6738 +2 *3227:7 *3227:8 25.5014 +3 *3227:8 *22857:A 18.3808 +4 *3227:8 *5375:DIODE 13.7491 +*END + +*D_NET *3228 0.0515638 +*CONN +*I *23419:A I *D sky130_fd_sc_hd__nand2_1 +*I *6144:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22220:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23419:A 0.000179682 +2 *6144:DIODE 0 +3 *22220:X 0.00727692 +4 *3228:37 0.00620652 +5 *3228:35 0.00632162 +6 *3228:31 0.00263744 +7 *3228:24 0.00284614 +8 *3228:19 0.00778041 +9 *3228:19 *3239:21 0 +10 *3228:19 *3373:25 0.001502 +11 *3228:19 *3373:36 0.000804112 +12 *3228:24 *3316:9 0.000624568 +13 *3228:31 *3439:39 2.44412e-05 +14 *3228:35 *3439:39 0.00105533 +15 *3228:37 *5442:DIODE 6.50727e-05 +16 *3228:37 *6160:DIODE 0.000176388 +17 *3228:37 *3294:8 0.000164843 +18 *3228:37 *3439:39 4.77678e-05 +19 la_oenb_core[51] *3228:19 0.000106645 +20 *4409:DIODE *3228:19 0 +21 *5890:DIODE *3228:37 6.08467e-05 +22 *5905:DIODE *3228:37 0.000164815 +23 *5923:DIODE *3228:37 6.98314e-05 +24 *5927:DIODE *3228:37 8.13122e-05 +25 *5938:DIODE *3228:35 1.84293e-05 +26 *5939:DIODE *3228:31 4.75147e-05 +27 *6145:DIODE *23419:A 0.000167625 +28 *6161:DIODE *3228:37 2.39581e-05 +29 *6195:DIODE *3228:31 0.000177909 +30 *22779:A *3228:19 0 +31 *22780:A *3228:19 0 +32 *23176:A *3228:37 9.63981e-05 +33 *23178:A *3228:37 9.56161e-05 +34 *23308:A *3228:37 0.00015511 +35 *23316:A *3228:31 3.75603e-05 +36 *23444:A *3228:31 7.06457e-05 +37 *1150:9 *3228:37 0.000114374 +38 *1154:29 *3228:31 0.000642938 +39 *1546:5 *3228:37 7.66988e-05 +40 *1557:5 *3228:37 6.37791e-05 +41 *1563:5 *3228:31 0.000331221 +42 *1662:11 *3228:37 0.000415777 +43 *1662:17 *23419:A 0.000135726 +44 *1662:17 *3228:37 0.000108027 +45 *1679:11 *3228:37 0.000168258 +46 *1681:9 *3228:37 0.000256707 +47 *2092:16 *3228:37 0.000366463 +48 *2094:5 *3228:24 0.000216623 +49 *2126:25 *3228:19 0 +50 *2132:25 *3228:19 0.0037068 +51 *2203:24 *3228:37 2.15348e-05 +52 *2214:22 *3228:37 0.00167972 +53 *2257:21 *3228:19 0.000696937 +54 *2258:25 *3228:19 2.40249e-06 +55 *2404:47 *3228:19 0.00145092 +56 *2471:18 *3228:19 0.000177461 +57 *2735:15 *3228:37 0.000107496 +58 *2753:9 *3228:37 0.000680849 +59 *2761:11 *3228:31 0.000114584 +60 *2761:11 *3228:35 1.39864e-05 +61 *2904:22 *3228:19 0.000805439 +62 *2975:39 *3228:19 5.93953e-05 +63 *2981:31 *3228:19 6.21462e-05 +64 *3142:24 *3228:19 0 +*RES +1 *22220:X *3228:19 48.5105 +2 *3228:19 *3228:24 21.1202 +3 *3228:24 *3228:31 41.8364 +4 *3228:31 *3228:35 12.2495 +5 *3228:35 *3228:37 153.239 +6 *3228:37 *6144:DIODE 9.24915 +7 *3228:37 *23419:A 15.398 +*END + +*D_NET *3229 0.00198969 +*CONN +*I *5376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22858:A I *D sky130_fd_sc_hd__buf_2 +*I *23114:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5376:DIODE 3.30647e-05 +2 *22858:A 0.00022276 +3 *23114:Y 0.000326676 +4 *3229:5 0.0005825 +5 *4155:DIODE *22858:A 0 +6 *541:8 *22858:A 0.000213544 +7 *797:7 *22858:A 3.67528e-06 +8 *2530:14 *22858:A 2.29151e-05 +9 *3047:25 *5376:DIODE 6.50727e-05 +10 *3047:25 *3229:5 0.000519481 +*RES +1 *23114:Y *3229:5 14.964 +2 *3229:5 *22858:A 24.2337 +3 *3229:5 *5376:DIODE 9.97254 +*END + +*D_NET *3230 0.00235741 +*CONN +*I *22859:A I *D sky130_fd_sc_hd__buf_2 +*I *5377:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23115:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22859:A 0.000281915 +2 *5377:DIODE 2.92268e-05 +3 *23115:Y 0.000577063 +4 *3230:5 0.000888204 +5 *3711:DIODE *3230:5 6.50727e-05 +6 *4013:DIODE *22859:A 5.8705e-05 +7 *4155:DIODE *22859:A 0 +8 *4156:DIODE *3230:5 6.08467e-05 +9 *2680:8 *22859:A 0 +10 *2804:23 *5377:DIODE 2.65667e-05 +11 *2804:23 *3230:5 2.65831e-05 +12 *2811:39 *3230:5 0.000305854 +13 *3047:20 *22859:A 3.73754e-05 +*RES +1 *23115:Y *3230:5 23.8376 +2 *3230:5 *5377:DIODE 9.97254 +3 *3230:5 *22859:A 24.6489 +*END + +*D_NET *3231 0.00675496 +*CONN +*I *5378:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22860:A I *D sky130_fd_sc_hd__buf_2 +*I *23116:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5378:DIODE 0.000337247 +2 *22860:A 0.000691767 +3 *23116:Y 0.00120869 +4 *3231:8 0.0022377 +5 *22860:A *22861:A 0 +6 *3231:8 *3232:9 0 +7 *4014:DIODE *22860:A 0 +8 *4157:DIODE *22860:A 0.000292801 +9 *543:5 *22860:A 2.17961e-05 +10 *799:7 *22860:A 7.51261e-05 +11 *1209:8 *3231:8 0.000306484 +12 *2400:9 *3231:8 0.000377273 +13 *2423:21 *5378:DIODE 0.000228812 +14 *2519:19 *3231:8 0.000377273 +15 *2539:10 *22860:A 8.80213e-05 +16 *2681:8 *22860:A 7.94505e-05 +17 *2681:8 *3231:8 0.000343574 +18 *2815:5 *22860:A 8.89405e-05 +*RES +1 *23116:Y *3231:8 46.6236 +2 *3231:8 *22860:A 31.6425 +3 *3231:8 *5378:DIODE 19.464 +*END + +*D_NET *3232 0.00585473 +*CONN +*I *5379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22861:A I *D sky130_fd_sc_hd__buf_2 +*I *23117:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5379:DIODE 0 +2 *22861:A 0.000412283 +3 *23117:Y 0 +4 *3232:9 0.00241722 +5 *3232:5 0.00200494 +6 *22860:A *22861:A 0 +7 *1209:8 *3232:9 0 +8 *1618:10 *22861:A 0 +9 *1618:10 *3232:9 0.000362981 +10 *2423:21 *22861:A 2.65831e-05 +11 *2423:21 *3232:9 9.65932e-05 +12 *2539:10 *22861:A 8.32686e-05 +13 *2682:8 *22861:A 0 +14 *2682:8 *3232:9 0.000277034 +15 *2813:29 *22861:A 5.56461e-05 +16 *2824:10 *22861:A 0 +17 *3047:20 *22861:A 0.00011818 +18 *3231:8 *3232:9 0 +*RES +1 *23117:Y *3232:5 13.7491 +2 *3232:5 *3232:9 48.5834 +3 *3232:9 *22861:A 27.8961 +4 *3232:9 *5379:DIODE 9.24915 +*END + +*D_NET *3233 0.00718081 +*CONN +*I *5380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22862:A I *D sky130_fd_sc_hd__buf_2 +*I *23118:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5380:DIODE 8.04828e-05 +2 *22862:A 0.000238735 +3 *23118:Y 0 +4 *3233:6 0.00185597 +5 *3233:5 0.00153675 +6 *4159:DIODE *22862:A 6.1351e-05 +7 *21828:A *22862:A 6.08467e-05 +8 *2120:25 *3233:6 7.13655e-06 +9 *2536:12 *3233:6 0.000158528 +10 *2683:6 *22862:A 0 +11 *2683:6 *3233:6 0 +12 *2813:29 *22862:A 0.0005766 +13 *2824:13 *5380:DIODE 0.000277109 +14 *2826:8 *22862:A 0.000226745 +15 *2826:8 *3233:6 0.00124365 +16 *3013:15 *5380:DIODE 0.000636352 +17 *3047:20 *22862:A 0.000220561 +*RES +1 *23118:Y *3233:5 13.7491 +2 *3233:5 *3233:6 38.3742 +3 *3233:6 *22862:A 24.9208 +4 *3233:6 *5380:DIODE 20.5732 +*END + +*D_NET *3234 0.00278527 +*CONN +*I *5381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22863:A I *D sky130_fd_sc_hd__buf_2 +*I *23119:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5381:DIODE 4.73058e-05 +2 *22863:A 0.000201938 +3 *23119:Y 0.000438371 +4 *3234:6 0.000687614 +5 *4018:DIODE *22863:A 0.000101148 +6 *546:9 *22863:A 0 +7 *2535:14 *22863:A 0 +8 *2543:8 *22863:A 6.65264e-05 +9 *2543:8 *3234:6 0.000634765 +10 *2685:7 *22863:A 0.000122378 +11 *2685:8 *22863:A 2.63345e-05 +12 *2685:8 *3234:6 0 +13 *2813:29 *22863:A 7.97944e-05 +14 *2824:13 *5381:DIODE 0.000108054 +15 *3013:15 *5381:DIODE 0.000271044 +*RES +1 *23119:Y *3234:6 25.9624 +2 *3234:6 *22863:A 20.5573 +3 *3234:6 *5381:DIODE 16.691 +*END + +*D_NET *3235 0.0276063 +*CONN +*I *5382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22864:A I *D sky130_fd_sc_hd__buf_2 +*I *23120:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *5382:DIODE 0 +2 *22864:A 0.000119885 +3 *23120:Y 0 +4 *3235:8 0.000351393 +5 *3235:5 0.00657629 +6 *3235:4 0.00634479 +7 *3235:5 *3342:10 0.00103765 +8 *4152:DIODE *22864:A 0 +9 *5884:DIODE *3235:5 6.50727e-05 +10 *23100:A *3235:5 0.000217923 +11 *23216:A *3235:5 0.000224381 +12 *1150:23 *3235:5 0.00183311 +13 *1603:9 *3235:5 0.00318575 +14 *1719:15 *3235:5 0.00318285 +15 *2139:14 *3235:5 0.00167719 +16 *2638:24 *22864:A 8.71199e-05 +17 *2638:24 *3235:8 0.000130286 +18 *2732:15 *3235:5 0.000260388 +19 *2805:10 *22864:A 0 +20 *2805:10 *3235:8 0 +21 *2805:12 *3235:8 0 +22 *2852:11 *3235:5 0.00231217 +*RES +1 *23120:Y *3235:4 9.24915 +2 *3235:4 *3235:5 196.498 +3 *3235:5 *3235:8 10.4845 +4 *3235:8 *22864:A 17.2421 +5 *3235:8 *5382:DIODE 13.7491 +*END + +*D_NET *3236 0.00826793 +*CONN +*I *5383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22865:A I *D sky130_fd_sc_hd__buf_2 +*I *23121:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5383:DIODE 4.51842e-05 +2 *22865:A 0.000196984 +3 *23121:Y 0.00033995 +4 *3236:8 0.00173788 +5 *3236:7 0.00183566 +6 *22865:A *3330:11 9.04906e-05 +7 *3236:8 *3238:8 0 +8 *23300:A *3236:8 0.000224215 +9 *23417:B *3236:8 4.70559e-05 +10 *2545:6 *22865:A 0.000233455 +11 *2545:6 *3236:8 0.00323832 +12 *2688:9 *22865:A 0.000217887 +13 *2828:10 *3236:8 0 +14 *3225:11 *5383:DIODE 6.08467e-05 +*RES +1 *23121:Y *3236:7 21.1278 +2 *3236:7 *3236:8 55.3995 +3 *3236:8 *22865:A 20.4599 +4 *3236:8 *5383:DIODE 14.4725 +*END + +*D_NET *3237 0.00895931 +*CONN +*I *5384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22866:A I *D sky130_fd_sc_hd__buf_2 +*I *23122:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5384:DIODE 4.51842e-05 +2 *22866:A 0.00026703 +3 *23122:Y 0.000638754 +4 *3237:10 0.00197711 +5 *3237:9 0.00230365 +6 *22866:A *3330:8 0.0001729 +7 *3237:9 *3238:7 6.50586e-05 +8 *3237:10 *3240:8 0.00138194 +9 *3237:10 *3330:8 6.96979e-05 +10 *5646:DIODE *3237:9 0.000358862 +11 *23124:A *3237:9 0.000169728 +12 *549:8 *22866:A 0.000204562 +13 *1626:15 *3237:9 0.000275256 +14 *1627:9 *3237:9 5.35658e-05 +15 *2365:44 *3237:10 0 +16 *2546:6 *22866:A 6.1613e-05 +17 *2546:6 *3237:10 0.000808618 +18 *2687:10 *22866:A 4.49352e-05 +19 *3225:11 *5384:DIODE 6.08467e-05 +*RES +1 *23122:Y *3237:9 30.5802 +2 *3237:9 *3237:10 57.891 +3 *3237:10 *22866:A 21.8099 +4 *3237:10 *5384:DIODE 14.4725 +*END + +*D_NET *3238 0.00998172 +*CONN +*I *5385:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22867:A I *D sky130_fd_sc_hd__buf_2 +*I *23123:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5385:DIODE 9.71122e-06 +2 *22867:A 0.000101377 +3 *23123:Y 4.18965e-05 +4 *3238:11 0.000404169 +5 *3238:8 0.00201048 +6 *3238:7 0.0017593 +7 *5385:DIODE *3342:11 2.15348e-05 +8 *3238:11 *3342:11 0.000662283 +9 *4022:DIODE *22867:A 0 +10 *4161:DIODE *3238:11 0.000111708 +11 *2154:25 *3238:8 2.01653e-05 +12 *2544:10 *3238:8 0 +13 *2545:6 *3238:8 0 +14 *2744:15 *3238:8 0.000675895 +15 *2828:10 *3238:8 0.00230845 +16 *2830:16 *22867:A 0.000200236 +17 *3225:11 *5385:DIODE 6.50727e-05 +18 *3225:11 *3238:11 0.00142649 +19 *3225:15 *3238:11 9.78804e-05 +20 *3236:8 *3238:8 0 +21 *3237:9 *3238:7 6.50586e-05 +*RES +1 *23123:Y *3238:7 14.4725 +2 *3238:7 *3238:8 58.7215 +3 *3238:8 *3238:11 22.9707 +4 *3238:11 *22867:A 21.7421 +5 *3238:11 *5385:DIODE 9.97254 +*END + +*D_NET *3239 0.0628712 +*CONN +*I *6146:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23420:A I *D sky130_fd_sc_hd__nand2_1 +*I *22221:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6146:DIODE 8.75329e-05 +2 *23420:A 9.36721e-06 +3 *22221:X 0.00663512 +4 *3239:43 0.000735311 +5 *3239:37 0.00214408 +6 *3239:35 0.00315723 +7 *3239:27 0.00253177 +8 *3239:26 0.000957277 +9 *3239:21 0.00671219 +10 *6146:DIODE *3289:9 4.59975e-05 +11 *3239:21 *23036:A 5.64343e-05 +12 *3239:21 *3295:21 0.00223968 +13 *3239:21 *3426:26 4.67716e-05 +14 *3239:21 *3429:10 0 +15 *3239:26 *3319:6 0 +16 la_oenb_core[52] *3239:21 3.12828e-05 +17 *4410:DIODE *3239:21 0 +18 *6147:DIODE *23420:A 6.50586e-05 +19 *6147:DIODE *3239:43 0.000299192 +20 *22780:A *3239:21 0 +21 *23191:A *3239:27 0.000268088 +22 *23324:B *3239:26 0 +23 *1156:27 *3239:27 0.00374642 +24 *1159:39 *3239:35 0.000119332 +25 *1990:9 *3239:35 0.000100741 +26 *1991:30 *3239:21 0.000475856 +27 *2011:20 *3239:27 0.00104828 +28 *2091:17 *3239:21 0.00181424 +29 *2097:14 *3239:21 0.000259822 +30 *2130:19 *3239:21 0.000310684 +31 *2140:8 *3239:27 0.00202784 +32 *2143:14 *3239:37 0.00600669 +33 *2187:23 *3239:43 0.00010238 +34 *2189:31 *3239:37 0.000141764 +35 *2232:19 *3239:21 0.000710433 +36 *2232:19 *3239:27 0.000128579 +37 *2258:25 *3239:21 1.92199e-05 +38 *2261:21 *3239:21 1.06588e-05 +39 *2267:19 *3239:21 6.21462e-05 +40 *2269:29 *3239:21 0.00018795 +41 *2349:64 *3239:35 0.00168908 +42 *2437:22 *3239:21 0.0056468 +43 *2471:18 *3239:21 0 +44 *2598:8 *6146:DIODE 2.54809e-05 +45 *2758:8 *3239:35 0 +46 *2763:13 *3239:27 0.000357911 +47 *2764:11 *3239:27 1.41853e-05 +48 *2902:15 *3239:21 0.000545781 +49 *2904:22 *3239:21 0 +50 *2975:39 *3239:21 5.93953e-05 +51 *2981:31 *3239:21 6.21462e-05 +52 *3173:25 *3239:27 0.00144094 +53 *3173:31 *3239:35 0.000364546 +54 *3173:31 *3239:37 0.00540773 +55 *3173:37 *3239:37 0.000393246 +56 *3173:39 *23420:A 6.50586e-05 +57 *3173:39 *3239:37 0.00144414 +58 *3173:39 *3239:43 0.00205228 +59 *3195:20 *3239:43 7.09666e-06 +60 *3228:19 *3239:21 0 +*RES +1 *22221:X *3239:21 49.9135 +2 *3239:21 *3239:26 9.49315 +3 *3239:26 *3239:27 65.0574 +4 *3239:27 *3239:35 38.893 +5 *3239:35 *3239:37 90.2919 +6 *3239:37 *3239:43 32.3544 +7 *3239:43 *23420:A 9.97254 +8 *3239:43 *6146:DIODE 20.4964 +*END + +*D_NET *3240 0.00928204 +*CONN +*I *5386:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22868:A I *D sky130_fd_sc_hd__buf_2 +*I *23124:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5386:DIODE 4.34621e-05 +2 *22868:A 0.000110925 +3 *23124:Y 5.41356e-05 +4 *3240:11 0.000838596 +5 *3240:8 0.00213467 +6 *3240:7 0.0015046 +7 *3240:8 *3330:8 6.96979e-05 +8 *4165:DIODE *22868:A 0 +9 *2144:20 *3240:8 0.000195139 +10 *2687:12 *3240:8 0.00142539 +11 *2690:8 *22868:A 8.01741e-05 +12 *2768:20 *22868:A 0.000242134 +13 *3225:11 *5386:DIODE 4.66492e-05 +14 *3225:11 *3240:11 0.00115454 +15 *3237:10 *3240:8 0.00138194 +*RES +1 *23124:Y *3240:7 14.4725 +2 *3240:7 *3240:8 57.891 +3 *3240:8 *3240:11 16.8701 +4 *3240:11 *22868:A 22.5727 +5 *3240:11 *5386:DIODE 9.97254 +*END + +*D_NET *3241 0.00775698 +*CONN +*I *5387:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22869:A I *D sky130_fd_sc_hd__buf_2 +*I *23125:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5387:DIODE 0 +2 *22869:A 0.000194261 +3 *23125:Y 7.65268e-05 +4 *3241:8 0.00273824 +5 *3241:7 0.00262051 +6 *4166:DIODE *22869:A 0 +7 *23322:A *3241:8 9.91932e-05 +8 *552:8 *22869:A 8.62625e-06 +9 *1916:32 *3241:7 0.000111722 +10 *2141:40 *3241:8 1.03986e-05 +11 *2369:8 *3241:8 0.000858987 +12 *2549:12 *22869:A 0 +13 *2549:12 *3241:8 0 +14 *2795:36 *3241:8 2.94814e-05 +15 *2832:10 *22869:A 0.000185656 +16 *2832:10 *3241:8 2.29056e-05 +17 *2832:12 *3241:8 0.000800471 +*RES +1 *23125:Y *3241:7 15.0271 +2 *3241:7 *3241:8 71.1791 +3 *3241:8 *22869:A 19.9053 +4 *3241:8 *5387:DIODE 13.7491 +*END + +*D_NET *3242 0.0105896 +*CONN +*I *5388:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22870:A I *D sky130_fd_sc_hd__buf_2 +*I *23126:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5388:DIODE 4.51842e-05 +2 *22870:A 0.000273221 +3 *23126:Y 0.000355107 +4 *3242:8 0.00195604 +5 *3242:7 0.00199274 +6 la_data_in_mprj[18] *22870:A 1.92336e-05 +7 *4167:DIODE *22870:A 0 +8 *4168:DIODE *22870:A 3.54138e-05 +9 *21837:A *22870:A 7.81379e-05 +10 *23126:A *3242:7 9.26254e-05 +11 *23253:A *3242:8 3.31733e-05 +12 *425:10 *22870:A 0.000122378 +13 *553:5 *22870:A 0.00015324 +14 *2691:8 *22870:A 0.000191541 +15 *2691:8 *3242:8 0.00360995 +16 *2784:39 *3242:7 9.48583e-05 +17 *2828:16 *3242:8 0.00147591 +18 *2834:6 *22870:A 0 +19 *2835:6 *22870:A 0 +20 *2835:6 *3242:8 0 +21 *3225:11 *5388:DIODE 6.08467e-05 +*RES +1 *23126:Y *3242:7 22.237 +2 *3242:7 *3242:8 64.9503 +3 *3242:8 *22870:A 23.6425 +4 *3242:8 *5388:DIODE 14.4725 +*END + +*D_NET *3243 0.00875219 +*CONN +*I *5389:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22871:A I *D sky130_fd_sc_hd__buf_2 +*I *23127:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5389:DIODE 0 +2 *22871:A 0.000128786 +3 *23127:Y 4.51842e-05 +4 *3243:8 0.00140173 +5 *3243:7 0.00131813 +6 la_data_in_mprj[19] *22871:A 1.43983e-05 +7 *2550:6 *22871:A 0.000247261 +8 *2550:6 *3243:8 0.00344129 +9 *2552:10 *22871:A 7.1273e-05 +10 *2552:10 *3243:8 0.00054408 +11 *2552:12 *3243:8 0.00147921 +12 *2773:33 *3243:7 6.08467e-05 +*RES +1 *23127:Y *3243:7 14.4725 +2 *3243:7 *3243:8 61.2131 +3 *3243:8 *22871:A 18.7961 +4 *3243:8 *5389:DIODE 13.7491 +*END + +*D_NET *3244 0.00359918 +*CONN +*I *5390:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22872:A I *D sky130_fd_sc_hd__buf_2 +*I *23128:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5390:DIODE 0 +2 *22872:A 0.000446524 +3 *23128:Y 0.000823222 +4 *3244:8 0.00126975 +5 *22872:A *22883:A 0.000151699 +6 la_data_in_mprj[2] *22872:A 2.85274e-05 +7 *4170:DIODE *22872:A 0 +8 *5612:DIODE *3244:8 0.000313357 +9 *438:8 *22872:A 8.62625e-06 +10 *3201:5 *3244:8 0.000557482 +*RES +1 *23128:Y *3244:8 36.2675 +2 *3244:8 *22872:A 25.7873 +3 *3244:8 *5390:DIODE 13.7491 +*END + +*D_NET *3245 0.00719369 +*CONN +*I *5391:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22873:A I *D sky130_fd_sc_hd__buf_2 +*I *23129:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5391:DIODE 0 +2 *22873:A 0.000168058 +3 *23129:Y 4.22089e-05 +4 *3245:8 0.00271323 +5 *3245:7 0.00258738 +6 la_data_in_mprj[20] *22873:A 1.84293e-05 +7 *4169:DIODE *22873:A 0 +8 *23129:A *3245:7 5.67722e-05 +9 *1630:11 *3245:8 0.000315609 +10 *2373:8 *3245:8 0.000313583 +11 *2554:6 *22873:A 7.58735e-05 +12 *2554:6 *3245:8 0.000755911 +13 *2693:15 *3245:8 4.76283e-05 +14 *2795:36 *3245:7 9.90116e-05 +15 *2833:16 *22873:A 0 +16 *2833:16 *3245:8 0 +*RES +1 *23129:Y *3245:7 16.1364 +2 *3245:7 *3245:8 68.6876 +3 *3245:8 *22873:A 18.7961 +4 *3245:8 *5391:DIODE 13.7491 +*END + +*D_NET *3246 0.00965265 +*CONN +*I *5392:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22874:A I *D sky130_fd_sc_hd__buf_2 +*I *23130:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5392:DIODE 0 +2 *22874:A 0.000172479 +3 *23130:Y 0 +4 *3246:6 0.00224211 +5 *3246:5 0.00206963 +6 la_data_in_mprj[21] *22874:A 4.30017e-06 +7 *3888:DIODE *22874:A 2.41274e-06 +8 *23255:B *3246:6 4.78118e-05 +9 *2002:21 *3246:6 0 +10 *2159:57 *3246:6 1.19737e-05 +11 *2374:40 *3246:6 0.000713528 +12 *2555:6 *22874:A 0 +13 *2555:6 *3246:6 0 +14 *2839:8 *22874:A 0.000255925 +15 *2839:8 *3246:6 0.00413248 +*RES +1 *23130:Y *3246:5 13.7491 +2 *3246:5 *3246:6 74.5011 +3 *3246:6 *22874:A 19.3507 +4 *3246:6 *5392:DIODE 13.7491 +*END + +*D_NET *3247 0.00598998 +*CONN +*I *5393:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22875:A I *D sky130_fd_sc_hd__buf_2 +*I *23131:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5393:DIODE 0 +2 *22875:A 0.000335817 +3 *23131:Y 6.27913e-05 +4 *3247:8 0.002925 +5 *3247:7 0.00265197 +6 *22875:A *22876:A 0 +7 *22875:A *3248:6 0 +8 *3247:8 *3248:6 0 +9 *3889:DIODE *22875:A 1.43983e-05 +10 *2377:8 *3247:8 0 +11 *2699:6 *22875:A 0 +12 *2699:6 *3247:8 0 +13 *3225:10 *22875:A 0 +14 *3225:10 *3247:8 0 +*RES +1 *23131:Y *3247:7 15.0271 +2 *3247:7 *3247:8 58.3063 +3 *3247:8 *22875:A 21.5691 +4 *3247:8 *5393:DIODE 13.7491 +*END + +*D_NET *3248 0.00533002 +*CONN +*I *5394:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22876:A I *D sky130_fd_sc_hd__buf_2 +*I *23132:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5394:DIODE 3.33036e-05 +2 *22876:A 0.000126704 +3 *23132:Y 0 +4 *3248:6 0.00261257 +5 *3248:5 0.00245256 +6 *22875:A *22876:A 0 +7 *22875:A *3248:6 0 +8 *2377:8 *3248:6 0 +9 *2557:6 *22876:A 0 +10 *2557:6 *3248:6 0 +11 *3225:10 *3248:6 9.75356e-05 +12 *3225:11 *5394:DIODE 7.34948e-06 +13 *3247:8 *3248:6 0 +*RES +1 *23132:Y *3248:5 13.7491 +2 *3248:5 *3248:6 55.8148 +3 *3248:6 *22876:A 16.8269 +4 *3248:6 *5394:DIODE 14.4725 +*END + +*D_NET *3249 0.00958983 +*CONN +*I *5395:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22877:A I *D sky130_fd_sc_hd__buf_2 +*I *23133:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5395:DIODE 0 +2 *22877:A 0.000275977 +3 *23133:Y 0.000283921 +4 *3249:8 0.00236246 +5 *3249:7 0.00237041 +6 *22877:A *22878:A 0 +7 *22877:A *3252:6 1.32772e-05 +8 *22877:A *3253:6 3.67528e-06 +9 *3249:8 *3252:6 0.00124284 +10 *3249:8 *3253:6 0.0005878 +11 *4176:DIODE *22877:A 5.99947e-05 +12 *22509:TE *3249:8 4.70559e-05 +13 *23133:A *3249:7 4.97645e-05 +14 *560:8 *22877:A 9.36591e-05 +15 *816:5 *22877:A 3.20069e-06 +16 *1506:9 *3249:7 2.16355e-05 +17 *1506:9 *3249:8 0.000132422 +18 *1636:13 *3249:7 9.74246e-05 +19 *1999:11 *3249:8 0.00153823 +20 *2060:27 *3249:8 0.000269012 +21 *2558:10 *22877:A 6.78596e-05 +22 *2700:8 *22877:A 4.34223e-05 +23 *2701:8 *22877:A 0 +24 *2841:5 *22877:A 2.57847e-05 +*RES +1 *23133:Y *3249:7 21.1278 +2 *3249:7 *3249:8 68.6876 +3 *3249:8 *22877:A 22.5333 +4 *3249:8 *5395:DIODE 13.7491 +*END + +*D_NET *3250 0.0638498 +*CONN +*I *6004:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23349:A I *D sky130_fd_sc_hd__nand2_1 +*I *22222:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6004:DIODE 0 +2 *23349:A 0.000146505 +3 *22222:X 0 +4 *3250:27 0.00123256 +5 *3250:18 0.00616182 +6 *3250:17 0.00507576 +7 *3250:15 0.0110249 +8 *3250:14 0.011835 +9 *3250:6 0.00269758 +10 *3250:5 0.00188753 +11 *3250:6 *22965:A 3.5229e-05 +12 *3250:6 *3349:23 0 +13 *3250:15 *3361:49 0.00890279 +14 la_oenb_core[103] *3250:6 4.90264e-05 +15 *4422:DIODE *3250:6 2.1588e-05 +16 *4929:DIODE *3250:18 3.59505e-05 +17 *6009:DIODE *3250:27 1.92336e-05 +18 *21505:A *3250:18 0 +19 *22489:B *3250:18 0 +20 *22497:B *3250:18 0 +21 *22710:A *3250:6 0 +22 *1162:24 *3250:18 0 +23 *1175:19 *23349:A 2.65831e-05 +24 *1175:19 *3250:27 0.000390306 +25 *1179:40 *3250:18 0 +26 *1315:10 *3250:18 0.00049735 +27 *1461:10 *3250:18 0 +28 *1598:14 *3250:27 0.000370801 +29 *1845:9 *3250:18 0.000163933 +30 *1846:11 *3250:18 3.76125e-05 +31 *1854:11 *3250:6 0 +32 *1948:97 *3250:18 0.000177497 +33 *2080:49 *23349:A 0.00012972 +34 *2098:25 *3250:18 0.000320956 +35 *2256:48 *3250:27 2.77625e-06 +36 *2256:56 *3250:27 0.000528234 +37 *2314:25 *3250:18 0 +38 *2316:33 *3250:18 1.54479e-05 +39 *2364:12 *3250:6 0 +40 *2429:14 *3250:6 0.000776605 +41 *2503:18 *3250:27 6.50465e-05 +42 *2507:9 *3250:14 0.00255765 +43 *2628:42 *3250:18 0 +44 *2631:17 *3250:27 0.000351911 +45 *2654:8 *23349:A 2.44508e-05 +46 *2662:12 *3250:14 8.98279e-05 +47 *2814:29 *3250:15 0.0041934 +48 *2909:18 *3250:18 1.00521e-05 +49 *2917:9 *3250:15 0.00177546 +50 *2926:12 *3250:18 0.000604366 +51 *2926:21 *3250:18 2.29287e-05 +52 *2934:13 *3250:27 6.1449e-05 +53 *2934:24 *3250:18 0.000159915 +54 *3077:20 *3250:6 0 +55 *3172:13 *3250:15 0.000908347 +56 *3207:8 *3250:18 0.000461678 +*RES +1 *22222:X *3250:5 13.7491 +2 *3250:5 *3250:6 45.8487 +3 *3250:6 *3250:14 43.231 +4 *3250:14 *3250:15 195.389 +5 *3250:15 *3250:17 4.5 +6 *3250:17 *3250:18 108.552 +7 *3250:18 *3250:27 39.1023 +8 *3250:27 *23349:A 21.635 +9 *3250:27 *6004:DIODE 9.24915 +*END + +*D_NET *3251 0.0632085 +*CONN +*I *6148:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23421:A I *D sky130_fd_sc_hd__nand2_2 +*I *22223:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6148:DIODE 0 +2 *23421:A 0.000349774 +3 *22223:X 0 +4 *3251:35 0.000521211 +5 *3251:32 0.00417078 +6 *3251:24 0.00879508 +7 *3251:6 0.00709206 +8 *3251:5 0.00229632 +9 *3251:6 *3434:8 0.000374679 +10 *3251:24 *3405:8 1.59078e-05 +11 *3251:24 *3433:19 0.00177411 +12 la_oenb_core[53] *3251:6 4.1752e-05 +13 *4412:DIODE *3251:6 2.13749e-05 +14 *22329:TE *3251:24 5.04829e-06 +15 *22781:A *3251:6 0 +16 *22782:A *3251:6 0 +17 *1293:13 *3251:24 0.000109729 +18 *1381:35 *3251:32 0 +19 *1418:14 *3251:6 0.000174578 +20 *1433:9 *3251:6 0.000272248 +21 *1668:17 *23421:A 4.84017e-05 +22 *1910:14 *23421:A 0.000404561 +23 *1910:14 *3251:35 0.000111997 +24 *1911:37 *23421:A 6.50727e-05 +25 *1925:39 *3251:32 0.00018354 +26 *1926:10 *23421:A 4.56325e-05 +27 *1926:14 *3251:32 0.000172405 +28 *1928:21 *3251:32 0.000109839 +29 *1928:28 *3251:24 0.000362172 +30 *1928:28 *3251:32 0.000440111 +31 *1931:7 *3251:32 0.000343269 +32 *1935:13 *23421:A 0.000195896 +33 *1941:16 *3251:32 4.49767e-05 +34 *2024:41 *3251:32 6.03122e-05 +35 *2074:25 *3251:24 0.00466165 +36 *2464:11 *3251:24 1.87318e-05 +37 *2464:23 *3251:24 0.0117069 +38 *2464:23 *3251:32 0.00688206 +39 *2875:56 *3251:32 4.91859e-05 +40 *2897:20 *3251:24 5.83513e-05 +41 *3073:33 *3251:24 0.0032267 +42 *3073:33 *3251:32 0.00591485 +43 *3121:18 *3251:32 0.00157658 +44 *3123:50 *3251:32 0.000439711 +45 *3144:22 *3251:6 0 +46 *3145:16 *3251:6 0 +47 *3146:19 *3251:6 0 +48 *3154:28 *3251:6 7.09417e-05 +*RES +1 *22223:X *3251:5 13.7491 +2 *3251:5 *3251:6 47.7174 +3 *3251:6 *3251:24 45.7422 +4 *3251:24 *3251:32 46.7586 +5 *3251:32 *3251:35 7.44181 +6 *3251:35 *23421:A 27.757 +7 *3251:35 *6148:DIODE 9.24915 +*END + +*D_NET *3252 0.0103356 +*CONN +*I *5396:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22878:A I *D sky130_fd_sc_hd__buf_2 +*I *23134:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5396:DIODE 1.76605e-05 +2 *22878:A 0.000244268 +3 *23134:Y 0 +4 *3252:9 0.000464815 +5 *3252:6 0.00224858 +6 *3252:5 0.00204569 +7 *5396:DIODE *3253:9 6.3657e-05 +8 *3252:9 *3253:9 0.000215771 +9 *4176:DIODE *22878:A 0 +10 *22509:TE *3252:6 3.90219e-05 +11 *22877:A *22878:A 0 +12 *22877:A *3252:6 1.32772e-05 +13 *23388:A *3252:6 1.24189e-05 +14 *1506:9 *3252:6 9.10893e-05 +15 *2060:27 *3252:6 0.000272257 +16 *2378:18 *3252:6 0 +17 *2700:8 *3252:6 0.00301164 +18 *2701:8 *22878:A 7.73065e-05 +19 *2842:12 *22878:A 0.000186109 +20 *2884:28 *3252:6 8.92104e-05 +21 *3249:8 *3252:6 0.00124284 +*RES +1 *23134:Y *3252:5 13.7491 +2 *3252:5 *3252:6 68.2723 +3 *3252:6 *3252:9 9.66022 +4 *3252:9 *22878:A 25.8947 +5 *3252:9 *5396:DIODE 9.97254 +*END + +*D_NET *3253 0.00851807 +*CONN +*I *5397:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22879:A I *D sky130_fd_sc_hd__buf_2 +*I *23135:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5397:DIODE 4.90823e-05 +2 *22879:A 0.0001009 +3 *23135:Y 0 +4 *3253:9 0.00119932 +5 *3253:6 0.00271881 +6 *3253:5 0.00166947 +7 *4178:DIODE *22879:A 3.9739e-05 +8 *5396:DIODE *3253:9 6.3657e-05 +9 *22877:A *3253:6 3.67528e-06 +10 *818:5 *22879:A 3.67528e-06 +11 *1999:11 *3253:6 0.000347655 +12 *2560:6 *22879:A 0.000252327 +13 *2701:8 *3253:6 0.00126618 +14 *2845:6 *22879:A 0 +15 *3249:8 *3253:6 0.0005878 +16 *3252:9 *3253:9 0.000215771 +*RES +1 *23135:Y *3253:5 13.7491 +2 *3253:5 *3253:6 54.569 +3 *3253:6 *3253:9 19.6431 +4 *3253:9 *22879:A 22.5727 +5 *3253:9 *5397:DIODE 9.97254 +*END + +*D_NET *3254 0.00615546 +*CONN +*I *5398:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22880:A I *D sky130_fd_sc_hd__buf_2 +*I *23136:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5398:DIODE 0 +2 *22880:A 0.000254896 +3 *23136:Y 0.00135522 +4 *3254:10 0.000715556 +5 *3254:8 0.00181588 +6 *22880:A *3255:8 0.000208915 +7 *3254:8 *3255:8 0.000771477 +8 *3254:10 *3255:8 0.00078713 +9 *3894:DIODE *22880:A 2.41274e-06 +10 *4036:DIODE *22880:A 0 +11 *2703:8 *22880:A 0.000103821 +12 *2844:9 *22880:A 6.50727e-05 +13 *2845:6 *22880:A 0 +14 *2845:6 *3254:8 0 +15 *2845:6 *3254:10 0 +16 *3213:8 *22880:A 8.62625e-06 +17 *3213:8 *3254:10 6.64609e-05 +*RES +1 *23136:Y *3254:8 48.496 +2 *3254:8 *3254:10 14.4972 +3 *3254:10 *22880:A 22.1181 +4 *3254:10 *5398:DIODE 13.7491 +*END + +*D_NET *3255 0.00995371 +*CONN +*I *5399:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22881:A I *D sky130_fd_sc_hd__buf_2 +*I *23137:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5399:DIODE 0.000203969 +2 *22881:A 0.000176467 +3 *23137:Y 5.20167e-05 +4 *3255:11 0.000841464 +5 *3255:8 0.00184439 +6 *3255:7 0.00143538 +7 *4037:DIODE *3255:11 4.69808e-06 +8 *4178:DIODE *3255:11 3.072e-06 +9 *4179:DIODE *5399:DIODE 2.86013e-06 +10 *4179:DIODE *22881:A 0.000122083 +11 *4179:DIODE *3255:11 1.83795e-06 +12 *22880:A *3255:8 0.000208915 +13 *23137:A *3255:7 5.04829e-06 +14 *2561:6 *22881:A 0 +15 *2703:8 *3255:8 0.00316456 +16 *2704:9 *22881:A 0.000103943 +17 *2844:9 *22881:A 0.000224395 +18 *2844:10 *22881:A 0 +19 *2845:6 *3255:8 0 +20 *3254:8 *3255:8 0.000771477 +21 *3254:10 *3255:8 0.00078713 +*RES +1 *23137:Y *3255:7 14.4725 +2 *3255:7 *3255:8 57.891 +3 *3255:8 *3255:11 10.7694 +4 *3255:11 *22881:A 24.9599 +5 *3255:11 *5399:DIODE 12.191 +*END + +*D_NET *3256 0.0100939 +*CONN +*I *5400:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22882:A I *D sky130_fd_sc_hd__buf_2 +*I *23138:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5400:DIODE 0.000167142 +2 *22882:A 0.000123715 +3 *23138:Y 7.14857e-05 +4 *3256:8 0.00289436 +5 *3256:7 0.00267499 +6 *4039:DIODE *22882:A 0 +7 *4180:DIODE *5400:DIODE 1.21461e-06 +8 *23472:A *3256:8 0 +9 *1256:10 *3256:8 8.62625e-06 +10 *1719:8 *3256:8 0 +11 *2001:25 *3256:8 0 +12 *2010:23 *3256:8 0.000127462 +13 *2379:23 *3256:8 0.000247779 +14 *2705:8 *22882:A 0.000250829 +15 *2705:8 *3256:8 0.00340809 +16 *3096:17 *3256:7 0.00011818 +*RES +1 *23138:Y *3256:7 15.0271 +2 *3256:7 *3256:8 74.5011 +3 *3256:8 *22882:A 18.0727 +4 *3256:8 *5400:DIODE 16.1364 +*END + +*D_NET *3257 0.00195902 +*CONN +*I *5401:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22883:A I *D sky130_fd_sc_hd__buf_2 +*I *23139:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5401:DIODE 0 +2 *22883:A 0.000265032 +3 *23139:Y 0.000457055 +4 *3257:5 0.000722087 +5 *3257:5 *5412:DIODE 1.92793e-05 +6 *4041:DIODE *22883:A 3.9739e-05 +7 *4182:DIODE *22883:A 0 +8 *4182:DIODE *3257:5 0.00016553 +9 *22872:A *22883:A 0.000151699 +10 *438:8 *22883:A 3.31733e-05 +11 *566:5 *22883:A 3.67528e-06 +12 *822:9 *22883:A 0 +13 *2652:10 *22883:A 2.93863e-05 +14 *2652:10 *3257:5 7.23598e-05 +*RES +1 *23139:Y *3257:5 21.0646 +2 *3257:5 *22883:A 26.4815 +3 *3257:5 *5401:DIODE 9.24915 +*END + +*D_NET *3258 0.00925545 +*CONN +*I *5402:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22884:A I *D sky130_fd_sc_hd__buf_2 +*I *23140:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5402:DIODE 0 +2 *22884:A 0.00034827 +3 *23140:Y 2.09358e-05 +4 *3258:8 0.00430698 +5 *3258:7 0.00397964 +6 la_data_in_mprj[31] *22884:A 1.29348e-05 +7 *4043:DIODE *22884:A 0 +8 *23264:A *3258:8 0 +9 *568:5 *22884:A 0 +10 *1151:74 *3258:8 0 +11 *1441:15 *3258:7 0.000171288 +12 *1640:16 *3258:8 2.33103e-06 +13 *1905:48 *3258:7 7.48797e-05 +14 *2146:21 *3258:8 0 +15 *2161:39 *3258:8 0 +16 *2379:19 *3258:8 0 +17 *2566:20 *22884:A 9.04224e-05 +18 *2664:20 *22884:A 0 +19 *2664:20 *3258:8 0 +20 *2704:10 *22884:A 0 +21 *2704:10 *3258:8 0 +22 *2773:28 *3258:8 0 +23 *2841:5 *22884:A 2.15348e-05 +24 *2848:11 *22884:A 0.000115934 +25 *2850:13 *22884:A 7.50722e-05 +26 *2962:14 *3258:8 3.52271e-05 +*RES +1 *23140:Y *3258:7 15.5817 +2 *3258:7 *3258:8 81.9757 +3 *3258:8 *22884:A 23.0879 +4 *3258:8 *5402:DIODE 13.7491 +*END + +*D_NET *3259 0.00906628 +*CONN +*I *5403:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22885:A I *D sky130_fd_sc_hd__buf_2 +*I *23141:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5403:DIODE 0.000402157 +2 *22885:A 0.000321079 +3 *23141:Y 4.51842e-05 +4 *3259:8 0.00243675 +5 *3259:7 0.0017587 +6 *4185:DIODE *22885:A 2.33334e-05 +7 *21855:A *22885:A 6.08467e-05 +8 *21997:A *22885:A 6.50727e-05 +9 *1475:8 *3259:8 0.000140436 +10 *1603:8 *3259:8 0 +11 *2479:14 *3259:8 0 +12 *2566:22 *22885:A 0.000410666 +13 *2566:22 *3259:8 0.00274982 +14 *2704:10 *22885:A 0 +15 *2704:10 *3259:8 0 +16 *2709:7 *22885:A 0.000133746 +17 *2762:29 *3259:7 6.08467e-05 +18 *2847:8 *22885:A 0.000457641 +*RES +1 *23141:Y *3259:7 14.4725 +2 *3259:7 *3259:8 51.247 +3 *3259:8 *22885:A 26.7184 +4 *3259:8 *5403:DIODE 19.464 +*END + +*D_NET *3260 0.00646157 +*CONN +*I *5404:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22886:A I *D sky130_fd_sc_hd__buf_2 +*I *23142:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5404:DIODE 8.16103e-05 +2 *22886:A 0.000294833 +3 *23142:Y 0.000738052 +4 *3260:8 0.0011145 +5 *21856:A *22886:A 6.50727e-05 +6 *2140:8 *3260:8 0.00104472 +7 *2568:6 *22886:A 0 +8 *2568:6 *3260:8 0 +9 *2569:8 *22886:A 0 +10 *2709:7 *22886:A 0.000192038 +11 *2710:16 *22886:A 0 +12 *2710:16 *3260:8 0 +13 *2711:11 *22886:A 0.000220183 +14 *2847:8 *22886:A 0.000262339 +15 *2847:13 *5404:DIODE 2.85139e-05 +16 *3206:9 *3260:8 0.00241971 +*RES +1 *23142:Y *3260:8 48.8756 +2 *3260:8 *22886:A 23.9509 +3 *3260:8 *5404:DIODE 15.0271 +*END + +*D_NET *3261 0.00409238 +*CONN +*I *5405:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22887:A I *D sky130_fd_sc_hd__buf_2 +*I *23143:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5405:DIODE 0.00015597 +2 *22887:A 0.00012842 +3 *23143:Y 0.000897881 +4 *3261:6 0.00118227 +5 *4045:DIODE *22887:A 0.000113471 +6 *21857:A *22887:A 0 +7 *570:5 *22887:A 8.62625e-06 +8 *2569:8 *22887:A 0.000204233 +9 *2569:8 *3261:6 0.000605738 +10 *2709:7 *22887:A 6.50586e-05 +11 *2711:11 *22887:A 2.16355e-05 +12 *2712:8 *22887:A 5.0987e-05 +13 *2712:8 *3261:6 0.000607492 +14 *2847:13 *5405:DIODE 5.05976e-05 +*RES +1 *23143:Y *3261:6 41.742 +2 *3261:6 *22887:A 19.6998 +3 *3261:6 *5405:DIODE 16.1364 +*END + +*D_NET *3262 0.0730334 +*CONN +*I *23422:A I *D sky130_fd_sc_hd__nand2_1 +*I *6150:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22224:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23422:A 8.89463e-06 +2 *6150:DIODE 4.01123e-05 +3 *22224:X 0.00517742 +4 *3262:48 0.00138335 +5 *3262:33 0.00497842 +6 *3262:32 0.00371635 +7 *3262:27 0.0038302 +8 *3262:26 0.00677027 +9 *3262:20 0.00818976 +10 *3262:20 *23037:A 2.84561e-05 +11 *3262:20 *23038:A 0 +12 *3262:33 *3339:34 0.000144814 +13 *4413:DIODE *3262:20 1.17299e-05 +14 *6151:DIODE *3262:48 1.05272e-06 +15 *22782:A *3262:20 0 +16 *23305:B *3262:32 2.1203e-06 +17 *23651:A *3262:20 5.36152e-05 +18 *1157:37 *3262:33 0.00390038 +19 *1157:41 *3262:33 0.000965365 +20 *1394:27 *3262:32 0 +21 *1541:8 *3262:48 3.83492e-06 +22 *1671:21 *3262:48 1.88218e-05 +23 *1955:28 *3262:26 3.85301e-05 +24 *1974:8 *3262:26 0.000107152 +25 *1985:24 *3262:27 1.00846e-05 +26 *1996:20 *3262:26 0 +27 *1998:10 *3262:26 0.00828927 +28 *2031:27 *3262:20 0.00246159 +29 *2049:8 *3262:26 0.000477413 +30 *2088:24 *3262:26 0.00182803 +31 *2103:9 *3262:26 5.24492e-05 +32 *2139:7 *3262:48 1.30304e-05 +33 *2146:15 *3262:26 0.000329051 +34 *2146:16 *6150:DIODE 0.000209312 +35 *2146:16 *23422:A 4.88955e-05 +36 *2146:16 *3262:27 0.00616483 +37 *2148:20 *6150:DIODE 0.000205101 +38 *2148:20 *23422:A 6.50727e-05 +39 *2150:7 *3262:48 2.97825e-05 +40 *2209:27 *3262:32 1.9946e-05 +41 *2219:19 *3262:26 0 +42 *2225:20 *3262:26 0.00186421 +43 *2246:25 *3262:20 0.000790313 +44 *2254:35 *3262:20 0.000790313 +45 *2263:19 *3262:26 0.00124035 +46 *2267:19 *3262:20 0.000211914 +47 *2274:24 *3262:20 0.000494849 +48 *2360:33 *3262:20 0 +49 *2372:29 *3262:48 0.00204315 +50 *2471:18 *3262:20 0 +51 *2621:26 *3262:20 0.00090212 +52 *2739:21 *3262:48 0.000125108 +53 *2902:15 *3262:20 0.000171189 +54 *2907:22 *3262:20 0.00128017 +55 *2975:39 *3262:20 5.93953e-05 +56 *2981:31 *3262:20 6.21462e-05 +57 *3051:24 *3262:48 0.00319471 +58 *3124:17 *3262:33 0.000126544 +59 *3140:15 *3262:27 0.00010238 +*RES +1 *22224:X *3262:20 42.929 +2 *3262:20 *3262:26 34.4194 +3 *3262:26 *3262:27 67.2758 +4 *3262:27 *3262:32 10.4167 +5 *3262:32 *3262:33 65.0574 +6 *3262:33 *3262:48 23.3467 +7 *3262:48 *6150:DIODE 11.6364 +8 *3262:48 *23422:A 9.97254 +*END + +*D_NET *3263 0.00667002 +*CONN +*I *5406:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22888:A I *D sky130_fd_sc_hd__buf_2 +*I *23144:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5406:DIODE 0 +2 *22888:A 0.000126115 +3 *23144:Y 0 +4 *3263:6 0.00161169 +5 *3263:5 0.00148558 +6 *4187:DIODE *22888:A 0 +7 *23143:A *3263:6 0 +8 *1646:8 *3263:6 0 +9 *2000:22 *3263:6 0.00062448 +10 *2368:34 *3263:6 0 +11 *2709:8 *22888:A 0.000250843 +12 *2709:8 *3263:6 0.00257131 +13 *2712:8 *22888:A 0 +14 *2712:8 *3263:6 0 +*RES +1 *23144:Y *3263:5 13.7491 +2 *3263:5 *3263:6 47.925 +3 *3263:6 *22888:A 18.0727 +4 *3263:6 *5406:DIODE 13.7491 +*END + +*D_NET *3264 0.0118602 +*CONN +*I *5407:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22889:A I *D sky130_fd_sc_hd__buf_2 +*I *23145:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5407:DIODE 0 +2 *22889:A 0.000224874 +3 *23145:Y 0.00016931 +4 *3264:9 0.00150953 +5 *3264:8 0.00145397 +6 *3264:8 *3266:8 0.000294226 +7 *3264:9 *5409:DIODE 0.000220183 +8 *3264:9 *5410:DIODE 1.43848e-05 +9 *3264:9 *3266:9 0.0037585 +10 *3264:9 *3267:19 0.000107329 +11 *3264:9 *3272:9 1.92172e-05 +12 *4046:DIODE *22889:A 4.5726e-05 +13 *1267:12 *3264:9 0.000769855 +14 *2571:6 *22889:A 0 +15 *2580:14 *3264:8 0 +16 *2717:11 *3264:9 0.00198068 +17 *2846:19 *22889:A 1.93122e-05 +18 *2847:13 *22889:A 0.000269642 +19 *2847:13 *3264:9 0.00100341 +*RES +1 *23145:Y *3264:8 23.8184 +2 *3264:8 *3264:9 61.7298 +3 *3264:9 *22889:A 24.684 +4 *3264:9 *5407:DIODE 9.24915 +*END + +*D_NET *3265 0.00535107 +*CONN +*I *5408:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22890:A I *D sky130_fd_sc_hd__buf_2 +*I *23146:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5408:DIODE 0 +2 *22890:A 0.000232165 +3 *23146:Y 0.00102199 +4 *3265:8 0.00125415 +5 la_data_in_mprj[36] *22890:A 3.14978e-05 +6 *445:12 *22890:A 8.62625e-06 +7 *2509:32 *3265:8 7.14746e-05 +8 *2570:10 *22890:A 0.000207092 +9 *2570:10 *3265:8 0.000633823 +10 *2572:6 *22890:A 0.000131386 +11 *2572:6 *3265:8 4.29824e-05 +12 *2651:25 *3265:8 0.000207266 +13 *2848:22 *3265:8 0.00150862 +*RES +1 *23146:Y *3265:8 47.4513 +2 *3265:8 *22890:A 22.5333 +3 *3265:8 *5408:DIODE 13.7491 +*END + +*D_NET *3266 0.00951224 +*CONN +*I *22891:A I *D sky130_fd_sc_hd__buf_2 +*I *5409:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23147:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22891:A 0.000285514 +2 *5409:DIODE 7.93758e-05 +3 *23147:Y 0.00043672 +4 *3266:9 0.00147381 +5 *3266:8 0.00154564 +6 *22891:A *5410:DIODE 0 +7 *3266:9 *5411:DIODE 6.50586e-05 +8 *3266:9 *5413:DIODE 0.000330596 +9 *3266:9 *5415:DIODE 6.08467e-05 +10 *3266:9 *3268:15 0.000103983 +11 *3266:9 *3272:9 0.000299298 +12 la_data_in_mprj[38] *22891:A 0.00015324 +13 *3908:DIODE *22891:A 0 +14 *4191:DIODE *22891:A 0.000315206 +15 *22003:A *22891:A 6.92705e-05 +16 *575:5 *22891:A 0 +17 *830:7 *22891:A 5.68225e-06 +18 *1267:12 *3266:8 1.50906e-05 +19 *2574:11 *22891:A 0 +20 *2580:14 *3266:8 0 +21 *3264:8 *3266:8 0.000294226 +22 *3264:9 *5409:DIODE 0.000220183 +23 *3264:9 *3266:9 0.0037585 +*RES +1 *23147:Y *3266:8 30.0472 +2 *3266:8 *3266:9 40.6549 +3 *3266:9 *5409:DIODE 11.6364 +4 *3266:9 *22891:A 27.7273 +*END + +*D_NET *3267 0.00877406 +*CONN +*I *22892:A I *D sky130_fd_sc_hd__buf_2 +*I *5410:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23148:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22892:A 0 +2 *5410:DIODE 0.000149673 +3 *23148:Y 0.000525652 +4 *3267:19 0.00125375 +5 *3267:10 0.00162973 +6 *3267:10 *22897:A 9.24241e-05 +7 *3267:19 *22893:A 0 +8 *3652:DIODE *3267:19 0.000311249 +9 *4050:DIODE *5410:DIODE 2.75427e-05 +10 *4192:DIODE *3267:19 0 +11 *21721:A *3267:19 6.50727e-05 +12 *21862:A *3267:19 6.50727e-05 +13 *22891:A *5410:DIODE 0 +14 *575:5 *5410:DIODE 3.67528e-06 +15 *1267:12 *3267:19 0.000576786 +16 *1268:14 *3267:10 8.51781e-05 +17 *2152:47 *3267:10 0.000107496 +18 *2716:20 *3267:19 0.000334509 +19 *2716:24 *3267:10 0.000411387 +20 *2717:11 *3267:19 0.00140716 +21 *2719:13 *3267:19 0.00050655 +22 *2721:14 *3267:10 0.00109943 +23 *2858:10 *3267:19 0 +24 *3264:9 *5410:DIODE 1.43848e-05 +25 *3264:9 *3267:19 0.000107329 +*RES +1 *23148:Y *3267:10 39.6302 +2 *3267:10 *3267:19 45.9944 +3 *3267:19 *5410:DIODE 21.2198 +4 *3267:19 *22892:A 9.24915 +*END + +*D_NET *3268 0.0057994 +*CONN +*I *22893:A I *D sky130_fd_sc_hd__buf_2 +*I *5411:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23149:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22893:A 0.000319751 +2 *5411:DIODE 4.18965e-05 +3 *23149:Y 0.000450788 +4 *3268:15 0.00131471 +5 *3268:11 0.00140385 +6 *3268:15 *3450:6 0 +7 *4193:DIODE *22893:A 0 +8 *21463:A *3268:11 6.50727e-05 +9 *23149:A *3268:11 0.000118166 +10 *576:8 *22893:A 0.000101246 +11 *832:8 *22893:A 1.79196e-05 +12 *1268:14 *3268:11 0.000118166 +13 *2152:47 *3268:11 9.82863e-05 +14 *2152:48 *3268:11 0.000344367 +15 *2579:12 *3268:15 0 +16 *2751:23 *3268:11 0.00123615 +17 *3266:9 *5411:DIODE 6.50586e-05 +18 *3266:9 *3268:15 0.000103983 +19 *3267:19 *22893:A 0 +*RES +1 *23149:Y *3268:11 33.3773 +2 *3268:11 *3268:15 25.0506 +3 *3268:15 *5411:DIODE 9.97254 +4 *3268:15 *22893:A 26.3099 +*END + +*D_NET *3269 0.00193234 +*CONN +*I *5412:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22894:A I *D sky130_fd_sc_hd__buf_2 +*I *23150:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5412:DIODE 0.000128397 +2 *22894:A 0.000357865 +3 *23150:Y 0.000184862 +4 *3269:6 0.000671125 +5 *4194:DIODE *22894:A 1.55025e-05 +6 *833:10 *22894:A 6.64392e-05 +7 *2577:11 *22894:A 5.63755e-05 +8 *2694:8 *22894:A 0.000181934 +9 *2694:8 *3269:6 0.000250558 +10 *3257:5 *5412:DIODE 1.92793e-05 +*RES +1 *23150:Y *3269:6 18.9032 +2 *3269:6 *22894:A 23.4149 +3 *3269:6 *5412:DIODE 15.5817 +*END + +*D_NET *3270 0.00797495 +*CONN +*I *5413:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22895:A I *D sky130_fd_sc_hd__buf_2 +*I *23151:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5413:DIODE 0.000189897 +2 *22895:A 0.000220498 +3 *23151:Y 0.000862532 +4 *3270:8 0.00125844 +5 *3270:7 0.00171058 +6 *4054:DIODE *22895:A 1.55462e-05 +7 *21866:A *22895:A 6.50727e-05 +8 *578:5 *22895:A 1.09738e-05 +9 *2146:16 *3270:7 0.00129177 +10 *2576:17 *22895:A 9.81814e-05 +11 *2579:12 *3270:8 0 +12 *2716:20 *22895:A 0.000286211 +13 *2719:13 *22895:A 7.14746e-05 +14 *2860:12 *22895:A 0.000244182 +15 *2860:12 *3270:8 0.001319 +16 *3266:9 *5413:DIODE 0.000330596 +*RES +1 *23151:Y *3270:7 28.3376 +2 *3270:7 *3270:8 24.6709 +3 *3270:8 *22895:A 22.0084 +4 *3270:8 *5413:DIODE 17.2456 +*END + +*D_NET *3271 0.0104938 +*CONN +*I *5414:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22896:A I *D sky130_fd_sc_hd__buf_2 +*I *23152:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5414:DIODE 7.48047e-05 +2 *22896:A 0.000157905 +3 *23152:Y 0 +4 *3271:11 0.000513165 +5 *3271:6 0.00217346 +6 *3271:5 0.00189301 +7 la_data_in_mprj[41] *22896:A 3.6833e-05 +8 la_data_in_mprj[41] *3271:11 0 +9 *4197:DIODE *22896:A 0 +10 *1396:9 *3271:6 0.000483206 +11 *2004:19 *3271:6 0.000210249 +12 *2010:19 *3271:6 7.08723e-06 +13 *2576:18 *22896:A 9.75356e-05 +14 *2717:17 *3271:6 0.000646784 +15 *2719:16 *3271:6 0.000113808 +16 *2721:11 *5414:DIODE 9.75243e-05 +17 *2721:11 *3271:11 0.000364328 +18 *2722:10 *22896:A 0 +19 *2852:14 *3271:6 0 +20 *2859:14 *22896:A 0.000237038 +21 *2864:10 *22896:A 2.65831e-05 +22 *2864:10 *3271:11 9.78551e-06 +23 *2864:12 *3271:6 0.00335069 +*RES +1 *23152:Y *3271:5 13.7491 +2 *3271:5 *3271:6 64.5351 +3 *3271:6 *3271:11 9.28382 +4 *3271:11 *22896:A 23.8748 +5 *3271:11 *5414:DIODE 10.5271 +*END + +*D_NET *3272 0.00432088 +*CONN +*I *22897:A I *D sky130_fd_sc_hd__buf_2 +*I *5415:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23153:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22897:A 9.93848e-05 +2 *5415:DIODE 4.51842e-05 +3 *23153:Y 0.00115378 +4 *3272:9 0.00129835 +5 *3272:9 *22898:A 3.20069e-06 +6 *3272:9 *3274:8 0.000131218 +7 *2581:6 *3272:9 0.000827119 +8 *2716:24 *22897:A 0.000242119 +9 *2721:14 *22897:A 4.87343e-05 +10 *2722:10 *22897:A 0 +11 *2723:14 *3272:9 0 +12 *3264:9 *3272:9 1.92172e-05 +13 *3266:9 *5415:DIODE 6.08467e-05 +14 *3266:9 *3272:9 0.000299298 +15 *3267:10 *22897:A 9.24241e-05 +*RES +1 *23153:Y *3272:9 44.2148 +2 *3272:9 *5415:DIODE 9.97254 +3 *3272:9 *22897:A 22.5727 +*END + +*D_NET *3273 0.0766888 +*CONN +*I *6152:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23423:A I *D sky130_fd_sc_hd__nand2_1 +*I *22225:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6152:DIODE 0.000503275 +2 *23423:A 0.000431021 +3 *22225:X 1.59132e-05 +4 *3273:33 0.00404591 +5 *3273:23 0.0097 +6 *3273:8 0.0094553 +7 *3273:7 0.00288284 +8 *6152:DIODE *3306:34 0 +9 *3273:8 *23039:A 0 +10 *3273:8 *3430:12 0 +11 *3273:8 *3440:21 0 +12 *3273:23 *3362:32 0.00464813 +13 *3273:23 *3426:23 0.00012309 +14 *3273:33 *3408:19 0.000209757 +15 la_oenb_core[55] *3273:8 1.77059e-05 +16 *4414:DIODE *3273:8 9.39115e-05 +17 *5897:DIODE *6152:DIODE 6.47302e-06 +18 *6153:DIODE *23423:A 3.67528e-06 +19 *21501:A *3273:8 1.48503e-05 +20 *22783:A *3273:8 1.7165e-05 +21 *23295:A *6152:DIODE 0.000185392 +22 *23295:B *23423:A 3.09975e-05 +23 *23560:TE *3273:8 0 +24 *1157:43 *6152:DIODE 0.000164815 +25 *1416:20 *3273:8 0.000112148 +26 *1866:10 *3273:8 5.39131e-05 +27 *1934:11 *3273:23 0.00118279 +28 *1935:24 *3273:23 0.00355798 +29 *1935:36 *3273:23 1.98294e-05 +30 *1937:28 *3273:23 0.00592968 +31 *1940:35 *3273:23 1.99863e-05 +32 *2023:19 *3273:33 0.000231611 +33 *2023:37 *6152:DIODE 6.16595e-06 +34 *2023:37 *23423:A 0.000193424 +35 *2023:37 *3273:33 0.00276702 +36 *2030:16 *3273:33 0.0055021 +37 *2035:20 *3273:33 0.00037874 +38 *2042:19 *3273:33 2.03605e-05 +39 *2044:19 *3273:23 0.000170008 +40 *2060:8 *3273:33 0.000157517 +41 *2066:17 *3273:8 0 +42 *2087:38 *3273:23 7.17372e-05 +43 *2091:17 *3273:23 0.000142138 +44 *2101:20 *3273:33 0.000233832 +45 *2108:24 *3273:23 0 +46 *2126:25 *3273:23 0.000915345 +47 *2134:19 *3273:8 0.000232649 +48 *2135:20 *23423:A 0.000691825 +49 *2135:20 *3273:33 0.00209328 +50 *2136:17 *3273:23 0.00214634 +51 *2152:23 *3273:33 0 +52 *2198:29 *23423:A 5.71987e-05 +53 *2199:22 *3273:23 0.000738085 +54 *2199:22 *3273:33 0.000409628 +55 *2202:33 *3273:33 0.00121211 +56 *2210:19 *3273:23 0.00076362 +57 *2281:7 *3273:8 0 +58 *2339:8 *3273:23 0.00340153 +59 *2368:23 *3273:33 0.000144814 +60 *2397:7 *6152:DIODE 4.04995e-05 +61 *2442:18 *3273:8 0 +62 *2598:8 *6152:DIODE 0 +63 *2603:11 *3273:33 5.76799e-05 +64 *2609:26 *3273:23 0.000669371 +65 *2610:22 *3273:23 0.00472631 +66 *2621:26 *3273:23 3.53091e-06 +67 *2623:18 *3273:8 7.13655e-06 +68 *2738:8 *6152:DIODE 9.34404e-05 +69 *2879:8 *6152:DIODE 0 +70 *2879:8 *23423:A 0 +71 *2888:13 *3273:33 0.000442529 +72 *2889:11 *3273:33 0.000417599 +73 *2902:15 *3273:23 5.93953e-05 +74 *2902:38 *3273:23 0.00012309 +75 *2985:45 *3273:7 2.65831e-05 +76 *3001:107 *3273:7 2.65831e-05 +77 *3073:33 *23423:A 3.11177e-05 +78 *3143:35 *3273:23 0.00301997 +79 *3146:20 *3273:8 0.00037151 +80 *3149:32 *3273:8 0.000362428 +81 *3156:7 *3273:23 0.000102438 +*RES +1 *22225:X *3273:7 14.4725 +2 *3273:7 *3273:8 61.0054 +3 *3273:8 *3273:23 47.4416 +4 *3273:23 *3273:33 23.5622 +5 *3273:33 *23423:A 20.9558 +6 *3273:33 *6152:DIODE 28.0494 +*END + +*D_NET *3274 0.00817945 +*CONN +*I *5416:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22898:A I *D sky130_fd_sc_hd__buf_2 +*I *23154:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5416:DIODE 0 +2 *22898:A 0.000210942 +3 *23154:Y 0 +4 *3274:8 0.000328606 +5 *3274:7 0.0015328 +6 *3274:4 0.00141514 +7 *581:11 *22898:A 0.00010126 +8 *837:12 *22898:A 1.61631e-05 +9 *1150:15 *3274:7 0.00395708 +10 *2581:6 *22898:A 7.50098e-05 +11 *2723:14 *22898:A 0.000208539 +12 *2723:14 *3274:8 0.000134419 +13 *2865:16 *22898:A 6.50727e-05 +14 *3272:9 *22898:A 3.20069e-06 +15 *3272:9 *3274:8 0.000131218 +*RES +1 *23154:Y *3274:4 9.24915 +2 *3274:4 *3274:7 47.3733 +3 *3274:7 *3274:8 5.15401 +4 *3274:8 *22898:A 22.5333 +5 *3274:8 *5416:DIODE 13.7491 +*END + +*D_NET *3275 0.00317153 +*CONN +*I *5417:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22899:A I *D sky130_fd_sc_hd__buf_2 +*I *23155:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5417:DIODE 0 +2 *22899:A 0.000190887 +3 *23155:Y 0.00100585 +4 *3275:8 0.00119674 +5 *5678:DIODE *3275:8 6.50727e-05 +6 *837:12 *22899:A 6.92705e-05 +7 *2582:10 *22899:A 0.000213445 +8 *2582:10 *3275:8 1.97049e-05 +9 *2582:14 *3275:8 7.98342e-05 +10 *2723:14 *22899:A 0.000212012 +11 *2723:14 *3275:8 5.36397e-05 +12 *2865:16 *22899:A 6.50727e-05 +*RES +1 *23155:Y *3275:8 37.2401 +2 *3275:8 *22899:A 22.5333 +3 *3275:8 *5417:DIODE 13.7491 +*END + +*D_NET *3276 0.00354292 +*CONN +*I *5418:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22900:A I *D sky130_fd_sc_hd__buf_2 +*I *23156:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5418:DIODE 0 +2 *22900:A 0.00031888 +3 *23156:Y 0.00138486 +4 *3276:6 0.00170374 +5 la_data_in_mprj[45] *22900:A 3.07159e-05 +6 *3916:DIODE *22900:A 6.46135e-05 +7 *4200:DIODE *22900:A 3.8717e-05 +8 *2726:6 *22900:A 0 +9 *2726:6 *3276:6 0 +10 *2751:16 *3276:6 1.39717e-06 +11 *2854:18 *22900:A 0 +12 *2854:18 *3276:6 0 +13 *2854:20 *3276:6 0 +*RES +1 *23156:Y *3276:6 41.742 +2 *3276:6 *22900:A 22.5333 +3 *3276:6 *5418:DIODE 13.7491 +*END + +*D_NET *3277 0.00165656 +*CONN +*I *5419:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22901:A I *D sky130_fd_sc_hd__buf_2 +*I *23157:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5419:DIODE 0.000117648 +2 *22901:A 0.000259771 +3 *23157:Y 6.45147e-05 +4 *3277:6 0.000441933 +5 la_data_in_mprj[46] *22901:A 0 +6 *4202:DIODE *22901:A 1.61631e-05 +7 *584:8 *22901:A 0 +8 *840:10 *22901:A 0.000122378 +9 *2583:18 *22901:A 1.1246e-05 +10 *2724:10 *22901:A 0 +11 *2724:10 *3277:6 0 +12 *2725:10 *22901:A 0 +13 *2725:14 *5419:DIODE 2.9373e-05 +14 *2868:7 *22901:A 5.56461e-05 +15 *2868:8 *22901:A 0.000410711 +16 *2868:8 *3277:6 0.000127179 +*RES +1 *23157:Y *3277:6 15.9964 +2 *3277:6 *22901:A 23.2514 +3 *3277:6 *5419:DIODE 15.5817 +*END + +*D_NET *3278 0.00236529 +*CONN +*I *5420:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22902:A I *D sky130_fd_sc_hd__buf_2 +*I *23158:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5420:DIODE 0.000107608 +2 *22902:A 0.000121134 +3 *23158:Y 0.000344304 +4 *3278:7 0.000573046 +5 *3278:7 *22903:A 1.00937e-05 +6 la_data_in_mprj[48] *3278:7 0.000107496 +7 *3920:DIODE *22902:A 4.47713e-05 +8 *4061:DIODE *5420:DIODE 0 +9 *4202:DIODE *22902:A 6.14128e-05 +10 *585:5 *5420:DIODE 0 +11 *585:5 *22902:A 0 +12 *2583:18 *5420:DIODE 4.86647e-05 +13 *2583:18 *22902:A 8.92568e-06 +14 *2727:12 *3278:7 0.000929205 +15 *2869:16 *22902:A 8.62625e-06 +*RES +1 *23158:Y *3278:7 24.4554 +2 *3278:7 *22902:A 17.5503 +3 *3278:7 *5420:DIODE 16.8269 +*END + +*D_NET *3279 0.00344145 +*CONN +*I *5421:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22903:A I *D sky130_fd_sc_hd__buf_2 +*I *23159:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5421:DIODE 0 +2 *22903:A 0.000693223 +3 *23159:Y 0.000339987 +4 *3279:8 0.00103321 +5 *22903:A *5422:DIODE 0 +6 *23158:A *22903:A 2.65831e-05 +7 *2591:14 *22903:A 0 +8 *2591:14 *3279:8 0 +9 *2591:16 *3279:8 0 +10 *2727:12 *22903:A 1.03403e-05 +11 *2730:8 *22903:A 7.84248e-05 +12 *2869:20 *22903:A 0.000192579 +13 *2869:20 *3279:8 0.000108446 +14 *3173:51 *3279:8 0.000948566 +15 *3278:7 *22903:A 1.00937e-05 +*RES +1 *23159:Y *3279:8 26.1481 +2 *3279:8 *22903:A 26.164 +3 *3279:8 *5421:DIODE 13.7491 +*END + +*D_NET *3280 0.0048724 +*CONN +*I *5422:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22904:A I *D sky130_fd_sc_hd__buf_2 +*I *23160:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5422:DIODE 6.388e-05 +2 *22904:A 0.000201164 +3 *23160:Y 0.00148077 +4 *3280:7 0.00174581 +5 *3280:7 *22906:A 2.16355e-05 +6 *3280:7 *22907:A 6.50586e-05 +7 *3280:7 *3283:9 0.00077856 +8 *3922:DIODE *22904:A 3.54138e-05 +9 *21878:A *3280:7 7.48797e-05 +10 *22903:A *5422:DIODE 0 +11 *459:10 *22904:A 6.92705e-05 +12 *587:8 *22904:A 3.31882e-05 +13 *2591:14 *5422:DIODE 0 +14 *2591:14 *22904:A 0 +15 *2730:8 *3280:7 0.00030277 +16 *2869:20 *22904:A 0 +*RES +1 *23160:Y *3280:7 38.3205 +2 *3280:7 *22904:A 19.2113 +3 *3280:7 *5422:DIODE 15.1659 +*END + +*D_NET *3281 0.00485889 +*CONN +*I *5423:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22905:A I *D sky130_fd_sc_hd__buf_2 +*I *23161:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5423:DIODE 0 +2 *22905:A 0.000428937 +3 *23161:Y 0.00101837 +4 *3281:11 0.0014473 +5 *3923:DIODE *22905:A 0.000123582 +6 *4101:DIODE *3281:11 0.000171288 +7 *4207:DIODE *3281:11 0.000111722 +8 *4219:DIODE *3281:11 0.000111722 +9 *5684:DIODE *3281:11 0.0002817 +10 *23139:A *22905:A 3.64685e-05 +11 *460:5 *22905:A 3.31733e-05 +12 *1642:9 *22905:A 2.56976e-05 +13 *1642:9 *3281:11 0.000723862 +14 *2577:12 *22905:A 0.000140125 +15 *2849:13 *3281:11 0.000204941 +*RES +1 *23161:Y *3281:11 39.9935 +2 *3281:11 *22905:A 27.7301 +3 *3281:11 *5423:DIODE 9.24915 +*END + +*D_NET *3282 0.00369959 +*CONN +*I *5424:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22906:A I *D sky130_fd_sc_hd__buf_2 +*I *23162:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5424:DIODE 9.25878e-05 +2 *22906:A 0.000120991 +3 *23162:Y 0.00106831 +4 *3282:8 0.00128189 +5 *4205:DIODE *22906:A 5.39463e-05 +6 *2146:16 *3282:8 0.000113968 +7 *2147:49 *3282:8 3.59505e-05 +8 *2591:14 *22906:A 3.45546e-05 +9 *2591:14 *3282:8 1.03607e-05 +10 *2591:16 *3282:8 0 +11 *2725:14 *5424:DIODE 1.31897e-05 +12 *2730:8 *22906:A 6.50586e-05 +13 *2875:10 *22906:A 0.000235992 +14 *2875:10 *3282:8 5.23737e-05 +15 *2875:21 *3282:8 0.00049878 +16 *3280:7 *22906:A 2.16355e-05 +*RES +1 *23162:Y *3282:8 39.698 +2 *3282:8 *22906:A 18.7961 +3 *3282:8 *5424:DIODE 15.0271 +*END + +*D_NET *3283 0.00389986 +*CONN +*I *5425:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22907:A I *D sky130_fd_sc_hd__buf_2 +*I *23163:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5425:DIODE 7.37989e-05 +2 *22907:A 2.87452e-05 +3 *23163:Y 0.00103599 +4 *3283:9 0.00113854 +5 *3283:9 *3285:10 0.000115059 +6 la_data_in_mprj[52] *3283:9 1.55995e-05 +7 *4067:DIODE *5425:DIODE 2.22923e-05 +8 *4208:DIODE *5425:DIODE 2.22788e-05 +9 *21879:A *3283:9 6.08467e-05 +10 *22022:A *3283:9 6.23875e-05 +11 *23160:A *3283:9 0.000160342 +12 *2730:8 *22907:A 3.14978e-05 +13 *2730:8 *3283:9 0.000288859 +14 *3280:7 *22907:A 6.50586e-05 +15 *3280:7 *3283:9 0.00077856 +*RES +1 *23163:Y *3283:9 33.4588 +2 *3283:9 *22907:A 10.5513 +3 *3283:9 *5425:DIODE 20.0811 +*END + +*D_NET *3284 0.047319 +*CONN +*I *6154:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23424:A I *D sky130_fd_sc_hd__nand2_2 +*I *22226:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *6154:DIODE 0 +2 *23424:A 0.000265457 +3 *22226:X 0 +4 *3284:30 0.000821542 +5 *3284:25 0.00261869 +6 *3284:13 0.00534796 +7 *3284:11 0.00372131 +8 *3284:6 0.00340267 +9 *3284:5 0.00296671 +10 *3284:6 *23040:A 3.07073e-05 +11 *3284:6 *3431:16 0.000517115 +12 *3284:11 *3317:21 0.00175352 +13 *3284:13 *3317:21 0.000280532 +14 *3284:13 *3317:23 0.0141265 +15 *3284:30 *3400:8 0.000103047 +16 la_oenb_core[56] *3284:6 7.21753e-05 +17 *3686:DIODE *3284:13 0.00033061 +18 *4415:DIODE *3284:6 1.17299e-05 +19 *4860:DIODE *3284:25 6.23005e-05 +20 *5898:DIODE *23424:A 3.14978e-05 +21 *6351:DIODE *3284:25 7.95477e-05 +22 *21296:A *3284:6 1.67271e-05 +23 *22784:A *3284:6 0 +24 *22785:A *3284:6 0 +25 *23424:B *23424:A 1.47978e-05 +26 *1543:7 *23424:A 6.50586e-05 +27 *1671:17 *23424:A 2.14842e-06 +28 *1805:12 *3284:13 0.000929718 +29 *1805:12 *3284:25 0.000271784 +30 *1909:7 *3284:30 0.000526653 +31 *1910:13 *23424:A 4.67545e-05 +32 *1922:12 *3284:25 0.00204879 +33 *1924:13 *3284:25 0.000513023 +34 *1929:20 *3284:25 0.000214133 +35 *1929:24 *3284:13 0.00290715 +36 *1929:24 *3284:25 6.69003e-05 +37 *1946:22 *23424:A 0.000315617 +38 *1948:43 *23424:A 0.000318408 +39 *2029:34 *3284:25 6.50727e-05 +40 *2031:27 *3284:13 0.000113345 +41 *2031:33 *3284:13 0.000674107 +42 *2283:13 *3284:6 0 +43 *2283:17 *3284:6 0.00043448 +44 *2286:7 *3284:6 0.000327565 +45 *2458:10 *3284:6 0 +46 *2469:14 *3284:11 0.000367224 +47 *2470:9 *3284:13 0.000259915 +48 *2902:38 *3284:6 0.000182734 +49 *3147:14 *3284:30 9.32704e-05 +50 *3147:34 *3284:6 0 +*RES +1 *22226:X *3284:5 13.7491 +2 *3284:5 *3284:6 66.1961 +3 *3284:6 *3284:11 24.104 +4 *3284:11 *3284:13 170.987 +5 *3284:13 *3284:25 48.1299 +6 *3284:25 *3284:30 21.2133 +7 *3284:30 *23424:A 26.5407 +8 *3284:30 *6154:DIODE 9.24915 +*END + +*D_NET *3285 0.00376564 +*CONN +*I *5426:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22908:A I *D sky130_fd_sc_hd__buf_2 +*I *23164:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5426:DIODE 0.000225667 +2 *22908:A 0 +3 *23164:Y 0.000626686 +4 *3285:10 0.000852353 +5 la_data_in_mprj[54] *3285:10 0.000271058 +6 *3927:DIODE *3285:10 0.00011818 +7 *4069:DIODE *3285:10 0.000217937 +8 *4210:DIODE *5426:DIODE 0.000133161 +9 *21882:A *3285:10 0.00011818 +10 *23160:A *3285:10 0.00041102 +11 *23163:A *3285:10 3.14978e-05 +12 *847:5 *5426:DIODE 1.07248e-05 +13 *847:5 *3285:10 5.41377e-05 +14 *2593:11 *5426:DIODE 8.29304e-05 +15 *2593:11 *3285:10 1.36691e-05 +16 *2734:14 *3285:10 0.000364356 +17 *2737:8 *3285:10 4.27631e-05 +18 *2877:15 *5426:DIODE 6.18765e-05 +19 *3173:49 *5426:DIODE 1.43848e-05 +20 *3283:9 *3285:10 0.000115059 +*RES +1 *23164:Y *3285:10 35.4639 +2 *3285:10 *22908:A 13.7491 +3 *3285:10 *5426:DIODE 20.0418 +*END + +*D_NET *3286 0.00340611 +*CONN +*I *22909:A I *D sky130_fd_sc_hd__buf_2 +*I *5427:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23165:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22909:A 0.000684515 +2 *5427:DIODE 0 +3 *23165:Y 0.000701702 +4 *3286:8 0.00138622 +5 *4069:DIODE *22909:A 0.000123582 +6 *4211:DIODE *22909:A 0 +7 *23160:A *22909:A 0 +8 *592:5 *22909:A 3.04407e-05 +9 *848:8 *22909:A 2.14842e-06 +10 *1662:17 *22909:A 0.000330596 +11 *1663:9 *22909:A 2.96516e-05 +12 *2734:14 *22909:A 0 +13 *2734:14 *3286:8 9.8511e-05 +14 *2736:17 *22909:A 0 +15 *2877:15 *3286:8 0 +16 *3173:49 *22909:A 1.87469e-05 +17 *3173:49 *3286:8 0 +*RES +1 *23165:Y *3286:8 32.954 +2 *3286:8 *5427:DIODE 9.24915 +3 *3286:8 *22909:A 33.1284 +*END + +*D_NET *3287 0.00550272 +*CONN +*I *5428:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22910:A I *D sky130_fd_sc_hd__buf_2 +*I *23166:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5428:DIODE 0.000175843 +2 *22910:A 0.000114462 +3 *23166:Y 0.00115507 +4 *3287:12 0.00144538 +5 *5428:DIODE *3288:9 0.000373548 +6 *4070:DIODE *22910:A 0.000127164 +7 *23163:A *22910:A 0 +8 *23291:B *3287:12 0.000162741 +9 *1666:10 *22910:A 0 +10 *1666:10 *3287:12 8.9771e-06 +11 *2139:7 *3287:12 0.000690019 +12 *2195:25 *3287:12 3.29488e-05 +13 *2397:17 *3287:12 0.000253916 +14 *2595:14 *22910:A 7.69423e-05 +15 *2595:14 *3287:12 4.48332e-05 +16 *2730:11 *5428:DIODE 0.000840872 +17 *2736:17 *3287:12 0 +*RES +1 *23166:Y *3287:12 40.8977 +2 *3287:12 *22910:A 17.2421 +3 *3287:12 *5428:DIODE 22.7916 +*END + +*D_NET *3288 0.00478531 +*CONN +*I *5429:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22911:A I *D sky130_fd_sc_hd__buf_2 +*I *23167:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5429:DIODE 2.49638e-05 +2 *22911:A 0.000256871 +3 *23167:Y 0.00132471 +4 *3288:9 0.00160655 +5 *3930:DIODE *22911:A 5.65312e-05 +6 *4212:DIODE *22911:A 0 +7 *4213:DIODE *22911:A 1.65159e-05 +8 *4955:DIODE *3288:9 0 +9 *5428:DIODE *3288:9 0.000373548 +10 *5687:DIODE *5429:DIODE 0.000111722 +11 *5687:DIODE *3288:9 0.000263126 +12 *5895:DIODE *3288:9 0.000173407 +13 *594:22 *22911:A 6.50586e-05 +14 *850:7 *22911:A 7.09666e-06 +15 *1147:37 *3288:9 2.82537e-05 +16 *2595:14 *3288:9 0 +17 *2730:11 *5429:DIODE 3.8122e-05 +18 *2730:11 *3288:9 4.17467e-05 +19 *2737:8 *22911:A 0 +20 *2737:8 *3288:9 0 +21 *2879:7 *22911:A 2.16355e-05 +22 *2879:8 *22911:A 0.000375454 +*RES +1 *23167:Y *3288:9 48.4955 +2 *3288:9 *22911:A 26.6181 +3 *3288:9 *5429:DIODE 10.5271 +*END + +*D_NET *3289 0.00359968 +*CONN +*I *22912:A I *D sky130_fd_sc_hd__buf_2 +*I *5430:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23168:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22912:A 0.00013242 +2 *5430:DIODE 4.8868e-05 +3 *23168:Y 0.00120641 +4 *3289:9 0.0013877 +5 *6146:DIODE *3289:9 4.59975e-05 +6 *23164:A *22912:A 5.36621e-05 +7 *1667:8 *22912:A 1.39717e-06 +8 *2598:8 *22912:A 0.000225324 +9 *2598:8 *3289:9 0 +10 *2730:11 *5430:DIODE 1.41181e-05 +11 *2730:11 *3289:9 7.57519e-05 +12 *2881:11 *3289:9 0.000408028 +*RES +1 *23168:Y *3289:9 43.9249 +2 *3289:9 *5430:DIODE 9.97254 +3 *3289:9 *22912:A 22.5727 +*END + +*D_NET *3290 0.00204587 +*CONN +*I *22913:A I *D sky130_fd_sc_hd__buf_2 +*I *5431:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23169:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22913:A 0.000224011 +2 *5431:DIODE 2.59387e-05 +3 *23169:Y 0.000257038 +4 *3290:5 0.000506988 +5 *3932:DIODE *22913:A 0.000139177 +6 *4074:DIODE *22913:A 3.17829e-05 +7 *5692:DIODE *3290:5 6.36477e-05 +8 *21744:A *22913:A 6.50727e-05 +9 *596:5 *22913:A 1.05272e-06 +10 *2730:11 *5431:DIODE 6.50586e-05 +11 *2730:11 *3290:5 0.000564559 +12 *2739:21 *22913:A 0.000101544 +13 *2742:8 *22913:A 0 +*RES +1 *23169:Y *3290:5 16.6278 +2 *3290:5 *5431:DIODE 9.97254 +3 *3290:5 *22913:A 25.3723 +*END + +*D_NET *3291 0.00377836 +*CONN +*I *5432:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22914:A I *D sky130_fd_sc_hd__buf_2 +*I *23170:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5432:DIODE 0 +2 *22914:A 0.000187336 +3 *23170:Y 0.000710743 +4 *3291:12 0.000898079 +5 *3933:DIODE *22914:A 0 +6 *4075:DIODE *22914:A 0 +7 *4215:DIODE *22914:A 0 +8 *4216:DIODE *22914:A 0 +9 *4216:DIODE *3291:12 0 +10 *5693:DIODE *3291:12 0.000171899 +11 *5881:DIODE *3291:12 5.65968e-05 +12 *23287:A *3291:12 0.000219454 +13 *469:10 *22914:A 3.31882e-05 +14 *853:5 *22914:A 0 +15 *1662:11 *3291:12 0.000192497 +16 *2151:38 *3291:12 0.000669918 +17 *2191:17 *3291:12 3.31733e-05 +18 *2600:17 *22914:A 0.000140942 +19 *2600:17 *3291:12 2.34962e-05 +20 *2730:14 *3291:12 0.000426924 +21 *2742:7 *22914:A 1.41181e-05 +*RES +1 *23170:Y *3291:12 37.9821 +2 *3291:12 *22914:A 19.2113 +3 *3291:12 *5432:DIODE 13.7491 +*END + +*D_NET *3292 0.00113103 +*CONN +*I *5433:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22915:A I *D sky130_fd_sc_hd__buf_2 +*I *23171:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5433:DIODE 6.52964e-05 +2 *22915:A 0.000258208 +3 *23171:Y 9.60219e-05 +4 *3292:7 0.000419527 +5 la_data_in_mprj[59] *22915:A 0.000161492 +6 *3934:DIODE *22915:A 0.000127194 +7 *3934:DIODE *3292:7 3.28898e-06 +8 *4076:DIODE *5433:DIODE 0 +9 *4076:DIODE *22915:A 0 +10 *4216:DIODE *5433:DIODE 0 +11 *4216:DIODE *22915:A 0 +12 *598:9 *22915:A 0 +13 *853:5 *22915:A 0 +*RES +1 *23171:Y *3292:7 15.0271 +2 *3292:7 *22915:A 21.0386 +3 *3292:7 *5433:DIODE 15.1659 +*END + +*D_NET *3293 0.0027406 +*CONN +*I *22916:A I *D sky130_fd_sc_hd__buf_2 +*I *5434:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23172:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22916:A 0.000359798 +2 *5434:DIODE 9.84e-05 +3 *23172:Y 0.000597508 +4 *3293:8 0.00105571 +5 *4219:DIODE *22916:A 9.75356e-05 +6 *22031:A *22916:A 6.50727e-05 +7 *2602:6 *22916:A 0 +8 *2602:6 *3293:8 0 +9 *2849:13 *5434:DIODE 0.000175485 +10 *2874:11 *22916:A 0.000183012 +11 *2886:6 *22916:A 7.72801e-05 +12 *2886:6 *3293:8 3.07997e-05 +13 *2937:18 *22916:A 0 +*RES +1 *23172:Y *3293:8 27.2573 +2 *3293:8 *5434:DIODE 15.5817 +3 *3293:8 *22916:A 24.1291 +*END + +*D_NET *3294 0.00194014 +*CONN +*I *5435:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22917:A I *D sky130_fd_sc_hd__buf_2 +*I *23173:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5435:DIODE 0.000169821 +2 *22917:A 0.000260698 +3 *23173:Y 0.000160729 +4 *3294:8 0.000591247 +5 *4218:DIODE *22917:A 0 +6 *1672:11 *22917:A 1.24491e-05 +7 *1672:11 *3294:8 2.64881e-05 +8 *1674:9 *5435:DIODE 0.000319954 +9 *2603:11 *22917:A 0.000194686 +10 *2603:11 *3294:8 3.92275e-05 +11 *2741:12 *22917:A 0 +12 *2743:8 *22917:A 0 +13 *3228:37 *3294:8 0.000164843 +*RES +1 *23173:Y *3294:8 17.4137 +2 *3294:8 *22917:A 21.3947 +3 *3294:8 *5435:DIODE 18.3548 +*END + +*D_NET *3295 0.0678907 +*CONN +*I *23425:A I *D sky130_fd_sc_hd__nand2_1 +*I *6156:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22227:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23425:A 0.000151855 +2 *6156:DIODE 0 +3 *22227:X 0 +4 *3295:28 0.00545104 +5 *3295:21 0.0107617 +6 *3295:6 0.00811753 +7 *3295:5 0.00265499 +8 *3295:6 *23041:A 0 +9 *3295:6 *3432:8 0 +10 *3295:21 *3428:29 0.00506621 +11 *3295:21 *3428:36 2.23882e-05 +12 *3295:28 *3373:36 0.000229782 +13 *3295:28 *3428:36 0.0101334 +14 la_oenb_core[57] *3295:6 8.85986e-05 +15 *4416:DIODE *3295:6 1.8714e-05 +16 *6157:DIODE *23425:A 0.000175485 +17 *22785:A *3295:6 0 +18 *22786:A *3295:6 0 +19 *1676:8 *3295:28 2.26985e-05 +20 *1818:8 *3295:21 0 +21 *1991:30 *3295:21 0.000326803 +22 *2077:19 *3295:21 0.00355202 +23 *2131:20 *3295:21 0.00113911 +24 *2151:38 *23425:A 0.000204647 +25 *2191:17 *3295:28 0.0010772 +26 *2201:23 *3295:28 0.00148002 +27 *2232:19 *3295:21 0.00013329 +28 *2265:23 *3295:21 0.000658595 +29 *2281:15 *3295:6 0 +30 *2284:17 *3295:21 0.000504096 +31 *2339:8 *3295:21 0.000277853 +32 *2349:36 *3295:6 0 +33 *2349:50 *3295:21 6.59255e-05 +34 *2360:33 *3295:21 0.00175616 +35 *2371:13 *3295:21 0.00012309 +36 *2470:9 *3295:21 0.00141652 +37 *2475:12 *3295:21 0.000186845 +38 *2475:19 *3295:6 0 +39 *2623:18 *3295:21 0.000151776 +40 *3148:16 *3295:6 0 +41 *3156:12 *3295:6 0 +42 *3156:12 *3295:21 0 +43 *3184:26 *3295:28 0.0079989 +44 *3195:20 *3295:21 1.0973e-05 +45 *3195:20 *3295:28 0.00169268 +46 *3239:21 *3295:21 0.00223968 +*RES +1 *22227:X *3295:5 13.7491 +2 *3295:5 *3295:6 49.5005 +3 *3295:6 *3295:21 43.3115 +4 *3295:21 *3295:28 30.8236 +5 *3295:28 *6156:DIODE 9.24915 +6 *3295:28 *23425:A 14.9881 +*END + +*D_NET *3296 0.000734252 +*CONN +*I *22918:A I *D sky130_fd_sc_hd__buf_2 +*I *5436:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23174:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *22918:A 0.000233903 +2 *5436:DIODE 5.38534e-05 +3 *23174:Y 4.5018e-05 +4 *3296:5 0.000332775 +5 *3936:DIODE *22918:A 0 +6 *3937:DIODE *22918:A 6.8703e-05 +7 *4220:DIODE *22918:A 0 +*RES +1 *23174:Y *3296:5 9.97254 +2 *3296:5 *5436:DIODE 9.97254 +3 *3296:5 *22918:A 24.2337 +*END + +*D_NET *3297 0.00350257 +*CONN +*I *5437:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22919:A I *D sky130_fd_sc_hd__buf_2 +*I *23175:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5437:DIODE 0.000136414 +2 *22919:A 0.000237572 +3 *23175:Y 0.000500225 +4 *3297:6 0.000874211 +5 *22919:A *22920:A 1.78514e-05 +6 *4222:DIODE *22919:A 1.61631e-05 +7 *5910:DIODE *3297:6 3.5534e-06 +8 *602:11 *22919:A 0 +9 *858:10 *22919:A 6.92705e-05 +10 *2746:8 *22919:A 0.000168078 +11 *2746:8 *3297:6 0.000307641 +12 *2889:11 *22919:A 0.000385566 +13 *2889:11 *3297:6 0.000786027 +*RES +1 *23175:Y *3297:6 29.2845 +2 *3297:6 *22919:A 23.2514 +3 *3297:6 *5437:DIODE 15.5817 +*END + +*D_NET *3298 0.0010952 +*CONN +*I *5438:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22920:A I *D sky130_fd_sc_hd__buf_2 +*I *23176:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5438:DIODE 5.38389e-05 +2 *22920:A 0.000178099 +3 *23176:Y 4.20428e-05 +4 *3298:6 0.00027398 +5 *4222:DIODE *22920:A 0.000156823 +6 *22919:A *22920:A 1.78514e-05 +7 *602:11 *22920:A 0 +8 *2080:13 *22920:A 0.000138676 +9 *2080:13 *3298:6 3.00073e-05 +10 *2889:11 *22920:A 0.000175629 +11 *2889:11 *3298:6 2.82537e-05 +*RES +1 *23176:Y *3298:6 15.1659 +2 *3298:6 *22920:A 21.8099 +3 *3298:6 *5438:DIODE 14.4725 +*END + +*D_NET *3299 0.00178835 +*CONN +*I *5439:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22921:A I *D sky130_fd_sc_hd__buf_2 +*I *23177:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5439:DIODE 0 +2 *22921:A 0.000385971 +3 *23177:Y 0.000329998 +4 *3299:6 0.000715968 +5 la_data_in_mprj[64] *22921:A 1.52821e-05 +6 *4224:DIODE *22921:A 0 +7 *23179:A *22921:A 0 +8 *23179:A *3299:6 0 +9 *604:8 *22921:A 9.51825e-05 +10 *860:7 *22921:A 3.20069e-06 +11 *1679:11 *3299:6 0.000242744 +12 *1682:8 *3299:6 0 +13 *2748:8 *22921:A 0 +14 *2748:8 *3299:6 0 +15 *3140:26 *3299:6 0 +*RES +1 *23177:Y *3299:6 21.8099 +2 *3299:6 *22921:A 23.0879 +3 *3299:6 *5439:DIODE 13.7491 +*END + +*D_NET *3300 0.00121112 +*CONN +*I *5440:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22922:A I *D sky130_fd_sc_hd__buf_2 +*I *23178:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5440:DIODE 9.22355e-05 +2 *22922:A 0.000276657 +3 *23178:Y 4.64048e-05 +4 *3300:6 0.000415297 +5 *3942:DIODE *22922:A 6.8703e-05 +6 *4225:DIODE *22922:A 0 +7 *23179:A *22922:A 0.000233142 +8 *1682:8 *22922:A 1.07248e-05 +9 *1682:8 *3300:6 6.79599e-05 +10 *2749:12 *22922:A 0 +11 *2749:12 *3300:6 0 +12 *2891:18 *22922:A 0 +*RES +1 *23178:Y *3300:6 15.1659 +2 *3300:6 *22922:A 21.8099 +3 *3300:6 *5440:DIODE 15.0271 +*END + +*D_NET *3301 0.00376525 +*CONN +*I *5441:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22923:A I *D sky130_fd_sc_hd__buf_2 +*I *23179:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5441:DIODE 6.13784e-05 +2 *22923:A 0.000238394 +3 *23179:Y 0.00117756 +4 *3301:7 0.00147733 +5 *3301:7 *3302:7 2.7837e-05 +6 la_data_in_mprj[67] *22923:A 5.77383e-05 +7 *3943:DIODE *3301:7 4.69808e-06 +8 *3944:DIODE *22923:A 0 +9 *4083:DIODE *3301:7 6.48675e-06 +10 *4226:DIODE *22923:A 0.000114495 +11 *23180:A *5441:DIODE 1.34046e-05 +12 *23180:A *22923:A 1.13066e-05 +13 *2749:12 *3301:7 0.00057462 +14 *2752:10 *22923:A 0 +*RES +1 *23179:Y *3301:7 31.6653 +2 *3301:7 *22923:A 19.9294 +3 *3301:7 *5441:DIODE 15.1659 +*END + +*D_NET *3302 0.00180515 +*CONN +*I *22924:A I *D sky130_fd_sc_hd__buf_2 +*I *5442:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23180:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22924:A 0 +2 *5442:DIODE 0.000254454 +3 *23180:Y 0.000206503 +4 *3302:7 0.000460957 +5 *3302:7 *3304:11 1.00981e-05 +6 la_data_in_mprj[67] *3302:7 0.00047698 +7 *3944:DIODE *5442:DIODE 2.47112e-05 +8 *4086:DIODE *5442:DIODE 0.000114086 +9 *4226:DIODE *3302:7 4.31703e-05 +10 *23182:A *5442:DIODE 4.8382e-05 +11 *23182:A *3302:7 5.99621e-05 +12 *2753:9 *5442:DIODE 1.29348e-05 +13 *3228:37 *5442:DIODE 6.50727e-05 +14 *3301:7 *3302:7 2.7837e-05 +*RES +1 *23180:Y *3302:7 17.2065 +2 *3302:7 *5442:DIODE 24.5418 +3 *3302:7 *22924:A 9.24915 +*END + +*D_NET *3303 0.00223187 +*CONN +*I *5443:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22925:A I *D sky130_fd_sc_hd__buf_2 +*I *23181:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5443:DIODE 0.000120438 +2 *22925:A 0.000187668 +3 *23181:Y 0.000348318 +4 *3303:7 0.000656424 +5 *3303:7 *3304:11 7.96499e-05 +6 la_data_in_mprj[68] *22925:A 1.56419e-05 +7 *3945:DIODE *3303:7 0.00011818 +8 *4087:DIODE *3303:7 0.000120326 +9 *4229:DIODE *22925:A 0.000296116 +10 *23181:A *3303:7 9.63981e-05 +11 *608:8 *5443:DIODE 0 +12 *608:8 *22925:A 0 +13 *608:8 *3303:7 2.57986e-05 +14 *864:10 *22925:A 6.92705e-05 +15 *2612:10 *5443:DIODE 6.91836e-05 +16 *2612:10 *22925:A 2.84537e-05 +17 *2754:10 *5443:DIODE 0 +*RES +1 *23181:Y *3303:7 23.9008 +2 *3303:7 *22925:A 19.9322 +3 *3303:7 *5443:DIODE 16.8269 +*END + +*D_NET *3304 0.00350293 +*CONN +*I *5444:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22926:A I *D sky130_fd_sc_hd__buf_2 +*I *23182:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5444:DIODE 4.34862e-05 +2 *22926:A 0.00012778 +3 *23182:Y 0.000932881 +4 *3304:11 0.00110415 +5 *4087:DIODE *3304:11 0.000251959 +6 *23181:A *3304:11 9.14669e-05 +7 *23182:A *3304:11 0.000211464 +8 *481:10 *22926:A 2.57986e-05 +9 *608:8 *3304:11 2.57986e-05 +10 *2111:16 *5444:DIODE 9.85369e-05 +11 *2111:16 *22926:A 0.000236801 +12 *2612:10 *5444:DIODE 3.87501e-05 +13 *2612:10 *22926:A 0.000101935 +14 *2754:10 *3304:11 0.000122378 +15 *3302:7 *3304:11 1.00981e-05 +16 *3303:7 *3304:11 7.96499e-05 +*RES +1 *23182:Y *3304:11 31.3036 +2 *3304:11 *22926:A 18.7961 +3 *3304:11 *5444:DIODE 15.5811 +*END + +*D_NET *3305 0.0042261 +*CONN +*I *22927:A I *D sky130_fd_sc_hd__buf_2 +*I *5445:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23183:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22927:A 0.000299667 +2 *5445:DIODE 4.18965e-05 +3 *23183:Y 0.000508197 +4 *3305:11 0.00084976 +5 *3305:11 *3318:10 0.0013074 +6 *23172:A *3305:11 0.000120546 +7 *610:8 *22927:A 0 +8 *1642:9 *22927:A 2.22342e-05 +9 *1675:9 *3305:11 0.000404458 +10 *2614:8 *3305:11 0.000123582 +11 *2708:17 *22927:A 0.000173328 +12 *2708:17 *3305:11 0 +13 *2849:13 *5445:DIODE 6.50586e-05 +14 *2849:13 *3305:11 0.000309968 +15 *2861:12 *22927:A 0 +*RES +1 *23183:Y *3305:11 39.1359 +2 *3305:11 *5445:DIODE 9.97254 +3 *3305:11 *22927:A 26.4681 +*END + +*D_NET *3306 0.0788062 +*CONN +*I *23426:A I *D sky130_fd_sc_hd__nand2_1 +*I *6158:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22228:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23426:A 0.000251331 +2 *6158:DIODE 0 +3 *22228:X 0.00795157 +4 *3306:34 0.00879615 +5 *3306:21 0.0164964 +6 *3306:21 *23042:A 5.36152e-05 +7 *3306:34 *23433:A 0.000145563 +8 *3306:34 *3339:34 0.00146558 +9 *3306:34 *3384:23 0.000785244 +10 *3306:34 *3384:29 0.0012012 +11 *3306:34 *3395:35 0.00102784 +12 *3306:34 *3395:42 0.00990652 +13 la_oenb_core[58] *3306:21 0.000106645 +14 *4417:DIODE *3306:21 1.71499e-05 +15 *6152:DIODE *3306:34 0 +16 *22786:A *3306:21 0 +17 *22787:A *3306:21 0 +18 *23426:B *23426:A 7.16754e-05 +19 *1138:34 *3306:21 0.00411622 +20 *1147:22 *3306:21 0.000125108 +21 *1153:44 *3306:34 0.00137901 +22 *1676:8 *3306:34 0.000141764 +23 *1980:34 *3306:34 0.00017378 +24 *1980:40 *3306:34 0.000320482 +25 *2036:19 *3306:21 0.00170058 +26 *2177:23 *3306:34 0.000682548 +27 *2208:19 *3306:34 0.00172433 +28 *2212:19 *3306:34 0.000637844 +29 *2213:20 *3306:34 0.000278173 +30 *2218:20 *3306:34 0.000230954 +31 *2221:19 *3306:34 7.32924e-05 +32 *2223:19 *3306:34 0.000962638 +33 *2251:25 *3306:34 0.00232829 +34 *2261:21 *3306:21 0.000913679 +35 *2261:21 *3306:34 0.00407386 +36 *2365:13 *3306:34 0.000463439 +37 *2365:39 *3306:34 0.00148715 +38 *2374:11 *23426:A 0.000165521 +39 *2397:7 *3306:34 0.000144814 +40 *2460:33 *3306:21 0.000684649 +41 *2460:33 *3306:34 0.00070537 +42 *2598:8 *23426:A 0.000209495 +43 *2598:8 *3306:34 0.000184797 +44 *2738:8 *3306:34 0 +45 *2975:52 *3306:21 0.000114471 +46 *2981:31 *3306:21 0.000118107 +47 *3124:17 *3306:34 0.00638939 +48 *3149:36 *3306:21 0 +*RES +1 *22228:X *3306:21 46.7621 +2 *3306:21 *3306:34 43.6101 +3 *3306:34 *6158:DIODE 13.7491 +4 *3306:34 *23426:A 20.3205 +*END + +*D_NET *3307 0.00185929 +*CONN +*I *5446:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22928:A I *D sky130_fd_sc_hd__buf_2 +*I *23184:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5446:DIODE 1.66731e-05 +2 *22928:A 0.000228506 +3 *23184:Y 0.000304588 +4 *3307:9 0.000549768 +5 *4230:DIODE *22928:A 0 +6 *5708:DIODE *5446:DIODE 6.50727e-05 +7 *5708:DIODE *3307:9 0.000277488 +8 *23310:A *3307:9 2.47037e-05 +9 *611:8 *22928:A 0.000215759 +10 *2896:17 *22928:A 0.000176734 +*RES +1 *23184:Y *3307:9 26.3506 +2 *3307:9 *22928:A 25.8947 +3 *3307:9 *5446:DIODE 9.97254 +*END + +*D_NET *3308 0.00271845 +*CONN +*I *5447:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22929:A I *D sky130_fd_sc_hd__buf_2 +*I *23185:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5447:DIODE 8.45067e-05 +2 *22929:A 0.000168691 +3 *23185:Y 0.000429842 +4 *3308:7 0.000683039 +5 *3308:7 *3309:7 0.000277488 +6 la_data_in_mprj[71] *22929:A 2.41483e-05 +7 *3948:DIODE *3308:7 2.65831e-05 +8 *3949:DIODE *22929:A 0 +9 *4090:DIODE *3308:7 0.000256861 +10 *4233:DIODE *22929:A 3.8397e-05 +11 *23185:A *3308:7 0.00020979 +12 *23186:A *5447:DIODE 0.000127194 +13 *23186:A *3308:7 0.000175485 +14 *611:8 *3308:7 6.08467e-05 +15 *612:18 *22929:A 1.61631e-05 +16 *2616:13 *22929:A 3.14978e-05 +17 *2757:10 *3308:7 0.000107913 +18 *2758:8 *5447:DIODE 0 +19 *2758:8 *22929:A 0 +*RES +1 *23185:Y *3308:7 29.4468 +2 *3308:7 *22929:A 18.2925 +3 *3308:7 *5447:DIODE 16.4116 +*END + +*D_NET *3309 0.0025753 +*CONN +*I *5448:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22930:A I *D sky130_fd_sc_hd__buf_2 +*I *23186:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5448:DIODE 9.01027e-05 +2 *22930:A 0.000147442 +3 *23186:Y 0.000354912 +4 *3309:7 0.000592457 +5 *3309:7 *3310:7 1.00937e-05 +6 la_data_in_mprj[72] *22930:A 1.00981e-05 +7 *3949:DIODE *3309:7 0.000171288 +8 *3950:DIODE *22930:A 0 +9 *3950:DIODE *3309:7 0.000171288 +10 *4091:DIODE *22930:A 0.000240983 +11 *4092:DIODE *5448:DIODE 2.1558e-06 +12 *4092:DIODE *22930:A 8.90058e-06 +13 *4233:DIODE *3309:7 0.00011818 +14 *23187:A *3309:7 0.000147308 +15 *613:14 *22930:A 6.92705e-05 +16 *1990:28 *5448:DIODE 0.000158633 +17 *2616:13 *22930:A 4.69495e-06 +18 *2758:8 *5448:DIODE 0 +19 *2758:8 *22930:A 0 +20 *3308:7 *3309:7 0.000277488 +*RES +1 *23186:Y *3309:7 25.5646 +2 *3309:7 *22930:A 18.2684 +3 *3309:7 *5448:DIODE 16.8269 +*END + +*D_NET *3310 0.0025972 +*CONN +*I *5449:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22931:A I *D sky130_fd_sc_hd__buf_2 +*I *23187:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5449:DIODE 4.76995e-05 +2 *22931:A 0.00020826 +3 *23187:Y 0.000657292 +4 *3310:7 0.000913251 +5 la_data_in_mprj[73] *22931:A 0.000169041 +6 *3952:DIODE *22931:A 5.15807e-05 +7 *4234:DIODE *3310:7 4.49099e-05 +8 *23187:A *3310:7 0.000180483 +9 *486:5 *22931:A 2.1203e-06 +10 *614:8 *22931:A 5.18929e-05 +11 *2103:13 *22931:A 0 +12 *2759:8 *22931:A 0 +13 *2760:11 *5449:DIODE 7.50872e-05 +14 *2760:11 *22931:A 0.000185487 +15 *3309:7 *3310:7 1.00937e-05 +*RES +1 *23187:Y *3310:7 23.9008 +2 *3310:7 *22931:A 20.3205 +3 *3310:7 *5449:DIODE 15.1659 +*END + +*D_NET *3311 0.0025694 +*CONN +*I *5450:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22932:A I *D sky130_fd_sc_hd__buf_2 +*I *23188:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *5450:DIODE 0.000498757 +2 *22932:A 0.000203215 +3 *23188:Y 0.000181695 +4 *3311:7 0.000883668 +5 *3953:DIODE *22932:A 0 +6 *3953:DIODE *3311:7 4.23858e-05 +7 *4093:DIODE *22932:A 0 +8 *4094:DIODE *5450:DIODE 6.50727e-05 +9 *4236:DIODE *5450:DIODE 0 +10 *4236:DIODE *22932:A 0 +11 *5712:DIODE *5450:DIODE 0.000184854 +12 *23188:A *5450:DIODE 4.21539e-05 +13 *23188:A *3311:7 0.000369279 +14 *615:8 *22932:A 6.75841e-05 +15 *871:10 *22932:A 7.09666e-06 +16 *1691:9 *5450:DIODE 6.12686e-06 +17 *1693:9 *5450:DIODE 1.75125e-05 +18 *2761:11 *5450:DIODE 0 +19 *2761:11 *22932:A 0 +*RES +1 *23188:Y *3311:7 19.464 +2 *3311:7 *22932:A 17.9655 +3 *3311:7 *5450:DIODE 26.424 +*END + +*D_NET *3312 0.00260553 +*CONN +*I *5451:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22933:A I *D sky130_fd_sc_hd__buf_2 +*I *23189:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *5451:DIODE 0.000117392 +2 *22933:A 0.000229626 +3 *23189:Y 0.000177368 +4 *3312:7 0.000524385 +5 *5451:DIODE *5452:DIODE 0 +6 *22933:A *22934:A 0 +7 *3312:7 *3313:7 0.000687369 +8 *4096:DIODE *3312:7 0.000156401 +9 *4237:DIODE *22933:A 0.000224449 +10 *23189:A *5451:DIODE 0 +11 *23189:A *3312:7 0.000143188 +12 *23190:A *3312:7 0.000175485 +13 *616:8 *5451:DIODE 0 +14 *616:8 *22933:A 0 +15 *616:8 *3312:7 0.000169872 +*RES +1 *23189:Y *3312:7 23.9008 +2 *3312:7 *22933:A 19.7928 +3 *3312:7 *5451:DIODE 16.4116 +*END + +*D_NET *3313 0.00229638 +*CONN +*I *5452:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22934:A I *D sky130_fd_sc_hd__buf_2 +*I *23190:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5452:DIODE 9.82755e-05 +2 *22934:A 6.34832e-05 +3 *23190:Y 0.000307223 +4 *3313:7 0.000468981 +5 *3954:DIODE *3313:7 0.00011818 +6 *3955:DIODE *22934:A 0.000150478 +7 *3955:DIODE *3313:7 6.08467e-05 +8 *5451:DIODE *5452:DIODE 0 +9 *22933:A *22934:A 0 +10 *2764:11 *5452:DIODE 0.000172722 +11 *2764:11 *22934:A 0.00016882 +12 *3312:7 *3313:7 0.000687369 +*RES +1 *23190:Y *3313:7 23.3462 +2 *3313:7 *22934:A 16.8269 +3 *3313:7 *5452:DIODE 16.8269 +*END + +*D_NET *3314 0.00175026 +*CONN +*I *5453:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22935:A I *D sky130_fd_sc_hd__buf_2 +*I *23191:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5453:DIODE 0.000134969 +2 *22935:A 0.000213907 +3 *23191:Y 0.000428023 +4 *3314:6 0.000776899 +5 *3956:DIODE *22935:A 2.65831e-05 +6 *4238:DIODE *22935:A 7.92757e-06 +7 *4240:DIODE *22935:A 0 +8 *618:8 *22935:A 3.20069e-06 +9 *2765:8 *22935:A 9.32425e-05 +10 *2765:8 *3314:6 6.55091e-05 +11 *2766:8 *22935:A 0 +12 *2766:8 *3314:6 0 +13 *2905:13 *22935:A 0 +*RES +1 *23191:Y *3314:6 21.8099 +2 *3314:6 *22935:A 19.3507 +3 *3314:6 *5453:DIODE 15.5817 +*END + +*D_NET *3315 0.00278717 +*CONN +*I *5454:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22936:A I *D sky130_fd_sc_hd__buf_2 +*I *23192:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5454:DIODE 0 +2 *22936:A 0.000324362 +3 *23192:Y 0.000729378 +4 *3315:6 0.00105374 +5 la_data_in_mprj[78] *22936:A 5.56461e-05 +6 *3957:DIODE *22936:A 0.000161167 +7 *4240:DIODE *22936:A 0 +8 *21911:A *22936:A 0 +9 *1694:10 *3315:6 0 +10 *2622:5 *22936:A 0.000118166 +11 *2766:8 *22936:A 0 +12 *2766:8 *3315:6 0 +13 *2767:14 *22936:A 9.13783e-05 +14 *2767:14 *3315:6 0.000253333 +*RES +1 *23192:Y *3315:6 28.454 +2 *3315:6 *22936:A 23.0879 +3 *3315:6 *5454:DIODE 13.7491 +*END + +*D_NET *3316 0.00325334 +*CONN +*I *22937:A I *D sky130_fd_sc_hd__buf_2 +*I *5455:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23193:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22937:A 0.000254988 +2 *5455:DIODE 0 +3 *23193:Y 0.000673489 +4 *3316:9 0.000928478 +5 *2090:7 *3316:9 0.000699245 +6 *2094:5 *22937:A 5.03039e-05 +7 *2094:5 *3316:9 1.51401e-05 +8 *2769:8 *22937:A 0 +9 *2908:21 *22937:A 7.12632e-06 +10 *3228:24 *3316:9 0.000624568 +*RES +1 *23193:Y *3316:9 35.8958 +2 *3316:9 *5455:DIODE 9.24915 +3 *3316:9 *22937:A 23.2961 +*END + +*D_NET *3317 0.0638248 +*CONN +*I *6160:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23427:A I *D sky130_fd_sc_hd__nand2_1 +*I *22229:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6160:DIODE 7.72942e-05 +2 *23427:A 0 +3 *22229:X 3.5132e-05 +4 *3317:40 0.00298535 +5 *3317:31 0.00592349 +6 *3317:23 0.00758252 +7 *3317:21 0.00608238 +8 *3317:17 0.00325227 +9 *3317:8 0.00416113 +10 *3317:7 0.00245929 +11 *3317:8 *3434:17 0 +12 *3317:8 *3451:16 3.77568e-05 +13 la_oenb_core[59] *3317:8 0.00010443 +14 *4632:DIODE *3317:23 0.00016491 +15 *5696:DIODE *3317:40 3.67528e-06 +16 *6161:DIODE *6160:DIODE 0.000167701 +17 *6393:DIODE *3317:23 6.50727e-05 +18 *6436:DIODE *3317:23 0.000723077 +19 *22342:A *3317:17 0.000217798 +20 *22541:TE *3317:17 0.000168519 +21 *22566:TE *3317:17 0.000180534 +22 *22787:A *3317:8 0 +23 *22789:A *3317:8 0 +24 *23527:TE *3317:31 3.58525e-05 +25 *23575:TE *3317:8 4.04861e-05 +26 *1169:63 *3317:31 0.000114394 +27 *1171:26 *3317:31 0.00246884 +28 *1203:28 *3317:17 0 +29 *1305:16 *3317:23 0.000171273 +30 *1306:18 *3317:17 0.000162529 +31 *1307:15 *3317:23 0.000200794 +32 *1438:9 *3317:8 0 +33 *1676:8 *3317:40 7.18816e-06 +34 *1988:25 *3317:31 5.76799e-05 +35 *2021:34 *3317:40 0.000280719 +36 *2026:37 *3317:40 2.66884e-05 +37 *2033:23 *3317:31 1.91391e-05 +38 *2065:26 *3317:31 0.000434041 +39 *2081:27 *3317:8 2.27135e-05 +40 *2101:20 *3317:40 0.000143836 +41 *2119:25 *3317:31 0.00158424 +42 *2119:25 *3317:40 0.00153712 +43 *2123:19 *3317:40 0.000541321 +44 *2176:10 *3317:31 0 +45 *2178:7 *3317:31 7.3287e-05 +46 *2182:9 *3317:31 0 +47 *2190:33 *3317:40 0.000191158 +48 *2192:25 *3317:31 0.000554604 +49 *2200:15 *3317:31 0 +50 *2201:23 *3317:31 0.00031848 +51 *2203:24 *3317:40 0.00017686 +52 *2298:7 *3317:17 0 +53 *2444:16 *3317:8 0.000290959 +54 *2464:11 *3317:17 2.29116e-05 +55 *2469:14 *3317:17 0.00172935 +56 *2469:14 *3317:21 1.41689e-05 +57 *2470:9 *3317:23 0.00021387 +58 *2603:20 *3317:40 5.53093e-05 +59 *2885:18 *3317:40 0 +60 *2905:46 *3317:17 0 +61 *2907:42 *3317:8 7.22901e-05 +62 *2985:45 *3317:7 4.31539e-05 +63 *3001:111 *3317:7 4.31539e-05 +64 *3017:10 *3317:31 0.000343965 +65 *3124:26 *3317:31 0.000489057 +66 *3141:35 *3317:23 0.00022447 +67 *3150:16 *3317:8 0 +68 *3153:48 *3317:8 0 +69 *3155:36 *3317:8 0.00035973 +70 *3155:36 *3317:17 2.9854e-05 +71 *3228:37 *6160:DIODE 0.000176388 +72 *3284:11 *3317:21 0.00175352 +73 *3284:13 *3317:21 0.000280532 +74 *3284:13 *3317:23 0.0141265 +*RES +1 *22229:X *3317:7 15.0271 +2 *3317:7 *3317:8 49.5005 +3 *3317:8 *3317:17 49.1891 +4 *3317:17 *3317:21 27.0912 +5 *3317:21 *3317:23 152.407 +6 *3317:23 *3317:31 42.7189 +7 *3317:31 *3317:40 18.5171 +8 *3317:40 *23427:A 9.24915 +9 *3317:40 *6160:DIODE 13.3002 +*END + +*D_NET *3318 0.00544383 +*CONN +*I *5456:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22938:A I *D sky130_fd_sc_hd__buf_2 +*I *23194:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5456:DIODE 4.51842e-05 +2 *22938:A 0.00022573 +3 *23194:Y 0.00120637 +4 *3318:10 0.00147728 +5 *22938:A *5467:DIODE 2.55493e-05 +6 *3959:DIODE *22938:A 0.000104731 +7 *23183:A *3318:10 0.000233743 +8 *1675:9 *3318:10 0.000255283 +9 *2614:8 *22938:A 0.000409197 +10 *2614:8 *3318:10 9.25069e-05 +11 *2626:6 *22938:A 0 +12 *2626:6 *3318:10 0 +13 *2849:13 *5456:DIODE 6.08467e-05 +14 *3305:11 *3318:10 0.0013074 +*RES +1 *23194:Y *3318:10 47.3865 +2 *3318:10 *22938:A 21.9681 +3 *3318:10 *5456:DIODE 14.4725 +*END + +*D_NET *3319 0.00316651 +*CONN +*I *5457:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22939:A I *D sky130_fd_sc_hd__buf_2 +*I *23195:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5457:DIODE 0.000142865 +2 *22939:A 0.000163606 +3 *23195:Y 0.000768399 +4 *3319:6 0.00107487 +5 *4242:DIODE *22939:A 0.00010503 +6 *2118:13 *22939:A 3.34486e-05 +7 *2118:13 *3319:6 0.000121623 +8 *2229:21 *3319:6 0 +9 *2235:35 *3319:6 7.60356e-05 +10 *2624:14 *22939:A 0.000222149 +11 *2625:9 *5457:DIODE 3.99086e-06 +12 *2769:8 *22939:A 9.14669e-05 +13 *2909:8 *22939:A 0.000191741 +14 *2909:9 *5457:DIODE 0.000171288 +15 *3239:26 *3319:6 0 +*RES +1 *23195:Y *3319:6 28.454 +2 *3319:6 *22939:A 20.4599 +3 *3319:6 *5457:DIODE 16.1364 +*END + +*D_NET *3320 0.00182404 +*CONN +*I *5458:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22940:A I *D sky130_fd_sc_hd__buf_2 +*I *23196:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5458:DIODE 0.000300741 +2 *22940:A 0 +3 *23196:Y 0.000208386 +4 *3320:7 0.000509127 +5 la_data_in_mprj[81] *3320:7 0.000113968 +6 *4244:DIODE *5458:DIODE 7.75133e-06 +7 *23196:A *5458:DIODE 8.17996e-05 +8 *23196:A *3320:7 7.42866e-05 +9 *23197:A *5458:DIODE 3.4787e-05 +10 *622:10 *3320:7 0.000228593 +11 *2625:9 *5458:DIODE 0.0002646 +*RES +1 *23196:Y *3320:7 15.9526 +2 *3320:7 *22940:A 9.24915 +3 *3320:7 *5458:DIODE 24.1616 +*END + +*D_NET *3321 0.00193892 +*CONN +*I *5459:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22941:A I *D sky130_fd_sc_hd__buf_2 +*I *23197:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5459:DIODE 0.00023044 +2 *22941:A 0.000199246 +3 *23197:Y 0.00027172 +4 *3321:7 0.000701406 +5 *4103:DIODE *22941:A 0 +6 *4245:DIODE *5459:DIODE 5.17042e-05 +7 *4245:DIODE *22941:A 4.63742e-05 +8 *22057:A *3321:7 2.16355e-05 +9 *623:8 *22941:A 6.3657e-05 +10 *879:5 *22941:A 0.000199646 +11 *1700:9 *5459:DIODE 1.36007e-05 +12 *2622:5 *22941:A 6.08467e-05 +13 *2770:9 *22941:A 0 +14 *2771:8 *5459:DIODE 0 +15 *2771:8 *3321:7 3.42853e-05 +16 *2912:21 *5459:DIODE 4.22319e-05 +17 *2912:21 *22941:A 2.1203e-06 +*RES +1 *23197:Y *3321:7 17.8002 +2 *3321:7 *22941:A 19.2113 +3 *3321:7 *5459:DIODE 18.4879 +*END + +*D_NET *3322 0.00141143 +*CONN +*I *5460:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22942:A I *D sky130_fd_sc_hd__buf_2 +*I *23198:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *5460:DIODE 0.000472026 +2 *22942:A 0 +3 *23198:Y 5.5956e-05 +4 *3322:5 0.000527982 +5 la_data_in_mprj[83] *5460:DIODE 2.6122e-05 +6 la_data_in_mprj[83] *3322:5 1.43983e-05 +7 *23326:B *5460:DIODE 9.56182e-06 +8 *23454:A *5460:DIODE 1.15367e-05 +9 *1154:28 *5460:DIODE 0.000142094 +10 *1573:9 *5460:DIODE 2.99929e-05 +11 *2772:8 *5460:DIODE 5.0715e-05 +12 *2772:8 *3322:5 7.10494e-05 +*RES +1 *23198:Y *3322:5 11.0817 +2 *3322:5 *22942:A 9.24915 +3 *3322:5 *5460:DIODE 28.4184 +*END + +*D_NET *3323 0.000761073 +*CONN +*I *22943:A I *D sky130_fd_sc_hd__buf_2 +*I *5461:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23199:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *22943:A 0 +2 *5461:DIODE 0.000122932 +3 *23199:Y 0.000195438 +4 *3323:7 0.000318369 +5 la_data_in_mprj[84] *3323:7 4.95737e-05 +6 *626:12 *5461:DIODE 9.12416e-06 +7 *2774:11 *5461:DIODE 6.56365e-05 +*RES +1 *23199:Y *3323:7 13.8789 +2 *3323:7 *5461:DIODE 20.4964 +3 *3323:7 *22943:A 9.24915 +*END + +*D_NET *3324 0.0012885 +*CONN +*I *5462:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22944:A I *D sky130_fd_sc_hd__buf_2 +*I *23200:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5462:DIODE 7.60313e-05 +2 *22944:A 0.000187332 +3 *23200:Y 0.000249385 +4 *3324:7 0.000512749 +5 *3966:DIODE *22944:A 3.12316e-05 +6 *499:8 *22944:A 9.82459e-05 +7 *883:9 *22944:A 0 +8 *2622:23 *5462:DIODE 1.03079e-05 +9 *2622:23 *22944:A 5.39509e-05 +10 *2915:13 *22944:A 6.92705e-05 +*RES +1 *23200:Y *3324:7 17.2456 +2 *3324:7 *22944:A 19.2113 +3 *3324:7 *5462:DIODE 15.1659 +*END + +*D_NET *3325 0.00142057 +*CONN +*I *5463:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22945:A I *D sky130_fd_sc_hd__buf_2 +*I *23201:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5463:DIODE 5.67712e-05 +2 *22945:A 0.000240755 +3 *23201:Y 0.000165537 +4 *3325:7 0.000463064 +5 *4109:DIODE *22945:A 3.51084e-05 +6 *23200:A *22945:A 5.53437e-05 +7 *628:5 *22945:A 2.1203e-06 +8 *1703:6 *5463:DIODE 2.34333e-05 +9 *1703:6 *22945:A 2.1203e-06 +10 *2776:13 *5463:DIODE 1.98038e-05 +11 *2776:13 *22945:A 1.61885e-05 +12 *2909:9 *3325:7 0.000271058 +13 *2916:5 *22945:A 6.92705e-05 +*RES +1 *23201:Y *3325:7 16.691 +2 *3325:7 *22945:A 18.7961 +3 *3325:7 *5463:DIODE 15.1659 +*END + +*D_NET *3326 0.00282746 +*CONN +*I *5464:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22946:A I *D sky130_fd_sc_hd__buf_2 +*I *23202:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5464:DIODE 0.000432375 +2 *22946:A 0.00016119 +3 *23202:Y 0.000310907 +4 *3326:7 0.000904472 +5 *5464:DIODE *3439:33 6.36477e-05 +6 *3326:7 *3333:13 6.50586e-05 +7 *3968:DIODE *22946:A 0.000132436 +8 *4110:DIODE *5464:DIODE 2.8599e-05 +9 *4110:DIODE *22946:A 6.14756e-06 +10 *21922:A *3326:7 6.08467e-05 +11 *501:5 *22946:A 7.58067e-06 +12 *629:5 *22946:A 7.21733e-05 +13 *1704:10 *5464:DIODE 0 +14 *2268:45 *5464:DIODE 2.33103e-06 +15 *2625:14 *5464:DIODE 0.000293638 +16 *2778:15 *5464:DIODE 2.27118e-06 +17 *2916:5 *3326:7 0.000283783 +*RES +1 *23202:Y *3326:7 22.237 +2 *3326:7 *22946:A 18.0727 +3 *3326:7 *5464:DIODE 22.9486 +*END + +*D_NET *3327 0.00285872 +*CONN +*I *5465:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22947:A I *D sky130_fd_sc_hd__buf_2 +*I *23203:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5465:DIODE 0.000485458 +2 *22947:A 0.000140877 +3 *23203:Y 0.000265079 +4 *3327:11 0.000891414 +5 *5465:DIODE *22948:A 3.1895e-05 +6 *5465:DIODE *3331:9 6.51527e-05 +7 *22947:A *22948:A 1.76448e-05 +8 la_data_in_mprj[89] *22947:A 6.50727e-05 +9 *631:8 *5465:DIODE 5.17042e-05 +10 *631:8 *22947:A 0.000113142 +11 *1154:17 *5465:DIODE 0 +12 *2624:31 *5465:DIODE 2.91631e-05 +13 *2628:20 *22947:A 6.08467e-05 +14 *2637:10 *5465:DIODE 0 +15 *2637:10 *3327:11 0.000111708 +16 *2778:15 *3327:11 0.00011818 +17 *2779:10 *5465:DIODE 0 +18 *2916:5 *3327:11 0.000411381 +*RES +1 *23203:Y *3327:11 20.7661 +2 *3327:11 *22947:A 17.135 +3 *3327:11 *5465:DIODE 22.3968 +*END + +*D_NET *3328 0.0507791 +*CONN +*I *23428:A I *D sky130_fd_sc_hd__nand2_2 +*I *6162:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22230:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23428:A 0.000179226 +2 *6162:DIODE 0 +3 *22230:X 0 +4 *3328:9 0.0130643 +5 *3328:8 0.0128851 +6 *3328:6 0.00701455 +7 *3328:5 0.00701455 +8 *3328:6 *23044:A 1.37385e-05 +9 *3328:6 *3435:16 0.000814466 +10 la_oenb_core[5] *3328:6 4.29439e-05 +11 *4419:DIODE *3328:6 2.3863e-05 +12 *6163:DIODE *23428:A 0.000171904 +13 *22427:B *3328:6 6.52505e-05 +14 *22428:A_N *3328:6 0 +15 *23367:A *3328:9 0.000373061 +16 *1174:32 *3328:6 0 +17 *1266:11 *3328:6 0 +18 *1370:16 *3328:6 0.000122961 +19 *1547:7 *23428:A 5.05502e-05 +20 *1775:10 *3328:6 4.82242e-05 +21 *1892:26 *3328:6 5.5258e-05 +22 *2142:52 *3328:9 0.00417293 +23 *2142:54 *23428:A 3.60363e-05 +24 *2142:56 *23428:A 0.000524321 +25 *2142:56 *3328:9 1.69394e-06 +26 *2479:21 *3328:9 0.00136051 +27 *2858:10 *3328:6 0 +28 *2858:22 *3328:6 0 +29 *3017:22 *3328:6 0 +30 *3040:36 *3328:6 0.00014348 +31 *3121:6 *3328:6 0.00260017 +32 *3152:12 *3328:6 0 +*RES +1 *22230:X *3328:5 13.7491 +2 *3328:5 *3328:6 153.814 +3 *3328:6 *3328:8 4.5 +4 *3328:8 *3328:9 204.818 +5 *3328:9 *6162:DIODE 9.24915 +6 *3328:9 *23428:A 17.3512 +*END + +*D_NET *3329 0.00801967 +*CONN +*I *5466:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22948:A I *D sky130_fd_sc_hd__buf_2 +*I *23204:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5466:DIODE 3.93817e-05 +2 *22948:A 0.000339803 +3 *23204:Y 0.000715048 +4 *3329:23 0.00050464 +5 *3329:21 0.000840503 +6 *5466:DIODE *3333:19 0.00030351 +7 *3329:21 *3439:33 0.000118166 +8 *3329:23 *3333:13 1.41689e-05 +9 *3329:23 *3333:19 0.000884803 +10 *4253:DIODE *3329:21 0.00022178 +11 *4253:DIODE *3329:23 1.61631e-05 +12 *4255:DIODE *22948:A 2.13549e-05 +13 *5465:DIODE *22948:A 3.1895e-05 +14 *5724:DIODE *3329:21 0.000167076 +15 *22947:A *22948:A 1.76448e-05 +16 *23200:A *3329:21 1.24189e-05 +17 *23330:B *3329:21 0.000114584 +18 *887:13 *22948:A 3.67528e-06 +19 *1703:6 *3329:21 6.42295e-05 +20 *2268:28 *3329:21 3.73224e-05 +21 *2622:23 *3329:21 0.000136063 +22 *2625:9 *3329:21 0.000400852 +23 *2637:10 *22948:A 0.000176749 +24 *2775:15 *3329:21 1.27831e-06 +25 *2909:9 *3329:21 0.00191277 +26 *2909:9 *3329:23 0.000233018 +27 *2921:11 *5466:DIODE 0.000317707 +28 *2921:11 *3329:23 0.000373061 +*RES +1 *23204:Y *3329:21 48.9685 +2 *3329:21 *3329:23 10.1517 +3 *3329:23 *22948:A 25.0642 +4 *3329:23 *5466:DIODE 12.7456 +*END + +*D_NET *3330 0.0107212 +*CONN +*I *22949:A I *D sky130_fd_sc_hd__buf_2 +*I *5467:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23205:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *22949:A 2.06324e-05 +2 *5467:DIODE 0.000102195 +3 *23205:Y 0.000150976 +4 *3330:13 0.00074523 +5 *3330:11 0.0031663 +6 *3330:8 0.00269487 +7 la_data_in_mprj[12] *3330:11 6.91561e-06 +8 la_data_in_mprj[9] *3330:13 3.948e-05 +9 *3855:DIODE *3330:11 1.75637e-06 +10 *3877:DIODE *3330:11 1.4479e-05 +11 *4113:DIODE *3330:13 0.000107496 +12 *4243:DIODE *3330:13 5.0715e-05 +13 *4268:DIODE *3330:11 5.99691e-05 +14 *4268:DIODE *3330:13 0.00011818 +15 *21950:A *3330:11 3.82228e-05 +16 *21973:A *3330:11 4.58003e-05 +17 *22068:A *3330:13 2.41483e-05 +18 *22844:A *3330:11 9.90116e-05 +19 *22865:A *3330:11 9.04906e-05 +20 *22866:A *3330:8 0.0001729 +21 *22938:A *5467:DIODE 2.55493e-05 +22 *549:8 *3330:11 4.23858e-05 +23 *632:8 *3330:13 0.000213725 +24 *877:8 *3330:13 9.9028e-05 +25 *899:8 *3330:13 0.000113968 +26 *2626:6 *5467:DIODE 9.60366e-05 +27 *2687:12 *3330:8 3.62444e-05 +28 *2688:9 *3330:11 0.000238149 +29 *2756:10 *5467:DIODE 0.000213725 +30 *2756:10 *3330:13 0.00047703 +31 *2780:10 *3330:13 0.000111722 +32 *2805:10 *3330:11 0.000736122 +33 *2828:7 *3330:11 0.000164829 +34 *2874:11 *5467:DIODE 5.05841e-05 +35 *2874:11 *3330:13 4.38577e-05 +36 *2935:7 *3330:13 0.000169041 +37 *3237:10 *3330:8 6.96979e-05 +38 *3240:8 *3330:8 6.96979e-05 +*RES +1 *23205:Y *3330:8 22.9879 +2 *3330:8 *3330:11 40.1244 +3 *3330:11 *3330:13 20.1345 +4 *3330:13 *5467:DIODE 22.4683 +5 *3330:13 *22949:A 9.82786 +*END + +*D_NET *3331 0.00572575 +*CONN +*I *5468:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22950:A I *D sky130_fd_sc_hd__buf_2 +*I *23206:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5468:DIODE 4.01635e-05 +2 *22950:A 0.000397829 +3 *23206:Y 0.00177376 +4 *3331:9 0.00221175 +5 *3331:9 *3332:11 0 +6 *4255:DIODE *22950:A 0 +7 *4257:DIODE *22950:A 0 +8 *5465:DIODE *3331:9 6.51527e-05 +9 *633:8 *22950:A 0.000178045 +10 *889:8 *22950:A 3.67528e-06 +11 *1154:17 *3331:9 0 +12 *1175:36 *3331:9 3.29488e-05 +13 *2493:30 *3331:9 1.9101e-05 +14 *2628:20 *22950:A 0.000112286 +15 *2637:10 *3331:9 0 +16 *2779:10 *3331:9 0 +17 *2781:8 *22950:A 0 +18 *2909:9 *5468:DIODE 5.08751e-05 +19 *2909:9 *3331:9 0.000840171 +*RES +1 *23206:Y *3331:9 49.0557 +2 *3331:9 *22950:A 26.3099 +3 *3331:9 *5468:DIODE 9.97254 +*END + +*D_NET *3332 0.00839834 +*CONN +*I *5469:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22951:A I *D sky130_fd_sc_hd__buf_2 +*I *23207:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5469:DIODE 0.000165316 +2 *22951:A 0.000383094 +3 *23207:Y 0.000492962 +4 *3332:16 0.00150189 +5 *3332:11 0.00144644 +6 *3332:16 *3428:29 6.71596e-05 +7 *4257:DIODE *22951:A 0.000321815 +8 *1149:35 *3332:11 0.00146893 +9 *1158:23 *3332:16 0.000214599 +10 *1172:11 *3332:11 0.00147312 +11 *1175:36 *3332:11 0 +12 *2037:7 *3332:11 0 +13 *2084:15 *3332:11 5.48015e-06 +14 *2628:20 *22951:A 6.76569e-05 +15 *2628:20 *3332:16 0.000136465 +16 *2633:20 *22951:A 7.24449e-05 +17 *2783:10 *22951:A 3.20589e-05 +18 *2783:10 *3332:16 5.99151e-05 +19 *2909:9 *5469:DIODE 0.000271058 +20 *2919:23 *22951:A 0.000217937 +21 *3331:9 *3332:11 0 +*RES +1 *23207:Y *3332:11 44.8466 +2 *3332:11 *3332:16 19.7611 +3 *3332:16 *22951:A 24.3606 +4 *3332:16 *5469:DIODE 16.691 +*END + +*D_NET *3333 0.00732182 +*CONN +*I *5470:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22952:A I *D sky130_fd_sc_hd__buf_2 +*I *23208:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5470:DIODE 3.30647e-05 +2 *22952:A 0.00030054 +3 *23208:Y 0.000285478 +4 *3333:19 0.00162681 +5 *3333:13 0.00157869 +6 *3969:DIODE *3333:13 0.000101503 +7 *3970:DIODE *3333:19 0.000164829 +8 *4253:DIODE *3333:13 0.000166951 +9 *5466:DIODE *3333:19 0.00030351 +10 *630:12 *3333:13 2.77564e-05 +11 *635:8 *22952:A 0.000175352 +12 *891:7 *22952:A 2.14842e-06 +13 *2641:17 *5470:DIODE 6.50727e-05 +14 *2641:17 *3333:19 0.000479276 +15 *2782:8 *22952:A 0 +16 *2908:21 *22952:A 1.34142e-05 +17 *2916:5 *3333:13 0.000114518 +18 *2921:11 *3333:19 0.000297902 +19 *2924:13 *3333:19 0.000620975 +20 *2925:17 *22952:A 0 +21 *3326:7 *3333:13 6.50586e-05 +22 *3329:23 *3333:13 1.41689e-05 +23 *3329:23 *3333:19 0.000884803 +*RES +1 *23208:Y *3333:13 24.7403 +2 *3333:13 *3333:19 34.1925 +3 *3333:19 *22952:A 24.2337 +4 *3333:19 *5470:DIODE 9.97254 +*END + +*D_NET *3334 0.0030345 +*CONN +*I *5471:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22953:A I *D sky130_fd_sc_hd__buf_2 +*I *23209:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5471:DIODE 0 +2 *22953:A 0.00044094 +3 *23209:Y 0.000701328 +4 *3334:5 0.00114227 +5 la_data_in_mprj[93] *22953:A 3.14978e-05 +6 *21930:A *22953:A 1.94425e-05 +7 *23209:A *3334:5 6.05492e-05 +8 *636:5 *22953:A 3.8341e-05 +9 *2624:31 *3334:5 0.000116477 +10 *2632:31 *22953:A 6.50586e-05 +11 *2786:12 *22953:A 0.000418602 +12 *2924:19 *22953:A 0 +*RES +1 *23209:Y *3334:5 19.9554 +2 *3334:5 *22953:A 28.2791 +3 *3334:5 *5471:DIODE 9.24915 +*END + +*D_NET *3335 0.00218936 +*CONN +*I *5472:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22954:A I *D sky130_fd_sc_hd__buf_2 +*I *23210:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5472:DIODE 0.00020202 +2 *22954:A 0.000492872 +3 *23210:Y 0.000106138 +4 *3335:7 0.00080103 +5 *4119:DIODE *22954:A 0 +6 *4260:DIODE *22954:A 0 +7 *509:8 *22954:A 2.43314e-05 +8 *1326:11 *5472:DIODE 0.000217409 +9 *1326:11 *22954:A 0.000180744 +10 *2909:9 *3335:7 0.000164815 +11 *2922:25 *5472:DIODE 0 +12 *2922:25 *22954:A 0 +*RES +1 *23210:Y *3335:7 15.5817 +2 *3335:7 *22954:A 22.5427 +3 *3335:7 *5472:DIODE 18.4879 +*END + +*D_NET *3336 0.000853342 +*CONN +*I *5473:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22955:A I *D sky130_fd_sc_hd__buf_2 +*I *23211:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *5473:DIODE 0.000170273 +2 *22955:A 7.6115e-05 +3 *23211:Y 0 +4 *3336:4 0.000246388 +5 *2631:16 *5473:DIODE 6.56365e-05 +6 *2789:18 *5473:DIODE 0.000169872 +7 *2916:5 *22955:A 0.000115934 +8 *2920:14 *5473:DIODE 9.12416e-06 +*RES +1 *23211:Y *3336:4 9.24915 +2 *3336:4 *22955:A 11.5158 +3 *3336:4 *5473:DIODE 21.4985 +*END + +*D_NET *3337 0.00484265 +*CONN +*I *5474:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22956:A I *D sky130_fd_sc_hd__buf_2 +*I *23212:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5474:DIODE 0 +2 *22956:A 0.000169003 +3 *23212:Y 0.000532812 +4 *3337:14 0.000701815 +5 *4264:DIODE *22956:A 0 +6 *639:8 *22956:A 0.000184291 +7 *1716:9 *3337:14 0.000319815 +8 *2624:31 *3337:14 4.82966e-05 +9 *2633:39 *22956:A 3.05511e-05 +10 *2643:14 *22956:A 7.49001e-05 +11 *2643:14 *3337:14 3.06035e-05 +12 *2789:10 *22956:A 1.07248e-05 +13 *2789:10 *3337:14 8.5985e-05 +14 *2789:18 *3337:14 0.00129881 +15 *2921:11 *3337:14 0.00129461 +16 *2922:25 *3337:14 0 +17 *2928:8 *3337:14 6.04391e-05 +*RES +1 *23212:Y *3337:14 47.1289 +2 *3337:14 *22956:A 18.4879 +3 *3337:14 *5474:DIODE 13.7491 +*END + +*D_NET *3338 0.0054821 +*CONN +*I *5475:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22957:A I *D sky130_fd_sc_hd__buf_2 +*I *23213:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5475:DIODE 0 +2 *22957:A 0.000439575 +3 *23213:Y 0.00119577 +4 *3338:12 0.00163535 +5 la_data_in_mprj[97] *22957:A 8.96328e-05 +6 la_data_in_mprj[98] *22957:A 0 +7 *5736:DIODE *3338:12 0.000222149 +8 *640:8 *22957:A 3.1525e-05 +9 *1138:7 *3338:12 0.00140698 +10 *2491:31 *3338:12 0.000342996 +11 *2624:35 *3338:12 1.67988e-05 +12 *2633:39 *22957:A 0.000101325 +13 *2633:40 *22957:A 0 +14 *2633:40 *3338:12 0 +*RES +1 *23213:Y *3338:12 38.127 +2 *3338:12 *22957:A 23.0049 +3 *3338:12 *5475:DIODE 13.7491 +*END + +*D_NET *3339 0.0695771 +*CONN +*I *6164:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23429:A I *D sky130_fd_sc_hd__nand2_1 +*I *22231:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6164:DIODE 0 +2 *23429:A 4.14043e-05 +3 *22231:X 0.00140421 +4 *3339:34 0.00317248 +5 *3339:23 0.013254 +6 *3339:10 0.0115272 +7 *3339:10 *23045:A 0.000193768 +8 *3339:10 *3436:26 0.00107595 +9 *3339:10 *3440:45 0 +10 *3339:23 *3362:32 0.000468404 +11 *3339:23 *3424:11 0.000104949 +12 *3339:23 *3436:26 1.0927e-05 +13 *3339:23 *3456:8 0 +14 *3339:34 *3362:32 0.000444069 +15 *3339:34 *3395:42 0.00454777 +16 la_oenb_core[60] *3339:10 9.80784e-05 +17 *6165:DIODE *23429:A 0.000171904 +18 *22789:A *3339:10 0 +19 *23575:A *3339:23 6.85329e-05 +20 *1157:37 *23429:A 0.000428892 +21 *1157:37 *3339:34 0.000157517 +22 *1172:35 *3339:34 0.000144814 +23 *1438:9 *3339:23 0 +24 *2047:29 *3339:23 0.00159244 +25 *2056:28 *3339:23 0.000936928 +26 *2133:30 *3339:23 0.00391676 +27 *2147:33 *3339:34 1.5714e-05 +28 *2152:33 *23429:A 0.000222229 +29 *2152:33 *3339:34 0.000157517 +30 *2205:19 *3339:34 0.00619862 +31 *2237:27 *3339:23 0.000649701 +32 *2365:27 *3339:23 7.54669e-05 +33 *2365:27 *3339:34 0.00287663 +34 *2397:7 *23429:A 1.41689e-05 +35 *2438:13 *3339:23 0.000663432 +36 *2457:29 *3339:23 0.00996342 +37 *2888:13 *3339:34 0 +38 *2893:75 *3339:23 1.88656e-05 +39 *2907:42 *3339:23 0 +40 *2985:45 *3339:10 2.16355e-05 +41 *3001:111 *3339:10 2.16355e-05 +42 *3124:17 *3339:34 0.00101163 +43 *3140:15 *3339:34 0.00226851 +44 *3153:48 *3339:10 0 +45 *3159:20 *3339:23 2.652e-05 +46 *3262:33 *3339:34 0.000144814 +47 *3306:34 *3339:34 0.00146558 +*RES +1 *22231:X *3339:10 45.4821 +2 *3339:10 *3339:23 48.5412 +3 *3339:23 *3339:34 24.7643 +4 *3339:34 *23429:A 13.8548 +5 *3339:34 *6164:DIODE 9.24915 +*END + +*D_NET *3340 0.00645914 +*CONN +*I *5476:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22958:A I *D sky130_fd_sc_hd__buf_2 +*I *23214:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5476:DIODE 0 +2 *22958:A 0.000146901 +3 *23214:Y 0.000636764 +4 *3340:14 0.000417957 +5 *3340:13 0.000907819 +6 *4266:DIODE *22958:A 0 +7 *641:8 *22958:A 7.09666e-06 +8 *1149:22 *3340:13 0.00118817 +9 *1152:21 *3340:13 1.65872e-05 +10 *1586:10 *3340:13 0.000123874 +11 *1714:9 *3340:13 5.1493e-06 +12 *2122:39 *3340:13 0.000133887 +13 *2491:31 *3340:13 1.92336e-05 +14 *2494:33 *3340:13 7.5301e-06 +15 *2494:35 *3340:13 0.000575246 +16 *2628:23 *3340:13 0.000277488 +17 *2628:38 *3340:13 7.94462e-05 +18 *2628:39 *3340:13 0.00118817 +19 *2634:22 *22958:A 0.000193525 +20 *2634:22 *3340:14 0.000309454 +21 *2790:8 *22958:A 3.70294e-05 +22 *2790:8 *3340:14 6.3319e-05 +23 *2916:5 *22958:A 6.3657e-05 +24 *2930:9 *22958:A 6.08467e-05 +*RES +1 *23214:Y *3340:13 48.2121 +2 *3340:13 *3340:14 6.81502 +3 *3340:14 *22958:A 18.3808 +4 *3340:14 *5476:DIODE 13.7491 +*END + +*D_NET *3341 0.00440349 +*CONN +*I *5477:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22959:A I *D sky130_fd_sc_hd__buf_2 +*I *23215:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *5477:DIODE 4.18965e-05 +2 *22959:A 0.000502895 +3 *23215:Y 0.00110369 +4 *3341:6 0.00164848 +5 *3982:DIODE *22959:A 2.44586e-05 +6 *22834:A *22959:A 4.14103e-05 +7 *642:8 *22959:A 0.00018896 +8 *2253:44 *5477:DIODE 6.50586e-05 +9 *2491:24 *3341:6 0.000169358 +10 *2649:9 *22959:A 4.85627e-05 +11 *2791:6 *3341:6 0 +12 *2933:10 *22959:A 0 +13 *2933:18 *22959:A 3.62856e-05 +14 *2933:18 *3341:6 0.00024739 +15 *3203:9 *22959:A 2.95757e-05 +16 *3203:9 *3341:6 0.000255465 +*RES +1 *23215:Y *3341:6 38.42 +2 *3341:6 *22959:A 25.1319 +3 *3341:6 *5477:DIODE 14.4725 +*END + +*D_NET *3342 0.0145948 +*CONN +*I *5478:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22960:A I *D sky130_fd_sc_hd__buf_2 +*I *23216:Y O *D sky130_fd_sc_hd__inv_6 +*CAP +1 *5478:DIODE 0 +2 *22960:A 0.000170222 +3 *23216:Y 0.000742241 +4 *3342:15 0.000584331 +5 *3342:11 0.00312313 +6 *3342:10 0.00345126 +7 *4019:DIODE *3342:11 6.50727e-05 +8 *4023:DIODE *3342:11 0.000160617 +9 *4125:DIODE *3342:15 2.65831e-05 +10 *4138:DIODE *3342:15 0.000417464 +11 *4161:DIODE *3342:11 0.000413266 +12 *4165:DIODE *3342:11 0.00011818 +13 *5385:DIODE *3342:11 2.15348e-05 +14 *1664:11 *3342:11 0.000218734 +15 *1664:15 *22960:A 0.000164843 +16 *1664:15 *3342:15 0.00158339 +17 *2650:6 *22960:A 7.58739e-05 +18 *2693:6 *3342:10 0.000358604 +19 *2836:8 *3342:10 0.000362216 +20 *2923:6 *22960:A 0 +21 *3225:11 *3342:11 0.000837329 +22 *3235:5 *3342:10 0.00103765 +23 *3238:11 *3342:11 0.000662283 +*RES +1 *23216:Y *3342:10 35.9098 +2 *3342:10 *3342:11 69.7715 +3 *3342:11 *3342:15 17.6629 +4 *3342:15 *22960:A 23.1595 +5 *3342:15 *5478:DIODE 9.24915 +*END + +*D_NET *3343 0.0200401 +*CONN +*I *22961:A I *D sky130_fd_sc_hd__buf_2 +*I *5479:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23473:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22961:A 0.000596517 +2 *5479:DIODE 0 +3 *23473:Z 0 +4 *3343:14 0.00343769 +5 *3343:10 0.00463279 +6 *3343:7 0.00228123 +7 *3343:4 0.000489615 +8 *3343:7 *3399:7 0.00407048 +9 la_data_in_core[1] *22961:A 6.14756e-06 +10 *4251:DIODE *22961:A 0.000118724 +11 *4523:DIODE *3343:7 6.73186e-05 +12 *22288:A *3343:7 0.00011818 +13 *23654:A *22961:A 1.01656e-05 +14 *1764:9 *3343:7 2.65831e-05 +15 *2385:44 *3343:10 0 +16 *2461:74 *3343:14 0.000149641 +17 *2806:11 *22961:A 0 +18 *2806:11 *3343:14 0 +19 *2840:8 *22961:A 0.000678718 +20 *2840:8 *3343:14 0.00049615 +21 *2844:29 *3343:7 0.00137341 +22 *2992:21 *22961:A 8.4653e-05 +23 *3000:8 *22961:A 6.08467e-05 +24 *3001:29 *22961:A 2.16355e-05 +25 *3099:11 *3343:7 0.000212637 +26 *3103:20 *3343:10 0 +27 *3200:33 *3343:7 0.00077322 +28 *3200:37 *3343:7 0.000333722 +*RES +1 *23473:Z *3343:4 9.24915 +2 *3343:4 *3343:7 47.9279 +3 *3343:7 *3343:10 39.5522 +4 *3343:10 *3343:14 49.1913 +5 *3343:14 *5479:DIODE 13.7491 +6 *3343:14 *22961:A 29.1774 +*END + +*D_NET *3344 0.00882472 +*CONN +*I *22962:A I *D sky130_fd_sc_hd__buf_2 +*I *5480:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23474:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22962:A 0.000190007 +2 *5480:DIODE 0 +3 *23474:Z 9.75615e-05 +4 *3344:8 0.00182765 +5 *3344:7 0.00173521 +6 *22962:A *3347:10 3.67708e-05 +7 *3344:8 *3347:10 0.00169511 +8 *3344:8 *3353:6 0 +9 *22707:A *22962:A 0 +10 *2126:26 *3344:7 0.00104832 +11 *2134:44 *3344:7 0.00105253 +12 *2917:8 *22962:A 4.01749e-05 +13 *2917:8 *3344:8 0.000308402 +14 *2978:41 *22962:A 6.50586e-05 +15 *2980:31 *22962:A 6.50586e-05 +16 *3066:14 *3344:8 0 +17 *3066:18 *3344:8 0 +18 *3077:14 *3344:8 0.000662865 +*RES +1 *23474:Z *3344:7 25.01 +2 *3344:7 *3344:8 48.7555 +3 *3344:8 *5480:DIODE 13.7491 +4 *3344:8 *22962:A 18.3808 +*END + +*D_NET *3345 0.0106868 +*CONN +*I *22963:A I *D sky130_fd_sc_hd__buf_2 +*I *5481:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23475:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22963:A 0.000180793 +2 *5481:DIODE 0 +3 *23475:Z 0.000923523 +4 *3345:14 0.00230976 +5 *3345:13 0.00305249 +6 *22963:A *3346:11 1.07248e-05 +7 *3345:14 *3346:11 0.0017348 +8 *3345:14 *3348:22 0 +9 *1188:25 *3345:13 6.08467e-05 +10 *1203:11 *3345:13 0.000200794 +11 *1232:11 *3345:13 0.00044273 +12 *1338:13 *3345:13 2.65667e-05 +13 *1723:11 *3345:14 0.000503773 +14 *1968:55 *3345:13 0.000146645 +15 *2362:18 *3345:14 0 +16 *2513:26 *3345:14 0 +17 *2662:12 *3345:13 0.000139435 +18 *2813:62 *3345:14 0.000156563 +19 *2814:40 *3345:14 6.02377e-05 +20 *2977:37 *22963:A 0.000140757 +21 *2977:37 *3345:14 0.000466272 +22 *2978:41 *22963:A 6.50586e-05 +23 *2980:31 *22963:A 6.50586e-05 +24 *3028:6 *22963:A 0 +25 *3028:6 *3345:14 0 +26 *3063:8 *22963:A 0 +*RES +1 *23475:Z *3345:13 40.1689 +2 *3345:13 *3345:14 60.3826 +3 *3345:14 *5481:DIODE 13.7491 +4 *3345:14 *22963:A 18.3808 +*END + +*D_NET *3346 0.01081 +*CONN +*I *22964:A I *D sky130_fd_sc_hd__buf_2 +*I *5482:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23476:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22964:A 0.000383449 +2 *5482:DIODE 0 +3 *23476:Z 0.000860109 +4 *3346:11 0.00152408 +5 *3346:7 0.00200074 +6 *22964:A *3347:11 6.36477e-05 +7 *22964:A *3478:397 5.09367e-05 +8 *3346:7 *3347:7 0.00188292 +9 *3346:11 *3347:11 0.00072745 +10 *3346:11 *3478:397 0.00016553 +11 la_data_in_core[103] *22964:A 4.70559e-05 +12 la_oenb_core[102] *22964:A 0 +13 *6258:DIODE *3346:7 0.00011818 +14 *22709:A *22964:A 0.000111454 +15 *22963:A *3346:11 1.07248e-05 +16 *23476:A *3346:7 6.50586e-05 +17 *1863:53 *22964:A 0.000114584 +18 *2814:40 *3346:11 5.05598e-05 +19 *2985:52 *22964:A 4.87301e-05 +20 *3063:8 *3346:11 0 +21 *3064:8 *22964:A 2.1203e-06 +22 *3065:29 *22964:A 2.00234e-05 +23 *3079:10 *3346:11 0.000827856 +24 *3139:8 *22964:A 0 +25 *3345:14 *3346:11 0.0017348 +*RES +1 *23476:Z *3346:7 42.2027 +2 *3346:7 *3346:11 44.9939 +3 *3346:11 *5482:DIODE 9.24915 +4 *3346:11 *22964:A 27.8961 +*END + +*D_NET *3347 0.0131062 +*CONN +*I *5483:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22965:A I *D sky130_fd_sc_hd__buf_2 +*I *23477:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5483:DIODE 0 +2 *22965:A 0.000163456 +3 *23477:Z 0.00111219 +4 *3347:11 0.000571709 +5 *3347:10 0.00156164 +6 *3347:7 0.00226557 +7 *3347:10 *3353:6 0 +8 *3347:11 *3478:397 0.000477137 +9 *5227:DIODE *3347:11 6.08467e-05 +10 *22710:A *22965:A 2.31263e-05 +11 *22962:A *3347:10 3.67708e-05 +12 *22964:A *3347:11 6.36477e-05 +13 *23476:TE *3347:7 1.82679e-05 +14 *23477:A *3347:7 0.000213725 +15 *1340:11 *3347:7 3.42853e-05 +16 *1854:11 *22965:A 0 +17 *2983:113 *3347:11 0.00215451 +18 *3028:6 *3347:10 0 +19 *3077:20 *22965:A 8.62625e-06 +20 *3250:6 *22965:A 3.5229e-05 +21 *3344:8 *3347:10 0.00169511 +22 *3346:7 *3347:7 0.00188292 +23 *3346:11 *3347:11 0.00072745 +*RES +1 *23477:Z *3347:7 44.4211 +2 *3347:7 *3347:10 37.0607 +3 *3347:10 *3347:11 23.4621 +4 *3347:11 *22965:A 21.7421 +5 *3347:11 *5483:DIODE 9.24915 +*END + +*D_NET *3348 0.0527603 +*CONN +*I *22966:A I *D sky130_fd_sc_hd__buf_2 +*I *5484:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23478:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *22966:A 0.000363561 +2 *5484:DIODE 2.56017e-05 +3 *23478:Z 0.000301569 +4 *3348:30 0.000788572 +5 *3348:22 0.00274058 +6 *3348:21 0.00384666 +7 *3348:13 0.00422026 +8 *3348:11 0.00341629 +9 *3348:8 0.00100309 +10 *22966:A *3478:400 0 +11 *22966:A *3478:413 0 +12 *3348:30 *6554:DIODE 0.000169862 +13 *3348:30 *3478:400 4.88764e-06 +14 *4433:DIODE *22966:A 0.00014663 +15 *4935:DIODE *3348:11 4.03381e-05 +16 *5796:DIODE *3348:13 6.08467e-05 +17 *5797:DIODE *3348:13 0.0002817 +18 *6053:DIODE *3348:13 0.000118166 +19 *6553:DIODE *22966:A 0 +20 *22366:TE *3348:11 0.000122378 +21 *22711:A *22966:A 0.000290837 +22 *23640:A *22966:A 0 +23 *1142:11 *3348:11 0.00120823 +24 *1327:6 *3348:8 0 +25 *1341:9 *3348:8 2.77419e-05 +26 *1492:5 *3348:13 0.000235648 +27 *1723:11 *3348:22 0 +28 *1855:10 *22966:A 0 +29 *1959:31 *3348:8 0 +30 *1959:32 *3348:11 5.03285e-05 +31 *1987:26 *3348:11 0.00390833 +32 *1987:26 *3348:13 0.000609796 +33 *2109:37 *3348:21 0.000267921 +34 *2428:8 *3348:22 0.00502085 +35 *2428:14 *3348:22 1.1431e-06 +36 *2513:26 *3348:22 0.0029108 +37 *2524:47 *3348:21 0.00207975 +38 *2540:15 *5484:DIODE 6.78549e-05 +39 *2540:17 *3348:30 0.00168698 +40 *2607:9 *5484:DIODE 6.50586e-05 +41 *2633:73 *3348:13 0.00268019 +42 *2682:11 *3348:13 0.00048572 +43 *2801:36 *3348:13 0.000261278 +44 *2814:40 *3348:22 0 +45 *2919:64 *3348:8 0.000143525 +46 *2930:55 *3348:13 0.00598835 +47 *3063:8 *3348:22 0.00175213 +48 *3066:22 *22966:A 0.000172459 +49 *3069:19 *3348:30 0.000171862 +50 *3077:20 *3348:30 0.00113297 +51 *3139:8 *3348:22 4.50659e-05 +52 *3194:7 *3348:13 0.00384446 +53 *3199:16 *3348:21 0 +54 *3345:14 *3348:22 0 +*RES +1 *23478:Z *3348:8 25.4794 +2 *3348:8 *3348:11 43.3073 +3 *3348:11 *3348:13 118.299 +4 *3348:13 *3348:21 41.5616 +5 *3348:21 *3348:22 98.5858 +6 *3348:22 *3348:30 30.121 +7 *3348:30 *5484:DIODE 14.8512 +8 *3348:30 *22966:A 23.6786 +*END + +*D_NET *3349 0.0251275 +*CONN +*I *5485:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22967:A I *D sky130_fd_sc_hd__buf_2 +*I *23479:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5485:DIODE 0.00042068 +2 *22967:A 3.5247e-05 +3 *23479:Z 0 +4 *3349:23 0.00189624 +5 *3349:17 0.00417254 +6 *3349:15 0.00365359 +7 *3349:6 0.00343091 +8 *3349:5 0.00250954 +9 *5485:DIODE *22968:A 8.01205e-05 +10 *5485:DIODE *3478:446 5.24855e-05 +11 *5485:DIODE *3478:458 0.000247723 +12 *22967:A *3478:458 0 +13 *3349:17 *3478:383 0.00263884 +14 *3349:23 *23640:B 1.43848e-05 +15 *3349:23 *3478:422 1.54703e-05 +16 *3349:23 *3478:446 0.000106253 +17 *5105:DIODE *3349:17 6.50727e-05 +18 *6549:DIODE *3349:17 6.50727e-05 +19 *23644:A *3349:23 1.15389e-05 +20 *1182:24 *3349:6 5.05252e-05 +21 *1196:18 *3349:6 0.000111097 +22 *1205:14 *3349:6 3.20069e-06 +23 *1210:8 *3349:6 0.000117461 +24 *1226:10 *3349:6 0.000479683 +25 *1460:10 *3349:6 0 +26 *1844:9 *3349:6 0 +27 *1854:11 *3349:23 0.000667973 +28 *1855:10 *3349:23 0.00041599 +29 *1859:16 *3349:23 0.00011818 +30 *1881:24 *3349:15 0.000120719 +31 *2111:45 *3349:6 0.000317601 +32 *2357:10 *3349:6 0.000424253 +33 *2357:10 *3349:15 0.000943144 +34 *2357:15 *3349:15 0.000633474 +35 *2357:15 *3349:17 0.000331879 +36 *2364:12 *3349:23 6.85778e-05 +37 *2459:8 *5485:DIODE 2.99929e-05 +38 *2503:6 *3349:6 0 +39 *2794:46 *3349:6 4.37999e-05 +40 *2796:71 *3349:6 0 +41 *2980:57 *3349:23 8.83051e-06 +42 *2983:109 *3349:15 1.65872e-05 +43 *2983:113 *3349:17 0.000393335 +44 *3028:24 *3349:6 4.98393e-05 +45 *3065:29 *3349:15 5.66868e-06 +46 *3065:29 *3349:17 0.000360034 +47 *3197:6 *3349:6 0 +48 *3250:6 *3349:23 0 +*RES +1 *23479:Z *3349:5 13.7491 +2 *3349:5 *3349:6 61.665 +3 *3349:6 *3349:15 31.5956 +4 *3349:15 *3349:17 47.8647 +5 *3349:17 *3349:23 32.7697 +6 *3349:23 *22967:A 10.2378 +7 *3349:23 *5485:DIODE 25.9353 +*END + +*D_NET *3350 0.0773866 +*CONN +*I *23430:A I *D sky130_fd_sc_hd__nand2_1 +*I *6166:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22232:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23430:A 0 +2 *6166:DIODE 0.000142527 +3 *22232:X 0 +4 *3350:52 0.00338549 +5 *3350:47 0.00823233 +6 *3350:18 0.00686715 +7 *3350:6 0.00490163 +8 *3350:5 0.00302385 +9 *3350:6 *23046:A 0 +10 *3350:6 *3437:28 0 +11 *3350:6 *3440:55 1.92411e-05 +12 *3350:6 *3441:18 0.000667706 +13 *3350:47 *3373:25 0.00531877 +14 *3350:47 *3373:36 0.00299153 +15 *3350:47 *3384:29 9.58396e-05 +16 *3350:47 *3428:29 0.000474467 +17 *3350:52 *3373:36 0.00622472 +18 *3350:52 *3384:29 1.16257e-05 +19 la_oenb_core[61] *3350:6 3.62202e-05 +20 *4421:DIODE *3350:6 1.83676e-05 +21 *5068:DIODE *3350:18 0.000109715 +22 *5910:DIODE *3350:52 0 +23 *22541:A *3350:6 2.69795e-05 +24 *22541:A *3350:18 0.000216512 +25 *22790:A *3350:6 0 +26 *1138:34 *3350:47 0.00059689 +27 *1151:63 *3350:52 0.0124701 +28 *1171:9 *3350:18 0.000266924 +29 *1201:24 *3350:18 0.000609572 +30 *1204:22 *3350:6 3.15383e-05 +31 *1677:9 *6166:DIODE 0.000113195 +32 *1707:8 *3350:47 0.000218003 +33 *1941:54 *3350:6 2.7426e-05 +34 *1979:19 *3350:47 8.80857e-05 +35 *1984:33 *3350:18 0.00063608 +36 *1984:33 *3350:47 0.000237486 +37 *1991:14 *3350:52 0.00020476 +38 *1991:30 *3350:47 0.00197317 +39 *2081:27 *3350:47 0.00120375 +40 *2142:39 *6166:DIODE 3.31882e-05 +41 *2142:39 *3350:52 0.00212753 +42 *2191:17 *3350:52 6.62135e-05 +43 *2237:27 *3350:47 0.00473209 +44 *2239:13 *3350:18 0.00063608 +45 *2241:38 *3350:47 0.00202044 +46 *2242:23 *3350:18 0.000277899 +47 *2246:25 *3350:47 0.000898189 +48 *2258:25 *3350:47 0.000406677 +49 *2278:14 *3350:47 0.000907095 +50 *2307:7 *3350:18 0.000377686 +51 *2308:8 *3350:18 0.000506204 +52 *2310:26 *3350:6 0.000281588 +53 *2404:47 *3350:47 0.000478993 +54 *2463:8 *3350:18 0 +55 *2463:12 *3350:6 0 +56 *2463:12 *3350:18 8.22306e-05 +57 *2479:32 *6166:DIODE 0 +58 *2479:45 *3350:47 0.000994222 +59 *2488:8 *3350:6 0 +60 *2495:22 *3350:47 0.000500472 +61 *2779:19 *3350:47 0.000104966 +62 *2888:13 *6166:DIODE 0 +63 *2888:13 *3350:52 0 +64 *2905:20 *3350:47 0 +65 *3154:36 *3350:6 0 +66 *3160:16 *3350:6 0.000513186 +*RES +1 *22232:X *3350:5 13.7491 +2 *3350:5 *3350:6 66.6113 +3 *3350:6 *3350:18 49.8342 +4 *3350:18 *3350:47 38.5336 +5 *3350:47 *3350:52 21.2644 +6 *3350:52 *6166:DIODE 17.2421 +7 *3350:52 *23430:A 13.7491 +*END + +*D_NET *3351 0.0147101 +*CONN +*I *22968:A I *D sky130_fd_sc_hd__buf_2 +*I *5486:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23480:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22968:A 0.000357225 +2 *5486:DIODE 0 +3 *23480:Z 0.00011457 +4 *3351:14 0.00349317 +5 *3351:13 0.00585373 +6 *3351:10 0.00283235 +7 *22968:A *3478:458 6.50586e-05 +8 *22968:A *3478:469 0 +9 *5485:DIODE *22968:A 8.01205e-05 +10 *6266:DIODE *3351:10 4.88955e-05 +11 *6523:DIODE *3351:14 0 +12 *21396:A *3351:14 0 +13 *22713:A *22968:A 0 +14 *1162:7 *3351:10 0.000122378 +15 *1202:8 *3351:14 0 +16 *1204:8 *3351:14 0 +17 *1236:9 *3351:14 4.36687e-05 +18 *1343:11 *3351:10 6.92705e-05 +19 *1353:14 *3351:14 0 +20 *1354:8 *3351:14 0 +21 *1861:12 *22968:A 0 +22 *1861:12 *3351:14 0 +23 *2119:45 *3351:14 0 +24 *2123:30 *3351:13 0.000259943 +25 *2123:36 *3351:13 0.00033712 +26 *2362:18 *3351:10 3.1566e-05 +27 *2459:8 *22968:A 6.96958e-05 +28 *2459:8 *3351:14 0.000246616 +29 *2541:24 *3351:14 0.000403251 +30 *2972:8 *3351:10 4.80093e-05 +31 *3012:26 *3351:13 0.000233472 +32 *3038:20 *3351:14 0 +*RES +1 *23480:Z *3351:10 22.1896 +2 *3351:10 *3351:13 45.7095 +3 *3351:13 *3351:14 73.2554 +4 *3351:14 *5486:DIODE 13.7491 +5 *3351:14 *22968:A 22.1181 +*END + +*D_NET *3352 0.0123502 +*CONN +*I *22969:A I *D sky130_fd_sc_hd__buf_2 +*I *5487:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23481:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22969:A 0.000386225 +2 *5487:DIODE 0 +3 *23481:Z 0.00141473 +4 *3352:16 0.00209238 +5 *3352:15 0.00170616 +6 *3352:13 0.00141473 +7 *3352:16 *3358:14 0 +8 la_data_in_core[108] *22969:A 1.48603e-05 +9 la_oenb_core[107] *22969:A 1.36691e-05 +10 *3851:DIODE *22969:A 0 +11 *6268:DIODE *3352:13 5.69234e-05 +12 *21332:A *3352:16 0 +13 *21397:A *3352:13 0.000282995 +14 *23643:A *22969:A 0 +15 *1188:23 *3352:13 0.00084541 +16 *1344:9 *3352:13 5.8973e-05 +17 *1346:10 *3352:16 0 +18 *1348:10 *3352:16 0.000266199 +19 *1744:9 *3352:16 0.000676048 +20 *1856:9 *22969:A 0 +21 *1856:9 *3352:16 0 +22 *1863:60 *22969:A 0.000487583 +23 *2119:45 *3352:13 5.41377e-05 +24 *2410:6 *3352:16 0.00103258 +25 *2507:8 *22969:A 0 +26 *2804:53 *3352:16 0 +27 *2808:46 *3352:16 1.32509e-05 +28 *2821:25 *3352:13 0.000311249 +29 *3039:20 *3352:13 1.77537e-06 +30 *3039:23 *3352:13 4.33655e-05 +31 *3069:37 *22969:A 6.50586e-05 +32 *3078:12 *22969:A 0.000140531 +33 *3078:12 *3352:16 0.000971314 +*RES +1 *23481:Z *3352:13 45.6787 +2 *3352:13 *3352:15 4.5 +3 *3352:15 *3352:16 59.1368 +4 *3352:16 *5487:DIODE 13.7491 +5 *3352:16 *22969:A 25.8553 +*END + +*D_NET *3353 0.0184114 +*CONN +*I *22970:A I *D sky130_fd_sc_hd__buf_2 +*I *5488:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23482:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22970:A 0.000181671 +2 *5488:DIODE 0 +3 *23482:Z 0 +4 *3353:15 0.000653144 +5 *3353:9 0.00162264 +6 *3353:8 0.00115116 +7 *3353:6 0.002719 +8 *3353:5 0.002719 +9 *22970:A *22971:A 4.44824e-05 +10 *22970:A *3354:8 1.03986e-05 +11 *22389:B *3353:6 8.85089e-05 +12 *1337:9 *3353:6 0.000287788 +13 *1857:11 *3353:15 0.000310877 +14 *1858:9 *3353:15 9.60479e-05 +15 *1981:23 *3353:6 0.00039211 +16 *2348:9 *3353:9 0.00322624 +17 *2362:18 *3353:6 0 +18 *2401:7 *3353:9 0.00261058 +19 *2507:8 *22970:A 0 +20 *2662:9 *3353:9 0.000844786 +21 *2794:52 *3353:6 0.000123582 +22 *2813:30 *3353:6 0.000526028 +23 *2813:37 *3353:6 4.69204e-06 +24 *3028:6 *3353:6 0 +25 *3077:21 *22970:A 6.50586e-05 +26 *3077:21 *3353:15 0.000510776 +27 *3078:12 *3353:15 0.000222803 +28 *3344:8 *3353:6 0 +29 *3347:10 *3353:6 0 +*RES +1 *23482:Z *3353:5 13.7491 +2 *3353:5 *3353:6 66.1961 +3 *3353:6 *3353:8 4.5 +4 *3353:8 *3353:9 56.1838 +5 *3353:9 *3353:15 24.4366 +6 *3353:15 *5488:DIODE 9.24915 +7 *3353:15 *22970:A 22.8808 +*END + +*D_NET *3354 0.00854995 +*CONN +*I *5489:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22971:A I *D sky130_fd_sc_hd__buf_2 +*I *23483:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5489:DIODE 6.23353e-05 +2 *22971:A 0.000164995 +3 *23483:Z 0.000253369 +4 *3354:11 0.000276342 +5 *3354:8 0.00202083 +6 *3354:7 0.00222518 +7 *5489:DIODE *3358:17 1.65872e-05 +8 *3354:8 *3358:14 0.00020602 +9 *3354:11 *3358:17 0.000134849 +10 *22715:A *22971:A 5.302e-05 +11 *22970:A *22971:A 4.44824e-05 +12 *22970:A *3354:8 1.03986e-05 +13 *23483:A *3354:7 0.000128091 +14 *1859:19 *22971:A 0.00020468 +15 *2406:8 *3354:8 0 +16 *2507:8 *3354:8 0.000925612 +17 *3077:21 *5489:DIODE 0.000511727 +18 *3077:21 *3354:11 0.000324166 +19 *3078:21 *22971:A 0.000477044 +20 *3086:6 *22971:A 3.31882e-05 +21 *3086:6 *3354:8 0 +22 *3086:11 *5489:DIODE 0.00047703 +*RES +1 *23483:Z *3354:7 20.0186 +2 *3354:7 *3354:8 52.908 +3 *3354:8 *3354:11 7.99641 +4 *3354:11 *22971:A 26.4871 +5 *3354:11 *5489:DIODE 14.964 +*END + +*D_NET *3355 0.0133855 +*CONN +*I *22972:A I *D sky130_fd_sc_hd__buf_2 +*I *5490:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23484:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22972:A 0.000257271 +2 *5490:DIODE 0 +3 *23484:Z 0.000749689 +4 *3355:10 0.00265449 +5 *3355:9 0.00239721 +6 *3355:7 0.000749689 +7 *3355:10 *3381:11 0.000124195 +8 la_data_in_core[11] *22972:A 9.97495e-06 +9 la_oenb_core[10] *22972:A 2.18764e-05 +10 *22727:A *22972:A 0 +11 *23484:A *3355:7 1.03434e-05 +12 *23484:TE *3355:7 0.000217937 +13 *2470:53 *3355:7 0.00207178 +14 *2529:6 *22972:A 2.91042e-05 +15 *2529:6 *3355:10 0.000525128 +16 *2940:22 *3355:10 0.00043551 +17 *2940:28 *3355:10 5.56505e-05 +18 *2980:9 *22972:A 2.65667e-05 +19 *2998:71 *22972:A 6.50586e-05 +20 *3083:24 *3355:10 0 +21 *3094:14 *3355:7 2.61012e-05 +22 *3116:11 *3355:7 0.000117536 +23 *3116:13 *3355:7 0.00284037 +*RES +1 *23484:Z *3355:7 47.1551 +2 *3355:7 *3355:9 4.5 +3 *3355:9 *3355:10 51.6623 +4 *3355:10 *5490:DIODE 13.7491 +5 *3355:10 *22972:A 19.2113 +*END + +*D_NET *3356 0.00938943 +*CONN +*I *22973:A I *D sky130_fd_sc_hd__buf_2 +*I *5491:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23485:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22973:A 0.000134521 +2 *5491:DIODE 3.07124e-05 +3 *23485:Z 0.000796935 +4 *3356:12 0.00147771 +5 *3356:11 0.00131247 +6 *3356:9 0.000796935 +7 *22973:A *3357:12 5.41377e-05 +8 *3356:12 *3357:12 0.00259385 +9 *3356:12 *3371:8 0.000257002 +10 *3724:DIODE *3356:9 0.000254881 +11 *1189:11 *3356:9 0.000349302 +12 *1204:8 *3356:9 0 +13 *1730:13 *3356:9 6.98314e-05 +14 *2410:6 *3356:9 6.42637e-05 +15 *2523:33 *3356:9 0.000111722 +16 *2540:8 *22973:A 8.4761e-05 +17 *2540:8 *3356:12 5.87127e-05 +18 *2808:39 *3356:9 0.000364356 +19 *3076:10 *22973:A 0 +20 *3077:21 *5491:DIODE 6.08467e-05 +21 *3078:11 *3356:9 0.000124674 +22 *3080:15 *3356:9 0.000391804 +*RES +1 *23485:Z *3356:9 46.4529 +2 *3356:9 *3356:11 4.5 +3 *3356:11 *3356:12 46.264 +4 *3356:12 *5491:DIODE 14.4725 +5 *3356:12 *22973:A 17.6574 +*END + +*D_NET *3357 0.0147874 +*CONN +*I *5492:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22974:A I *D sky130_fd_sc_hd__buf_2 +*I *23486:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5492:DIODE 3.88215e-05 +2 *22974:A 0.000172811 +3 *23486:Z 0.000785317 +4 *3357:15 0.000255433 +5 *3357:12 0.00165859 +6 *3357:10 0.00246309 +7 *3357:7 0.00163362 +8 *3357:10 *3371:8 1.05601e-05 +9 *3357:12 *3371:8 0.000203124 +10 *6278:DIODE *3357:7 0.000334808 +11 *21344:A *3357:12 7.65861e-05 +12 *22257:A *3357:10 0 +13 *22718:A *22974:A 2.22342e-05 +14 *22973:A *3357:12 5.41377e-05 +15 *1177:20 *3357:10 0.000151253 +16 *1193:5 *3357:7 8.05819e-05 +17 *1223:10 *3357:10 0 +18 *1349:17 *3357:7 2.41483e-05 +19 *1361:10 *3357:10 0.000122098 +20 *2407:17 *3357:12 7.9775e-05 +21 *2521:34 *3357:12 1.59052e-05 +22 *2526:32 *3357:10 0 +23 *2551:6 *22974:A 0 +24 *2815:22 *3357:10 0.00035374 +25 *2822:27 *3357:10 2.04043e-05 +26 *3074:8 *3357:10 2.02201e-05 +27 *3074:10 *22974:A 4.20662e-05 +28 *3074:10 *3357:10 0 +29 *3076:8 *3357:10 4.30866e-05 +30 *3076:8 *3357:12 0.000462299 +31 *3076:10 *3357:12 0.000621821 +32 *3076:13 *22974:A 0.00043038 +33 *3077:21 *5492:DIODE 0.000471523 +34 *3077:21 *3357:15 0.000317707 +35 *3078:21 *22974:A 0.00043038 +36 *3086:11 *5492:DIODE 0.000483488 +37 *3086:11 *3357:15 0.000313495 +38 *3356:12 *3357:12 0.00259385 +*RES +1 *23486:Z *3357:7 31.6653 +2 *3357:7 *3357:10 21.874 +3 *3357:10 *3357:12 63.0817 +4 *3357:12 *3357:15 7.99641 +5 *3357:15 *22974:A 25.9325 +6 *3357:15 *5492:DIODE 14.4094 +*END + +*D_NET *3358 0.0180965 +*CONN +*I *5493:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22975:A I *D sky130_fd_sc_hd__buf_2 +*I *23487:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5493:DIODE 3.984e-05 +2 *22975:A 7.68635e-05 +3 *23487:Z 0.000525647 +4 *3358:17 0.00206793 +5 *3358:14 0.00503037 +6 *3358:13 0.00360479 +7 *22975:A *3359:8 2.22923e-05 +8 *5489:DIODE *3358:17 1.65872e-05 +9 *6559:DIODE *3358:17 6.08467e-05 +10 *21332:A *3358:14 1.12325e-05 +11 *1185:5 *3358:13 6.08467e-05 +12 *1346:10 *3358:14 0.000111915 +13 *1348:10 *3358:14 0 +14 *1349:17 *3358:13 0.00087607 +15 *1733:12 *3358:13 0 +16 *1858:9 *3358:14 0.000160069 +17 *2119:45 *3358:13 2.31418e-05 +18 *2137:69 *3358:13 2.33103e-06 +19 *2406:8 *3358:14 0 +20 *2507:8 *3358:14 0.00221641 +21 *2562:8 *22975:A 5.04734e-05 +22 *2804:36 *3358:14 0.000221836 +23 *2804:53 *3358:14 3.65842e-05 +24 *2808:42 *3358:14 0 +25 *2821:39 *3358:14 0 +26 *3011:20 *3358:13 1.91391e-05 +27 *3039:20 *3358:13 0.000184336 +28 *3077:21 *3358:17 1.96574e-05 +29 *3078:12 *3358:14 0 +30 *3086:11 *3358:17 0.00202513 +31 *3086:13 *5493:DIODE 2.41483e-05 +32 *3086:13 *3358:17 0.000267146 +33 *3352:16 *3358:14 0 +34 *3354:8 *3358:14 0.00020602 +35 *3354:11 *3358:17 0.000134849 +*RES +1 *23487:Z *3358:13 36.9779 +2 *3358:13 *3358:14 83.6367 +3 *3358:14 *3358:17 38.4996 +4 *3358:17 *22975:A 20.4964 +5 *3358:17 *5493:DIODE 9.97254 +*END + +*D_NET *3359 0.00906428 +*CONN +*I *22976:A I *D sky130_fd_sc_hd__buf_2 +*I *5494:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23488:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22976:A 5.21803e-05 +2 *5494:DIODE 0 +3 *23488:Z 6.53976e-05 +4 *3359:11 0.00017174 +5 *3359:8 0.00289543 +6 *3359:7 0.00284127 +7 *3359:8 *3372:8 0 +8 la_oenb_core[113] *22976:A 2.9373e-05 +9 la_oenb_core[113] *3359:11 1.31657e-05 +10 *3917:DIODE *3359:11 2.32594e-05 +11 *5238:DIODE *3359:11 2.41483e-05 +12 *22975:A *3359:8 2.22923e-05 +13 *1186:9 *3359:7 0.000855101 +14 *1236:15 *3359:7 0.000850889 +15 *1362:10 *3359:8 0 +16 *2408:17 *3359:8 0.000157747 +17 *2562:8 *3359:8 0 +18 *2573:8 *3359:8 0 +19 *2817:41 *3359:8 0 +20 *2823:48 *3359:8 0.000213626 +21 *3075:6 *3359:8 0 +22 *3076:13 *3359:11 5.07314e-05 +23 *3078:23 *22976:A 0.00015511 +24 *3078:23 *3359:11 0.00064281 +*RES +1 *23488:Z *3359:7 22.7916 +2 *3359:7 *3359:8 65.3656 +3 *3359:8 *3359:11 11.324 +4 *3359:11 *5494:DIODE 9.24915 +5 *3359:11 *22976:A 11.6605 +*END + +*D_NET *3360 0.00998996 +*CONN +*I *22977:A I *D sky130_fd_sc_hd__buf_2 +*I *5495:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23489:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22977:A 0.00016618 +2 *5495:DIODE 0 +3 *23489:Z 0.000466569 +4 *3360:8 0.00316394 +5 *3360:7 0.00346433 +6 la_oenb_core[114] *22977:A 1.41181e-05 +7 *6284:DIODE *3360:7 0.000273166 +8 *22722:A *22977:A 0 +9 *1197:13 *3360:7 0.00114798 +10 *2416:8 *3360:8 0 +11 *2585:6 *3360:8 0 +12 *3078:23 *22977:A 2.57847e-05 +13 *3080:16 *22977:A 8.22e-05 +14 *3080:16 *3360:8 0.0011857 +*RES +1 *23489:Z *3360:7 30.556 +2 *3360:7 *3360:8 75.7469 +3 *3360:8 *5495:DIODE 13.7491 +4 *3360:8 *22977:A 18.3808 +*END + +*D_NET *3361 0.0573932 +*CONN +*I *23350:A I *D sky130_fd_sc_hd__nand2_1 +*I *6006:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22233:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *23350:A 6.66142e-06 +2 *6006:DIODE 0 +3 *22233:X 0.00194973 +4 *3361:54 6.66142e-06 +5 *3361:52 0.00370859 +6 *3361:51 0.00370859 +7 *3361:49 0.000912572 +8 *3361:48 0.00250708 +9 *3361:45 0.0023711 +10 *3361:27 0.00300888 +11 *3361:13 0.00275512 +12 *3361:8 0.00247256 +13 *3361:45 *23076:A 2.65667e-05 +14 *3361:48 *23075:A 0.000134189 +15 *3361:48 *3469:8 0.000425353 +16 *3361:52 *3455:8 3.50176e-05 +17 la_data_in_core[101] *3361:8 0.000169041 +18 la_data_in_core[102] *3361:8 6.92705e-05 +19 la_data_in_core[103] *3361:8 0.000162583 +20 la_data_in_core[104] *3361:8 6.64392e-05 +21 la_data_in_core[89] *3361:48 1.77537e-06 +22 la_data_in_core[90] *3361:45 0.000164829 +23 la_data_in_core[92] *3361:45 0.000161243 +24 la_oenb_core[102] *3361:8 0.000217873 +25 la_oenb_core[89] *3361:45 0.000279123 +26 la_oenb_core[91] *3361:45 0.00017275 +27 la_oenb_core[92] *3361:27 1.5714e-05 +28 la_oenb_core[92] *3361:45 6.00698e-05 +29 la_oenb_core[97] *3361:13 6.92705e-05 +30 la_oenb_core[98] *3361:13 0.000377259 +31 *3828:DIODE *3361:45 0.000102003 +32 *3831:DIODE *3361:45 0.000102003 +33 *3832:DIODE *3361:45 4.66492e-05 +34 *3838:DIODE *3361:13 0.000222149 +35 *3839:DIODE *3361:13 0.0002817 +36 *3841:DIODE *3361:13 0.000169041 +37 *4362:DIODE *3361:8 7.50872e-05 +38 *4362:DIODE *3361:13 6.50586e-05 +39 *5338:DIODE *3361:45 0.000171273 +40 *5338:DIODE *3361:48 0 +41 *22581:A *3361:27 0 +42 *22581:A *3361:45 8.22406e-05 +43 *22706:A *3361:8 0 +44 *375:8 *3361:45 0.000117364 +45 *377:14 *3361:45 0.000245334 +46 *378:8 *3361:45 0.00026881 +47 *379:12 *3361:45 0.000118166 +48 *1462:10 *3361:52 0 +49 *1469:5 *23350:A 6.92705e-05 +50 *1863:53 *3361:8 0.00174439 +51 *1978:24 *23350:A 6.50727e-05 +52 *2359:6 *3361:52 0.000927198 +53 *2364:15 *3361:27 5.58435e-06 +54 *2476:6 *3361:48 0.000590881 +55 *2496:16 *3361:52 0.000942952 +56 *2499:10 *3361:45 2.57986e-05 +57 *2917:8 *3361:8 1.90218e-05 +58 *2917:9 *3361:49 0.00889856 +59 *2978:19 *3361:27 0.00336941 +60 *2980:31 *3361:13 0.00234797 +61 *2980:31 *3361:27 1.41853e-05 +62 *2985:45 *3361:45 0.000342864 +63 *2987:17 *3361:27 7.71761e-05 +64 *3004:26 *3361:48 0 +65 *3182:12 *3361:48 0.00083409 +66 *3182:15 *3361:45 0.000113968 +67 *3187:27 *3361:45 1.92336e-05 +68 *3250:15 *3361:49 0.00890279 +*RES +1 *22233:X *3361:8 48.4715 +2 *3361:8 *3361:13 30.2047 +3 *3361:13 *3361:27 49.2826 +4 *3361:27 *3361:45 39.796 +5 *3361:45 *3361:48 47.8572 +6 *3361:48 *3361:49 96.1152 +7 *3361:49 *3361:51 4.5 +8 *3361:51 *3361:52 86.1282 +9 *3361:52 *3361:54 4.5 +10 *3361:54 *6006:DIODE 9.24915 +11 *3361:54 *23350:A 9.97254 +*END + +*D_NET *3362 0.0734295 +*CONN +*I *6168:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23431:A I *D sky130_fd_sc_hd__nand2_1 +*I *22234:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6168:DIODE 0 +2 *23431:A 6.38223e-05 +3 *22234:X 0 +4 *3362:32 0.00656596 +5 *3362:26 0.00956802 +6 *3362:11 0.00623139 +7 *3362:5 0.00316551 +8 *3362:26 *3395:26 0.00573763 +9 la_oenb_core[62] *3362:11 2.92944e-05 +10 *4423:DIODE *3362:11 1.83447e-05 +11 *6169:DIODE *23431:A 0.000171899 +12 *22791:A *3362:11 0 +13 *22792:A *3362:11 0 +14 *23449:A *3362:32 0.000637622 +15 *1979:19 *3362:26 1.48618e-05 +16 *1985:24 *3362:32 0.00010238 +17 *1985:40 *3362:26 0.000211854 +18 *1986:19 *3362:32 0.000304751 +19 *1996:20 *3362:32 0.0064399 +20 *1996:32 *3362:26 0.00172077 +21 *2070:8 *3362:32 0.000304127 +22 *2100:23 *3362:32 0.000950321 +23 *2110:43 *3362:11 0.000508302 +24 *2133:30 *3362:11 0.000786886 +25 *2136:17 *3362:32 0.00125649 +26 *2146:16 *23431:A 0.000430929 +27 *2199:22 *3362:32 0.000167853 +28 *2205:19 *3362:32 0.000121925 +29 *2207:22 *3362:32 0.00393236 +30 *2217:26 *3362:32 6.44502e-05 +31 *2252:27 *3362:26 0.0017252 +32 *2252:27 *3362:32 0.00399988 +33 *2365:27 *3362:32 0.000252884 +34 *2376:13 *23431:A 0.000117135 +35 *2404:47 *3362:26 3.77568e-05 +36 *2437:23 *3362:32 0.00227323 +37 *2449:8 *3362:11 0.000514513 +38 *2460:33 *3362:32 1.87318e-05 +39 *2482:32 *3362:11 0 +40 *2498:36 *3362:26 0.0057926 +41 *2905:20 *3362:26 7.78226e-05 +42 *3140:15 *3362:32 0.00104996 +43 *3155:40 *3362:11 0 +44 *3156:16 *3362:11 0 +45 *3173:19 *3362:32 0.00250158 +46 *3273:23 *3362:32 0.00464813 +47 *3339:23 *3362:32 0.000468404 +48 *3339:34 *3362:32 0.000444069 +*RES +1 *22234:X *3362:5 13.7491 +2 *3362:5 *3362:11 47.5075 +3 *3362:11 *3362:26 39.2405 +4 *3362:26 *3362:32 34.9924 +5 *3362:32 *23431:A 14.4335 +6 *3362:32 *6168:DIODE 9.24915 +*END + +*D_NET *3363 0.0109456 +*CONN +*I *22978:A I *D sky130_fd_sc_hd__buf_2 +*I *5496:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23490:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22978:A 0.000105857 +2 *5496:DIODE 2.92047e-05 +3 *23490:Z 0 +4 *3363:8 0.0010524 +5 *3363:5 0.00405757 +6 *3363:4 0.00314024 +7 *22978:A *3371:14 0.000193283 +8 *3363:8 *3371:14 0.00176416 +9 *6286:DIODE *3363:5 0.000277502 +10 *22723:A *22978:A 1.32509e-05 +11 *3079:14 *22978:A 4.44824e-05 +12 *3079:14 *3363:8 0.000241108 +13 *3086:13 *5496:DIODE 2.65831e-05 +*RES +1 *23490:Z *3363:4 9.24915 +2 *3363:4 *3363:5 71.1581 +3 *3363:5 *3363:8 37.4759 +4 *3363:8 *5496:DIODE 14.4725 +5 *3363:8 *22978:A 17.6574 +*END + +*D_NET *3364 0.0107972 +*CONN +*I *22979:A I *D sky130_fd_sc_hd__buf_2 +*I *5497:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23491:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22979:A 0.000209141 +2 *5497:DIODE 0 +3 *23491:Z 0 +4 *3364:8 0.0011631 +5 *3364:5 0.00365403 +6 *3364:4 0.00270007 +7 *22979:A *3371:21 6.50586e-05 +8 *3364:8 *3365:8 0 +9 la_oenb_core[116] *22979:A 1.43848e-05 +10 la_oenb_core[117] *22979:A 0 +11 *6288:DIODE *3364:5 0.000167076 +12 *22724:A *22979:A 0 +13 *279:12 *22979:A 8.62625e-06 +14 *1867:17 *22979:A 0 +15 *1867:17 *3364:8 0 +16 *2496:11 *3364:5 0.000139764 +17 *2596:11 *3364:5 0.0026759 +*RES +1 *23491:Z *3364:4 9.24915 +2 *3364:4 *3364:5 82.8047 +3 *3364:5 *3364:8 27.0946 +4 *3364:8 *5497:DIODE 13.7491 +5 *3364:8 *22979:A 19.2113 +*END + +*D_NET *3365 0.00608027 +*CONN +*I *22980:A I *D sky130_fd_sc_hd__buf_2 +*I *5498:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23492:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22980:A 0.000101928 +2 *5498:DIODE 0 +3 *23492:Z 5.95823e-05 +4 *3365:8 0.00142434 +5 *3365:7 0.00138199 +6 la_oenb_core[117] *22980:A 1.03403e-05 +7 *6290:DIODE *3365:7 0.000171288 +8 *1194:11 *3365:7 0.000596133 +9 *1741:11 *3365:7 0.000169038 +10 *1867:17 *22980:A 0.00021046 +11 *1867:17 *3365:8 0.00072719 +12 *1868:17 *22980:A 0.000221185 +13 *1868:17 *3365:8 0.000558629 +14 *2618:6 *3365:8 0.000448163 +15 *3081:6 *3365:8 0 +16 *3364:8 *3365:8 0 +*RES +1 *23492:Z *3365:7 20.0186 +2 *3365:7 *3365:8 42.942 +3 *3365:8 *5498:DIODE 13.7491 +4 *3365:8 *22980:A 18.3808 +*END + +*D_NET *3366 0.00863687 +*CONN +*I *22981:A I *D sky130_fd_sc_hd__buf_2 +*I *5499:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23493:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22981:A 0.000257353 +2 *5499:DIODE 0.000163604 +3 *23493:Z 0 +4 *3366:6 0.00278813 +5 *3366:5 0.00236717 +6 la_oenb_core[118] *22981:A 1.19721e-05 +7 *3603:DIODE *3366:6 0 +8 *3962:DIODE *22981:A 2.44829e-05 +9 *3973:DIODE *22981:A 6.31665e-05 +10 *21411:A *3366:6 6.45156e-05 +11 *21543:A *3366:6 0.00026818 +12 *22269:A *3366:6 0 +13 *22725:A *22981:A 5.86449e-05 +14 *22725:A *3366:6 3.67528e-06 +15 *1186:8 *3366:6 0.000141464 +16 *1229:10 *3366:6 0 +17 *1233:18 *3366:6 0 +18 *2417:18 *3366:6 0.000113077 +19 *2533:18 *3366:6 0.00101393 +20 *2629:12 *22981:A 1.89331e-05 +21 *2629:12 *3366:6 0.000215844 +22 *2824:59 *3366:6 0 +23 *3020:18 *3366:6 7.20173e-06 +24 *3023:18 *3366:6 0 +25 *3081:6 *3366:6 0.000855847 +26 *3082:6 *3366:6 0 +27 *3082:9 *22981:A 4.58003e-05 +28 *3086:13 *5499:DIODE 0.000148823 +29 *3087:6 *3366:6 0 +30 *3087:9 *22981:A 5.04829e-06 +*RES +1 *23493:Z *3366:5 13.7491 +2 *3366:5 *3366:6 75.3316 +3 *3366:6 *5499:DIODE 17.8002 +4 *3366:6 *22981:A 21.1538 +*END + +*D_NET *3367 0.0124534 +*CONN +*I *5500:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22982:A I *D sky130_fd_sc_hd__buf_2 +*I *23494:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5500:DIODE 0.000115349 +2 *22982:A 0.000170317 +3 *23494:Z 0 +4 *3367:11 0.000402151 +5 *3367:8 0.00174818 +6 *3367:7 0.00163169 +7 *3367:5 0.00138934 +8 *3367:4 0.00138934 +9 *22982:A *3371:21 0.000217951 +10 la_oenb_core[119] *22982:A 4.48527e-05 +11 *3536:DIODE *3367:5 0.000479151 +12 *3984:DIODE *22982:A 6.46135e-05 +13 *6294:DIODE *3367:5 0.000314121 +14 *22270:TE *3367:8 0 +15 *22726:A *22982:A 2.78588e-05 +16 *22726:A *3367:11 6.50586e-05 +17 *281:5 *22982:A 3.20069e-06 +18 *1189:10 *3367:8 8.16827e-05 +19 *1189:11 *3367:5 0.00108237 +20 *2640:8 *22982:A 0.000169093 +21 *2640:8 *3367:8 0 +22 *3080:15 *3367:5 0.00143455 +23 *3082:9 *3367:11 0.000224395 +24 *3087:6 *3367:8 0.00123038 +25 *3087:9 *5500:DIODE 6.47133e-05 +26 *3087:9 *3367:11 0.00010307 +*RES +1 *23494:Z *3367:4 9.24915 +2 *3367:4 *3367:5 51.7469 +3 *3367:5 *3367:7 4.5 +4 *3367:7 *3367:8 49.586 +5 *3367:8 *3367:11 9.10562 +6 *3367:11 *22982:A 24.9599 +7 *3367:11 *5500:DIODE 12.191 +*END + +*D_NET *3368 0.0355068 +*CONN +*I *22983:A I *D sky130_fd_sc_hd__buf_2 +*I *5501:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23495:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22983:A 0.000250983 +2 *5501:DIODE 7.65268e-05 +3 *23495:Z 0 +4 *3368:16 0.00257875 +5 *3368:15 0.00225124 +6 *3368:13 0.00172106 +7 *3368:11 0.0018907 +8 *3368:5 0.001003 +9 *3368:4 0.000833361 +10 *3368:16 *3378:23 8.62625e-06 +11 la_oenb_core[11] *22983:A 0 +12 *3656:DIODE *3368:13 5.9852e-05 +13 *6320:DIODE *3368:13 0.000111722 +14 *22727:A *22983:A 3.77085e-05 +15 *22727:A *3368:16 2.14842e-06 +16 *23495:TE *3368:5 0.000228593 +17 *23600:A *3368:11 4.82966e-05 +18 *23600:TE *3368:13 0.00021217 +19 *1272:10 *3368:13 0.000266846 +20 *1274:9 *3368:16 0.000131518 +21 *1370:19 *3368:13 0.00206111 +22 *1463:17 *3368:5 0.00685796 +23 *1463:27 *3368:5 0.000377259 +24 *1463:27 *3368:11 2.15348e-05 +25 *2009:48 *3368:5 4.88955e-05 +26 *2361:10 *3368:13 2.99287e-05 +27 *2380:14 *3368:13 0.000187575 +28 *2470:61 *3368:5 0.000682483 +29 *2470:61 *3368:13 0.00012601 +30 *2580:19 *3368:13 0.000260374 +31 *2651:8 *22983:A 0 +32 *2651:8 *3368:16 0 +33 *2829:27 *3368:5 0.000172251 +34 *2836:39 *3368:11 9.68627e-06 +35 *2836:39 *3368:13 4.70024e-05 +36 *2836:44 *3368:13 0.00012083 +37 *2838:42 *3368:5 0.0054771 +38 *2841:30 *3368:13 0.00361974 +39 *2980:9 *22983:A 2.65831e-05 +40 *2985:38 *22983:A 6.3609e-05 +41 *2985:38 *3368:16 6.22114e-05 +42 *2988:21 *5501:DIODE 0.000111722 +43 *2998:71 *22983:A 6.50727e-05 +44 *3083:24 *3368:16 0.000470869 +45 *3094:21 *3368:13 0.00221821 +46 *3103:21 *3368:13 3.70748e-05 +47 *3132:9 *3368:16 6.3082e-06 +48 *3200:53 *3368:13 0.000632333 +*RES +1 *23495:Z *3368:4 9.24915 +2 *3368:4 *3368:5 77.2587 +3 *3368:5 *3368:11 3.54464 +4 *3368:11 *3368:13 80.5863 +5 *3368:13 *3368:15 4.5 +6 *3368:15 *3368:16 46.264 +7 *3368:16 *5501:DIODE 15.0271 +8 *3368:16 *22983:A 19.2113 +*END + +*D_NET *3369 0.010858 +*CONN +*I *22984:A I *D sky130_fd_sc_hd__buf_2 +*I *5502:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23496:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22984:A 0.000240439 +2 *5502:DIODE 0.000124932 +3 *23496:Z 0 +4 *3369:9 0.000578867 +5 *3369:6 0.00313482 +6 *3369:5 0.00292133 +7 *5502:DIODE *22985:A 6.39105e-05 +8 *5502:DIODE *3370:15 1.43983e-05 +9 *22984:A *3371:21 3.64358e-05 +10 *22984:A *3371:29 0.000330596 +11 *3369:9 *22985:A 0.000102003 +12 la_data_in_core[121] *22984:A 0.000164843 +13 la_oenb_core[121] *22984:A 0.000127179 +14 *4028:DIODE *3369:9 5.56461e-05 +15 *5248:DIODE *3369:9 5.31074e-05 +16 *21348:A *3369:6 7.74361e-05 +17 *22729:A *22984:A 6.50586e-05 +18 *23501:A *3369:6 0 +19 *1161:13 *3369:6 8.5506e-05 +20 *1363:11 *3369:6 0.000528188 +21 *1364:8 *3369:6 0 +22 *1871:17 *3369:6 0.000353839 +23 *2136:52 *3369:6 9.13582e-05 +24 *2542:24 *3369:6 1.91391e-05 +25 *2673:8 *22984:A 1.70077e-05 +26 *2684:8 *3369:6 0 +27 *2825:29 *3369:6 0 +28 *2825:32 *3369:6 0.000269913 +29 *3026:20 *3369:6 0.0004882 +30 *3087:9 *5502:DIODE 0.000536581 +31 *3087:9 *3369:9 0.000377273 +*RES +1 *23496:Z *3369:5 13.7491 +2 *3369:5 *3369:6 82.8062 +3 *3369:6 *3369:9 12.4332 +4 *3369:9 *5502:DIODE 14.964 +5 *3369:9 *22984:A 27.0658 +*END + +*D_NET *3370 0.0178286 +*CONN +*I *22985:A I *D sky130_fd_sc_hd__buf_2 +*I *5503:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23497:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22985:A 0.000125594 +2 *5503:DIODE 0 +3 *23497:Z 0 +4 *3370:15 0.000174095 +5 *3370:12 0.00188122 +6 *3370:11 0.00239922 +7 *3370:5 0.00212381 +8 *3370:4 0.00155732 +9 *3370:12 *3375:6 0.00101657 +10 *5502:DIODE *22985:A 6.39105e-05 +11 *5502:DIODE *3370:15 1.43983e-05 +12 *22271:TE *3370:11 5.56461e-05 +13 *22398:B *3370:5 2.99287e-05 +14 *22729:A *3370:12 0 +15 *1235:9 *3370:11 0.000137572 +16 *1746:5 *3370:5 0.00559643 +17 *1747:9 *3370:5 1.43983e-05 +18 *1747:9 *3370:11 2.72092e-05 +19 *1749:8 *3370:12 0.000492446 +20 *2418:20 *3370:12 0.000305552 +21 *2673:8 *3370:12 0 +22 *2823:25 *3370:5 6.49003e-05 +23 *2823:36 *3370:5 0.000691553 +24 *3086:16 *3370:12 0 +25 *3089:15 *3370:11 0.000954871 +26 *3369:9 *22985:A 0.000102003 +*RES +1 *23497:Z *3370:4 9.24915 +2 *3370:4 *3370:5 65.0574 +3 *3370:5 *3370:11 21.8856 +4 *3370:11 *3370:12 58.3063 +5 *3370:12 *3370:15 5.2234 +6 *3370:15 *5503:DIODE 9.24915 +7 *3370:15 *22985:A 12.7938 +*END + +*D_NET *3371 0.0205353 +*CONN +*I *22986:A I *D sky130_fd_sc_hd__buf_2 +*I *5504:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23498:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22986:A 0 +2 *5504:DIODE 0.000114486 +3 *23498:Z 0.000859351 +4 *3371:29 0.000617368 +5 *3371:21 0.00231449 +6 *3371:14 0.00321083 +7 *3371:11 0.0030071 +8 *3371:8 0.00246722 +9 la_data_in_core[116] *3371:14 1.3262e-05 +10 la_data_in_core[116] *3371:21 0.000161262 +11 la_data_in_core[117] *3371:21 3.6455e-05 +12 la_data_in_core[119] *3371:21 2.85274e-05 +13 la_data_in_core[120] *3371:21 2.85274e-05 +14 la_data_in_core[122] *3371:29 3.6455e-05 +15 la_data_in_core[123] *5504:DIODE 7.58217e-06 +16 la_oenb_core[115] *3371:14 2.61711e-05 +17 la_oenb_core[116] *3371:21 5.84357e-05 +18 la_oenb_core[119] *3371:21 2.82583e-05 +19 la_oenb_core[120] *3371:21 2.85274e-05 +20 *3962:DIODE *3371:21 0.000118166 +21 *4473:DIODE *3371:8 0 +22 *6304:DIODE *3371:11 9.9028e-05 +23 *21344:A *3371:8 3.49272e-05 +24 *21752:A *3371:21 2.65831e-05 +25 *21785:A *3371:21 6.50727e-05 +26 *21807:A *3371:21 6.50727e-05 +27 *21818:A *3371:29 0.000205985 +28 *21829:A *3371:29 6.50727e-05 +29 *22254:TE *3371:8 2.22198e-05 +30 *22723:A *3371:21 6.36477e-05 +31 *22728:A *3371:21 6.50727e-05 +32 *22729:A *3371:21 6.50586e-05 +33 *22978:A *3371:14 0.000193283 +34 *22979:A *3371:21 6.50586e-05 +35 *22982:A *3371:21 0.000217951 +36 *22984:A *3371:21 3.64358e-05 +37 *22984:A *3371:29 0.000330596 +38 *23499:TE *3371:11 0.000114594 +39 *279:12 *3371:21 0.000517263 +40 *284:8 *3371:29 0.000122378 +41 *1220:8 *3371:8 0 +42 *1730:14 *3371:8 3.59505e-05 +43 *1739:6 *3371:8 0 +44 *1871:17 *5504:DIODE 0.000146645 +45 *2133:30 *3371:11 0.000133887 +46 *2521:34 *3371:8 4.47123e-05 +47 *2562:9 *3371:11 0.00151562 +48 *2596:10 *3371:14 0 +49 *2673:7 *3371:29 1.43983e-05 +50 *2684:7 *3371:29 5.97411e-05 +51 *2684:8 *5504:DIODE 0.000118485 +52 *2815:22 *3371:8 0.00054002 +53 *2815:33 *3371:8 0.00015324 +54 *3356:12 *3371:8 0.000257002 +55 *3357:10 *3371:8 1.05601e-05 +56 *3357:12 *3371:8 0.000203124 +57 *3363:8 *3371:14 0.00176416 +*RES +1 *23498:Z *3371:8 45.4115 +2 *3371:8 *3371:11 47.3733 +3 *3371:11 *3371:14 47.0267 +4 *3371:14 *3371:21 44.6094 +5 *3371:21 *3371:29 14.8055 +6 *3371:29 *5504:DIODE 21.635 +7 *3371:29 *22986:A 9.24915 +*END + +*D_NET *3372 0.0180007 +*CONN +*I *22987:A I *D sky130_fd_sc_hd__buf_2 +*I *5505:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23499:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22987:A 6.0277e-05 +2 *5505:DIODE 0 +3 *23499:Z 0 +4 *3372:18 0.000136216 +5 *3372:13 0.00444454 +6 *3372:11 0.00468287 +7 *3372:8 0.00188483 +8 *3372:5 0.00157056 +9 *3372:13 *3375:9 0.00188517 +10 la_oenb_core[123] *22987:A 1.84293e-05 +11 *22731:A *3372:18 0 +12 *1870:17 *3372:18 0 +13 *2405:8 *3372:8 0 +14 *2407:17 *3372:8 0.000113903 +15 *2408:17 *3372:8 0.000152859 +16 *2573:8 *3372:8 0 +17 *3086:13 *3372:11 0.000866671 +18 *3086:13 *3372:13 0.00218443 +19 *3088:8 *3372:18 0 +20 *3359:8 *3372:8 0 +*RES +1 *23499:Z *3372:5 13.7491 +2 *3372:5 *3372:8 41.6284 +3 *3372:8 *3372:11 9.89847 +4 *3372:11 *3372:13 75.3176 +5 *3372:13 *3372:18 10.4167 +6 *3372:18 *5505:DIODE 9.24915 +7 *3372:18 *22987:A 10.9612 +*END + +*D_NET *3373 0.0703241 +*CONN +*I *6170:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23432:A I *D sky130_fd_sc_hd__nand2_1 +*I *22235:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6170:DIODE 0 +2 *23432:A 6.11083e-05 +3 *22235:X 9.34923e-06 +4 *3373:36 0.00519444 +5 *3373:25 0.0111376 +6 *3373:8 0.00891107 +7 *3373:7 0.00291613 +8 *3373:8 *23048:A 0.000177913 +9 *3373:8 *3438:50 0 +10 *3373:36 *3428:36 0.00142602 +11 *3373:36 *3461:53 0.000101365 +12 la_data_in_core[64] *3373:8 3.5797e-05 +13 la_oenb_core[63] *3373:8 2.46091e-05 +14 *6171:DIODE *23432:A 1.19721e-05 +15 *22571:A *3373:25 1.5714e-05 +16 *22793:A *3373:8 0 +17 *347:10 *3373:7 6.50727e-05 +18 *1174:26 *3373:25 0.00665803 +19 *1991:12 *3373:36 0.000118134 +20 *2078:29 *3373:25 0.000229192 +21 *2108:24 *3373:25 0.00113663 +22 *2129:56 *3373:25 0.000234723 +23 *2134:35 *3373:8 1.04568e-05 +24 *2142:28 *23432:A 0.000329194 +25 *2142:28 *3373:36 0.000210987 +26 *2191:17 *3373:36 8.62976e-06 +27 *2208:22 *23432:A 0.00018806 +28 *2208:22 *3373:36 0.000108607 +29 *2257:21 *3373:25 0.00382678 +30 *2266:23 *3373:25 0.00111531 +31 *2284:17 *3373:25 0.00137425 +32 *2404:47 *3373:25 5.69062e-05 +33 *2404:47 *3373:36 0.00080417 +34 *2452:8 *3373:8 0 +35 *2452:12 *3373:8 0 +36 *2467:10 *3373:8 0 +37 *2468:26 *3373:8 4.41587e-05 +38 *2471:18 *3373:25 0.000646455 +39 *2479:33 *23432:A 1.92336e-05 +40 *2479:33 *3373:36 0.00010238 +41 *2489:17 *3373:25 0.00584463 +42 *2492:28 *3373:25 0 +43 *2495:22 *3373:25 3.30148e-05 +44 *3001:134 *3373:7 6.50727e-05 +45 *3008:58 *3373:8 0 +46 *3157:8 *3373:8 0 +47 *3228:19 *3373:25 0.001502 +48 *3228:19 *3373:36 0.000804112 +49 *3295:28 *3373:36 0.000229782 +50 *3350:47 *3373:25 0.00531877 +51 *3350:47 *3373:36 0.00299153 +52 *3350:52 *3373:36 0.00622472 +*RES +1 *22235:X *3373:7 14.4725 +2 *3373:7 *3373:8 55.1919 +3 *3373:8 *3373:25 42.2226 +4 *3373:25 *3373:36 25.9881 +5 *3373:36 *23432:A 13.8548 +6 *3373:36 *6170:DIODE 9.24915 +*END + +*D_NET *3374 0.0103164 +*CONN +*I *5506:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22988:A I *D sky130_fd_sc_hd__buf_2 +*I *23500:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5506:DIODE 0 +2 *22988:A 0.000228571 +3 *23500:Z 0.000787619 +4 *3374:19 0.000631702 +5 *3374:14 0.000875279 +6 *3374:7 0.00125977 +7 *3374:14 *3472:10 7.50722e-05 +8 *3374:14 *3475:10 0.000175927 +9 *3374:19 *5611:DIODE 7.50872e-05 +10 *3374:19 *3376:15 3.82228e-05 +11 *3374:19 *3475:10 9.92046e-06 +12 la_data_in_core[125] *22988:A 5.56367e-05 +13 la_oenb_core[124] *22988:A 4.31539e-05 +14 *6306:DIODE *3374:7 0.000175485 +15 *22733:A *22988:A 0 +16 *1363:11 *3374:7 6.92705e-05 +17 *1875:14 *22988:A 0.000430146 +18 *2484:8 *3374:14 0.00149567 +19 *3053:15 *22988:A 0.000190042 +20 *3053:15 *3374:19 0.00108979 +21 *3090:8 *3374:14 0.00159057 +22 *3090:8 *3374:19 0.000997887 +23 *3090:12 *3374:19 2.15861e-05 +*RES +1 *23500:Z *3374:7 30.556 +2 *3374:7 *3374:14 30.6676 +3 *3374:14 *3374:19 25.6735 +4 *3374:19 *22988:A 27.1727 +5 *3374:19 *5506:DIODE 9.24915 +*END + +*D_NET *3375 0.0119183 +*CONN +*I *5507:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22989:A I *D sky130_fd_sc_hd__buf_2 +*I *23501:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5507:DIODE 6.19783e-06 +2 *22989:A 0.000104918 +3 *23501:Z 0 +4 *3375:9 0.00110398 +5 *3375:6 0.00302295 +6 *3375:5 0.00203009 +7 *5507:DIODE *3376:15 5.31074e-05 +8 *3375:9 *3376:15 0.00089687 +9 *5604:DIODE *3375:9 0.000107496 +10 *22734:A *22989:A 0 +11 *1749:8 *3375:6 0 +12 *2418:20 *3375:6 0.000895647 +13 *2662:8 *3375:6 0 +14 *2718:6 *22989:A 5.8261e-05 +15 *3058:5 *5507:DIODE 6.50727e-05 +16 *3058:5 *3375:9 0.000638742 +17 *3086:16 *3375:6 3.31882e-05 +18 *3370:12 *3375:6 0.00101657 +19 *3372:13 *3375:9 0.00188517 +*RES +1 *23501:Z *3375:5 13.7491 +2 *3375:5 *3375:6 60.7978 +3 *3375:6 *3375:9 41.2726 +4 *3375:9 *22989:A 20.9116 +5 *3375:9 *5507:DIODE 9.97254 +*END + +*D_NET *3376 0.0123324 +*CONN +*I *5508:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22990:A I *D sky130_fd_sc_hd__buf_2 +*I *23502:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5508:DIODE 1.40455e-05 +2 *22990:A 0.000290793 +3 *23502:Z 0.000152033 +4 *3376:15 0.00111983 +5 *3376:10 0.00158328 +6 *3376:7 0.000920322 +7 la_oenb_core[126] *22990:A 7.44738e-05 +8 *4073:DIODE *22990:A 0 +9 *5507:DIODE *3376:15 5.31074e-05 +10 *22700:A *22990:A 1.93122e-05 +11 *23087:A *3376:15 0.000189779 +12 *1194:10 *3376:7 0.000118166 +13 *1237:10 *3376:7 0.000487686 +14 *2420:18 *3376:10 0.000364475 +15 *2420:18 *3376:15 0.000215822 +16 *2483:36 *22990:A 2.61377e-05 +17 *2696:8 *3376:10 0.000689702 +18 *2696:8 *3376:15 0.000357336 +19 *2729:6 *22990:A 0.00028688 +20 *3058:5 *5508:DIODE 5.07314e-05 +21 *3058:5 *3376:15 0.000263733 +22 *3089:15 *3376:7 0.000555013 +23 *3089:16 *3376:10 0.00226318 +24 *3089:16 *3376:15 0.000365951 +25 *3092:11 *5508:DIODE 0.000105465 +26 *3092:11 *3376:15 0.000830077 +27 *3374:19 *3376:15 3.82228e-05 +28 *3375:9 *3376:15 0.00089687 +*RES +1 *23502:Z *3376:7 22.237 +2 *3376:7 *3376:10 39.1436 +3 *3376:10 *3376:15 39.8517 +4 *3376:15 *22990:A 26.8968 +5 *3376:15 *5508:DIODE 10.5271 +*END + +*D_NET *3377 0.0207247 +*CONN +*I *22991:A I *D sky130_fd_sc_hd__buf_2 +*I *5509:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23503:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22991:A 0.000459259 +2 *5509:DIODE 0 +3 *23503:Z 0.000184162 +4 *3377:20 0.00263276 +5 *3377:18 0.00259392 +6 *3377:11 0.0019721 +7 *3377:10 0.00173585 +8 la_oenb_core[127] *22991:A 5.37372e-05 +9 *3581:DIODE *3377:11 6.36477e-05 +10 *4084:DIODE *22991:A 0 +11 *4386:DIODE *22991:A 0.000143047 +12 *4388:DIODE *22991:A 0 +13 *4751:DIODE *3377:10 3.5516e-05 +14 *5216:DIODE *3377:18 7.50722e-05 +15 *5219:DIODE *3377:11 4.66492e-05 +16 *6312:DIODE *3377:10 0.000159322 +17 *21423:A *3377:11 6.34953e-05 +18 *22702:A *3377:20 4.33056e-05 +19 *22703:A *3377:20 8.88627e-05 +20 *1118:11 *22991:A 0.00013592 +21 *1123:10 *22991:A 3.74738e-05 +22 *1195:5 *3377:10 0.000532383 +23 *1200:5 *3377:11 0.002874 +24 *1359:8 *3377:10 4.6284e-05 +25 *1366:9 *3377:10 0.000171288 +26 *1996:55 *3377:10 3.67528e-06 +27 *2425:10 *3377:20 0.000252638 +28 *2425:12 *3377:18 0.000329476 +29 *2425:12 *3377:20 0.00011583 +30 *2484:11 *3377:11 0.00168038 +31 *2485:8 *3377:20 0.000507007 +32 *2486:8 *3377:20 0.00191603 +33 *2964:11 *3377:11 0.00177165 +34 *3025:18 *3377:10 0 +*RES +1 *23503:Z *3377:10 26.6265 +2 *3377:10 *3377:11 56.7384 +3 *3377:11 *3377:18 18.037 +4 *3377:18 *3377:20 72.4127 +5 *3377:20 *5509:DIODE 13.7491 +6 *3377:20 *22991:A 25.8553 +*END + +*D_NET *3378 0.0321466 +*CONN +*I *22992:A I *D sky130_fd_sc_hd__buf_2 +*I *5510:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23504:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22992:A 0.000229634 +2 *5510:DIODE 0.000138337 +3 *23504:Z 0 +4 *3378:26 0.00184171 +5 *3378:25 0.00147374 +6 *3378:23 0.000662134 +7 *3378:16 0.00236539 +8 *3378:5 0.00258844 +9 *3378:4 0.000885186 +10 *3378:5 *3387:7 0.000328363 +11 *3378:5 *3411:14 8.65358e-05 +12 la_oenb_core[12] *22992:A 1.7464e-05 +13 *4095:DIODE *22992:A 3.85253e-05 +14 *4560:DIODE *3378:23 3.82228e-05 +15 *6315:DIODE *3378:5 6.50727e-05 +16 *22736:A *22992:A 1.00532e-05 +17 *23504:TE *3378:5 0.000111708 +18 *291:5 *22992:A 2.1203e-06 +19 *1272:10 *3378:23 0.000825209 +20 *1274:9 *3378:23 8.20942e-05 +21 *1380:7 *3378:16 0.000115848 +22 *1380:22 *3378:23 0.00265268 +23 *2008:53 *3378:16 0.000195186 +24 *2341:45 *3378:16 0.000141023 +25 *2470:61 *3378:16 0.000395772 +26 *2497:8 *3378:16 1.66771e-05 +27 *2751:6 *22992:A 0.000161375 +28 *2751:6 *3378:26 0.00216772 +29 *2818:19 *3378:5 1.67988e-05 +30 *2829:20 *3378:23 9.84424e-06 +31 *2829:21 *3378:5 0.00536795 +32 *2829:21 *3378:23 0.0002351 +33 *2841:17 *3378:5 6.75453e-05 +34 *2843:41 *3378:5 0.000161493 +35 *2843:43 *3378:5 0.00123071 +36 *2918:31 *3378:23 0.00154939 +37 *2962:8 *3378:16 1.89411e-05 +38 *2973:18 *3378:16 3.88213e-05 +39 *2977:23 *3378:26 3.29488e-05 +40 *2980:9 *22992:A 6.53502e-05 +41 *2988:21 *5510:DIODE 0.0002136 +42 *2998:71 *22992:A 0.000161262 +43 *3007:30 *22992:A 5.01835e-05 +44 *3083:24 *3378:23 0 +45 *3093:30 *3378:26 0.00158937 +46 *3093:32 *3378:26 0.000212353 +47 *3094:21 *3378:23 0.000828019 +48 *3129:17 *3378:16 0.000124759 +49 *3138:47 *3378:16 0.00184857 +50 *3152:11 *3378:5 0.000176808 +51 *3152:11 *3378:16 0.000501908 +52 *3368:16 *3378:23 8.62625e-06 +*RES +1 *23504:Z *3378:4 9.24915 +2 *3378:4 *3378:5 61.1752 +3 *3378:5 *3378:16 22.8497 +4 *3378:16 *3378:23 49.989 +5 *3378:23 *3378:25 4.5 +6 *3378:25 *3378:26 46.264 +7 *3378:26 *5510:DIODE 16.1364 +8 *3378:26 *22992:A 20.3205 +*END + +*D_NET *3379 0.00674594 +*CONN +*I *22993:A I *D sky130_fd_sc_hd__buf_2 +*I *5511:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23505:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22993:A 0 +2 *5511:DIODE 2.58025e-05 +3 *23505:Z 0 +4 *3379:8 2.58025e-05 +5 *3379:6 0.0018709 +6 *3379:5 0.0018709 +7 *2366:12 *3379:6 0.000143901 +8 *2762:6 *3379:6 0.00215808 +9 *2983:26 *3379:6 5.63942e-05 +10 *2983:27 *5511:DIODE 0.000113968 +11 *2986:13 *5511:DIODE 2.15184e-05 +12 *2988:21 *5511:DIODE 0.000164829 +13 *3094:22 *3379:6 0 +14 *3098:46 *3379:6 0.000293851 +*RES +1 *23505:Z *3379:5 13.7491 +2 *3379:5 *3379:6 46.264 +3 *3379:6 *3379:8 4.5 +4 *3379:8 *5511:DIODE 11.0817 +5 *3379:8 *22993:A 9.24915 +*END + +*D_NET *3380 0.0312144 +*CONN +*I *5512:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22994:A I *D sky130_fd_sc_hd__buf_2 +*I *23506:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5512:DIODE 3.00408e-05 +2 *22994:A 0 +3 *23506:Z 0.000642653 +4 *3380:35 4.54829e-05 +5 *3380:32 0.00361329 +6 *3380:31 0.00559093 +7 *3380:23 0.00292205 +8 *3380:20 0.00152188 +9 *3380:8 0.00123556 +10 *3657:DIODE *3380:31 0.000202442 +11 *3763:DIODE *3380:20 0.000111722 +12 *3770:DIODE *3380:23 0.000217951 +13 *3772:DIODE *3380:23 0.000268798 +14 *4531:DIODE *3380:20 5.04829e-06 +15 *21469:A *3380:32 5.91038e-05 +16 *22292:A *3380:20 4.95146e-05 +17 *22292:TE *3380:20 2.65667e-05 +18 *22439:B *3380:32 4.41655e-05 +19 *23515:TE *3380:32 5.88052e-06 +20 *1256:15 *3380:20 0.000617612 +21 *1260:16 *3380:20 0.000208166 +22 *1260:16 *3380:23 0.000182871 +23 *1276:9 *3380:32 0 +24 *1372:21 *3380:23 0.00246013 +25 *1377:8 *3380:8 7.09666e-06 +26 *1382:15 *3380:23 4.89898e-06 +27 *1384:7 *3380:23 0.000105969 +28 *1384:7 *3380:31 9.63852e-05 +29 *1384:15 *3380:31 8.15039e-05 +30 *1769:12 *3380:8 0.000382595 +31 *1787:8 *3380:32 0 +32 *2021:34 *3380:32 4.21932e-05 +33 *2318:54 *3380:20 0.00258555 +34 *2318:54 *3380:23 0.00285694 +35 *2330:30 *3380:20 0.000378328 +36 *2344:57 *3380:20 0.000372893 +37 *2394:18 *3380:32 0.000122907 +38 *2581:9 *3380:31 0.000401978 +39 *2583:20 *3380:32 0.000554249 +40 *2773:8 *3380:32 0.000319239 +41 *2773:20 *3380:8 0 +42 *2806:16 *3380:8 6.08915e-05 +43 *2846:20 *3380:20 4.88112e-06 +44 *2847:41 *3380:23 0.000107496 +45 *2851:40 *3380:20 4.69495e-06 +46 *2866:15 *3380:31 0.000401972 +47 *2866:23 *3380:31 0.000419724 +48 *2868:8 *3380:32 0.000731661 +49 *2868:21 *3380:32 0 +50 *2983:27 *5512:DIODE 0.000381471 +51 *2983:27 *3380:35 0.000171288 +52 *2984:24 *3380:20 1.91391e-05 +53 *2988:21 *5512:DIODE 0.000365308 +54 *2988:21 *3380:35 0.000171288 +55 *3096:40 *3380:32 0 +56 *3097:34 *3380:32 0 +57 *3130:20 *3380:32 0 +*RES +1 *23506:Z *3380:8 32.1235 +2 *3380:8 *3380:20 45.4572 +3 *3380:20 *3380:23 46.5023 +4 *3380:23 *3380:31 43.9613 +5 *3380:31 *3380:32 75.7469 +6 *3380:32 *3380:35 6.3326 +7 *3380:35 *22994:A 9.24915 +8 *3380:35 *5512:DIODE 13.3002 +*END + +*D_NET *3381 0.0157325 +*CONN +*I *5513:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22995:A I *D sky130_fd_sc_hd__buf_2 +*I *23507:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5513:DIODE 2.41606e-05 +2 *22995:A 0.000177022 +3 *23507:Z 0.000616873 +4 *3381:21 0.00154831 +5 *3381:16 0.00305621 +6 *3381:11 0.00232595 +7 *4566:DIODE *3381:11 0.000152878 +8 *23507:TE *3381:11 0.000213739 +9 *1274:9 *3381:11 0.00011818 +10 *1374:14 *3381:16 0.00011694 +11 *1378:11 *3381:16 0.000321005 +12 *1786:11 *3381:11 0.000160617 +13 *1883:30 *3381:16 0 +14 *2013:36 *3381:11 0.00120119 +15 *2651:8 *3381:16 0.000363523 +16 *2784:6 *22995:A 0.000184265 +17 *2862:17 *3381:11 0.00012661 +18 *2940:22 *3381:11 0.000120583 +19 *2983:23 *3381:21 0.00123601 +20 *3002:17 *5513:DIODE 6.08467e-05 +21 *3002:17 *3381:21 0.00157896 +22 *3093:30 *3381:16 0 +23 *3093:32 *3381:16 0 +24 *3097:34 *22995:A 0 +25 *3101:9 *3381:11 0.0017531 +26 *3105:7 *3381:11 0.000151302 +27 *3355:10 *3381:11 0.000124195 +*RES +1 *23507:Z *3381:11 48.9794 +2 *3381:11 *3381:16 45.2979 +3 *3381:16 *3381:21 31.4195 +4 *3381:21 *22995:A 22.1574 +5 *3381:21 *5513:DIODE 9.97254 +*END + +*D_NET *3382 0.0190737 +*CONN +*I *22996:A I *D sky130_fd_sc_hd__buf_2 +*I *5514:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23508:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22996:A 0.000164235 +2 *5514:DIODE 2.59228e-05 +3 *23508:Z 0.00048271 +4 *3382:16 0.00223533 +5 *3382:15 0.00276624 +6 *3382:11 0.00120378 +7 *3382:15 *3383:25 0.000763873 +8 *22439:B *3382:15 6.08467e-05 +9 *1368:31 *3382:11 0.00250987 +10 *1368:31 *3382:15 0.00118175 +11 *1883:22 *3382:16 0 +12 *1903:8 *3382:15 0.000912918 +13 *1904:26 *3382:15 0.00126965 +14 *2013:23 *3382:16 3.38591e-05 +15 *2795:6 *22996:A 7.75133e-06 +16 *2985:44 *22996:A 9.66954e-05 +17 *2995:60 *22996:A 0.000126964 +18 *2995:60 *3382:16 0.00251397 +19 *3002:17 *5514:DIODE 6.50727e-05 +20 *3029:34 *3382:16 5.4773e-05 +21 *3083:21 *3382:11 0.00202288 +22 *3099:12 *22996:A 0 +23 *3099:12 *3382:16 0 +24 *3123:32 *3382:16 0.000574653 +*RES +1 *23508:Z *3382:11 38.8843 +2 *3382:11 *3382:15 44.0456 +3 *3382:15 *3382:16 52.4928 +4 *3382:16 *5514:DIODE 14.4725 +5 *3382:16 *22996:A 17.6574 +*END + +*D_NET *3383 0.0187507 +*CONN +*I *22997:A I *D sky130_fd_sc_hd__buf_2 +*I *5515:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23509:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *22997:A 0.000187808 +2 *5515:DIODE 4.21492e-05 +3 *23509:Z 0.000638765 +4 *3383:28 0.00265544 +5 *3383:27 0.00242548 +6 *3383:25 0.00204986 +7 *3383:16 0.00268862 +8 *22742:A *22997:A 0 +9 *23519:TE *3383:16 1.25173e-05 +10 *1368:31 *3383:25 0.00123487 +11 *1904:26 *3383:25 0.000611234 +12 *1906:10 *3383:25 0.000217951 +13 *2025:13 *3383:16 2.2979e-05 +14 *2331:12 *3383:16 0.00141631 +15 *2380:8 *3383:16 5.81031e-05 +16 *2651:8 *3383:16 0.000408876 +17 *2807:8 *22997:A 0.000172199 +18 *2807:8 *3383:28 0.000990724 +19 *2867:12 *3383:16 0 +20 *2869:50 *3383:28 0.000144946 +21 *2870:67 *3383:28 0 +22 *2983:27 *22997:A 6.50727e-05 +23 *2988:21 *22997:A 6.50727e-05 +24 *3002:17 *5515:DIODE 0.00011818 +25 *3093:27 *3383:25 0.000472832 +26 *3095:24 *3383:16 5.36085e-05 +27 *3100:19 *3383:16 0.000461488 +28 *3100:20 *3383:28 0 +29 *3109:32 *3383:16 1.99184e-05 +30 *3113:28 *3383:16 0.000214931 +31 *3162:52 *3383:28 0.000536877 +32 *3382:15 *3383:25 0.000763873 +*RES +1 *23509:Z *3383:16 37.3385 +2 *3383:16 *3383:25 49.625 +3 *3383:25 *3383:27 4.5 +4 *3383:27 *3383:28 52.4928 +5 *3383:28 *5515:DIODE 15.0271 +6 *3383:28 *22997:A 18.3808 +*END + +*D_NET *3384 0.0770715 +*CONN +*I *6172:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23433:A I *D sky130_fd_sc_hd__nand2_1 +*I *22236:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6172:DIODE 9.20532e-06 +2 *23433:A 0.000250068 +3 *22236:X 0 +4 *3384:29 0.00341045 +5 *3384:23 0.00822241 +6 *3384:6 0.00844136 +7 *3384:5 0.00337012 +8 *3384:23 *3395:35 6.74182e-05 +9 la_oenb_core[64] *3384:6 1.72919e-05 +10 *4425:DIODE *3384:6 1.03079e-05 +11 *5021:DIODE *3384:6 0 +12 *22554:A *3384:6 0 +13 *22567:A *3384:6 4.88764e-06 +14 *23305:B *23433:A 0 +15 *23306:A *6172:DIODE 1.91246e-05 +16 *1147:23 *3384:23 0.000470681 +17 *1151:63 *3384:29 0.00285648 +18 *1153:33 *3384:23 0.00051197 +19 *1153:44 *3384:29 0.0046381 +20 *1153:45 *3384:29 0.00020476 +21 *1168:36 *3384:23 0.000976068 +22 *1323:10 *3384:23 0.000133887 +23 *2037:11 *6172:DIODE 1.91246e-05 +24 *2069:29 *3384:6 2.27135e-05 +25 *2084:15 *3384:23 0.000110257 +26 *2124:33 *3384:23 0.000538416 +27 *2208:19 *3384:29 0.00173204 +28 *2212:19 *3384:29 0.000645569 +29 *2213:20 *3384:29 0.000287079 +30 *2218:20 *3384:29 0.000239846 +31 *2221:19 *3384:29 0.000397077 +32 *2223:19 *3384:29 3.23488e-05 +33 *2237:27 *3384:23 0.000739671 +34 *2237:27 *3384:29 0.0024009 +35 *2242:23 *3384:23 0.00271526 +36 *2251:25 *3384:23 0.00536854 +37 *2252:27 *3384:23 0.00512942 +38 *2258:25 *3384:23 0.00397068 +39 *2261:21 *3384:23 0.00392996 +40 *2304:17 *3384:6 0 +41 *2327:7 *3384:6 0 +42 *2327:11 *3384:6 0 +43 *2343:8 *3384:23 0.00101741 +44 *2365:39 *23433:A 0.000485869 +45 *2365:39 *3384:29 0.00554133 +46 *2467:8 *3384:6 0 +47 *2467:10 *3384:6 0.000978585 +48 *2492:28 *3384:23 0.00416599 +49 *2998:130 *3384:6 0.000256807 +50 *3158:35 *3384:6 0.000492484 +51 *3306:34 *23433:A 0.000145563 +52 *3306:34 *3384:23 0.000785244 +53 *3306:34 *3384:29 0.0012012 +54 *3350:47 *3384:29 9.58396e-05 +55 *3350:52 *3384:29 1.16257e-05 +*RES +1 *22236:X *3384:5 13.7491 +2 *3384:5 *3384:6 73.463 +3 *3384:6 *3384:23 42.4232 +4 *3384:23 *3384:29 15.905 +5 *3384:29 *23433:A 18.5736 +6 *3384:29 *6172:DIODE 17.4965 +*END + +*D_NET *3385 0.0125099 +*CONN +*I *22998:A I *D sky130_fd_sc_hd__buf_2 +*I *5516:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23510:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22998:A 0.000223103 +2 *5516:DIODE 2.80066e-05 +3 *23510:Z 0.00104348 +4 *3385:12 0.00316469 +5 *3385:11 0.00395706 +6 *22998:A *3388:14 2.1203e-06 +7 *3385:12 *3388:14 0.00124372 +8 *3385:12 *3413:8 5.10454e-05 +9 *6327:DIODE *3385:11 0.000142194 +10 *23536:A *3385:12 0 +11 *1937:11 *3385:12 0 +12 *2017:18 *3385:11 0.000211492 +13 *2818:8 *22998:A 0 +14 *2818:8 *3385:12 0 +15 *2851:28 *3385:11 0.000260388 +16 *3002:17 *5516:DIODE 6.50727e-05 +17 *3100:20 *3385:12 0 +18 *3101:20 *22998:A 0 +19 *3111:15 *3385:11 6.14069e-05 +20 *3111:17 *3385:11 0.000878205 +21 *3113:47 *3385:12 2.46281e-05 +22 *3119:18 *3385:12 0.00101093 +23 *3119:22 *3385:12 0.000142343 +*RES +1 *23510:Z *3385:11 33.522 +2 *3385:11 *3385:12 63.7046 +3 *3385:12 *5516:DIODE 14.4725 +4 *3385:12 *22998:A 17.6574 +*END + +*D_NET *3386 0.00694374 +*CONN +*I *22999:A I *D sky130_fd_sc_hd__buf_2 +*I *5517:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23511:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *22999:A 0.000114244 +2 *5517:DIODE 3.91997e-05 +3 *23511:Z 0.000918489 +4 *3386:9 0.00103491 +5 *3386:8 0.00179996 +6 *3386:8 *3389:26 0.00038459 +7 *3386:8 *3392:10 0.00111107 +8 *2990:17 *5517:DIODE 6.92705e-05 +9 *2990:17 *3386:9 0.00143124 +10 *2995:50 *22999:A 2.20585e-05 +11 *3103:36 *22999:A 1.8714e-05 +*RES +1 *23511:Z *3386:8 42.0895 +2 *3386:8 *3386:9 15.6977 +3 *3386:9 *5517:DIODE 9.97254 +4 *3386:9 *22999:A 20.4964 +*END + +*D_NET *3387 0.0220691 +*CONN +*I *23000:A I *D sky130_fd_sc_hd__buf_2 +*I *5518:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23512:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23000:A 0.000629875 +2 *5518:DIODE 0 +3 *23512:Z 0.000521836 +4 *3387:14 0.00103748 +5 *3387:11 0.00339612 +6 *3387:10 0.00428164 +7 *3387:7 0.00181496 +8 *6593:DIODE *23000:A 0 +9 *23504:A *3387:7 0.00011818 +10 *2361:10 *3387:14 0 +11 *2367:27 *3387:11 0.00030663 +12 *2370:6 *3387:10 0.000200218 +13 *2384:26 *3387:10 0.000285708 +14 *2392:6 *3387:10 0.000258848 +15 *2818:19 *3387:7 0.00293663 +16 *2829:26 *3387:10 0.000147884 +17 *2838:41 *3387:7 0.00044386 +18 *2843:41 *3387:7 0.000144932 +19 *2962:8 *23000:A 0 +20 *2962:8 *3387:14 0 +21 *2998:56 *23000:A 0.000100598 +22 *3000:8 *23000:A 0 +23 *3107:9 *3387:11 0.00511532 +24 *3378:5 *3387:7 0.000328363 +*RES +1 *23512:Z *3387:7 44.9757 +2 *3387:7 *3387:10 36.2302 +3 *3387:10 *3387:11 54.5199 +4 *3387:11 *3387:14 11.315 +5 *3387:14 *5518:DIODE 13.7491 +6 *3387:14 *23000:A 25.6205 +*END + +*D_NET *3388 0.00766393 +*CONN +*I *5519:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23001:A I *D sky130_fd_sc_hd__buf_2 +*I *23513:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5519:DIODE 3.27515e-05 +2 *23001:A 0.000205145 +3 *23513:Z 0.0010337 +4 *3388:19 0.000905837 +5 *3388:14 0.00170164 +6 *21473:A *3388:14 5.65123e-05 +7 *22998:A *3388:14 2.1203e-06 +8 *2386:33 *3388:14 0.000217923 +9 *2589:18 *3388:14 5.81031e-05 +10 *2851:8 *23001:A 5.42026e-05 +11 *2995:50 *23001:A 5.15415e-05 +12 *3002:17 *3388:19 0.000809857 +13 *3006:45 *3388:14 0.000193189 +14 *3008:29 *23001:A 1.91391e-05 +15 *3104:19 *23001:A 4.64786e-05 +16 *3112:23 *5519:DIODE 6.50727e-05 +17 *3112:23 *3388:19 0.000579046 +18 *3119:22 *3388:14 0.000387958 +19 *3385:12 *3388:14 0.00124372 +*RES +1 *23513:Z *3388:14 47.872 +2 *3388:14 *3388:19 15.8906 +3 *3388:19 *23001:A 22.5727 +4 *3388:19 *5519:DIODE 9.97254 +*END + +*D_NET *3389 0.04387 +*CONN +*I *23002:A I *D sky130_fd_sc_hd__buf_2 +*I *5520:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23514:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23002:A 0.00028725 +2 *5520:DIODE 7.14857e-05 +3 *23514:Z 0 +4 *3389:26 0.00348586 +5 *3389:25 0.00477752 +6 *3389:22 0.00219745 +7 *3389:13 0.00295387 +8 *3389:10 0.00249767 +9 *3389:5 0.00103991 +10 *3389:4 0.00094907 +11 *3389:10 *3394:8 7.09666e-06 +12 *3389:26 *3392:10 0.000121843 +13 *3389:26 *3433:15 0 +14 la_oenb_core[21] *23002:A 5.88009e-05 +15 *22291:A *3389:5 2.65831e-05 +16 *22747:A *23002:A 0 +17 *23519:A *3389:10 1.37385e-05 +18 *23554:A *3389:26 5.78953e-05 +19 *1373:25 *3389:5 0.000113951 +20 *1373:25 *3389:13 0.00385681 +21 *1382:18 *3389:10 3.5534e-06 +22 *1383:9 *3389:5 0.0056474 +23 *1417:8 *3389:26 0.000424417 +24 *1900:10 *3389:22 0.00028953 +25 *1900:10 *3389:25 0.00264072 +26 *1900:19 *3389:22 9.36879e-05 +27 *1920:7 *3389:26 0 +28 *1920:19 *3389:26 8.24055e-05 +29 *1937:11 *3389:22 0 +30 *1941:7 *3389:26 0 +31 *1944:17 *3389:26 0 +32 *2023:37 *3389:26 6.34564e-05 +33 *2586:12 *3389:22 0.000191722 +34 *2587:28 *3389:22 0 +35 *2795:15 *3389:22 0.000377273 +36 *2862:8 *23002:A 0 +37 *2862:8 *3389:26 0 +38 *2862:12 *3389:26 0 +39 *2940:28 *3389:10 2.05972e-05 +40 *2985:45 *23002:A 6.50727e-05 +41 *2988:21 *5520:DIODE 0.00011818 +42 *2998:77 *23002:A 6.50727e-05 +43 *3093:14 *3389:5 0.00207957 +44 *3100:20 *3389:22 6.7034e-05 +45 *3109:32 *3389:10 4.15661e-05 +46 *3111:5 *3389:5 0.00716308 +47 *3113:29 *3389:22 0.00153426 +48 *3386:8 *3389:26 0.00038459 +*RES +1 *23514:Z *3389:4 9.24915 +2 *3389:4 *3389:5 84.4685 +3 *3389:5 *3389:10 10.832 +4 *3389:10 *3389:13 46.8187 +5 *3389:13 *3389:22 36.0471 +6 *3389:22 *3389:25 33.5082 +7 *3389:25 *3389:26 61.2131 +8 *3389:26 *5520:DIODE 15.0271 +9 *3389:26 *23002:A 19.2113 +*END + +*D_NET *3390 0.0149559 +*CONN +*I *23003:A I *D sky130_fd_sc_hd__buf_2 +*I *5521:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23515:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23003:A 0.000455692 +2 *5521:DIODE 0 +3 *23515:Z 0 +4 *3390:11 0.00212846 +5 *3390:5 0.00297172 +6 *3390:4 0.00129895 +7 *3390:5 *3402:9 0.00524894 +8 *23511:TE *3390:11 1.12969e-05 +9 *23515:TE *3390:5 0.000217937 +10 *2018:19 *3390:11 5.58829e-05 +11 *2773:15 *3390:5 0.000128091 +12 *2873:8 *23003:A 5.30643e-05 +13 *2873:8 *3390:11 0.000235802 +14 *2895:11 *3390:5 0.00135883 +15 *2983:27 *23003:A 6.92705e-05 +16 *2995:39 *23003:A 0 +17 *3105:18 *3390:11 0.000279614 +18 *3107:12 *23003:A 0 +19 *3112:23 *23003:A 6.50586e-05 +20 *3112:23 *3390:11 0.000377273 +*RES +1 *23515:Z *3390:4 9.24915 +2 *3390:4 *3390:5 65.612 +3 *3390:5 *3390:11 42.7049 +4 *3390:11 *5521:DIODE 9.24915 +5 *3390:11 *23003:A 26.205 +*END + +*D_NET *3391 0.0157986 +*CONN +*I *5522:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23004:A I *D sky130_fd_sc_hd__buf_2 +*I *23516:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5522:DIODE 0 +2 *23004:A 0.000339327 +3 *23516:Z 0.000508548 +4 *3391:23 0.000435795 +5 *3391:20 0.00242533 +6 *3391:18 0.0032802 +7 *3391:7 0.00145989 +8 la_oenb_core[23] *23004:A 2.00098e-05 +9 *3794:DIODE *3391:7 4.66492e-05 +10 *22749:A *23004:A 0 +11 *23516:TE *3391:7 0.000419696 +12 *1411:10 *3391:18 7.48897e-05 +13 *1900:10 *3391:7 0.000793577 +14 *1925:27 *3391:18 0.000177136 +15 *1926:10 *3391:7 0.000678697 +16 *1928:21 *3391:18 0 +17 *1929:19 *3391:18 3.55731e-06 +18 *1935:24 *3391:7 0.00121399 +19 *1935:24 *3391:18 3.29488e-05 +20 *1937:11 *3391:18 0 +21 *1940:25 *3391:18 0.000116176 +22 *2024:41 *3391:20 1.91391e-05 +23 *2063:29 *3391:20 0 +24 *2129:47 *3391:18 7.44425e-06 +25 *2129:55 *3391:18 5.29052e-05 +26 *2439:22 *3391:20 0 +27 *2483:20 *3391:20 0.000236988 +28 *2870:67 *3391:20 3.29488e-05 +29 *2877:43 *3391:7 0.000260325 +30 *2884:10 *23004:A 1.30901e-05 +31 *2884:19 *23004:A 2.54678e-05 +32 *2884:19 *3391:20 0 +33 *2929:21 *3391:20 0.000133175 +34 *2995:39 *23004:A 0.000114594 +35 *2995:39 *3391:23 0.000164762 +36 *3062:27 *3391:20 8.44387e-05 +37 *3108:18 *3391:18 6.39153e-06 +38 *3108:18 *3391:20 0.000590596 +39 *3113:47 *3391:7 0.00200747 +40 *3113:51 *3391:7 2.24789e-05 +41 *3123:50 *3391:20 0 +*RES +1 *23516:Z *3391:7 49.9672 +2 *3391:7 *3391:18 18.8451 +3 *3391:18 *3391:20 46.8869 +4 *3391:20 *3391:23 6.3326 +5 *3391:23 *23004:A 24.2659 +6 *3391:23 *5522:DIODE 9.24915 +*END + +*D_NET *3392 0.0112698 +*CONN +*I *5523:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23005:A I *D sky130_fd_sc_hd__buf_2 +*I *23517:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5523:DIODE 0.000132306 +2 *23005:A 0.000104344 +3 *23517:Z 0.000130707 +4 *3392:11 0.00161298 +5 *3392:10 0.00300738 +6 *3392:7 0.00176176 +7 *22750:A *23005:A 0.000101503 +8 *1907:16 *3392:7 0.000377134 +9 *2990:17 *5523:DIODE 0.000204502 +10 *2990:17 *3392:11 0.00226685 +11 *3105:18 *3392:10 0.000337381 +12 *3386:8 *3392:10 0.00111107 +13 *3389:26 *3392:10 0.000121843 +*RES +1 *23517:Z *3392:7 17.8002 +2 *3392:7 *3392:10 41.6284 +3 *3392:10 *3392:11 24.5714 +4 *3392:11 *23005:A 20.4964 +5 *3392:11 *5523:DIODE 11.6364 +*END + +*D_NET *3393 0.00930202 +*CONN +*I *5524:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23006:A I *D sky130_fd_sc_hd__buf_2 +*I *23518:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5524:DIODE 2.26598e-05 +2 *23006:A 0.000238056 +3 *23518:Z 3.28454e-05 +4 *3393:15 0.000772225 +5 *3393:10 0.00240202 +6 *3393:7 0.00192336 +7 *3393:7 *3413:9 0.000116 +8 la_data_in_core[26] *23006:A 1.05934e-05 +9 la_oenb_core[25] *23006:A 2.00098e-05 +10 *22748:A *3393:10 0 +11 *22751:A *23006:A 0 +12 *1933:7 *3393:10 0 +13 *2884:19 *3393:10 0.00197434 +14 *2906:6 *23006:A 0 +15 *2983:27 *3393:15 0.000559437 +16 *2986:13 *3393:15 1.41689e-05 +17 *2995:21 *5524:DIODE 2.65831e-05 +18 *2995:21 *3393:15 0.000615785 +19 *2995:39 *3393:15 0.000565895 +20 *3107:12 *3393:10 0 +21 *3123:50 *3393:10 8.03676e-06 +*RES +1 *23518:Z *3393:7 15.0271 +2 *3393:7 *3393:10 47.442 +3 *3393:10 *3393:15 18.6636 +4 *3393:15 *23006:A 22.5727 +5 *3393:15 *5524:DIODE 9.97254 +*END + +*D_NET *3394 0.0313818 +*CONN +*I *23007:A I *D sky130_fd_sc_hd__buf_2 +*I *5525:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23519:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23007:A 0.000240764 +2 *5525:DIODE 1.23957e-05 +3 *23519:Z 0.000101246 +4 *3394:38 0.000638682 +5 *3394:35 0.00185772 +6 *3394:34 0.00331302 +7 *3394:30 0.00270798 +8 *3394:23 0.00242934 +9 *3394:12 0.00209427 +10 *3394:8 0.000633329 +11 *22315:TE *3394:35 0.000115934 +12 *23516:A *3394:23 0.00011818 +13 *23516:A *3394:30 0 +14 *1279:11 *3394:38 7.09666e-06 +15 *1383:9 *3394:12 0.00360417 +16 *1404:10 *3394:30 1.79778e-05 +17 *1791:9 *3394:35 1.00981e-05 +18 *1794:6 *3394:23 9.17849e-06 +19 *1900:10 *3394:23 0.000185017 +20 *2042:19 *3394:30 7.20173e-06 +21 *2389:17 *3394:35 0.00519083 +22 *2439:34 *3394:34 4.13487e-05 +23 *2483:12 *3394:30 0 +24 *2586:12 *3394:12 1.3239e-05 +25 *2829:6 *3394:30 0.000195709 +26 *2829:6 *3394:34 0.000593628 +27 *2866:46 *3394:30 0 +28 *2876:42 *3394:30 0.00035615 +29 *2918:12 *23007:A 0 +30 *2918:12 *3394:38 0 +31 *2940:28 *3394:8 0.000126497 +32 *2995:21 *23007:A 6.50727e-05 +33 *3002:17 *5525:DIODE 0.00011818 +34 *3103:36 *3394:34 0 +35 *3109:32 *3394:8 4.11e-05 +36 *3109:40 *3394:12 7.09666e-06 +37 *3111:5 *3394:12 0.00361259 +38 *3112:34 *5525:DIODE 0.00011818 +39 *3113:29 *3394:23 0.001942 +40 *3113:47 *3394:23 0.000704266 +41 *3119:18 *3394:23 5.15415e-05 +42 *3121:22 *23007:A 0 +43 *3121:22 *3394:38 0 +44 *3121:35 *23007:A 2.65831e-05 +45 *3135:17 *3394:34 3.63593e-05 +46 *3142:11 *3394:30 0 +47 *3217:26 *3394:12 3.07029e-05 +48 *3389:10 *3394:8 7.09666e-06 +*RES +1 *23519:Z *3394:8 20.9116 +2 *3394:8 *3394:12 45.8776 +3 *3394:12 *3394:23 49.8423 +4 *3394:23 *3394:30 18.9184 +5 *3394:30 *3394:34 41.1277 +6 *3394:34 *3394:35 56.1838 +7 *3394:35 *3394:38 10.4845 +8 *3394:38 *5525:DIODE 15.0271 +9 *3394:38 *23007:A 18.3808 +*END + +*D_NET *3395 0.0788892 +*CONN +*I *23434:A I *D sky130_fd_sc_hd__nand2_1 +*I *6174:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22237:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23434:A 5.97702e-05 +2 *6174:DIODE 0 +3 *22237:X 0.00108379 +4 *3395:42 0.00320703 +5 *3395:35 0.00583759 +6 *3395:26 0.00786709 +7 *3395:17 0.00626055 +8 *3395:17 *23047:A 0.000114141 +9 *3395:17 *23049:A 0 +10 *3395:17 *23050:A 6.01588e-05 +11 *3395:17 *3406:8 0 +12 *3395:17 *3438:50 1.9101e-05 +13 *3395:17 *3443:10 4.69495e-06 +14 la_data_in_core[66] *3395:17 1.21831e-05 +15 la_oenb_core[65] *3395:17 1.83676e-05 +16 *6175:DIODE *23434:A 0.000227182 +17 *23449:A *3395:42 1.32779e-05 +18 *1148:35 *3395:35 0.000354817 +19 *1157:27 *23434:A 0.000491303 +20 *1157:27 *3395:35 0.000385942 +21 *1157:27 *3395:42 0.000156964 +22 *1175:45 *3395:35 0.000385942 +23 *1979:19 *3395:26 0.00249736 +24 *1980:19 *3395:42 1.91391e-05 +25 *1980:22 *3395:42 0.00020476 +26 *1992:12 *3395:26 0.000643235 +27 *2037:7 *3395:26 0.000441193 +28 *2081:27 *3395:26 0.00168858 +29 *2087:46 *3395:26 5.13735e-05 +30 *2251:25 *3395:35 0.00574552 +31 *2252:27 *3395:35 0.00171934 +32 *2255:19 *3395:35 5.83596e-05 +33 *2365:13 *3395:35 0.00183651 +34 *2365:27 *3395:42 0.00535364 +35 *2397:7 *23434:A 0.000119957 +36 *2415:26 *3395:35 0.000379178 +37 *2415:26 *3395:42 0.000114397 +38 *2437:23 *3395:35 7.19088e-05 +39 *2460:33 *3395:26 0 +40 *2460:33 *3395:35 0.000467433 +41 *2498:36 *3395:26 0.000477617 +42 *2987:17 *3395:17 0.00336353 +43 *3005:55 *3395:17 0.00220471 +44 *3007:70 *3395:17 0.00281407 +45 *3008:58 *3395:17 0.000770304 +46 *3306:34 *3395:35 0.00102784 +47 *3306:34 *3395:42 0.00990652 +48 *3339:34 *3395:42 0.00454777 +49 *3362:26 *3395:26 0.00573763 +50 *3384:23 *3395:35 6.74182e-05 +*RES +1 *22237:X *3395:17 37.989 +2 *3395:17 *3395:26 37.7863 +3 *3395:26 *3395:35 37.6808 +4 *3395:35 *3395:42 22.2567 +5 *3395:42 *6174:DIODE 9.24915 +6 *3395:42 *23434:A 14.9881 +*END + +*D_NET *3396 0.00778403 +*CONN +*I *23008:A I *D sky130_fd_sc_hd__buf_2 +*I *5526:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23520:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23008:A 0.000150882 +2 *5526:DIODE 0 +3 *23520:Z 0 +4 *3396:6 0.00254638 +5 *3396:5 0.0023955 +6 la_data_in_core[28] *23008:A 1.75682e-05 +7 *21605:A *3396:6 3.3238e-05 +8 *22753:A *23008:A 0 +9 *1288:10 *3396:6 0.000104011 +10 *1404:24 *3396:6 1.37385e-05 +11 *1412:11 *3396:6 0 +12 *1907:11 *3396:6 0.000544754 +13 *1925:33 *3396:6 0.00041792 +14 *1933:15 *3396:6 1.05855e-05 +15 *2462:34 *3396:6 7.69842e-05 +16 *2878:40 *3396:6 0.00053762 +17 *2880:43 *3396:6 7.56514e-05 +18 *2929:9 *23008:A 3.81944e-05 +19 *2929:9 *3396:6 0.00059307 +20 *2985:45 *23008:A 0.000113968 +21 *2998:77 *23008:A 0.000113968 +22 *3112:34 *23008:A 0 +23 *3112:34 *3396:6 0 +*RES +1 *23520:Z *3396:5 13.7491 +2 *3396:5 *3396:6 53.7385 +3 *3396:6 *5526:DIODE 13.7491 +4 *3396:6 *23008:A 17.6896 +*END + +*D_NET *3397 0.0139662 +*CONN +*I *5527:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23009:A I *D sky130_fd_sc_hd__buf_2 +*I *23521:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5527:DIODE 0.000229083 +2 *23009:A 0 +3 *23521:Z 6.0087e-05 +4 *3397:17 0.00148964 +5 *3397:10 0.00310693 +6 *3397:7 0.00190646 +7 *3397:10 *3400:8 0.000272715 +8 *3397:17 *3400:13 0.000515174 +9 *21481:A *3397:17 1.82679e-05 +10 *1383:15 *3397:10 0 +11 *1385:22 *3397:10 0.000105313 +12 *1387:42 *3397:10 4.22029e-05 +13 *1800:8 *3397:10 0.000260661 +14 *1923:20 *3397:10 0 +15 *1941:26 *3397:10 0.00012774 +16 *2176:30 *3397:7 0.000470541 +17 *2455:25 *3397:17 1.4249e-05 +18 *2918:19 *3397:10 0.00114753 +19 *2929:9 *3397:17 0 +20 *2940:10 *5527:DIODE 3.21056e-05 +21 *2973:15 *3397:17 3.99086e-06 +22 *2995:21 *5527:DIODE 6.50586e-05 +23 *2995:21 *3397:17 0.00110144 +24 *3111:34 *3397:10 0.000821447 +25 *3111:36 *3397:10 1.69718e-05 +26 *3111:36 *3397:17 0.00110932 +27 *3121:35 *5527:DIODE 1.92172e-05 +28 *3121:35 *3397:17 0.000479371 +29 *3131:9 *3397:7 0.000466329 +30 *3147:14 *3397:10 8.43701e-05 +*RES +1 *23521:Z *3397:7 18.9094 +2 *3397:7 *3397:10 49.5182 +3 *3397:10 *3397:17 46.9037 +4 *3397:17 *23009:A 9.24915 +5 *3397:17 *5527:DIODE 22.8808 +*END + +*D_NET *3398 0.0110707 +*CONN +*I *5528:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23010:A I *D sky130_fd_sc_hd__buf_2 +*I *23522:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5528:DIODE 0 +2 *23010:A 0.000405078 +3 *23522:Z 0.00106616 +4 *3398:13 0.00071301 +5 *3398:12 0.00180681 +6 *3398:8 0.00256504 +7 *3398:8 *3404:8 0.000814016 +8 *3398:8 *3404:12 8.66022e-05 +9 *3398:12 *3404:12 0.0016042 +10 *3398:13 *5530:DIODE 0.000164843 +11 la_data_in_core[30] *23010:A 6.22114e-05 +12 *22326:TE *3398:12 3.92776e-05 +13 *22756:A *23010:A 2.90989e-05 +14 *1802:8 *3398:12 0.000231234 +15 *1925:39 *3398:8 0.000212334 +16 *1925:39 *3398:12 6.39754e-06 +17 *2029:34 *3398:8 0 +18 *2601:20 *3398:8 5.11321e-05 +19 *2951:12 *23010:A 6.25042e-05 +20 *2985:45 *23010:A 6.08467e-05 +21 *2998:77 *23010:A 6.08467e-05 +22 *3002:17 *3398:13 0.000991017 +23 *3029:24 *3398:12 0 +24 *3115:40 *23010:A 2.43621e-05 +25 *3116:40 *3398:12 1.36318e-05 +26 *3118:16 *3398:8 0 +27 *3118:16 *3398:12 0 +*RES +1 *23522:Z *3398:8 38.5299 +2 *3398:8 *3398:12 40.175 +3 *3398:12 *3398:13 10.7063 +4 *3398:13 *23010:A 26.6181 +5 *3398:13 *5528:DIODE 9.24915 +*END + +*D_NET *3399 0.0271692 +*CONN +*I *23011:A I *D sky130_fd_sc_hd__buf_2 +*I *5529:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23523:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23011:A 0.000640471 +2 *5529:DIODE 4.45914e-05 +3 *23523:Z 3.8144e-05 +4 *3399:10 0.00273244 +5 *3399:9 0.00204738 +6 *3399:7 0.00555967 +7 *3399:5 0.00559781 +8 la_data_in_core[3] *23011:A 2.28746e-05 +9 la_oenb_core[2] *23011:A 1.51891e-05 +10 *22755:A *23011:A 0 +11 *22766:A *23011:A 0.000105015 +12 *23660:B *23011:A 3.83492e-06 +13 *1430:25 *3399:7 0.00271765 +14 *1875:8 *23011:A 0 +15 *2444:17 *5529:DIODE 6.08467e-05 +16 *2488:47 *23011:A 8.72256e-06 +17 *2844:29 *3399:7 0.000875963 +18 *2973:18 *3399:10 0.000562709 +19 *2980:9 *23011:A 2.57847e-05 +20 *2989:48 *23011:A 9.84424e-06 +21 *3001:29 *23011:A 2.57847e-05 +22 *3099:11 *3399:7 0.000340318 +23 *3112:8 *3399:10 0.000442722 +24 *3112:12 *23011:A 0.000106762 +25 *3112:12 *3399:10 0.000648048 +26 *3114:22 *3399:10 0.000155342 +27 *3200:33 *3399:7 0.000310793 +28 *3343:7 *3399:7 0.00407048 +*RES +1 *23523:Z *3399:5 10.2378 +2 *3399:5 *3399:7 99.4428 +3 *3399:7 *3399:9 4.5 +4 *3399:9 *3399:10 47.925 +5 *3399:10 *5529:DIODE 14.4725 +6 *3399:10 *23011:A 26.5881 +*END + +*D_NET *3400 0.0163309 +*CONN +*I *23012:A I *D sky130_fd_sc_hd__buf_2 +*I *5530:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23524:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23012:A 0.000259406 +2 *5530:DIODE 0.000106116 +3 *23524:Z 0 +4 *3400:16 0.000827449 +5 *3400:13 0.00143176 +6 *3400:8 0.00290771 +7 *3400:5 0.00193788 +8 *1385:22 *3400:8 0.000106696 +9 *1909:7 *3400:8 0.000198415 +10 *1909:7 *3400:13 0.000598302 +11 *2075:27 *3400:13 0.000108607 +12 *2452:19 *3400:13 0.00247158 +13 *2474:33 *3400:13 0.00246501 +14 *2863:24 *3400:8 2.27135e-05 +15 *2863:41 *3400:8 0.000407419 +16 *2929:9 *3400:13 0 +17 *2973:14 *23012:A 5.88975e-05 +18 *2973:14 *3400:16 0.000141326 +19 *2988:52 *23012:A 0.000113968 +20 *2995:21 *23012:A 0.000113968 +21 *3111:34 *3400:8 0.000793005 +22 *3111:34 *3400:13 4.0605e-06 +23 *3111:36 *3400:13 0.000104129 +24 *3115:40 *23012:A 0 +25 *3115:40 *3400:16 0 +26 *3147:14 *3400:8 9.66809e-05 +27 *3284:30 *3400:8 0.000103047 +28 *3397:10 *3400:8 0.000272715 +29 *3397:17 *3400:13 0.000515174 +30 *3398:13 *5530:DIODE 0.000164843 +*RES +1 *23524:Z *3400:5 13.7491 +2 *3400:5 *3400:8 43.1984 +3 *3400:8 *3400:13 49.8001 +4 *3400:13 *3400:16 13.3913 +5 *3400:16 *5530:DIODE 15.5817 +6 *3400:16 *23012:A 19.3507 +*END + +*D_NET *3401 0.0103538 +*CONN +*I *23013:A I *D sky130_fd_sc_hd__buf_2 +*I *5531:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23525:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23013:A 0.000130697 +2 *5531:DIODE 1.5337e-05 +3 *23525:Z 0 +4 *3401:15 0.000648295 +5 *3401:6 0.00281855 +6 *3401:5 0.00231628 +7 *23013:A *3404:19 6.9787e-05 +8 *3401:6 *3403:6 0.00244608 +9 *22758:A *23013:A 1.48605e-05 +10 *22759:A *3401:6 0 +11 *1293:13 *3401:6 0 +12 *1422:11 *3401:6 9.32331e-05 +13 *2983:56 *5531:DIODE 2.65831e-05 +14 *2983:56 *3401:15 0.000151569 +15 *2984:14 *23013:A 0.000160769 +16 *2989:51 *5531:DIODE 6.08467e-05 +17 *2989:51 *3401:15 0.000128521 +18 *3002:28 *23013:A 7.3844e-06 +19 *3002:29 *3401:15 0.00126496 +20 *3129:26 *3401:6 0 +*RES +1 *23525:Z *3401:5 13.7491 +2 *3401:5 *3401:6 52.4928 +3 *3401:6 *3401:15 21.1234 +4 *3401:15 *5531:DIODE 9.97254 +5 *3401:15 *23013:A 21.7421 +*END + +*D_NET *3402 0.0397659 +*CONN +*I *23014:A I *D sky130_fd_sc_hd__buf_2 +*I *5532:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23526:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23014:A 1.54421e-05 +2 *5532:DIODE 0 +3 *23526:Z 0 +4 *3402:28 0.00157653 +5 *3402:23 0.00208276 +6 *3402:16 0.00149612 +7 *3402:9 0.00442836 +8 *3402:8 0.00345392 +9 *3402:6 0.00286917 +10 *3402:5 0.00286917 +11 *3402:16 *3436:5 0.00193386 +12 *3795:DIODE *3402:23 2.33638e-05 +13 *4590:DIODE *3402:16 6.92705e-05 +14 *22314:A *3402:6 3.58525e-05 +15 *22322:TE *3402:16 0.000118166 +16 *22759:A *3402:28 0 +17 *23557:A *3402:16 0.000122497 +18 *23557:TE *3402:16 0.000113107 +19 *1276:9 *3402:6 0.000488766 +20 *1278:6 *3402:6 0.000588145 +21 *1286:9 *3402:16 0.000555259 +22 *1412:11 *3402:23 0.000164829 +23 *1420:9 *3402:16 0.000348632 +24 *2176:45 *3402:6 0 +25 *2386:25 *3402:23 0.00353289 +26 *2386:31 *3402:23 7.21718e-05 +27 *2454:76 *3402:16 3.89381e-05 +28 *2465:15 *3402:23 0.00012601 +29 *2583:20 *3402:6 0 +30 *2784:6 *3402:6 0 +31 *2868:21 *3402:6 3.48896e-05 +32 *2870:79 *3402:23 0.00323148 +33 *2871:20 *3402:6 0.000622026 +34 *2871:38 *3402:6 0.000434565 +35 *2882:39 *3402:16 1.15389e-05 +36 *2895:11 *3402:9 6.91239e-05 +37 *2895:11 *3402:16 0.00119321 +38 *2929:9 *3402:16 0.000115313 +39 *2986:17 *23014:A 0.000171288 +40 *2988:59 *23014:A 0.000171288 +41 *3006:18 *3402:28 0.000482441 +42 *3006:28 *3402:23 1.65872e-05 +43 *3062:27 *3402:16 8.24823e-05 +44 *3097:34 *3402:6 0.000757495 +45 *3136:8 *3402:6 0 +46 *3217:26 *3402:6 0 +47 *3390:5 *3402:9 0.00524894 +*RES +1 *23526:Z *3402:5 13.7491 +2 *3402:5 *3402:6 65.3656 +3 *3402:6 *3402:8 4.5 +4 *3402:8 *3402:9 61.1752 +5 *3402:9 *3402:16 46.9975 +6 *3402:16 *3402:23 46.457 +7 *3402:23 *3402:28 39.0691 +8 *3402:28 *5532:DIODE 9.24915 +9 *3402:28 *23014:A 11.0817 +*END + +*D_NET *3403 0.00929324 +*CONN +*I *23015:A I *D sky130_fd_sc_hd__buf_2 +*I *5533:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23527:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23015:A 0.000224139 +2 *5533:DIODE 3.07124e-05 +3 *23527:Z 0 +4 *3403:6 0.00303531 +5 *3403:5 0.00278046 +6 *6365:DIODE *3403:6 2.75905e-05 +7 *23525:A *3403:6 0 +8 *1388:16 *3403:6 0 +9 *2175:10 *3403:6 7.46823e-05 +10 *3002:29 *5533:DIODE 6.08467e-05 +11 *3120:28 *23015:A 2.89404e-05 +12 *3120:28 *3403:6 0.000584484 +13 *3401:6 *3403:6 0.00244608 +*RES +1 *23527:Z *3403:5 13.7491 +2 *3403:5 *3403:6 65.3656 +3 *3403:6 *5533:DIODE 14.4725 +4 *3403:6 *23015:A 17.6574 +*END + +*D_NET *3404 0.0169358 +*CONN +*I *5534:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23016:A I *D sky130_fd_sc_hd__buf_2 +*I *23528:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5534:DIODE 3.87342e-05 +2 *23016:A 0.000141704 +3 *23528:Z 0.00174784 +4 *3404:19 0.00206863 +5 *3404:12 0.00346445 +6 *3404:8 0.00332409 +7 *23013:A *3404:19 6.9787e-05 +8 *23522:A *3404:8 0 +9 *1922:18 *3404:8 0 +10 *2029:34 *3404:8 0 +11 *2054:19 *3404:8 0 +12 *2601:20 *3404:12 5.57273e-05 +13 *2983:56 *3404:19 1.20172e-05 +14 *2984:14 *3404:19 3.40841e-05 +15 *2989:51 *3404:19 0.000124869 +16 *2990:29 *5534:DIODE 5.31074e-05 +17 *2990:29 *3404:19 0.00193827 +18 *3002:28 *3404:19 2.28746e-05 +19 *3116:40 *3404:8 3.23619e-05 +20 *3116:40 *3404:12 0.000672566 +21 *3119:27 *3404:19 0.000629908 +22 *3398:8 *3404:8 0.000814016 +23 *3398:8 *3404:12 8.66022e-05 +24 *3398:12 *3404:12 0.0016042 +*RES +1 *23528:Z *3404:8 47.9586 +2 *3404:8 *3404:12 45.2802 +3 *3404:12 *3404:19 43.3338 +4 *3404:19 *23016:A 20.4964 +5 *3404:19 *5534:DIODE 9.97254 +*END + +*D_NET *3405 0.012716 +*CONN +*I *5535:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23017:A I *D sky130_fd_sc_hd__buf_2 +*I *23529:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5535:DIODE 8.17369e-06 +2 *23017:A 0.000216093 +3 *23529:Z 0.00046478 +4 *3405:11 0.000302763 +5 *3405:8 0.00377071 +6 *3405:7 0.00415699 +7 la_oenb_core[36] *23017:A 0 +8 *22762:A *23017:A 3.02426e-05 +9 *23527:TE *3405:7 0.000207883 +10 *317:12 *23017:A 9.12416e-06 +11 *1414:20 *3405:8 1.84334e-05 +12 *1926:26 *3405:8 6.27098e-05 +13 *1939:30 *3405:7 0.000520928 +14 *1939:34 *3405:7 9.94284e-06 +15 *1941:35 *3405:8 0.00030113 +16 *2031:33 *3405:8 0 +17 *2125:19 *3405:8 9.46437e-05 +18 *2176:10 *3405:7 0.000356405 +19 *2385:12 *3405:8 0 +20 *2446:34 *3405:8 6.20492e-05 +21 *2980:14 *3405:8 0.000101503 +22 *2986:17 *5535:DIODE 6.3657e-05 +23 *2986:17 *3405:11 0.000729691 +24 *2988:61 *5535:DIODE 5.09367e-05 +25 *2988:61 *3405:11 0.000732487 +26 *3029:8 *3405:8 0.000258534 +27 *3095:14 *3405:8 6.04335e-05 +28 *3123:61 *3405:8 7.67825e-05 +29 *3143:35 *3405:8 3.31182e-05 +30 *3251:24 *3405:8 1.59078e-05 +*RES +1 *23529:Z *3405:7 29.4468 +2 *3405:7 *3405:8 70.3486 +3 *3405:8 *3405:11 12.4332 +4 *3405:11 *23017:A 22.1574 +5 *3405:11 *5535:DIODE 9.97254 +*END + +*D_NET *3406 0.0717931 +*CONN +*I *6176:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23435:A I *D sky130_fd_sc_hd__nand2_1 +*I *22238:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6176:DIODE 0 +2 *23435:A 0.00018554 +3 *22238:X 1.26312e-05 +4 *3406:65 0.000834796 +5 *3406:63 0.00119591 +6 *3406:60 0.00114701 +7 *3406:49 0.000889988 +8 *3406:40 0.00261216 +9 *3406:33 0.00256728 +10 *3406:25 0.000662172 +11 *3406:22 0.00382679 +12 *3406:8 0.00695943 +13 *3406:7 0.00356268 +14 *3406:8 *23050:A 0 +15 *3406:8 *3417:14 0 +16 *3406:8 *3442:18 0 +17 *3406:8 *3443:17 1.03986e-05 +18 *3406:25 *3417:35 0.000149641 +19 *3406:25 *3439:33 0.00390076 +20 *3406:33 *3439:33 0.000402006 +21 *3406:49 *3439:38 7.09666e-06 +22 *3406:65 *3417:43 0.000120873 +23 *4427:DIODE *3406:8 9.66954e-05 +24 *5934:DIODE *3406:63 0.000224395 +25 *5941:DIODE *3406:63 0.000268824 +26 *6191:DIODE *3406:63 6.22703e-05 +27 *6191:DIODE *3406:65 1.41976e-05 +28 *6197:DIODE *3406:63 3.57037e-05 +29 *22795:A *3406:7 6.50727e-05 +30 *23314:A *3406:63 0.000158357 +31 *23314:B *3406:63 4.82966e-05 +32 *23324:A *3406:49 1.5714e-05 +33 *23442:B *3406:63 2.53145e-06 +34 *23445:A *3406:60 0.000137518 +35 *23445:A *3406:63 0.000209388 +36 *23456:A *3406:33 0.000106513 +37 *23456:A *3406:40 9.82896e-06 +38 *23459:A *3406:25 0.00011818 +39 *1158:23 *3406:22 0.000507449 +40 *1159:25 *3406:49 0.00129819 +41 *1159:25 *3406:60 0.00112449 +42 *1159:39 *3406:60 6.73341e-05 +43 *1426:9 *3406:8 0 +44 *1561:5 *3406:63 7.97944e-05 +45 *1564:5 *3406:63 0.000265278 +46 *1682:8 *23435:A 2.47779e-05 +47 *1689:11 *3406:65 1.65872e-05 +48 *1693:9 *3406:60 7.4235e-06 +49 *1699:6 *3406:49 5.46889e-05 +50 *1700:9 *3406:40 4.88764e-06 +51 *1712:8 *3406:22 7.08723e-06 +52 *1821:16 *3406:8 0 +53 *2069:29 *3406:22 0.000780051 +54 *2092:16 *3406:63 0.000398266 +55 *2092:24 *3406:60 2.13679e-05 +56 *2092:24 *3406:63 0.000355808 +57 *2092:28 *3406:49 1.33298e-05 +58 *2092:28 *3406:60 0.00256172 +59 *2092:30 *3406:40 0.00379304 +60 *2111:39 *3406:22 0 +61 *2122:39 *3406:22 0.000510761 +62 *2124:33 *3406:22 0 +63 *2132:38 *3406:22 3.94364e-05 +64 *2219:20 *3406:63 4.21651e-05 +65 *2233:23 *3406:49 0.000493934 +66 *2235:35 *3406:40 0.000115848 +67 *2235:35 *3406:49 0.000493934 +68 *2235:36 *3406:33 0.000673666 +69 *2243:23 *3406:22 0 +70 *2246:25 *3406:22 0.00262001 +71 *2331:11 *3406:8 0 +72 *2331:12 *3406:22 4.90055e-05 +73 *2333:14 *3406:22 0.00045904 +74 *2343:8 *3406:22 0.00184463 +75 *2349:51 *3406:49 0.00124623 +76 *2349:57 *3406:63 0.000818407 +77 *2349:64 *3406:63 0.000589769 +78 *2349:64 *3406:65 1.37531e-05 +79 *2444:8 *3406:8 0 +80 *2491:37 *3406:25 9.47862e-06 +81 *2491:37 *3406:33 0.000124137 +82 *2494:35 *3406:25 0.00370741 +83 *2495:18 *3406:22 0.00461878 +84 *2749:12 *23435:A 6.67002e-05 +85 *2764:11 *3406:60 0 +86 *2771:8 *3406:49 4.0605e-06 +87 *2893:81 *3406:8 0 +88 *2908:28 *3406:8 0.000227108 +89 *2908:46 *3406:8 0.000799125 +90 *2925:17 *3406:22 2.33103e-06 +91 *2985:45 *3406:7 2.65831e-05 +92 *3001:134 *3406:8 1.24189e-05 +93 *3140:21 *3406:65 0.00481054 +94 *3140:26 *23435:A 0 +95 *3159:36 *3406:8 0 +96 *3165:16 *3406:8 6.37435e-05 +97 *3170:12 *3406:8 0 +98 *3173:31 *3406:65 0.0053079 +99 *3395:17 *3406:8 0 +*RES +1 *22238:X *3406:7 14.4725 +2 *3406:7 *3406:8 71.802 +3 *3406:8 *3406:22 28.1527 +4 *3406:22 *3406:25 42.6201 +5 *3406:25 *3406:33 13.419 +6 *3406:33 *3406:40 49.8721 +7 *3406:40 *3406:49 24.3523 +8 *3406:49 *3406:60 43.0848 +9 *3406:60 *3406:63 34.0237 +10 *3406:63 *3406:65 57.8476 +11 *3406:65 *23435:A 22.1574 +12 *3406:65 *6176:DIODE 9.24915 +*END + +*D_NET *3407 0.0105469 +*CONN +*I *23018:A I *D sky130_fd_sc_hd__buf_2 +*I *5536:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23530:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23018:A 0.0001693 +2 *5536:DIODE 2.59387e-05 +3 *23530:Z 0 +4 *3407:6 0.00388723 +5 *3407:5 0.003692 +6 *4987:DIODE *3407:6 0 +7 *4988:DIODE *3407:6 0 +8 *23537:TE *3407:6 1.48605e-05 +9 *1163:32 *3407:6 0 +10 *1806:11 *3407:6 7.86526e-05 +11 *2043:23 *3407:6 4.69495e-06 +12 *2171:19 *3407:6 4.45321e-05 +13 *2193:7 *3407:6 0 +14 *2381:18 *3407:6 0 +15 *2982:26 *23018:A 2.41701e-05 +16 *2982:26 *3407:6 4.32837e-05 +17 *3002:29 *5536:DIODE 6.50586e-05 +18 *3040:8 *23018:A 0.000177913 +19 *3040:8 *3407:6 0.00231931 +*RES +1 *23530:Z *3407:5 13.7491 +2 *3407:5 *3407:6 76.5774 +3 *3407:6 *5536:DIODE 14.4725 +4 *3407:6 *23018:A 17.6574 +*END + +*D_NET *3408 0.0255093 +*CONN +*I *23019:A I *D sky130_fd_sc_hd__buf_2 +*I *5537:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23531:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23019:A 0.000275153 +2 *5537:DIODE 0 +3 *23531:Z 0.00662724 +4 *3408:22 0.000353708 +5 *3408:19 0.00670579 +6 la_oenb_core[38] *23019:A 0 +7 *22764:A *23019:A 0 +8 *319:12 *23019:A 7.09666e-06 +9 *1955:8 *3408:19 0.000124641 +10 *1989:52 *3408:19 0.000275465 +11 *2023:19 *3408:19 0.00239419 +12 *2029:30 *3408:19 0.00238969 +13 *2045:17 *3408:19 0 +14 *2051:19 *3408:19 0 +15 *2087:22 *3408:19 0.000114589 +16 *2104:8 *3408:19 3.24689e-05 +17 *2152:23 *3408:19 0 +18 *2190:33 *3408:19 0.00102897 +19 *2207:22 *3408:19 0.000373439 +20 *2209:27 *3408:19 0 +21 *2367:23 *3408:19 1.5714e-05 +22 *2606:29 *3408:19 0.00370997 +23 *2891:18 *3408:19 0.000616986 +24 *2981:31 *3408:19 6.21462e-05 +25 *2985:45 *23019:A 6.50586e-05 +26 *2998:89 *23019:A 6.50586e-05 +27 *3005:43 *3408:19 6.21462e-05 +28 *3124:28 *3408:22 0 +29 *3273:33 *3408:19 0.000209757 +*RES +1 *23531:Z *3408:19 49.9995 +2 *3408:19 *3408:22 4.5779 +3 *3408:22 *5537:DIODE 13.7491 +4 *3408:22 *23019:A 19.2113 +*END + +*D_NET *3409 0.0239372 +*CONN +*I *23020:A I *D sky130_fd_sc_hd__buf_2 +*I *5538:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23532:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23020:A 0.000190327 +2 *5538:DIODE 1.65892e-05 +3 *23532:Z 0.00526346 +4 *3409:19 0.00547038 +5 *6370:DIODE *3409:19 6.50727e-05 +6 *1967:15 *3409:19 2.1203e-06 +7 *1983:19 *3409:19 0.00149734 +8 *2035:20 *3409:19 1.91109e-05 +9 *2043:23 *3409:19 0.00140664 +10 *2076:19 *3409:19 0.00530996 +11 *2199:22 *3409:19 6.98716e-05 +12 *2211:25 *3409:19 0.000812089 +13 *2212:19 *3409:19 1.06728e-05 +14 *2368:11 *3409:19 6.92705e-05 +15 *2975:39 *3409:19 0.00179599 +16 *2981:31 *3409:19 0.00187625 +17 *3062:8 *5538:DIODE 7.12632e-06 +18 *3062:8 *23020:A 5.49347e-05 +19 *3073:6 *23020:A 0 +*RES +1 *23532:Z *3409:19 43.1142 +2 *3409:19 *5538:DIODE 14.1278 +3 *3409:19 *23020:A 17.4498 +*END + +*D_NET *3410 0.0717486 +*CONN +*I *23021:A I *D sky130_fd_sc_hd__buf_2 +*I *5539:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23533:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23021:A 0.000218805 +2 *5539:DIODE 7.65268e-05 +3 *23533:Z 0.00047559 +4 *3410:14 0.00457328 +5 *3410:13 0.0128569 +6 *3410:10 0.00958583 +7 *3410:7 0.00148252 +8 *3806:DIODE *3410:14 6.16595e-06 +9 *4875:DIODE *3410:14 0 +10 *21492:A *3410:14 4.49767e-05 +11 *22331:A *3410:14 2.88523e-05 +12 *22767:A *23021:A 4.0788e-05 +13 *22767:A *3410:14 1.05272e-06 +14 *23281:A *3410:10 0 +15 *23533:TE *3410:7 8.38894e-05 +16 *322:10 *23021:A 7.09666e-06 +17 *1171:32 *3410:13 0.00225724 +18 *1295:8 *3410:14 0.000149258 +19 *1296:11 *3410:14 0 +20 *1297:8 *3410:14 9.68658e-05 +21 *1396:9 *3410:7 0.000211464 +22 *1668:17 *3410:13 6.21462e-05 +23 *1888:23 *3410:7 0.00220087 +24 *1895:28 *3410:7 0.000171886 +25 *1905:31 *3410:13 0.00198724 +26 *2024:41 *3410:13 0.00121189 +27 *2029:30 *3410:13 0.00123314 +28 *2035:20 *3410:13 0.00103456 +29 *2051:19 *3410:14 7.13655e-06 +30 *2085:26 *3410:13 0.00010238 +31 *2135:20 *3410:13 0.0041948 +32 *2135:24 *3410:13 0.000157189 +33 *2164:26 *3410:13 0.00286608 +34 *2206:32 *3410:13 0.00350347 +35 *2206:32 *3410:14 0.000158133 +36 *2211:25 *3410:13 0.000155893 +37 *2320:25 *3410:14 0 +38 *2321:20 *3410:13 0.0156561 +39 *2325:16 *3410:13 0.000242095 +40 *2388:29 *3410:14 0.000237174 +41 *2581:6 *3410:10 0.00120502 +42 *2582:16 *3410:10 0 +43 *2612:24 *3410:14 1.91391e-05 +44 *2866:52 *3410:14 0.000301303 +45 *2896:26 *3410:14 0 +46 *2897:33 *3410:14 0 +47 *2985:45 *23021:A 6.08467e-05 +48 *2988:61 *5539:DIODE 0.000111722 +49 *2998:89 *23021:A 6.50727e-05 +50 *3051:24 *3410:13 0.00090587 +51 *3095:10 *23021:A 0.000165775 +52 *3095:10 *3410:14 0.000903449 +53 *3106:18 *3410:14 0 +54 *3122:15 *3410:7 0.000366603 +55 *3124:26 *3410:13 6.92952e-05 +56 *3125:26 *3410:14 0 +57 *3127:18 *3410:14 0.000195231 +*RES +1 *23533:Z *3410:7 37.7659 +2 *3410:7 *3410:10 27.832 +3 *3410:10 *3410:13 35.774 +4 *3410:13 *3410:14 87.5816 +5 *3410:14 *5539:DIODE 15.0271 +6 *3410:14 *23021:A 19.2113 +*END + +*D_NET *3411 0.0128532 +*CONN +*I *5540:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23022:A I *D sky130_fd_sc_hd__buf_2 +*I *23534:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5540:DIODE 3.56043e-05 +2 *23022:A 0.000201159 +3 *23534:Z 0.000328456 +4 *3411:23 0.000856348 +5 *3411:21 0.00237015 +6 *3411:14 0.00207902 +7 *22777:A *23022:A 1.06977e-05 +8 *23534:A *3411:14 2.1203e-06 +9 *1347:24 *3411:14 1.15904e-05 +10 *1869:10 *3411:23 0.000161243 +11 *2462:46 *3411:21 0.000564277 +12 *2488:34 *23022:A 2.1203e-06 +13 *2488:39 *23022:A 6.16418e-05 +14 *2488:39 *3411:23 6.50586e-05 +15 *2488:43 *3411:23 0.000891122 +16 *2806:11 *3411:21 0.00141413 +17 *2806:14 *3411:14 0.000284545 +18 *2806:14 *3411:21 0.000119487 +19 *2836:39 *3411:14 0.000280939 +20 *2840:8 *3411:14 0 +21 *2840:8 *3411:21 0 +22 *2843:43 *3411:14 0.000217937 +23 *2993:9 *3411:21 0.000160617 +24 *3002:9 *3411:21 1.15389e-05 +25 *3002:9 *3411:23 0.000449795 +26 *3002:15 *3411:23 3.89073e-05 +27 *3002:17 *5540:DIODE 0.00011818 +28 *3002:17 *3411:23 0.00184708 +29 *3059:26 *3411:21 0.000159227 +30 *3103:20 *3411:14 0 +31 *3103:20 *3411:21 2.36463e-05 +32 *3206:6 *23022:A 0 +33 *3378:5 *3411:14 8.65358e-05 +*RES +1 *23534:Z *3411:14 34.0398 +2 *3411:14 *3411:21 48.5393 +3 *3411:21 *3411:23 26.2352 +4 *3411:23 *23022:A 22.1574 +5 *3411:23 *5540:DIODE 10.5271 +*END + +*D_NET *3412 0.0110782 +*CONN +*I *23023:A I *D sky130_fd_sc_hd__buf_2 +*I *5541:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23535:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23023:A 0 +2 *5541:DIODE 0.000236127 +3 *23535:Z 0.000213686 +4 *3412:17 0.000546957 +5 *3412:14 0.00157331 +6 *3412:11 0.00147617 +7 *3412:14 *23026:A 0 +8 *3412:14 *3415:8 0 +9 *3412:14 *3442:14 4.01936e-05 +10 *3412:17 *5542:DIODE 0.000377273 +11 *3412:17 *23026:A 6.50586e-05 +12 *22768:A *5541:DIODE 3.33454e-05 +13 *22771:A *3412:14 6.57048e-05 +14 *1414:36 *3412:11 1.62341e-05 +15 *2440:15 *3412:11 0.000676555 +16 *2870:89 *3412:11 0.000680767 +17 *2983:63 *3412:17 0.00240334 +18 *2988:61 *3412:17 0.000827875 +19 *2988:67 *3412:17 3.85049e-05 +20 *3002:29 *5541:DIODE 6.50586e-05 +21 *3095:10 *5541:DIODE 0 +22 *3129:30 *5541:DIODE 1.77537e-06 +23 *3132:28 *3412:14 0.00138796 +24 *3133:43 *3412:11 0.000189397 +25 *3142:20 *3412:11 0.000135188 +26 *3162:16 *3412:14 2.77724e-05 +*RES +1 *23535:Z *3412:11 34.036 +2 *3412:11 *3412:14 34.5691 +3 *3412:14 *3412:17 26.2593 +4 *3412:17 *5541:DIODE 22.8808 +5 *3412:17 *23023:A 9.24915 +*END + +*D_NET *3413 0.0408769 +*CONN +*I *5542:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23024:A I *D sky130_fd_sc_hd__buf_2 +*I *23536:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *5542:DIODE 3.58801e-05 +2 *23024:A 0 +3 *23536:Z 0.000176901 +4 *3413:34 0.00187897 +5 *3413:31 0.00340294 +6 *3413:23 0.00476971 +7 *3413:21 0.00399045 +8 *3413:9 0.00249979 +9 *3413:8 0.0018961 +10 *4842:DIODE *3413:9 2.57847e-05 +11 *22306:A *3413:9 1.89378e-05 +12 *23518:A *3413:9 4.34469e-05 +13 *23518:TE *3413:9 9.54218e-05 +14 *1270:29 *3413:9 0.000323643 +15 *1380:29 *3413:9 0.00188219 +16 *1381:35 *3413:9 9.19886e-06 +17 *1404:27 *3413:21 0.002785 +18 *1404:27 *3413:23 0.000830262 +19 *1404:31 *3413:23 0.00340553 +20 *1423:8 *3413:31 1.4979e-05 +21 *1423:9 *3413:31 0.00240269 +22 *1782:9 *3413:21 7.39264e-05 +23 *1796:8 *3413:9 0.000169041 +24 *1907:11 *3413:21 6.74182e-05 +25 *1920:28 *3413:9 0.00152887 +26 *2398:8 *3413:34 0 +27 *2454:76 *3413:21 7.22263e-05 +28 *2457:41 *3413:21 0.000252038 +29 *2464:23 *3413:23 0.000152056 +30 *2818:8 *3413:8 4.85989e-05 +31 *2873:18 *3413:9 0.00290981 +32 *2878:25 *3413:9 2.16355e-05 +33 *2891:18 *3413:31 1.5714e-05 +34 *2891:36 *3413:31 7.09666e-06 +35 *2892:22 *3413:31 1.49935e-05 +36 *2892:35 *3413:31 2.57465e-06 +37 *2988:61 *5542:DIODE 0.000377273 +38 *3105:15 *3413:9 0.000173828 +39 *3106:8 *3413:34 0 +40 *3123:50 *3413:9 0.000416013 +41 *3127:11 *3413:21 0.00199865 +42 *3130:46 *3413:34 0.000585238 +43 *3162:22 *3413:34 0.000957758 +44 *3385:12 *3413:8 5.10454e-05 +45 *3393:7 *3413:9 0.000116 +46 *3412:17 *5542:DIODE 0.000377273 +*RES +1 *23536:Z *3413:8 21.7421 +2 *3413:8 *3413:9 72.2673 +3 *3413:9 *3413:21 46.1806 +4 *3413:21 *3413:23 57.0157 +5 *3413:23 *3413:31 41.1519 +6 *3413:31 *3413:34 45.3657 +7 *3413:34 *23024:A 9.24915 +8 *3413:34 *5542:DIODE 13.3002 +*END + +*D_NET *3414 0.0121512 +*CONN +*I *5543:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23025:A I *D sky130_fd_sc_hd__buf_2 +*I *23537:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5543:DIODE 1.93778e-05 +2 *23025:A 0.000169277 +3 *23537:Z 0.000714121 +4 *3414:15 0.000338172 +5 *3414:12 0.00212956 +6 *3414:7 0.00269416 +7 *3806:DIODE *3414:12 0.0002817 +8 *6380:DIODE *3414:7 0.000164829 +9 *22770:A *23025:A 0 +10 *23539:TE *3414:12 6.08143e-05 +11 *2045:17 *3414:12 2.1203e-06 +12 *2073:27 *3414:12 6.75063e-06 +13 *2075:27 *3414:12 7.86825e-06 +14 *2386:24 *3414:7 0.000674377 +15 *2474:32 *3414:12 0 +16 *2870:87 *3414:7 3.83172e-05 +17 *2870:89 *3414:7 0.00210754 +18 *2870:89 *3414:12 0.000209065 +19 *2893:58 *3414:12 0.000572588 +20 *3002:29 *5543:DIODE 6.50727e-05 +21 *3002:29 *3414:15 0.000426154 +22 *3117:6 *23025:A 0.000186007 +23 *3117:6 *3414:12 0 +24 *3130:46 *3414:12 0.00128337 +*RES +1 *23537:Z *3414:7 35.5084 +2 *3414:7 *3414:12 46.2509 +3 *3414:12 *3414:15 9.10562 +4 *3414:15 *23025:A 22.1574 +5 *3414:15 *5543:DIODE 9.97254 +*END + +*D_NET *3415 0.00542829 +*CONN +*I *23026:A I *D sky130_fd_sc_hd__buf_2 +*I *5544:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23538:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23026:A 0.000217709 +2 *5544:DIODE 0 +3 *23538:Z 2.73947e-05 +4 *3415:8 0.00231865 +5 *3415:7 0.00212833 +6 *3415:8 *3424:10 3.07029e-05 +7 *3415:8 *3442:14 0.000213248 +8 *23538:A *3415:7 4.56667e-05 +9 *1429:10 *3415:8 0 +10 *2044:20 *3415:7 0.000224381 +11 *2988:61 *23026:A 6.50586e-05 +12 *3128:11 *23026:A 0 +13 *3128:11 *3415:8 0 +14 *3131:18 *3415:8 0 +15 *3134:25 *3415:8 0 +16 *3154:16 *3415:7 1.00937e-05 +17 *3154:19 *3415:7 6.50727e-05 +18 *3162:16 *23026:A 1.6917e-05 +19 *3412:14 *23026:A 0 +20 *3412:14 *3415:8 0 +21 *3412:17 *23026:A 6.50586e-05 +*RES +1 *23538:Z *3415:7 16.1364 +2 *3415:7 *3415:8 38.7894 +3 *3415:8 *5544:DIODE 13.7491 +4 *3415:8 *23026:A 18.3808 +*END + +*D_NET *3416 0.00546771 +*CONN +*I *23027:A I *D sky130_fd_sc_hd__buf_2 +*I *5545:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23539:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23027:A 0.000186833 +2 *5545:DIODE 2.59228e-05 +3 *23539:Z 0.000538958 +4 *3416:8 0.00137769 +5 *3416:7 0.00170389 +6 *3416:8 *3446:10 0.000172446 +7 *4883:DIODE *3416:7 0.000258142 +8 *6384:DIODE *3416:7 0.000148652 +9 *22772:A *23027:A 0 +10 *23551:TE *3416:7 0.000167701 +11 *1402:45 *3416:7 6.50727e-05 +12 *2616:22 *3416:8 0 +13 *3002:29 *5545:DIODE 6.50727e-05 +14 *3132:28 *23027:A 0 +15 *3132:28 *3416:8 0 +16 *3133:43 *23027:A 1.77537e-06 +17 *3133:43 *3416:8 0.000193478 +18 *3140:15 *23027:A 6.47701e-05 +19 *3157:5 *3416:7 0.000497309 +*RES +1 *23539:Z *3416:7 30.556 +2 *3416:7 *3416:8 23.4251 +3 *3416:8 *5545:DIODE 14.4725 +4 *3416:8 *23027:A 17.6574 +*END + +*D_NET *3417 0.0747111 +*CONN +*I *6178:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23436:A I *D sky130_fd_sc_hd__nand2_1 +*I *22239:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6178:DIODE 6.02763e-05 +2 *23436:A 0.000246431 +3 *22239:X 0.000361771 +4 *3417:43 0.00501375 +5 *3417:35 0.0105784 +6 *3417:14 0.00906409 +7 *3417:13 0.00355454 +8 *3417:13 *23051:A 9.66954e-05 +9 *3417:14 *3453:12 0 +10 *3417:35 *3439:33 0.000101365 +11 *4428:DIODE *3417:13 9.32704e-05 +12 *4897:DIODE *3417:14 4.02362e-05 +13 *5704:DIODE *23436:A 2.65831e-05 +14 *5922:DIODE *23436:A 0 +15 *22239:A *3417:13 0.000271058 +16 *22796:A *3417:14 0.000106762 +17 *23181:A *23436:A 3.31733e-05 +18 *23209:A *3417:35 1.22858e-05 +19 *23436:B *23436:A 0 +20 *1150:9 *3417:43 0 +21 *1156:11 *3417:35 0.00250491 +22 *1156:17 *3417:35 0.00010238 +23 *1158:23 *3417:35 0.00156905 +24 *1159:39 *3417:43 0.00246339 +25 *1168:36 *3417:35 0.000442419 +26 *1555:6 *23436:A 0 +27 *1683:5 *23436:A 6.50727e-05 +28 *1684:6 *6178:DIODE 1.04747e-05 +29 *1684:6 *23436:A 0.000247142 +30 *1712:8 *3417:35 2.761e-05 +31 *1821:16 *3417:14 0.000150714 +32 *2122:39 *3417:35 0.00314598 +33 *2203:24 *3417:43 0.000604196 +34 *2229:21 *3417:35 0.000269622 +35 *2229:21 *3417:43 0.000849532 +36 *2235:35 *3417:35 0.00324844 +37 *2235:35 *3417:43 0.000188984 +38 *2244:19 *3417:35 0.00406713 +39 *2268:28 *3417:35 0.00111529 +40 *2268:45 *3417:35 0.00159957 +41 *2312:21 *3417:14 9.96222e-05 +42 *2338:7 *3417:14 0 +43 *2338:11 *3417:14 0 +44 *2343:8 *3417:35 0.000462214 +45 *2382:40 *3417:35 2.05612e-05 +46 *2393:70 *3417:35 0.000994132 +47 *2393:70 *3417:43 0.00310309 +48 *2489:17 *3417:35 0.00020635 +49 *2489:21 *3417:35 0.00336348 +50 *2490:30 *3417:35 0.00213029 +51 *2493:30 *3417:35 0.00850105 +52 *2609:26 *3417:43 0 +53 *2754:10 *23436:A 0 +54 *2782:8 *3417:35 0 +55 *2908:28 *3417:35 0.000562627 +56 *2978:19 *3417:13 0.000370815 +57 *2985:45 *3417:13 1.65872e-05 +58 *3001:146 *3417:13 0.000317707 +59 *3140:21 *6178:DIODE 6.08467e-05 +60 *3140:21 *3417:43 0.000120873 +61 *3159:36 *3417:14 0.000394687 +62 *3160:22 *3417:14 0.00019376 +63 *3165:16 *3417:14 0.000116792 +64 *3170:12 *3417:14 0.000962538 +65 *3173:31 *3417:43 0.000110039 +66 *3406:8 *3417:14 0 +67 *3406:25 *3417:35 0.000149641 +68 *3406:65 *3417:43 0.000120873 +*RES +1 *22239:X *3417:13 32.5438 +2 *3417:13 *3417:14 71.3867 +3 *3417:14 *3417:35 45.87 +4 *3417:35 *3417:43 17.2085 +5 *3417:43 *23436:A 20.4129 +6 *3417:43 *6178:DIODE 14.8512 +*END + +*D_NET *3418 0.0273215 +*CONN +*I *23028:A I *D sky130_fd_sc_hd__buf_2 +*I *5546:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23540:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23028:A 0.00021287 +2 *5546:DIODE 0 +3 *23540:Z 0.000272479 +4 *3418:18 0.00110996 +5 *3418:15 0.00237995 +6 *3418:13 0.0015059 +7 *3418:11 0.0042053 +8 *3418:10 0.00445475 +9 *23028:A *3419:8 2.73285e-05 +10 *3418:18 *3419:8 0.000340609 +11 *3799:DIODE *3418:11 1.67988e-05 +12 *6386:DIODE *3418:10 6.50586e-05 +13 *6405:DIODE *3418:10 1.99266e-05 +14 *1402:31 *3418:10 0.000122378 +15 *1403:19 *3418:10 2.41483e-05 +16 *1416:7 *3418:11 0.00420822 +17 *1418:9 *3418:11 0.000994423 +18 *1418:9 *3418:15 0.00518353 +19 *1816:8 *3418:15 0.00134314 +20 *2055:17 *3418:10 7.13655e-06 +21 *2468:27 *3418:11 0.000224455 +22 *2882:42 *3418:10 0 +23 *2951:12 *3418:10 0.000106427 +24 *2973:15 *3418:11 0.000366603 +25 *2980:21 *23028:A 6.50586e-05 +26 *2988:69 *23028:A 6.50586e-05 +27 *3134:26 *23028:A 0 +28 *3134:26 *3418:18 0 +29 *3151:10 *23028:A 0 +*RES +1 *23540:Z *3418:10 24.6812 +2 *3418:10 *3418:11 74.2084 +3 *3418:11 *3418:13 0.578717 +4 *3418:13 *3418:15 55.9065 +5 *3418:15 *3418:18 23.3573 +6 *3418:18 *5546:DIODE 13.7491 +7 *3418:18 *23028:A 18.3808 +*END + +*D_NET *3419 0.00667155 +*CONN +*I *5547:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23029:A I *D sky130_fd_sc_hd__buf_2 +*I *23541:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5547:DIODE 3.87342e-05 +2 *23029:A 0.000125003 +3 *23541:Z 0 +4 *3419:9 0.000635898 +5 *3419:8 0.00235536 +6 *3419:5 0.0018832 +7 *23028:A *3419:8 2.73285e-05 +8 *2983:74 *23029:A 0 +9 *2990:29 *5547:DIODE 5.31074e-05 +10 *2990:29 *3419:9 0.00078701 +11 *3005:43 *23029:A 6.23715e-06 +12 *3134:26 *23029:A 0 +13 *3134:26 *3419:8 0 +14 *3151:10 *3419:8 0.000419065 +15 *3418:18 *3419:8 0.000340609 +*RES +1 *23541:Z *3419:5 13.7491 +2 *3419:5 *3419:8 44.9505 +3 *3419:8 *3419:9 8.48785 +4 *3419:9 *23029:A 20.4964 +5 *3419:9 *5547:DIODE 9.97254 +*END + +*D_NET *3420 0.00719554 +*CONN +*I *23030:A I *D sky130_fd_sc_hd__buf_2 +*I *5548:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23542:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23030:A 0.000136562 +2 *5548:DIODE 0 +3 *23542:Z 0.000643517 +4 *3420:8 0.00143977 +5 *3420:7 0.00194672 +6 *23030:A *3421:10 0 +7 *3420:8 *3421:10 0 +8 *23542:A *3420:7 5.07314e-05 +9 *1405:9 *3420:7 9.40969e-05 +10 *2438:25 *3420:7 0.000189024 +11 *2472:25 *3420:8 4.4196e-06 +12 *2980:21 *23030:A 6.50727e-05 +13 *2988:69 *23030:A 6.50727e-05 +14 *3136:24 *3420:8 0 +15 *3137:19 *23030:A 4.41867e-05 +16 *3137:19 *3420:8 0.000113142 +17 *3157:5 *3420:7 0.00240322 +*RES +1 *23542:Z *3420:7 39.4297 +2 *3420:7 *3420:8 24.2556 +3 *3420:8 *5548:DIODE 13.7491 +4 *3420:8 *23030:A 17.135 +*END + +*D_NET *3421 0.00967017 +*CONN +*I *5549:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23031:A I *D sky130_fd_sc_hd__buf_2 +*I *23543:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5549:DIODE 6.19783e-06 +2 *23031:A 0.000161835 +3 *23543:Z 0.00109387 +4 *3421:13 0.000360842 +5 *3421:10 0.0027047 +6 *3421:8 0.00360576 +7 la_data_in_core[49] *23031:A 1.06977e-05 +8 la_oenb_core[47] *3421:13 0.000117376 +9 la_oenb_core[48] *23031:A 1.58588e-05 +10 *4405:DIODE *3421:13 0.000169041 +11 *6392:DIODE *3421:8 9.12416e-06 +12 *22776:A *23031:A 0 +13 *23030:A *3421:10 0 +14 *1941:41 *3421:8 0 +15 *2053:19 *3421:8 0 +16 *2089:26 *3421:8 0 +17 *2901:32 *3421:8 0 +18 *2901:32 *3421:10 0 +19 *2978:17 *5549:DIODE 6.50727e-05 +20 *2978:17 *23031:A 1.40089e-05 +21 *2978:17 *3421:13 0.000171273 +22 *2980:21 *5549:DIODE 5.31074e-05 +23 *2980:21 *3421:13 0.000895395 +24 *2990:34 *3421:10 2.57465e-06 +25 *3135:20 *3421:10 0 +26 *3136:24 *3421:10 0 +27 *3160:10 *3421:8 0 +28 *3173:19 *3421:10 0.000213432 +29 *3184:6 *23031:A 0 +30 *3420:8 *3421:10 0 +*RES +1 *23543:Z *3421:8 34.2553 +2 *3421:8 *3421:10 47.009 +3 *3421:10 *3421:13 14.0971 +4 *3421:13 *23031:A 21.3269 +5 *3421:13 *5549:DIODE 9.97254 +*END + +*D_NET *3422 0.0114244 +*CONN +*I *23032:A I *D sky130_fd_sc_hd__buf_2 +*I *5550:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23544:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23032:A 0.000243372 +2 *5550:DIODE 0 +3 *23544:Z 0 +4 *3422:8 0.000595925 +5 *3422:5 0.0029363 +6 *3422:4 0.00258375 +7 *4627:DIODE *3422:5 0.000319954 +8 *6394:DIODE *3422:5 0.000171288 +9 *22340:TE *3422:5 0.000423936 +10 *1304:11 *3422:5 0.000146599 +11 *2453:21 *3422:5 0.000252021 +12 *2980:21 *23032:A 6.50586e-05 +13 *2988:69 *23032:A 6.50586e-05 +14 *3137:13 *3422:5 0.000786087 +15 *3141:36 *23032:A 0 +16 *3141:36 *3422:8 0 +17 *3166:5 *3422:5 0.00280426 +18 *3195:14 *23032:A 3.08351e-05 +*RES +1 *23544:Z *3422:4 9.24915 +2 *3422:4 *3422:5 74.4857 +3 *3422:5 *3422:8 10.8998 +4 *3422:8 *5550:DIODE 13.7491 +5 *3422:8 *23032:A 18.7961 +*END + +*D_NET *3423 0.0222651 +*CONN +*I *23033:A I *D sky130_fd_sc_hd__buf_2 +*I *5551:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23545:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23033:A 0.000374705 +2 *5551:DIODE 2.59228e-05 +3 *23545:Z 0.00116207 +4 *3423:12 0.00321126 +5 *3423:11 0.00333988 +6 *3423:7 0.00169132 +7 la_data_in_core[5] *23033:A 1.45067e-05 +8 la_oenb_core[4] *23033:A 2.53568e-05 +9 *3765:DIODE *3423:11 1.98996e-05 +10 *4536:DIODE *3423:7 0.000334808 +11 *21575:A *3423:7 0.00011818 +12 *22788:A *23033:A 0 +13 *23545:TE *3423:7 0.000103943 +14 *1259:9 *3423:7 0.000383703 +15 *1370:16 *3423:12 0 +16 *1379:20 *3423:11 1.92336e-05 +17 *2003:55 *3423:12 0.000626069 +18 *2343:8 *3423:7 0.000343802 +19 *3002:17 *5551:DIODE 6.50727e-05 +20 *3098:23 *3423:11 0.00386743 +21 *3115:7 *3423:7 4.75121e-05 +22 *3115:7 *3423:11 0.00411784 +23 *3138:48 *23033:A 0.000134394 +24 *3138:48 *3423:12 0.00223824 +25 *3152:12 *23033:A 0 +26 *3152:12 *3423:12 0 +27 *3206:6 *23033:A 0 +28 *3206:6 *3423:12 0 +*RES +1 *23545:Z *3423:7 38.6914 +2 *3423:7 *3423:11 49.5917 +3 *3423:11 *3423:12 66.1961 +4 *3423:12 *5551:DIODE 14.4725 +5 *3423:12 *23033:A 21.3947 +*END + +*D_NET *3424 0.0218917 +*CONN +*I *23034:A I *D sky130_fd_sc_hd__buf_2 +*I *5552:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23546:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23034:A 0.000268069 +2 *5552:DIODE 0 +3 *23546:Z 0.00138714 +4 *3424:14 0.00239966 +5 *3424:11 0.00520823 +6 *3424:10 0.00393039 +7 *3424:7 0.00224089 +8 la_data_in_core[51] *23034:A 1.58588e-05 +9 la_oenb_core[50] *23034:A 3.72644e-05 +10 *4872:DIODE *3424:7 6.50586e-05 +11 *4873:DIODE *3424:10 7.8671e-05 +12 *6398:DIODE *3424:7 0.000258142 +13 *22779:A *23034:A 0 +14 *1401:14 *3424:10 6.1578e-06 +15 *1404:43 *3424:10 5.59546e-05 +16 *1405:9 *3424:14 0 +17 *1423:9 *3424:11 0.00517614 +18 *1811:10 *3424:10 0 +19 *1929:27 *3424:10 2.77564e-05 +20 *2048:29 *3424:14 0 +21 *2894:26 *3424:10 7.35088e-05 +22 *2894:42 *3424:10 0.000127733 +23 *2985:45 *23034:A 6.50586e-05 +24 *3134:25 *3424:10 0.000201508 +25 *3142:24 *3424:14 0 +26 *3143:45 *3424:14 0.0001328 +27 *3217:8 *23034:A 0 +28 *3217:8 *3424:14 0 +29 *3339:23 *3424:11 0.000104949 +30 *3415:8 *3424:10 3.07029e-05 +*RES +1 *23546:Z *3424:7 46.6395 +2 *3424:7 *3424:10 23.3573 +3 *3424:10 *3424:11 55.6292 +4 *3424:11 *3424:14 44.5352 +5 *3424:14 *5552:DIODE 13.7491 +6 *3424:14 *23034:A 19.2113 +*END + +*D_NET *3425 0.0135244 +*CONN +*I *5553:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23035:A I *D sky130_fd_sc_hd__buf_2 +*I *23547:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5553:DIODE 2.05519e-05 +2 *23035:A 0.000100635 +3 *23547:Z 0 +4 *3425:9 0.000368972 +5 *3425:6 0.00184674 +6 *3425:5 0.00159895 +7 *23035:A *3429:10 0.000122784 +8 *22780:A *23035:A 0.000122784 +9 *2980:21 *23035:A 6.08467e-05 +10 *2981:31 *3425:9 0.00012601 +11 *2983:75 *5553:DIODE 0.000164829 +12 *2983:75 *3425:9 0.00281754 +13 *2988:69 *23035:A 6.08467e-05 +14 *2989:51 *5553:DIODE 0.000152878 +15 *2989:51 *3425:9 0.00282177 +16 *3136:24 *3425:6 0.00229683 +17 *3184:6 *3425:6 0.000841392 +*RES +1 *23547:Z *3425:5 13.7491 +2 *3425:5 *3425:6 46.6792 +3 *3425:6 *3425:9 34.6174 +4 *3425:9 *23035:A 21.635 +5 *3425:9 *5553:DIODE 11.0817 +*END + +*D_NET *3426 0.0358198 +*CONN +*I *23036:A I *D sky130_fd_sc_hd__buf_2 +*I *5554:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23548:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23036:A 0.000260085 +2 *5554:DIODE 7.14857e-05 +3 *23548:Z 0.00135932 +4 *3426:26 0.00324505 +5 *3426:25 0.00291347 +6 *3426:23 0.00168315 +7 *3426:22 0.00179033 +8 *3426:17 0.00364233 +9 *3426:16 0.00456776 +10 *3426:7 0.00239193 +11 *3426:26 *3429:10 0 +12 la_oenb_core[52] *23036:A 4.15661e-05 +13 *3676:DIODE *3426:7 9.14669e-05 +14 *3676:DIODE *3426:16 0.000171288 +15 *3803:DIODE *3426:7 0.00011818 +16 *3804:DIODE *3426:7 0.000224395 +17 *4885:DIODE *3426:22 9.12416e-06 +18 *6403:DIODE *3426:7 0.000171288 +19 *21613:A *3426:7 7.40813e-05 +20 *22472:B *3426:26 0 +21 *22781:A *23036:A 0 +22 *23525:A *3426:7 0.00011818 +23 *23525:TE *3426:7 0.000413238 +24 *1413:9 *3426:7 0.000561321 +25 *1413:9 *3426:16 0.000181615 +26 *1413:22 *3426:16 0.0026793 +27 *1413:22 *3426:17 2.16355e-05 +28 *1413:23 *3426:17 0.00573448 +29 *1422:11 *3426:7 0.00032189 +30 *1436:10 *3426:26 0.000362388 +31 *1934:11 *3426:22 1.91246e-05 +32 *1937:33 *3426:26 0 +33 *2062:19 *3426:26 1.27831e-06 +34 *2901:27 *3426:23 0.00021435 +35 *2901:32 *3426:22 0 +36 *2902:20 *3426:26 0 +37 *2904:36 *3426:26 0 +38 *2985:45 *23036:A 6.50727e-05 +39 *2988:69 *5554:DIODE 0.00011818 +40 *3001:107 *23036:A 6.50727e-05 +41 *3143:35 *3426:22 1.5714e-05 +42 *3144:22 *3426:26 0 +43 *3146:19 *3426:26 0 +44 *3154:28 *3426:26 0 +45 *3156:7 *3426:23 0.00187437 +46 *3239:21 *23036:A 5.64343e-05 +47 *3239:21 *3426:26 4.67716e-05 +48 *3273:23 *3426:23 0.00012309 +*RES +1 *23548:Z *3426:7 49.9281 +2 *3426:7 *3426:16 44.4405 +3 *3426:16 *3426:17 63.3936 +4 *3426:17 *3426:22 10.832 +5 *3426:22 *3426:23 48.4193 +6 *3426:23 *3426:25 4.5 +7 *3426:25 *3426:26 56.23 +8 *3426:26 *5554:DIODE 15.0271 +9 *3426:26 *23036:A 19.2113 +*END + +*D_NET *3427 0.0353721 +*CONN +*I *23037:A I *D sky130_fd_sc_hd__buf_2 +*I *5555:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23549:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23037:A 0.000264554 +2 *5555:DIODE 0 +3 *23549:Z 2.3034e-05 +4 *3427:24 0.00098451 +5 *3427:23 0.00173217 +6 *3427:15 0.00315151 +7 *3427:13 0.00432277 +8 *3427:7 0.00444897 +9 *3427:5 0.00228853 +10 *23037:A *3429:19 0 +11 *3427:15 *3430:9 0.00477298 +12 *3799:DIODE *3427:7 5.73392e-05 +13 *4882:DIODE *3427:13 1.41853e-05 +14 *4882:DIODE *3427:15 0.000217923 +15 *22468:B *3427:13 0.000213725 +16 *22782:A *23037:A 0 +17 *1418:9 *3427:13 0.000523306 +18 *1418:9 *3427:15 0.000476105 +19 *2450:21 *3427:23 0.00223542 +20 *2461:47 *3427:7 0.000365328 +21 *2461:47 *3427:15 0.00017378 +22 *2951:23 *3427:7 0.000436811 +23 *2973:15 *3427:7 0.000183261 +24 *2980:21 *23037:A 0.000277488 +25 *2984:20 *3427:7 0.000978027 +26 *2988:69 *23037:A 0.000277488 +27 *3127:15 *3427:7 0.00647834 +28 *3127:15 *3427:13 0.000364632 +29 *3142:24 *3427:23 8.14359e-05 +30 *3143:46 *3427:23 0 +31 *3145:16 *23037:A 0 +32 *3145:16 *3427:24 0 +33 *3262:20 *23037:A 2.84561e-05 +*RES +1 *23549:Z *3427:5 9.82786 +2 *3427:5 *3427:7 79.1998 +3 *3427:7 *3427:13 35.989 +4 *3427:13 *3427:15 65.612 +5 *3427:15 *3427:23 44.747 +6 *3427:23 *3427:24 12.6286 +7 *3427:24 *5555:DIODE 13.7491 +8 *3427:24 *23037:A 21.0145 +*END + +*D_NET *3428 0.0740397 +*CONN +*I *23437:A I *D sky130_fd_sc_hd__nand2_1 +*I *6180:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22240:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23437:A 0 +2 *6180:DIODE 3.11478e-05 +3 *22240:X 4.2336e-05 +4 *3428:36 0.00341745 +5 *3428:29 0.0106859 +6 *3428:8 0.0101842 +7 *3428:7 0.00292692 +8 *3428:8 *23053:A 0 +9 *3428:8 *3445:16 0 +10 *3428:29 *3439:26 0.000828323 +11 *4429:DIODE *3428:8 0.000101489 +12 *4636:DIODE *3428:8 4.49767e-05 +13 *22240:A *3428:7 6.50727e-05 +14 *22797:A *3428:8 5.0682e-05 +15 *1151:47 *3428:29 0.000448941 +16 *1174:26 *3428:29 0.000645757 +17 *1174:27 *3428:36 0.000108607 +18 *1309:8 *3428:8 0.000466344 +19 *1427:18 *3428:8 0 +20 *1991:30 *3428:29 2.81887e-05 +21 *2124:9 *3428:36 4.25507e-05 +22 *2132:38 *3428:29 0.000938911 +23 *2142:19 *3428:29 0.000133887 +24 *2151:38 *6180:DIODE 2.16355e-05 +25 *2151:38 *3428:36 0.000220514 +26 *2242:23 *3428:29 5.76913e-05 +27 *2246:25 *3428:29 5.76799e-05 +28 *2257:21 *3428:29 0.00870998 +29 *2261:21 *3428:29 0.000919371 +30 *2341:7 *3428:8 0 +31 *2343:8 *3428:29 4.31864e-05 +32 *2360:33 *3428:8 0.000284531 +33 *2404:47 *3428:29 0.000977136 +34 *2404:47 *3428:36 0.00297061 +35 *2415:14 *3428:8 0 +36 *2443:8 *3428:8 0.000195432 +37 *2468:26 *3428:8 0.000212354 +38 *2479:45 *3428:29 0.00290995 +39 *2492:28 *3428:29 0.00131644 +40 *2495:22 *3428:29 0.00211515 +41 *2499:21 *3428:29 0.00165489 +42 *2500:37 *3428:29 0.000156778 +43 *2505:26 *3428:29 0.00148299 +44 *2908:28 *3428:29 5.36346e-05 +45 *2914:21 *3428:29 0 +46 *2985:45 *3428:7 6.48838e-05 +47 *2989:62 *3428:8 5.01835e-05 +48 *3001:146 *3428:7 9.14669e-05 +49 *3002:39 *3428:8 3.33173e-06 +50 *3161:26 *3428:8 0.000504615 +51 *3167:16 *3428:8 0.000583786 +52 *3168:24 *3428:8 0 +53 *3295:21 *3428:29 0.00506621 +54 *3295:21 *3428:36 2.23882e-05 +55 *3295:28 *3428:36 0.0101334 +56 *3332:16 *3428:29 6.71596e-05 +57 *3350:47 *3428:29 0.000474467 +58 *3373:36 *3428:36 0.00142602 +*RES +1 *22240:X *3428:7 16.1364 +2 *3428:7 *3428:8 68.48 +3 *3428:8 *3428:29 47.8035 +4 *3428:29 *3428:36 22.4425 +5 *3428:36 *6180:DIODE 9.97254 +6 *3428:36 *23437:A 9.24915 +*END + +*D_NET *3429 0.0155946 +*CONN +*I *5556:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23038:A I *D sky130_fd_sc_hd__buf_2 +*I *23550:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5556:DIODE 0 +2 *23038:A 0.00034204 +3 *23550:Z 0.000939625 +4 *3429:19 0.00104732 +5 *3429:10 0.0031788 +6 *3429:9 0.00341314 +7 la_data_in_core[55] *23038:A 5.49489e-05 +8 *6406:DIODE *3429:9 3.57037e-05 +9 *22472:B *3429:10 0.00017393 +10 *22780:A *3429:10 2.8328e-05 +11 *22782:A *3429:19 0.000104151 +12 *23035:A *3429:10 0.000122784 +13 *23037:A *3429:19 0 +14 *23651:A *23038:A 0 +15 *1413:37 *3429:9 2.16355e-05 +16 *1820:14 *3429:10 0.000494992 +17 *1940:36 *3429:9 0.00135407 +18 *2048:29 *3429:10 0 +19 *2079:27 *3429:10 1.5714e-05 +20 *2434:10 *3429:10 0 +21 *2488:21 *23038:A 0 +22 *2488:30 *23038:A 0 +23 *2904:22 *3429:10 1.19532e-05 +24 *2904:36 *3429:10 3.79933e-05 +25 *2980:21 *3429:19 0.00135631 +26 *2983:79 *3429:19 0.000793442 +27 *2985:45 *23038:A 6.08467e-05 +28 *2988:69 *3429:19 0.00135631 +29 *3001:107 *23038:A 1.00981e-05 +30 *3143:46 *3429:10 0.000432183 +31 *3144:21 *3429:10 0.000208221 +32 *3239:21 *3429:10 0 +33 *3262:20 *23038:A 0 +34 *3426:26 *3429:10 0 +*RES +1 *23550:Z *3429:9 30.5802 +2 *3429:9 *3429:10 54.1538 +3 *3429:10 *3429:19 38.8236 +4 *3429:19 *23038:A 24.9571 +5 *3429:19 *5556:DIODE 9.24915 +*END + +*D_NET *3430 0.019235 +*CONN +*I *23039:A I *D sky130_fd_sc_hd__buf_2 +*I *5557:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23551:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23039:A 0.000219362 +2 *5557:DIODE 0 +3 *23551:Z 0.00015032 +4 *3430:12 0.000893401 +5 *3430:9 0.00234588 +6 *3430:8 0.00182216 +7 *23039:A *3440:21 1.07248e-05 +8 *3430:12 *3440:21 0.00095714 +9 *1414:36 *3430:8 5.22654e-06 +10 *1418:9 *3430:9 0.0063655 +11 *1866:10 *23039:A 0 +12 *2125:20 *3430:9 0.000456798 +13 *2442:18 *3430:12 0.000534602 +14 *2461:30 *3430:9 0.000373718 +15 *2980:21 *23039:A 6.50586e-05 +16 *2988:69 *23039:A 6.50586e-05 +17 *3133:43 *3430:8 0.000166872 +18 *3147:34 *23039:A 3.01936e-05 +19 *3151:10 *3430:8 0 +20 *3273:8 *23039:A 0 +21 *3273:8 *3430:12 0 +22 *3427:15 *3430:9 0.00477298 +*RES +1 *23551:Z *3430:8 21.7421 +2 *3430:8 *3430:9 88.9054 +3 *3430:9 *3430:12 24.1878 +4 *3430:12 *5557:DIODE 13.7491 +5 *3430:12 *23039:A 18.3808 +*END + +*D_NET *3431 0.0455963 +*CONN +*I *23040:A I *D sky130_fd_sc_hd__buf_2 +*I *5558:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23552:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23040:A 0.000221484 +2 *5558:DIODE 0 +3 *23552:Z 0.000210235 +4 *3431:16 0.00246197 +5 *3431:15 0.00224048 +6 *3431:13 0.00275982 +7 *3431:11 0.00297006 +8 *3431:13 *3438:23 0.00545155 +9 *3675:DIODE *3431:11 0.000264612 +10 *3675:DIODE *3431:13 2.15348e-05 +11 *1308:10 *3431:13 0.00166034 +12 *1413:37 *3431:13 0.000861518 +13 *1817:11 *3431:13 2.77625e-06 +14 *1931:30 *3431:11 0.00160553 +15 *1931:32 *3431:11 3.66984e-05 +16 *1931:32 *3431:13 0.00525142 +17 *1941:36 *3431:13 0.00942584 +18 *2108:24 *3431:13 0.00010238 +19 *2281:15 *3431:16 0 +20 *2452:18 *3431:16 5.89064e-05 +21 *2458:10 *3431:16 0.000275845 +22 *2463:25 *3431:16 1.89595e-05 +23 *2899:53 *3431:13 0.000785004 +24 *2980:21 *23040:A 6.50586e-05 +25 *2988:69 *23040:A 6.50586e-05 +26 *3133:29 *3431:11 0.00137024 +27 *3133:31 *3431:11 3.26316e-05 +28 *3133:31 *3431:13 0.000296017 +29 *3134:17 *3431:11 0.000141764 +30 *3134:17 *3431:13 0.00010238 +31 *3148:16 *23040:A 0 +32 *3148:16 *3431:16 0 +33 *3153:29 *3431:13 0.000725189 +34 *3155:30 *3431:16 0.000107063 +35 *3156:12 *3431:16 0 +36 *3167:5 *3431:13 0.00545607 +37 *3284:6 *23040:A 3.07073e-05 +38 *3284:6 *3431:16 0.000517115 +*RES +1 *23552:Z *3431:11 29.0461 +2 *3431:11 *3431:13 185.961 +3 *3431:13 *3431:15 4.5 +4 *3431:15 *3431:16 48.7555 +5 *3431:16 *5558:DIODE 13.7491 +6 *3431:16 *23040:A 18.3808 +*END + +*D_NET *3432 0.00554165 +*CONN +*I *23041:A I *D sky130_fd_sc_hd__buf_2 +*I *5559:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23553:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23041:A 0.000241721 +2 *5559:DIODE 0 +3 *23553:Z 0.0011338 +4 *3432:8 0.00137552 +5 *6412:DIODE *3432:8 0.000164829 +6 *2349:36 *3432:8 7.8848e-05 +7 *2442:18 *3432:8 0.00136914 +8 *2450:9 *3432:8 0.000621345 +9 *2450:19 *3432:8 0.000429151 +10 *2980:21 *23041:A 6.36477e-05 +11 *2988:69 *23041:A 6.36477e-05 +12 *3149:36 *23041:A 0 +13 *3149:36 *3432:8 0 +14 *3295:6 *23041:A 0 +15 *3295:6 *3432:8 0 +*RES +1 *23553:Z *3432:8 47.3427 +2 *3432:8 *5559:DIODE 13.7491 +3 *3432:8 *23041:A 18.3808 +*END + +*D_NET *3433 0.0620389 +*CONN +*I *23042:A I *D sky130_fd_sc_hd__buf_2 +*I *5560:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23554:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23042:A 0.00021293 +2 *5560:DIODE 9.34923e-06 +3 *23554:Z 0.0023935 +4 *3433:20 0.00197902 +5 *3433:19 0.0107735 +6 *3433:15 0.0114103 +7 *5560:DIODE *3440:45 6.50727e-05 +8 *1928:28 *3433:15 0.00135552 +9 *1928:28 *3433:19 0.00832685 +10 *1941:30 *3433:19 0.000267774 +11 *1944:17 *3433:15 5.61242e-05 +12 *2074:25 *3433:19 0.000714589 +13 *2129:47 *3433:15 6.94448e-05 +14 *2129:56 *3433:19 0.00361528 +15 *2442:8 *3433:20 1.35732e-05 +16 *2442:12 *3433:20 0.00141652 +17 *2454:58 *3433:19 0.000995634 +18 *2464:11 *3433:19 0.00033276 +19 *2465:8 *3433:20 4.81127e-05 +20 *2609:26 *3433:19 0.000110946 +21 *2610:22 *3433:19 0.00068035 +22 *2613:20 *3433:19 5.83451e-05 +23 *2623:18 *3433:19 5.93953e-05 +24 *2866:47 *3433:15 0.000202746 +25 *2866:47 *3433:19 0.000267774 +26 *2870:67 *3433:15 0.00413609 +27 *3002:29 *5560:DIODE 6.50727e-05 +28 *3121:18 *3433:15 0.00235879 +29 *3129:17 *3433:15 0.00629796 +30 *3129:17 *3433:19 0.00054841 +31 *3149:36 *3433:20 0 +32 *3150:16 *23042:A 0 +33 *3150:16 *3433:20 0 +34 *3151:27 *3433:19 0.000101365 +35 *3151:45 *3433:19 0.000152056 +36 *3153:44 *3433:20 0.000769408 +37 *3155:13 *3433:19 0.000110257 +38 *3155:19 *3433:19 0.000118134 +39 *3160:11 *3433:19 0.000118134 +40 *3251:24 *3433:19 0.00177411 +41 *3306:21 *23042:A 5.36152e-05 +42 *3389:26 *3433:15 0 +*RES +1 *23554:Z *3433:15 32.3408 +2 *3433:15 *3433:19 33.4411 +3 *3433:19 *3433:20 43.1496 +4 *3433:20 *5560:DIODE 14.4725 +5 *3433:20 *23042:A 17.6574 +*END + +*D_NET *3434 0.0141373 +*CONN +*I *23043:A I *D sky130_fd_sc_hd__buf_2 +*I *5561:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23555:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23043:A 1.372e-05 +2 *5561:DIODE 0 +3 *23555:Z 0.00133876 +4 *3434:17 0.000199262 +5 *3434:9 0.00275206 +6 *3434:8 0.00390528 +7 *22787:A *3434:17 8.79865e-06 +8 *1418:14 *3434:8 0.000175352 +9 *2980:21 *23043:A 0.000171288 +10 *2980:21 *3434:17 0.000432613 +11 *2988:69 *3434:9 0.00419975 +12 *2988:75 *3434:17 1.92336e-05 +13 *2988:77 *23043:A 0.00015709 +14 *2988:77 *3434:17 0.000383703 +15 *3144:22 *3434:8 0 +16 *3150:16 *3434:17 5.71998e-06 +17 *3251:6 *3434:8 0.000374679 +18 *3317:8 *3434:17 0 +*RES +1 *23555:Z *3434:8 44.581 +2 *3434:8 *3434:9 45.6463 +3 *3434:9 *3434:17 15.9017 +4 *3434:17 *5561:DIODE 9.24915 +5 *3434:17 *23043:A 11.0817 +*END + +*D_NET *3435 0.0384106 +*CONN +*I *23044:A I *D sky130_fd_sc_hd__buf_2 +*I *5562:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23556:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23044:A 6.95821e-05 +2 *5562:DIODE 4.18856e-05 +3 *23556:Z 6.75216e-05 +4 *3435:16 0.00249732 +5 *3435:15 0.00282197 +6 *3435:9 0.00657333 +7 *3435:8 0.00620474 +8 *4561:DIODE *3435:16 0 +9 *22799:A *3435:16 0 +10 *23534:A *3435:9 6.08467e-05 +11 *1347:25 *3435:15 0.00363637 +12 *1397:9 *3435:9 0.0102612 +13 *1419:14 *3435:8 0 +14 *2380:14 *3435:16 6.99177e-05 +15 *2818:22 *3435:8 0 +16 *2838:30 *3435:8 0.000127179 +17 *2988:21 *5562:DIODE 6.50727e-05 +18 *2988:21 *23044:A 0.000224395 +19 *2998:63 *23044:A 0.000224395 +20 *3094:5 *3435:9 6.24819e-05 +21 *3094:5 *3435:15 0.0036979 +22 *3121:6 *3435:16 0.000324979 +23 *3152:11 *3435:9 0.000448925 +24 *3152:11 *3435:15 0.00010238 +25 *3164:16 *3435:16 0 +26 *3200:50 *3435:16 0 +27 *3328:6 *23044:A 1.37385e-05 +28 *3328:6 *3435:16 0.000814466 +*RES +1 *23556:Z *3435:8 20.4964 +2 *3435:8 *3435:9 111.644 +3 *3435:9 *3435:15 45.179 +4 *3435:15 *3435:16 51.6623 +5 *3435:16 *5562:DIODE 14.4725 +6 *3435:16 *23044:A 17.1378 +*END + +*D_NET *3436 0.0568092 +*CONN +*I *23045:A I *D sky130_fd_sc_hd__buf_2 +*I *5563:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23557:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23045:A 0.000204592 +2 *5563:DIODE 0 +3 *23557:Z 0 +4 *3436:26 0.00137052 +5 *3436:23 0.00416778 +6 *3436:21 0.00331473 +7 *3436:18 0.000684243 +8 *3436:5 0.00112716 +9 *3436:4 0.000755795 +10 *3436:23 *3440:7 0.000503822 +11 *4590:DIODE *3436:5 5.49209e-05 +12 *4598:DIODE *3436:18 9.82896e-06 +13 *6377:DIODE *3436:23 0.000164843 +14 *22790:A *23045:A 0 +15 *23535:A *3436:23 0.000118166 +16 *23560:A *3436:23 2.85274e-05 +17 *23560:TE *3436:23 0.000171273 +18 *1398:15 *3436:23 0.00250088 +19 *2280:14 *3436:23 0.00199045 +20 *2388:41 *3436:5 0.000115848 +21 *2438:13 *3436:26 0.000256486 +22 *2458:17 *3436:23 0.00150804 +23 *2458:21 *3436:21 9.39633e-05 +24 *2463:12 *3436:26 0.000304364 +25 *2475:19 *3436:23 0.000472552 +26 *2475:31 *3436:21 0.00010238 +27 *2475:31 *3436:23 0.000108607 +28 *2893:75 *3436:23 8.38894e-05 +29 *2980:21 *23045:A 6.08467e-05 +30 *2988:77 *23045:A 6.08467e-05 +31 *3029:27 *3436:5 0.00404177 +32 *3129:27 *3436:21 0.0025035 +33 *3129:27 *3436:23 0.000317802 +34 *3132:17 *3436:23 0.00261086 +35 *3132:27 *3436:23 1.15389e-05 +36 *3146:5 *3436:5 0.00285212 +37 *3146:5 *3436:18 0.000598809 +38 *3146:11 *3436:18 0.000591935 +39 *3150:7 *3436:18 0.000543025 +40 *3150:7 *3436:21 0.00187214 +41 *3150:9 *3436:21 0.000369303 +42 *3150:9 *3436:23 0.0166047 +43 *3153:48 *3436:23 0.000341913 +44 *3154:36 *23045:A 0 +45 *3154:36 *3436:26 0 +46 *3339:10 *23045:A 0.000193768 +47 *3339:10 *3436:26 0.00107595 +48 *3339:23 *3436:26 1.0927e-05 +49 *3402:16 *3436:5 0.00193386 +*RES +1 *23557:Z *3436:4 9.24915 +2 *3436:4 *3436:5 53.4107 +3 *3436:5 *3436:18 24.2524 +4 *3436:18 *3436:21 29.8642 +5 *3436:21 *3436:23 192.894 +6 *3436:23 *3436:26 33.3234 +7 *3436:26 *5563:DIODE 13.7491 +8 *3436:26 *23045:A 18.7961 +*END + +*D_NET *3437 0.0520267 +*CONN +*I *23046:A I *D sky130_fd_sc_hd__buf_2 +*I *5564:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23558:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23046:A 0.000192369 +2 *5564:DIODE 0 +3 *23558:Z 0.0004747 +4 *3437:28 0.00186353 +5 *3437:27 0.00214586 +6 *3437:13 0.00806092 +7 *3437:11 0.00760589 +8 *3437:9 0.000557551 +9 *3437:7 0.00101259 +10 *23046:A *3440:55 0 +11 *3437:7 *3438:15 0.000224236 +12 *3437:7 *3438:17 0.00011237 +13 *3437:9 *3438:17 0.00451161 +14 *3437:13 *3438:35 0.0023508 +15 *3437:13 *3438:37 0.0042332 +16 *3437:27 *3438:49 1.65872e-05 +17 *4606:DIODE *3437:9 0.000413127 +18 *6424:DIODE *3437:7 0.000267344 +19 *22342:TE *3437:27 9.95922e-06 +20 *22566:A *3437:27 0.00022284 +21 *22791:A *23046:A 1.25173e-05 +22 *1422:11 *3437:7 2.15348e-05 +23 *1866:22 *23046:A 1.9946e-05 +24 *1866:22 *3437:28 2.1203e-06 +25 *1926:20 *3437:7 3.04105e-05 +26 *1941:54 *3437:27 0.000217923 +27 *2129:56 *3437:13 0.000108607 +28 *2310:26 *3437:27 6.04266e-05 +29 *2449:8 *3437:28 0 +30 *2454:18 *3437:27 0.00153648 +31 *2454:47 *3437:9 0.000775146 +32 *2454:59 *3437:7 6.25562e-05 +33 *2488:8 *3437:28 0.0022016 +34 *2893:75 *3437:28 8.43846e-05 +35 *2980:21 *23046:A 6.50586e-05 +36 *2988:77 *23046:A 6.50586e-05 +37 *3153:9 *3437:7 0.00249643 +38 *3153:9 *3437:9 2.62866e-05 +39 *3153:17 *3437:9 0.00293564 +40 *3153:17 *3437:11 9.95922e-06 +41 *3153:17 *3437:13 1.67988e-05 +42 *3153:21 *3437:13 0.00407442 +43 *3153:29 *3437:13 0.000555924 +44 *3155:40 *23046:A 5.38664e-05 +45 *3155:40 *3437:28 0.000561198 +46 *3159:26 *3437:28 9.60216e-05 +47 *3167:10 *3437:28 0 +48 *3168:17 *3437:27 0.000984386 +49 *3217:22 *3437:7 0.000676555 +50 *3350:6 *23046:A 0 +51 *3350:6 *3437:28 0 +*RES +1 *23558:Z *3437:7 37.9921 +2 *3437:7 *3437:9 49.5285 +3 *3437:9 *3437:11 0.578717 +4 *3437:11 *3437:13 134.383 +5 *3437:13 *3437:27 30.3011 +6 *3437:27 *3437:28 46.264 +7 *3437:28 *5564:DIODE 13.7491 +8 *3437:28 *23046:A 18.3808 +*END + +*D_NET *3438 0.0553978 +*CONN +*I *23047:A I *D sky130_fd_sc_hd__buf_2 +*I *5565:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23559:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23047:A 0.000211014 +2 *5565:DIODE 7.56208e-05 +3 *23559:Z 0.000326027 +4 *3438:50 0.00217502 +5 *3438:49 0.00390293 +6 *3438:37 0.00270442 +7 *3438:35 0.0012519 +8 *3438:23 0.00385083 +9 *3438:22 0.00349132 +10 *3438:17 0.00344986 +11 *3438:15 0.00357337 +12 *3438:50 *23048:A 0 +13 *3438:50 *3478:35 0.000522967 +14 *4890:DIODE *3438:35 0.00011818 +15 *4894:DIODE *3438:37 6.50727e-05 +16 *6424:DIODE *3438:15 0.000375801 +17 *22342:TE *3438:37 2.24708e-05 +18 *23550:TE *3438:35 2.20585e-05 +19 *347:10 *23047:A 7.09666e-06 +20 *1422:11 *3438:15 0.00037211 +21 *1818:11 *3438:37 2.36261e-05 +22 *1822:10 *3438:37 0.000183434 +23 *1926:20 *3438:15 0.000905192 +24 *2089:30 *3438:35 0 +25 *2317:7 *23047:A 0.000205215 +26 *2317:7 *3438:50 0.00246512 +27 *2398:8 *3438:22 2.72643e-05 +28 *2452:12 *3438:50 0 +29 *2454:18 *3438:49 0.00301285 +30 *2454:33 *3438:35 0.000307884 +31 *2454:33 *3438:37 4.54075e-05 +32 *2454:35 *3438:35 0.000105352 +33 *2465:8 *3438:49 0 +34 *2904:31 *3438:35 0.000317693 +35 *2907:31 *3438:37 0.0004282 +36 *2985:45 *23047:A 6.08467e-05 +37 *2988:77 *5565:DIODE 0.000111722 +38 *3001:134 *23047:A 3.57324e-05 +39 *3117:6 *3438:22 2.54013e-05 +40 *3153:29 *3438:35 0.00114387 +41 *3153:37 *3438:37 2.77625e-06 +42 *3153:44 *3438:37 0.000343194 +43 *3155:30 *3438:37 0.00145749 +44 *3217:22 *3438:15 0.000629906 +45 *3217:22 *3438:17 7.92757e-06 +46 *3373:8 *3438:50 0 +47 *3395:17 *23047:A 0.000114141 +48 *3395:17 *3438:50 1.9101e-05 +49 *3431:13 *3438:23 0.00545155 +50 *3437:7 *3438:15 0.000224236 +51 *3437:7 *3438:17 0.00011237 +52 *3437:9 *3438:17 0.00451161 +53 *3437:13 *3438:35 0.0023508 +54 *3437:13 *3438:37 0.0042332 +55 *3437:27 *3438:49 1.65872e-05 +*RES +1 *23559:Z *3438:15 28.9496 +2 *3438:15 *3438:17 56.7384 +3 *3438:17 *3438:22 12.493 +4 *3438:22 *3438:23 58.9568 +5 *3438:23 *3438:35 42.6895 +6 *3438:35 *3438:37 46.4782 +7 *3438:37 *3438:49 49.1262 +8 *3438:49 *3438:50 50.0013 +9 *3438:50 *5565:DIODE 15.0271 +10 *3438:50 *23047:A 19.2113 +*END + +*D_NET *3439 0.0700925 +*CONN +*I *6182:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23438:A I *D sky130_fd_sc_hd__nand2_1 +*I *22241:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6182:DIODE 6.70032e-05 +2 *23438:A 3.31186e-05 +3 *22241:X 2.50285e-05 +4 *3439:43 0.00128658 +5 *3439:39 0.00246479 +6 *3439:38 0.00138757 +7 *3439:33 0.00237455 +8 *3439:31 0.00246967 +9 *3439:26 0.00531002 +10 *3439:8 0.00832233 +11 *3439:7 0.00324168 +12 *3439:8 *3478:45 0 +13 la_oenb_core[69] *3439:8 0.000101489 +14 *4430:DIODE *3439:8 0 +15 *5464:DIODE *3439:33 6.36477e-05 +16 *5927:DIODE *3439:43 3.95516e-05 +17 *5939:DIODE *3439:39 0.000277502 +18 *5960:DIODE *3439:33 0.000167076 +19 *6190:DIODE *3439:39 0.000265216 +20 *6195:DIODE *3439:39 4.75147e-05 +21 *6219:DIODE *3439:33 4.05287e-05 +22 *22798:A *3439:8 0 +23 *23184:A *3439:43 0.000103943 +24 *23328:B *3439:33 8.39223e-05 +25 *23438:B *23438:A 1.47978e-05 +26 *23438:B *3439:43 0.000209312 +27 *23444:A *3439:39 0.000436686 +28 *23456:A *3439:33 0.000422382 +29 *1138:22 *3439:26 2.33103e-06 +30 *1138:22 *3439:31 0.000724166 +31 *1154:29 *3439:39 0.00454161 +32 *1156:11 *3439:26 0.000340646 +33 *1156:17 *3439:33 0.0032414 +34 *1158:23 *3439:26 0.000822442 +35 *1158:23 *3439:33 0.000137573 +36 *1159:25 *3439:33 0.00104622 +37 *1168:36 *3439:26 8.36264e-05 +38 *1557:5 *3439:43 0.000936355 +39 *1563:5 *3439:39 7.04574e-05 +40 *1575:5 *3439:33 0.00021714 +41 *1687:9 *3439:39 0.000472665 +42 *1687:9 *3439:43 2.41483e-05 +43 *1699:6 *3439:38 3.10307e-05 +44 *1866:38 *3439:8 0 +45 *1876:11 *3439:7 2.16355e-05 +46 *1876:11 *3439:8 0.000120114 +47 *2092:16 *3439:39 0.000982321 +48 *2092:30 *3439:33 3.163e-05 +49 *2111:39 *3439:8 0 +50 *2124:9 *6182:DIODE 1.79807e-05 +51 *2132:38 *3439:26 0.000397818 +52 *2214:22 *3439:39 0.000229324 +53 *2214:22 *3439:43 6.00156e-05 +54 *2343:8 *3439:26 6.60205e-05 +55 *2349:51 *3439:33 0.00300813 +56 *2360:39 *3439:39 0.00734525 +57 *2494:35 *3439:31 0.00101605 +58 *2494:35 *3439:33 0.000114874 +59 *2622:30 *3439:26 0.00566617 +60 *2624:31 *3439:26 0.000377134 +61 *2624:35 *3439:26 0.000264745 +62 *2753:9 *3439:43 2.82583e-05 +63 *2771:8 *3439:38 3.3151e-05 +64 *2787:9 *3439:26 7.08723e-06 +65 *2908:28 *3439:26 0 +66 *2914:21 *3439:26 8.69354e-06 +67 *2922:25 *3439:26 0.00010473 +68 *2928:8 *3439:26 0 +69 *2985:45 *3439:7 2.16355e-05 +70 *3028:28 *3439:8 0.00162706 +71 *3163:28 *3439:8 0 +72 *3166:23 *3439:8 0.000109747 +73 *3228:31 *3439:39 2.44412e-05 +74 *3228:35 *3439:39 0.00105533 +75 *3228:37 *3439:39 4.77678e-05 +76 *3329:21 *3439:33 0.000118166 +77 *3406:25 *3439:33 0.00390076 +78 *3406:33 *3439:33 0.000402006 +79 *3406:49 *3439:38 7.09666e-06 +80 *3417:35 *3439:33 0.000101365 +81 *3428:29 *3439:26 0.000828323 +*RES +1 *22241:X *3439:7 14.4725 +2 *3439:7 *3439:8 69.3105 +3 *3439:8 *3439:26 46.2542 +4 *3439:26 *3439:31 16.3396 +5 *3439:31 *3439:33 102.216 +6 *3439:33 *3439:38 11.2472 +7 *3439:38 *3439:39 96.1152 +8 *3439:39 *3439:43 20.7132 +9 *3439:43 *23438:A 10.2378 +10 *3439:43 *6182:DIODE 19.6659 +*END + +*D_NET *3440 0.0180698 +*CONN +*I *5566:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23048:A I *D sky130_fd_sc_hd__buf_2 +*I *23560:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5566:DIODE 2.41606e-05 +2 *23048:A 0.000181991 +3 *23560:Z 0.000472065 +4 *3440:55 0.000961496 +5 *3440:45 0.00243604 +6 *3440:21 0.00320001 +7 *3440:7 0.00199138 +8 *5560:DIODE *3440:45 6.50727e-05 +9 *23039:A *3440:21 1.07248e-05 +10 *23046:A *3440:55 0 +11 *23560:TE *3440:7 0.000171273 +12 *1866:16 *3440:45 8.23875e-05 +13 *1866:22 *3440:45 6.50586e-05 +14 *1866:22 *3440:55 0.000140246 +15 *2281:7 *3440:21 0 +16 *2469:18 *3440:21 0.00128455 +17 *2983:79 *3440:45 0.00109282 +18 *3002:29 *5566:DIODE 6.08467e-05 +19 *3002:29 *3440:21 0.00111741 +20 *3002:29 *3440:45 0.0016139 +21 *3002:29 *3440:55 0.00136494 +22 *3147:34 *3440:21 6.79109e-05 +23 *3153:48 *3440:45 7.39022e-06 +24 *3273:8 *3440:21 0 +25 *3339:10 *3440:45 0 +26 *3350:6 *3440:55 1.92411e-05 +27 *3373:8 *23048:A 0.000177913 +28 *3430:12 *3440:21 0.00095714 +29 *3436:23 *3440:7 0.000503822 +30 *3438:50 *23048:A 0 +*RES +1 *23560:Z *3440:7 27.783 +2 *3440:7 *3440:21 49.5676 +3 *3440:21 *3440:45 47.2965 +4 *3440:45 *3440:55 28.8017 +5 *3440:55 *23048:A 22.1574 +6 *3440:55 *5566:DIODE 9.97254 +*END + +*D_NET *3441 0.0271691 +*CONN +*I *23049:A I *D sky130_fd_sc_hd__buf_2 +*I *5567:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23561:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23049:A 0.000277523 +2 *5567:DIODE 0 +3 *23561:Z 0 +4 *3441:22 0.00138505 +5 *3441:18 0.00168906 +6 *3441:13 0.00313284 +7 *3441:11 0.00266565 +8 *3441:5 0.00338994 +9 *3441:4 0.0032756 +10 *3441:11 *3454:5 7.92757e-06 +11 *3441:13 *3454:5 0.0024288 +12 *3441:13 *3454:9 0.000176049 +13 *3441:22 *3443:10 0 +14 *3484:DIODE *3441:5 0.000167076 +15 *6428:DIODE *3441:5 0.00027329 +16 *6452:DIODE *3441:13 0.000271058 +17 *21294:A *3441:5 0.000118166 +18 *23573:TE *3441:5 7.22498e-05 +19 *349:10 *23049:A 7.09666e-06 +20 *2083:23 *3441:5 0.000291404 +21 *2083:23 *3441:13 0.000165394 +22 *2435:10 *23049:A 0.00019362 +23 *2435:10 *3441:22 4.4978e-05 +24 *2447:14 *3441:18 0.000124464 +25 *2447:15 *3441:22 0.000167076 +26 *2982:33 *3441:22 0.00283194 +27 *2985:45 *23049:A 6.08467e-05 +28 *3001:134 *23049:A 6.50727e-05 +29 *3148:9 *3441:5 0.00143485 +30 *3148:13 *3441:5 0.00120054 +31 *3148:13 *3441:11 4.78069e-06 +32 *3162:25 *3441:5 0.000579032 +33 *3350:6 *3441:18 0.000667706 +34 *3395:17 *23049:A 0 +*RES +1 *23561:Z *3441:4 9.24915 +2 *3441:4 *3441:5 92.7876 +3 *3441:5 *3441:11 2.43543 +4 *3441:11 *3441:13 71.1581 +5 *3441:13 *3441:18 23.2896 +6 *3441:18 *3441:22 40.7413 +7 *3441:22 *5567:DIODE 13.7491 +8 *3441:22 *23049:A 20.6769 +*END + +*D_NET *3442 0.0430937 +*CONN +*I *23050:A I *D sky130_fd_sc_hd__buf_2 +*I *5568:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23562:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23050:A 0.000258242 +2 *5568:DIODE 0 +3 *23562:Z 0.00114463 +4 *3442:18 0.000711963 +5 *3442:15 0.00214645 +6 *3442:14 0.00187046 +7 *3442:11 0.00132235 +8 *23050:A *3443:10 2.24314e-05 +9 *23050:A *3443:17 0 +10 *3442:11 *3446:10 0.000164815 +11 *3442:15 *3446:11 0.0157399 +12 *3442:15 *3449:5 0.0164024 +13 *3442:18 *3443:10 0.000160586 +14 *6430:DIODE *3442:11 0.000222149 +15 *23566:TE *3442:11 0.000169041 +16 *1407:18 *3442:11 0.000580998 +17 *2445:11 *3442:15 0.000276265 +18 *2445:21 *3442:15 1.41976e-05 +19 *2450:27 *3442:11 0.000723976 +20 *2450:35 *3442:11 0.000565226 +21 *2471:11 *3442:15 0.000110257 +22 *2977:29 *3442:15 0.00017378 +23 *3395:17 *23050:A 6.01588e-05 +24 *3406:8 *23050:A 0 +25 *3406:8 *3442:18 0 +26 *3412:14 *3442:14 4.01936e-05 +27 *3415:8 *3442:14 0.000213248 +*RES +1 *23562:Z *3442:11 46.8325 +2 *3442:11 *3442:14 8.82351 +3 *3442:14 *3442:15 177.087 +4 *3442:15 *3442:18 13.8065 +5 *3442:18 *5568:DIODE 13.7491 +6 *3442:18 *23050:A 18.8055 +*END + +*D_NET *3443 0.00719813 +*CONN +*I *5569:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23051:A I *D sky130_fd_sc_hd__buf_2 +*I *23563:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5569:DIODE 6.19783e-06 +2 *23051:A 0.000153468 +3 *23563:Z 0.0014586 +4 *3443:17 0.000577903 +5 *3443:10 0.00187684 +6 *4428:DIODE *23051:A 2.59441e-05 +7 *23050:A *3443:10 2.24314e-05 +8 *23050:A *3443:17 0 +9 *23563:A *3443:10 5.67857e-05 +10 *1426:9 *3443:10 6.08167e-05 +11 *2338:11 *23051:A 0.000168272 +12 *2435:10 *3443:10 0 +13 *2439:13 *3443:10 0.000483474 +14 *2444:8 *3443:10 2.78668e-05 +15 *2980:21 *5569:DIODE 6.50727e-05 +16 *2980:21 *3443:17 0.000772101 +17 *2988:77 *3443:17 0.000484783 +18 *2988:91 *5569:DIODE 5.31074e-05 +19 *2988:91 *3443:17 0.000632091 +20 *3395:17 *3443:10 4.69495e-06 +21 *3406:8 *3443:17 1.03986e-05 +22 *3417:13 *23051:A 9.66954e-05 +23 *3441:22 *3443:10 0 +24 *3442:18 *3443:10 0.000160586 +*RES +1 *23563:Z *3443:10 48.9107 +2 *3443:10 *3443:17 23.095 +3 *3443:17 *23051:A 22.1574 +4 *3443:17 *5569:DIODE 9.97254 +*END + +*D_NET *3444 0.00491311 +*CONN +*I *23052:A I *D sky130_fd_sc_hd__buf_2 +*I *5570:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23564:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23052:A 0.000116916 +2 *5570:DIODE 0 +3 *23564:Z 2.70994e-05 +4 *3444:8 0.00200949 +5 *3444:7 0.00191968 +6 *3444:7 *3452:13 0.000271058 +7 *3444:7 *3456:13 0.000275256 +8 *4428:DIODE *23052:A 0 +9 *22797:A *23052:A 1.5047e-05 +10 *22797:A *3444:8 1.20415e-05 +11 *2338:11 *23052:A 0 +12 *2338:11 *3444:8 0 +13 *2504:30 *3444:8 3.93182e-05 +14 *2980:21 *23052:A 6.50727e-05 +15 *2988:91 *23052:A 6.50727e-05 +16 *2989:62 *23052:A 1.91246e-05 +17 *2989:62 *3444:8 4.49912e-05 +18 *2998:142 *3444:8 3.29488e-05 +19 *3161:26 *3444:8 0 +*RES +1 *23564:Z *3444:7 16.691 +2 *3444:7 *3444:8 33.8064 +3 *3444:8 *5570:DIODE 13.7491 +4 *3444:8 *23052:A 16.7198 +*END + +*D_NET *3445 0.038815 +*CONN +*I *23053:A I *D sky130_fd_sc_hd__buf_2 +*I *5571:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23565:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23053:A 0.000209021 +2 *5571:DIODE 0 +3 *23565:Z 0.000433342 +4 *3445:16 0.00269796 +5 *3445:15 0.00248894 +6 *3445:13 0.000795071 +7 *3445:11 0.000818105 +8 *3445:9 0.00253645 +9 *3445:7 0.00294676 +10 *6392:DIODE *3445:7 0.000162739 +11 *22473:B *3445:13 0.000218638 +12 *22798:A *23053:A 0 +13 *23661:A *23053:A 1.05272e-06 +14 *1137:51 *3445:9 0.000683754 +15 *1137:51 *3445:13 0.00322052 +16 *1137:61 *3445:9 0.000927209 +17 *1179:50 *3445:16 2.43314e-05 +18 *1179:51 *3445:13 0.00544521 +19 *1305:20 *3445:7 0.000682844 +20 *1435:10 *3445:9 0.000312566 +21 *1821:5 *3445:13 0.000368396 +22 *2070:43 *3445:16 1.91391e-05 +23 *2304:18 *3445:13 0.00343956 +24 *2312:24 *3445:13 1.65872e-05 +25 *2313:8 *3445:13 1.15389e-05 +26 *2331:12 *3445:9 0.000393802 +27 *2349:36 *3445:16 1.5714e-05 +28 *2382:27 *3445:16 1.5714e-05 +29 *2415:14 *3445:16 9.06916e-05 +30 *2439:12 *3445:16 0.00197193 +31 *2441:10 *3445:16 0.000768598 +32 *2445:8 *3445:16 0 +33 *2498:26 *3445:16 1.5714e-05 +34 *2501:24 *3445:16 7.48886e-05 +35 *2980:21 *23053:A 6.50586e-05 +36 *2988:91 *23053:A 6.50586e-05 +37 *2998:142 *23053:A 5.35941e-05 +38 *2998:142 *3445:16 9.12416e-06 +39 *3147:25 *3445:7 6.29154e-05 +40 *3147:29 *3445:7 0.00211155 +41 *3147:29 *3445:9 1.37531e-05 +42 *3147:31 *3445:9 0.00456667 +43 *3163:28 *3445:16 0 +44 *3167:16 *3445:16 0 +45 *3190:10 *3445:16 6.0493e-05 +46 *3428:8 *23053:A 0 +47 *3428:8 *3445:16 0 +*RES +1 *23565:Z *3445:7 34.3992 +2 *3445:7 *3445:9 78.3679 +3 *3445:9 *3445:11 0.578717 +4 *3445:11 *3445:13 72.8219 +5 *3445:13 *3445:15 4.5 +6 *3445:15 *3445:16 62.4588 +7 *3445:16 *5571:DIODE 13.7491 +8 *3445:16 *23053:A 18.3808 +*END + +*D_NET *3446 0.0445662 +*CONN +*I *23054:A I *D sky130_fd_sc_hd__buf_2 +*I *5572:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23566:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23054:A 0.000315935 +2 *5572:DIODE 0 +3 *23566:Z 0.00031768 +4 *3446:14 0.000649195 +5 *3446:11 0.00266106 +6 *3446:10 0.00264548 +7 *23054:A *3461:6 9.97479e-06 +8 *3446:11 *3449:5 0.000823151 +9 *3446:14 *3461:6 5.53978e-05 +10 *3446:14 *3478:45 0 +11 *22800:A *23054:A 7.45053e-05 +12 *23658:A *23054:A 6.61829e-06 +13 *1304:11 *3446:10 0.000267333 +14 *1873:9 *23054:A 0.000322399 +15 *1873:9 *3446:14 3.6666e-05 +16 *2445:11 *3446:11 0.0177424 +17 *2450:27 *3446:10 0.000820728 +18 *2471:11 *3446:11 0.000110257 +19 *2977:29 *3446:11 0.0001156 +20 *3133:43 *3446:10 6.51089e-05 +21 *3167:19 *3446:11 0.00144947 +22 *3416:8 *3446:10 0.000172446 +23 *3442:11 *3446:10 0.000164815 +24 *3442:15 *3446:11 0.0157399 +*RES +1 *23566:Z *3446:10 30.7846 +2 *3446:10 *3446:11 205.927 +3 *3446:11 *3446:14 10.8998 +4 *3446:14 *5572:DIODE 13.7491 +5 *3446:14 *23054:A 22.2985 +*END + +*D_NET *3447 0.00959457 +*CONN +*I *23055:A I *D sky130_fd_sc_hd__buf_2 +*I *5573:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23567:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23055:A 0.000192577 +2 *5573:DIODE 2.59228e-05 +3 *23567:Z 0.000577148 +4 *3447:12 0.00270037 +5 *3447:11 0.00305902 +6 *23055:A *3450:6 6.23297e-05 +7 *3447:12 *3450:6 0.000955344 +8 *23567:TE *3447:11 0.000171288 +9 *1368:23 *3447:11 0.00178156 +10 *2008:53 *3447:12 3.93117e-06 +11 *3002:17 *5573:DIODE 6.50727e-05 +12 *3176:30 *23055:A 0 +13 *3176:30 *3447:12 0 +*RES +1 *23567:Z *3447:11 35.5957 +2 *3447:11 *3447:12 52.4928 +3 *3447:12 *5573:DIODE 14.4725 +4 *3447:12 *23055:A 17.6574 +*END + +*D_NET *3448 0.0264427 +*CONN +*I *23056:A I *D sky130_fd_sc_hd__buf_2 +*I *5574:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23568:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23056:A 0.000149978 +2 *5574:DIODE 1.47608e-05 +3 *23568:Z 2.06324e-05 +4 *3448:14 0.00191175 +5 *3448:7 0.00411771 +6 *3448:5 0.00239133 +7 *5574:DIODE *3478:92 6.08467e-05 +8 *23056:A *3461:6 0 +9 *3448:14 *3461:6 0 +10 *22344:A *3448:7 6.23875e-05 +11 *22344:TE *3448:7 2.65667e-05 +12 *23568:TE *3448:5 0 +13 *1311:10 *3448:14 7.43316e-05 +14 *1427:9 *3448:7 8.89824e-05 +15 *1427:18 *3448:7 0.000221222 +16 *2089:34 *3448:7 0.0119178 +17 *2349:17 *23056:A 0.000197055 +18 *2349:17 *3448:14 0.000151962 +19 *2423:10 *3448:14 0.00136278 +20 *2456:20 *3448:14 0 +21 *2491:12 *3448:14 0 +22 *2506:14 *3448:14 0 +23 *2983:93 *5574:DIODE 6.08467e-05 +24 *3165:22 *3448:14 6.63377e-05 +25 *3170:5 *3448:7 0.00147612 +26 *3170:12 *3448:7 0.00206938 +*RES +1 *23568:Z *3448:5 9.82786 +2 *3448:5 *3448:7 129.946 +3 *3448:7 *3448:14 45.439 +4 *3448:14 *5574:DIODE 14.4725 +5 *3448:14 *23056:A 17.6574 +*END + +*D_NET *3449 0.0419687 +*CONN +*I *23057:A I *D sky130_fd_sc_hd__buf_2 +*I *5575:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23569:Z O *D sky130_fd_sc_hd__einvp_8 +*CAP +1 *23057:A 0.00021874 +2 *5575:DIODE 0 +3 *23569:Z 0 +4 *3449:8 0.00073201 +5 *3449:5 0.00307307 +6 *3449:4 0.0025598 +7 *3449:8 *3453:20 5.48015e-06 +8 *6444:DIODE *3449:5 0.000171273 +9 *1432:9 *3449:5 6.92705e-05 +10 *1876:14 *23057:A 0 +11 *2445:21 *3449:5 1.15389e-05 +12 *2452:19 *3449:5 0.0100666 +13 *2455:9 *3449:5 0.00477576 +14 *2471:11 *3449:5 0.000101365 +15 *2977:29 *3449:5 0.000291404 +16 *2980:21 *23057:A 6.50586e-05 +17 *2988:93 *23057:A 6.50586e-05 +18 *3167:19 *3449:5 0.0025367 +19 *3171:8 *23057:A 0 +20 *3171:8 *3449:8 0 +21 *3442:15 *3449:5 0.0164024 +22 *3446:11 *3449:5 0.000823151 +*RES +1 *23569:Z *3449:4 9.24915 +2 *3449:4 *3449:5 227.556 +3 *3449:5 *3449:8 13.8065 +4 *3449:8 *5575:DIODE 13.7491 +5 *3449:8 *23057:A 18.3808 +*END + +*D_NET *3450 0.0539332 +*CONN +*I *6184:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23439:A I *D sky130_fd_sc_hd__nand2_2 +*I *22242:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6184:DIODE 0 +2 *23439:A 0.000120684 +3 *22242:X 0 +4 *3450:21 0.00321129 +5 *3450:20 0.0040669 +6 *3450:9 0.00412189 +7 *3450:8 0.00314559 +8 *3450:6 0.00749844 +9 *3450:5 0.00749844 +10 la_oenb_core[6] *3450:6 0.000112673 +11 *4431:DIODE *3450:6 2.3863e-05 +12 *4807:DIODE *3450:6 3.6482e-05 +13 *5906:DIODE *3450:21 0.000356482 +14 *6041:DIODE *3450:20 0.000259567 +15 *22307:TE *3450:6 2.90291e-05 +16 *22799:A *3450:6 0 +17 *23055:A *3450:6 6.23297e-05 +18 *1150:26 *3450:20 2.01653e-05 +19 *1157:65 *3450:6 0 +20 *1169:88 *3450:6 2.83629e-05 +21 *1371:34 *3450:6 7.4235e-06 +22 *1371:39 *3450:6 0 +23 *1374:8 *3450:6 0 +24 *1394:8 *3450:6 0 +25 *1486:11 *3450:20 0.000148407 +26 *1778:9 *3450:6 5.30356e-05 +27 *1783:8 *3450:6 0.000170097 +28 *1896:31 *3450:6 0.000276031 +29 *2060:23 *3450:6 2.84789e-05 +30 *2141:31 *3450:20 0.00199038 +31 *2141:32 *3450:21 0.00219682 +32 *2145:20 *3450:9 0.0104617 +33 *2145:34 *3450:21 6.01574e-05 +34 *2145:39 *23439:A 0.000111722 +35 *2145:39 *3450:21 0.00316679 +36 *2344:57 *3450:6 2.30741e-05 +37 *2365:43 *3450:20 0 +38 *2397:32 *3450:6 0 +39 *2448:8 *3450:6 0 +40 *2469:24 *3450:6 1.60415e-05 +41 *2544:10 *23439:A 0.000242149 +42 *2556:8 *3450:20 2.87136e-06 +43 *2689:18 *23439:A 0.000245747 +44 *2702:8 *3450:20 1.91246e-05 +45 *2714:11 *3450:9 0.00209112 +46 *2744:15 *3450:21 0.000302686 +47 *2836:44 *3450:6 0 +48 *2855:33 *3450:6 0.000751464 +49 *2856:34 *3450:6 0 +50 *2858:10 *3450:6 0 +51 *3071:17 *3450:6 2.03872e-05 +52 *3116:11 *3450:6 0 +53 *3164:16 *3450:6 0 +54 *3176:30 *3450:6 0 +55 *3200:50 *3450:6 0 +56 *3268:15 *3450:6 0 +57 *3447:12 *3450:6 0.000955344 +*RES +1 *22242:X *3450:5 13.7491 +2 *3450:5 *3450:6 156.721 +3 *3450:6 *3450:8 4.5 +4 *3450:8 *3450:9 112.753 +5 *3450:9 *3450:20 19.6043 +6 *3450:20 *3450:21 92.233 +7 *3450:21 *23439:A 23.8507 +8 *3450:21 *6184:DIODE 9.24915 +*END + +*D_NET *3451 0.0267715 +*CONN +*I *23058:A I *D sky130_fd_sc_hd__buf_2 +*I *5576:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23570:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23058:A 0.000393329 +2 *5576:DIODE 0 +3 *23570:Z 0 +4 *3451:20 0.00176368 +5 *3451:17 0.00382222 +6 *3451:16 0.00282457 +7 *3451:5 0.000956403 +8 *3451:4 0.000583706 +9 la_data_in_core[73] *23058:A 1.24189e-05 +10 la_oenb_core[72] *23058:A 8.03676e-06 +11 *6446:DIODE *3451:5 0.000367354 +12 *22802:A *23058:A 5.93901e-05 +13 *22803:A *23058:A 0 +14 *1433:9 *3451:5 6.50586e-05 +15 *2360:17 *3451:20 0 +16 *2360:33 *3451:16 0.00110824 +17 *2393:49 *3451:16 0.000892309 +18 *2440:15 *3451:5 0.00173263 +19 *2440:15 *3451:17 0.00355182 +20 *2463:12 *3451:16 1.86242e-05 +21 *2465:15 *3451:5 0.000118367 +22 *2490:20 *3451:16 8.20799e-06 +23 *2893:64 *3451:5 0.00442609 +24 *2893:81 *3451:17 0.00308453 +25 *2985:45 *23058:A 6.50586e-05 +26 *3150:16 *3451:16 0 +27 *3154:36 *3451:16 1.32841e-05 +28 *3159:36 *3451:17 0.000578922 +29 *3167:20 *23058:A 4.90185e-05 +30 *3167:20 *3451:20 0.000165375 +31 *3168:28 *23058:A 0 +32 *3168:28 *3451:20 0 +33 *3171:8 *3451:20 0 +34 *3171:27 *23058:A 6.50586e-05 +35 *3317:8 *3451:16 3.77568e-05 +*RES +1 *23570:Z *3451:4 9.24915 +2 *3451:4 *3451:5 47.8647 +3 *3451:5 *3451:16 18.8285 +4 *3451:16 *3451:17 96.1152 +5 *3451:17 *3451:20 30.8319 +6 *3451:20 *5576:DIODE 13.7491 +7 *3451:20 *23058:A 22.1181 +*END + +*D_NET *3452 0.0259177 +*CONN +*I *23059:A I *D sky130_fd_sc_hd__buf_2 +*I *5577:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23571:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23059:A 0.000175257 +2 *5577:DIODE 9.34923e-06 +3 *23571:Z 0.000324093 +4 *3452:16 0.00139957 +5 *3452:13 0.00753566 +6 *3452:11 0.00664479 +7 *5577:DIODE *3478:92 6.50727e-05 +8 *3452:11 *3456:9 0.000171288 +9 *3452:13 *3456:9 0.000535701 +10 *3452:13 *3456:13 0.00162592 +11 *3479:DIODE *3452:13 7.36794e-05 +12 *6448:DIODE *3452:11 0.000250254 +13 *1944:25 *23059:A 3.56234e-05 +14 *1944:25 *3452:16 8.90339e-05 +15 *2371:6 *23059:A 6.2757e-05 +16 *2371:6 *3452:16 0.000556943 +17 *2501:24 *3452:13 0.000309145 +18 *2508:16 *3452:16 0.0010946 +19 *2983:93 *5577:DIODE 6.50727e-05 +20 *3159:20 *3452:11 0.000342147 +21 *3159:32 *3452:13 0.00292939 +22 *3163:19 *3452:11 0.00135125 +23 *3444:7 *3452:13 0.000271058 +*RES +1 *23571:Z *3452:11 27.7922 +2 *3452:11 *3452:13 106.098 +3 *3452:13 *3452:16 36.2302 +4 *3452:16 *5577:DIODE 14.4725 +5 *3452:16 *23059:A 17.6574 +*END + +*D_NET *3453 0.0230925 +*CONN +*I *23060:A I *D sky130_fd_sc_hd__buf_2 +*I *5578:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23572:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23060:A 0.00022288 +2 *5578:DIODE 0 +3 *23572:Z 0.00048512 +4 *3453:24 0.00108839 +5 *3453:20 0.00286875 +6 *3453:17 0.0041762 +7 *3453:12 0.00265808 +8 *6450:DIODE *3453:12 1.76273e-05 +9 *1435:11 *3453:12 1.84293e-05 +10 *2088:33 *3453:20 4.69495e-06 +11 *2134:36 *3453:12 0.00257987 +12 *2338:7 *3453:12 4.62168e-05 +13 *2382:9 *23060:A 0 +14 *2382:9 *3453:24 0 +15 *2454:9 *3453:17 0.00361117 +16 *2455:9 *3453:24 0.00211427 +17 *2506:14 *3453:20 0 +18 *2508:15 *3453:24 0.0007828 +19 *2905:46 *3453:12 0.000406606 +20 *2905:52 *3453:12 0.00117522 +21 *2905:54 *3453:12 0.000452497 +22 *2980:21 *23060:A 6.50586e-05 +23 *2988:93 *23060:A 6.50586e-05 +24 *3167:19 *3453:24 0.000248046 +25 *3170:18 *23060:A 0 +26 *3170:18 *3453:24 0 +27 *3171:8 *3453:20 0 +28 *3417:14 *3453:12 0 +29 *3449:8 *3453:20 5.48015e-06 +*RES +1 *23572:Z *3453:12 47.2765 +2 *3453:12 *3453:17 47.991 +3 *3453:17 *3453:20 42.8742 +4 *3453:20 *3453:24 36.2988 +5 *3453:24 *5578:DIODE 13.7491 +6 *3453:24 *23060:A 18.3808 +*END + +*D_NET *3454 0.0299937 +*CONN +*I *23061:A I *D sky130_fd_sc_hd__buf_2 +*I *5579:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23573:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23061:A 0.000257928 +2 *5579:DIODE 0 +3 *23573:Z 0 +4 *3454:16 0.00125201 +5 *3454:13 0.00508549 +6 *3454:11 0.00411444 +7 *3454:9 0.00167486 +8 *3454:7 0.00167486 +9 *3454:5 0.000879908 +10 *3454:4 0.000856874 +11 *3454:9 *3457:7 0.00267499 +12 *3454:13 *3457:9 0.00143521 +13 la_data_in_core[76] *23061:A 8.03676e-06 +14 la_oenb_core[75] *23061:A 1.48605e-05 +15 *5324:DIODE *23061:A 0 +16 *6452:DIODE *3454:5 0.000271058 +17 *23576:TE *3454:9 4.26566e-05 +18 *2083:23 *3454:5 0.000152056 +19 *2393:6 *23061:A 0 +20 *2393:6 *3454:16 0 +21 *2404:21 *3454:13 0.000101365 +22 *2452:13 *3454:5 0.00112056 +23 *2452:13 *3454:9 0.00217873 +24 *3148:13 *3454:5 0.00331188 +25 *3160:19 *3454:9 0.000273126 +26 *3174:10 *3454:16 0 +27 *3441:11 *3454:5 7.92757e-06 +28 *3441:13 *3454:5 0.0024288 +29 *3441:13 *3454:9 0.000176049 +*RES +1 *23573:Z *3454:4 9.24915 +2 *3454:4 *3454:5 63.6709 +3 *3454:5 *3454:7 0.578717 +4 *3454:7 *3454:9 58.4022 +5 *3454:9 *3454:11 0.578717 +6 *3454:11 *3454:13 64.2255 +7 *3454:13 *3454:16 22.5268 +8 *3454:16 *5579:DIODE 13.7491 +9 *3454:16 *23061:A 18.4879 +*END + +*D_NET *3455 0.0107611 +*CONN +*I *23062:A I *D sky130_fd_sc_hd__buf_2 +*I *5580:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23574:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23062:A 0.000204289 +2 *5580:DIODE 0 +3 *23574:Z 0.000656158 +4 *3455:8 0.00184012 +5 *3455:7 0.00229199 +6 *3455:7 *3464:7 0.0032308 +7 *6454:DIODE *3455:7 0.000119727 +8 *22807:A *23062:A 0 +9 *2089:40 *3455:7 0.000245482 +10 *2089:42 *3455:7 0.000323875 +11 *2359:6 *3455:8 0 +12 *2415:14 *23062:A 0.000197846 +13 *2415:14 *3455:8 0.000300418 +14 *2496:16 *3455:8 0.000922074 +15 *2980:21 *23062:A 0.000118166 +16 *2988:93 *23062:A 0.000118166 +17 *3172:16 *3455:8 0 +18 *3185:14 *3455:8 0.000156945 +19 *3361:52 *3455:8 3.50176e-05 +*RES +1 *23574:Z *3455:7 48.858 +2 *3455:7 *3455:8 38.3742 +3 *3455:8 *5580:DIODE 13.7491 +4 *3455:8 *23062:A 19.3507 +*END + +*D_NET *3456 0.0235079 +*CONN +*I *23063:A I *D sky130_fd_sc_hd__buf_2 +*I *5581:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23575:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23063:A 0.000404959 +2 *5581:DIODE 0 +3 *23575:Z 0.000311218 +4 *3456:16 0.00162891 +5 *3456:13 0.0041737 +6 *3456:11 0.00297278 +7 *3456:9 0.00110441 +8 *3456:8 0.00139259 +9 la_data_in_core[78] *23063:A 3.29488e-05 +10 la_oenb_core[77] *23063:A 2.15184e-05 +11 *3479:DIODE *3456:9 0.000171904 +12 *22808:A *23063:A 0.000262474 +13 *23564:A *3456:13 2.44829e-05 +14 *23564:TE *3456:13 0.000164843 +15 *23575:A *3456:8 4.42742e-06 +16 *1438:9 *3456:8 0 +17 *2110:43 *3456:13 0.000108607 +18 *2415:14 *23063:A 0 +19 *2426:14 *23063:A 0 +20 *2426:14 *3456:16 0 +21 *2453:10 *3456:16 0.0013763 +22 *2472:6 *3456:16 0.000289818 +23 *2501:24 *3456:13 0.000317568 +24 *2893:75 *3456:8 3.04331e-05 +25 *2985:45 *23063:A 0.000118166 +26 *3153:48 *3456:8 1.92489e-05 +27 *3159:20 *3456:8 3.00073e-05 +28 *3159:32 *3456:9 0.00127369 +29 *3163:19 *3456:9 0.00466468 +30 *3339:23 *3456:8 0 +31 *3444:7 *3456:13 0.000275256 +32 *3452:11 *3456:9 0.000171288 +33 *3452:13 *3456:9 0.000535701 +34 *3452:13 *3456:13 0.00162592 +*RES +1 *23575:Z *3456:8 25.0642 +2 *3456:8 *3456:9 60.3433 +3 *3456:9 *3456:11 0.578717 +4 *3456:11 *3456:13 81.4182 +5 *3456:13 *3456:16 36.6454 +6 *3456:16 *5581:DIODE 13.7491 +7 *3456:16 *23063:A 23.0879 +*END + +*D_NET *3457 0.0165889 +*CONN +*I *5582:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23064:A I *D sky130_fd_sc_hd__buf_2 +*I *23576:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5582:DIODE 0.000175811 +2 *23064:A 0 +3 *23576:Z 0.000539811 +4 *3457:14 0.0012671 +5 *3457:9 0.00446288 +6 *3457:7 0.0039114 +7 *3457:14 *23065:A 6.16595e-06 +8 *22809:A *5582:DIODE 2.18052e-05 +9 *22809:A *3457:14 0 +10 *23576:A *3457:7 8.39059e-05 +11 *1865:11 *5582:DIODE 0.000118166 +12 *1876:25 *3457:14 1.60998e-05 +13 *1877:49 *5582:DIODE 0.000122378 +14 *1942:25 *3457:14 0 +15 *2359:9 *3457:9 0.00032818 +16 *2404:21 *3457:9 0.000101365 +17 *2437:8 *5582:DIODE 0.000117975 +18 *2437:8 *3457:14 0 +19 *2451:6 *3457:14 0 +20 *2980:21 *5582:DIODE 0.000366603 +21 *2988:120 *5582:DIODE 0.000366603 +22 *3160:19 *3457:7 0.000472454 +23 *3191:8 *3457:14 0 +24 *3454:9 *3457:7 0.00267499 +25 *3454:13 *3457:9 0.00143521 +*RES +1 *23576:Z *3457:7 38.5587 +2 *3457:7 *3457:9 89.7373 +3 *3457:9 *3457:14 29.1031 +4 *3457:14 *23064:A 9.24915 +5 *3457:14 *5582:DIODE 26.2407 +*END + +*D_NET *3458 0.0101629 +*CONN +*I *5583:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23065:A I *D sky130_fd_sc_hd__buf_2 +*I *23577:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5583:DIODE 0.000255063 +2 *23065:A 0.000352981 +3 *23577:Z 0 +4 *3458:9 0.000972364 +5 *3458:6 0.00277302 +6 *3458:5 0.0024087 +7 *3458:6 *23650:B 1.4789e-05 +8 la_data_in_core[79] *23065:A 9.7112e-06 +9 la_oenb_core[78] *23065:A 0 +10 la_oenb_core[79] *23065:A 5.07314e-05 +11 *21628:A *23065:A 6.50727e-05 +12 *22809:A *23065:A 0.00026259 +13 *23650:A *3458:6 0.000104166 +14 *1865:11 *3458:6 1.88579e-05 +15 *1877:18 *3458:6 3.81715e-05 +16 *1942:25 *23065:A 0 +17 *1942:25 *3458:6 0.000417931 +18 *1942:29 *3458:6 0.000694082 +19 *2426:14 *3458:6 0.000251956 +20 *2461:24 *3458:6 0.000186906 +21 *2472:6 *3458:6 0 +22 *2490:20 *3458:6 6.62173e-05 +23 *2985:45 *23065:A 0.000303594 +24 *2988:93 *3458:9 6.50727e-05 +25 *2988:120 *5583:DIODE 0.000417464 +26 *2988:120 *3458:9 0.000427249 +27 *3457:14 *23065:A 6.16595e-06 +*RES +1 *23577:Z *3458:5 13.7491 +2 *3458:5 *3458:6 58.3063 +3 *3458:6 *3458:9 10.7694 +4 *3458:9 *23065:A 28.4804 +5 *3458:9 *5583:DIODE 13.8548 +*END + +*D_NET *3459 0.0120739 +*CONN +*I *5584:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23066:A I *D sky130_fd_sc_hd__buf_2 +*I *23578:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *5584:DIODE 4.29171e-05 +2 *23066:A 0.000187944 +3 *23578:Z 0 +4 *3459:9 0.000412838 +5 *3459:6 0.00283056 +6 *3459:5 0.00264858 +7 *23589:A *3459:6 1.26257e-05 +8 *1371:39 *3459:6 0 +9 *2448:8 *23066:A 0 +10 *2448:8 *3459:6 0.00368529 +11 *2497:8 *23066:A 0.000180799 +12 *2841:30 *3459:6 0.000181917 +13 *2848:47 *3459:6 4.70029e-05 +14 *2988:21 *23066:A 6.08467e-05 +15 *2998:63 *23066:A 6.08467e-05 +16 *3002:17 *5584:DIODE 0.000111722 +17 *3002:17 *3459:9 0.000532369 +18 *3176:30 *3459:6 0.00107763 +*RES +1 *23578:Z *3459:5 13.7491 +2 *3459:5 *3459:6 75.3316 +3 *3459:6 *3459:9 10.2148 +4 *3459:9 *23066:A 22.8808 +5 *3459:9 *5584:DIODE 10.5271 +*END + +*D_NET *3460 0.00575546 +*CONN +*I *23067:A I *D sky130_fd_sc_hd__buf_2 +*I *5585:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23579:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23067:A 0.000323888 +2 *5585:DIODE 0 +3 *23579:Z 0 +4 *3460:6 0.00264995 +5 *3460:5 0.00232606 +6 la_data_in_core[81] *23067:A 0 +7 la_oenb_core[80] *23067:A 7.84691e-05 +8 *6603:DIODE *3460:6 6.1578e-06 +9 *22812:A *23067:A 0 +10 *23665:A *23067:A 5.1493e-06 +11 *1862:14 *23067:A 9.66809e-05 +12 *1862:14 *3460:6 9.12416e-06 +13 *1877:49 *3460:6 2.82106e-05 +14 *1880:8 *3460:6 0 +15 *2090:21 *3460:6 7.13185e-05 +16 *2450:6 *3460:6 0 +17 *2460:15 *23067:A 4.05054e-05 +18 *2460:15 *3460:6 5.62813e-05 +19 *2507:12 *3460:6 0 +20 *2985:45 *23067:A 6.3657e-05 +21 *3178:12 *3460:6 0 +22 *3189:12 *3460:6 0 +*RES +1 *23579:Z *3460:5 13.7491 +2 *3460:5 *3460:6 46.6792 +3 *3460:6 *5585:DIODE 13.7491 +4 *3460:6 *23067:A 20.8723 +*END + +*D_NET *3461 0.0629088 +*CONN +*I *23440:A I *D sky130_fd_sc_hd__nand2_1 +*I *6186:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *22243:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *23440:A 0 +2 *6186:DIODE 1.22947e-05 +3 *22243:X 0 +4 *3461:53 0.000878264 +5 *3461:39 0.0014106 +6 *3461:37 0.000642094 +7 *3461:31 0.0033596 +8 *3461:30 0.00362386 +9 *3461:23 0.00228433 +10 *3461:12 0.00322707 +11 *3461:6 0.00618599 +12 *3461:5 0.00488154 +13 *3461:6 *3478:45 0 +14 la_oenb_core[70] *3461:6 3.27398e-05 +15 *4432:DIODE *3461:6 0.000266756 +16 *5715:DIODE *3461:39 0.000326273 +17 *5970:DIODE *3461:31 3.16131e-05 +18 *5971:DIODE *3461:31 3.99701e-05 +19 *5980:DIODE *3461:31 3.14978e-05 +20 *6226:DIODE *3461:31 0.000167692 +21 *6227:DIODE *3461:31 0.000120326 +22 *6239:DIODE *3461:23 0.000111722 +23 *22571:A *3461:31 0.000161243 +24 *22800:A *3461:6 2.81002e-05 +25 *23054:A *3461:6 9.97479e-06 +26 *23056:A *3461:6 0 +27 *23193:A *3461:31 0.000213586 +28 *23195:A *3461:31 0.000377259 +29 *23338:B *3461:30 0.000264614 +30 *23440:B *3461:53 0 +31 *1137:44 *3461:6 0 +32 *1151:30 *3461:23 0.00012601 +33 *1151:36 *3461:30 0 +34 *1151:39 *3461:31 6.83459e-05 +35 *1151:46 *3461:31 0.000259359 +36 *1174:26 *3461:31 0.000156964 +37 *1311:10 *3461:6 0.000118379 +38 *1321:10 *3461:6 0 +39 *1579:5 *3461:31 0.000751739 +40 *1695:9 *3461:39 0.000164815 +41 *1716:9 *3461:23 6.22259e-05 +42 *1717:9 *3461:23 5.88009e-05 +43 *1954:25 *3461:6 0 +44 *1962:10 *3461:12 0.000926287 +45 *1973:18 *3461:12 8.29362e-05 +46 *1991:14 *6186:DIODE 1.92336e-05 +47 *1991:14 *3461:39 0.00466151 +48 *1991:14 *3461:53 0.00048124 +49 *1991:26 *3461:31 0.00104589 +50 *1991:26 *3461:37 4.05287e-05 +51 *1991:26 *3461:39 6.50727e-05 +52 *2112:23 *3461:12 0.000688815 +53 *2115:25 *3461:12 0.000713331 +54 *2142:22 *3461:39 0.000520645 +55 *2142:26 *3461:39 0.00300402 +56 *2142:28 *6186:DIODE 6.50727e-05 +57 *2142:28 *3461:53 0.00020005 +58 *2217:26 *3461:53 8.62625e-06 +59 *2218:20 *3461:53 8.98943e-05 +60 *2237:27 *3461:31 0.000168729 +61 *2250:13 *3461:6 0 +62 *2250:15 *3461:6 0 +63 *2251:25 *3461:12 0 +64 *2255:25 *3461:31 7.43187e-05 +65 *2256:38 *3461:31 0.0038764 +66 *2349:17 *3461:6 0 +67 *2423:10 *3461:6 0 +68 *2477:7 *3461:31 0.000667262 +69 *2477:9 *3461:23 0.00283798 +70 *2477:9 *3461:31 0.000440173 +71 *2479:33 *3461:53 0.0010246 +72 *2492:28 *3461:31 0.000307158 +73 *2500:37 *3461:30 0.00123705 +74 *2502:12 *3461:6 0.00532065 +75 *2625:15 *3461:23 3.83172e-05 +76 *2625:15 *3461:30 0.00159368 +77 *2633:40 *3461:12 0.00137135 +78 *2635:18 *3461:6 0 +79 *2654:14 *3461:12 0 +80 *2779:19 *3461:31 3.44964e-05 +81 *2779:21 *3461:31 0.000119334 +82 *2782:8 *3461:30 0.000154591 +83 *2785:11 *3461:31 2.65667e-05 +84 *2791:6 *3461:6 0 +85 *2896:17 *3461:53 0 +86 *2933:18 *3461:6 8.62625e-06 +87 *3140:20 *3461:53 9.01321e-05 +88 *3165:22 *3461:6 0.000291845 +89 *3373:36 *3461:53 0.000101365 +90 *3446:14 *3461:6 5.53978e-05 +91 *3448:14 *3461:6 0 +*RES +1 *22243:X *3461:5 13.7491 +2 *3461:5 *3461:6 124.747 +3 *3461:6 *3461:12 48.9449 +4 *3461:12 *3461:23 48.5547 +5 *3461:23 *3461:30 29.8545 +6 *3461:30 *3461:31 116.636 +7 *3461:31 *3461:37 2.43543 +8 *3461:37 *3461:39 51.1923 +9 *3461:39 *3461:53 38.6638 +10 *3461:53 *6186:DIODE 9.97254 +11 *3461:53 *23440:A 9.24915 +*END + +*D_NET *3462 0.0170805 +*CONN +*I *23068:A I *D sky130_fd_sc_hd__buf_2 +*I *5586:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23580:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23068:A 0.000150174 +2 *5586:DIODE 0 +3 *23580:Z 0 +4 *3462:14 0.0011222 +5 *3462:11 0.00215151 +6 *3462:5 0.00202688 +7 *3462:4 0.000847397 +8 *23068:A *23069:A 0 +9 *3462:11 *3464:12 0.000171229 +10 *3462:14 *3463:10 0 +11 *6466:DIODE *3462:5 0.000211492 +12 *1189:11 *3462:5 0.00463913 +13 *1446:9 *3462:11 0.000324865 +14 *1862:14 *23068:A 1.44467e-05 +15 *1862:14 *3462:14 0.000125035 +16 *2085:32 *3462:5 0.00107863 +17 *2427:8 *3462:11 0.000173147 +18 *2476:9 *3462:11 0.00180186 +19 *2482:8 *23068:A 0.000141823 +20 *2482:8 *3462:14 0.00133001 +21 *2980:21 *23068:A 0.000158357 +22 *2988:120 *23068:A 0.000158357 +23 *3175:6 *3462:11 0 +24 *3187:13 *3462:11 0.000453958 +25 *3189:12 *3462:11 0 +*RES +1 *23580:Z *3462:4 9.24915 +2 *3462:4 *3462:5 50.0831 +3 *3462:5 *3462:11 48.683 +4 *3462:11 *3462:14 30.8319 +5 *3462:14 *5586:DIODE 13.7491 +6 *3462:14 *23068:A 18.6595 +*END + +*D_NET *3463 0.00559745 +*CONN +*I *23069:A I *D sky130_fd_sc_hd__buf_2 +*I *5587:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23581:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23069:A 0.000202796 +2 *5587:DIODE 9.36721e-06 +3 *23581:Z 0.000221218 +4 *3463:10 0.00245683 +5 *3463:8 0.00246588 +6 *22814:A *23069:A 0 +7 *23068:A *23069:A 0 +8 *1194:11 *3463:8 7.97944e-05 +9 *1862:14 *23069:A 0 +10 *1862:14 *3463:10 0 +11 *1877:58 *5587:DIODE 6.50586e-05 +12 *2354:6 *3463:10 0 +13 *2411:8 *3463:10 0 +14 *2489:8 *23069:A 0 +15 *2489:8 *3463:10 0 +16 *2983:101 *5587:DIODE 6.50586e-05 +17 *3180:20 *23069:A 0 +18 *3190:24 *3463:10 3.14544e-05 +19 *3462:14 *3463:10 0 +*RES +1 *23581:Z *3463:8 18 +2 *3463:8 *3463:10 43.3572 +3 *3463:10 *5587:DIODE 14.4725 +4 *3463:10 *23069:A 17.6574 +*END + +*D_NET *3464 0.0226813 +*CONN +*I *5588:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23070:A I *D sky130_fd_sc_hd__buf_2 +*I *23582:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *5588:DIODE 0.000114983 +2 *23070:A 0 +3 *23582:Z 2.18282e-05 +4 *3464:23 0.00118522 +5 *3464:12 0.00285916 +6 *3464:7 0.00550485 +7 *3464:5 0.00373776 +8 *5331:DIODE *3464:23 1.09893e-05 +9 *23583:TE *3464:7 3.25584e-05 +10 *1851:11 *3464:23 2.01828e-05 +11 *2089:40 *3464:7 7.8651e-05 +12 *2089:42 *3464:7 9.28163e-05 +13 *2427:8 *3464:12 0.000963534 +14 *2437:8 *3464:12 0 +15 *2489:8 *5588:DIODE 2.49672e-05 +16 *2980:21 *5588:DIODE 6.50586e-05 +17 *2980:21 *3464:23 0.000437963 +18 *2981:43 *3464:23 0.00183172 +19 *2982:48 *3464:12 5.12982e-05 +20 *2988:120 *3464:23 0.000676557 +21 *2988:129 *5588:DIODE 4.88955e-05 +22 *2988:129 *3464:23 0.000375027 +23 *3005:55 *3464:23 0.000754197 +24 *3008:61 *3464:23 0.000391081 +25 *3175:6 *3464:12 0 +26 *3177:13 *5588:DIODE 0 +27 *3189:12 *3464:12 0 +28 *3455:7 *3464:7 0.0032308 +29 *3462:11 *3464:12 0.000171229 +*RES +1 *23582:Z *3464:5 9.82786 +2 *3464:5 *3464:7 60.6206 +3 *3464:7 *3464:12 48.1116 +4 *3464:12 *3464:23 25.103 +5 *3464:23 *23070:A 9.24915 +6 *3464:23 *5588:DIODE 21.2198 +*END + +*D_NET *3465 0.010101 +*CONN +*I *23071:A I *D sky130_fd_sc_hd__buf_2 +*I *5589:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23583:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23071:A 0.000211452 +2 *5589:DIODE 0 +3 *23583:Z 0.0010352 +4 *3465:8 0.00213298 +5 *3465:5 0.00295673 +6 *23071:A *23072:A 0 +7 *3465:5 *3466:7 0.00250959 +8 *6472:DIODE *3465:5 0.000165521 +9 *6474:DIODE *3465:5 0.000171288 +10 *23584:TE *3465:5 0.00016763 +11 *1446:9 *3465:5 6.3657e-05 +12 *2414:11 *3465:5 0.00012601 +13 *2440:6 *3465:8 0.000285674 +14 *2490:9 *23071:A 0 +15 *2490:9 *3465:8 0 +16 *2507:9 *3465:5 0.000145187 +17 *2540:24 *3465:8 0 +18 *2980:21 *23071:A 6.50586e-05 +19 *2988:129 *23071:A 6.50586e-05 +*RES +1 *23583:Z *3465:5 47.131 +2 *3465:5 *3465:8 43.7047 +3 *3465:8 *5589:DIODE 13.7491 +4 *3465:8 *23071:A 18.3808 +*END + +*D_NET *3466 0.0127327 +*CONN +*I *23072:A I *D sky130_fd_sc_hd__buf_2 +*I *5590:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23584:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23072:A 0.000223475 +2 *5590:DIODE 0.000137889 +3 *23584:Z 0.000317313 +4 *3466:8 0.00174406 +5 *3466:7 0.00170001 +6 *23071:A *23072:A 0 +7 *2353:18 *3466:8 0.00110696 +8 *2414:11 *3466:7 0.00012601 +9 *2456:6 *3466:8 0.000121147 +10 *2507:9 *3466:7 0.00286201 +11 *2540:24 *3466:8 0.000691418 +12 *2980:21 *23072:A 0.000317721 +13 *2983:101 *5590:DIODE 0.000370829 +14 *2988:129 *23072:A 0.000317721 +15 *3179:39 *23072:A 6.91633e-05 +16 *3179:39 *3466:8 0.000117407 +17 *3465:5 *3466:7 0.00250959 +*RES +1 *23584:Z *3466:7 44.4211 +2 *3466:7 *3466:8 38.7894 +3 *3466:8 *5590:DIODE 17.8002 +4 *3466:8 *23072:A 21.1538 +*END + +*D_NET *3467 0.0111386 +*CONN +*I *23073:A I *D sky130_fd_sc_hd__buf_2 +*I *5591:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23585:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23073:A 0.000259793 +2 *5591:DIODE 0 +3 *23585:Z 0.000615754 +4 *3467:8 0.00201429 +5 *3467:7 0.00237025 +6 *3467:8 *3468:12 0 +7 *3826:DIODE *23073:A 4.55535e-05 +8 *6476:DIODE *3467:7 0.000175485 +9 *1189:11 *3467:7 0.00328679 +10 *1448:11 *3467:7 6.92705e-05 +11 *2129:56 *3467:7 0.000209897 +12 *2493:8 *23073:A 0.000380128 +13 *2493:8 *3467:8 0.000946576 +14 *2551:12 *3467:8 0 +15 *2808:28 *3467:7 0.000456953 +16 *3187:21 *23073:A 5.27158e-05 +17 *3187:21 *3467:8 0.000255155 +*RES +1 *23585:Z *3467:7 48.858 +2 *3467:7 *3467:8 41.6962 +3 *3467:8 *5591:DIODE 13.7491 +4 *3467:8 *23073:A 21.3947 +*END + +*D_NET *3468 0.00957806 +*CONN +*I *23074:A I *D sky130_fd_sc_hd__buf_2 +*I *5592:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23586:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23074:A 0.000212534 +2 *5592:DIODE 0 +3 *23586:Z 0.000185203 +4 *3468:12 0.00214758 +5 *3468:11 0.00212025 +6 *3468:11 *3469:7 0.000330596 +7 *1850:11 *23074:A 0.000194338 +8 *1850:11 *3468:12 0.000116986 +9 *2108:24 *3468:11 0.00010238 +10 *2126:26 *3468:11 0.00142524 +11 *2134:36 *3468:11 0.00131386 +12 *2134:38 *3468:11 9.95922e-06 +13 *2134:40 *3468:11 0.000504101 +14 *2493:8 *3468:12 0.000180969 +15 *2551:12 *3468:12 0 +16 *2808:28 *3468:12 0.000107063 +17 *2983:107 *23074:A 3.48183e-05 +18 *3181:8 *23074:A 4.16974e-05 +19 *3181:8 *3468:12 0.000517734 +20 *3181:14 *23074:A 3.27454e-05 +21 *3467:8 *3468:12 0 +*RES +1 *23586:Z *3468:11 34.0766 +2 *3468:11 *3468:12 45.4335 +3 *3468:12 *5592:DIODE 13.7491 +4 *3468:12 *23074:A 19.5436 +*END + +*D_NET *3469 0.00917775 +*CONN +*I *23075:A I *D sky130_fd_sc_hd__buf_2 +*I *5593:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23587:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23075:A 0.000354027 +2 *5593:DIODE 0 +3 *23587:Z 0.000135497 +4 *3469:8 0.00188956 +5 *3469:7 0.00167103 +6 *6601:DIODE *23075:A 0 +7 *1864:21 *23075:A 3.57159e-05 +8 *1879:8 *23075:A 5.33266e-05 +9 *2126:26 *3469:7 0.00129448 +10 *2134:40 *3469:7 1.67988e-05 +11 *2476:6 *3469:8 0.00124661 +12 *2808:29 *3469:7 0.00093565 +13 *2980:21 *23075:A 6.50586e-05 +14 *3004:26 *23075:A 0 +15 *3180:8 *23075:A 3.76631e-05 +16 *3180:8 *3469:8 0.000552206 +17 *3361:48 *23075:A 0.000134189 +18 *3361:48 *3469:8 0.000425353 +19 *3468:11 *3469:7 0.000330596 +*RES +1 *23587:Z *3469:7 27.783 +2 *3469:7 *3469:8 45.0182 +3 *3469:8 *5593:DIODE 13.7491 +4 *3469:8 *23075:A 22.1181 +*END + +*D_NET *3470 0.0191334 +*CONN +*I *23076:A I *D sky130_fd_sc_hd__buf_2 +*I *5594:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23588:Z O *D sky130_fd_sc_hd__einvp_4 +*CAP +1 *23076:A 0.000351642 +2 *5594:DIODE 0 +3 *23588:Z 0.000529379 +4 *3470:14 0.00263593 +5 *3470:13 0.00309326 +6 *3470:8 0.00337205 +7 *3470:7 0.00309246 +8 la_oenb_core[90] *23076:A 0 +9 *6482:DIODE *3470:7 0.000164829 +10 *22822:A *23076:A 0 +11 *23233:A *3470:8 4.55115e-05 +12 *377:14 *23076:A 3.20069e-06 +13 *1183:18 *3470:8 3.93117e-06 +14 *1192:42 *3470:8 0.000110766 +15 *1202:9 *3470:13 0.00257285 +16 *1203:20 *3470:8 0 +17 *1322:8 *3470:8 0 +18 *1451:9 *3470:7 0.000115934 +19 *1832:26 *3470:8 0.000131203 +20 *1864:21 *23076:A 7.14746e-05 +21 *2350:20 *3470:14 0.000172867 +22 *2498:8 *23076:A 0 +23 *2517:35 *3470:7 0.000944354 +24 *2551:12 *3470:8 0.000497403 +25 *2551:16 *3470:8 2.83354e-05 +26 *2596:18 *3470:14 0.000431945 +27 *2607:22 *3470:14 0 +28 *2636:24 *3470:8 0 +29 *2669:6 *3470:8 0.000254886 +30 *2930:54 *3470:7 3.31745e-05 +31 *2985:45 *23076:A 6.50586e-05 +32 *3191:21 *23076:A 8.95006e-05 +33 *3191:21 *3470:14 0.000294884 +34 *3194:8 *3470:14 0 +35 *3361:45 *23076:A 2.65667e-05 +*RES +1 *23588:Z *3470:7 30.556 +2 *3470:7 *3470:8 56.23 +3 *3470:8 *3470:13 36.899 +4 *3470:13 *3470:14 52.908 +5 *3470:14 *5594:DIODE 13.7491 +6 *3470:14 *23076:A 22.1181 +*END + +*D_NET *3471 0.010291 +*CONN +*I *23077:A I *D sky130_fd_sc_hd__buf_2 +*I *5595:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23589:Z O *D sky130_fd_sc_hd__einvp_2 +*CAP +1 *23077:A 0.000205283 +2 *5595:DIODE 0 +3 *23589:Z 0.000873791 +4 *3471:10 0.00345014 +5 *3471:9 0.00411865 +6 *4817:DIODE *3471:10 0 +7 *1372:25 *3471:10 4.54859e-05 +8 *1376:8 *3471:10 0 +9 *2014:27 *3471:10 0 +10 *2394:26 *3471:10 9.0164e-06 +11 *2466:14 *3471:10 0.000826983 +12 *2509:12 *3471:10 0 +13 *2852:34 *3471:9 5.07314e-05 +14 *2864:19 *3471:10 0 +15 *2864:35 *3471:10 0.000139219 +16 *2983:18 *23077:A 9.34145e-05 +17 *2983:18 *3471:10 1.07248e-05 +18 *2988:21 *23077:A 6.50586e-05 +19 *2998:63 *23077:A 6.50586e-05 +20 *3094:14 *3471:10 0 +21 *3098:23 *3471:9 7.34948e-06 +22 *3098:43 *3471:9 0.000330109 +23 *3188:42 *3471:10 0 +24 *3188:44 *23077:A 0 +25 *3188:44 *3471:10 0 +26 *3200:54 *3471:10 0 +*RES +1 *23589:Z *3471:9 27.8071 +2 *3471:9 *3471:10 64.9503 +3 *3471:10 *5595:DIODE 13.7491 +4 *3471:10 *23077:A 18.3808 +*END + +*D_NET *3472 0.000781667 +*CONN +*I *5597:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23080:A I *D sky130_fd_sc_hd__clkinv_4 +*I *23086:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5597:DIODE 0 +2 *23080:A 0.000165127 +3 *23086:Y 8.6069e-05 +4 *3472:10 0.000251196 +5 *3472:10 *5607:DIODE 2.16355e-05 +6 *2484:7 *23080:A 0.000107496 +7 *3090:8 *3472:10 7.50722e-05 +8 *3374:14 *3472:10 7.50722e-05 +*RES +1 *23086:Y *3472:10 20.3893 +2 *3472:10 *23080:A 13.0349 +3 *3472:10 *5597:DIODE 9.24915 +*END + +*D_NET *3473 0.00190702 +*CONN +*I *23081:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5598:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23087:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23081:A 0.000167622 +2 *5598:DIODE 0 +3 *23087:Y 0.000328475 +4 *3473:9 0.000496097 +5 *23081:A *5607:DIODE 0 +6 *3473:9 *3476:10 0.000268195 +7 *3089:16 *3473:9 0.000646634 +*RES +1 *23087:Y *3473:9 30.3553 +2 *3473:9 *5598:DIODE 9.24915 +3 *3473:9 *23081:A 12.0704 +*END + +*D_NET *3474 0.00242118 +*CONN +*I *23082:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5599:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23088:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *23082:A 0 +2 *5599:DIODE 0.000172597 +3 *23088:Y 0.000281184 +4 *3474:10 0.00045378 +5 *5605:DIODE *3474:10 0.000111722 +6 *1875:14 *3474:10 0.000127164 +7 *2422:17 *3474:10 0.000528136 +8 *2707:8 *3474:10 0.000724842 +9 *3053:15 *3474:10 2.17582e-05 +*RES +1 *23088:Y *3474:10 32.1557 +2 *3474:10 *5599:DIODE 12.7456 +3 *3474:10 *23082:A 9.24915 +*END + +*D_NET *3475 0.00277432 +*CONN +*I *23086:B I *D sky130_fd_sc_hd__nand2_1 +*I *5607:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23083:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23086:B 0 +2 *5607:DIODE 0.000201242 +3 *23083:X 0.000292191 +4 *3475:10 0.000493433 +5 *5601:DIODE *3475:10 5.07314e-05 +6 *5606:DIODE *5607:DIODE 0.000142758 +7 *23081:A *5607:DIODE 0 +8 *2422:17 *3475:10 0.000717592 +9 *2484:8 *3475:10 0.000488819 +10 *2485:7 *5607:DIODE 0.000101716 +11 *2707:12 *3475:10 2.57465e-06 +12 *3053:15 *5607:DIODE 6.50586e-05 +13 *3053:15 *3475:10 1.07248e-05 +14 *3374:14 *3475:10 0.000175927 +15 *3374:19 *3475:10 9.92046e-06 +16 *3472:10 *5607:DIODE 2.16355e-05 +*RES +1 *23083:X *3475:10 32.1557 +2 *3475:10 *5607:DIODE 14.9881 +3 *3475:10 *23086:B 9.24915 +*END + +*D_NET *3476 0.00124227 +*CONN +*I *23087:B I *D sky130_fd_sc_hd__nand2_1 +*I *5609:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23084:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *23087:B 0 +2 *5609:DIODE 0.000121455 +3 *23084:X 0.000266689 +4 *3476:10 0.000388144 +5 *23625:A *5609:DIODE 0.000113968 +6 *23625:A *3476:10 1.09738e-05 +7 *1870:17 *3476:10 0 +8 *2281:32 *3476:10 4.31539e-05 +9 *3089:16 *3476:10 2.96862e-05 +10 *3473:9 *3476:10 0.000268195 +*RES +1 *23084:X *3476:10 25.5117 +2 *3476:10 *5609:DIODE 12.191 +3 *3476:10 *23087:B 9.24915 +*END + +*D_NET *3477 0.000797003 +*CONN +*I *5611:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23088:B I *D sky130_fd_sc_hd__nand2_1 +*I *23085:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5611:DIODE 0.000202031 +2 *23088:B 4.99836e-05 +3 *23085:X 5.16722e-05 +4 *3477:5 0.000303687 +5 *5605:DIODE *5611:DIODE 1.28832e-05 +6 *5605:DIODE *23088:B 2.53145e-06 +7 *5605:DIODE *3477:5 6.11359e-06 +8 *23085:A *3477:5 5.04829e-06 +9 *23088:A *5611:DIODE 1.82679e-05 +10 *3090:12 *5611:DIODE 6.96979e-05 +11 *3374:19 *5611:DIODE 7.50872e-05 +*RES +1 *23085:X *3477:5 9.97254 +2 *3477:5 *23088:B 10.6477 +3 *3477:5 *5611:DIODE 22.0531 +*END + +*D_NET *3478 0.105611 +*CONN +*I *6590:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23658:B I *D sky130_fd_sc_hd__nand2_2 +*I *23661:B I *D sky130_fd_sc_hd__nand2_4 +*I *6568:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23647:B I *D sky130_fd_sc_hd__nand2_2 +*I *23665:B I *D sky130_fd_sc_hd__nand2_2 +*I *23636:B I *D sky130_fd_sc_hd__nand2_2 +*I *6546:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6608:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23667:B I *D sky130_fd_sc_hd__nand2_2 +*I *23648:B I *D sky130_fd_sc_hd__nand2_4 +*I *23639:B I *D sky130_fd_sc_hd__nand2_2 +*I *23646:B I *D sky130_fd_sc_hd__nand2_2 +*I *23643:B I *D sky130_fd_sc_hd__nand2_2 +*I *23642:B I *D sky130_fd_sc_hd__nand2_2 +*I *23641:B I *D sky130_fd_sc_hd__nand2_2 +*I *6560:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6558:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6556:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6566:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6564:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23645:B I *D sky130_fd_sc_hd__nand2_2 +*I *23644:B I *D sky130_fd_sc_hd__nand2_2 +*I *23640:B I *D sky130_fd_sc_hd__nand2_2 +*I *6562:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6554:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6552:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23638:B I *D sky130_fd_sc_hd__nand2_2 +*I *6550:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6570:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23637:B I *D sky130_fd_sc_hd__nand2_2 +*I *6548:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6606:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23666:B I *D sky130_fd_sc_hd__nand2_2 +*I *23664:B I *D sky130_fd_sc_hd__nand2_2 +*I *23663:B I *D sky130_fd_sc_hd__nand2_2 +*I *23649:B I *D sky130_fd_sc_hd__nand2_2 +*I *6602:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6600:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23603:B I *D sky130_fd_sc_hd__nand2_2 +*I *6572:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6512:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6604:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23650:B I *D sky130_fd_sc_hd__nand2_2 +*I *6574:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23662:B I *D sky130_fd_sc_hd__nand2_4 +*I *6598:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6596:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23079:A I *D sky130_fd_sc_hd__buf_12 +*I *5596:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *23601:X O *D sky130_fd_sc_hd__and2_4 +*CAP +1 *6590:DIODE 0 +2 *23658:B 0 +3 *23661:B 1.47608e-05 +4 *6568:DIODE 0 +5 *23647:B 0 +6 *23665:B 7.19756e-05 +7 *23636:B 0.000204438 +8 *6546:DIODE 5.71989e-05 +9 *6608:DIODE 0 +10 *23667:B 0.000100118 +11 *23648:B 3.69939e-05 +12 *23639:B 9.18974e-05 +13 *23646:B 0 +14 *23643:B 7.1609e-05 +15 *23642:B 1.03162e-05 +16 *23641:B 0 +17 *6560:DIODE 0.000234135 +18 *6558:DIODE 0 +19 *6556:DIODE 0 +20 *6566:DIODE 0 +21 *6564:DIODE 0.000497183 +22 *23645:B 1.22643e-05 +23 *23644:B 1.57196e-05 +24 *23640:B 3.99099e-05 +25 *6562:DIODE 0 +26 *6554:DIODE 1.50979e-05 +27 *6552:DIODE 0 +28 *23638:B 0.000199945 +29 *6550:DIODE 0 +30 *6570:DIODE 0 +31 *23637:B 0 +32 *6548:DIODE 0 +33 *6606:DIODE 0 +34 *23666:B 4.27557e-05 +35 *23664:B 0 +36 *23663:B 0 +37 *23649:B 0.000352395 +38 *6602:DIODE 0 +39 *6600:DIODE 0 +40 *23603:B 0.000215043 +41 *6572:DIODE 0.00022232 +42 *6512:DIODE 0 +43 *6604:DIODE 0 +44 *23650:B 0.000124788 +45 *6574:DIODE 0 +46 *23662:B 0.000176222 +47 *6598:DIODE 0 +48 *6596:DIODE 0.000233482 +49 *23079:A 0.000143133 +50 *5596:DIODE 0 +51 *23601:X 0.000902883 +52 *3478:597 0.000162682 +53 *3478:574 0.00030103 +54 *3478:554 0.000375361 +55 *3478:506 0.000165357 +56 *3478:490 0.000371741 +57 *3478:470 0.000940852 +58 *3478:469 0.000793216 +59 *3478:460 0.000232574 +60 *3478:458 0.00041431 +61 *3478:446 0.000584645 +62 *3478:436 0.000339016 +63 *3478:422 0.000152948 +64 *3478:413 0.000327628 +65 *3478:400 0.000218479 +66 *3478:397 0.00101158 +67 *3478:386 0.000698869 +68 *3478:383 0.000589296 +69 *3478:361 0.00103044 +70 *3478:347 0.000600512 +71 *3478:343 0.000932362 +72 *3478:340 0.00154152 +73 *3478:305 0.00108605 +74 *3478:292 0.000362796 +75 *3478:255 0.000439941 +76 *3478:250 0.000483473 +77 *3478:242 0.000618867 +78 *3478:237 0.00125524 +79 *3478:211 0.00245982 +80 *3478:201 0.00147016 +81 *3478:195 0.000949676 +82 *3478:178 0.000437363 +83 *3478:176 0.000881129 +84 *3478:170 0.000403178 +85 *3478:166 0.000389646 +86 *3478:164 0.00105278 +87 *3478:162 0.00238052 +88 *3478:146 0.00164408 +89 *3478:140 0.000497263 +90 *3478:136 0.000523702 +91 *3478:134 0.000751473 +92 *3478:116 0.000899446 +93 *3478:110 0.00038487 +94 *3478:100 0.000557294 +95 *3478:94 0.000497178 +96 *3478:92 0.0023646 +97 *3478:51 0.00212029 +98 *3478:49 0.000348629 +99 *3478:45 0.00200555 +100 *3478:36 0.00480571 +101 *3478:35 0.00466218 +102 *3478:23 0.00188255 +103 *3478:15 0.000980986 +104 la_data_in_core[94] *3478:340 0.00011818 +105 la_data_in_core[95] *3478:340 0.000113968 +106 la_data_in_core[96] *3478:340 8.62625e-06 +107 la_oenb_core[105] *3478:446 5.56461e-05 +108 la_oenb_core[106] *3478:458 7.97944e-05 +109 la_oenb_core[87] *23649:B 2.82403e-05 +110 la_oenb_core[93] *3478:340 0.000198903 +111 la_oenb_core[95] *3478:340 4.03591e-05 +112 *3827:DIODE *23649:B 2.1309e-05 +113 *3829:DIODE *3478:458 7.97944e-05 +114 *3835:DIODE *3478:340 9.97706e-05 +115 *3840:DIODE *3478:469 9.75356e-05 +116 *5227:DIODE *3478:397 6.08467e-05 +117 *5327:DIODE *3478:134 0.00021569 +118 *5331:DIODE *3478:162 4.42142e-05 +119 *5336:DIODE *3478:195 2.65831e-05 +120 *5338:DIODE *23666:B 0.000122098 +121 *5338:DIODE *3478:242 0.00014696 +122 *5348:DIODE *3478:361 6.08467e-05 +123 *5485:DIODE *3478:446 5.24855e-05 +124 *5485:DIODE *3478:458 0.000247723 +125 *5574:DIODE *3478:92 6.08467e-05 +126 *5577:DIODE *3478:92 6.50727e-05 +127 *6549:DIODE *23638:B 4.66283e-05 +128 *6553:DIODE *3478:413 5.72035e-05 +129 *6555:DIODE *3478:469 0.000136534 +130 *6589:DIODE *3478:92 5.09367e-05 +131 *6601:DIODE *3478:250 0.000116986 +132 *21648:A *3478:340 6.50727e-05 +133 *22347:TE *3478:45 1.35264e-05 +134 *22551:A *3478:35 4.33979e-05 +135 *22713:A *3478:469 1.25395e-05 +136 *22800:A *3478:92 0.000271044 +137 *22807:A *23662:B 6.06802e-05 +138 *22812:A *23636:B 3.59507e-05 +139 *22817:A *23667:B 0 +140 *22818:A *6572:DIODE 1.58588e-05 +141 *22818:A *23603:B 0.000109564 +142 *22819:A *23649:B 6.76686e-05 +143 *22825:A *3478:305 0.000204696 +144 *22825:A *3478:340 0.000123405 +145 *22828:A *3478:340 6.47469e-05 +146 *22829:A *23648:B 0 +147 *22831:A *3478:383 5.65265e-05 +148 *22964:A *3478:397 5.09367e-05 +149 *22966:A *3478:400 0 +150 *22966:A *3478:413 0 +151 *22967:A *3478:458 0 +152 *22968:A *3478:458 6.50586e-05 +153 *22968:A *3478:469 0 +154 *23572:A *3478:35 4.4196e-06 +155 *23601:B *3478:15 0.000105838 +156 *23640:A *3478:413 5.47013e-05 +157 *23642:A *23643:B 6.08467e-05 +158 *23644:A *23644:B 7.06733e-06 +159 *23644:A *23645:B 3.75603e-05 +160 *23644:A *3478:436 6.31117e-05 +161 *23647:A *23665:B 6.50586e-05 +162 *23647:A *3478:574 9.12416e-06 +163 *23649:A *23649:B 5.22654e-06 +164 *23650:A *23650:B 0.000143443 +165 *23658:A *3478:597 5.16277e-05 +166 *380:10 *3478:340 0.000147249 +167 *381:12 *3478:340 0.000330278 +168 *1164:15 *23079:A 5.8973e-05 +169 *1189:14 *3478:35 7.86847e-05 +170 *1823:8 *3478:45 3.20069e-06 +171 *1851:11 *3478:162 0 +172 *1852:13 *3478:343 0.000632154 +173 *1853:9 *23638:B 0 +174 *1853:9 *3478:383 6.85778e-05 +175 *1854:11 *3478:400 0 +176 *1854:11 *3478:413 0 +177 *1855:10 *3478:422 0.000134364 +178 *1855:10 *3478:436 1.5962e-05 +179 *1857:11 *23643:B 3.14978e-05 +180 *1859:16 *3478:436 7.68538e-06 +181 *1859:16 *3478:446 2.65667e-05 +182 *1859:19 *23643:B 0.000268798 +183 *1859:19 *3478:458 0.000111708 +184 *1859:19 *3478:506 0.0002646 +185 *1861:12 *3478:469 2.36494e-05 +186 *1862:14 *6546:DIODE 0.00036002 +187 *1862:14 *23665:B 6.50586e-05 +188 *1862:14 *3478:162 0.000253916 +189 *1864:21 *23649:B 6.22539e-05 +190 *1864:21 *3478:255 0.000260388 +191 *1865:14 *23636:B 4.15661e-05 +192 *1865:14 *3478:554 0.000122834 +193 *1866:38 *3478:45 8.35315e-05 +194 *1866:38 *3478:49 0.000164439 +195 *1866:38 *3478:597 6.74182e-05 +196 *1866:39 *6572:DIODE 0.000377273 +197 *1873:9 *3478:45 3.78289e-05 +198 *1877:18 *23662:B 0.000103047 +199 *1878:9 *3478:250 0.000504318 +200 *1880:8 *23636:B 1.96933e-05 +201 *1880:8 *3478:554 2.26244e-05 +202 *1882:9 *6572:DIODE 8.38865e-05 +203 *1882:9 *23603:B 9.47506e-05 +204 *1943:36 *23079:A 5.0715e-05 +205 *1943:36 *3478:15 7.49016e-05 +206 *2111:39 *3478:45 5.71147e-05 +207 *2134:35 *3478:35 0.000103246 +208 *2294:26 *23079:A 0.000313342 +209 *2294:26 *3478:15 0.000933465 +210 *2300:13 *3478:35 0 +211 *2309:17 *3478:35 0 +212 *2310:14 *3478:15 1.24189e-05 +213 *2316:13 *3478:15 0.000268954 +214 *2316:13 *3478:23 9.32983e-05 +215 *2317:7 *3478:35 0.00044392 +216 *2318:13 *23079:A 6.1438e-05 +217 *2318:13 *3478:35 0.000110865 +218 *2320:19 *23079:A 0 +219 *2348:8 *6564:DIODE 2.69426e-05 +220 *2351:12 *3478:237 7.4235e-06 +221 *2352:14 *3478:237 4.62613e-05 +222 *2403:8 *6564:DIODE 9.68902e-05 +223 *2404:8 *23662:B 0 +224 *2426:14 *23650:B 0.000184265 +225 *2452:8 *3478:35 0 +226 *2452:12 *3478:35 5.98776e-05 +227 *2460:15 *3478:140 3.02881e-05 +228 *2460:15 *3478:574 5.0792e-05 +229 *2467:8 *3478:35 0 +230 *2482:8 *3478:162 3.94849e-05 +231 *2492:8 *23667:B 0.000112148 +232 *2492:8 *3478:170 0.000164784 +233 *2494:10 *3478:250 0.000120584 +234 *2496:8 *3478:469 5.67593e-05 +235 *2500:9 *3478:340 3.25906e-05 +236 *2500:10 *3478:305 0.000252031 +237 *2500:10 *3478:340 0.000130517 +238 *2502:12 *3478:45 0 +239 *2504:12 *3478:340 0.000649672 +240 *2505:8 *23648:B 4.49912e-05 +241 *2505:8 *3478:347 0.000141562 +242 *2506:10 *23638:B 0 +243 *2540:17 *3478:211 0.00240465 +244 *2540:17 *3478:292 0.000256172 +245 *2540:17 *3478:305 0.000106215 +246 *2540:23 *3478:195 0.000210981 +247 *2540:23 *3478:201 6.44576e-05 +248 *2540:23 *3478:211 0.000133433 +249 *2607:9 *6560:DIODE 0.000348193 +250 *2607:9 *6564:DIODE 0.000589703 +251 *2607:9 *3478:470 0.000717713 +252 *2607:9 *3478:490 0.000199527 +253 *2978:19 *23649:B 0.00031994 +254 *2978:31 *23648:B 5.48015e-06 +255 *2978:31 *3478:343 0.000164829 +256 *2978:41 *23639:B 3.29488e-05 +257 *2980:21 *23636:B 0.000419599 +258 *2980:21 *23649:B 0.000160617 +259 *2980:21 *23661:B 6.08467e-05 +260 *2980:21 *23665:B 0.000151585 +261 *2980:21 *23667:B 6.08467e-05 +262 *2980:21 *3478:255 9.80912e-05 +263 *2980:21 *3478:343 2.7585e-05 +264 *2980:31 *3478:343 7.46895e-05 +265 *2980:57 *23640:B 2.65667e-05 +266 *2980:57 *3478:422 0.000301968 +267 *2980:57 *3478:436 0.000201679 +268 *2980:57 *3478:446 0.000117292 +269 *2982:33 *3478:49 9.19632e-06 +270 *2982:48 *3478:140 1.9101e-05 +271 *2983:93 *3478:92 0.00264127 +272 *2983:93 *3478:94 0.00141344 +273 *2983:93 *3478:100 6.50586e-05 +274 *2983:93 *3478:110 0.00104411 +275 *2983:93 *3478:116 6.08467e-05 +276 *2983:93 *3478:134 0.00113401 +277 *2983:101 *6546:DIODE 0.00036002 +278 *2983:101 *3478:162 0.00011453 +279 *2983:109 *3478:237 0.00186457 +280 *2983:109 *3478:242 0.000364342 +281 *2983:109 *3478:347 1.41976e-05 +282 *2983:113 *3478:347 1.65872e-05 +283 *2983:113 *3478:361 0.000161493 +284 *2983:113 *3478:383 0.00263884 +285 *2983:113 *3478:397 1.41689e-05 +286 *2985:45 *3478:340 0.000358562 +287 *2988:91 *23661:B 6.08467e-05 +288 *2988:120 *23636:B 0.000216618 +289 *2988:120 *23665:B 0.000181642 +290 *2988:129 *23667:B 6.08467e-05 +291 *2998:145 *6596:DIODE 0.000364298 +292 *2998:145 *3478:92 0.000110306 +293 *3028:28 *3478:45 7.8412e-05 +294 *3060:9 *3478:361 0.000523382 +295 *3060:9 *3478:383 0.000266832 +296 *3061:14 *3478:347 4.88382e-05 +297 *3064:8 *3478:386 0 +298 *3065:29 *23639:B 0.000115245 +299 *3065:29 *3478:386 7.09666e-06 +300 *3067:20 *6564:DIODE 0 +301 *3069:10 *3478:305 2.77564e-05 +302 *3069:19 *6554:DIODE 0.000172659 +303 *3077:21 *3478:397 0.000419666 +304 *3139:8 *23639:B 3.08465e-05 +305 *3139:8 *3478:386 3.33045e-05 +306 *3166:23 *3478:45 1.59309e-05 +307 *3166:23 *3478:49 0.000167965 +308 *3166:23 *3478:597 0.000196136 +309 *3172:5 *3478:36 0.0049123 +310 *3175:9 *3478:134 0.000201759 +311 *3179:9 *6572:DIODE 0.000381471 +312 *3180:17 *3478:162 0.000489753 +313 *3180:17 *3478:164 1.67988e-05 +314 *3181:14 *3478:164 0.00172163 +315 *3182:12 *23666:B 0.000113471 +316 *3182:12 *3478:242 8.95237e-05 +317 *3183:8 *23667:B 0 +318 *3183:8 *3478:170 0 +319 *3185:15 *3478:140 0.000136366 +320 *3185:15 *3478:146 0.000127174 +321 *3185:15 *3478:162 0.000835773 +322 *3185:15 *3478:170 9.63981e-05 +323 *3185:15 *3478:176 5.99691e-05 +324 *3185:15 *3478:195 4.0752e-05 +325 *3187:21 *6572:DIODE 0 +326 *3192:8 *3478:237 0.000109983 +327 *3199:16 *3478:383 8.62625e-06 +328 *3346:11 *3478:397 0.00016553 +329 *3347:11 *3478:397 0.000477137 +330 *3348:30 *6554:DIODE 0.000169862 +331 *3348:30 *3478:400 4.88764e-06 +332 *3349:17 *3478:383 0.00263884 +333 *3349:23 *23640:B 1.43848e-05 +334 *3349:23 *3478:422 1.54703e-05 +335 *3349:23 *3478:446 0.000106253 +336 *3438:50 *3478:35 0.000522967 +337 *3439:8 *3478:45 0 +338 *3446:14 *3478:45 0 +339 *3458:6 *23650:B 1.4789e-05 +340 *3461:6 *3478:45 0 +*RES +1 *23601:X *3478:15 35.72 +2 *3478:15 *5596:DIODE 9.24915 +3 *3478:15 *3478:23 5.778 +4 *3478:23 *23079:A 18.6623 +5 *3478:23 *3478:35 42.4589 +6 *3478:35 *3478:36 53.4107 +7 *3478:36 *3478:45 43.8568 +8 *3478:45 *3478:49 9.271 +9 *3478:49 *3478:51 4.5 +10 *3478:51 *6596:DIODE 13.3002 +11 *3478:51 *3478:92 46.659 +12 *3478:92 *3478:94 15.1431 +13 *3478:94 *6598:DIODE 9.24915 +14 *3478:94 *3478:100 0.723396 +15 *3478:100 *23662:B 22.1574 +16 *3478:100 *3478:110 11.2609 +17 *3478:110 *6574:DIODE 9.24915 +18 *3478:110 *3478:116 0.723396 +19 *3478:116 *23650:B 22.1574 +20 *3478:116 *3478:134 18.8565 +21 *3478:134 *3478:136 4.5 +22 *3478:136 *3478:140 9.82841 +23 *3478:140 *6604:DIODE 9.24915 +24 *3478:140 *3478:146 3.49641 +25 *3478:146 *3478:162 38.4441 +26 *3478:162 *3478:164 19.0253 +27 *3478:164 *3478:166 4.5 +28 *3478:166 *3478:170 10.3802 +29 *3478:170 *6512:DIODE 9.24915 +30 *3478:170 *3478:176 1.8326 +31 *3478:176 *3478:178 4.5 +32 *3478:178 *6572:DIODE 22.1237 +33 *3478:178 *23603:B 19.3184 +34 *3478:176 *3478:195 11.8155 +35 *3478:195 *6600:DIODE 9.24915 +36 *3478:195 *3478:201 2.94181 +37 *3478:201 *6602:DIODE 9.24915 +38 *3478:201 *3478:211 33.0833 +39 *3478:211 *3478:237 39.1622 +40 *3478:237 *3478:242 10.383 +41 *3478:242 *3478:250 21.4621 +42 *3478:250 *3478:255 4.09924 +43 *3478:255 *23649:B 35.4116 +44 *3478:255 *23663:B 9.24915 +45 *3478:250 *23664:B 9.24915 +46 *3478:242 *23666:B 15.9964 +47 *3478:237 *6606:DIODE 9.24915 +48 *3478:211 *3478:292 2.94181 +49 *3478:292 *6548:DIODE 9.24915 +50 *3478:292 *3478:305 12.6663 +51 *3478:305 *23637:B 13.7491 +52 *3478:305 *3478:340 45.052 +53 *3478:340 *3478:343 16.8701 +54 *3478:343 *3478:347 8.30115 +55 *3478:347 *6570:DIODE 9.24915 +56 *3478:347 *3478:361 12.2013 +57 *3478:361 *6550:DIODE 9.24915 +58 *3478:361 *23638:B 22.1574 +59 *3478:361 *3478:383 46.3121 +60 *3478:383 *3478:386 6.332 +61 *3478:386 *6552:DIODE 9.24915 +62 *3478:386 *3478:397 19.2814 +63 *3478:397 *3478:400 7.993 +64 *3478:400 *6554:DIODE 11.0817 +65 *3478:400 *6562:DIODE 9.24915 +66 *3478:397 *3478:413 8.82351 +67 *3478:413 *23640:B 10.5513 +68 *3478:413 *3478:422 4.60562 +69 *3478:422 *23644:B 9.82786 +70 *3478:422 *3478:436 3.71343 +71 *3478:436 *23645:B 9.82786 +72 *3478:436 *3478:446 9.06656 +73 *3478:446 *3478:458 11.1162 +74 *3478:458 *3478:460 0.578717 +75 *3478:460 *3478:469 15.4561 +76 *3478:469 *3478:470 7.93324 +77 *3478:470 *6564:DIODE 28.0116 +78 *3478:470 *6566:DIODE 9.24915 +79 *3478:469 *6556:DIODE 9.24915 +80 *3478:469 *3478:490 2.38721 +81 *3478:490 *6558:DIODE 9.24915 +82 *3478:490 *6560:DIODE 13.3002 +83 *3478:460 *23641:B 9.24915 +84 *3478:458 *3478:506 2.94181 +85 *3478:506 *23642:B 9.82786 +86 *3478:506 *23643:B 12.7697 +87 *3478:446 *23646:B 9.24915 +88 *3478:383 *23639:B 16.4116 +89 *3478:343 *23648:B 14.7506 +90 *3478:166 *23667:B 16.7198 +91 *3478:164 *6608:DIODE 9.24915 +92 *3478:146 *3478:554 7.1625 +93 *3478:554 *6546:DIODE 17.8002 +94 *3478:554 *23636:B 21.4325 +95 *3478:136 *3478:574 8.40826 +96 *3478:574 *23665:B 13.5172 +97 *3478:574 *23647:B 9.24915 +98 *3478:134 *6568:DIODE 9.24915 +99 *3478:49 *3478:597 8.82351 +100 *3478:597 *23661:B 9.97254 +101 *3478:597 *23658:B 9.24915 +102 *3478:45 *6590:DIODE 9.24915 +*END diff --git a/spef/mprj2_logic_high.sdc b/spef/mprj2_logic_high.sdc new file mode 100644 index 00000000..ac8276fa --- /dev/null +++ b/spef/mprj2_logic_high.sdc @@ -0,0 +1,21 @@ +############################################################################### +# Created by write_sdc +# Mon Nov 15 10:50:21 2021 +############################################################################### +current_design mprj2_logic_high +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {HI}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/spef/mprj2_logic_high.spef b/spef/mprj2_logic_high.spef new file mode 100644 index 00000000..2993c00c --- /dev/null +++ b/spef/mprj2_logic_high.spef @@ -0,0 +1,100 @@ +*SPEF "ieee 1481-1999" +*DESIGN "mprj2_logic_high" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 HI +*2 FILLER_0_109 +*3 FILLER_0_113 +*4 FILLER_0_125 +*5 FILLER_0_137 +*6 FILLER_0_141 +*7 FILLER_0_15 +*8 FILLER_0_153 +*9 FILLER_0_165 +*10 FILLER_0_169 +*11 FILLER_0_181 +*12 FILLER_0_193 +*13 FILLER_0_197 +*14 FILLER_0_209 +*15 FILLER_0_213 +*16 FILLER_0_27 +*17 FILLER_0_29 +*18 FILLER_0_3 +*19 FILLER_0_41 +*20 FILLER_0_53 +*21 FILLER_0_57 +*22 FILLER_0_69 +*23 FILLER_0_81 +*24 FILLER_0_85 +*25 FILLER_0_97 +*26 FILLER_1_107 +*27 FILLER_1_111 +*28 FILLER_1_113 +*29 FILLER_1_125 +*30 FILLER_1_137 +*31 FILLER_1_141 +*32 FILLER_1_15 +*33 FILLER_1_153 +*34 FILLER_1_165 +*35 FILLER_1_169 +*36 FILLER_1_181 +*37 FILLER_1_193 +*38 FILLER_1_197 +*39 FILLER_1_209 +*40 FILLER_1_213 +*41 FILLER_1_27 +*42 FILLER_1_29 +*43 FILLER_1_3 +*44 FILLER_1_41 +*45 FILLER_1_53 +*46 FILLER_1_57 +*47 FILLER_1_69 +*48 FILLER_1_81 +*49 FILLER_1_85 +*50 FILLER_1_91 +*51 FILLER_1_95 +*52 PHY_0 +*53 PHY_1 +*54 PHY_2 +*55 PHY_3 +*56 TAP_10 +*57 TAP_11 +*58 TAP_12 +*59 TAP_13 +*60 TAP_14 +*61 TAP_15 +*62 TAP_16 +*63 TAP_17 +*64 TAP_4 +*65 TAP_5 +*66 TAP_6 +*67 TAP_7 +*68 TAP_8 +*69 TAP_9 +*70 inst + +*PORTS +HI O + +*D_NET *1 0.00667596 +*CONN +*P HI O +*I *70:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI 0.00333798 +2 *70:HI 0.00333798 +*RES +1 *70:HI HI 24.0614 +*END diff --git a/spef/mprj_logic_high.sdc b/spef/mprj_logic_high.sdc new file mode 100644 index 00000000..b21689b0 --- /dev/null +++ b/spef/mprj_logic_high.sdc @@ -0,0 +1,945 @@ +############################################################################### +# Created by write_sdc +# Wed Nov 17 17:45:16 2021 +############################################################################### +current_design mprj_logic_high +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[0]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[100]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[101]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[102]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[103]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[104]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[105]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[106]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[107]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[108]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[109]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[10]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[110]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[111]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[112]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[113]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[114]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[115]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[116]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[117]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[118]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[119]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[11]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[120]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[121]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[122]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[123]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[124]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[125]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[126]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[127]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[128]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[129]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[12]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[130]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[131]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[132]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[133]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[134]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[135]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[136]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[137]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[138]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[139]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[13]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[140]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[141]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[142]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[143]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[144]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[145]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[146]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[147]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[148]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[149]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[14]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[150]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[151]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[152]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[153]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[154]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[155]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[156]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[157]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[158]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[159]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[15]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[160]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[161]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[162]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[163]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[164]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[165]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[166]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[167]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[168]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[169]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[16]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[170]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[171]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[172]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[173]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[174]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[175]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[176]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[177]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[178]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[179]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[17]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[180]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[181]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[182]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[183]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[184]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[185]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[186]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[187]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[188]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[189]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[18]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[190]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[191]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[192]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[193]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[194]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[195]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[196]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[197]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[198]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[199]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[19]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[1]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[200]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[201]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[202]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[203]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[204]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[205]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[206]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[207]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[208]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[209]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[20]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[210]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[211]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[212]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[213]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[214]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[215]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[216]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[217]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[218]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[219]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[21]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[220]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[221]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[222]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[223]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[224]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[225]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[226]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[227]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[228]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[229]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[22]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[230]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[231]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[232]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[233]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[234]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[235]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[236]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[237]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[238]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[239]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[23]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[240]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[241]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[242]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[243]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[244]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[245]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[246]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[247]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[248]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[249]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[24]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[250]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[251]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[252]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[253]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[254]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[255]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[256]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[257]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[258]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[259]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[25]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[260]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[261]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[262]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[263]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[264]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[265]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[266]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[267]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[268]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[269]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[26]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[270]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[271]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[272]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[273]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[274]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[275]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[276]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[277]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[278]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[279]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[27]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[280]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[281]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[282]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[283]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[284]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[285]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[286]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[287]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[288]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[289]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[28]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[290]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[291]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[292]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[293]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[294]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[295]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[296]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[297]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[298]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[299]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[29]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[2]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[300]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[301]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[302]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[303]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[304]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[305]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[306]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[307]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[308]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[309]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[30]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[310]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[311]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[312]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[313]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[314]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[315]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[316]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[317]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[318]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[319]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[31]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[320]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[321]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[322]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[323]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[324]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[325]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[326]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[327]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[328]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[329]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[32]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[330]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[331]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[332]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[333]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[334]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[335]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[336]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[337]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[338]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[339]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[33]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[340]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[341]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[342]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[343]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[344]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[345]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[346]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[347]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[348]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[349]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[34]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[350]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[351]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[352]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[353]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[354]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[355]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[356]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[357]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[358]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[359]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[35]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[360]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[361]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[362]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[363]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[364]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[365]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[366]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[367]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[368]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[369]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[36]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[370]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[371]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[372]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[373]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[374]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[375]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[376]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[377]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[378]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[379]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[37]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[380]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[381]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[382]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[383]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[384]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[385]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[386]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[387]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[388]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[389]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[38]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[390]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[391]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[392]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[393]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[394]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[395]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[396]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[397]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[398]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[399]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[39]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[3]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[400]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[401]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[402]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[403]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[404]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[405]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[406]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[407]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[408]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[409]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[40]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[410]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[411]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[412]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[413]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[414]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[415]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[416]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[417]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[418]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[419]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[41]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[420]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[421]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[422]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[423]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[424]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[425]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[426]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[427]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[428]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[429]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[42]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[430]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[431]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[432]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[433]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[434]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[435]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[436]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[437]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[438]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[439]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[43]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[440]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[441]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[442]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[443]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[444]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[445]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[446]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[447]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[448]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[449]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[44]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[450]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[451]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[452]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[453]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[454]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[455]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[456]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[457]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[458]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[459]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[45]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[460]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[461]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[462]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[46]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[47]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[48]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[49]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[4]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[50]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[51]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[52]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[53]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[54]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[55]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[56]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[57]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[58]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[59]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[5]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[60]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[61]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[62]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[63]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[64]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[65]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[66]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[67]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[68]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[69]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[6]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[70]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[71]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[72]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[73]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[74]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[75]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[76]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[77]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[78]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[79]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[7]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[80]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[81]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[82]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[83]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[84]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[85]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[86]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[87]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[88]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[89]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[8]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[90]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[91]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[92]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[93]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[94]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[95]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[96]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[97]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[98]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[99]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {HI[9]}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {HI[462]}] +set_load -pin_load 0.0334 [get_ports {HI[461]}] +set_load -pin_load 0.0334 [get_ports {HI[460]}] +set_load -pin_load 0.0334 [get_ports {HI[459]}] +set_load -pin_load 0.0334 [get_ports {HI[458]}] +set_load -pin_load 0.0334 [get_ports {HI[457]}] +set_load -pin_load 0.0334 [get_ports {HI[456]}] +set_load -pin_load 0.0334 [get_ports {HI[455]}] +set_load -pin_load 0.0334 [get_ports {HI[454]}] +set_load -pin_load 0.0334 [get_ports {HI[453]}] +set_load -pin_load 0.0334 [get_ports {HI[452]}] +set_load -pin_load 0.0334 [get_ports {HI[451]}] +set_load -pin_load 0.0334 [get_ports {HI[450]}] +set_load -pin_load 0.0334 [get_ports {HI[449]}] +set_load -pin_load 0.0334 [get_ports {HI[448]}] +set_load -pin_load 0.0334 [get_ports {HI[447]}] +set_load -pin_load 0.0334 [get_ports {HI[446]}] +set_load -pin_load 0.0334 [get_ports {HI[445]}] +set_load -pin_load 0.0334 [get_ports {HI[444]}] +set_load -pin_load 0.0334 [get_ports {HI[443]}] +set_load -pin_load 0.0334 [get_ports {HI[442]}] +set_load -pin_load 0.0334 [get_ports {HI[441]}] +set_load -pin_load 0.0334 [get_ports {HI[440]}] +set_load -pin_load 0.0334 [get_ports {HI[439]}] +set_load -pin_load 0.0334 [get_ports {HI[438]}] +set_load -pin_load 0.0334 [get_ports {HI[437]}] +set_load -pin_load 0.0334 [get_ports {HI[436]}] +set_load -pin_load 0.0334 [get_ports {HI[435]}] +set_load -pin_load 0.0334 [get_ports {HI[434]}] +set_load -pin_load 0.0334 [get_ports {HI[433]}] +set_load -pin_load 0.0334 [get_ports {HI[432]}] +set_load -pin_load 0.0334 [get_ports {HI[431]}] +set_load -pin_load 0.0334 [get_ports {HI[430]}] +set_load -pin_load 0.0334 [get_ports {HI[429]}] +set_load -pin_load 0.0334 [get_ports {HI[428]}] +set_load -pin_load 0.0334 [get_ports {HI[427]}] +set_load -pin_load 0.0334 [get_ports {HI[426]}] +set_load -pin_load 0.0334 [get_ports {HI[425]}] +set_load -pin_load 0.0334 [get_ports {HI[424]}] +set_load -pin_load 0.0334 [get_ports {HI[423]}] +set_load -pin_load 0.0334 [get_ports {HI[422]}] +set_load -pin_load 0.0334 [get_ports {HI[421]}] +set_load -pin_load 0.0334 [get_ports {HI[420]}] +set_load -pin_load 0.0334 [get_ports {HI[419]}] +set_load -pin_load 0.0334 [get_ports {HI[418]}] +set_load -pin_load 0.0334 [get_ports {HI[417]}] +set_load -pin_load 0.0334 [get_ports {HI[416]}] +set_load -pin_load 0.0334 [get_ports {HI[415]}] +set_load -pin_load 0.0334 [get_ports {HI[414]}] +set_load -pin_load 0.0334 [get_ports {HI[413]}] +set_load -pin_load 0.0334 [get_ports {HI[412]}] +set_load -pin_load 0.0334 [get_ports {HI[411]}] +set_load -pin_load 0.0334 [get_ports {HI[410]}] +set_load -pin_load 0.0334 [get_ports {HI[409]}] +set_load -pin_load 0.0334 [get_ports {HI[408]}] +set_load -pin_load 0.0334 [get_ports {HI[407]}] +set_load -pin_load 0.0334 [get_ports {HI[406]}] +set_load -pin_load 0.0334 [get_ports {HI[405]}] +set_load -pin_load 0.0334 [get_ports {HI[404]}] +set_load -pin_load 0.0334 [get_ports {HI[403]}] +set_load -pin_load 0.0334 [get_ports {HI[402]}] +set_load -pin_load 0.0334 [get_ports {HI[401]}] +set_load -pin_load 0.0334 [get_ports {HI[400]}] +set_load -pin_load 0.0334 [get_ports {HI[399]}] +set_load -pin_load 0.0334 [get_ports {HI[398]}] +set_load -pin_load 0.0334 [get_ports {HI[397]}] +set_load -pin_load 0.0334 [get_ports {HI[396]}] +set_load -pin_load 0.0334 [get_ports {HI[395]}] +set_load -pin_load 0.0334 [get_ports {HI[394]}] +set_load -pin_load 0.0334 [get_ports {HI[393]}] +set_load -pin_load 0.0334 [get_ports {HI[392]}] +set_load -pin_load 0.0334 [get_ports {HI[391]}] +set_load -pin_load 0.0334 [get_ports {HI[390]}] +set_load -pin_load 0.0334 [get_ports {HI[389]}] +set_load -pin_load 0.0334 [get_ports {HI[388]}] +set_load -pin_load 0.0334 [get_ports {HI[387]}] +set_load -pin_load 0.0334 [get_ports {HI[386]}] +set_load -pin_load 0.0334 [get_ports {HI[385]}] +set_load -pin_load 0.0334 [get_ports {HI[384]}] +set_load -pin_load 0.0334 [get_ports {HI[383]}] +set_load -pin_load 0.0334 [get_ports {HI[382]}] +set_load -pin_load 0.0334 [get_ports {HI[381]}] +set_load -pin_load 0.0334 [get_ports {HI[380]}] +set_load -pin_load 0.0334 [get_ports {HI[379]}] +set_load -pin_load 0.0334 [get_ports {HI[378]}] +set_load -pin_load 0.0334 [get_ports {HI[377]}] +set_load -pin_load 0.0334 [get_ports {HI[376]}] +set_load -pin_load 0.0334 [get_ports {HI[375]}] +set_load -pin_load 0.0334 [get_ports {HI[374]}] +set_load -pin_load 0.0334 [get_ports {HI[373]}] +set_load -pin_load 0.0334 [get_ports {HI[372]}] +set_load -pin_load 0.0334 [get_ports {HI[371]}] +set_load -pin_load 0.0334 [get_ports {HI[370]}] +set_load -pin_load 0.0334 [get_ports {HI[369]}] +set_load -pin_load 0.0334 [get_ports {HI[368]}] +set_load -pin_load 0.0334 [get_ports {HI[367]}] +set_load -pin_load 0.0334 [get_ports {HI[366]}] +set_load -pin_load 0.0334 [get_ports {HI[365]}] +set_load -pin_load 0.0334 [get_ports {HI[364]}] +set_load -pin_load 0.0334 [get_ports {HI[363]}] +set_load -pin_load 0.0334 [get_ports {HI[362]}] +set_load -pin_load 0.0334 [get_ports {HI[361]}] +set_load -pin_load 0.0334 [get_ports {HI[360]}] +set_load -pin_load 0.0334 [get_ports {HI[359]}] +set_load -pin_load 0.0334 [get_ports {HI[358]}] +set_load -pin_load 0.0334 [get_ports {HI[357]}] +set_load -pin_load 0.0334 [get_ports {HI[356]}] +set_load -pin_load 0.0334 [get_ports {HI[355]}] +set_load -pin_load 0.0334 [get_ports {HI[354]}] +set_load -pin_load 0.0334 [get_ports {HI[353]}] +set_load -pin_load 0.0334 [get_ports {HI[352]}] +set_load -pin_load 0.0334 [get_ports {HI[351]}] +set_load -pin_load 0.0334 [get_ports {HI[350]}] +set_load -pin_load 0.0334 [get_ports {HI[349]}] +set_load -pin_load 0.0334 [get_ports {HI[348]}] +set_load -pin_load 0.0334 [get_ports {HI[347]}] +set_load -pin_load 0.0334 [get_ports {HI[346]}] +set_load -pin_load 0.0334 [get_ports {HI[345]}] +set_load -pin_load 0.0334 [get_ports {HI[344]}] +set_load -pin_load 0.0334 [get_ports {HI[343]}] +set_load -pin_load 0.0334 [get_ports {HI[342]}] +set_load -pin_load 0.0334 [get_ports {HI[341]}] +set_load -pin_load 0.0334 [get_ports {HI[340]}] +set_load -pin_load 0.0334 [get_ports {HI[339]}] +set_load -pin_load 0.0334 [get_ports {HI[338]}] +set_load -pin_load 0.0334 [get_ports {HI[337]}] +set_load -pin_load 0.0334 [get_ports {HI[336]}] +set_load -pin_load 0.0334 [get_ports {HI[335]}] +set_load -pin_load 0.0334 [get_ports {HI[334]}] +set_load -pin_load 0.0334 [get_ports {HI[333]}] +set_load -pin_load 0.0334 [get_ports {HI[332]}] +set_load -pin_load 0.0334 [get_ports {HI[331]}] +set_load -pin_load 0.0334 [get_ports {HI[330]}] +set_load -pin_load 0.0334 [get_ports {HI[329]}] +set_load -pin_load 0.0334 [get_ports {HI[328]}] +set_load -pin_load 0.0334 [get_ports {HI[327]}] +set_load -pin_load 0.0334 [get_ports {HI[326]}] +set_load -pin_load 0.0334 [get_ports {HI[325]}] +set_load -pin_load 0.0334 [get_ports {HI[324]}] +set_load -pin_load 0.0334 [get_ports {HI[323]}] +set_load -pin_load 0.0334 [get_ports {HI[322]}] +set_load -pin_load 0.0334 [get_ports {HI[321]}] +set_load -pin_load 0.0334 [get_ports {HI[320]}] +set_load -pin_load 0.0334 [get_ports {HI[319]}] +set_load -pin_load 0.0334 [get_ports {HI[318]}] +set_load -pin_load 0.0334 [get_ports {HI[317]}] +set_load -pin_load 0.0334 [get_ports {HI[316]}] +set_load -pin_load 0.0334 [get_ports {HI[315]}] +set_load -pin_load 0.0334 [get_ports {HI[314]}] +set_load -pin_load 0.0334 [get_ports {HI[313]}] +set_load -pin_load 0.0334 [get_ports {HI[312]}] +set_load -pin_load 0.0334 [get_ports {HI[311]}] +set_load -pin_load 0.0334 [get_ports {HI[310]}] +set_load -pin_load 0.0334 [get_ports {HI[309]}] +set_load -pin_load 0.0334 [get_ports {HI[308]}] +set_load -pin_load 0.0334 [get_ports {HI[307]}] +set_load -pin_load 0.0334 [get_ports {HI[306]}] +set_load -pin_load 0.0334 [get_ports {HI[305]}] +set_load -pin_load 0.0334 [get_ports {HI[304]}] +set_load -pin_load 0.0334 [get_ports {HI[303]}] +set_load -pin_load 0.0334 [get_ports {HI[302]}] +set_load -pin_load 0.0334 [get_ports {HI[301]}] +set_load -pin_load 0.0334 [get_ports {HI[300]}] +set_load -pin_load 0.0334 [get_ports {HI[299]}] +set_load -pin_load 0.0334 [get_ports {HI[298]}] +set_load -pin_load 0.0334 [get_ports {HI[297]}] +set_load -pin_load 0.0334 [get_ports {HI[296]}] +set_load -pin_load 0.0334 [get_ports {HI[295]}] +set_load -pin_load 0.0334 [get_ports {HI[294]}] +set_load -pin_load 0.0334 [get_ports {HI[293]}] +set_load -pin_load 0.0334 [get_ports {HI[292]}] +set_load -pin_load 0.0334 [get_ports {HI[291]}] +set_load -pin_load 0.0334 [get_ports {HI[290]}] +set_load -pin_load 0.0334 [get_ports {HI[289]}] +set_load -pin_load 0.0334 [get_ports {HI[288]}] +set_load -pin_load 0.0334 [get_ports {HI[287]}] +set_load -pin_load 0.0334 [get_ports {HI[286]}] +set_load -pin_load 0.0334 [get_ports {HI[285]}] +set_load -pin_load 0.0334 [get_ports {HI[284]}] +set_load -pin_load 0.0334 [get_ports {HI[283]}] +set_load -pin_load 0.0334 [get_ports {HI[282]}] +set_load -pin_load 0.0334 [get_ports {HI[281]}] +set_load -pin_load 0.0334 [get_ports {HI[280]}] +set_load -pin_load 0.0334 [get_ports {HI[279]}] +set_load -pin_load 0.0334 [get_ports {HI[278]}] +set_load -pin_load 0.0334 [get_ports {HI[277]}] +set_load -pin_load 0.0334 [get_ports {HI[276]}] +set_load -pin_load 0.0334 [get_ports {HI[275]}] +set_load -pin_load 0.0334 [get_ports {HI[274]}] +set_load -pin_load 0.0334 [get_ports {HI[273]}] +set_load -pin_load 0.0334 [get_ports {HI[272]}] +set_load -pin_load 0.0334 [get_ports {HI[271]}] +set_load -pin_load 0.0334 [get_ports {HI[270]}] +set_load -pin_load 0.0334 [get_ports {HI[269]}] +set_load -pin_load 0.0334 [get_ports {HI[268]}] +set_load -pin_load 0.0334 [get_ports {HI[267]}] +set_load -pin_load 0.0334 [get_ports {HI[266]}] +set_load -pin_load 0.0334 [get_ports {HI[265]}] +set_load -pin_load 0.0334 [get_ports {HI[264]}] +set_load -pin_load 0.0334 [get_ports {HI[263]}] +set_load -pin_load 0.0334 [get_ports {HI[262]}] +set_load -pin_load 0.0334 [get_ports {HI[261]}] +set_load -pin_load 0.0334 [get_ports {HI[260]}] +set_load -pin_load 0.0334 [get_ports {HI[259]}] +set_load -pin_load 0.0334 [get_ports {HI[258]}] +set_load -pin_load 0.0334 [get_ports {HI[257]}] +set_load -pin_load 0.0334 [get_ports {HI[256]}] +set_load -pin_load 0.0334 [get_ports {HI[255]}] +set_load -pin_load 0.0334 [get_ports {HI[254]}] +set_load -pin_load 0.0334 [get_ports {HI[253]}] +set_load -pin_load 0.0334 [get_ports {HI[252]}] +set_load -pin_load 0.0334 [get_ports {HI[251]}] +set_load -pin_load 0.0334 [get_ports {HI[250]}] +set_load -pin_load 0.0334 [get_ports {HI[249]}] +set_load -pin_load 0.0334 [get_ports {HI[248]}] +set_load -pin_load 0.0334 [get_ports {HI[247]}] +set_load -pin_load 0.0334 [get_ports {HI[246]}] +set_load -pin_load 0.0334 [get_ports {HI[245]}] +set_load -pin_load 0.0334 [get_ports {HI[244]}] +set_load -pin_load 0.0334 [get_ports {HI[243]}] +set_load -pin_load 0.0334 [get_ports {HI[242]}] +set_load -pin_load 0.0334 [get_ports {HI[241]}] +set_load -pin_load 0.0334 [get_ports {HI[240]}] +set_load -pin_load 0.0334 [get_ports {HI[239]}] +set_load -pin_load 0.0334 [get_ports {HI[238]}] +set_load -pin_load 0.0334 [get_ports {HI[237]}] +set_load -pin_load 0.0334 [get_ports {HI[236]}] +set_load -pin_load 0.0334 [get_ports {HI[235]}] +set_load -pin_load 0.0334 [get_ports {HI[234]}] +set_load -pin_load 0.0334 [get_ports {HI[233]}] +set_load -pin_load 0.0334 [get_ports {HI[232]}] +set_load -pin_load 0.0334 [get_ports {HI[231]}] +set_load -pin_load 0.0334 [get_ports {HI[230]}] +set_load -pin_load 0.0334 [get_ports {HI[229]}] +set_load -pin_load 0.0334 [get_ports {HI[228]}] +set_load -pin_load 0.0334 [get_ports {HI[227]}] +set_load -pin_load 0.0334 [get_ports {HI[226]}] +set_load -pin_load 0.0334 [get_ports {HI[225]}] +set_load -pin_load 0.0334 [get_ports {HI[224]}] +set_load -pin_load 0.0334 [get_ports {HI[223]}] +set_load -pin_load 0.0334 [get_ports {HI[222]}] +set_load -pin_load 0.0334 [get_ports {HI[221]}] +set_load -pin_load 0.0334 [get_ports {HI[220]}] +set_load -pin_load 0.0334 [get_ports {HI[219]}] +set_load -pin_load 0.0334 [get_ports {HI[218]}] +set_load -pin_load 0.0334 [get_ports {HI[217]}] +set_load -pin_load 0.0334 [get_ports {HI[216]}] +set_load -pin_load 0.0334 [get_ports {HI[215]}] +set_load -pin_load 0.0334 [get_ports {HI[214]}] +set_load -pin_load 0.0334 [get_ports {HI[213]}] +set_load -pin_load 0.0334 [get_ports {HI[212]}] +set_load -pin_load 0.0334 [get_ports {HI[211]}] +set_load -pin_load 0.0334 [get_ports {HI[210]}] +set_load -pin_load 0.0334 [get_ports {HI[209]}] +set_load -pin_load 0.0334 [get_ports {HI[208]}] +set_load -pin_load 0.0334 [get_ports {HI[207]}] +set_load -pin_load 0.0334 [get_ports {HI[206]}] +set_load -pin_load 0.0334 [get_ports {HI[205]}] +set_load -pin_load 0.0334 [get_ports {HI[204]}] +set_load -pin_load 0.0334 [get_ports {HI[203]}] +set_load -pin_load 0.0334 [get_ports {HI[202]}] +set_load -pin_load 0.0334 [get_ports {HI[201]}] +set_load -pin_load 0.0334 [get_ports {HI[200]}] +set_load -pin_load 0.0334 [get_ports {HI[199]}] +set_load -pin_load 0.0334 [get_ports {HI[198]}] +set_load -pin_load 0.0334 [get_ports {HI[197]}] +set_load -pin_load 0.0334 [get_ports {HI[196]}] +set_load -pin_load 0.0334 [get_ports {HI[195]}] +set_load -pin_load 0.0334 [get_ports {HI[194]}] +set_load -pin_load 0.0334 [get_ports {HI[193]}] +set_load -pin_load 0.0334 [get_ports {HI[192]}] +set_load -pin_load 0.0334 [get_ports {HI[191]}] +set_load -pin_load 0.0334 [get_ports {HI[190]}] +set_load -pin_load 0.0334 [get_ports {HI[189]}] +set_load -pin_load 0.0334 [get_ports {HI[188]}] +set_load -pin_load 0.0334 [get_ports {HI[187]}] +set_load -pin_load 0.0334 [get_ports {HI[186]}] +set_load -pin_load 0.0334 [get_ports {HI[185]}] +set_load -pin_load 0.0334 [get_ports {HI[184]}] +set_load -pin_load 0.0334 [get_ports {HI[183]}] +set_load -pin_load 0.0334 [get_ports {HI[182]}] +set_load -pin_load 0.0334 [get_ports {HI[181]}] +set_load -pin_load 0.0334 [get_ports {HI[180]}] +set_load -pin_load 0.0334 [get_ports {HI[179]}] +set_load -pin_load 0.0334 [get_ports {HI[178]}] +set_load -pin_load 0.0334 [get_ports {HI[177]}] +set_load -pin_load 0.0334 [get_ports {HI[176]}] +set_load -pin_load 0.0334 [get_ports {HI[175]}] +set_load -pin_load 0.0334 [get_ports {HI[174]}] +set_load -pin_load 0.0334 [get_ports {HI[173]}] +set_load -pin_load 0.0334 [get_ports {HI[172]}] +set_load -pin_load 0.0334 [get_ports {HI[171]}] +set_load -pin_load 0.0334 [get_ports {HI[170]}] +set_load -pin_load 0.0334 [get_ports {HI[169]}] +set_load -pin_load 0.0334 [get_ports {HI[168]}] +set_load -pin_load 0.0334 [get_ports {HI[167]}] +set_load -pin_load 0.0334 [get_ports {HI[166]}] +set_load -pin_load 0.0334 [get_ports {HI[165]}] +set_load -pin_load 0.0334 [get_ports {HI[164]}] +set_load -pin_load 0.0334 [get_ports {HI[163]}] +set_load -pin_load 0.0334 [get_ports {HI[162]}] +set_load -pin_load 0.0334 [get_ports {HI[161]}] +set_load -pin_load 0.0334 [get_ports {HI[160]}] +set_load -pin_load 0.0334 [get_ports {HI[159]}] +set_load -pin_load 0.0334 [get_ports {HI[158]}] +set_load -pin_load 0.0334 [get_ports {HI[157]}] +set_load -pin_load 0.0334 [get_ports {HI[156]}] +set_load -pin_load 0.0334 [get_ports {HI[155]}] +set_load -pin_load 0.0334 [get_ports {HI[154]}] +set_load -pin_load 0.0334 [get_ports {HI[153]}] +set_load -pin_load 0.0334 [get_ports {HI[152]}] +set_load -pin_load 0.0334 [get_ports {HI[151]}] +set_load -pin_load 0.0334 [get_ports {HI[150]}] +set_load -pin_load 0.0334 [get_ports {HI[149]}] +set_load -pin_load 0.0334 [get_ports {HI[148]}] +set_load -pin_load 0.0334 [get_ports {HI[147]}] +set_load -pin_load 0.0334 [get_ports {HI[146]}] +set_load -pin_load 0.0334 [get_ports {HI[145]}] +set_load -pin_load 0.0334 [get_ports {HI[144]}] +set_load -pin_load 0.0334 [get_ports {HI[143]}] +set_load -pin_load 0.0334 [get_ports {HI[142]}] +set_load -pin_load 0.0334 [get_ports {HI[141]}] +set_load -pin_load 0.0334 [get_ports {HI[140]}] +set_load -pin_load 0.0334 [get_ports {HI[139]}] +set_load -pin_load 0.0334 [get_ports {HI[138]}] +set_load -pin_load 0.0334 [get_ports {HI[137]}] +set_load -pin_load 0.0334 [get_ports {HI[136]}] +set_load -pin_load 0.0334 [get_ports {HI[135]}] +set_load -pin_load 0.0334 [get_ports {HI[134]}] +set_load -pin_load 0.0334 [get_ports {HI[133]}] +set_load -pin_load 0.0334 [get_ports {HI[132]}] +set_load -pin_load 0.0334 [get_ports {HI[131]}] +set_load -pin_load 0.0334 [get_ports {HI[130]}] +set_load -pin_load 0.0334 [get_ports {HI[129]}] +set_load -pin_load 0.0334 [get_ports {HI[128]}] +set_load -pin_load 0.0334 [get_ports {HI[127]}] +set_load -pin_load 0.0334 [get_ports {HI[126]}] +set_load -pin_load 0.0334 [get_ports {HI[125]}] +set_load -pin_load 0.0334 [get_ports {HI[124]}] +set_load -pin_load 0.0334 [get_ports {HI[123]}] +set_load -pin_load 0.0334 [get_ports {HI[122]}] +set_load -pin_load 0.0334 [get_ports {HI[121]}] +set_load -pin_load 0.0334 [get_ports {HI[120]}] +set_load -pin_load 0.0334 [get_ports {HI[119]}] +set_load -pin_load 0.0334 [get_ports {HI[118]}] +set_load -pin_load 0.0334 [get_ports {HI[117]}] +set_load -pin_load 0.0334 [get_ports {HI[116]}] +set_load -pin_load 0.0334 [get_ports {HI[115]}] +set_load -pin_load 0.0334 [get_ports {HI[114]}] +set_load -pin_load 0.0334 [get_ports {HI[113]}] +set_load -pin_load 0.0334 [get_ports {HI[112]}] +set_load -pin_load 0.0334 [get_ports {HI[111]}] +set_load -pin_load 0.0334 [get_ports {HI[110]}] +set_load -pin_load 0.0334 [get_ports {HI[109]}] +set_load -pin_load 0.0334 [get_ports {HI[108]}] +set_load -pin_load 0.0334 [get_ports {HI[107]}] +set_load -pin_load 0.0334 [get_ports {HI[106]}] +set_load -pin_load 0.0334 [get_ports {HI[105]}] +set_load -pin_load 0.0334 [get_ports {HI[104]}] +set_load -pin_load 0.0334 [get_ports {HI[103]}] +set_load -pin_load 0.0334 [get_ports {HI[102]}] +set_load -pin_load 0.0334 [get_ports {HI[101]}] +set_load -pin_load 0.0334 [get_ports {HI[100]}] +set_load -pin_load 0.0334 [get_ports {HI[99]}] +set_load -pin_load 0.0334 [get_ports {HI[98]}] +set_load -pin_load 0.0334 [get_ports {HI[97]}] +set_load -pin_load 0.0334 [get_ports {HI[96]}] +set_load -pin_load 0.0334 [get_ports {HI[95]}] +set_load -pin_load 0.0334 [get_ports {HI[94]}] +set_load -pin_load 0.0334 [get_ports {HI[93]}] +set_load -pin_load 0.0334 [get_ports {HI[92]}] +set_load -pin_load 0.0334 [get_ports {HI[91]}] +set_load -pin_load 0.0334 [get_ports {HI[90]}] +set_load -pin_load 0.0334 [get_ports {HI[89]}] +set_load -pin_load 0.0334 [get_ports {HI[88]}] +set_load -pin_load 0.0334 [get_ports {HI[87]}] +set_load -pin_load 0.0334 [get_ports {HI[86]}] +set_load -pin_load 0.0334 [get_ports {HI[85]}] +set_load -pin_load 0.0334 [get_ports {HI[84]}] +set_load -pin_load 0.0334 [get_ports {HI[83]}] +set_load -pin_load 0.0334 [get_ports {HI[82]}] +set_load -pin_load 0.0334 [get_ports {HI[81]}] +set_load -pin_load 0.0334 [get_ports {HI[80]}] +set_load -pin_load 0.0334 [get_ports {HI[79]}] +set_load -pin_load 0.0334 [get_ports {HI[78]}] +set_load -pin_load 0.0334 [get_ports {HI[77]}] +set_load -pin_load 0.0334 [get_ports {HI[76]}] +set_load -pin_load 0.0334 [get_ports {HI[75]}] +set_load -pin_load 0.0334 [get_ports {HI[74]}] +set_load -pin_load 0.0334 [get_ports {HI[73]}] +set_load -pin_load 0.0334 [get_ports {HI[72]}] +set_load -pin_load 0.0334 [get_ports {HI[71]}] +set_load -pin_load 0.0334 [get_ports {HI[70]}] +set_load -pin_load 0.0334 [get_ports {HI[69]}] +set_load -pin_load 0.0334 [get_ports {HI[68]}] +set_load -pin_load 0.0334 [get_ports {HI[67]}] +set_load -pin_load 0.0334 [get_ports {HI[66]}] +set_load -pin_load 0.0334 [get_ports {HI[65]}] +set_load -pin_load 0.0334 [get_ports {HI[64]}] +set_load -pin_load 0.0334 [get_ports {HI[63]}] +set_load -pin_load 0.0334 [get_ports {HI[62]}] +set_load -pin_load 0.0334 [get_ports {HI[61]}] +set_load -pin_load 0.0334 [get_ports {HI[60]}] +set_load -pin_load 0.0334 [get_ports {HI[59]}] +set_load -pin_load 0.0334 [get_ports {HI[58]}] +set_load -pin_load 0.0334 [get_ports {HI[57]}] +set_load -pin_load 0.0334 [get_ports {HI[56]}] +set_load -pin_load 0.0334 [get_ports {HI[55]}] +set_load -pin_load 0.0334 [get_ports {HI[54]}] +set_load -pin_load 0.0334 [get_ports {HI[53]}] +set_load -pin_load 0.0334 [get_ports {HI[52]}] +set_load -pin_load 0.0334 [get_ports {HI[51]}] +set_load -pin_load 0.0334 [get_ports {HI[50]}] +set_load -pin_load 0.0334 [get_ports {HI[49]}] +set_load -pin_load 0.0334 [get_ports {HI[48]}] +set_load -pin_load 0.0334 [get_ports {HI[47]}] +set_load -pin_load 0.0334 [get_ports {HI[46]}] +set_load -pin_load 0.0334 [get_ports {HI[45]}] +set_load -pin_load 0.0334 [get_ports {HI[44]}] +set_load -pin_load 0.0334 [get_ports {HI[43]}] +set_load -pin_load 0.0334 [get_ports {HI[42]}] +set_load -pin_load 0.0334 [get_ports {HI[41]}] +set_load -pin_load 0.0334 [get_ports {HI[40]}] +set_load -pin_load 0.0334 [get_ports {HI[39]}] +set_load -pin_load 0.0334 [get_ports {HI[38]}] +set_load -pin_load 0.0334 [get_ports {HI[37]}] +set_load -pin_load 0.0334 [get_ports {HI[36]}] +set_load -pin_load 0.0334 [get_ports {HI[35]}] +set_load -pin_load 0.0334 [get_ports {HI[34]}] +set_load -pin_load 0.0334 [get_ports {HI[33]}] +set_load -pin_load 0.0334 [get_ports {HI[32]}] +set_load -pin_load 0.0334 [get_ports {HI[31]}] +set_load -pin_load 0.0334 [get_ports {HI[30]}] +set_load -pin_load 0.0334 [get_ports {HI[29]}] +set_load -pin_load 0.0334 [get_ports {HI[28]}] +set_load -pin_load 0.0334 [get_ports {HI[27]}] +set_load -pin_load 0.0334 [get_ports {HI[26]}] +set_load -pin_load 0.0334 [get_ports {HI[25]}] +set_load -pin_load 0.0334 [get_ports {HI[24]}] +set_load -pin_load 0.0334 [get_ports {HI[23]}] +set_load -pin_load 0.0334 [get_ports {HI[22]}] +set_load -pin_load 0.0334 [get_ports {HI[21]}] +set_load -pin_load 0.0334 [get_ports {HI[20]}] +set_load -pin_load 0.0334 [get_ports {HI[19]}] +set_load -pin_load 0.0334 [get_ports {HI[18]}] +set_load -pin_load 0.0334 [get_ports {HI[17]}] +set_load -pin_load 0.0334 [get_ports {HI[16]}] +set_load -pin_load 0.0334 [get_ports {HI[15]}] +set_load -pin_load 0.0334 [get_ports {HI[14]}] +set_load -pin_load 0.0334 [get_ports {HI[13]}] +set_load -pin_load 0.0334 [get_ports {HI[12]}] +set_load -pin_load 0.0334 [get_ports {HI[11]}] +set_load -pin_load 0.0334 [get_ports {HI[10]}] +set_load -pin_load 0.0334 [get_ports {HI[9]}] +set_load -pin_load 0.0334 [get_ports {HI[8]}] +set_load -pin_load 0.0334 [get_ports {HI[7]}] +set_load -pin_load 0.0334 [get_ports {HI[6]}] +set_load -pin_load 0.0334 [get_ports {HI[5]}] +set_load -pin_load 0.0334 [get_ports {HI[4]}] +set_load -pin_load 0.0334 [get_ports {HI[3]}] +set_load -pin_load 0.0334 [get_ports {HI[2]}] +set_load -pin_load 0.0334 [get_ports {HI[1]}] +set_load -pin_load 0.0334 [get_ports {HI[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/spef/mprj_logic_high.spef b/spef/mprj_logic_high.spef new file mode 100644 index 00000000..b09553c0 --- /dev/null +++ b/spef/mprj_logic_high.spef @@ -0,0 +1,8669 @@ +*SPEF "ieee 1481-1999" +*DESIGN "mprj_logic_high" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 HI[0] +*2 HI[100] +*3 HI[101] +*4 HI[102] +*5 HI[103] +*6 HI[104] +*7 HI[105] +*8 HI[106] +*9 HI[107] +*10 HI[108] +*11 HI[109] +*12 HI[10] +*13 HI[110] +*14 HI[111] +*15 HI[112] +*16 HI[113] +*17 HI[114] +*18 HI[115] +*19 HI[116] +*20 HI[117] +*21 HI[118] +*22 HI[119] +*23 HI[11] +*24 HI[120] +*25 HI[121] +*26 HI[122] +*27 HI[123] +*28 HI[124] +*29 HI[125] +*30 HI[126] +*31 HI[127] +*32 HI[128] +*33 HI[129] +*34 HI[12] +*35 HI[130] +*36 HI[131] +*37 HI[132] +*38 HI[133] +*39 HI[134] +*40 HI[135] +*41 HI[136] +*42 HI[137] +*43 HI[138] +*44 HI[139] +*45 HI[13] +*46 HI[140] +*47 HI[141] +*48 HI[142] +*49 HI[143] +*50 HI[144] +*51 HI[145] +*52 HI[146] +*53 HI[147] +*54 HI[148] +*55 HI[149] +*56 HI[14] +*57 HI[150] +*58 HI[151] +*59 HI[152] +*60 HI[153] +*61 HI[154] +*62 HI[155] +*63 HI[156] +*64 HI[157] +*65 HI[158] +*66 HI[159] +*67 HI[15] +*68 HI[160] +*69 HI[161] +*70 HI[162] +*71 HI[163] +*72 HI[164] +*73 HI[165] +*74 HI[166] +*75 HI[167] +*76 HI[168] +*77 HI[169] +*78 HI[16] +*79 HI[170] +*80 HI[171] +*81 HI[172] +*82 HI[173] +*83 HI[174] +*84 HI[175] +*85 HI[176] +*86 HI[177] +*87 HI[178] +*88 HI[179] +*89 HI[17] +*90 HI[180] +*91 HI[181] +*92 HI[182] +*93 HI[183] +*94 HI[184] +*95 HI[185] +*96 HI[186] +*97 HI[187] +*98 HI[188] +*99 HI[189] +*100 HI[18] +*101 HI[190] +*102 HI[191] +*103 HI[192] +*104 HI[193] +*105 HI[194] +*106 HI[195] +*107 HI[196] +*108 HI[197] +*109 HI[198] +*110 HI[199] +*111 HI[19] +*112 HI[1] +*113 HI[200] +*114 HI[201] +*115 HI[202] +*116 HI[203] +*117 HI[204] +*118 HI[205] +*119 HI[206] +*120 HI[207] +*121 HI[208] +*122 HI[209] +*123 HI[20] +*124 HI[210] +*125 HI[211] +*126 HI[212] +*127 HI[213] +*128 HI[214] +*129 HI[215] +*130 HI[216] +*131 HI[217] +*132 HI[218] +*133 HI[219] +*134 HI[21] +*135 HI[220] +*136 HI[221] +*137 HI[222] +*138 HI[223] +*139 HI[224] +*140 HI[225] +*141 HI[226] +*142 HI[227] +*143 HI[228] +*144 HI[229] +*145 HI[22] +*146 HI[230] +*147 HI[231] +*148 HI[232] +*149 HI[233] +*150 HI[234] +*151 HI[235] +*152 HI[236] +*153 HI[237] +*154 HI[238] +*155 HI[239] +*156 HI[23] +*157 HI[240] +*158 HI[241] +*159 HI[242] +*160 HI[243] +*161 HI[244] +*162 HI[245] +*163 HI[246] +*164 HI[247] +*165 HI[248] +*166 HI[249] +*167 HI[24] +*168 HI[250] +*169 HI[251] +*170 HI[252] +*171 HI[253] +*172 HI[254] +*173 HI[255] +*174 HI[256] +*175 HI[257] +*176 HI[258] +*177 HI[259] +*178 HI[25] +*179 HI[260] +*180 HI[261] +*181 HI[262] +*182 HI[263] +*183 HI[264] +*184 HI[265] +*185 HI[266] +*186 HI[267] +*187 HI[268] +*188 HI[269] +*189 HI[26] +*190 HI[270] +*191 HI[271] +*192 HI[272] +*193 HI[273] +*194 HI[274] +*195 HI[275] +*196 HI[276] +*197 HI[277] +*198 HI[278] +*199 HI[279] +*200 HI[27] +*201 HI[280] +*202 HI[281] +*203 HI[282] +*204 HI[283] +*205 HI[284] +*206 HI[285] +*207 HI[286] +*208 HI[287] +*209 HI[288] +*210 HI[289] +*211 HI[28] +*212 HI[290] +*213 HI[291] +*214 HI[292] +*215 HI[293] +*216 HI[294] +*217 HI[295] +*218 HI[296] +*219 HI[297] +*220 HI[298] +*221 HI[299] +*222 HI[29] +*223 HI[2] +*224 HI[300] +*225 HI[301] +*226 HI[302] +*227 HI[303] +*228 HI[304] +*229 HI[305] +*230 HI[306] +*231 HI[307] +*232 HI[308] +*233 HI[309] +*234 HI[30] +*235 HI[310] +*236 HI[311] +*237 HI[312] +*238 HI[313] +*239 HI[314] +*240 HI[315] +*241 HI[316] +*242 HI[317] +*243 HI[318] +*244 HI[319] +*245 HI[31] +*246 HI[320] +*247 HI[321] +*248 HI[322] +*249 HI[323] +*250 HI[324] +*251 HI[325] +*252 HI[326] +*253 HI[327] +*254 HI[328] +*255 HI[329] +*256 HI[32] +*257 HI[330] +*258 HI[331] +*259 HI[332] +*260 HI[333] +*261 HI[334] +*262 HI[335] +*263 HI[336] +*264 HI[337] +*265 HI[338] +*266 HI[339] +*267 HI[33] +*268 HI[340] +*269 HI[341] +*270 HI[342] +*271 HI[343] +*272 HI[344] +*273 HI[345] +*274 HI[346] +*275 HI[347] +*276 HI[348] +*277 HI[349] +*278 HI[34] +*279 HI[350] +*280 HI[351] +*281 HI[352] +*282 HI[353] +*283 HI[354] +*284 HI[355] +*285 HI[356] +*286 HI[357] +*287 HI[358] +*288 HI[359] +*289 HI[35] +*290 HI[360] +*291 HI[361] +*292 HI[362] +*293 HI[363] +*294 HI[364] +*295 HI[365] +*296 HI[366] +*297 HI[367] +*298 HI[368] +*299 HI[369] +*300 HI[36] +*301 HI[370] +*302 HI[371] +*303 HI[372] +*304 HI[373] +*305 HI[374] +*306 HI[375] +*307 HI[376] +*308 HI[377] +*309 HI[378] +*310 HI[379] +*311 HI[37] +*312 HI[380] +*313 HI[381] +*314 HI[382] +*315 HI[383] +*316 HI[384] +*317 HI[385] +*318 HI[386] +*319 HI[387] +*320 HI[388] +*321 HI[389] +*322 HI[38] +*323 HI[390] +*324 HI[391] +*325 HI[392] +*326 HI[393] +*327 HI[394] +*328 HI[395] +*329 HI[396] +*330 HI[397] +*331 HI[398] +*332 HI[399] +*333 HI[39] +*334 HI[3] +*335 HI[400] +*336 HI[401] +*337 HI[402] +*338 HI[403] +*339 HI[404] +*340 HI[405] +*341 HI[406] +*342 HI[407] +*343 HI[408] +*344 HI[409] +*345 HI[40] +*346 HI[410] +*347 HI[411] +*348 HI[412] +*349 HI[413] +*350 HI[414] +*351 HI[415] +*352 HI[416] +*353 HI[417] +*354 HI[418] +*355 HI[419] +*356 HI[41] +*357 HI[420] +*358 HI[421] +*359 HI[422] +*360 HI[423] +*361 HI[424] +*362 HI[425] +*363 HI[426] +*364 HI[427] +*365 HI[428] +*366 HI[429] +*367 HI[42] +*368 HI[430] +*369 HI[431] +*370 HI[432] +*371 HI[433] +*372 HI[434] +*373 HI[435] +*374 HI[436] +*375 HI[437] +*376 HI[438] +*377 HI[439] +*378 HI[43] +*379 HI[440] +*380 HI[441] +*381 HI[442] +*382 HI[443] +*383 HI[444] +*384 HI[445] +*385 HI[446] +*386 HI[447] +*387 HI[448] +*388 HI[449] +*389 HI[44] +*390 HI[450] +*391 HI[451] +*392 HI[452] +*393 HI[453] +*394 HI[454] +*395 HI[455] +*396 HI[456] +*397 HI[457] +*398 HI[458] +*399 HI[459] +*400 HI[45] +*401 HI[460] +*402 HI[461] +*403 HI[462] +*404 HI[46] +*405 HI[47] +*406 HI[48] +*407 HI[49] +*408 HI[4] +*409 HI[50] +*410 HI[51] +*411 HI[52] +*412 HI[53] +*413 HI[54] +*414 HI[55] +*415 HI[56] +*416 HI[57] +*417 HI[58] +*418 HI[59] +*419 HI[5] +*420 HI[60] +*421 HI[61] +*422 HI[62] +*423 HI[63] +*424 HI[64] +*425 HI[65] +*426 HI[66] +*427 HI[67] +*428 HI[68] +*429 HI[69] +*430 HI[6] +*431 HI[70] +*432 HI[71] +*433 HI[72] +*434 HI[73] +*435 HI[74] +*436 HI[75] +*437 HI[76] +*438 HI[77] +*439 HI[78] +*440 HI[79] +*441 HI[7] +*442 HI[80] +*443 HI[81] +*444 HI[82] +*445 HI[83] +*446 HI[84] +*447 HI[85] +*448 HI[86] +*449 HI[87] +*450 HI[88] +*451 HI[89] +*452 HI[8] +*453 HI[90] +*454 HI[91] +*455 HI[92] +*456 HI[93] +*457 HI[94] +*458 HI[95] +*459 HI[96] +*460 HI[97] +*461 HI[98] +*462 HI[99] +*463 HI[9] +*464 FILLER_0_111 +*465 FILLER_0_119 +*466 FILLER_0_139 +*467 FILLER_0_141 +*468 FILLER_0_166 +*469 FILLER_0_172 +*470 FILLER_0_194 +*471 FILLER_0_228 +*472 FILLER_0_245 +*473 FILLER_0_259 +*474 FILLER_0_279 +*475 FILLER_0_284 +*476 FILLER_0_29 +*477 FILLER_0_3 +*478 FILLER_0_306 +*479 FILLER_0_309 +*480 FILLER_0_334 +*481 FILLER_0_421 +*482 FILLER_0_446 +*483 FILLER_0_458 +*484 FILLER_0_474 +*485 FILLER_0_483 +*486 FILLER_0_502 +*487 FILLER_0_55 +*488 FILLER_0_57 +*489 FILLER_0_601 +*490 FILLER_0_613 +*491 FILLER_0_617 +*492 FILLER_0_629 +*493 FILLER_0_641 +*494 FILLER_0_645 +*495 FILLER_0_657 +*496 FILLER_0_669 +*497 FILLER_0_67 +*498 FILLER_0_673 +*499 FILLER_0_685 +*500 FILLER_0_697 +*501 FILLER_0_701 +*502 FILLER_0_713 +*503 FILLER_0_725 +*504 FILLER_0_729 +*505 FILLER_0_77 +*506 FILLER_0_94 +*507 FILLER_1_108 +*508 FILLER_1_113 +*509 FILLER_1_117 +*510 FILLER_1_121 +*511 FILLER_1_133 +*512 FILLER_1_142 +*513 FILLER_1_154 +*514 FILLER_1_166 +*515 FILLER_1_172 +*516 FILLER_1_184 +*517 FILLER_1_196 +*518 FILLER_1_204 +*519 FILLER_1_209 +*520 FILLER_1_221 +*521 FILLER_1_225 +*522 FILLER_1_230 +*523 FILLER_1_242 +*524 FILLER_1_254 +*525 FILLER_1_261 +*526 FILLER_1_273 +*527 FILLER_1_279 +*528 FILLER_1_281 +*529 FILLER_1_293 +*530 FILLER_1_3 +*531 FILLER_1_301 +*532 FILLER_1_307 +*533 FILLER_1_319 +*534 FILLER_1_327 +*535 FILLER_1_331 +*536 FILLER_1_335 +*537 FILLER_1_337 +*538 FILLER_1_343 +*539 FILLER_1_355 +*540 FILLER_1_367 +*541 FILLER_1_379 +*542 FILLER_1_391 +*543 FILLER_1_393 +*544 FILLER_1_405 +*545 FILLER_1_417 +*546 FILLER_1_429 +*547 FILLER_1_441 +*548 FILLER_1_447 +*549 FILLER_1_449 +*550 FILLER_1_461 +*551 FILLER_1_467 +*552 FILLER_1_471 +*553 FILLER_1_479 +*554 FILLER_1_484 +*555 FILLER_1_490 +*556 FILLER_1_502 +*557 FILLER_1_505 +*558 FILLER_1_517 +*559 FILLER_1_529 +*560 FILLER_1_541 +*561 FILLER_1_55 +*562 FILLER_1_553 +*563 FILLER_1_557 +*564 FILLER_1_561 +*565 FILLER_1_573 +*566 FILLER_1_585 +*567 FILLER_1_597 +*568 FILLER_1_609 +*569 FILLER_1_615 +*570 FILLER_1_617 +*571 FILLER_1_629 +*572 FILLER_1_63 +*573 FILLER_1_641 +*574 FILLER_1_653 +*575 FILLER_1_665 +*576 FILLER_1_671 +*577 FILLER_1_673 +*578 FILLER_1_68 +*579 FILLER_1_685 +*580 FILLER_1_697 +*581 FILLER_1_709 +*582 FILLER_1_721 +*583 FILLER_1_727 +*584 FILLER_1_729 +*585 FILLER_1_80 +*586 FILLER_1_92 +*587 FILLER_1_96 +*588 FILLER_2_109 +*589 FILLER_2_11 +*590 FILLER_2_118 +*591 FILLER_2_130 +*592 FILLER_2_138 +*593 FILLER_2_141 +*594 FILLER_2_153 +*595 FILLER_2_165 +*596 FILLER_2_177 +*597 FILLER_2_189 +*598 FILLER_2_195 +*599 FILLER_2_197 +*600 FILLER_2_209 +*601 FILLER_2_221 +*602 FILLER_2_233 +*603 FILLER_2_245 +*604 FILLER_2_251 +*605 FILLER_2_253 +*606 FILLER_2_265 +*607 FILLER_2_277 +*608 FILLER_2_289 +*609 FILLER_2_29 +*610 FILLER_2_297 +*611 FILLER_2_3 +*612 FILLER_2_302 +*613 FILLER_2_309 +*614 FILLER_2_321 +*615 FILLER_2_333 +*616 FILLER_2_345 +*617 FILLER_2_357 +*618 FILLER_2_363 +*619 FILLER_2_365 +*620 FILLER_2_377 +*621 FILLER_2_386 +*622 FILLER_2_398 +*623 FILLER_2_410 +*624 FILLER_2_418 +*625 FILLER_2_421 +*626 FILLER_2_433 +*627 FILLER_2_442 +*628 FILLER_2_454 +*629 FILLER_2_466 +*630 FILLER_2_470 +*631 FILLER_2_477 +*632 FILLER_2_502 +*633 FILLER_2_514 +*634 FILLER_2_52 +*635 FILLER_2_526 +*636 FILLER_2_533 +*637 FILLER_2_545 +*638 FILLER_2_557 +*639 FILLER_2_569 +*640 FILLER_2_581 +*641 FILLER_2_587 +*642 FILLER_2_589 +*643 FILLER_2_60 +*644 FILLER_2_601 +*645 FILLER_2_613 +*646 FILLER_2_625 +*647 FILLER_2_637 +*648 FILLER_2_643 +*649 FILLER_2_645 +*650 FILLER_2_657 +*651 FILLER_2_669 +*652 FILLER_2_681 +*653 FILLER_2_689 +*654 FILLER_2_695 +*655 FILLER_2_710 +*656 FILLER_2_72 +*657 FILLER_2_722 +*658 FILLER_2_730 +*659 FILLER_2_85 +*660 FILLER_2_97 +*661 FILLER_3_116 +*662 FILLER_3_139 +*663 FILLER_3_141 +*664 FILLER_3_166 +*665 FILLER_3_29 +*666 FILLER_3_3 +*667 FILLER_3_40 +*668 FILLER_3_486 +*669 FILLER_3_498 +*670 FILLER_3_50 +*671 FILLER_3_511 +*672 FILLER_3_530 +*673 FILLER_3_54 +*674 FILLER_3_722 +*675 FILLER_3_729 +*676 FILLER_3_9 +*677 PHY_0 +*678 PHY_1 +*679 PHY_2 +*680 PHY_3 +*681 PHY_4 +*682 PHY_5 +*683 PHY_6 +*684 PHY_7 +*685 TAP_10 +*686 TAP_11 +*687 TAP_12 +*688 TAP_13 +*689 TAP_14 +*690 TAP_15 +*691 TAP_16 +*692 TAP_17 +*693 TAP_18 +*694 TAP_19 +*695 TAP_20 +*696 TAP_21 +*697 TAP_22 +*698 TAP_23 +*699 TAP_24 +*700 TAP_25 +*701 TAP_26 +*702 TAP_27 +*703 TAP_28 +*704 TAP_29 +*705 TAP_30 +*706 TAP_31 +*707 TAP_32 +*708 TAP_33 +*709 TAP_34 +*710 TAP_35 +*711 TAP_36 +*712 TAP_37 +*713 TAP_38 +*714 TAP_39 +*715 TAP_40 +*716 TAP_41 +*717 TAP_42 +*718 TAP_43 +*719 TAP_44 +*720 TAP_45 +*721 TAP_46 +*722 TAP_47 +*723 TAP_48 +*724 TAP_49 +*725 TAP_50 +*726 TAP_51 +*727 TAP_52 +*728 TAP_53 +*729 TAP_54 +*730 TAP_55 +*731 TAP_56 +*732 TAP_57 +*733 TAP_58 +*734 TAP_59 +*735 TAP_60 +*736 TAP_61 +*737 TAP_62 +*738 TAP_63 +*739 TAP_64 +*740 TAP_65 +*741 TAP_66 +*742 TAP_67 +*743 TAP_68 +*744 TAP_69 +*745 TAP_70 +*746 TAP_71 +*747 TAP_72 +*748 TAP_73 +*749 TAP_74 +*750 TAP_75 +*751 TAP_76 +*752 TAP_77 +*753 TAP_78 +*754 TAP_79 +*755 TAP_8 +*756 TAP_80 +*757 TAP_81 +*758 TAP_82 +*759 TAP_83 +*760 TAP_84 +*761 TAP_85 +*762 TAP_9 +*763 insts\[0\] +*764 insts\[100\] +*765 insts\[101\] +*766 insts\[102\] +*767 insts\[103\] +*768 insts\[104\] +*769 insts\[105\] +*770 insts\[106\] +*771 insts\[107\] +*772 insts\[108\] +*773 insts\[109\] +*774 insts\[10\] +*775 insts\[110\] +*776 insts\[111\] +*777 insts\[112\] +*778 insts\[113\] +*779 insts\[114\] +*780 insts\[115\] +*781 insts\[116\] +*782 insts\[117\] +*783 insts\[118\] +*784 insts\[119\] +*785 insts\[11\] +*786 insts\[120\] +*787 insts\[121\] +*788 insts\[122\] +*789 insts\[123\] +*790 insts\[124\] +*791 insts\[125\] +*792 insts\[126\] +*793 insts\[127\] +*794 insts\[128\] +*795 insts\[129\] +*796 insts\[12\] +*797 insts\[130\] +*798 insts\[131\] +*799 insts\[132\] +*800 insts\[133\] +*801 insts\[134\] +*802 insts\[135\] +*803 insts\[136\] +*804 insts\[137\] +*805 insts\[138\] +*806 insts\[139\] +*807 insts\[13\] +*808 insts\[140\] +*809 insts\[141\] +*810 insts\[142\] +*811 insts\[143\] +*812 insts\[144\] +*813 insts\[145\] +*814 insts\[146\] +*815 insts\[147\] +*816 insts\[148\] +*817 insts\[149\] +*818 insts\[14\] +*819 insts\[150\] +*820 insts\[151\] +*821 insts\[152\] +*822 insts\[153\] +*823 insts\[154\] +*824 insts\[155\] +*825 insts\[156\] +*826 insts\[157\] +*827 insts\[158\] +*828 insts\[159\] +*829 insts\[15\] +*830 insts\[160\] +*831 insts\[161\] +*832 insts\[162\] +*833 insts\[163\] +*834 insts\[164\] +*835 insts\[165\] +*836 insts\[166\] +*837 insts\[167\] +*838 insts\[168\] +*839 insts\[169\] +*840 insts\[16\] +*841 insts\[170\] +*842 insts\[171\] +*843 insts\[172\] +*844 insts\[173\] +*845 insts\[174\] +*846 insts\[175\] +*847 insts\[176\] +*848 insts\[177\] +*849 insts\[178\] +*850 insts\[179\] +*851 insts\[17\] +*852 insts\[180\] +*853 insts\[181\] +*854 insts\[182\] +*855 insts\[183\] +*856 insts\[184\] +*857 insts\[185\] +*858 insts\[186\] +*859 insts\[187\] +*860 insts\[188\] +*861 insts\[189\] +*862 insts\[18\] +*863 insts\[190\] +*864 insts\[191\] +*865 insts\[192\] +*866 insts\[193\] +*867 insts\[194\] +*868 insts\[195\] +*869 insts\[196\] +*870 insts\[197\] +*871 insts\[198\] +*872 insts\[199\] +*873 insts\[19\] +*874 insts\[1\] +*875 insts\[200\] +*876 insts\[201\] +*877 insts\[202\] +*878 insts\[203\] +*879 insts\[204\] +*880 insts\[205\] +*881 insts\[206\] +*882 insts\[207\] +*883 insts\[208\] +*884 insts\[209\] +*885 insts\[20\] +*886 insts\[210\] +*887 insts\[211\] +*888 insts\[212\] +*889 insts\[213\] +*890 insts\[214\] +*891 insts\[215\] +*892 insts\[216\] +*893 insts\[217\] +*894 insts\[218\] +*895 insts\[219\] +*896 insts\[21\] +*897 insts\[220\] +*898 insts\[221\] +*899 insts\[222\] +*900 insts\[223\] +*901 insts\[224\] +*902 insts\[225\] +*903 insts\[226\] +*904 insts\[227\] +*905 insts\[228\] +*906 insts\[229\] +*907 insts\[22\] +*908 insts\[230\] +*909 insts\[231\] +*910 insts\[232\] +*911 insts\[233\] +*912 insts\[234\] +*913 insts\[235\] +*914 insts\[236\] +*915 insts\[237\] +*916 insts\[238\] +*917 insts\[239\] +*918 insts\[23\] +*919 insts\[240\] +*920 insts\[241\] +*921 insts\[242\] +*922 insts\[243\] +*923 insts\[244\] +*924 insts\[245\] +*925 insts\[246\] +*926 insts\[247\] +*927 insts\[248\] +*928 insts\[249\] +*929 insts\[24\] +*930 insts\[250\] +*931 insts\[251\] +*932 insts\[252\] +*933 insts\[253\] +*934 insts\[254\] +*935 insts\[255\] +*936 insts\[256\] +*937 insts\[257\] +*938 insts\[258\] +*939 insts\[259\] +*940 insts\[25\] +*941 insts\[260\] +*942 insts\[261\] +*943 insts\[262\] +*944 insts\[263\] +*945 insts\[264\] +*946 insts\[265\] +*947 insts\[266\] +*948 insts\[267\] +*949 insts\[268\] +*950 insts\[269\] +*951 insts\[26\] +*952 insts\[270\] +*953 insts\[271\] +*954 insts\[272\] +*955 insts\[273\] +*956 insts\[274\] +*957 insts\[275\] +*958 insts\[276\] +*959 insts\[277\] +*960 insts\[278\] +*961 insts\[279\] +*962 insts\[27\] +*963 insts\[280\] +*964 insts\[281\] +*965 insts\[282\] +*966 insts\[283\] +*967 insts\[284\] +*968 insts\[285\] +*969 insts\[286\] +*970 insts\[287\] +*971 insts\[288\] +*972 insts\[289\] +*973 insts\[28\] +*974 insts\[290\] +*975 insts\[291\] +*976 insts\[292\] +*977 insts\[293\] +*978 insts\[294\] +*979 insts\[295\] +*980 insts\[296\] +*981 insts\[297\] +*982 insts\[298\] +*983 insts\[299\] +*984 insts\[29\] +*985 insts\[2\] +*986 insts\[300\] +*987 insts\[301\] +*988 insts\[302\] +*989 insts\[303\] +*990 insts\[304\] +*991 insts\[305\] +*992 insts\[306\] +*993 insts\[307\] +*994 insts\[308\] +*995 insts\[309\] +*996 insts\[30\] +*997 insts\[310\] +*998 insts\[311\] +*999 insts\[312\] +*1000 insts\[313\] +*1001 insts\[314\] +*1002 insts\[315\] +*1003 insts\[316\] +*1004 insts\[317\] +*1005 insts\[318\] +*1006 insts\[319\] +*1007 insts\[31\] +*1008 insts\[320\] +*1009 insts\[321\] +*1010 insts\[322\] +*1011 insts\[323\] +*1012 insts\[324\] +*1013 insts\[325\] +*1014 insts\[326\] +*1015 insts\[327\] +*1016 insts\[328\] +*1017 insts\[329\] +*1018 insts\[32\] +*1019 insts\[330\] +*1020 insts\[331\] +*1021 insts\[332\] +*1022 insts\[333\] +*1023 insts\[334\] +*1024 insts\[335\] +*1025 insts\[336\] +*1026 insts\[337\] +*1027 insts\[338\] +*1028 insts\[339\] +*1029 insts\[33\] +*1030 insts\[340\] +*1031 insts\[341\] +*1032 insts\[342\] +*1033 insts\[343\] +*1034 insts\[344\] +*1035 insts\[345\] +*1036 insts\[346\] +*1037 insts\[347\] +*1038 insts\[348\] +*1039 insts\[349\] +*1040 insts\[34\] +*1041 insts\[350\] +*1042 insts\[351\] +*1043 insts\[352\] +*1044 insts\[353\] +*1045 insts\[354\] +*1046 insts\[355\] +*1047 insts\[356\] +*1048 insts\[357\] +*1049 insts\[358\] +*1050 insts\[359\] +*1051 insts\[35\] +*1052 insts\[360\] +*1053 insts\[361\] +*1054 insts\[362\] +*1055 insts\[363\] +*1056 insts\[364\] +*1057 insts\[365\] +*1058 insts\[366\] +*1059 insts\[367\] +*1060 insts\[368\] +*1061 insts\[369\] +*1062 insts\[36\] +*1063 insts\[370\] +*1064 insts\[371\] +*1065 insts\[372\] +*1066 insts\[373\] +*1067 insts\[374\] +*1068 insts\[375\] +*1069 insts\[376\] +*1070 insts\[377\] +*1071 insts\[378\] +*1072 insts\[379\] +*1073 insts\[37\] +*1074 insts\[380\] +*1075 insts\[381\] +*1076 insts\[382\] +*1077 insts\[383\] +*1078 insts\[384\] +*1079 insts\[385\] +*1080 insts\[386\] +*1081 insts\[387\] +*1082 insts\[388\] +*1083 insts\[389\] +*1084 insts\[38\] +*1085 insts\[390\] +*1086 insts\[391\] +*1087 insts\[392\] +*1088 insts\[393\] +*1089 insts\[394\] +*1090 insts\[395\] +*1091 insts\[396\] +*1092 insts\[397\] +*1093 insts\[398\] +*1094 insts\[399\] +*1095 insts\[39\] +*1096 insts\[3\] +*1097 insts\[400\] +*1098 insts\[401\] +*1099 insts\[402\] +*1100 insts\[403\] +*1101 insts\[404\] +*1102 insts\[405\] +*1103 insts\[406\] +*1104 insts\[407\] +*1105 insts\[408\] +*1106 insts\[409\] +*1107 insts\[40\] +*1108 insts\[410\] +*1109 insts\[411\] +*1110 insts\[412\] +*1111 insts\[413\] +*1112 insts\[414\] +*1113 insts\[415\] +*1114 insts\[416\] +*1115 insts\[417\] +*1116 insts\[418\] +*1117 insts\[419\] +*1118 insts\[41\] +*1119 insts\[420\] +*1120 insts\[421\] +*1121 insts\[422\] +*1122 insts\[423\] +*1123 insts\[424\] +*1124 insts\[425\] +*1125 insts\[426\] +*1126 insts\[427\] +*1127 insts\[428\] +*1128 insts\[429\] +*1129 insts\[42\] +*1130 insts\[430\] +*1131 insts\[431\] +*1132 insts\[432\] +*1133 insts\[433\] +*1134 insts\[434\] +*1135 insts\[435\] +*1136 insts\[436\] +*1137 insts\[437\] +*1138 insts\[438\] +*1139 insts\[439\] +*1140 insts\[43\] +*1141 insts\[440\] +*1142 insts\[441\] +*1143 insts\[442\] +*1144 insts\[443\] +*1145 insts\[444\] +*1146 insts\[445\] +*1147 insts\[446\] +*1148 insts\[447\] +*1149 insts\[448\] +*1150 insts\[449\] +*1151 insts\[44\] +*1152 insts\[450\] +*1153 insts\[451\] +*1154 insts\[452\] +*1155 insts\[453\] +*1156 insts\[454\] +*1157 insts\[455\] +*1158 insts\[456\] +*1159 insts\[457\] +*1160 insts\[458\] +*1161 insts\[459\] +*1162 insts\[45\] +*1163 insts\[460\] +*1164 insts\[461\] +*1165 insts\[462\] +*1166 insts\[46\] +*1167 insts\[47\] +*1168 insts\[48\] +*1169 insts\[49\] +*1170 insts\[4\] +*1171 insts\[50\] +*1172 insts\[51\] +*1173 insts\[52\] +*1174 insts\[53\] +*1175 insts\[54\] +*1176 insts\[55\] +*1177 insts\[56\] +*1178 insts\[57\] +*1179 insts\[58\] +*1180 insts\[59\] +*1181 insts\[5\] +*1182 insts\[60\] +*1183 insts\[61\] +*1184 insts\[62\] +*1185 insts\[63\] +*1186 insts\[64\] +*1187 insts\[65\] +*1188 insts\[66\] +*1189 insts\[67\] +*1190 insts\[68\] +*1191 insts\[69\] +*1192 insts\[6\] +*1193 insts\[70\] +*1194 insts\[71\] +*1195 insts\[72\] +*1196 insts\[73\] +*1197 insts\[74\] +*1198 insts\[75\] +*1199 insts\[76\] +*1200 insts\[77\] +*1201 insts\[78\] +*1202 insts\[79\] +*1203 insts\[7\] +*1204 insts\[80\] +*1205 insts\[81\] +*1206 insts\[82\] +*1207 insts\[83\] +*1208 insts\[84\] +*1209 insts\[85\] +*1210 insts\[86\] +*1211 insts\[87\] +*1212 insts\[88\] +*1213 insts\[89\] +*1214 insts\[8\] +*1215 insts\[90\] +*1216 insts\[91\] +*1217 insts\[92\] +*1218 insts\[93\] +*1219 insts\[94\] +*1220 insts\[95\] +*1221 insts\[96\] +*1222 insts\[97\] +*1223 insts\[98\] +*1224 insts\[99\] +*1225 insts\[9\] + +*PORTS +HI[0] O +HI[100] O +HI[101] O +HI[102] O +HI[103] O +HI[104] O +HI[105] O +HI[106] O +HI[107] O +HI[108] O +HI[109] O +HI[10] O +HI[110] O +HI[111] O +HI[112] O +HI[113] O +HI[114] O +HI[115] O +HI[116] O +HI[117] O +HI[118] O +HI[119] O +HI[11] O +HI[120] O +HI[121] O +HI[122] O +HI[123] O +HI[124] O +HI[125] O +HI[126] O +HI[127] O +HI[128] O +HI[129] O +HI[12] O +HI[130] O +HI[131] O +HI[132] O +HI[133] O +HI[134] O +HI[135] O +HI[136] O +HI[137] O +HI[138] O +HI[139] O +HI[13] O +HI[140] O +HI[141] O +HI[142] O +HI[143] O +HI[144] O +HI[145] O +HI[146] O +HI[147] O +HI[148] O +HI[149] O +HI[14] O +HI[150] O +HI[151] O +HI[152] O +HI[153] O +HI[154] O +HI[155] O +HI[156] O +HI[157] O +HI[158] O +HI[159] O +HI[15] O +HI[160] O +HI[161] O +HI[162] O +HI[163] O +HI[164] O +HI[165] O +HI[166] O +HI[167] O +HI[168] O +HI[169] O +HI[16] O +HI[170] O +HI[171] O +HI[172] O +HI[173] O +HI[174] O +HI[175] O +HI[176] O +HI[177] O +HI[178] O +HI[179] O +HI[17] O +HI[180] O +HI[181] O +HI[182] O +HI[183] O +HI[184] O +HI[185] O +HI[186] O +HI[187] O +HI[188] O +HI[189] O +HI[18] O +HI[190] O +HI[191] O +HI[192] O +HI[193] O +HI[194] O +HI[195] O +HI[196] O +HI[197] O +HI[198] O +HI[199] O +HI[19] O +HI[1] O +HI[200] O +HI[201] O +HI[202] O +HI[203] O +HI[204] O +HI[205] O +HI[206] O +HI[207] O +HI[208] O +HI[209] O +HI[20] O +HI[210] O +HI[211] O +HI[212] O +HI[213] O +HI[214] O +HI[215] O +HI[216] O +HI[217] O +HI[218] O +HI[219] O +HI[21] O +HI[220] O +HI[221] O +HI[222] O +HI[223] O +HI[224] O +HI[225] O +HI[226] O +HI[227] O +HI[228] O +HI[229] O +HI[22] O +HI[230] O +HI[231] O +HI[232] O +HI[233] O +HI[234] O +HI[235] O +HI[236] O +HI[237] O +HI[238] O +HI[239] O +HI[23] O +HI[240] O +HI[241] O +HI[242] O +HI[243] O +HI[244] O +HI[245] O +HI[246] O +HI[247] O +HI[248] O +HI[249] O +HI[24] O +HI[250] O +HI[251] O +HI[252] O +HI[253] O +HI[254] O +HI[255] O +HI[256] O +HI[257] O +HI[258] O +HI[259] O +HI[25] O +HI[260] O +HI[261] O +HI[262] O +HI[263] O +HI[264] O +HI[265] O +HI[266] O +HI[267] O +HI[268] O +HI[269] O +HI[26] O +HI[270] O +HI[271] O +HI[272] O +HI[273] O +HI[274] O +HI[275] O +HI[276] O +HI[277] O +HI[278] O +HI[279] O +HI[27] O +HI[280] O +HI[281] O +HI[282] O +HI[283] O +HI[284] O +HI[285] O +HI[286] O +HI[287] O +HI[288] O +HI[289] O +HI[28] O +HI[290] O +HI[291] O +HI[292] O +HI[293] O +HI[294] O +HI[295] O +HI[296] O +HI[297] O +HI[298] O +HI[299] O +HI[29] O +HI[2] O +HI[300] O +HI[301] O +HI[302] O +HI[303] O +HI[304] O +HI[305] O +HI[306] O +HI[307] O +HI[308] O +HI[309] O +HI[30] O +HI[310] O +HI[311] O +HI[312] O +HI[313] O +HI[314] O +HI[315] O +HI[316] O +HI[317] O +HI[318] O +HI[319] O +HI[31] O +HI[320] O +HI[321] O +HI[322] O +HI[323] O +HI[324] O +HI[325] O +HI[326] O +HI[327] O +HI[328] O +HI[329] O +HI[32] O +HI[330] O +HI[331] O +HI[332] O +HI[333] O +HI[334] O +HI[335] O +HI[336] O +HI[337] O +HI[338] O +HI[339] O +HI[33] O +HI[340] O +HI[341] O +HI[342] O +HI[343] O +HI[344] O +HI[345] O +HI[346] O +HI[347] O +HI[348] O +HI[349] O +HI[34] O +HI[350] O +HI[351] O +HI[352] O +HI[353] O +HI[354] O +HI[355] O +HI[356] O +HI[357] O +HI[358] O +HI[359] O +HI[35] O +HI[360] O +HI[361] O +HI[362] O +HI[363] O +HI[364] O +HI[365] O +HI[366] O +HI[367] O +HI[368] O +HI[369] O +HI[36] O +HI[370] O +HI[371] O +HI[372] O +HI[373] O +HI[374] O +HI[375] O +HI[376] O +HI[377] O +HI[378] O +HI[379] O +HI[37] O +HI[380] O +HI[381] O +HI[382] O +HI[383] O +HI[384] O +HI[385] O +HI[386] O +HI[387] O +HI[388] O +HI[389] O +HI[38] O +HI[390] O +HI[391] O +HI[392] O +HI[393] O +HI[394] O +HI[395] O +HI[396] O +HI[397] O +HI[398] O +HI[399] O +HI[39] O +HI[3] O +HI[400] O +HI[401] O +HI[402] O +HI[403] O +HI[404] O +HI[405] O +HI[406] O +HI[407] O +HI[408] O +HI[409] O +HI[40] O +HI[410] O +HI[411] O +HI[412] O +HI[413] O +HI[414] O +HI[415] O +HI[416] O +HI[417] O +HI[418] O +HI[419] O +HI[41] O +HI[420] O +HI[421] O +HI[422] O +HI[423] O +HI[424] O +HI[425] O +HI[426] O +HI[427] O +HI[428] O +HI[429] O +HI[42] O +HI[430] O +HI[431] O +HI[432] O +HI[433] O +HI[434] O +HI[435] O +HI[436] O +HI[437] O +HI[438] O +HI[439] O +HI[43] O +HI[440] O +HI[441] O +HI[442] O +HI[443] O +HI[444] O +HI[445] O +HI[446] O +HI[447] O +HI[448] O +HI[449] O +HI[44] O +HI[450] O +HI[451] O +HI[452] O +HI[453] O +HI[454] O +HI[455] O +HI[456] O +HI[457] O +HI[458] O +HI[459] O +HI[45] O +HI[460] O +HI[461] O +HI[462] O +HI[46] O +HI[47] O +HI[48] O +HI[49] O +HI[4] O +HI[50] O +HI[51] O +HI[52] O +HI[53] O +HI[54] O +HI[55] O +HI[56] O +HI[57] O +HI[58] O +HI[59] O +HI[5] O +HI[60] O +HI[61] O +HI[62] O +HI[63] O +HI[64] O +HI[65] O +HI[66] O +HI[67] O +HI[68] O +HI[69] O +HI[6] O +HI[70] O +HI[71] O +HI[72] O +HI[73] O +HI[74] O +HI[75] O +HI[76] O +HI[77] O +HI[78] O +HI[79] O +HI[7] O +HI[80] O +HI[81] O +HI[82] O +HI[83] O +HI[84] O +HI[85] O +HI[86] O +HI[87] O +HI[88] O +HI[89] O +HI[8] O +HI[90] O +HI[91] O +HI[92] O +HI[93] O +HI[94] O +HI[95] O +HI[96] O +HI[97] O +HI[98] O +HI[99] O +HI[9] O + +*D_NET *1 0.00407013 +*CONN +*P HI[0] O +*I *763:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[0] 0.000930449 +2 *763:HI 0.000930449 +3 HI[0] HI[100] 0.000721069 +4 HI[0] HI[102] 5.99867e-05 +5 HI[0] HI[10] 0.000637792 +6 HI[0] HI[151] 0.000211464 +7 HI[0] HI[155] 0.000364565 +8 HI[0] HI[156] 0.00015647 +9 HI[0] HI[157] 2.4968e-05 +10 HI[0] HI[158] 3.29217e-05 +*RES +1 *763:HI HI[0] 34.8545 +*END + +*D_NET *2 0.00455231 +*CONN +*P HI[100] O +*I *764:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[100] 0.000796149 +2 *764:HI 0.000796149 +3 HI[100] HI[101] 0.00103184 +4 HI[100] HI[102] 0.000270135 +5 HI[100] HI[103] 8.49713e-06 +6 HI[100] HI[104] 5.44715e-05 +7 HI[100] HI[10] 0.00050485 +8 HI[100] HI[14] 0 +9 HI[100] HI[151] 0.000359922 +10 HI[100] HI[152] 9.2346e-06 +11 HI[0] HI[100] 0.000721069 +*RES +1 *764:HI HI[100] 30.8827 +*END + +*D_NET *3 0.00490638 +*CONN +*P HI[101] O +*I *765:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[101] 0.00127677 +2 *765:HI 0.00127677 +3 HI[101] HI[102] 0.00121528 +4 HI[101] HI[146] 5.65354e-05 +5 HI[101] HI[151] 4.91795e-05 +6 HI[101] HI[155] 0 +7 HI[100] HI[101] 0.00103184 +*RES +1 *765:HI HI[101] 33.0674 +*END + +*D_NET *4 0.0047888 +*CONN +*P HI[102] O +*I *766:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[102] 0.000965734 +2 *766:HI 0.000965734 +3 HI[102] HI[103] 0.000799508 +4 HI[102] HI[14] 0.000101642 +5 HI[102] HI[150] 0.000164325 +6 HI[102] HI[151] 0.000246455 +7 HI[0] HI[102] 5.99867e-05 +8 HI[100] HI[102] 0.000270135 +9 HI[101] HI[102] 0.00121528 +*RES +1 *766:HI HI[102] 32.1768 +*END + +*D_NET *5 0.00408324 +*CONN +*P HI[103] O +*I *767:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[103] 0.00107335 +2 *767:HI 0.00107335 +3 HI[103] HI[104] 0.00101092 +4 HI[103] HI[106] 6.92705e-05 +5 HI[103] HI[10] 0 +6 HI[103] HI[14] 4.83428e-05 +7 HI[103] HI[150] 0 +8 HI[100] HI[103] 8.49713e-06 +9 HI[102] HI[103] 0.000799508 +*RES +1 *767:HI HI[103] 25.5717 +*END + +*D_NET *6 0.00369069 +*CONN +*P HI[104] O +*I *768:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[104] 0.00120675 +2 *768:HI 0.00120675 +3 HI[104] HI[105] 0.000199124 +4 HI[104] HI[14] 1.26746e-05 +5 HI[100] HI[104] 5.44715e-05 +6 HI[103] HI[104] 0.00101092 +*RES +1 *768:HI HI[104] 23.7672 +*END + +*D_NET *7 0.00363035 +*CONN +*P HI[105] O +*I *769:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[105] 0.00105522 +2 *769:HI 0.00105522 +3 HI[105] HI[106] 0.00124961 +4 HI[105] HI[107] 1.34854e-05 +5 HI[105] HI[108] 7.49735e-06 +6 HI[105] HI[156] 5.01835e-05 +7 HI[104] HI[105] 0.000199124 +*RES +1 *769:HI HI[105] 21.2037 +*END + +*D_NET *8 0.0044833 +*CONN +*P HI[106] O +*I *770:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[106] 0.000535732 +2 *770:HI 0.000535732 +3 HI[106] HI[107] 0.00147199 +4 HI[106] HI[155] 0.000481241 +5 HI[106] HI[284] 1.61631e-05 +6 HI[106] HI[28] 4.89898e-06 +7 HI[106] HI[327] 0.000118663 +8 HI[103] HI[106] 6.92705e-05 +9 HI[105] HI[106] 0.00124961 +*RES +1 *770:HI HI[106] 29.6236 +*END + +*D_NET *9 0.00380777 +*CONN +*P HI[107] O +*I *771:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[107] 0.000573093 +2 *771:HI 0.000573093 +3 HI[107] HI[108] 0.0010797 +4 HI[107] HI[156] 6.50727e-05 +5 HI[107] HI[280] 1.2693e-05 +6 HI[107] HI[28] 7.92757e-06 +7 HI[107] HI[291] 5.04829e-06 +8 HI[107] HI[327] 5.66868e-06 +9 HI[105] HI[107] 1.34854e-05 +10 HI[106] HI[107] 0.00147199 +*RES +1 *771:HI HI[107] 32.0551 +*END + +*D_NET *10 0.00421466 +*CONN +*P HI[108] O +*I *772:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[108] 0.00108845 +2 *772:HI 0.00108845 +3 HI[108] HI[109] 0.000196797 +4 HI[108] HI[156] 6.92705e-05 +5 HI[108] HI[280] 0.000130414 +6 HI[108] HI[28] 0.000525911 +7 HI[108] HI[291] 2.81717e-05 +8 HI[105] HI[108] 7.49735e-06 +9 HI[107] HI[108] 0.0010797 +*RES +1 *772:HI HI[108] 34.65 +*END + +*D_NET *11 0.00443572 +*CONN +*P HI[109] O +*I *773:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[109] 0.00108406 +2 *773:HI 0.00108406 +3 HI[109] HI[110] 0.00194557 +4 HI[109] HI[111] 7.50471e-05 +5 HI[109] HI[327] 5.01835e-05 +6 HI[108] HI[109] 0.000196797 +*RES +1 *773:HI HI[109] 24.222 +*END + +*D_NET *12 0.00344742 +*CONN +*P HI[10] O +*I *774:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[10] 0.000997403 +2 *774:HI 0.000997403 +3 HI[10] HI[12] 2.41916e-05 +4 HI[10] HI[151] 1.72594e-05 +5 HI[10] HI[152] 0.000268518 +6 HI[0] HI[10] 0.000637792 +7 HI[100] HI[10] 0.00050485 +8 HI[103] HI[10] 0 +*RES +1 *774:HI HI[10] 26.6911 +*END + +*D_NET *13 0.00502511 +*CONN +*P HI[110] O +*I *775:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[110] 0.000777737 +2 *775:HI 0.000777737 +3 HI[110] HI[111] 0.000371852 +4 HI[110] HI[112] 0.0001126 +5 HI[110] HI[113] 0.000911818 +6 HI[110] HI[288] 3.3239e-06 +7 HI[110] HI[327] 0.000124472 +8 HI[109] HI[110] 0.00194557 +*RES +1 *775:HI HI[110] 27.875 +*END + +*D_NET *14 0.00394072 +*CONN +*P HI[111] O +*I *776:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[111] 0.000634957 +2 *776:HI 0.000634957 +3 HI[111] HI[112] 0.000983852 +4 HI[111] HI[113] 0.000843196 +5 HI[111] HI[214] 0.000110598 +6 HI[111] HI[28] 0.000221547 +7 HI[111] HI[327] 6.47133e-05 +8 HI[109] HI[111] 7.50471e-05 +9 HI[110] HI[111] 0.000371852 +*RES +1 *776:HI HI[111] 30.5066 +*END + +*D_NET *15 0.00396885 +*CONN +*P HI[112] O +*I *777:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[112] 0.00120657 +2 *777:HI 0.00120657 +3 HI[112] HI[214] 0.00025906 +4 HI[112] HI[28] 6.96396e-05 +5 HI[112] HI[2] 0.000130551 +6 HI[110] HI[112] 0.0001126 +7 HI[111] HI[112] 0.000983852 +*RES +1 *777:HI HI[112] 30.4881 +*END + +*D_NET *16 0.00313646 +*CONN +*P HI[113] O +*I *778:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[113] 0.00059781 +2 *778:HI 0.00059781 +3 HI[113] HI[17] 0.000121426 +4 HI[113] HI[288] 2.22159e-05 +5 HI[113] HI[327] 4.21839e-05 +6 HI[110] HI[113] 0.000911818 +7 HI[111] HI[113] 0.000843196 +*RES +1 *778:HI HI[113] 24.6074 +*END + +*D_NET *17 0.000648811 +*CONN +*P HI[114] O +*I *779:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[114] 0.000210794 +2 *779:HI 0.000210794 +3 HI[114] HI[116] 0.000139089 +4 HI[114] HI[13] 8.8134e-05 +*RES +1 *779:HI HI[114] 20.0274 +*END + +*D_NET *18 0.000652399 +*CONN +*P HI[115] O +*I *780:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[115] 0.000251055 +2 *780:HI 0.000251055 +3 HI[115] HI[119] 5.98545e-05 +4 HI[115] HI[121] 9.04351e-05 +*RES +1 *780:HI HI[115] 20.0274 +*END + +*D_NET *19 0.00105651 +*CONN +*P HI[116] O +*I *781:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[116] 0.000332025 +2 *781:HI 0.000332025 +3 HI[116] HI[117] 7.78831e-05 +4 HI[116] HI[13] 0.000175485 +5 HI[114] HI[116] 0.000139089 +*RES +1 *781:HI HI[116] 24.9499 +*END + +*D_NET *20 0.000555987 +*CONN +*P HI[117] O +*I *782:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[117] 0.000160396 +2 *782:HI 0.000160396 +3 HI[117] HI[119] 0.000157312 +4 HI[116] HI[117] 7.78831e-05 +*RES +1 *782:HI HI[117] 20.0512 +*END + +*D_NET *21 0.000505184 +*CONN +*P HI[118] O +*I *783:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[118] 0.000172108 +2 *783:HI 0.000172108 +3 HI[118] HI[126] 7.78831e-05 +4 HI[118] HI[134] 8.30857e-05 +*RES +1 *783:HI HI[118] 20.0512 +*END + +*D_NET *22 0.000624033 +*CONN +*P HI[119] O +*I *784:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[119] 0.000203433 +2 *784:HI 0.000203433 +3 HI[115] HI[119] 5.98545e-05 +4 HI[117] HI[119] 0.000157312 +*RES +1 *784:HI HI[119] 20.3838 +*END + +*D_NET *23 0.000634534 +*CONN +*P HI[11] O +*I *785:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[11] 0.000231657 +2 *785:HI 0.000231657 +3 HI[11] HI[121] 8.8134e-05 +4 HI[11] HI[123] 8.30857e-05 +*RES +1 *785:HI HI[11] 20.0274 +*END + +*D_NET *24 0.0006655 +*CONN +*P HI[120] O +*I *786:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[120] 0.000240515 +2 *786:HI 0.000240515 +3 HI[120] HI[125] 9.63365e-05 +4 HI[120] HI[127] 8.8134e-05 +5 HI[120] HI[128] 0 +*RES +1 *786:HI HI[120] 21.4125 +*END + +*D_NET *25 0.000603117 +*CONN +*P HI[121] O +*I *787:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[121] 0.000212274 +2 *787:HI 0.000212274 +3 HI[121] HI[123] 0 +4 HI[115] HI[121] 9.04351e-05 +5 HI[11] HI[121] 8.8134e-05 +*RES +1 *787:HI HI[121] 20.8579 +*END + +*D_NET *26 0.000560218 +*CONN +*P HI[122] O +*I *788:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[122] 0.000199995 +2 *788:HI 0.000199995 +3 HI[122] HI[143] 7.84852e-05 +4 HI[122] HI[145] 8.17422e-05 +*RES +1 *788:HI HI[122] 19.4728 +*END + +*D_NET *27 0.000572236 +*CONN +*P HI[123] O +*I *789:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[123] 0.000203032 +2 *789:HI 0.000203032 +3 HI[123] HI[124] 8.30857e-05 +4 HI[123] HI[125] 0 +5 HI[11] HI[123] 8.30857e-05 +6 HI[121] HI[123] 0 +*RES +1 *789:HI HI[123] 20.8817 +*END + +*D_NET *28 0.000625466 +*CONN +*P HI[124] O +*I *790:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[124] 0.000228019 +2 *790:HI 0.000228019 +3 HI[124] HI[125] 8.63427e-05 +4 HI[123] HI[124] 8.30857e-05 +*RES +1 *790:HI HI[124] 20.0274 +*END + +*D_NET *29 0.000875792 +*CONN +*P HI[125] O +*I *791:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[125] 0.000346557 +2 *791:HI 0.000346557 +3 HI[120] HI[125] 9.63365e-05 +4 HI[123] HI[125] 0 +5 HI[124] HI[125] 8.63427e-05 +*RES +1 *791:HI HI[125] 24.1799 +*END + +*D_NET *30 0.000633404 +*CONN +*P HI[126] O +*I *792:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[126] 0.00022994 +2 *792:HI 0.00022994 +3 HI[126] HI[131] 9.56413e-05 +4 HI[118] HI[126] 7.78831e-05 +*RES +1 *792:HI HI[126] 20.3766 +*END + +*D_NET *31 0.000637518 +*CONN +*P HI[127] O +*I *793:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[127] 0.000228674 +2 *793:HI 0.000228674 +3 HI[127] HI[128] 9.20351e-05 +4 HI[120] HI[127] 8.8134e-05 +*RES +1 *793:HI HI[127] 20.0274 +*END + +*D_NET *32 0.000581722 +*CONN +*P HI[128] O +*I *794:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[128] 0.0002033 +2 *794:HI 0.0002033 +3 HI[128] HI[129] 8.30857e-05 +4 HI[128] HI[130] 0 +5 HI[120] HI[128] 0 +6 HI[127] HI[128] 9.20351e-05 +*RES +1 *794:HI HI[128] 21.2237 +*END + +*D_NET *33 0.000636538 +*CONN +*P HI[129] O +*I *795:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[129] 0.000225473 +2 *795:HI 0.000225473 +3 HI[129] HI[130] 0.000102506 +4 HI[128] HI[129] 8.30857e-05 +*RES +1 *795:HI HI[129] 20.0274 +*END + +*D_NET *34 0.00534733 +*CONN +*P HI[12] O +*I *796:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[12] 0.000573256 +2 *796:HI 0.000573256 +3 HI[12] HI[144] 0.00169358 +4 HI[12] HI[149] 0.000110165 +5 HI[12] HI[152] 5.59375e-05 +6 HI[12] HI[162] 4.50659e-05 +7 HI[12] HI[209] 0.00208197 +8 HI[12] HI[31] 0.000189913 +9 HI[10] HI[12] 2.41916e-05 +*RES +1 *796:HI HI[12] 45.5605 +*END + +*D_NET *35 0.000633743 +*CONN +*P HI[130] O +*I *797:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[130] 0.000216617 +2 *797:HI 0.000216617 +3 HI[130] HI[131] 9.80037e-05 +4 HI[128] HI[130] 0 +5 HI[129] HI[130] 0.000102506 +*RES +1 *797:HI HI[130] 21.0214 +*END + +*D_NET *36 0.00064851 +*CONN +*P HI[131] O +*I *798:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[131] 0.000227432 +2 *798:HI 0.000227432 +3 HI[131] HI[134] 0 +4 HI[126] HI[131] 9.56413e-05 +5 HI[130] HI[131] 9.80037e-05 +*RES +1 *798:HI HI[131] 21.2731 +*END + +*D_NET *37 0.000552556 +*CONN +*P HI[132] O +*I *799:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[132] 0.000193192 +2 *799:HI 0.000193192 +3 HI[132] HI[138] 8.30857e-05 +4 HI[132] HI[140] 8.30857e-05 +*RES +1 *799:HI HI[132] 19.4728 +*END + +*D_NET *38 0.000496753 +*CONN +*P HI[133] O +*I *800:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[133] 0.000170525 +2 *800:HI 0.000170525 +3 HI[133] HI[148] 7.38575e-05 +4 HI[133] HI[150] 7.71145e-05 +5 HI[133] HI[209] 4.73037e-06 +*RES +1 *800:HI HI[133] 18.9182 +*END + +*D_NET *39 0.000606322 +*CONN +*P HI[134] O +*I *801:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[134] 0.000217551 +2 *801:HI 0.000217551 +3 HI[134] HI[135] 8.8134e-05 +4 HI[118] HI[134] 8.30857e-05 +5 HI[131] HI[134] 0 +*RES +1 *801:HI HI[134] 20.8579 +*END + +*D_NET *40 0.000634534 +*CONN +*P HI[135] O +*I *802:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[135] 0.000231657 +2 *802:HI 0.000231657 +3 HI[135] HI[136] 8.30857e-05 +4 HI[134] HI[135] 8.8134e-05 +*RES +1 *802:HI HI[135] 20.0274 +*END + +*D_NET *41 0.000552556 +*CONN +*P HI[136] O +*I *803:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[136] 0.000193192 +2 *803:HI 0.000193192 +3 HI[136] HI[137] 8.30857e-05 +4 HI[135] HI[136] 8.30857e-05 +*RES +1 *803:HI HI[136] 19.4728 +*END + +*D_NET *42 0.000552556 +*CONN +*P HI[137] O +*I *804:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[137] 0.000193192 +2 *804:HI 0.000193192 +3 HI[137] HI[138] 8.30857e-05 +4 HI[136] HI[137] 8.30857e-05 +*RES +1 *804:HI HI[137] 19.4728 +*END + +*D_NET *43 0.000552556 +*CONN +*P HI[138] O +*I *805:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[138] 0.000193192 +2 *805:HI 0.000193192 +3 HI[132] HI[138] 8.30857e-05 +4 HI[137] HI[138] 8.30857e-05 +*RES +1 *805:HI HI[138] 19.4728 +*END + +*D_NET *44 0.000622304 +*CONN +*P HI[139] O +*I *806:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[139] 0.000208912 +2 *806:HI 0.000208912 +3 HI[139] HI[145] 5.91473e-05 +4 HI[139] HI[147] 7.38575e-05 +5 HI[139] *181:10 7.14746e-05 +*RES +1 *806:HI HI[139] 19.4728 +*END + +*D_NET *45 0.000868285 +*CONN +*P HI[13] O +*I *807:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[13] 0.000220893 +2 *807:HI 0.000220893 +3 HI[13] HI[15] 0.00016288 +4 HI[13] HI[344] 0 +5 HI[114] HI[13] 8.8134e-05 +6 HI[116] HI[13] 0.000175485 +*RES +1 *807:HI HI[13] 22.5217 +*END + +*D_NET *46 0.000599345 +*CONN +*P HI[140] O +*I *808:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[140] 0.000177675 +2 *808:HI 0.000177675 +3 HI[140] HI[141] 0.00016091 +4 HI[132] HI[140] 8.30857e-05 +*RES +1 *808:HI HI[140] 19.4728 +*END + +*D_NET *47 0.000544241 +*CONN +*P HI[141] O +*I *809:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[141] 0.000161738 +2 *809:HI 0.000161738 +3 HI[141] HI[142] 5.98545e-05 +4 HI[140] HI[141] 0.00016091 +*RES +1 *809:HI HI[141] 19.6604 +*END + +*D_NET *48 0.000601757 +*CONN +*P HI[142] O +*I *810:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[142] 0.000194766 +2 *810:HI 0.000194766 +3 HI[142] HI[143] 5.48357e-05 +4 HI[142] *245:8 9.75356e-05 +5 HI[141] HI[142] 5.98545e-05 +*RES +1 *810:HI HI[142] 19.4728 +*END + +*D_NET *49 0.00058063 +*CONN +*P HI[143] O +*I *811:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[143] 0.000204041 +2 *811:HI 0.000204041 +3 HI[143] *245:8 3.92275e-05 +4 HI[122] HI[143] 7.84852e-05 +5 HI[142] HI[143] 5.48357e-05 +*RES +1 *811:HI HI[143] 19.4728 +*END + +*D_NET *50 0.00441823 +*CONN +*P HI[144] O +*I *812:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[144] 0.000467358 +2 *812:HI 0.000467358 +3 HI[144] HI[149] 0.00164765 +4 HI[144] HI[152] 0.000142276 +5 HI[12] HI[144] 0.00169358 +*RES +1 *812:HI HI[144] 41.2389 +*END + +*D_NET *51 0.00095043 +*CONN +*P HI[145] O +*I *813:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[145] 0.000276384 +2 *813:HI 0.000276384 +3 HI[145] HI[330] 0.000114595 +4 HI[145] *181:10 0.000142178 +5 HI[145] *245:8 0 +6 HI[122] HI[145] 8.17422e-05 +7 HI[139] HI[145] 5.91473e-05 +*RES +1 *813:HI HI[145] 23.6253 +*END + +*D_NET *52 0.000617547 +*CONN +*P HI[146] O +*I *814:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[146] 0.00019183 +2 *814:HI 0.00019183 +3 HI[146] HI[151] 4.51067e-05 +4 HI[146] HI[152] 6.92705e-05 +5 HI[146] HI[153] 6.29746e-05 +6 HI[101] HI[146] 5.65354e-05 +*RES +1 *814:HI HI[146] 18.9182 +*END + +*D_NET *53 0.000508152 +*CONN +*P HI[147] O +*I *815:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[147] 0.000178576 +2 *815:HI 0.000178576 +3 HI[147] HI[148] 7.38575e-05 +4 HI[147] HI[209] 3.28416e-06 +5 HI[139] HI[147] 7.38575e-05 +*RES +1 *815:HI HI[147] 18.9182 +*END + +*D_NET *54 0.000500952 +*CONN +*P HI[148] O +*I *816:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[148] 0.000174253 +2 *816:HI 0.000174253 +3 HI[148] HI[209] 4.73037e-06 +4 HI[133] HI[148] 7.38575e-05 +5 HI[147] HI[148] 7.38575e-05 +*RES +1 *816:HI HI[148] 18.9182 +*END + +*D_NET *55 0.00302604 +*CONN +*P HI[149] O +*I *817:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[149] 0.000474001 +2 *817:HI 0.000474001 +3 HI[149] HI[152] 6.08467e-05 +4 HI[149] HI[153] 6.22703e-05 +5 HI[149] HI[160] 0.000197103 +6 HI[149] *245:11 0 +7 HI[12] HI[149] 0.000110165 +8 HI[144] HI[149] 0.00164765 +*RES +1 *817:HI HI[149] 35.8322 +*END + +*D_NET *56 0.00152644 +*CONN +*P HI[14] O +*I *818:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[14] 0.000417012 +2 *818:HI 0.000417012 +3 HI[14] HI[150] 0.000451591 +4 HI[14] HI[152] 7.81691e-05 +5 HI[100] HI[14] 0 +6 HI[102] HI[14] 0.000101642 +7 HI[103] HI[14] 4.83428e-05 +8 HI[104] HI[14] 1.26746e-05 +*RES +1 *818:HI HI[14] 27.5075 +*END + +*D_NET *57 0.00160823 +*CONN +*P HI[150] O +*I *819:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[150] 0.000457601 +2 *819:HI 0.000457601 +3 HI[150] *122:10 0 +4 HI[102] HI[150] 0.000164325 +5 HI[103] HI[150] 0 +6 HI[133] HI[150] 7.71145e-05 +7 HI[14] HI[150] 0.000451591 +*RES +1 *819:HI HI[150] 27.9228 +*END + +*D_NET *58 0.00156287 +*CONN +*P HI[151] O +*I *820:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[151] 0.000308994 +2 *820:HI 0.000308994 +3 HI[151] HI[152] 1.308e-05 +4 HI[151] HI[156] 2.41274e-06 +5 HI[0] HI[151] 0.000211464 +6 HI[100] HI[151] 0.000359922 +7 HI[101] HI[151] 4.91795e-05 +8 HI[102] HI[151] 0.000246455 +9 HI[10] HI[151] 1.72594e-05 +10 HI[146] HI[151] 4.51067e-05 +*RES +1 *820:HI HI[151] 24.7345 +*END + +*D_NET *59 0.00158705 +*CONN +*P HI[152] O +*I *821:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[152] 0.000335889 +2 *821:HI 0.000335889 +3 HI[152] HI[153] 0.000217937 +4 HI[100] HI[152] 9.2346e-06 +5 HI[10] HI[152] 0.000268518 +6 HI[12] HI[152] 5.59375e-05 +7 HI[144] HI[152] 0.000142276 +8 HI[146] HI[152] 6.92705e-05 +9 HI[149] HI[152] 6.08467e-05 +10 HI[14] HI[152] 7.81691e-05 +11 HI[151] HI[152] 1.308e-05 +*RES +1 *821:HI HI[152] 25.9887 +*END + +*D_NET *60 0.00119658 +*CONN +*P HI[153] O +*I *822:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[153] 0.000395211 +2 *822:HI 0.000395211 +3 HI[153] HI[155] 6.29746e-05 +4 HI[146] HI[153] 6.29746e-05 +5 HI[149] HI[153] 6.22703e-05 +6 HI[152] HI[153] 0.000217937 +*RES +1 *822:HI HI[153] 22.2458 +*END + +*D_NET *61 0.00743728 +*CONN +*P HI[154] O +*I *823:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[154] 0.000376091 +2 *823:HI 0.000267351 +3 *61:16 0.00141277 +4 *61:10 0.00130403 +5 HI[154] HI[160] 7.24381e-05 +6 HI[154] HI[162] 9.30231e-05 +7 HI[154] HI[209] 0.000109365 +8 HI[154] HI[262] 0 +9 *61:10 HI[222] 4.63842e-05 +10 *61:10 HI[330] 0.000446941 +11 *61:10 *122:10 0.000321923 +12 *61:16 HI[17] 0.000124853 +13 *61:16 HI[18] 0.000208676 +14 *61:16 HI[209] 1.38511e-05 +15 *61:16 HI[228] 0.000876098 +16 *61:16 HI[262] 0 +17 *61:16 HI[284] 0.000132425 +18 *61:16 HI[2] 0.00125578 +19 *61:16 HI[321] 0.000369173 +20 *61:16 *69:11 6.11359e-06 +*RES +1 *823:HI *61:10 27.4486 +2 *61:10 *61:16 40.0151 +3 *61:16 HI[154] 10.8821 +*END + +*D_NET *62 0.00246787 +*CONN +*P HI[155] O +*I *824:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[155] 0.000758703 +2 *824:HI 0.000758703 +3 HI[155] HI[156] 2.33072e-05 +4 HI[155] HI[158] 1.12792e-05 +5 HI[155] HI[327] 7.09666e-06 +6 HI[0] HI[155] 0.000364565 +7 HI[101] HI[155] 0 +8 HI[106] HI[155] 0.000481241 +9 HI[153] HI[155] 6.29746e-05 +*RES +1 *824:HI HI[155] 29.999 +*END + +*D_NET *63 0.0024714 +*CONN +*P HI[156] O +*I *825:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[156] 0.000822546 +2 *825:HI 0.000822546 +3 HI[156] HI[157] 0.000109528 +4 HI[156] HI[158] 0.000350062 +5 HI[0] HI[156] 0.00015647 +6 HI[105] HI[156] 5.01835e-05 +7 HI[107] HI[156] 6.50727e-05 +8 HI[108] HI[156] 6.92705e-05 +9 HI[151] HI[156] 2.41274e-06 +10 HI[155] HI[156] 2.33072e-05 +*RES +1 *825:HI HI[156] 40.5846 +*END + +*D_NET *64 0.00158772 +*CONN +*P HI[157] O +*I *826:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[157] 0.00043883 +2 *826:HI 0.00043883 +3 HI[157] HI[158] 0.000415231 +4 HI[157] HI[159] 0.000160328 +5 HI[0] HI[157] 2.4968e-05 +6 HI[156] HI[157] 0.000109528 +*RES +1 *826:HI HI[157] 26.259 +*END + +*D_NET *65 0.00254296 +*CONN +*P HI[158] O +*I *827:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[158] 0.000693345 +2 *827:HI 0.000693345 +3 HI[158] HI[159] 0.000164113 +4 HI[158] HI[162] 0.000164325 +5 HI[158] HI[327] 1.83332e-05 +6 HI[0] HI[158] 3.29217e-05 +7 HI[155] HI[158] 1.12792e-05 +8 HI[156] HI[158] 0.000350062 +9 HI[157] HI[158] 0.000415231 +*RES +1 *827:HI HI[158] 42.0796 +*END + +*D_NET *66 0.00153766 +*CONN +*P HI[159] O +*I *828:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[159] 0.000512 +2 *828:HI 0.000512 +3 HI[159] HI[161] 0.000151756 +4 HI[159] HI[162] 3.74657e-05 +5 HI[157] HI[159] 0.000160328 +6 HI[158] HI[159] 0.000164113 +*RES +1 *828:HI HI[159] 27.5075 +*END + +*D_NET *67 0.000895955 +*CONN +*P HI[15] O +*I *829:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[15] 0.000322471 +2 *829:HI 0.000322471 +3 HI[15] HI[344] 8.8134e-05 +4 HI[13] HI[15] 0.00016288 +*RES +1 *829:HI HI[15] 21.6912 +*END + +*D_NET *68 0.00123936 +*CONN +*P HI[160] O +*I *830:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[160] 0.000456437 +2 *830:HI 0.000456437 +3 HI[160] HI[161] 4.33419e-05 +4 HI[160] HI[262] 1.36007e-05 +5 HI[149] HI[160] 0.000197103 +6 HI[154] HI[160] 7.24381e-05 +*RES +1 *830:HI HI[160] 23.355 +*END + +*D_NET *69 0.00636278 +*CONN +*P HI[161] O +*I *831:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[161] 0.000718931 +2 *831:HI 0.000923477 +3 *69:11 0.00164241 +4 HI[161] HI[260] 4.55889e-05 +5 HI[161] HI[262] 0.000833598 +6 HI[161] HI[2] 6.56365e-05 +7 *69:11 HI[17] 0.000227892 +8 *69:11 HI[18] 0.00141598 +9 *69:11 HI[206] 1.80717e-05 +10 *69:11 HI[277] 0.000255584 +11 *69:11 HI[291] 1.43983e-05 +12 HI[159] HI[161] 0.000151756 +13 HI[160] HI[161] 4.33419e-05 +14 *61:16 *69:11 6.11359e-06 +*RES +1 *831:HI *69:11 47.3072 +2 *69:11 HI[161] 25.2138 +*END + +*D_NET *70 0.00251398 +*CONN +*P HI[162] O +*I *832:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[162] 0.000902181 +2 *832:HI 0.000902181 +3 HI[162] HI[209] 0.000198504 +4 HI[162] HI[31] 0.000168899 +5 HI[162] HI[321] 2.3329e-06 +6 HI[12] HI[162] 4.50659e-05 +7 HI[154] HI[162] 9.30231e-05 +8 HI[158] HI[162] 0.000164325 +9 HI[159] HI[162] 3.74657e-05 +*RES +1 *832:HI HI[162] 33.7447 +*END + +*D_NET *71 0.00090708 +*CONN +*P HI[163] O +*I *833:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[163] 0.000257699 +2 *833:HI 0.000257699 +3 HI[163] HI[193] 6.28701e-05 +4 HI[163] HI[241] 0.000244293 +5 HI[163] HI[340] 8.45193e-05 +*RES +1 *833:HI HI[163] 22.1065 +*END + +*D_NET *72 0.00138047 +*CONN +*P HI[164] O +*I *834:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[164] 0.000264899 +2 *834:HI 0.000264899 +3 HI[164] HI[16] 0.000160328 +4 HI[164] HI[227] 0.000535741 +5 HI[164] HI[279] 4.20032e-05 +6 HI[164] HI[334] 0.0001126 +*RES +1 *834:HI HI[164] 23.7703 +*END + +*D_NET *73 0.00115836 +*CONN +*P HI[165] O +*I *835:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[165] 0.000255843 +2 *835:HI 0.000255843 +3 HI[165] HI[267] 0.000294709 +4 HI[165] HI[270] 0.000289093 +5 HI[165] HI[339] 6.28701e-05 +*RES +1 *835:HI HI[165] 22.6611 +*END + +*D_NET *74 0.00110177 +*CONN +*P HI[166] O +*I *836:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[166] 0.000372011 +2 *836:HI 0.000372011 +3 HI[166] HI[171] 0.000192692 +4 HI[166] HI[193] 0.000165058 +*RES +1 *836:HI HI[166] 22.2458 +*END + +*D_NET *75 0.00172219 +*CONN +*P HI[167] O +*I *837:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[167] 0.0003255 +2 *837:HI 0.0003255 +3 HI[167] HI[170] 0.000303159 +4 HI[167] HI[315] 0.000309518 +5 HI[167] HI[322] 0.000309518 +6 HI[167] HI[326] 7.03668e-05 +7 HI[167] HI[342] 7.86286e-05 +*RES +1 *837:HI HI[167] 25.8493 +*END + +*D_NET *76 0.000748573 +*CONN +*P HI[168] O +*I *838:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[168] 0.000228176 +2 *838:HI 0.000228176 +3 HI[168] HI[221] 0.000147389 +4 HI[168] HI[329] 0.000144833 +*RES +1 *838:HI HI[168] 20.9972 +*END + +*D_NET *77 0.00109179 +*CONN +*P HI[169] O +*I *839:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[169] 0.000328336 +2 *839:HI 0.000328336 +3 HI[169] HI[179] 0.000192692 +4 HI[169] HI[195] 0.000242422 +*RES +1 *839:HI HI[169] 21.6912 +*END + +*D_NET *78 0.00124662 +*CONN +*P HI[16] O +*I *840:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[16] 0.000346193 +2 *840:HI 0.000346193 +3 HI[16] HI[172] 0.000341834 +4 HI[16] HI[227] 1.33298e-05 +5 HI[16] HI[279] 3.87462e-05 +6 HI[164] HI[16] 0.000160328 +*RES +1 *840:HI HI[16] 24.1855 +*END + +*D_NET *79 0.0018415 +*CONN +*P HI[170] O +*I *841:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[170] 0.000375095 +2 *841:HI 0.000375095 +3 HI[170] HI[180] 0.000256729 +4 HI[170] HI[187] 0.000114595 +5 HI[170] HI[315] 0.000160328 +6 HI[170] HI[322] 8.88212e-05 +7 HI[170] HI[326] 0.00016768 +8 HI[167] HI[170] 0.000303159 +*RES +1 *841:HI HI[170] 25.7663 +*END + +*D_NET *80 0.000860781 +*CONN +*P HI[171] O +*I *842:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[171] 0.000237699 +2 *842:HI 0.000237699 +3 HI[171] HI[273] 0.000192692 +4 HI[166] HI[171] 0.000192692 +*RES +1 *842:HI HI[171] 21.5518 +*END + +*D_NET *81 0.00132883 +*CONN +*P HI[172] O +*I *843:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[172] 0.000339496 +2 *843:HI 0.000339496 +3 HI[172] HI[178] 0.000160328 +4 HI[172] HI[227] 0.000114595 +5 HI[172] HI[289] 3.30775e-05 +6 HI[16] HI[172] 0.000341834 +*RES +1 *843:HI HI[172] 23.9485 +*END + +*D_NET *82 0.00173053 +*CONN +*P HI[173] O +*I *844:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[173] 0.000363125 +2 *844:HI 0.000363125 +3 HI[173] HI[184] 0.000583875 +4 HI[173] HI[194] 0.000114595 +5 HI[173] HI[301] 6.28701e-05 +6 HI[173] HI[314] 0.000109519 +7 HI[173] HI[323] 5.99867e-05 +8 HI[173] HI[337] 7.34339e-05 +*RES +1 *844:HI HI[173] 25.9887 +*END + +*D_NET *83 0.000854954 +*CONN +*P HI[174] O +*I *845:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[174] 0.000234785 +2 *845:HI 0.000234785 +3 HI[174] HI[273] 0.000192692 +4 HI[174] HI[335] 0.000192692 +*RES +1 *845:HI HI[174] 21.5518 +*END + +*D_NET *84 0.00158665 +*CONN +*P HI[175] O +*I *846:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[175] 0.000399146 +2 *846:HI 0.000399146 +3 HI[175] HI[239] 0.000526042 +4 HI[175] HI[307] 0.000115588 +5 HI[175] HI[308] 3.41321e-05 +6 HI[175] HI[331] 0.0001126 +7 HI[175] HI[341] 0 +*RES +1 *846:HI HI[175] 25.8493 +*END + +*D_NET *85 0.00110126 +*CONN +*P HI[176] O +*I *847:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[176] 0.00034429 +2 *847:HI 0.00034429 +3 HI[176] HI[217] 0.000203896 +4 HI[176] HI[278] 0.00017896 +5 HI[176] HI[332] 2.98205e-05 +*RES +1 *847:HI HI[176] 22.6611 +*END + +*D_NET *86 0.000788069 +*CONN +*P HI[177] O +*I *848:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[177] 0.000216925 +2 *848:HI 0.000216925 +3 HI[177] HI[185] 0.000274127 +4 HI[177] HI[26] 8.00918e-05 +*RES +1 *848:HI HI[177] 20.0274 +*END + +*D_NET *87 0.00140472 +*CONN +*P HI[178] O +*I *849:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[178] 0.000405961 +2 *849:HI 0.000405961 +3 HI[178] HI[183] 0.000160328 +4 HI[178] HI[279] 6.08467e-05 +5 HI[178] HI[289] 0.000140419 +6 HI[178] HI[296] 7.08773e-05 +7 HI[172] HI[178] 0.000160328 +*RES +1 *849:HI HI[178] 24.1025 +*END + +*D_NET *88 0.000858331 +*CONN +*P HI[179] O +*I *850:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[179] 0.000236473 +2 *850:HI 0.000236473 +3 HI[179] HI[329] 0.000192692 +4 HI[169] HI[179] 0.000192692 +*RES +1 *850:HI HI[179] 21.5518 +*END + +*D_NET *89 0.00341696 +*CONN +*P HI[17] O +*I *851:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[17] 0.000517522 +2 *851:HI 0.000517522 +3 HI[17] HI[18] 0.000317721 +4 HI[17] HI[228] 0.00142986 +5 HI[17] HI[288] 0.000121426 +6 HI[17] HI[31] 3.87462e-05 +7 HI[113] HI[17] 0.000121426 +8 *61:16 HI[17] 0.000124853 +9 *69:11 HI[17] 0.000227892 +*RES +1 *851:HI HI[17] 45.8365 +*END + +*D_NET *90 0.00182139 +*CONN +*P HI[180] O +*I *852:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[180] 0.000436742 +2 *852:HI 0.000436742 +3 HI[180] HI[187] 0.000360431 +4 HI[180] HI[191] 0.000164325 +5 HI[180] HI[322] 1.9689e-05 +6 HI[180] HI[32] 0.000146731 +7 HI[170] HI[180] 0.000256729 +*RES +1 *852:HI HI[180] 26.1155 +*END + +*D_NET *91 0.00136642 +*CONN +*P HI[181] O +*I *853:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[181] 0.000260414 +2 *853:HI 0.000260414 +3 HI[181] HI[219] 0.000344439 +4 HI[181] HI[224] 0.000388553 +5 HI[181] HI[302] 0.0001126 +*RES +1 *853:HI HI[181] 23.7703 +*END + +*D_NET *92 0.000982706 +*CONN +*P HI[182] O +*I *854:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[182] 0.000246783 +2 *854:HI 0.000246783 +3 HI[182] HI[188] 0.000246849 +4 HI[182] HI[264] 0.000242291 +*RES +1 *854:HI HI[182] 22.1065 +*END + +*D_NET *93 0.0012918 +*CONN +*P HI[183] O +*I *855:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[183] 0.000330013 +2 *855:HI 0.000330013 +3 HI[183] HI[186] 6.63458e-05 +4 HI[183] HI[279] 0.000143306 +5 HI[183] HI[289] 0.000222457 +6 HI[183] HI[296] 3.93408e-05 +7 HI[178] HI[183] 0.000160328 +*RES +1 *855:HI HI[183] 24.1855 +*END + +*D_NET *94 0.00198827 +*CONN +*P HI[184] O +*I *856:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[184] 0.000337424 +2 *856:HI 0.000337424 +3 HI[184] HI[194] 4.13639e-05 +4 HI[184] HI[1] 0.000159249 +5 HI[184] HI[306] 0.00016768 +6 HI[184] HI[323] 0.00036125 +7 HI[173] HI[184] 0.000583875 +*RES +1 *856:HI HI[184] 26.9585 +*END + +*D_NET *95 0.00115673 +*CONN +*P HI[185] O +*I *857:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[185] 0.000351294 +2 *857:HI 0.000351294 +3 HI[185] HI[26] 0.000160328 +4 HI[185] HI[330] 1.9689e-05 +5 HI[177] HI[185] 0.000274127 +*RES +1 *857:HI HI[185] 24.1194 +*END + +*D_NET *96 0.00147319 +*CONN +*P HI[186] O +*I *858:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[186] 0.000590352 +2 *858:HI 0.000590352 +3 HI[186] HI[189] 6.58075e-05 +4 HI[186] HI[286] 0.000160328 +5 HI[186] HI[289] 0 +6 HI[186] HI[30] 0 +7 HI[183] HI[186] 6.63458e-05 +*RES +1 *858:HI HI[186] 25.5606 +*END + +*D_NET *97 0.0020424 +*CONN +*P HI[187] O +*I *859:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[187] 0.000369705 +2 *859:HI 0.000369705 +3 HI[187] HI[191] 9.34106e-05 +4 HI[187] HI[199] 0.000258709 +5 HI[187] HI[203] 0.00021206 +6 HI[187] HI[322] 0.000263786 +7 HI[170] HI[187] 0.000114595 +8 HI[180] HI[187] 0.000360431 +*RES +1 *859:HI HI[187] 27.6913 +*END + +*D_NET *98 0.000913557 +*CONN +*P HI[188] O +*I *860:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[188] 0.000286908 +2 *860:HI 0.000286908 +3 HI[188] HI[243] 5.04829e-06 +4 HI[188] HI[303] 8.78432e-05 +5 HI[182] HI[188] 0.000246849 +*RES +1 *860:HI HI[188] 22.5217 +*END + +*D_NET *99 0.0013137 +*CONN +*P HI[189] O +*I *861:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[189] 0.000432637 +2 *861:HI 0.000432637 +3 HI[189] HI[197] 0.000169352 +4 HI[189] HI[19] 7.28451e-05 +5 HI[189] HI[286] 0.000110598 +6 HI[189] HI[30] 2.98205e-05 +7 HI[186] HI[189] 6.58075e-05 +*RES +1 *861:HI HI[189] 34.3586 +*END + +*D_NET *100 0.00322543 +*CONN +*P HI[18] O +*I *862:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[18] 0.00048743 +2 *862:HI 0.00048743 +3 HI[18] HI[206] 0.00016427 +4 HI[18] HI[214] 0.000109519 +5 HI[18] HI[280] 1.97467e-05 +6 HI[18] HI[297] 1.46543e-05 +7 HI[17] HI[18] 0.000317721 +8 *61:16 HI[18] 0.000208676 +9 *69:11 HI[18] 0.00141598 +*RES +1 *862:HI HI[18] 42.6777 +*END + +*D_NET *101 0.00105342 +*CONN +*P HI[190] O +*I *863:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[190] 0.000290368 +2 *863:HI 0.000290368 +3 HI[190] HI[25] 0.000294709 +4 HI[190] HI[263] 0.000115099 +5 HI[190] HI[339] 6.28701e-05 +*RES +1 *863:HI HI[190] 23.0763 +*END + +*D_NET *102 0.00207444 +*CONN +*P HI[191] O +*I *864:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[191] 0.000689403 +2 *864:HI 0.000689403 +3 HI[191] HI[199] 0.000437896 +4 HI[180] HI[191] 0.000164325 +5 HI[187] HI[191] 9.34106e-05 +*RES +1 *864:HI HI[191] 26.8752 +*END + +*D_NET *103 0.00117789 +*CONN +*P HI[192] O +*I *865:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[192] 0.00023324 +2 *865:HI 0.00023324 +3 HI[192] HI[198] 6.28701e-05 +4 HI[192] HI[236] 6.28701e-05 +5 HI[192] HI[244] 0.000294709 +6 HI[192] HI[285] 0.000290963 +*RES +1 *865:HI HI[192] 22.6611 +*END + +*D_NET *104 0.000939005 +*CONN +*P HI[193] O +*I *866:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[193] 0.000311617 +2 *866:HI 0.000311617 +3 HI[193] HI[340] 8.78432e-05 +4 HI[163] HI[193] 6.28701e-05 +5 HI[166] HI[193] 0.000165058 +*RES +1 *866:HI HI[193] 23.0763 +*END + +*D_NET *105 0.0019325 +*CONN +*P HI[194] O +*I *867:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[194] 0.000643504 +2 *867:HI 0.000643504 +3 HI[194] HI[1] 0.000338457 +4 HI[194] HI[306] 7.77309e-06 +5 HI[194] HI[314] 0.000143306 +6 HI[173] HI[194] 0.000114595 +7 HI[184] HI[194] 4.13639e-05 +*RES +1 *867:HI HI[194] 26.3351 +*END + +*D_NET *106 0.000936689 +*CONN +*P HI[195] O +*I *868:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[195] 0.00028199 +2 *868:HI 0.00028199 +3 HI[195] HI[196] 0.000130287 +4 HI[169] HI[195] 0.000242422 +*RES +1 *868:HI HI[195] 22.1065 +*END + +*D_NET *107 0.000969753 +*CONN +*P HI[196] O +*I *869:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[196] 0.000361531 +2 *869:HI 0.000361531 +3 HI[196] HI[293] 5.04829e-06 +4 HI[196] HI[336] 0.000111357 +5 HI[195] HI[196] 0.000130287 +*RES +1 *869:HI HI[196] 22.0404 +*END + +*D_NET *108 0.00133748 +*CONN +*P HI[197] O +*I *870:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[197] 0.000313299 +2 *870:HI 0.000313299 +3 HI[197] HI[19] 4.1375e-05 +4 HI[197] HI[201] 0.000112593 +5 HI[197] HI[286] 0.000387566 +6 HI[189] HI[197] 0.000169352 +*RES +1 *870:HI HI[197] 24.1855 +*END + +*D_NET *109 0.00121151 +*CONN +*P HI[198] O +*I *871:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[198] 0.000407935 +2 *871:HI 0.000407935 +3 HI[198] HI[202] 0.0001126 +4 HI[198] HI[244] 0.000140079 +5 HI[198] HI[292] 8.00918e-05 +6 HI[192] HI[198] 6.28701e-05 +*RES +1 *871:HI HI[198] 22.8004 +*END + +*D_NET *110 0.00195537 +*CONN +*P HI[199] O +*I *872:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[199] 0.000320772 +2 *872:HI 0.000320772 +3 HI[199] HI[203] 0.000502628 +4 HI[199] HI[207] 0.000114595 +5 HI[187] HI[199] 0.000258709 +6 HI[191] HI[199] 0.000437896 +*RES +1 *872:HI HI[199] 27.4687 +*END + +*D_NET *111 0.00144759 +*CONN +*P HI[19] O +*I *873:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[19] 0.00044098 +2 *873:HI 0.00044098 +3 HI[19] HI[201] 0.000338816 +4 HI[19] HI[286] 0.000112593 +5 HI[189] HI[19] 7.28451e-05 +6 HI[197] HI[19] 4.1375e-05 +*RES +1 *873:HI HI[19] 23.5332 +*END + +*D_NET *112 0.00178745 +*CONN +*P HI[1] O +*I *874:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[1] 0.000329783 +2 *874:HI 0.000329783 +3 HI[1] HI[225] 6.16269e-05 +4 HI[1] HI[238] 0.000453954 +5 HI[1] HI[249] 0.000114595 +6 HI[184] HI[1] 0.000159249 +7 HI[194] HI[1] 0.000338457 +*RES +1 *874:HI HI[1] 26.9213 +*END + +*D_NET *113 0.00103624 +*CONN +*P HI[200] O +*I *875:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[200] 0.000317924 +2 *875:HI 0.000317924 +3 HI[200] HI[230] 0.00018972 +4 HI[200] HI[243] 4.13245e-05 +5 HI[200] HI[310] 0.000169352 +*RES +1 *875:HI HI[200] 22.5217 +*END + +*D_NET *114 0.00145807 +*CONN +*P HI[201] O +*I *876:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[201] 0.000274816 +2 *876:HI 0.000274816 +3 HI[201] HI[204] 0.000344432 +4 HI[201] HI[24] 0.000112593 +5 HI[197] HI[201] 0.000112593 +6 HI[19] HI[201] 0.000338816 +*RES +1 *876:HI HI[201] 23.9485 +*END + +*D_NET *115 0.00106411 +*CONN +*P HI[202] O +*I *877:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[202] 0.000257514 +2 *877:HI 0.000257514 +3 HI[202] HI[208] 6.28701e-05 +4 HI[202] HI[252] 0.000289093 +5 HI[202] HI[292] 8.45193e-05 +6 HI[198] HI[202] 0.0001126 +*RES +1 *877:HI HI[202] 22.6611 +*END + +*D_NET *116 0.0019296 +*CONN +*P HI[203] O +*I *878:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[203] 0.000432029 +2 *878:HI 0.000432029 +3 HI[203] HI[207] 0.000241336 +4 HI[203] HI[216] 0.000109519 +5 HI[187] HI[203] 0.00021206 +6 HI[199] HI[203] 0.000502628 +*RES +1 *878:HI HI[203] 29.0323 +*END + +*D_NET *117 0.00124776 +*CONN +*P HI[204] O +*I *879:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[204] 0.000348656 +2 *879:HI 0.000348656 +3 HI[204] HI[24] 9.34217e-05 +4 HI[204] HI[299] 0.000112593 +5 HI[201] HI[204] 0.000344432 +*RES +1 *879:HI HI[204] 24.3637 +*END + +*D_NET *118 0.00113378 +*CONN +*P HI[205] O +*I *880:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[205] 0.000308581 +2 *880:HI 0.000308581 +3 HI[205] HI[22] 0.000373213 +4 HI[205] HI[235] 0.0001434 +*RES +1 *880:HI HI[205] 23.6309 +*END + +*D_NET *119 0.00115698 +*CONN +*P HI[206] O +*I *881:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[206] 0.000290363 +2 *881:HI 0.000290363 +3 HI[206] HI[214] 0.000303399 +4 HI[206] HI[277] 1.91195e-05 +5 HI[206] HI[291] 7.139e-05 +6 HI[18] HI[206] 0.00016427 +7 *69:11 HI[206] 1.80717e-05 +*RES +1 *881:HI HI[206] 22.5217 +*END + +*D_NET *120 0.00209827 +*CONN +*P HI[207] O +*I *882:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[207] 0.000726276 +2 *882:HI 0.000726276 +3 HI[207] HI[211] 3.30775e-05 +4 HI[207] HI[216] 0.000256707 +5 HI[199] HI[207] 0.000114595 +6 HI[203] HI[207] 0.000241336 +*RES +1 *882:HI HI[207] 26.9041 +*END + +*D_NET *121 0.00103766 +*CONN +*P HI[208] O +*I *883:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[208] 0.000294126 +2 *883:HI 0.000294126 +3 HI[208] HI[213] 6.28701e-05 +4 HI[208] HI[252] 0.000115099 +5 HI[208] HI[29] 0.000208567 +6 HI[202] HI[208] 6.28701e-05 +*RES +1 *883:HI HI[208] 23.0763 +*END + +*D_NET *122 0.00825687 +*CONN +*P HI[209] O +*I *884:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[209] 0.00205153 +2 *884:HI 0.000322273 +3 *122:10 0.00237381 +4 HI[209] HI[31] 0.000145923 +5 HI[209] HI[321] 0.000217193 +6 *122:10 HI[214] 0.000109513 +7 *122:10 HI[222] 0.000241022 +8 *122:10 HI[291] 0 +9 *122:10 HI[330] 1.72594e-05 +10 *122:10 *181:10 3.99892e-05 +11 HI[12] HI[209] 0.00208197 +12 HI[133] HI[209] 4.73037e-06 +13 HI[147] HI[209] 3.28416e-06 +14 HI[148] HI[209] 4.73037e-06 +15 HI[150] *122:10 0 +16 HI[154] HI[209] 0.000109365 +17 HI[162] HI[209] 0.000198504 +18 *61:10 *122:10 0.000321923 +19 *61:16 HI[209] 1.38511e-05 +*RES +1 *884:HI *122:10 28.8337 +2 *122:10 HI[209] 48.2691 +*END + +*D_NET *123 0.00156218 +*CONN +*P HI[20] O +*I *885:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[20] 0.000401114 +2 *885:HI 0.000401114 +3 HI[20] HI[239] 0 +4 HI[20] HI[307] 6.49003e-05 +5 HI[20] HI[308] 0.000661974 +6 HI[20] HI[341] 3.30775e-05 +*RES +1 *885:HI HI[20] 35.8536 +*END + +*D_NET *124 0.00140359 +*CONN +*P HI[210] O +*I *886:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[210] 0.00044865 +2 *886:HI 0.00044865 +3 HI[210] HI[260] 6.96673e-05 +4 HI[210] HI[288] 0.00024243 +5 HI[210] HI[297] 0.00019419 +*RES +1 *886:HI HI[210] 25.3483 +*END + +*D_NET *125 0.00141875 +*CONN +*P HI[211] O +*I *887:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[211] 0.000492221 +2 *887:HI 0.000492221 +3 HI[211] HI[216] 2.98205e-05 +4 HI[211] HI[233] 0.000319954 +5 HI[211] HI[265] 4.28321e-05 +6 HI[211] HI[275] 8.62625e-06 +7 HI[207] HI[211] 3.30775e-05 +*RES +1 *887:HI HI[211] 35.8536 +*END + +*D_NET *126 0.00132221 +*CONN +*P HI[212] O +*I *888:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[212] 0.000360014 +2 *888:HI 0.000360014 +3 HI[212] HI[215] 9.34217e-05 +4 HI[212] HI[218] 0.000164325 +5 HI[212] HI[299] 0.000344432 +*RES +1 *888:HI HI[212] 24.9183 +*END + +*D_NET *127 0.00112915 +*CONN +*P HI[213] O +*I *889:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[213] 0.000260066 +2 *889:HI 0.000260066 +3 HI[213] HI[219] 6.28701e-05 +4 HI[213] HI[29] 0.000291649 +5 HI[213] HI[302] 0.000191628 +6 HI[208] HI[213] 6.28701e-05 +*RES +1 *889:HI HI[213] 22.6611 +*END + +*D_NET *128 0.00210252 +*CONN +*P HI[214] O +*I *890:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[214] 0.000544958 +2 *890:HI 0.000544958 +3 HI[214] HI[291] 0.000101775 +4 HI[214] HI[2] 1.8739e-05 +5 HI[111] HI[214] 0.000110598 +6 HI[112] HI[214] 0.00025906 +7 HI[18] HI[214] 0.000109519 +8 HI[206] HI[214] 0.000303399 +9 *122:10 HI[214] 0.000109513 +*RES +1 *890:HI HI[214] 29.1109 +*END + +*D_NET *129 0.00148311 +*CONN +*P HI[215] O +*I *891:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[215] 0.000469786 +2 *891:HI 0.000469786 +3 HI[215] HI[218] 0.000337525 +4 HI[215] HI[299] 0.000112593 +5 HI[212] HI[215] 9.34217e-05 +*RES +1 *891:HI HI[215] 24.0878 +*END + +*D_NET *130 0.0019101 +*CONN +*P HI[216] O +*I *892:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[216] 0.000431033 +2 *892:HI 0.000431033 +3 HI[216] HI[21] 0.000651989 +4 HI[203] HI[216] 0.000109519 +5 HI[207] HI[216] 0.000256707 +6 HI[211] HI[216] 2.98205e-05 +*RES +1 *892:HI HI[216] 27.4687 +*END + +*D_NET *131 0.0013583 +*CONN +*P HI[217] O +*I *893:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[217] 0.000520617 +2 *893:HI 0.000520617 +3 HI[217] HI[270] 8.00918e-05 +4 HI[217] HI[332] 3.30775e-05 +5 HI[176] HI[217] 0.000203896 +*RES +1 *893:HI HI[217] 24.1166 +*END + +*D_NET *132 0.00134185 +*CONN +*P HI[218] O +*I *894:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[218] 0.000367561 +2 *894:HI 0.000367561 +3 HI[218] HI[220] 4.20032e-05 +4 HI[218] HI[226] 6.28701e-05 +5 HI[212] HI[218] 0.000164325 +6 HI[215] HI[218] 0.000337525 +*RES +1 *894:HI HI[218] 25.2506 +*END + +*D_NET *133 0.0011362 +*CONN +*P HI[219] O +*I *895:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[219] 0.000306894 +2 *895:HI 0.000306894 +3 HI[219] HI[302] 0.000115099 +4 HI[181] HI[219] 0.000344439 +5 HI[213] HI[219] 6.28701e-05 +*RES +1 *895:HI HI[219] 23.6309 +*END + +*D_NET *134 0.00211185 +*CONN +*P HI[21] O +*I *896:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[21] 0.00040296 +2 *896:HI 0.00040296 +3 HI[21] HI[233] 8.6772e-05 +4 HI[21] HI[265] 0.00045457 +5 HI[21] HI[275] 0.0001126 +6 HI[216] HI[21] 0.000651989 +*RES +1 *896:HI HI[21] 28.0549 +*END + +*D_NET *135 0.00128549 +*CONN +*P HI[220] O +*I *897:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[220] 0.000273061 +2 *897:HI 0.000273061 +3 HI[220] HI[223] 0.000550637 +4 HI[220] HI[226] 0 +5 HI[220] HI[229] 0.000146731 +6 HI[218] HI[220] 4.20032e-05 +*RES +1 *897:HI HI[220] 33.0806 +*END + +*D_NET *136 0.000861703 +*CONN +*P HI[221] O +*I *898:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[221] 0.000230659 +2 *898:HI 0.000230659 +3 HI[221] HI[271] 0.000252996 +4 HI[168] HI[221] 0.000147389 +*RES +1 *898:HI HI[221] 21.4125 +*END + +*D_NET *137 0.00140911 +*CONN +*P HI[222] O +*I *899:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[222] 0.000280981 +2 *899:HI 0.000280981 +3 HI[222] HI[277] 0.000350778 +4 HI[222] HI[291] 0.000154145 +5 HI[222] HI[330] 5.48222e-05 +6 *61:10 HI[222] 4.63842e-05 +7 *122:10 HI[222] 0.000241022 +*RES +1 *899:HI HI[222] 25.0104 +*END + +*D_NET *138 0.00148195 +*CONN +*P HI[223] O +*I *900:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[223] 0.000307426 +2 *900:HI 0.000307426 +3 HI[223] HI[226] 0.00013934 +4 HI[223] HI[229] 7.77309e-06 +5 HI[223] HI[231] 0.000169352 +6 HI[220] HI[223] 0.000550637 +*RES +1 *900:HI HI[223] 33.2494 +*END + +*D_NET *139 0.00143571 +*CONN +*P HI[224] O +*I *901:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[224] 0.000428235 +2 *901:HI 0.000428235 +3 HI[224] HI[22] 0.000110598 +4 HI[224] HI[274] 8.00918e-05 +5 HI[181] HI[224] 0.000388553 +*RES +1 *901:HI HI[224] 23.355 +*END + +*D_NET *140 0.00126065 +*CONN +*P HI[225] O +*I *902:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[225] 0.000452556 +2 *902:HI 0.000452556 +3 HI[225] HI[238] 1.96655e-05 +4 HI[225] HI[307] 3.9504e-05 +5 HI[225] HI[331] 0.00010245 +6 HI[225] HI[341] 0.000132291 +7 HI[1] HI[225] 6.16269e-05 +*RES +1 *902:HI HI[225] 36.0634 +*END + +*D_NET *141 0.00144107 +*CONN +*P HI[226] O +*I *903:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[226] 0.00034653 +2 *903:HI 0.00034653 +3 HI[226] HI[229] 0.000434263 +4 HI[226] HI[231] 0.000111536 +5 HI[218] HI[226] 6.28701e-05 +6 HI[220] HI[226] 0 +7 HI[223] HI[226] 0.00013934 +*RES +1 *903:HI HI[226] 24.3249 +*END + +*D_NET *142 0.00218593 +*CONN +*P HI[227] O +*I *904:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[227] 0.00059776 +2 *904:HI 0.00059776 +3 HI[227] HI[266] 0.0001126 +4 HI[227] HI[328] 0.000172777 +5 HI[227] HI[334] 1.33188e-05 +6 HI[227] HI[33] 2.80451e-05 +7 HI[164] HI[227] 0.000535741 +8 HI[16] HI[227] 1.33298e-05 +9 HI[172] HI[227] 0.000114595 +*RES +1 *904:HI HI[227] 26.6826 +*END + +*D_NET *143 0.00338135 +*CONN +*P HI[228] O +*I *905:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[228] 0.000529847 +2 *905:HI 0.000529847 +3 HI[228] HI[280] 1.57066e-05 +4 HI[228] HI[31] 0 +5 HI[17] HI[228] 0.00142986 +6 *61:16 HI[228] 0.000876098 +*RES +1 *905:HI HI[228] 44.1727 +*END + +*D_NET *144 0.0014094 +*CONN +*P HI[229] O +*I *906:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[229] 0.00033298 +2 *906:HI 0.00033298 +3 HI[229] HI[231] 4.3133e-05 +4 HI[229] HI[234] 0.000111536 +5 HI[220] HI[229] 0.000146731 +6 HI[223] HI[229] 7.77309e-06 +7 HI[226] HI[229] 0.000434263 +*RES +1 *906:HI HI[229] 24.7401 +*END + +*D_NET *145 0.00122176 +*CONN +*P HI[22] O +*I *907:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[22] 0.00030538 +2 *907:HI 0.00030538 +3 HI[22] HI[235] 0.000107496 +4 HI[22] HI[274] 1.9689e-05 +5 HI[205] HI[22] 0.000373213 +6 HI[224] HI[22] 0.000110598 +*RES +1 *907:HI HI[22] 23.5648 +*END + +*D_NET *146 0.000973734 +*CONN +*P HI[230] O +*I *908:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[230] 0.000310251 +2 *908:HI 0.000310251 +3 HI[230] HI[241] 0 +4 HI[230] HI[310] 0.000163512 +5 HI[200] HI[230] 0.00018972 +*RES +1 *908:HI HI[230] 22.2846 +*END + +*D_NET *147 0.00160132 +*CONN +*P HI[231] O +*I *909:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[231] 0.000469771 +2 *909:HI 0.000469771 +3 HI[231] HI[234] 0.000337758 +4 HI[223] HI[231] 0.000169352 +5 HI[226] HI[231] 0.000111536 +6 HI[229] HI[231] 4.3133e-05 +*RES +1 *909:HI HI[231] 24.095 +*END + +*D_NET *148 0.00147225 +*CONN +*P HI[232] O +*I *910:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[232] 0.000284191 +2 *910:HI 0.000284191 +3 HI[232] HI[256] 0.0001126 +4 HI[232] HI[261] 0.000139905 +5 HI[232] HI[269] 0.000439298 +6 HI[232] HI[272] 0.00021206 +*RES +1 *910:HI HI[232] 24.3249 +*END + +*D_NET *149 0.00156574 +*CONN +*P HI[233] O +*I *911:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[233] 0.000385369 +2 *911:HI 0.000385369 +3 HI[233] HI[265] 3.41321e-05 +4 HI[233] HI[281] 6.06823e-05 +5 HI[233] HI[295] 0.000146731 +6 HI[233] HI[301] 0.000146731 +7 HI[211] HI[233] 0.000319954 +8 HI[21] HI[233] 8.6772e-05 +*RES +1 *911:HI HI[233] 35.8536 +*END + +*D_NET *150 0.00153892 +*CONN +*P HI[234] O +*I *912:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[234] 0.000314296 +2 *912:HI 0.000314296 +3 HI[234] HI[237] 0.000346434 +4 HI[234] HI[23] 0.000114595 +5 HI[229] HI[234] 0.000111536 +6 HI[231] HI[234] 0.000337758 +*RES +1 *912:HI HI[234] 24.5103 +*END + +*D_NET *151 0.00125853 +*CONN +*P HI[235] O +*I *913:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[235] 0.000430978 +2 *913:HI 0.000430978 +3 HI[235] HI[240] 0.0001126 +4 HI[235] HI[317] 3.30775e-05 +5 HI[205] HI[235] 0.0001434 +6 HI[22] HI[235] 0.000107496 +*RES +1 *913:HI HI[235] 22.8004 +*END + +*D_NET *152 0.00112993 +*CONN +*P HI[236] O +*I *914:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[236] 0.000276784 +2 *914:HI 0.000276784 +3 HI[236] HI[285] 4.79648e-05 +4 HI[236] HI[318] 0.000292746 +5 HI[236] HI[332] 0.000172777 +6 HI[192] HI[236] 6.28701e-05 +*RES +1 *914:HI HI[236] 23.0763 +*END + +*D_NET *153 0.00138604 +*CONN +*P HI[237] O +*I *915:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[237] 0.000426088 +2 *915:HI 0.000426088 +3 HI[237] HI[23] 0.000126585 +4 HI[237] HI[242] 6.08467e-05 +5 HI[234] HI[237] 0.000346434 +*RES +1 *915:HI HI[237] 25.4801 +*END + +*D_NET *154 0.00176849 +*CONN +*P HI[238] O +*I *916:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[238] 0.000471022 +2 *916:HI 0.000471022 +3 HI[238] HI[249] 0.000352825 +4 HI[1] HI[238] 0.000453954 +5 HI[225] HI[238] 1.96655e-05 +*RES +1 *916:HI HI[238] 27.1511 +*END + +*D_NET *155 0.00146172 +*CONN +*P HI[239] O +*I *917:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[239] 0.000449237 +2 *917:HI 0.000449237 +3 HI[239] HI[341] 3.72076e-05 +4 HI[175] HI[239] 0.000526042 +5 HI[20] HI[239] 0 +*RES +1 *917:HI HI[239] 25.4341 +*END + +*D_NET *156 0.00158475 +*CONN +*P HI[23] O +*I *918:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[23] 0.000550068 +2 *918:HI 0.000550068 +3 HI[23] HI[242] 0.000243439 +4 HI[234] HI[23] 0.000114595 +5 HI[237] HI[23] 0.000126585 +*RES +1 *918:HI HI[23] 24.6496 +*END + +*D_NET *157 0.00121884 +*CONN +*P HI[240] O +*I *919:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[240] 0.00031199 +2 *919:HI 0.00031199 +3 HI[240] HI[246] 0.0001126 +4 HI[240] HI[317] 2.98205e-05 +5 HI[240] HI[320] 0.000339838 +6 HI[235] HI[240] 0.0001126 +*RES +1 *919:HI HI[240] 23.2157 +*END + +*D_NET *158 0.000999885 +*CONN +*P HI[241] O +*I *920:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[241] 0.000361257 +2 *920:HI 0.000361257 +3 HI[241] HI[310] 3.30775e-05 +4 HI[163] HI[241] 0.000244293 +5 HI[230] HI[241] 0 +*RES +1 *920:HI HI[241] 21.6912 +*END + +*D_NET *159 0.00155846 +*CONN +*P HI[242] O +*I *921:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[242] 0.00032756 +2 *921:HI 0.00032756 +3 HI[242] HI[245] 0.00048752 +4 HI[242] HI[248] 0.000111536 +5 HI[237] HI[242] 6.08467e-05 +6 HI[23] HI[242] 0.000243439 +*RES +1 *921:HI HI[242] 25.2503 +*END + +*D_NET *160 0.000951855 +*CONN +*P HI[243] O +*I *922:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[243] 0.000338733 +2 *922:HI 0.000338733 +3 HI[243] HI[303] 0.000228017 +4 HI[188] HI[243] 5.04829e-06 +5 HI[200] HI[243] 4.13245e-05 +*RES +1 *922:HI HI[243] 22.0476 +*END + +*D_NET *161 0.00103231 +*CONN +*P HI[244] O +*I *923:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[244] 0.000298761 +2 *923:HI 0.000298761 +3 HI[192] HI[244] 0.000294709 +4 HI[198] HI[244] 0.000140079 +*RES +1 *923:HI HI[244] 23.0763 +*END + +*D_NET *162 0.00152153 +*CONN +*P HI[245] O +*I *924:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[245] 0.000337045 +2 *924:HI 0.000337045 +3 HI[245] HI[248] 0.000248389 +4 HI[245] HI[250] 0.000111536 +5 HI[242] HI[245] 0.00048752 +*RES +1 *924:HI HI[245] 25.8293 +*END + +*D_NET *163 0.00155718 +*CONN +*P HI[246] O +*I *925:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[246] 0.000442259 +2 *925:HI 0.000442259 +3 HI[246] HI[251] 0.00021206 +4 HI[246] HI[317] 0.000172777 +5 HI[246] HI[320] 8.80318e-05 +6 HI[246] HI[324] 8.71967e-05 +7 HI[240] HI[246] 0.0001126 +*RES +1 *925:HI HI[246] 23.9096 +*END + +*D_NET *164 0.000929504 +*CONN +*P HI[247] O +*I *926:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[247] 0.000302265 +2 *926:HI 0.000302265 +3 HI[247] HI[255] 0.000130287 +4 HI[247] HI[264] 0.000194687 +*RES +1 *926:HI HI[247] 22.2846 +*END + +*D_NET *165 0.00170079 +*CONN +*P HI[248] O +*I *927:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[248] 0.000531754 +2 *927:HI 0.000531754 +3 HI[248] HI[250] 0.000277355 +4 HI[242] HI[248] 0.000111536 +5 HI[245] HI[248] 0.000248389 +*RES +1 *927:HI HI[248] 24.6568 +*END + +*D_NET *166 0.0018424 +*CONN +*P HI[249] O +*I *928:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[249] 0.000533431 +2 *928:HI 0.000533431 +3 HI[249] HI[307] 4.63284e-05 +4 HI[249] HI[331] 0.00026179 +5 HI[1] HI[249] 0.000114595 +6 HI[238] HI[249] 0.000352825 +*RES +1 *928:HI HI[249] 27.0681 +*END + +*D_NET *167 0.00142699 +*CONN +*P HI[24] O +*I *929:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[24] 0.000441077 +2 *929:HI 0.000441077 +3 HI[24] HI[299] 0.000338816 +4 HI[201] HI[24] 0.000112593 +5 HI[204] HI[24] 9.34217e-05 +*RES +1 *929:HI HI[24] 23.5332 +*END + +*D_NET *168 0.00157582 +*CONN +*P HI[250] O +*I *930:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[250] 0.000362176 +2 *930:HI 0.000362176 +3 HI[250] HI[253] 0.000347985 +4 HI[250] HI[256] 0.000114595 +5 HI[245] HI[250] 0.000111536 +6 HI[248] HI[250] 0.000277355 +*RES +1 *930:HI HI[250] 25.4141 +*END + +*D_NET *169 0.00112874 +*CONN +*P HI[251] O +*I *931:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[251] 0.000280714 +2 *931:HI 0.000280714 +3 HI[251] HI[257] 0.0001126 +4 HI[251] HI[324] 0.000209572 +5 HI[251] HI[328] 3.30775e-05 +6 HI[246] HI[251] 0.00021206 +*RES +1 *931:HI HI[251] 23.2157 +*END + +*D_NET *170 0.00118621 +*CONN +*P HI[252] O +*I *932:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[252] 0.000359572 +2 *932:HI 0.000359572 +3 HI[252] HI[29] 6.28701e-05 +4 HI[202] HI[252] 0.000289093 +5 HI[208] HI[252] 0.000115099 +*RES +1 *932:HI HI[252] 22.2458 +*END + +*D_NET *171 0.00140284 +*CONN +*P HI[253] O +*I *933:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[253] 0.000382814 +2 *933:HI 0.000382814 +3 HI[253] HI[256] 0.000176628 +4 HI[253] HI[261] 0.0001126 +5 HI[250] HI[253] 0.000347985 +*RES +1 *933:HI HI[253] 25.8293 +*END + +*D_NET *172 0.000872803 +*CONN +*P HI[254] O +*I *934:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[254] 0.000379889 +2 *934:HI 0.000379889 +3 HI[254] HI[312] 1.41976e-05 +4 HI[254] HI[316] 7.91375e-05 +5 HI[254] HI[325] 1.9689e-05 +*RES +1 *934:HI HI[254] 22.5217 +*END + +*D_NET *173 0.0010415 +*CONN +*P HI[255] O +*I *935:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[255] 0.000342629 +2 *935:HI 0.000342629 +3 HI[255] HI[312] 0.000225952 +4 HI[247] HI[255] 0.000130287 +*RES +1 *935:HI HI[255] 22.0404 +*END + +*D_NET *174 0.00151965 +*CONN +*P HI[256] O +*I *936:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[256] 0.00053782 +2 *936:HI 0.00053782 +3 HI[256] HI[259] 3.30775e-05 +4 HI[256] HI[261] 7.10483e-06 +5 HI[232] HI[256] 0.0001126 +6 HI[250] HI[256] 0.000114595 +7 HI[253] HI[256] 0.000176628 +*RES +1 *936:HI HI[256] 24.6568 +*END + +*D_NET *175 0.00135516 +*CONN +*P HI[257] O +*I *937:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[257] 0.000277859 +2 *937:HI 0.000277859 +3 HI[257] HI[305] 0.000282634 +4 HI[257] HI[324] 0.00026179 +5 HI[257] HI[328] 2.98205e-05 +6 HI[257] HI[334] 0.0001126 +7 HI[251] HI[257] 0.0001126 +*RES +1 *937:HI HI[257] 23.6309 +*END + +*D_NET *176 0.00100867 +*CONN +*P HI[258] O +*I *938:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[258] 0.000326738 +2 *938:HI 0.000326738 +3 HI[258] HI[25] 0.000271685 +4 HI[258] HI[325] 8.35139e-05 +*RES +1 *938:HI HI[258] 22.0404 +*END + +*D_NET *177 0.00105695 +*CONN +*P HI[259] O +*I *939:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[259] 0.000372996 +2 *939:HI 0.000372996 +3 HI[259] HI[261] 2.98205e-05 +4 HI[259] HI[269] 7.52867e-05 +5 HI[259] HI[272] 0.000172777 +6 HI[256] HI[259] 3.30775e-05 +*RES +1 *939:HI HI[259] 33.6352 +*END + +*D_NET *178 0.00113176 +*CONN +*P HI[25] O +*I *940:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[25] 0.000251247 +2 *940:HI 0.000251247 +3 HI[25] HI[263] 6.28701e-05 +4 HI[190] HI[25] 0.000294709 +5 HI[258] HI[25] 0.000271685 +*RES +1 *940:HI HI[25] 22.6611 +*END + +*D_NET *179 0.00108897 +*CONN +*P HI[260] O +*I *941:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[260] 0.000336838 +2 *941:HI 0.000336838 +3 HI[260] HI[262] 1.56778e-05 +4 HI[260] HI[288] 6.28701e-05 +5 HI[260] HI[297] 0.000159264 +6 HI[260] HI[2] 6.22259e-05 +7 HI[161] HI[260] 4.55889e-05 +8 HI[210] HI[260] 6.96673e-05 +*RES +1 *941:HI HI[260] 22.1065 +*END + +*D_NET *180 0.00178184 +*CONN +*P HI[261] O +*I *942:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[261] 0.000490987 +2 *942:HI 0.000490987 +3 HI[261] HI[269] 0.000510441 +4 HI[232] HI[261] 0.000139905 +5 HI[253] HI[261] 0.0001126 +6 HI[256] HI[261] 7.10483e-06 +7 HI[259] HI[261] 2.98205e-05 +*RES +1 *942:HI HI[261] 28.483 +*END + +*D_NET *181 0.00890089 +*CONN +*P HI[262] O +*I *943:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[262] 0.00210168 +2 *943:HI 0.000396511 +3 *181:10 0.00249819 +4 HI[262] HI[284] 9.8207e-05 +5 HI[262] *245:11 0.00232171 +6 *181:10 HI[277] 0.000200794 +7 *181:10 HI[330] 0.000167279 +8 *181:10 *245:8 0 +9 HI[139] *181:10 7.14746e-05 +10 HI[145] *181:10 0.000142178 +11 HI[154] HI[262] 0 +12 HI[160] HI[262] 1.36007e-05 +13 HI[161] HI[262] 0.000833598 +14 HI[260] HI[262] 1.56778e-05 +15 *61:16 HI[262] 0 +16 *122:10 *181:10 3.99892e-05 +*RES +1 *943:HI *181:10 29.9429 +2 *181:10 HI[262] 47.9143 +*END + +*D_NET *182 0.00118621 +*CONN +*P HI[263] O +*I *944:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[263] 0.000359572 +2 *944:HI 0.000359572 +3 HI[263] HI[339] 0.000289093 +4 HI[190] HI[263] 0.000115099 +5 HI[25] HI[263] 6.28701e-05 +*RES +1 *944:HI HI[263] 22.2458 +*END + +*D_NET *183 0.00108627 +*CONN +*P HI[264] O +*I *945:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[264] 0.000324648 +2 *945:HI 0.000324648 +3 HI[182] HI[264] 0.000242291 +4 HI[247] HI[264] 0.000194687 +*RES +1 *945:HI HI[264] 21.6912 +*END + +*D_NET *184 0.00211796 +*CONN +*P HI[265] O +*I *946:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[265] 0.0004897 +2 *946:HI 0.0004897 +3 HI[265] HI[275] 0.000345233 +4 HI[265] HI[295] 0.00026179 +5 HI[211] HI[265] 4.28321e-05 +6 HI[21] HI[265] 0.00045457 +7 HI[233] HI[265] 3.41321e-05 +*RES +1 *946:HI HI[265] 29.2305 +*END + +*D_NET *185 0.00132451 +*CONN +*P HI[266] O +*I *947:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[266] 0.000267644 +2 *947:HI 0.000267644 +3 HI[266] HI[305] 0.0001126 +4 HI[266] HI[334] 0.000485969 +5 HI[266] HI[33] 7.8048e-05 +6 HI[227] HI[266] 0.0001126 +*RES +1 *947:HI HI[266] 23.2157 +*END + +*D_NET *186 0.00108238 +*CONN +*P HI[267] O +*I *948:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[267] 0.000289553 +2 *948:HI 0.000289553 +3 HI[267] HI[339] 0.000208567 +4 HI[165] HI[267] 0.000294709 +*RES +1 *948:HI HI[267] 23.0763 +*END + +*D_NET *187 0.00162008 +*CONN +*P HI[268] O +*I *949:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[268] 0.00037414 +2 *949:HI 0.00037414 +3 HI[268] HI[290] 0.000136538 +4 HI[268] HI[294] 0.00021206 +5 HI[268] HI[298] 0.000211289 +6 HI[268] HI[304] 4.27553e-05 +7 HI[268] HI[309] 0.000249467 +8 HI[268] HI[311] 1.9689e-05 +*RES +1 *949:HI HI[268] 24.8795 +*END + +*D_NET *188 0.0019282 +*CONN +*P HI[269] O +*I *950:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[269] 0.00029966 +2 *950:HI 0.00029966 +3 HI[269] HI[272] 0.000303859 +4 HI[232] HI[269] 0.000439298 +5 HI[259] HI[269] 7.52867e-05 +6 HI[261] HI[269] 0.000510441 +*RES +1 *950:HI HI[269] 26.4039 +*END + +*D_NET *189 0.000939318 +*CONN +*P HI[26] O +*I *951:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[26] 0.000306847 +2 *951:HI 0.000306847 +3 HI[26] HI[282] 8.52051e-05 +4 HI[177] HI[26] 8.00918e-05 +5 HI[185] HI[26] 0.000160328 +*RES +1 *951:HI HI[26] 22.5217 +*END + +*D_NET *190 0.00112174 +*CONN +*P HI[270] O +*I *952:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[270] 0.00037628 +2 *952:HI 0.00037628 +3 HI[165] HI[270] 0.000289093 +4 HI[217] HI[270] 8.00918e-05 +*RES +1 *952:HI HI[270] 22.2458 +*END + +*D_NET *191 0.00081176 +*CONN +*P HI[271] O +*I *953:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[271] 0.000269537 +2 *953:HI 0.000269537 +3 HI[271] HI[282] 1.9689e-05 +4 HI[221] HI[271] 0.000252996 +*RES +1 *953:HI HI[271] 20.9384 +*END + +*D_NET *192 0.00192574 +*CONN +*P HI[272] O +*I *954:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[272] 0.000417383 +2 *954:HI 0.000417383 +3 HI[272] HI[276] 3.30775e-05 +4 HI[272] HI[27] 0.000257666 +5 HI[272] HI[283] 0.000111536 +6 HI[232] HI[272] 0.00021206 +7 HI[259] HI[272] 0.000172777 +8 HI[269] HI[272] 0.000303859 +*RES +1 *954:HI HI[272] 26.3209 +*END + +*D_NET *193 0.000978717 +*CONN +*P HI[273] O +*I *955:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[273] 0.000296667 +2 *955:HI 0.000296667 +3 HI[171] HI[273] 0.000192692 +4 HI[174] HI[273] 0.000192692 +*RES +1 *955:HI HI[273] 21.1366 +*END + +*D_NET *194 0.00115463 +*CONN +*P HI[274] O +*I *956:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[274] 0.000527425 +2 *956:HI 0.000527425 +3 HI[224] HI[274] 8.00918e-05 +4 HI[22] HI[274] 1.9689e-05 +*RES +1 *956:HI HI[274] 26.9529 +*END + +*D_NET *195 0.0019034 +*CONN +*P HI[275] O +*I *957:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[275] 0.000480298 +2 *957:HI 0.000480298 +3 HI[275] HI[281] 6.31782e-05 +4 HI[275] HI[295] 0.000101642 +5 HI[275] HI[301] 0.00031152 +6 HI[211] HI[275] 8.62625e-06 +7 HI[21] HI[275] 0.0001126 +8 HI[265] HI[275] 0.000345233 +*RES +1 *957:HI HI[275] 28.3653 +*END + +*D_NET *196 0.00114118 +*CONN +*P HI[276] O +*I *958:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[276] 0.000455961 +2 *958:HI 0.000455961 +3 HI[276] HI[27] 2.98205e-05 +4 HI[276] HI[287] 9.12416e-06 +5 HI[276] HI[290] 9.04224e-05 +6 HI[276] HI[294] 6.68134e-05 +7 HI[272] HI[276] 3.30775e-05 +*RES +1 *958:HI HI[276] 34.7444 +*END + +*D_NET *197 0.001749 +*CONN +*P HI[277] O +*I *959:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[277] 0.000409851 +2 *959:HI 0.000409851 +3 HI[277] HI[291] 0.000103022 +4 HI[206] HI[277] 1.91195e-05 +5 HI[222] HI[277] 0.000350778 +6 *69:11 HI[277] 0.000255584 +7 *181:10 HI[277] 0.000200794 +*RES +1 *959:HI HI[277] 28.272 +*END + +*D_NET *198 0.00107311 +*CONN +*P HI[278] O +*I *960:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[278] 0.000357265 +2 *960:HI 0.000357265 +3 HI[278] HI[318] 6.28701e-05 +4 HI[278] HI[338] 0.00011675 +5 HI[176] HI[278] 0.00017896 +*RES +1 *960:HI HI[278] 23.4255 +*END + +*D_NET *199 0.00176307 +*CONN +*P HI[279] O +*I *961:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[279] 0.000497041 +2 *961:HI 0.000497041 +3 HI[279] HI[289] 0.000423936 +4 HI[279] HI[296] 6.01521e-05 +5 HI[164] HI[279] 4.20032e-05 +6 HI[16] HI[279] 3.87462e-05 +7 HI[178] HI[279] 6.08467e-05 +8 HI[183] HI[279] 0.000143306 +*RES +1 *961:HI HI[279] 37.6862 +*END + +*D_NET *200 0.00183724 +*CONN +*P HI[27] O +*I *962:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[27] 0.000545069 +2 *962:HI 0.000545069 +3 HI[27] HI[283] 0.000350095 +4 HI[27] HI[287] 0.000109519 +5 HI[272] HI[27] 0.000257666 +6 HI[276] HI[27] 2.98205e-05 +*RES +1 *962:HI HI[27] 26.5063 +*END + +*D_NET *201 0.0010969 +*CONN +*P HI[280] O +*I *963:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[280] 0.000334344 +2 *963:HI 0.000334344 +3 HI[280] HI[288] 7.6978e-05 +4 HI[280] HI[297] 0 +5 HI[280] HI[327] 0.000172676 +6 HI[107] HI[280] 1.2693e-05 +7 HI[108] HI[280] 0.000130414 +8 HI[18] HI[280] 1.97467e-05 +9 HI[228] HI[280] 1.57066e-05 +*RES +1 *963:HI HI[280] 22.3473 +*END + +*D_NET *202 0.00146876 +*CONN +*P HI[281] O +*I *964:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[281] 0.000434132 +2 *964:HI 0.000434132 +3 HI[281] HI[295] 1.96655e-05 +4 HI[281] HI[306] 0.000151436 +5 HI[281] HI[323] 0.000214691 +6 HI[281] HI[337] 9.08473e-05 +7 HI[233] HI[281] 6.06823e-05 +8 HI[275] HI[281] 6.31782e-05 +*RES +1 *964:HI HI[281] 37.1727 +*END + +*D_NET *203 0.00101141 +*CONN +*P HI[282] O +*I *965:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[282] 0.00045326 +2 *965:HI 0.00045326 +3 HI[26] HI[282] 8.52051e-05 +4 HI[271] HI[282] 1.9689e-05 +*RES +1 *965:HI HI[282] 24.7345 +*END + +*D_NET *204 0.00165507 +*CONN +*P HI[283] O +*I *966:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[283] 0.000418492 +2 *966:HI 0.000418492 +3 HI[283] HI[287] 0.000144393 +4 HI[283] HI[294] 0.00021206 +5 HI[272] HI[283] 0.000111536 +6 HI[27] HI[283] 0.000350095 +*RES +1 *966:HI HI[283] 26.5893 +*END + +*D_NET *205 0.00184675 +*CONN +*P HI[284] O +*I *967:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[284] 0.00060072 +2 *967:HI 0.00060072 +3 HI[284] HI[28] 2.93844e-05 +4 HI[284] HI[321] 0.00024137 +5 HI[284] HI[327] 0.000127763 +6 HI[106] HI[284] 1.61631e-05 +7 HI[262] HI[284] 9.8207e-05 +8 *61:16 HI[284] 0.000132425 +*RES +1 *967:HI HI[284] 32.1561 +*END + +*D_NET *206 0.00114358 +*CONN +*P HI[285] O +*I *968:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[285] 0.000364681 +2 *968:HI 0.000364681 +3 HI[285] HI[332] 7.52867e-05 +4 HI[192] HI[285] 0.000290963 +5 HI[236] HI[285] 4.79648e-05 +*RES +1 *968:HI HI[285] 22.4312 +*END + +*D_NET *207 0.00139474 +*CONN +*P HI[286] O +*I *969:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[286] 0.000271865 +2 *969:HI 0.000271865 +3 HI[286] HI[30] 7.99188e-05 +4 HI[186] HI[286] 0.000160328 +5 HI[189] HI[286] 0.000110598 +6 HI[197] HI[286] 0.000387566 +7 HI[19] HI[286] 0.000112593 +*RES +1 *969:HI HI[286] 23.7703 +*END + +*D_NET *208 0.00171266 +*CONN +*P HI[287] O +*I *970:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[287] 0.000664903 +2 *970:HI 0.000664903 +3 HI[287] HI[290] 3.74027e-05 +4 HI[287] HI[294] 8.24148e-05 +5 HI[276] HI[287] 9.12416e-06 +6 HI[27] HI[287] 0.000109519 +7 HI[283] HI[287] 0.000144393 +*RES +1 *970:HI HI[287] 27.1972 +*END + +*D_NET *209 0.0015438 +*CONN +*P HI[288] O +*I *971:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[288] 0.00048826 +2 *971:HI 0.00048826 +3 HI[288] HI[327] 3.8037e-05 +4 HI[110] HI[288] 3.3239e-06 +5 HI[113] HI[288] 2.22159e-05 +6 HI[17] HI[288] 0.000121426 +7 HI[210] HI[288] 0.00024243 +8 HI[260] HI[288] 6.28701e-05 +9 HI[280] HI[288] 7.6978e-05 +*RES +1 *971:HI HI[288] 25.4216 +*END + +*D_NET *210 0.00181988 +*CONN +*P HI[289] O +*I *972:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[289] 0.000499993 +2 *972:HI 0.000499993 +3 HI[172] HI[289] 3.30775e-05 +4 HI[178] HI[289] 0.000140419 +5 HI[183] HI[289] 0.000222457 +6 HI[186] HI[289] 0 +7 HI[279] HI[289] 0.000423936 +*RES +1 *972:HI HI[289] 36.0224 +*END + +*D_NET *211 0.00240511 +*CONN +*P HI[28] O +*I *973:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[28] 0.000757537 +2 *973:HI 0.000757537 +3 HI[28] HI[291] 0 +4 HI[28] HI[2] 1.3069e-05 +5 HI[28] HI[327] 1.7658e-05 +6 HI[106] HI[28] 4.89898e-06 +7 HI[107] HI[28] 7.92757e-06 +8 HI[108] HI[28] 0.000525911 +9 HI[111] HI[28] 0.000221547 +10 HI[112] HI[28] 6.96396e-05 +11 HI[284] HI[28] 2.93844e-05 +*RES +1 *973:HI HI[28] 29.8841 +*END + +*D_NET *212 0.00194128 +*CONN +*P HI[290] O +*I *974:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[290] 0.000352903 +2 *974:HI 0.000352903 +3 HI[290] HI[294] 2.80451e-05 +4 HI[290] HI[298] 0.000576461 +5 HI[290] HI[300] 0.000366603 +6 HI[268] HI[290] 0.000136538 +7 HI[276] HI[290] 9.04224e-05 +8 HI[287] HI[290] 3.74027e-05 +*RES +1 *974:HI HI[290] 36.4082 +*END + +*D_NET *213 0.00272815 +*CONN +*P HI[291] O +*I *975:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[291] 0.0011251 +2 *975:HI 0.0011251 +3 HI[107] HI[291] 5.04829e-06 +4 HI[108] HI[291] 2.81717e-05 +5 HI[206] HI[291] 7.139e-05 +6 HI[214] HI[291] 0.000101775 +7 HI[222] HI[291] 0.000154145 +8 HI[277] HI[291] 0.000103022 +9 HI[28] HI[291] 0 +10 *69:11 HI[291] 1.43983e-05 +11 *122:10 HI[291] 0 +*RES +1 *975:HI HI[291] 34.8539 +*END + +*D_NET *214 0.00112165 +*CONN +*P HI[292] O +*I *976:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[292] 0.00047852 +2 *976:HI 0.00047852 +3 HI[198] HI[292] 8.00918e-05 +4 HI[202] HI[292] 8.45193e-05 +*RES +1 *976:HI HI[292] 26.3983 +*END + +*D_NET *215 0.000777181 +*CONN +*P HI[293] O +*I *977:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[293] 0.000279148 +2 *977:HI 0.000279148 +3 HI[293] HI[335] 0.00012676 +4 HI[293] HI[336] 8.70759e-05 +5 HI[196] HI[293] 5.04829e-06 +*RES +1 *977:HI HI[293] 21.9671 +*END + +*D_NET *216 0.00171435 +*CONN +*P HI[294] O +*I *978:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[294] 0.000539941 +2 *978:HI 0.000539941 +3 HI[294] HI[298] 3.30775e-05 +4 HI[294] HI[300] 0 +5 HI[294] HI[304] 0 +6 HI[268] HI[294] 0.00021206 +7 HI[276] HI[294] 6.68134e-05 +8 HI[283] HI[294] 0.00021206 +9 HI[287] HI[294] 8.24148e-05 +10 HI[290] HI[294] 2.80451e-05 +*RES +1 *978:HI HI[294] 29.2305 +*END + +*D_NET *217 0.00205194 +*CONN +*P HI[295] O +*I *979:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[295] 0.000511 +2 *979:HI 0.000511 +3 HI[295] HI[301] 0.000291112 +4 HI[295] HI[323] 0.000209001 +5 HI[233] HI[295] 0.000146731 +6 HI[265] HI[295] 0.00026179 +7 HI[275] HI[295] 0.000101642 +8 HI[281] HI[295] 1.96655e-05 +*RES +1 *979:HI HI[295] 29.5869 +*END + +*D_NET *218 0.00129265 +*CONN +*P HI[296] O +*I *980:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[296] 0.000561142 +2 *980:HI 0.000561142 +3 HI[178] HI[296] 7.08773e-05 +4 HI[183] HI[296] 3.93408e-05 +5 HI[279] HI[296] 6.01521e-05 +*RES +1 *980:HI HI[296] 27.5075 +*END + +*D_NET *219 0.0010616 +*CONN +*P HI[297] O +*I *981:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[297] 0.000346743 +2 *981:HI 0.000346743 +3 HI[18] HI[297] 1.46543e-05 +4 HI[210] HI[297] 0.00019419 +5 HI[260] HI[297] 0.000159264 +6 HI[280] HI[297] 0 +*RES +1 *981:HI HI[297] 21.7617 +*END + +*D_NET *220 0.00188984 +*CONN +*P HI[298] O +*I *982:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[298] 0.000478799 +2 *982:HI 0.000478799 +3 HI[298] HI[300] 7.3186e-05 +4 HI[298] HI[309] 3.82316e-05 +5 HI[268] HI[298] 0.000211289 +6 HI[290] HI[298] 0.000576461 +7 HI[294] HI[298] 3.30775e-05 +*RES +1 *982:HI HI[298] 36.577 +*END + +*D_NET *221 0.00145807 +*CONN +*P HI[299] O +*I *983:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[299] 0.000274816 +2 *983:HI 0.000274816 +3 HI[204] HI[299] 0.000112593 +4 HI[212] HI[299] 0.000344432 +5 HI[215] HI[299] 0.000112593 +6 HI[24] HI[299] 0.000338816 +*RES +1 *983:HI HI[299] 23.9485 +*END + +*D_NET *222 0.00129779 +*CONN +*P HI[29] O +*I *984:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[29] 0.000367354 +2 *984:HI 0.000367354 +3 HI[208] HI[29] 0.000208567 +4 HI[213] HI[29] 0.000291649 +5 HI[252] HI[29] 6.28701e-05 +*RES +1 *984:HI HI[29] 23.4086 +*END + +*D_NET *223 0.0034724 +*CONN +*P HI[2] O +*I *985:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[2] 0.0009632 +2 *985:HI 0.0009632 +3 HI[112] HI[2] 0.000130551 +4 HI[161] HI[2] 6.56365e-05 +5 HI[214] HI[2] 1.8739e-05 +6 HI[260] HI[2] 6.22259e-05 +7 HI[28] HI[2] 1.3069e-05 +8 *61:16 HI[2] 0.00125578 +*RES +1 *985:HI HI[2] 41.3997 +*END + +*D_NET *224 0.00229259 +*CONN +*P HI[300] O +*I *986:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[300] 0.000375972 +2 *986:HI 0.000375972 +3 HI[300] HI[304] 0.000932884 +4 HI[300] HI[311] 0.000122996 +5 HI[300] HI[313] 4.49807e-05 +6 HI[290] HI[300] 0.000366603 +7 HI[294] HI[300] 0 +8 HI[298] HI[300] 7.3186e-05 +*RES +1 *986:HI HI[300] 38.6266 +*END + +*D_NET *225 0.002184 +*CONN +*P HI[301] O +*I *987:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[301] 0.000658279 +2 *987:HI 0.000658279 +3 HI[301] HI[306] 3.56172e-05 +4 HI[301] HI[314] 0 +5 HI[301] HI[323] 1.95934e-05 +6 HI[173] HI[301] 6.28701e-05 +7 HI[233] HI[301] 0.000146731 +8 HI[275] HI[301] 0.00031152 +9 HI[295] HI[301] 0.000291112 +*RES +1 *987:HI HI[301] 29.0814 +*END + +*D_NET *226 0.00121634 +*CONN +*P HI[302] O +*I *988:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[302] 0.000398507 +2 *988:HI 0.000398507 +3 HI[181] HI[302] 0.0001126 +4 HI[213] HI[302] 0.000191628 +5 HI[219] HI[302] 0.000115099 +*RES +1 *988:HI HI[302] 22.9858 +*END + +*D_NET *227 0.00118648 +*CONN +*P HI[303] O +*I *989:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[303] 0.000435311 +2 *989:HI 0.000435311 +3 HI[188] HI[303] 8.78432e-05 +4 HI[243] HI[303] 0.000228017 +*RES +1 *989:HI HI[303] 25.8437 +*END + +*D_NET *228 0.00216018 +*CONN +*P HI[304] O +*I *990:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[304] 0.000575557 +2 *990:HI 0.000575557 +3 HI[304] HI[311] 4.89898e-06 +4 HI[304] HI[326] 2.85274e-05 +5 HI[304] HI[333] 0 +6 HI[268] HI[304] 4.27553e-05 +7 HI[294] HI[304] 0 +8 HI[300] HI[304] 0.000932884 +*RES +1 *990:HI HI[304] 38.6266 +*END + +*D_NET *229 0.00129698 +*CONN +*P HI[305] O +*I *991:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[305] 0.000406977 +2 *991:HI 0.000406977 +3 HI[305] HI[334] 8.77909e-05 +4 HI[257] HI[305] 0.000282634 +5 HI[266] HI[305] 0.0001126 +*RES +1 *991:HI HI[305] 23.9632 +*END + +*D_NET *230 0.00187865 +*CONN +*P HI[306] O +*I *992:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[306] 0.000339147 +2 *992:HI 0.000339147 +3 HI[306] HI[314] 0.000837851 +4 HI[184] HI[306] 0.00016768 +5 HI[194] HI[306] 7.77309e-06 +6 HI[281] HI[306] 0.000151436 +7 HI[301] HI[306] 3.56172e-05 +*RES +1 *992:HI HI[306] 36.4082 +*END + +*D_NET *231 0.00157816 +*CONN +*P HI[307] O +*I *993:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[307] 0.000358989 +2 *993:HI 0.000358989 +3 HI[307] HI[308] 0.00011818 +4 HI[307] HI[331] 4.30714e-05 +5 HI[307] HI[341] 0.000432613 +6 HI[175] HI[307] 0.000115588 +7 HI[20] HI[307] 6.49003e-05 +8 HI[225] HI[307] 3.9504e-05 +9 HI[249] HI[307] 4.63284e-05 +*RES +1 *993:HI HI[307] 35.299 +*END + +*D_NET *232 0.00191 +*CONN +*P HI[308] O +*I *994:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[308] 0.000482955 +2 *994:HI 0.000482955 +3 HI[308] HI[341] 0.000129801 +4 HI[175] HI[308] 3.41321e-05 +5 HI[20] HI[308] 0.000661974 +6 HI[307] HI[308] 0.00011818 +*RES +1 *994:HI HI[308] 39.1812 +*END + +*D_NET *233 0.00172198 +*CONN +*P HI[309] O +*I *995:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[309] 0.000476981 +2 *995:HI 0.000476981 +3 HI[309] HI[311] 2.41139e-05 +4 HI[309] HI[313] 0.00041098 +5 HI[309] HI[319] 4.52272e-05 +6 HI[268] HI[309] 0.000249467 +7 HI[298] HI[309] 3.82316e-05 +*RES +1 *995:HI HI[309] 26.9585 +*END + +*D_NET *234 0.00121582 +*CONN +*P HI[30] O +*I *996:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[30] 0.000553041 +2 *996:HI 0.000553041 +3 HI[186] HI[30] 0 +4 HI[189] HI[30] 2.98205e-05 +5 HI[286] HI[30] 7.99188e-05 +*RES +1 *996:HI HI[30] 27.5075 +*END + +*D_NET *235 0.000930647 +*CONN +*P HI[310] O +*I *997:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[310] 0.000282353 +2 *997:HI 0.000282353 +3 HI[200] HI[310] 0.000169352 +4 HI[230] HI[310] 0.000163512 +5 HI[241] HI[310] 3.30775e-05 +*RES +1 *997:HI HI[310] 31.031 +*END + +*D_NET *236 0.0018366 +*CONN +*P HI[311] O +*I *998:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[311] 0.000489203 +2 *998:HI 0.000489203 +3 HI[311] HI[315] 0.00018863 +4 HI[311] HI[326] 0.000419724 +5 HI[311] HI[333] 7.81399e-05 +6 HI[268] HI[311] 1.9689e-05 +7 HI[300] HI[311] 0.000122996 +8 HI[304] HI[311] 4.89898e-06 +9 HI[309] HI[311] 2.41139e-05 +*RES +1 *998:HI HI[311] 38.9758 +*END + +*D_NET *237 0.000945297 +*CONN +*P HI[312] O +*I *999:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[312] 0.000336035 +2 *999:HI 0.000336035 +3 HI[312] HI[316] 3.30775e-05 +4 HI[254] HI[312] 1.41976e-05 +5 HI[255] HI[312] 0.000225952 +*RES +1 *999:HI HI[312] 22.8634 +*END + +*D_NET *238 0.00191271 +*CONN +*P HI[313] O +*I *1000:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[313] 0.000539423 +2 *1000:HI 0.000539423 +3 HI[313] HI[315] 0.000258731 +4 HI[313] HI[319] 9.06935e-05 +5 HI[313] HI[326] 2.8477e-05 +6 HI[313] HI[32] 0 +7 HI[313] HI[333] 0 +8 HI[300] HI[313] 4.49807e-05 +9 HI[309] HI[313] 0.00041098 +*RES +1 *1000:HI HI[313] 28.9546 +*END + +*D_NET *239 0.00200817 +*CONN +*P HI[314] O +*I *1001:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[314] 0.000443981 +2 *1001:HI 0.000443981 +3 HI[314] HI[323] 2.95316e-05 +4 HI[173] HI[314] 0.000109519 +5 HI[194] HI[314] 0.000143306 +6 HI[301] HI[314] 0 +7 HI[306] HI[314] 0.000837851 +*RES +1 *1001:HI HI[314] 36.577 +*END + +*D_NET *240 0.00171558 +*CONN +*P HI[315] O +*I *1002:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[315] 0.000349927 +2 *1002:HI 0.000349927 +3 HI[315] HI[333] 2.24434e-05 +4 HI[315] HI[342] 7.6072e-05 +5 HI[167] HI[315] 0.000309518 +6 HI[170] HI[315] 0.000160328 +7 HI[311] HI[315] 0.00018863 +8 HI[313] HI[315] 0.000258731 +*RES +1 *1002:HI HI[315] 25.4341 +*END + +*D_NET *241 0.000796738 +*CONN +*P HI[316] O +*I *1003:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[316] 0.000255873 +2 *1003:HI 0.000255873 +3 HI[316] HI[325] 0.000172777 +4 HI[254] HI[316] 7.91375e-05 +5 HI[312] HI[316] 3.30775e-05 +*RES +1 *1003:HI HI[316] 30.8622 +*END + +*D_NET *242 0.000914616 +*CONN +*P HI[317] O +*I *1004:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[317] 0.000301827 +2 *1004:HI 0.000301827 +3 HI[317] HI[320] 7.52867e-05 +4 HI[235] HI[317] 3.30775e-05 +5 HI[240] HI[317] 2.98205e-05 +6 HI[246] HI[317] 0.000172777 +*RES +1 *1004:HI HI[317] 31.9714 +*END + +*D_NET *243 0.00104679 +*CONN +*P HI[318] O +*I *1005:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[318] 0.000305246 +2 *1005:HI 0.000305246 +3 HI[318] HI[338] 8.06861e-05 +4 HI[236] HI[318] 0.000292746 +5 HI[278] HI[318] 6.28701e-05 +*RES +1 *1005:HI HI[318] 22.6611 +*END + +*D_NET *244 0.00141653 +*CONN +*P HI[319] O +*I *1006:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[319] 0.000640306 +2 *1006:HI 0.000640306 +3 HI[309] HI[319] 4.52272e-05 +4 HI[313] HI[319] 9.06935e-05 +*RES +1 *1006:HI HI[319] 28.9659 +*END + +*D_NET *245 0.00748583 +*CONN +*P HI[31] O +*I *1007:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[31] 0.000618716 +2 *1007:HI 0.000339631 +3 *245:11 0.00190231 +4 *245:8 0.00162322 +5 HI[12] HI[31] 0.000189913 +6 HI[142] *245:8 9.75356e-05 +7 HI[143] *245:8 3.92275e-05 +8 HI[145] *245:8 0 +9 HI[149] *245:11 0 +10 HI[162] HI[31] 0.000168899 +11 HI[17] HI[31] 3.87462e-05 +12 HI[209] HI[31] 0.000145923 +13 HI[228] HI[31] 0 +14 HI[262] *245:11 0.00232171 +15 *181:10 *245:8 0 +*RES +1 *1007:HI *245:8 26.7252 +2 *245:8 *245:11 41.8272 +3 *245:11 HI[31] 19.0527 +*END + +*D_NET *246 0.00120474 +*CONN +*P HI[320] O +*I *1008:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[320] 0.000294492 +2 *1008:HI 0.000294492 +3 HI[320] HI[324] 0.0001126 +4 HI[240] HI[320] 0.000339838 +5 HI[246] HI[320] 8.80318e-05 +6 HI[317] HI[320] 7.52867e-05 +*RES +1 *1008:HI HI[320] 23.6309 +*END + +*D_NET *247 0.00174511 +*CONN +*P HI[321] O +*I *1009:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[321] 0.000441773 +2 *1009:HI 0.000441773 +3 HI[321] HI[327] 3.14978e-05 +4 HI[162] HI[321] 2.3329e-06 +5 HI[209] HI[321] 0.000217193 +6 HI[284] HI[321] 0.00024137 +7 *61:16 HI[321] 0.000369173 +*RES +1 *1009:HI HI[321] 29.5866 +*END + +*D_NET *248 0.00156203 +*CONN +*P HI[322] O +*I *1010:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[322] 0.000420846 +2 *1010:HI 0.000420846 +3 HI[322] HI[32] 3.85205e-05 +4 HI[322] HI[342] 0 +5 HI[167] HI[322] 0.000309518 +6 HI[170] HI[322] 8.88212e-05 +7 HI[180] HI[322] 1.9689e-05 +8 HI[187] HI[322] 0.000263786 +*RES +1 *1010:HI HI[322] 26.2646 +*END + +*D_NET *249 0.00192094 +*CONN +*P HI[323] O +*I *1011:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[323] 0.000503501 +2 *1011:HI 0.000503501 +3 HI[323] HI[337] 1.98885e-05 +4 HI[173] HI[323] 5.99867e-05 +5 HI[184] HI[323] 0.00036125 +6 HI[281] HI[323] 0.000214691 +7 HI[295] HI[323] 0.000209001 +8 HI[301] HI[323] 1.95934e-05 +9 HI[314] HI[323] 2.95316e-05 +*RES +1 *1011:HI HI[323] 28.483 +*END + +*D_NET *250 0.00153639 +*CONN +*P HI[324] O +*I *1012:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[324] 0.000432615 +2 *1012:HI 0.000432615 +3 HI[246] HI[324] 8.71967e-05 +4 HI[251] HI[324] 0.000209572 +5 HI[257] HI[324] 0.00026179 +6 HI[320] HI[324] 0.0001126 +*RES +1 *1012:HI HI[324] 27.3738 +*END + +*D_NET *251 0.00129216 +*CONN +*P HI[325] O +*I *1013:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[325] 0.000508088 +2 *1013:HI 0.000508088 +3 HI[254] HI[325] 1.9689e-05 +4 HI[258] HI[325] 8.35139e-05 +5 HI[316] HI[325] 0.000172777 +*RES +1 *1013:HI HI[325] 27.8567 +*END + +*D_NET *252 0.00211714 +*CONN +*P HI[326] O +*I *1014:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[326] 0.000329067 +2 *1014:HI 0.000329067 +3 HI[326] HI[32] 0.000744228 +4 HI[167] HI[326] 7.03668e-05 +5 HI[170] HI[326] 0.00016768 +6 HI[304] HI[326] 2.85274e-05 +7 HI[311] HI[326] 0.000419724 +8 HI[313] HI[326] 2.8477e-05 +*RES +1 *1014:HI HI[326] 36.9628 +*END + +*D_NET *253 0.0025489 +*CONN +*P HI[327] O +*I *1015:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[327] 0.000864976 +2 *1015:HI 0.000864976 +3 HI[106] HI[327] 0.000118663 +4 HI[107] HI[327] 5.66868e-06 +5 HI[109] HI[327] 5.01835e-05 +6 HI[110] HI[327] 0.000124472 +7 HI[111] HI[327] 6.47133e-05 +8 HI[113] HI[327] 4.21839e-05 +9 HI[155] HI[327] 7.09666e-06 +10 HI[158] HI[327] 1.83332e-05 +11 HI[280] HI[327] 0.000172676 +12 HI[284] HI[327] 0.000127763 +13 HI[288] HI[327] 3.8037e-05 +14 HI[28] HI[327] 1.7658e-05 +15 HI[321] HI[327] 3.14978e-05 +*RES +1 *1015:HI HI[327] 42.3317 +*END + +*D_NET *254 0.00134163 +*CONN +*P HI[328] O +*I *1016:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[328] 0.000515333 +2 *1016:HI 0.000515333 +3 HI[328] HI[33] 7.52867e-05 +4 HI[227] HI[328] 0.000172777 +5 HI[251] HI[328] 3.30775e-05 +6 HI[257] HI[328] 2.98205e-05 +*RES +1 *1016:HI HI[328] 36.9628 +*END + +*D_NET *255 0.000938382 +*CONN +*P HI[329] O +*I *1017:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[329] 0.000300428 +2 *1017:HI 0.000300428 +3 HI[168] HI[329] 0.000144833 +4 HI[179] HI[329] 0.000192692 +*RES +1 *1017:HI HI[329] 21.1366 +*END + +*D_NET *256 0.00204533 +*CONN +*P HI[32] O +*I *1018:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[32] 0.000543164 +2 *1018:HI 0.000543164 +3 HI[32] HI[333] 2.9518e-05 +4 HI[180] HI[32] 0.000146731 +5 HI[313] HI[32] 0 +6 HI[322] HI[32] 3.85205e-05 +7 HI[326] HI[32] 0.000744228 +*RES +1 *1018:HI HI[32] 39.1812 +*END + +*D_NET *257 0.00192174 +*CONN +*P HI[330] O +*I *1019:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[330] 0.000550577 +2 *1019:HI 0.000550577 +3 HI[145] HI[330] 0.000114595 +4 HI[185] HI[330] 1.9689e-05 +5 HI[222] HI[330] 5.48222e-05 +6 *61:10 HI[330] 0.000446941 +7 *122:10 HI[330] 1.72594e-05 +8 *181:10 HI[330] 0.000167279 +*RES +1 *1019:HI HI[330] 30.2749 +*END + +*D_NET *258 0.00192697 +*CONN +*P HI[331] O +*I *1020:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[331] 0.00069843 +2 *1020:HI 0.00069843 +3 HI[331] HI[341] 1.01938e-05 +4 HI[175] HI[331] 0.0001126 +5 HI[225] HI[331] 0.00010245 +6 HI[249] HI[331] 0.00026179 +7 HI[307] HI[331] 4.30714e-05 +*RES +1 *1020:HI HI[331] 28.4849 +*END + +*D_NET *259 0.00130172 +*CONN +*P HI[332] O +*I *1021:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[332] 0.000495381 +2 *1021:HI 0.000495381 +3 HI[176] HI[332] 2.98205e-05 +4 HI[217] HI[332] 3.30775e-05 +5 HI[236] HI[332] 0.000172777 +6 HI[285] HI[332] 7.52867e-05 +*RES +1 *1021:HI HI[332] 36.4082 +*END + +*D_NET *260 0.0016618 +*CONN +*P HI[333] O +*I *1022:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[333] 0.000600544 +2 *1022:HI 0.000600544 +3 HI[333] HI[342] 0.00033061 +4 HI[304] HI[333] 0 +5 HI[311] HI[333] 7.81399e-05 +6 HI[313] HI[333] 0 +7 HI[315] HI[333] 2.24434e-05 +8 HI[32] HI[333] 2.9518e-05 +*RES +1 *1022:HI HI[333] 29.1713 +*END + +*D_NET *261 0.00176568 +*CONN +*P HI[334] O +*I *1023:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[334] 0.000476699 +2 *1023:HI 0.000476699 +3 HI[334] HI[33] 0 +4 HI[164] HI[334] 0.0001126 +5 HI[227] HI[334] 1.33188e-05 +6 HI[257] HI[334] 0.0001126 +7 HI[266] HI[334] 0.000485969 +8 HI[305] HI[334] 8.77909e-05 +*RES +1 *1023:HI HI[334] 28.1213 +*END + +*D_NET *262 0.000921094 +*CONN +*P HI[335] O +*I *1024:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[335] 0.000300821 +2 *1024:HI 0.000300821 +3 HI[174] HI[335] 0.000192692 +4 HI[293] HI[335] 0.00012676 +*RES +1 *1024:HI HI[335] 21.1366 +*END + +*D_NET *263 0.00104203 +*CONN +*P HI[336] O +*I *1025:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[336] 0.000421799 +2 *1025:HI 0.000421799 +3 HI[196] HI[336] 0.000111357 +4 HI[293] HI[336] 8.70759e-05 +*RES +1 *1025:HI HI[336] 25.2891 +*END + +*D_NET *264 0.0014756 +*CONN +*P HI[337] O +*I *1026:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[337] 0.000645715 +2 *1026:HI 0.000645715 +3 HI[173] HI[337] 7.34339e-05 +4 HI[281] HI[337] 9.08473e-05 +5 HI[323] HI[337] 1.98885e-05 +*RES +1 *1026:HI HI[337] 29.7259 +*END + +*D_NET *265 0.00115175 +*CONN +*P HI[338] O +*I *1027:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[338] 0.000477156 +2 *1027:HI 0.000477156 +3 HI[278] HI[338] 0.00011675 +4 HI[318] HI[338] 8.06861e-05 +*RES +1 *1027:HI HI[338] 26.3983 +*END + +*D_NET *266 0.001243 +*CONN +*P HI[339] O +*I *1028:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[339] 0.000309801 +2 *1028:HI 0.000309801 +3 HI[165] HI[339] 6.28701e-05 +4 HI[190] HI[339] 6.28701e-05 +5 HI[263] HI[339] 0.000289093 +6 HI[267] HI[339] 0.000208567 +*RES +1 *1028:HI HI[339] 23.4086 +*END + +*D_NET *267 0.00125948 +*CONN +*P HI[33] O +*I *1029:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[33] 0.000539048 +2 *1029:HI 0.000539048 +3 HI[227] HI[33] 2.80451e-05 +4 HI[266] HI[33] 7.8048e-05 +5 HI[328] HI[33] 7.52867e-05 +6 HI[334] HI[33] 0 +*RES +1 *1029:HI HI[33] 27.5075 +*END + +*D_NET *268 0.00106883 +*CONN +*P HI[340] O +*I *1030:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[340] 0.000448233 +2 *1030:HI 0.000448233 +3 HI[163] HI[340] 8.45193e-05 +4 HI[193] HI[340] 8.78432e-05 +*RES +1 *1030:HI HI[340] 25.8437 +*END + +*D_NET *269 0.00161876 +*CONN +*P HI[341] O +*I *1031:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[341] 0.000421791 +2 *1031:HI 0.000421791 +3 HI[175] HI[341] 0 +4 HI[20] HI[341] 3.30775e-05 +5 HI[225] HI[341] 0.000132291 +6 HI[239] HI[341] 3.72076e-05 +7 HI[307] HI[341] 0.000432613 +8 HI[308] HI[341] 0.000129801 +9 HI[331] HI[341] 1.01938e-05 +*RES +1 *1031:HI HI[341] 35.299 +*END + +*D_NET *270 0.00162282 +*CONN +*P HI[342] O +*I *1032:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[342] 0.000568753 +2 *1032:HI 0.000568753 +3 HI[167] HI[342] 7.86286e-05 +4 HI[315] HI[342] 7.6072e-05 +5 HI[322] HI[342] 0 +6 HI[333] HI[342] 0.00033061 +*RES +1 *1032:HI HI[342] 29.5866 +*END + +*D_NET *271 0.00155285 +*CONN +*P HI[343] O +*I *1033:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[343] 0.00063677 +2 *1033:HI 0.00063677 +3 HI[343] HI[91] 6.50727e-05 +4 HI[343] HI[93] 6.49003e-05 +5 HI[343] HI[96] 3.14978e-05 +6 HI[343] HI[99] 3.14978e-05 +7 HI[343] HI[9] 8.63427e-05 +*RES +1 *1033:HI HI[343] 30.8408 +*END + +*D_NET *272 0.000787864 +*CONN +*P HI[344] O +*I *1034:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[344] 0.000275625 +2 *1034:HI 0.000275625 +3 HI[344] HI[345] 0.000143586 +4 HI[344] HI[346] 4.89469e-06 +5 HI[13] HI[344] 0 +6 HI[15] HI[344] 8.8134e-05 +*RES +1 *1034:HI HI[344] 22.8853 +*END + +*D_NET *273 0.00089858 +*CONN +*P HI[345] O +*I *1035:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[345] 0.000310597 +2 *1035:HI 0.000310597 +3 HI[345] HI[346] 0.000133801 +4 HI[344] HI[345] 0.000143586 +*RES +1 *1035:HI HI[345] 21.8694 +*END + +*D_NET *274 0.000891656 +*CONN +*P HI[346] O +*I *1036:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[346] 0.000238994 +2 *1036:HI 0.000238994 +3 HI[346] HI[347] 0.000267624 +4 HI[346] HI[348] 7.34948e-06 +5 HI[344] HI[346] 4.89469e-06 +6 HI[345] HI[346] 0.000133801 +*RES +1 *1036:HI HI[346] 22.6999 +*END + +*D_NET *275 0.000904907 +*CONN +*P HI[347] O +*I *1037:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[347] 0.000255905 +2 *1037:HI 0.000255905 +3 HI[347] HI[348] 0.000125473 +4 HI[347] HI[349] 0 +5 HI[347] HI[34] 0 +6 HI[346] HI[347] 0.000267624 +*RES +1 *1037:HI HI[347] 22.937 +*END + +*D_NET *276 0.00122695 +*CONN +*P HI[348] O +*I *1038:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[348] 0.000421002 +2 *1038:HI 0.000421002 +3 HI[348] HI[349] 0.000252127 +4 HI[346] HI[348] 7.34948e-06 +5 HI[347] HI[348] 0.000125473 +*RES +1 *1038:HI HI[348] 23.355 +*END + +*D_NET *277 0.00124097 +*CONN +*P HI[349] O +*I *1039:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[349] 0.000251231 +2 *1039:HI 0.000251231 +3 HI[349] HI[34] 0.000368218 +4 HI[349] HI[350] 0.000118166 +5 HI[347] HI[349] 0 +6 HI[348] HI[349] 0.000252127 +*RES +1 *1039:HI HI[349] 23.9629 +*END + +*D_NET *278 0.00115574 +*CONN +*P HI[34] O +*I *1040:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[34] 0.000283157 +2 *1040:HI 0.000283157 +3 HI[34] HI[350] 0.000103041 +4 HI[34] HI[351] 0.000118166 +5 HI[347] HI[34] 0 +6 HI[349] HI[34] 0.000368218 +*RES +1 *1040:HI HI[34] 24.5347 +*END + +*D_NET *279 0.00137341 +*CONN +*P HI[350] O +*I *1041:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[350] 0.000396929 +2 *1041:HI 0.000396929 +3 HI[350] HI[351] 0.000358342 +4 HI[349] HI[350] 0.000118166 +5 HI[34] HI[350] 0.000103041 +*RES +1 *1041:HI HI[350] 23.5332 +*END + +*D_NET *280 0.00138528 +*CONN +*P HI[351] O +*I *1042:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[351] 0.000212861 +2 *1042:HI 0.000212861 +3 HI[351] HI[352] 0.000364886 +4 HI[351] HI[353] 0.000118166 +5 HI[34] HI[351] 0.000118166 +6 HI[350] HI[351] 0.000358342 +*RES +1 *1042:HI HI[351] 23.9485 +*END + +*D_NET *281 0.00121641 +*CONN +*P HI[352] O +*I *1043:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[352] 0.000263658 +2 *1043:HI 0.000263658 +3 HI[352] HI[353] 0.000152917 +4 HI[352] HI[354] 0.000171288 +5 HI[351] HI[352] 0.000364886 +*RES +1 *1043:HI HI[352] 24.3637 +*END + +*D_NET *282 0.00128863 +*CONN +*P HI[353] O +*I *1044:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[353] 0.000401126 +2 *1044:HI 0.000401126 +3 HI[353] HI[354] 9.29146e-05 +4 HI[353] HI[355] 0.000122378 +5 HI[351] HI[353] 0.000118166 +6 HI[352] HI[353] 0.000152917 +*RES +1 *1044:HI HI[353] 23.5332 +*END + +*D_NET *283 0.00108992 +*CONN +*P HI[354] O +*I *1045:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[354] 0.000305115 +2 *1045:HI 0.000305115 +3 HI[354] HI[355] 0.000215488 +4 HI[352] HI[354] 0.000171288 +5 HI[353] HI[354] 9.29146e-05 +*RES +1 *1045:HI HI[354] 24.6008 +*END + +*D_NET *284 0.00123873 +*CONN +*P HI[355] O +*I *1046:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[355] 0.000214275 +2 *1046:HI 0.000214275 +3 HI[355] HI[356] 0.00035413 +4 HI[355] HI[357] 0.00011818 +5 HI[353] HI[355] 0.000122378 +6 HI[354] HI[355] 0.000215488 +*RES +1 *1046:HI HI[355] 23.9485 +*END + +*D_NET *285 0.0014889 +*CONN +*P HI[356] O +*I *1047:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[356] 0.000436152 +2 *1047:HI 0.000436152 +3 HI[356] HI[357] 9.98845e-05 +4 HI[356] HI[358] 0.000162583 +5 HI[355] HI[356] 0.00035413 +*RES +1 *1047:HI HI[356] 24.0878 +*END + +*D_NET *286 0.00130895 +*CONN +*P HI[357] O +*I *1048:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[357] 0.000311477 +2 *1048:HI 0.000311477 +3 HI[357] HI[358] 0.000402858 +4 HI[357] HI[35] 6.50727e-05 +5 HI[355] HI[357] 0.00011818 +6 HI[356] HI[357] 9.98845e-05 +*RES +1 *1048:HI HI[357] 25.0893 +*END + +*D_NET *287 0.00149106 +*CONN +*P HI[358] O +*I *1049:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[358] 0.000247698 +2 *1049:HI 0.000247698 +3 HI[358] HI[359] 0.000324203 +4 HI[358] HI[35] 4.09471e-05 +5 HI[358] HI[360] 6.50727e-05 +6 HI[356] HI[358] 0.000162583 +7 HI[357] HI[358] 0.000402858 +*RES +1 *1049:HI HI[358] 24.5031 +*END + +*D_NET *288 0.0014223 +*CONN +*P HI[359] O +*I *1050:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[359] 0.000413102 +2 *1050:HI 0.000413102 +3 HI[359] HI[35] 0.000165873 +4 HI[359] HI[360] 4.09471e-05 +5 HI[359] HI[361] 6.50727e-05 +6 HI[358] HI[359] 0.000324203 +*RES +1 *1050:HI HI[359] 24.266 +*END + +*D_NET *289 0.00134096 +*CONN +*P HI[35] O +*I *1051:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[35] 0.000294723 +2 *1051:HI 0.000294723 +3 HI[35] HI[360] 0.000479624 +4 HI[357] HI[35] 6.50727e-05 +5 HI[358] HI[35] 4.09471e-05 +6 HI[359] HI[35] 0.000165873 +*RES +1 *1051:HI HI[35] 25.1554 +*END + +*D_NET *290 0.00152152 +*CONN +*P HI[360] O +*I *1052:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[360] 0.000200967 +2 *1052:HI 0.000200967 +3 HI[360] HI[361] 0.000468868 +4 HI[360] HI[363] 6.50727e-05 +5 HI[358] HI[360] 6.50727e-05 +6 HI[359] HI[360] 4.09471e-05 +7 HI[35] HI[360] 0.000479624 +*RES +1 *1052:HI HI[360] 24.7401 +*END + +*D_NET *291 0.00149421 +*CONN +*P HI[361] O +*I *1053:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[361] 0.000198067 +2 *1053:HI 0.000198067 +3 HI[361] HI[362] 0.000458112 +4 HI[361] HI[363] 4.09471e-05 +5 HI[361] HI[364] 6.50727e-05 +6 HI[359] HI[361] 6.50727e-05 +7 HI[360] HI[361] 0.000468868 +*RES +1 *1053:HI HI[361] 24.3249 +*END + +*D_NET *292 0.00149972 +*CONN +*P HI[362] O +*I *1054:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[362] 0.000426249 +2 *1054:HI 0.000426249 +3 HI[362] HI[363] 8.30857e-05 +4 HI[362] HI[364] 4.09471e-05 +5 HI[362] HI[365] 6.50727e-05 +6 HI[361] HI[362] 0.000458112 +*RES +1 *1054:HI HI[362] 23.9096 +*END + +*D_NET *293 0.0012896 +*CONN +*P HI[363] O +*I *1055:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[363] 0.000310437 +2 *1055:HI 0.000310437 +3 HI[363] HI[364] 0.000479624 +4 HI[360] HI[363] 6.50727e-05 +5 HI[361] HI[363] 4.09471e-05 +6 HI[362] HI[363] 8.30857e-05 +*RES +1 *1055:HI HI[363] 25.1554 +*END + +*D_NET *294 0.00155137 +*CONN +*P HI[364] O +*I *1056:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[364] 0.000218652 +2 *1056:HI 0.000218652 +3 HI[364] HI[365] 0.00052842 +4 HI[361] HI[364] 6.50727e-05 +5 HI[362] HI[364] 4.09471e-05 +6 HI[363] HI[364] 0.000479624 +*RES +1 *1056:HI HI[364] 25.2947 +*END + +*D_NET *295 0.00162685 +*CONN +*P HI[365] O +*I *1057:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[365] 0.000205207 +2 *1057:HI 0.000205207 +3 HI[365] HI[366] 0.00051122 +4 HI[365] HI[367] 0.000111722 +5 HI[362] HI[365] 6.50727e-05 +6 HI[364] HI[365] 0.00052842 +*RES +1 *1057:HI HI[365] 24.8795 +*END + +*D_NET *296 0.00164058 +*CONN +*P HI[366] O +*I *1058:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[366] 0.000455649 +2 *1058:HI 0.000455649 +3 HI[366] HI[367] 9.98845e-05 +4 HI[366] HI[369] 0.00011818 +5 HI[365] HI[366] 0.00051122 +*RES +1 *1058:HI HI[366] 24.4642 +*END + +*D_NET *297 0.00158587 +*CONN +*P HI[367] O +*I *1059:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[367] 0.00029844 +2 *1059:HI 0.00029844 +3 HI[367] HI[368] 0.000495689 +4 HI[367] HI[369] 0.0002817 +5 HI[365] HI[367] 0.000111722 +6 HI[366] HI[367] 9.98845e-05 +*RES +1 *1059:HI HI[367] 25.6583 +*END + +*D_NET *298 0.00139569 +*CONN +*P HI[368] O +*I *1060:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[368] 0.000334271 +2 *1060:HI 0.000334271 +3 HI[368] HI[369] 0.000109083 +4 HI[368] HI[370] 0.000122378 +5 HI[367] HI[368] 0.000495689 +*RES +1 *1060:HI HI[368] 26.0592 +*END + +*D_NET *299 0.00165956 +*CONN +*P HI[369] O +*I *1061:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[369] 0.00017982 +2 *1061:HI 0.00017982 +3 HI[369] HI[36] 0.000513452 +4 HI[369] HI[370] 0.000277502 +5 HI[366] HI[369] 0.00011818 +6 HI[367] HI[369] 0.0002817 +7 HI[368] HI[369] 0.000109083 +*RES +1 *1061:HI HI[369] 24.8795 +*END + +*D_NET *300 0.00164058 +*CONN +*P HI[36] O +*I *1062:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[36] 0.000455656 +2 *1062:HI 0.000455656 +3 HI[36] HI[370] 9.98845e-05 +4 HI[36] HI[371] 0.000115934 +5 HI[369] HI[36] 0.000513452 +*RES +1 *1062:HI HI[36] 24.4642 +*END + +*D_NET *301 0.00155534 +*CONN +*P HI[370] O +*I *1063:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[370] 0.000236419 +2 *1063:HI 0.000236419 +3 HI[370] HI[371] 0.000358342 +4 HI[370] HI[372] 0.000224395 +5 HI[368] HI[370] 0.000122378 +6 HI[369] HI[370] 0.000277502 +7 HI[36] HI[370] 9.98845e-05 +*RES +1 *1063:HI HI[370] 25.2947 +*END + +*D_NET *302 0.00153618 +*CONN +*P HI[371] O +*I *1064:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[371] 0.000274988 +2 *1064:HI 0.000274988 +3 HI[371] HI[372] 0.000118488 +4 HI[371] HI[373] 0.000275256 +5 HI[371] HI[374] 0.00011818 +6 HI[36] HI[371] 0.000115934 +7 HI[370] HI[371] 0.000358342 +*RES +1 *1064:HI HI[371] 25.4141 +*END + +*D_NET *303 0.00147123 +*CONN +*P HI[372] O +*I *1065:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[372] 0.000353649 +2 *1065:HI 0.000353649 +3 HI[372] HI[373] 8.62417e-05 +4 HI[372] HI[374] 0.000334808 +5 HI[370] HI[372] 0.000224395 +6 HI[371] HI[372] 0.000118488 +*RES +1 *1065:HI HI[372] 26.6138 +*END + +*D_NET *304 0.00175236 +*CONN +*P HI[373] O +*I *1066:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[373] 0.000483405 +2 *1066:HI 0.000483405 +3 HI[373] HI[374] 9.98845e-05 +4 HI[373] HI[375] 0.000324166 +5 HI[371] HI[373] 0.000275256 +6 HI[372] HI[373] 8.62417e-05 +*RES +1 *1066:HI HI[373] 25.0188 +*END + +*D_NET *305 0.00173721 +*CONN +*P HI[374] O +*I *1067:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[374] 0.000218985 +2 *1067:HI 0.000218985 +3 HI[374] HI[375] 0.000575083 +4 HI[374] HI[376] 0.000171288 +5 HI[371] HI[374] 0.00011818 +6 HI[372] HI[374] 0.000334808 +7 HI[373] HI[374] 9.98845e-05 +*RES +1 *1067:HI HI[374] 25.8493 +*END + +*D_NET *306 0.00167232 +*CONN +*P HI[375] O +*I *1068:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[375] 0.00023499 +2 *1068:HI 0.00023499 +3 HI[375] HI[376] 0.000144736 +4 HI[375] HI[378] 0.000158357 +5 HI[373] HI[375] 0.000324166 +6 HI[374] HI[375] 0.000575083 +*RES +1 *1068:HI HI[375] 25.4341 +*END + +*D_NET *307 0.00142692 +*CONN +*P HI[376] O +*I *1069:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[376] 0.000457059 +2 *1069:HI 0.000457059 +3 HI[376] HI[377] 6.87802e-05 +4 HI[376] HI[378] 9.82896e-06 +5 HI[376] HI[379] 0.000118166 +6 HI[374] HI[376] 0.000171288 +7 HI[375] HI[376] 0.000144736 +*RES +1 *1069:HI HI[376] 26.6282 +*END + +*D_NET *308 0.00164176 +*CONN +*P HI[377] O +*I *1070:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[377] 0.00061115 +2 *1070:HI 0.00061115 +3 HI[377] HI[378] 6.55368e-05 +4 HI[377] HI[379] 6.50727e-05 +5 HI[377] HI[37] 0.000124044 +6 HI[377] HI[380] 9.60216e-05 +7 HI[377] HI[382] 0 +8 HI[376] HI[377] 6.87802e-05 +*RES +1 *1070:HI HI[377] 35.6044 +*END + +*D_NET *309 0.00166551 +*CONN +*P HI[378] O +*I *1071:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[378] 0.00054339 +2 *1071:HI 0.00054339 +3 HI[378] HI[379] 0.000173725 +4 HI[378] HI[380] 0.000171288 +5 HI[375] HI[378] 0.000158357 +6 HI[376] HI[378] 9.82896e-06 +7 HI[377] HI[378] 6.55368e-05 +*RES +1 *1071:HI HI[378] 25.0188 +*END + +*D_NET *310 0.0016074 +*CONN +*P HI[379] O +*I *1072:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[379] 0.000275489 +2 *1072:HI 0.000275489 +3 HI[379] HI[37] 0.000364646 +4 HI[379] HI[380] 0.000334808 +5 HI[376] HI[379] 0.000118166 +6 HI[377] HI[379] 6.50727e-05 +7 HI[378] HI[379] 0.000173725 +*RES +1 *1072:HI HI[379] 26.0275 +*END + +*D_NET *311 0.00149217 +*CONN +*P HI[37] O +*I *1073:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[37] 0.000402378 +2 *1073:HI 0.000402378 +3 HI[37] HI[380] 8.05602e-05 +4 HI[37] HI[382] 0.000118166 +5 HI[377] HI[37] 0.000124044 +6 HI[379] HI[37] 0.000364646 +*RES +1 *1073:HI HI[37] 27.7765 +*END + +*D_NET *312 0.0019309 +*CONN +*P HI[380] O +*I *1074:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[380] 0.000180941 +2 *1074:HI 0.000180941 +3 HI[380] HI[381] 0.000562171 +4 HI[380] HI[382] 0.000324166 +5 HI[377] HI[380] 9.60216e-05 +6 HI[378] HI[380] 0.000171288 +7 HI[379] HI[380] 0.000334808 +8 HI[37] HI[380] 8.05602e-05 +*RES +1 *1074:HI HI[380] 25.9887 +*END + +*D_NET *313 0.00183656 +*CONN +*P HI[381] O +*I *1075:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[381] 0.000526586 +2 *1075:HI 0.000526586 +3 HI[381] HI[382] 0.000103041 +4 HI[381] HI[384] 0.00011818 +5 HI[380] HI[381] 0.000562171 +*RES +1 *1075:HI HI[381] 25.9226 +*END + +*D_NET *314 0.00188952 +*CONN +*P HI[382] O +*I *1076:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[382] 0.000236728 +2 *1076:HI 0.000236728 +3 HI[382] HI[383] 0.000588995 +4 HI[382] HI[384] 0.0002817 +5 HI[377] HI[382] 0 +6 HI[37] HI[382] 0.000118166 +7 HI[380] HI[382] 0.000324166 +8 HI[381] HI[382] 0.000103041 +*RES +1 *1076:HI HI[382] 26.7531 +*END + +*D_NET *315 0.0015522 +*CONN +*P HI[383] O +*I *1077:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[383] 0.000374769 +2 *1077:HI 0.000374769 +3 HI[383] HI[384] 9.77287e-05 +4 HI[383] HI[386] 0.000115934 +5 HI[382] HI[383] 0.000588995 +*RES +1 *1077:HI HI[383] 26.9974 +*END + +*D_NET *316 0.00180118 +*CONN +*P HI[384] O +*I *1078:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[384] 0.000291312 +2 *1078:HI 0.000291312 +3 HI[384] HI[385] 0.000456349 +4 HI[384] HI[386] 0.0002646 +5 HI[381] HI[384] 0.00011818 +6 HI[382] HI[384] 0.0002817 +7 HI[383] HI[384] 9.77287e-05 +*RES +1 *1078:HI HI[384] 26.3451 +*END + +*D_NET *317 0.0016994 +*CONN +*P HI[385] O +*I *1079:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[385] 0.000545884 +2 *1079:HI 0.000545884 +3 HI[385] HI[386] 0.000151285 +4 HI[384] HI[385] 0.000456349 +*RES +1 *1079:HI HI[385] 25.937 +*END + +*D_NET *318 0.00176563 +*CONN +*P HI[386] O +*I *1080:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[386] 0.000300322 +2 *1080:HI 0.000300322 +3 HI[386] HI[387] 0.000601665 +4 HI[386] HI[38] 3.14978e-05 +5 HI[383] HI[386] 0.000115934 +6 HI[384] HI[386] 0.0002646 +7 HI[385] HI[386] 0.000151285 +*RES +1 *1080:HI HI[386] 26.7603 +*END + +*D_NET *319 0.00186059 +*CONN +*P HI[387] O +*I *1081:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[387] 0.000285429 +2 *1081:HI 0.000285429 +3 HI[387] HI[388] 0.000632616 +4 HI[387] HI[38] 2.39581e-05 +5 HI[387] HI[390] 3.14978e-05 +6 HI[386] HI[387] 0.000601665 +*RES +1 *1081:HI HI[387] 27.0046 +*END + +*D_NET *320 0.00165182 +*CONN +*P HI[388] O +*I *1082:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[388] 0.00043171 +2 *1082:HI 0.00043171 +3 HI[388] HI[389] 0.000100332 +4 HI[388] HI[390] 2.39581e-05 +5 HI[388] HI[391] 3.14978e-05 +6 HI[387] HI[388] 0.000632616 +*RES +1 *1082:HI HI[388] 27.8132 +*END + +*D_NET *321 0.000962293 +*CONN +*P HI[389] O +*I *1083:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[389] 0.000389438 +2 *1083:HI 0.000389438 +3 HI[389] HI[38] 8.30857e-05 +4 HI[389] HI[390] 0 +5 HI[389] HI[391] 0 +6 HI[388] HI[389] 0.000100332 +*RES +1 *1083:HI HI[389] 24.7345 +*END + +*D_NET *322 0.00156824 +*CONN +*P HI[38] O +*I *1084:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[38] 0.000461337 +2 *1084:HI 0.000461337 +3 HI[38] HI[390] 0.000507022 +4 HI[386] HI[38] 3.14978e-05 +5 HI[387] HI[38] 2.39581e-05 +6 HI[389] HI[38] 8.30857e-05 +*RES +1 *1084:HI HI[38] 24.4642 +*END + +*D_NET *323 0.00158465 +*CONN +*P HI[390] O +*I *1085:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[390] 0.00019101 +2 *1085:HI 0.00019101 +3 HI[390] HI[391] 0.000517778 +4 HI[390] HI[393] 0.000122378 +5 HI[387] HI[390] 3.14978e-05 +6 HI[388] HI[390] 2.39581e-05 +7 HI[389] HI[390] 0 +8 HI[38] HI[390] 0.000507022 +*RES +1 *1085:HI HI[390] 24.8795 +*END + +*D_NET *324 0.00162366 +*CONN +*P HI[391] O +*I *1086:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[391] 0.000203335 +2 *1086:HI 0.000203335 +3 HI[391] HI[392] 0.000528533 +4 HI[391] HI[393] 1.67988e-05 +5 HI[391] HI[394] 0.000122378 +6 HI[388] HI[391] 3.14978e-05 +7 HI[389] HI[391] 0 +8 HI[390] HI[391] 0.000517778 +*RES +1 *1086:HI HI[391] 25.2947 +*END + +*D_NET *325 0.00140095 +*CONN +*P HI[392] O +*I *1087:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[392] 0.000325076 +2 *1087:HI 0.000325076 +3 HI[392] HI[393] 8.30857e-05 +4 HI[392] HI[394] 1.67988e-05 +5 HI[392] HI[395] 0.000122378 +6 HI[391] HI[392] 0.000528533 +*RES +1 *1087:HI HI[392] 25.71 +*END + +*D_NET *326 0.00163877 +*CONN +*P HI[393] O +*I *1088:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[393] 0.000454743 +2 *1088:HI 0.000454743 +3 HI[393] HI[394] 0.000507022 +4 HI[390] HI[393] 0.000122378 +5 HI[391] HI[393] 1.67988e-05 +6 HI[392] HI[393] 8.30857e-05 +*RES +1 *1088:HI HI[393] 24.4642 +*END + +*D_NET *327 0.00166001 +*CONN +*P HI[394] O +*I *1089:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[394] 0.000186828 +2 *1089:HI 0.000186828 +3 HI[394] HI[395] 0.000517778 +4 HI[394] HI[397] 0.000122378 +5 HI[391] HI[394] 0.000122378 +6 HI[392] HI[394] 1.67988e-05 +7 HI[393] HI[394] 0.000507022 +*RES +1 *1089:HI HI[394] 24.8795 +*END + +*D_NET *328 0.00164977 +*CONN +*P HI[395] O +*I *1090:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[395] 0.000218322 +2 *1090:HI 0.000218322 +3 HI[395] HI[396] 0.000515631 +4 HI[395] HI[397] 5.73392e-05 +5 HI[392] HI[395] 0.000122378 +6 HI[394] HI[395] 0.000517778 +*RES +1 *1090:HI HI[395] 25.2947 +*END + +*D_NET *329 0.00133971 +*CONN +*P HI[396] O +*I *1091:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[396] 0.000349302 +2 *1091:HI 0.000349302 +3 HI[396] HI[397] 0.000125473 +4 HI[395] HI[396] 0.000515631 +*RES +1 *1091:HI HI[396] 25.71 +*END + +*D_NET *330 0.00146765 +*CONN +*P HI[397] O +*I *1092:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[397] 0.000490979 +2 *1092:HI 0.000490979 +3 HI[397] HI[398] 0.000180502 +4 HI[394] HI[397] 0.000122378 +5 HI[395] HI[397] 5.73392e-05 +6 HI[396] HI[397] 0.000125473 +*RES +1 *1092:HI HI[397] 24.4642 +*END + +*D_NET *331 0.0014564 +*CONN +*P HI[398] O +*I *1093:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[398] 0.000291171 +2 *1093:HI 0.000291171 +3 HI[398] HI[399] 0.000528729 +4 HI[398] HI[39] 0.000164829 +5 HI[397] HI[398] 0.000180502 +*RES +1 *1093:HI HI[398] 25.8193 +*END + +*D_NET *332 0.00157172 +*CONN +*P HI[399] O +*I *1094:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[399] 0.000250573 +2 *1094:HI 0.000250573 +3 HI[399] HI[39] 0.000133345 +4 HI[399] HI[3] 0.000286126 +5 HI[399] HI[400] 0.000122378 +6 HI[398] HI[399] 0.000528729 +*RES +1 *1094:HI HI[399] 26.2201 +*END + +*D_NET *333 0.00165038 +*CONN +*P HI[39] O +*I *1095:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[39] 0.00044201 +2 *1095:HI 0.00044201 +3 HI[39] HI[3] 0.000192928 +4 HI[39] HI[400] 0.000275256 +5 HI[398] HI[39] 0.000164829 +6 HI[399] HI[39] 0.000133345 +*RES +1 *1095:HI HI[39] 25.3824 +*END + +*D_NET *334 0.00155451 +*CONN +*P HI[3] O +*I *1096:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[3] 0.000326677 +2 *1096:HI 0.000326677 +3 HI[3] HI[400] 0.000140405 +4 HI[3] HI[401] 0.0002817 +5 HI[399] HI[3] 0.000286126 +6 HI[39] HI[3] 0.000192928 +*RES +1 *1096:HI HI[3] 26.6138 +*END + +*D_NET *335 0.00171094 +*CONN +*P HI[400] O +*I *1097:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[400] 0.000245147 +2 *1097:HI 0.000245147 +3 HI[400] HI[401] 0.000517778 +4 HI[400] HI[402] 0.000164829 +5 HI[399] HI[400] 0.000122378 +6 HI[39] HI[400] 0.000275256 +7 HI[3] HI[400] 0.000140405 +*RES +1 *1097:HI HI[400] 25.6123 +*END + +*D_NET *336 0.00177462 +*CONN +*P HI[401] O +*I *1098:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[401] 0.000243076 +2 *1098:HI 0.000243076 +3 HI[401] HI[402] 8.91055e-05 +4 HI[401] HI[403] 0.000277502 +5 HI[401] HI[404] 0.000122378 +6 HI[3] HI[401] 0.0002817 +7 HI[400] HI[401] 0.000517778 +*RES +1 *1098:HI HI[401] 26.1985 +*END + +*D_NET *337 0.00166617 +*CONN +*P HI[402] O +*I *1099:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[402] 0.000507221 +2 *1099:HI 0.000507221 +3 HI[402] HI[403] 0.000122537 +4 HI[402] HI[404] 0.000275256 +5 HI[400] HI[402] 0.000164829 +6 HI[401] HI[402] 8.91055e-05 +*RES +1 *1099:HI HI[402] 25.3824 +*END + +*D_NET *338 0.00149233 +*CONN +*P HI[403] O +*I *1100:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[403] 0.000344733 +2 *1100:HI 0.000344733 +3 HI[403] HI[404] 0.000125335 +4 HI[403] HI[405] 0.000277488 +5 HI[401] HI[403] 0.000277502 +6 HI[402] HI[403] 0.000122537 +*RES +1 *1100:HI HI[403] 26.6138 +*END + +*D_NET *339 0.00175344 +*CONN +*P HI[404] O +*I *1101:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[404] 0.000220826 +2 *1101:HI 0.000220826 +3 HI[404] HI[405] 0.000517778 +4 HI[404] HI[406] 0.000271044 +5 HI[401] HI[404] 0.000122378 +6 HI[402] HI[404] 0.000275256 +7 HI[403] HI[404] 0.000125335 +*RES +1 *1101:HI HI[404] 25.6123 +*END + +*D_NET *340 0.0017738 +*CONN +*P HI[405] O +*I *1102:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[405] 0.000274317 +2 *1102:HI 0.000274317 +3 HI[405] HI[406] 0.000138481 +4 HI[405] HI[407] 0.000222149 +5 HI[405] HI[409] 6.92705e-05 +6 HI[403] HI[405] 0.000277488 +7 HI[404] HI[405] 0.000517778 +*RES +1 *1102:HI HI[405] 26.7531 +*END + +*D_NET *341 0.00179242 +*CONN +*P HI[406] O +*I *1103:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[406] 0.000539349 +2 *1103:HI 0.000539349 +3 HI[406] HI[407] 8.62417e-05 +4 HI[406] HI[409] 0.000217951 +5 HI[404] HI[406] 0.000271044 +6 HI[405] HI[406] 0.000138481 +*RES +1 *1103:HI HI[406] 25.9226 +*END + +*D_NET *342 0.00178788 +*CONN +*P HI[407] O +*I *1104:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[407] 0.000310339 +2 *1104:HI 0.000310339 +3 HI[407] HI[408] 0.000589271 +4 HI[407] HI[409] 4.09471e-05 +5 HI[407] HI[40] 0.000228593 +6 HI[405] HI[407] 0.000222149 +7 HI[406] HI[407] 8.62417e-05 +*RES +1 *1104:HI HI[407] 27.0118 +*END + +*D_NET *343 0.00164812 +*CONN +*P HI[408] O +*I *1105:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[408] 0.000449502 +2 *1105:HI 0.000449502 +3 HI[408] HI[409] 8.73973e-05 +4 HI[408] HI[40] 7.24449e-05 +5 HI[407] HI[408] 0.000589271 +*RES +1 *1105:HI HI[408] 28.1623 +*END + +*D_NET *344 0.00183471 +*CONN +*P HI[409] O +*I *1106:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[409] 0.000212168 +2 *1106:HI 0.000212168 +3 HI[409] HI[40] 0.000619781 +4 HI[409] HI[410] 0.000375027 +5 HI[405] HI[409] 6.92705e-05 +6 HI[406] HI[409] 0.000217951 +7 HI[407] HI[409] 4.09471e-05 +8 HI[408] HI[409] 8.73973e-05 +*RES +1 *1106:HI HI[409] 25.9887 +*END + +*D_NET *345 0.00175288 +*CONN +*P HI[40] O +*I *1107:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[40] 0.000235493 +2 *1107:HI 0.000235493 +3 HI[40] HI[410] 0.000145372 +4 HI[40] HI[411] 0.000215704 +5 HI[407] HI[40] 0.000228593 +6 HI[408] HI[40] 7.24449e-05 +7 HI[409] HI[40] 0.000619781 +*RES +1 *1107:HI HI[40] 26.4039 +*END + +*D_NET *346 0.00176311 +*CONN +*P HI[410] O +*I *1108:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[410] 0.000530288 +2 *1108:HI 0.000530288 +3 HI[410] HI[411] 0.000117063 +4 HI[410] HI[414] 6.50727e-05 +5 HI[409] HI[410] 0.000375027 +6 HI[40] HI[410] 0.000145372 +*RES +1 *1108:HI HI[410] 25.5734 +*END + +*D_NET *347 0.00172439 +*CONN +*P HI[411] O +*I *1109:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[411] 0.000362497 +2 *1109:HI 0.000362497 +3 HI[411] HI[412] 0.000438031 +4 HI[411] HI[414] 0.000228593 +5 HI[40] HI[411] 0.000215704 +6 HI[410] HI[411] 0.000117063 +*RES +1 *1109:HI HI[411] 27.7593 +*END + +*D_NET *348 0.00152279 +*CONN +*P HI[412] O +*I *1110:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[412] 0.000459447 +2 *1110:HI 0.000459447 +3 HI[412] HI[413] 0.000110411 +4 HI[412] HI[414] 2.39581e-05 +5 HI[412] HI[416] 3.14978e-05 +6 HI[411] HI[412] 0.000438031 +*RES +1 *1110:HI HI[412] 28.1623 +*END + +*D_NET *349 0.000980142 +*CONN +*P HI[413] O +*I *1111:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[413] 0.000389539 +2 *1111:HI 0.000389539 +3 HI[413] HI[414] 9.06543e-05 +4 HI[413] HI[416] 0 +5 HI[412] HI[413] 0.000110411 +*RES +1 *1111:HI HI[413] 24.7345 +*END + +*D_NET *350 0.00160067 +*CONN +*P HI[414] O +*I *1112:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[414] 0.000217972 +2 *1112:HI 0.000217972 +3 HI[414] HI[415] 0.000498303 +4 HI[414] HI[416] 0.000258142 +5 HI[410] HI[414] 6.50727e-05 +6 HI[411] HI[414] 0.000228593 +7 HI[412] HI[414] 2.39581e-05 +8 HI[413] HI[414] 9.06543e-05 +*RES +1 *1112:HI HI[414] 24.8795 +*END + +*D_NET *351 0.00164602 +*CONN +*P HI[415] O +*I *1113:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[415] 0.000464825 +2 *1113:HI 0.000464825 +3 HI[415] HI[416] 9.98845e-05 +4 HI[415] HI[418] 0.00011818 +5 HI[414] HI[415] 0.000498303 +*RES +1 *1113:HI HI[415] 24.4642 +*END + +*D_NET *352 0.00161162 +*CONN +*P HI[416] O +*I *1114:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[416] 0.000250643 +2 *1114:HI 0.000250643 +3 HI[416] HI[417] 0.000439109 +4 HI[416] HI[418] 0.0002817 +5 HI[412] HI[416] 3.14978e-05 +6 HI[413] HI[416] 0 +7 HI[414] HI[416] 0.000258142 +8 HI[415] HI[416] 9.98845e-05 +*RES +1 *1114:HI HI[416] 25.2947 +*END + +*D_NET *353 0.0014729 +*CONN +*P HI[417] O +*I *1115:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[417] 0.000393041 +2 *1115:HI 0.000393041 +3 HI[417] HI[418] 0.000125335 +4 HI[417] HI[41] 0.000122378 +5 HI[416] HI[417] 0.000439109 +*RES +1 *1115:HI HI[417] 26.0592 +*END + +*D_NET *354 0.00167128 +*CONN +*P HI[418] O +*I *1116:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[418] 0.000181893 +2 *1116:HI 0.000181893 +3 HI[418] HI[419] 0.00051122 +4 HI[418] HI[41] 0.000271058 +5 HI[415] HI[418] 0.00011818 +6 HI[416] HI[418] 0.0002817 +7 HI[417] HI[418] 0.000125335 +*RES +1 *1116:HI HI[418] 24.8795 +*END + +*D_NET *355 0.00163877 +*CONN +*P HI[419] O +*I *1117:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[419] 0.000454743 +2 *1117:HI 0.000454743 +3 HI[419] HI[41] 9.98845e-05 +4 HI[419] HI[421] 0.00011818 +5 HI[418] HI[419] 0.00051122 +*RES +1 *1117:HI HI[419] 24.4642 +*END + +*D_NET *356 0.00169447 +*CONN +*P HI[41] O +*I *1118:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[41] 0.000195458 +2 *1118:HI 0.000195458 +3 HI[41] HI[420] 0.000528533 +4 HI[41] HI[421] 0.0002817 +5 HI[417] HI[41] 0.000122378 +6 HI[418] HI[41] 0.000271058 +7 HI[419] HI[41] 9.98845e-05 +*RES +1 *1118:HI HI[41] 25.2947 +*END + +*D_NET *357 0.00139725 +*CONN +*P HI[420] O +*I *1119:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[420] 0.000321073 +2 *1119:HI 0.000321073 +3 HI[420] HI[421] 0.000104196 +4 HI[420] HI[423] 0.000122378 +5 HI[41] HI[420] 0.000528533 +*RES +1 *1119:HI HI[420] 25.71 +*END + +*D_NET *358 0.00166001 +*CONN +*P HI[421] O +*I *1120:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[421] 0.000186828 +2 *1120:HI 0.000186828 +3 HI[421] HI[422] 0.00051122 +4 HI[421] HI[423] 0.000271058 +5 HI[419] HI[421] 0.00011818 +6 HI[41] HI[421] 0.0002817 +7 HI[420] HI[421] 0.000104196 +*RES +1 *1120:HI HI[421] 24.8795 +*END + +*D_NET *359 0.00175226 +*CONN +*P HI[422] O +*I *1121:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[422] 0.000484934 +2 *1121:HI 0.000484934 +3 HI[422] HI[423] 9.98845e-05 +4 HI[422] HI[425] 0.000171288 +5 HI[421] HI[422] 0.00051122 +*RES +1 *1121:HI HI[422] 25.0188 +*END + +*D_NET *360 0.00180678 +*CONN +*P HI[423] O +*I *1122:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[423] 0.000198505 +2 *1122:HI 0.000198505 +3 HI[423] HI[424] 0.000581641 +4 HI[423] HI[425] 0.000334808 +5 HI[420] HI[423] 0.000122378 +6 HI[421] HI[423] 0.000271058 +7 HI[422] HI[423] 9.98845e-05 +*RES +1 *1122:HI HI[423] 25.8493 +*END + +*D_NET *361 0.00147875 +*CONN +*P HI[424] O +*I *1123:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[424] 0.000337372 +2 *1123:HI 0.000337372 +3 HI[424] HI[425] 0.000104196 +4 HI[424] HI[427] 0.000118166 +5 HI[423] HI[424] 0.000581641 +*RES +1 *1123:HI HI[424] 26.2646 +*END + +*D_NET *362 0.00177468 +*CONN +*P HI[425] O +*I *1124:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[425] 0.000195268 +2 *1124:HI 0.000195268 +3 HI[425] HI[426] 0.000507008 +4 HI[425] HI[427] 0.000266846 +5 HI[422] HI[425] 0.000171288 +6 HI[423] HI[425] 0.000334808 +7 HI[424] HI[425] 0.000104196 +*RES +1 *1124:HI HI[425] 25.4341 +*END + +*D_NET *363 0.00166217 +*CONN +*P HI[426] O +*I *1125:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[426] 0.000501123 +2 *1125:HI 0.000501123 +3 HI[426] HI[427] 0.000152917 +4 HI[425] HI[426] 0.000507008 +*RES +1 *1125:HI HI[426] 25.197 +*END + +*D_NET *364 0.00164296 +*CONN +*P HI[427] O +*I *1126:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[427] 0.000264544 +2 *1126:HI 0.000264544 +3 HI[427] HI[428] 0.000525231 +4 HI[427] HI[42] 5.0715e-05 +5 HI[424] HI[427] 0.000118166 +6 HI[425] HI[427] 0.000266846 +7 HI[426] HI[427] 0.000152917 +*RES +1 *1126:HI HI[427] 26.1985 +*END + +*D_NET *365 0.00174307 +*CONN +*P HI[428] O +*I *1127:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[428] 0.000273623 +2 *1127:HI 0.000273623 +3 HI[428] HI[429] 0.000579508 +4 HI[428] HI[42] 9.10851e-05 +5 HI[427] HI[428] 0.000525231 +*RES +1 *1127:HI HI[428] 26.4428 +*END + +*D_NET *366 0.0015728 +*CONN +*P HI[429] O +*I *1128:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[429] 0.000418882 +2 *1128:HI 0.000418882 +3 HI[429] HI[42] 0.000155531 +4 HI[428] HI[429] 0.000579508 +*RES +1 *1128:HI HI[429] 27.2585 +*END + +*D_NET *367 0.00139489 +*CONN +*P HI[42] O +*I *1129:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[42] 0.000383272 +2 *1129:HI 0.000383272 +3 HI[42] HI[430] 8.30857e-05 +4 HI[42] HI[431] 7.24449e-05 +5 HI[42] HI[432] 0.000175485 +6 HI[427] HI[42] 5.0715e-05 +7 HI[428] HI[42] 9.10851e-05 +8 HI[429] HI[42] 0.000155531 +*RES +1 *1129:HI HI[42] 26.3545 +*END + +*D_NET *368 0.000934922 +*CONN +*P HI[430] O +*I *1130:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[430] 0.000384375 +2 *1130:HI 0.000384375 +3 HI[430] HI[431] 8.30857e-05 +4 HI[430] HI[432] 0 +5 HI[430] HI[433] 0 +6 HI[42] HI[430] 8.30857e-05 +*RES +1 *1130:HI HI[430] 24.1799 +*END + +*D_NET *369 0.00146926 +*CONN +*P HI[431] O +*I *1131:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[431] 0.000426684 +2 *1131:HI 0.000426684 +3 HI[431] HI[432] 0.000460359 +4 HI[42] HI[431] 7.24449e-05 +5 HI[430] HI[431] 8.30857e-05 +*RES +1 *1131:HI HI[431] 23.9096 +*END + +*D_NET *370 0.00150846 +*CONN +*P HI[432] O +*I *1132:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[432] 0.000196882 +2 *1132:HI 0.000196882 +3 HI[432] HI[433] 0.000413795 +4 HI[432] HI[434] 6.50586e-05 +5 HI[42] HI[432] 0.000175485 +6 HI[430] HI[432] 0 +7 HI[431] HI[432] 0.000460359 +*RES +1 *1132:HI HI[432] 24.3249 +*END + +*D_NET *371 0.00133781 +*CONN +*P HI[433] O +*I *1133:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[433] 0.00028523 +2 *1133:HI 0.00028523 +3 HI[433] HI[434] 0.000121419 +4 HI[433] HI[435] 0.000167076 +5 HI[433] HI[436] 6.50586e-05 +6 HI[430] HI[433] 0 +7 HI[432] HI[433] 0.000413795 +*RES +1 *1133:HI HI[433] 25.0893 +*END + +*D_NET *372 0.00136178 +*CONN +*P HI[434] O +*I *1134:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[434] 0.000446201 +2 *1134:HI 0.000446201 +3 HI[434] HI[435] 7.78831e-05 +4 HI[434] HI[436] 0.00020502 +5 HI[432] HI[434] 6.50586e-05 +6 HI[433] HI[434] 0.000121419 +*RES +1 *1134:HI HI[434] 24.1022 +*END + +*D_NET *373 0.00125933 +*CONN +*P HI[435] O +*I *1135:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[435] 0.000374221 +2 *1135:HI 0.000374221 +3 HI[435] HI[436] 0.000196658 +4 HI[435] HI[438] 6.92705e-05 +5 HI[433] HI[435] 0.000167076 +6 HI[434] HI[435] 7.78831e-05 +*RES +1 *1135:HI HI[435] 25.5046 +*END + +*D_NET *374 0.00147564 +*CONN +*P HI[436] O +*I *1136:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[436] 0.000233375 +2 *1136:HI 0.000233375 +3 HI[436] HI[437] 0.000324203 +4 HI[436] HI[438] 0.000217951 +5 HI[433] HI[436] 6.50586e-05 +6 HI[434] HI[436] 0.00020502 +7 HI[435] HI[436] 0.000196658 +*RES +1 *1136:HI HI[436] 24.3249 +*END + +*D_NET *375 0.00139216 +*CONN +*P HI[437] O +*I *1137:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[437] 0.00041859 +2 *1137:HI 0.00041859 +3 HI[437] HI[438] 0.000230773 +4 HI[436] HI[437] 0.000324203 +*RES +1 *1137:HI HI[437] 24.266 +*END + +*D_NET *376 0.00145591 +*CONN +*P HI[438] O +*I *1138:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[438] 0.000218718 +2 *1138:HI 0.000218718 +3 HI[438] HI[439] 0.000468982 +4 HI[438] HI[440] 3.14978e-05 +5 HI[435] HI[438] 6.92705e-05 +6 HI[436] HI[438] 0.000217951 +7 HI[437] HI[438] 0.000230773 +*RES +1 *1138:HI HI[438] 24.7401 +*END + +*D_NET *377 0.00125576 +*CONN +*P HI[439] O +*I *1139:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[439] 0.00031765 +2 *1139:HI 0.00031765 +3 HI[439] HI[43] 9.60205e-05 +4 HI[439] HI[440] 2.39581e-05 +5 HI[439] HI[441] 3.14978e-05 +6 HI[438] HI[439] 0.000468982 +*RES +1 *1139:HI HI[439] 25.1554 +*END + +*D_NET *378 0.000805766 +*CONN +*P HI[43] O +*I *1140:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[43] 0.00031333 +2 *1140:HI 0.00031333 +3 HI[43] HI[440] 8.30857e-05 +4 HI[43] HI[441] 0 +5 HI[43] HI[442] 0 +6 HI[439] HI[43] 9.60205e-05 +*RES +1 *1140:HI HI[43] 23.0707 +*END + +*D_NET *379 0.0012277 +*CONN +*P HI[440] O +*I *1141:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[440] 0.000367506 +2 *1141:HI 0.000367506 +3 HI[440] HI[441] 0.000354144 +4 HI[438] HI[440] 3.14978e-05 +5 HI[439] HI[440] 2.39581e-05 +6 HI[43] HI[440] 8.30857e-05 +*RES +1 *1141:HI HI[440] 22.8004 +*END + +*D_NET *380 0.0011839 +*CONN +*P HI[441] O +*I *1142:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[441] 0.000219901 +2 *1142:HI 0.000219901 +3 HI[441] HI[442] 0.000358455 +4 HI[439] HI[441] 3.14978e-05 +5 HI[43] HI[441] 0 +6 HI[440] HI[441] 0.000354144 +*RES +1 *1142:HI HI[441] 23.2157 +*END + +*D_NET *381 0.00104295 +*CONN +*P HI[442] O +*I *1143:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[442] 0.000296393 +2 *1143:HI 0.000296393 +3 HI[442] HI[443] 9.17089e-05 +4 HI[43] HI[442] 0 +5 HI[441] HI[442] 0.000358455 +*RES +1 *1143:HI HI[442] 23.6309 +*END + +*D_NET *382 0.000733301 +*CONN +*P HI[443] O +*I *1144:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[443] 0.000242211 +2 *1144:HI 0.000242211 +3 HI[443] HI[444] 0.00015717 +4 HI[442] HI[443] 9.17089e-05 +*RES +1 *1144:HI HI[443] 22.3473 +*END + +*D_NET *383 0.000731149 +*CONN +*P HI[444] O +*I *1145:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[444] 0.000206097 +2 *1145:HI 0.000206097 +3 HI[444] HI[445] 0.000161784 +4 HI[443] HI[444] 0.00015717 +*RES +1 *1145:HI HI[444] 22.3473 +*END + +*D_NET *384 0.000719272 +*CONN +*P HI[445] O +*I *1146:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[445] 0.000195551 +2 *1146:HI 0.000195551 +3 HI[445] HI[446] 0.000166385 +4 HI[444] HI[445] 0.000161784 +*RES +1 *1146:HI HI[445] 22.3473 +*END + +*D_NET *385 0.000713341 +*CONN +*P HI[446] O +*I *1147:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[446] 0.000190286 +2 *1147:HI 0.000190286 +3 HI[446] HI[447] 0.000166385 +4 HI[445] HI[446] 0.000166385 +*RES +1 *1147:HI HI[446] 22.3473 +*END + +*D_NET *386 0.000713341 +*CONN +*P HI[447] O +*I *1148:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[447] 0.000190286 +2 *1148:HI 0.000190286 +3 HI[447] HI[448] 0.000166385 +4 HI[446] HI[447] 0.000166385 +*RES +1 *1148:HI HI[447] 22.3473 +*END + +*D_NET *387 0.000713341 +*CONN +*P HI[448] O +*I *1149:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[448] 0.000190286 +2 *1149:HI 0.000190286 +3 HI[448] HI[449] 0.000166385 +4 HI[447] HI[448] 0.000166385 +*RES +1 *1149:HI HI[448] 22.3473 +*END + +*D_NET *388 0.000713341 +*CONN +*P HI[449] O +*I *1150:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[449] 0.000190286 +2 *1150:HI 0.000190286 +3 HI[449] HI[44] 0.000166385 +4 HI[448] HI[449] 0.000166385 +*RES +1 *1150:HI HI[449] 22.3473 +*END + +*D_NET *389 0.000700375 +*CONN +*P HI[44] O +*I *1151:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[44] 0.000223824 +2 *1151:HI 0.000223824 +3 HI[44] HI[450] 8.63427e-05 +4 HI[44] HI[451] 0 +5 HI[44] HI[452] 0 +6 HI[449] HI[44] 0.000166385 +*RES +1 *1151:HI HI[44] 22.3473 +*END + +*D_NET *390 0.000630336 +*CONN +*P HI[450] O +*I *1152:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[450] 0.00022793 +2 *1152:HI 0.00022793 +3 HI[450] HI[451] 8.8134e-05 +4 HI[44] HI[450] 8.63427e-05 +*RES +1 *1152:HI HI[450] 20.0274 +*END + +*D_NET *391 0.000613406 +*CONN +*P HI[451] O +*I *1153:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[451] 0.000214468 +2 *1153:HI 0.000214468 +3 HI[451] HI[452] 9.63365e-05 +4 HI[44] HI[451] 0 +5 HI[450] HI[451] 8.8134e-05 +*RES +1 *1153:HI HI[451] 20.8579 +*END + +*D_NET *392 0.000719379 +*CONN +*P HI[452] O +*I *1154:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[452] 0.000230629 +2 *1154:HI 0.000230629 +3 HI[452] HI[453] 0.000161784 +4 HI[44] HI[452] 0 +5 HI[451] HI[452] 9.63365e-05 +*RES +1 *1154:HI HI[452] 22.3473 +*END + +*D_NET *393 0.000706305 +*CONN +*P HI[453] O +*I *1155:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[453] 0.000229089 +2 *1155:HI 0.000229089 +3 HI[453] HI[454] 8.63427e-05 +4 HI[452] HI[453] 0.000161784 +*RES +1 *1155:HI HI[453] 22.3473 +*END + +*D_NET *394 0.000472431 +*CONN +*P HI[454] O +*I *1156:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[454] 0.000151501 +2 *1156:HI 0.000151501 +3 HI[454] HI[455] 8.30857e-05 +4 HI[453] HI[454] 8.63427e-05 +*RES +1 *1156:HI HI[454] 18.9182 +*END + +*D_NET *395 0.000476629 +*CONN +*P HI[455] O +*I *1157:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[455] 0.000155229 +2 *1157:HI 0.000155229 +3 HI[455] HI[456] 8.30857e-05 +4 HI[454] HI[455] 8.30857e-05 +*RES +1 *1157:HI HI[455] 18.9182 +*END + +*D_NET *396 0.00052197 +*CONN +*P HI[456] O +*I *1158:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[456] 0.000183099 +2 *1158:HI 0.000183099 +3 HI[456] HI[457] 7.26871e-05 +4 HI[455] HI[456] 8.30857e-05 +*RES +1 *1158:HI HI[456] 18.9182 +*END + +*D_NET *397 0.000487864 +*CONN +*P HI[457] O +*I *1159:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[457] 0.000166046 +2 *1159:HI 0.000166046 +3 HI[457] HI[458] 8.30857e-05 +4 HI[456] HI[457] 7.26871e-05 +*RES +1 *1159:HI HI[457] 18.9182 +*END + +*D_NET *398 0.000476629 +*CONN +*P HI[458] O +*I *1160:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[458] 0.000155229 +2 *1160:HI 0.000155229 +3 HI[458] HI[459] 8.30857e-05 +4 HI[457] HI[458] 8.30857e-05 +*RES +1 *1160:HI HI[458] 18.9182 +*END + +*D_NET *399 0.000754848 +*CONN +*P HI[459] O +*I *1161:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[459] 0.000261802 +2 *1161:HI 0.000261802 +3 HI[459] HI[45] 0.000148158 +4 HI[458] HI[459] 8.30857e-05 +*RES +1 *1161:HI HI[459] 20.582 +*END + +*D_NET *400 0.000703683 +*CONN +*P HI[45] O +*I *1162:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[45] 0.000201584 +2 *1162:HI 0.000201584 +3 HI[45] HI[460] 0.000152356 +4 HI[459] HI[45] 0.000148158 +*RES +1 *1162:HI HI[45] 20.9972 +*END + +*D_NET *401 0.00075367 +*CONN +*P HI[460] O +*I *1163:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[460] 0.000259114 +2 *1163:HI 0.000259114 +3 HI[460] HI[461] 8.30857e-05 +4 HI[45] HI[460] 0.000152356 +*RES +1 *1163:HI HI[460] 20.582 +*END + +*D_NET *402 0.000627215 +*CONN +*P HI[461] O +*I *1164:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[461] 0.000230599 +2 *1164:HI 0.000230599 +3 HI[461] HI[462] 8.29314e-05 +4 HI[460] HI[461] 8.30857e-05 +*RES +1 *1164:HI HI[461] 20.7746 +*END + +*D_NET *403 0.00070108 +*CONN +*P HI[462] O +*I *1165:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[462] 0.000246711 +2 *1165:HI 0.000246711 +3 HI[462] HI[46] 0.000124726 +4 HI[462] HI[47] 0 +5 HI[461] HI[462] 8.29314e-05 +*RES +1 *1165:HI HI[462] 21.7617 +*END + +*D_NET *404 0.000771783 +*CONN +*P HI[46] O +*I *1166:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[46] 0.000249449 +2 *1166:HI 0.000249449 +3 HI[46] HI[47] 0.000148158 +4 HI[462] HI[46] 0.000124726 +*RES +1 *1166:HI HI[46] 20.582 +*END + +*D_NET *405 0.000698861 +*CONN +*P HI[47] O +*I *1167:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[47] 0.000199173 +2 *1167:HI 0.000199173 +3 HI[47] HI[48] 0.000152356 +4 HI[462] HI[47] 0 +5 HI[46] HI[47] 0.000148158 +*RES +1 *1167:HI HI[47] 20.9972 +*END + +*D_NET *406 0.00075367 +*CONN +*P HI[48] O +*I *1168:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[48] 0.000259114 +2 *1168:HI 0.000259114 +3 HI[48] HI[49] 8.30857e-05 +4 HI[47] HI[48] 0.000152356 +*RES +1 *1168:HI HI[48] 20.582 +*END + +*D_NET *407 0.000627215 +*CONN +*P HI[49] O +*I *1169:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[49] 0.000230599 +2 *1169:HI 0.000230599 +3 HI[49] HI[4] 8.29314e-05 +4 HI[48] HI[49] 8.30857e-05 +*RES +1 *1169:HI HI[49] 20.7746 +*END + +*D_NET *408 0.000752153 +*CONN +*P HI[4] O +*I *1170:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[4] 0.000260173 +2 *1170:HI 0.000260173 +3 HI[4] HI[50] 0.000148875 +4 HI[4] HI[51] 0 +5 HI[49] HI[4] 8.29314e-05 +*RES +1 *1170:HI HI[4] 22.3163 +*END + +*D_NET *409 0.000893724 +*CONN +*P HI[50] O +*I *1171:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[50] 0.000271792 +2 *1171:HI 0.000271792 +3 HI[50] HI[51] 0.000201266 +4 HI[4] HI[50] 0.000148875 +*RES +1 *1171:HI HI[50] 21.1366 +*END + +*D_NET *410 0.000801943 +*CONN +*P HI[51] O +*I *1172:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[51] 0.000197607 +2 *1172:HI 0.000197607 +3 HI[51] HI[52] 0.000205464 +4 HI[4] HI[51] 0 +5 HI[50] HI[51] 0.000201266 +*RES +1 *1172:HI HI[51] 21.5518 +*END + +*D_NET *411 0.000865978 +*CONN +*P HI[52] O +*I *1173:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[52] 0.000288714 +2 *1173:HI 0.000288714 +3 HI[52] HI[53] 8.30857e-05 +4 HI[51] HI[52] 0.000205464 +*RES +1 *1173:HI HI[52] 21.1366 +*END + +*D_NET *412 0.000762771 +*CONN +*P HI[53] O +*I *1174:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[53] 0.000268365 +2 *1174:HI 0.000268365 +3 HI[53] HI[54] 0.000142956 +4 HI[52] HI[53] 8.30857e-05 +*RES +1 *1174:HI HI[53] 21.3292 +*END + +*D_NET *413 0.000813522 +*CONN +*P HI[54] O +*I *1175:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[54] 0.00022748 +2 *1175:HI 0.00022748 +3 HI[54] HI[55] 0.000215607 +4 HI[53] HI[54] 0.000142956 +*RES +1 *1175:HI HI[54] 21.901 +*END + +*D_NET *414 0.000955359 +*CONN +*P HI[55] O +*I *1176:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[55] 0.000269243 +2 *1176:HI 0.000269243 +3 HI[55] HI[56] 0.000201266 +4 HI[54] HI[55] 0.000215607 +*RES +1 *1176:HI HI[55] 21.1366 +*END + +*D_NET *415 0.000806765 +*CONN +*P HI[56] O +*I *1177:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[56] 0.000200018 +2 *1177:HI 0.000200018 +3 HI[56] HI[57] 0.000205464 +4 HI[55] HI[56] 0.000201266 +*RES +1 *1177:HI HI[56] 21.5518 +*END + +*D_NET *416 0.000950991 +*CONN +*P HI[57] O +*I *1178:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[57] 0.000272131 +2 *1178:HI 0.000272131 +3 HI[57] HI[58] 0.000201266 +4 HI[56] HI[57] 0.000205464 +*RES +1 *1178:HI HI[57] 21.1366 +*END + +*D_NET *417 0.000867943 +*CONN +*P HI[58] O +*I *1179:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[58] 0.000270589 +2 *1179:HI 0.000270589 +3 HI[58] HI[59] 0.00012045 +4 HI[58] HI[5] 5.04829e-06 +5 HI[57] HI[58] 0.000201266 +*RES +1 *1179:HI HI[58] 22.1065 +*END + +*D_NET *418 0.00093661 +*CONN +*P HI[59] O +*I *1180:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[59] 0.000304648 +2 *1180:HI 0.000304648 +3 HI[59] HI[5] 0.000201816 +4 HI[59] HI[60] 5.04829e-06 +5 HI[58] HI[59] 0.00012045 +*RES +1 *1180:HI HI[59] 22.0476 +*END + +*D_NET *419 0.000966912 +*CONN +*P HI[5] O +*I *1181:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[5] 0.000244798 +2 *1181:HI 0.000244798 +3 HI[5] HI[60] 0.000270451 +4 HI[58] HI[5] 5.04829e-06 +5 HI[59] HI[5] 0.000201816 +*RES +1 *1181:HI HI[5] 22.937 +*END + +*D_NET *420 0.000878928 +*CONN +*P HI[60] O +*I *1182:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[60] 0.000220274 +2 *1182:HI 0.000220274 +3 HI[60] HI[61] 0.00016288 +4 HI[60] HI[62] 0 +5 HI[59] HI[60] 5.04829e-06 +6 HI[5] HI[60] 0.000270451 +*RES +1 *1182:HI HI[60] 22.5217 +*END + +*D_NET *421 0.000894774 +*CONN +*P HI[61] O +*I *1183:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[61] 0.00032188 +2 *1183:HI 0.00032188 +3 HI[61] HI[62] 8.8134e-05 +4 HI[60] HI[61] 0.00016288 +*RES +1 *1183:HI HI[61] 21.6912 +*END + +*D_NET *422 0.00078404 +*CONN +*P HI[62] O +*I *1184:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[62] 0.00027617 +2 *1184:HI 0.00027617 +3 HI[62] HI[63] 0.000143566 +4 HI[62] HI[64] 0 +5 HI[62] HI[65] 0 +6 HI[60] HI[62] 0 +7 HI[61] HI[62] 8.8134e-05 +*RES +1 *1184:HI HI[62] 22.8853 +*END + +*D_NET *423 0.000957179 +*CONN +*P HI[63] O +*I *1185:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[63] 0.000304603 +2 *1185:HI 0.000304603 +3 HI[63] HI[64] 0.000204408 +4 HI[62] HI[63] 0.000143566 +*RES +1 *1185:HI HI[63] 22.0404 +*END + +*D_NET *424 0.000927685 +*CONN +*P HI[64] O +*I *1186:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[64] 0.000230668 +2 *1186:HI 0.000230668 +3 HI[64] HI[65] 0.000261942 +4 HI[62] HI[64] 0 +5 HI[63] HI[64] 0.000204408 +*RES +1 *1186:HI HI[64] 22.2846 +*END + +*D_NET *425 0.000864116 +*CONN +*P HI[65] O +*I *1187:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[65] 0.000232799 +2 *1187:HI 0.000232799 +3 HI[65] HI[66] 0.000136576 +4 HI[62] HI[65] 0 +5 HI[64] HI[65] 0.000261942 +*RES +1 *1187:HI HI[65] 22.5217 +*END + +*D_NET *426 0.000990811 +*CONN +*P HI[66] O +*I *1188:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[66] 0.000355517 +2 *1188:HI 0.000355517 +3 HI[66] HI[67] 0.000143202 +4 HI[65] HI[66] 0.000136576 +*RES +1 *1188:HI HI[66] 22.595 +*END + +*D_NET *427 0.00102747 +*CONN +*P HI[67] O +*I *1189:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[67] 0.000262179 +2 *1189:HI 0.000262179 +3 HI[67] HI[68] 0.000290635 +4 HI[67] HI[69] 6.92705e-05 +5 HI[66] HI[67] 0.000143202 +*RES +1 *1189:HI HI[67] 23.0247 +*END + +*D_NET *428 0.00100965 +*CONN +*P HI[68] O +*I *1190:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[68] 0.000257782 +2 *1190:HI 0.000257782 +3 HI[68] HI[69] 0.000134176 +4 HI[68] HI[6] 6.92705e-05 +5 HI[67] HI[68] 0.000290635 +*RES +1 *1190:HI HI[68] 23.4255 +*END + +*D_NET *429 0.00116354 +*CONN +*P HI[69] O +*I *1191:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[69] 0.000329531 +2 *1191:HI 0.000329531 +3 HI[69] HI[6] 0.000301036 +4 HI[67] HI[69] 6.92705e-05 +5 HI[68] HI[69] 0.000134176 +*RES +1 *1191:HI HI[69] 22.2458 +*END + +*D_NET *430 0.00113802 +*CONN +*P HI[6] O +*I *1192:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[6] 0.000193328 +2 *1192:HI 0.000193328 +3 HI[6] HI[70] 0.000311792 +4 HI[6] HI[71] 6.92705e-05 +5 HI[68] HI[6] 6.92705e-05 +6 HI[69] HI[6] 0.000301036 +*RES +1 *1192:HI HI[6] 22.6611 +*END + +*D_NET *431 0.000986996 +*CONN +*P HI[70] O +*I *1193:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[70] 0.00024095 +2 *1193:HI 0.00024095 +3 HI[70] HI[71] 0.000124033 +4 HI[70] HI[72] 6.92705e-05 +5 HI[6] HI[70] 0.000311792 +*RES +1 *1193:HI HI[70] 23.0763 +*END + +*D_NET *432 0.00115918 +*CONN +*P HI[71] O +*I *1194:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[71] 0.000332418 +2 *1194:HI 0.000332418 +3 HI[71] HI[72] 0.000301036 +4 HI[6] HI[71] 6.92705e-05 +5 HI[70] HI[71] 0.000124033 +*RES +1 *1194:HI HI[71] 22.2458 +*END + +*D_NET *433 0.00113802 +*CONN +*P HI[72] O +*I *1195:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[72] 0.000193328 +2 *1195:HI 0.000193328 +3 HI[72] HI[73] 0.000311792 +4 HI[72] HI[74] 6.92705e-05 +5 HI[70] HI[72] 6.92705e-05 +6 HI[71] HI[72] 0.000301036 +*RES +1 *1195:HI HI[72] 22.6611 +*END + +*D_NET *434 0.000986996 +*CONN +*P HI[73] O +*I *1196:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[73] 0.00024095 +2 *1196:HI 0.00024095 +3 HI[73] HI[74] 0.000124033 +4 HI[73] HI[75] 6.92705e-05 +5 HI[72] HI[73] 0.000311792 +*RES +1 *1196:HI HI[73] 23.0763 +*END + +*D_NET *435 0.00126787 +*CONN +*P HI[74] O +*I *1197:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[74] 0.000356988 +2 *1197:HI 0.000356988 +3 HI[74] HI[75] 0.000360588 +4 HI[72] HI[74] 6.92705e-05 +5 HI[73] HI[74] 0.000124033 +*RES +1 *1197:HI HI[74] 22.8004 +*END + +*D_NET *436 0.0011323 +*CONN +*P HI[75] O +*I *1198:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[75] 0.000220254 +2 *1198:HI 0.000220254 +3 HI[75] HI[76] 0.000196864 +4 HI[75] HI[77] 6.50727e-05 +5 HI[73] HI[75] 6.92705e-05 +6 HI[74] HI[75] 0.000360588 +*RES +1 *1198:HI HI[75] 23.2157 +*END + +*D_NET *437 0.00117125 +*CONN +*P HI[76] O +*I *1199:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[76] 0.000372609 +2 *1199:HI 0.000372609 +3 HI[76] HI[77] 0.000115197 +4 HI[76] HI[78] 0.000113968 +5 HI[75] HI[76] 0.000196864 +*RES +1 *1199:HI HI[76] 23.164 +*END + +*D_NET *438 0.00113142 +*CONN +*P HI[77] O +*I *1200:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[77] 0.000290674 +2 *1200:HI 0.000290674 +3 HI[77] HI[78] 0.000369799 +4 HI[75] HI[77] 6.50727e-05 +5 HI[76] HI[77] 0.000115197 +*RES +1 *1200:HI HI[77] 23.9801 +*END + +*D_NET *439 0.001306 +*CONN +*P HI[78] O +*I *1201:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[78] 0.000213256 +2 *1201:HI 0.000213256 +3 HI[78] HI[79] 0.00027754 +4 HI[78] HI[7] 0.00011818 +5 HI[76] HI[78] 0.000113968 +6 HI[77] HI[78] 0.000369799 +*RES +1 *1201:HI HI[78] 23.2157 +*END + +*D_NET *440 0.00120775 +*CONN +*P HI[79] O +*I *1202:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[79] 0.0003785 +2 *1202:HI 0.0003785 +3 HI[79] HI[7] 0.000173208 +4 HI[78] HI[79] 0.00027754 +*RES +1 *1202:HI HI[79] 23.1496 +*END + +*D_NET *441 0.000991179 +*CONN +*P HI[7] O +*I *1203:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[7] 0.000262721 +2 *1203:HI 0.000262721 +3 HI[7] HI[80] 0.000105078 +4 HI[7] HI[81] 6.92705e-05 +5 HI[78] HI[7] 0.00011818 +6 HI[79] HI[7] 0.000173208 +*RES +1 *1203:HI HI[7] 23.6309 +*END + +*D_NET *442 0.00113549 +*CONN +*P HI[80] O +*I *1204:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[80] 0.000357032 +2 *1204:HI 0.000357032 +3 HI[80] HI[81] 0.000316349 +4 HI[7] HI[80] 0.000105078 +*RES +1 *1204:HI HI[80] 23.164 +*END + +*D_NET *443 0.00129509 +*CONN +*P HI[81] O +*I *1205:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[81] 0.000204296 +2 *1205:HI 0.000204296 +3 HI[81] HI[82] 0.000378504 +4 HI[81] HI[83] 0.000122378 +5 HI[7] HI[81] 6.92705e-05 +6 HI[80] HI[81] 0.000316349 +*RES +1 *1205:HI HI[81] 23.5648 +*END + +*D_NET *444 0.00117516 +*CONN +*P HI[82] O +*I *1206:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[82] 0.000260641 +2 *1206:HI 0.000260641 +3 HI[82] HI[83] 9.98845e-05 +4 HI[82] HI[84] 0.000175485 +5 HI[81] HI[82] 0.000378504 +*RES +1 *1206:HI HI[82] 24.1855 +*END + +*D_NET *445 0.00140929 +*CONN +*P HI[83] O +*I *1207:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[83] 0.00038877 +2 *1207:HI 0.00038877 +3 HI[83] HI[84] 0.000409483 +4 HI[81] HI[83] 0.000122378 +5 HI[82] HI[83] 9.98845e-05 +*RES +1 *1207:HI HI[83] 23.355 +*END + +*D_NET *446 0.00128157 +*CONN +*P HI[84] O +*I *1208:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[84] 0.000241233 +2 *1208:HI 0.000241233 +3 HI[84] HI[85] 0.000100163 +4 HI[84] HI[86] 0.000113968 +5 HI[82] HI[84] 0.000175485 +6 HI[83] HI[84] 0.000409483 +*RES +1 *1208:HI HI[84] 23.7703 +*END + +*D_NET *447 0.00132337 +*CONN +*P HI[85] O +*I *1209:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[85] 0.000313543 +2 *1209:HI 0.000313543 +3 HI[85] HI[86] 0.00041915 +4 HI[85] HI[87] 0.00017697 +5 HI[84] HI[85] 0.000100163 +*RES +1 *1209:HI HI[85] 24.9644 +*END + +*D_NET *448 0.00134434 +*CONN +*P HI[86] O +*I *1210:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[86] 0.00022479 +2 *1210:HI 0.00022479 +3 HI[86] HI[87] 0.000186154 +4 HI[86] HI[88] 0.000175485 +5 HI[84] HI[86] 0.000113968 +6 HI[85] HI[86] 0.00041915 +*RES +1 *1210:HI HI[86] 24.5347 +*END + +*D_NET *449 0.00120739 +*CONN +*P HI[87] O +*I *1211:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[87] 0.000354927 +2 *1211:HI 0.000354927 +3 HI[87] HI[88] 0.000134412 +4 HI[85] HI[87] 0.00017697 +5 HI[86] HI[87] 0.000186154 +*RES +1 *1211:HI HI[87] 25.5947 +*END + +*D_NET *450 0.00126087 +*CONN +*P HI[88] O +*I *1212:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[88] 0.000213256 +2 *1212:HI 0.000213256 +3 HI[88] HI[89] 0.000410494 +4 HI[88] HI[8] 0.000113968 +5 HI[86] HI[88] 0.000175485 +6 HI[87] HI[88] 0.000134412 +*RES +1 *1212:HI HI[88] 23.7703 +*END + +*D_NET *451 0.00134691 +*CONN +*P HI[89] O +*I *1213:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[89] 0.00039175 +2 *1213:HI 0.00039175 +3 HI[89] HI[8] 0.000152917 +4 HI[88] HI[89] 0.000410494 +*RES +1 *1213:HI HI[89] 23.355 +*END + +*D_NET *452 0.00110475 +*CONN +*P HI[8] O +*I *1214:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[8] 0.000312417 +2 *1214:HI 0.000312417 +3 HI[8] HI[90] 9.48649e-05 +4 HI[8] HI[91] 0.000118166 +5 HI[88] HI[8] 0.000113968 +6 HI[89] HI[8] 0.000152917 +*RES +1 *1214:HI HI[8] 24.5347 +*END + +*D_NET *453 0.000818152 +*CONN +*P HI[90] O +*I *1215:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[90] 0.000321178 +2 *1215:HI 0.000321178 +3 HI[90] HI[91] 8.09299e-05 +4 HI[90] HI[92] 0 +5 HI[8] HI[90] 9.48649e-05 +*RES +1 *1215:HI HI[90] 23.0707 +*END + +*D_NET *454 0.0012089 +*CONN +*P HI[91] O +*I *1216:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[91] 0.000311819 +2 *1216:HI 0.000311819 +3 HI[91] HI[92] 9.89492e-05 +4 HI[91] HI[93] 0.000222149 +5 HI[343] HI[91] 6.50727e-05 +6 HI[8] HI[91] 0.000118166 +7 HI[90] HI[91] 8.09299e-05 +*RES +1 *1216:HI HI[91] 24.674 +*END + +*D_NET *455 0.000724378 +*CONN +*P HI[92] O +*I *1217:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[92] 0.000269543 +2 *1217:HI 0.000269543 +3 HI[92] HI[93] 8.63427e-05 +4 HI[92] HI[94] 0 +5 HI[90] HI[92] 0 +6 HI[91] HI[92] 9.89492e-05 +*RES +1 *1217:HI HI[92] 22.3473 +*END + +*D_NET *456 0.00112527 +*CONN +*P HI[93] O +*I *1218:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[93] 0.000334395 +2 *1218:HI 0.000334395 +3 HI[93] HI[94] 8.30857e-05 +4 HI[343] HI[93] 6.49003e-05 +5 HI[91] HI[93] 0.000222149 +6 HI[92] HI[93] 8.63427e-05 +*RES +1 *1218:HI HI[93] 22.2458 +*END + +*D_NET *457 0.000736058 +*CONN +*P HI[94] O +*I *1219:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[94] 0.000250215 +2 *1219:HI 0.000250215 +3 HI[94] HI[95] 0.000152543 +4 HI[92] HI[94] 0 +5 HI[93] HI[94] 8.30857e-05 +*RES +1 *1219:HI HI[94] 22.3473 +*END + +*D_NET *458 0.000731236 +*CONN +*P HI[95] O +*I *1220:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[95] 0.000247804 +2 *1220:HI 0.000247804 +3 HI[95] HI[96] 8.30857e-05 +4 HI[95] HI[97] 0 +5 HI[94] HI[95] 0.000152543 +*RES +1 *1220:HI HI[95] 22.3473 +*END + +*D_NET *459 0.000489195 +*CONN +*P HI[96] O +*I *1221:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[96] 0.000145763 +2 *1221:HI 0.000145763 +3 HI[96] HI[97] 8.30857e-05 +4 HI[343] HI[96] 3.14978e-05 +5 HI[95] HI[96] 8.30857e-05 +*RES +1 *1221:HI HI[96] 18.9182 +*END + +*D_NET *460 0.000868944 +*CONN +*P HI[97] O +*I *1222:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[97] 0.000349758 +2 *1222:HI 0.000349758 +3 HI[97] HI[98] 8.63427e-05 +4 HI[95] HI[97] 0 +5 HI[96] HI[97] 8.30857e-05 +*RES +1 *1222:HI HI[97] 24.1799 +*END + +*D_NET *461 0.00037827 +*CONN +*P HI[98] O +*I *1223:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[98] 0.00010981 +2 *1223:HI 0.00010981 +3 HI[98] HI[99] 7.23067e-05 +4 HI[97] HI[98] 8.63427e-05 +*RES +1 *1223:HI HI[98] 18.1948 +*END + +*D_NET *462 0.000588348 +*CONN +*P HI[99] O +*I *1224:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[99] 0.000195657 +2 *1224:HI 0.000195657 +3 HI[99] HI[9] 9.32286e-05 +4 HI[343] HI[99] 3.14978e-05 +5 HI[98] HI[99] 7.23067e-05 +*RES +1 *1224:HI HI[99] 19.822 +*END + +*D_NET *463 0.000376587 +*CONN +*P HI[9] O +*I *1225:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[9] 9.85079e-05 +2 *1225:HI 9.85079e-05 +3 HI[343] HI[9] 8.63427e-05 +4 HI[99] HI[9] 9.32286e-05 +*RES +1 *1225:HI HI[9] 18.1948 +*END diff --git a/spef/spare_logic_block.sdc b/spef/spare_logic_block.sdc new file mode 100644 index 00000000..619617d6 --- /dev/null +++ b/spef/spare_logic_block.sdc @@ -0,0 +1,103 @@ +############################################################################### +# Created by write_sdc +# Wed Nov 24 18:33:22 2021 +############################################################################### +current_design spare_logic_block +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name __VIRTUAL_CLK__ -period 10.0000 +set_clock_uncertainty 0.2500 __VIRTUAL_CLK__ +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xfq[0]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xfq[1]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xfqn[0]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xfqn[1]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xi[0]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xi[1]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xi[2]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xi[3]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xib}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xmx[0]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xmx[1]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xna[0]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xna[1]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xno[0]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xno[1]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[0]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[10]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[11]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[12]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[13]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[14]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[15]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[16]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[17]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[18]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[19]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[1]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[20]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[21]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[22]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[23]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[24]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[25]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[26]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[2]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[3]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[4]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[5]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[6]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[7]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[8]}] +set_output_delay 2.0000 -clock [get_clocks {__VIRTUAL_CLK__}] -add_delay [get_ports {spare_xz[9]}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.0334 [get_ports {spare_xib}] +set_load -pin_load 0.0334 [get_ports {spare_xfq[1]}] +set_load -pin_load 0.0334 [get_ports {spare_xfq[0]}] +set_load -pin_load 0.0334 [get_ports {spare_xfqn[1]}] +set_load -pin_load 0.0334 [get_ports {spare_xfqn[0]}] +set_load -pin_load 0.0334 [get_ports {spare_xi[3]}] +set_load -pin_load 0.0334 [get_ports {spare_xi[2]}] +set_load -pin_load 0.0334 [get_ports {spare_xi[1]}] +set_load -pin_load 0.0334 [get_ports {spare_xi[0]}] +set_load -pin_load 0.0334 [get_ports {spare_xmx[1]}] +set_load -pin_load 0.0334 [get_ports {spare_xmx[0]}] +set_load -pin_load 0.0334 [get_ports {spare_xna[1]}] +set_load -pin_load 0.0334 [get_ports {spare_xna[0]}] +set_load -pin_load 0.0334 [get_ports {spare_xno[1]}] +set_load -pin_load 0.0334 [get_ports {spare_xno[0]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[26]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[25]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[24]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[23]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[22]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[21]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[20]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[19]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[18]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[17]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[16]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[15]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[14]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[13]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[12]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[11]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[10]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[9]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[8]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[7]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[6]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[5]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[4]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[3]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[2]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[1]}] +set_load -pin_load 0.0334 [get_ports {spare_xz[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_fanout 5.0000 [current_design] diff --git a/spef/spare_logic_block.spef b/spef/spare_logic_block.spef new file mode 100644 index 00000000..1f2b9daa --- /dev/null +++ b/spef/spare_logic_block.spef @@ -0,0 +1,1192 @@ +*SPEF "ieee 1481-1999" +*DESIGN "spare_logic_block" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 spare_xfq[0] +*2 spare_xfq[1] +*3 spare_xfqn[0] +*4 spare_xfqn[1] +*5 spare_xi[0] +*6 spare_xi[1] +*7 spare_xi[2] +*8 spare_xi[3] +*9 spare_xib +*10 spare_xmx[0] +*11 spare_xmx[1] +*12 spare_xna[0] +*13 spare_xna[1] +*14 spare_xno[0] +*15 spare_xno[1] +*16 spare_xz[0] +*17 spare_xz[10] +*18 spare_xz[11] +*19 spare_xz[12] +*20 spare_xz[13] +*21 spare_xz[14] +*22 spare_xz[15] +*23 spare_xz[16] +*24 spare_xz[17] +*25 spare_xz[18] +*26 spare_xz[19] +*27 spare_xz[1] +*28 spare_xz[20] +*29 spare_xz[21] +*30 spare_xz[22] +*31 spare_xz[23] +*32 spare_xz[24] +*33 spare_xz[25] +*34 spare_xz[26] +*35 spare_xz[2] +*36 spare_xz[3] +*37 spare_xz[4] +*38 spare_xz[5] +*39 spare_xz[6] +*40 spare_xz[7] +*41 spare_xz[8] +*42 spare_xz[9] +*45 spare_logic1\[0\] +*46 spare_logic1\[10\] +*47 spare_logic1\[11\] +*48 spare_logic1\[12\] +*49 spare_logic1\[13\] +*50 spare_logic1\[14\] +*51 spare_logic1\[15\] +*52 spare_logic1\[16\] +*53 spare_logic1\[17\] +*54 spare_logic1\[18\] +*55 spare_logic1\[19\] +*56 spare_logic1\[1\] +*57 spare_logic1\[20\] +*58 spare_logic1\[21\] +*59 spare_logic1\[22\] +*60 spare_logic1\[23\] +*61 spare_logic1\[24\] +*62 spare_logic1\[25\] +*63 spare_logic1\[26\] +*64 spare_logic1\[2\] +*65 spare_logic1\[3\] +*66 spare_logic1\[4\] +*67 spare_logic1\[5\] +*68 spare_logic1\[6\] +*69 spare_logic1\[7\] +*70 spare_logic1\[8\] +*71 spare_logic1\[9\] +*72 FILLER_0_15 +*73 FILLER_0_24 +*74 FILLER_0_29 +*75 FILLER_0_3 +*76 FILLER_0_34 +*77 FILLER_0_42 +*78 FILLER_0_47 +*79 FILLER_0_55 +*80 FILLER_0_57 +*81 FILLER_0_66 +*82 FILLER_10_14 +*83 FILLER_10_21 +*84 FILLER_10_27 +*85 FILLER_10_3 +*86 FILLER_10_34 +*87 FILLER_10_46 +*88 FILLER_10_52 +*89 FILLER_10_59 +*90 FILLER_10_66 +*91 FILLER_11_15 +*92 FILLER_11_27 +*93 FILLER_11_29 +*94 FILLER_11_3 +*95 FILLER_11_41 +*96 FILLER_11_53 +*97 FILLER_11_57 +*98 FILLER_11_66 +*99 FILLER_1_3 +*100 FILLER_1_38 +*101 FILLER_1_44 +*102 FILLER_1_48 +*103 FILLER_1_62 +*104 FILLER_1_8 +*105 FILLER_2_15 +*106 FILLER_2_22 +*107 FILLER_2_29 +*108 FILLER_2_3 +*109 FILLER_2_41 +*110 FILLER_2_47 +*111 FILLER_2_54 +*112 FILLER_2_62 +*113 FILLER_2_66 +*114 FILLER_2_8 +*115 FILLER_3_3 +*116 FILLER_3_35 +*117 FILLER_3_47 +*118 FILLER_3_55 +*119 FILLER_3_57 +*120 FILLER_3_66 +*121 FILLER_4_20 +*122 FILLER_4_29 +*123 FILLER_4_3 +*124 FILLER_4_41 +*125 FILLER_4_53 +*126 FILLER_4_57 +*127 FILLER_4_61 +*128 FILLER_4_69 +*129 FILLER_4_8 +*130 FILLER_5_12 +*131 FILLER_5_19 +*132 FILLER_5_31 +*133 FILLER_5_43 +*134 FILLER_5_52 +*135 FILLER_5_57 +*136 FILLER_5_66 +*137 FILLER_6_10 +*138 FILLER_6_17 +*139 FILLER_6_25 +*140 FILLER_6_29 +*141 FILLER_6_3 +*142 FILLER_6_41 +*143 FILLER_6_53 +*144 FILLER_6_59 +*145 FILLER_6_66 +*146 FILLER_7_15 +*147 FILLER_7_27 +*148 FILLER_7_3 +*149 FILLER_7_39 +*150 FILLER_7_51 +*151 FILLER_7_55 +*152 FILLER_7_57 +*153 FILLER_7_61 +*154 FILLER_7_69 +*155 FILLER_8_20 +*156 FILLER_8_29 +*157 FILLER_8_3 +*158 FILLER_8_41 +*159 FILLER_8_53 +*160 FILLER_8_66 +*161 FILLER_8_8 +*162 FILLER_9_16 +*163 FILLER_9_20 +*164 FILLER_9_24 +*165 FILLER_9_3 +*166 FILLER_9_36 +*167 FILLER_9_48 +*168 FILLER_9_57 +*169 FILLER_9_63 +*170 FILLER_9_69 +*171 FILLER_9_9 +*172 PHY_0 +*173 PHY_1 +*174 PHY_10 +*175 PHY_11 +*176 PHY_12 +*177 PHY_13 +*178 PHY_14 +*179 PHY_15 +*180 PHY_16 +*181 PHY_17 +*182 PHY_18 +*183 PHY_19 +*184 PHY_2 +*185 PHY_20 +*186 PHY_21 +*187 PHY_22 +*188 PHY_23 +*189 PHY_3 +*190 PHY_4 +*191 PHY_5 +*192 PHY_6 +*193 PHY_7 +*194 PHY_8 +*195 PHY_9 +*196 TAP_24 +*197 TAP_25 +*198 TAP_26 +*199 TAP_27 +*200 TAP_28 +*201 TAP_29 +*202 TAP_30 +*203 TAP_31 +*204 TAP_32 +*205 TAP_33 +*206 TAP_34 +*207 TAP_35 +*208 TAP_36 +*209 TAP_37 +*210 spare_logic_biginv +*211 spare_logic_const\[0\] +*212 spare_logic_const\[10\] +*213 spare_logic_const\[11\] +*214 spare_logic_const\[12\] +*215 spare_logic_const\[13\] +*216 spare_logic_const\[14\] +*217 spare_logic_const\[15\] +*218 spare_logic_const\[16\] +*219 spare_logic_const\[17\] +*220 spare_logic_const\[18\] +*221 spare_logic_const\[19\] +*222 spare_logic_const\[1\] +*223 spare_logic_const\[20\] +*224 spare_logic_const\[21\] +*225 spare_logic_const\[22\] +*226 spare_logic_const\[23\] +*227 spare_logic_const\[24\] +*228 spare_logic_const\[25\] +*229 spare_logic_const\[26\] +*230 spare_logic_const\[2\] +*231 spare_logic_const\[3\] +*232 spare_logic_const\[4\] +*233 spare_logic_const\[5\] +*234 spare_logic_const\[6\] +*235 spare_logic_const\[7\] +*236 spare_logic_const\[8\] +*237 spare_logic_const\[9\] +*238 spare_logic_flop\[0\] +*239 spare_logic_flop\[1\] +*240 spare_logic_inv\[0\] +*241 spare_logic_inv\[1\] +*242 spare_logic_inv\[2\] +*243 spare_logic_inv\[3\] +*244 spare_logic_mux\[0\] +*245 spare_logic_mux\[1\] +*246 spare_logic_nand\[0\] +*247 spare_logic_nand\[1\] +*248 spare_logic_nor\[0\] +*249 spare_logic_nor\[1\] + +*PORTS +spare_xfq[0] O +spare_xfq[1] O +spare_xfqn[0] O +spare_xfqn[1] O +spare_xi[0] O +spare_xi[1] O +spare_xi[2] O +spare_xi[3] O +spare_xib O +spare_xmx[0] O +spare_xmx[1] O +spare_xna[0] O +spare_xna[1] O +spare_xno[0] O +spare_xno[1] O +spare_xz[0] O +spare_xz[10] O +spare_xz[11] O +spare_xz[12] O +spare_xz[13] O +spare_xz[14] O +spare_xz[15] O +spare_xz[16] O +spare_xz[17] O +spare_xz[18] O +spare_xz[19] O +spare_xz[1] O +spare_xz[20] O +spare_xz[21] O +spare_xz[22] O +spare_xz[23] O +spare_xz[24] O +spare_xz[25] O +spare_xz[26] O +spare_xz[2] O +spare_xz[3] O +spare_xz[4] O +spare_xz[5] O +spare_xz[6] O +spare_xz[7] O +spare_xz[8] O +spare_xz[9] O + +*D_NET *1 0.00318743 +*CONN +*P spare_xfq[0] O +*I *238:Q O *D sky130_fd_sc_hd__dfbbp_1 +*CAP +1 spare_xfq[0] 0.00143288 +2 *238:Q 0.00143288 +3 spare_xfq[0] spare_xz[16] 0.000152331 +4 spare_xfq[0] spare_xz[21] 4.61962e-05 +5 spare_xfq[0] spare_xz[7] 0 +6 spare_xfq[0] *238:RESET_B 1.43832e-05 +7 spare_xfq[0] *4:13 0.000108765 +*RES +1 *238:Q spare_xfq[0] 27.0419 +*END + +*D_NET *2 0.00194441 +*CONN +*P spare_xfq[1] O +*I *239:Q O *D sky130_fd_sc_hd__dfbbp_1 +*CAP +1 spare_xfq[1] 0.000660358 +2 *239:Q 0.000660358 +3 spare_xfq[1] spare_xna[1] 3.72267e-05 +4 spare_xfq[1] *247:A 1.00766e-05 +5 spare_xfq[1] *247:B 0.000362285 +6 spare_xfq[1] *28:8 0.000214105 +*RES +1 *239:Q spare_xfq[1] 32.0865 +*END + +*D_NET *3 0.00387873 +*CONN +*P spare_xfqn[0] O +*I *238:Q_N O *D sky130_fd_sc_hd__dfbbp_1 +*CAP +1 spare_xfqn[0] 0.00103147 +2 *238:Q_N 0.00103147 +3 spare_xfqn[0] spare_xz[10] 0.000226853 +4 spare_xfqn[0] *238:RESET_B 0.000132467 +5 spare_xfqn[0] *28:26 0 +6 spare_xfqn[0] *41:25 0.00145648 +*RES +1 *238:Q_N spare_xfqn[0] 48.2673 +*END + +*D_NET *4 0.00595762 +*CONN +*P spare_xfqn[1] O +*I *239:Q_N O *D sky130_fd_sc_hd__dfbbp_1 +*CAP +1 spare_xfqn[1] 0.000900678 +2 *239:Q_N 0.00101053 +3 *4:13 0.00191121 +4 spare_xfqn[1] spare_xib 5.57117e-05 +5 spare_xfqn[1] spare_xno[1] 5.13168e-05 +6 *4:13 spare_xna[0] 0.000155415 +7 *4:13 spare_xz[0] 2.86353e-06 +8 *4:13 spare_xz[21] 4.15201e-05 +9 *4:13 *239:D 9.43222e-06 +10 *4:13 *239:RESET_B 0 +11 *4:13 *246:B 0 +12 *4:13 *41:8 5.71992e-05 +13 *4:13 *41:25 0.00165298 +14 spare_xfq[0] *4:13 0.000108765 +*RES +1 *239:Q_N *4:13 48.6751 +2 *4:13 spare_xfqn[1] 2.77859 +*END + +*D_NET *5 0.00172942 +*CONN +*P spare_xi[0] O +*I *240:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 spare_xi[0] 0.00086471 +2 *240:Y 0.00086471 +3 spare_xi[0] spare_xz[1] 0 +4 spare_xi[0] *241:A 0 +5 spare_xi[0] *6:7 0 +*RES +1 *240:Y spare_xi[0] 31.6657 +*END + +*D_NET *6 0.00214346 +*CONN +*P spare_xi[1] O +*I *241:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 spare_xi[1] 0.000126267 +2 *241:Y 0.00034142 +3 *6:8 0.00068171 +4 *6:7 0.000896863 +5 spare_xi[1] spare_xna[1] 0 +6 *6:7 *241:A 9.72058e-05 +7 spare_xi[0] *6:7 0 +*RES +1 *241:Y *6:7 24.2131 +2 *6:7 *6:8 938.708 +3 *6:8 spare_xi[1] 17.8657 +*END + +*D_NET *7 0.00175983 +*CONN +*P spare_xi[2] O +*I *242:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 spare_xi[2] 0.00010657 +2 *242:Y 0.000332308 +3 *7:8 0.0004609 +4 *7:7 0.000686638 +5 spare_xi[2] spare_xna[0] 0 +6 spare_xi[2] spare_xz[11] 7.64213e-06 +7 spare_xi[2] spare_xz[17] 3.4849e-05 +8 *7:7 spare_xz[3] 0 +9 *7:7 *35:17 0.00013092 +*RES +1 *242:Y *7:7 24.2131 +2 *7:7 *7:8 591.038 +3 *7:8 spare_xi[2] 17.8657 +*END + +*D_NET *8 0.00661909 +*CONN +*P spare_xi[3] O +*I *243:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 spare_xi[3] 0.000628529 +2 *243:Y 0.000229523 +3 *8:11 0.00284899 +4 *8:8 0.00244999 +5 spare_xi[3] spare_xz[18] 0 +6 *8:8 spare_xz[10] 0 +7 *8:8 spare_xz[20] 0.000462058 +*RES +1 *243:Y *8:8 26.3099 +2 *8:8 *8:11 39.0542 +3 *8:11 spare_xi[3] 17.7337 +*END + +*D_NET *9 0.000952727 +*CONN +*P spare_xib O +*I *210:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 spare_xib 0.000448508 +2 *210:Y 0.000448508 +3 spare_xib spare_xz[14] 0 +4 spare_xib spare_xz[18] 0 +5 spare_xib spare_xz[8] 0 +6 spare_xfqn[1] spare_xib 5.57117e-05 +*RES +1 *210:Y spare_xib 22.4772 +*END + +*D_NET *10 0.00144434 +*CONN +*P spare_xmx[0] O +*I *244:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 spare_xmx[0] 0.000563694 +2 *244:X 0.000563694 +3 spare_xmx[0] spare_xz[11] 0 +4 spare_xmx[0] spare_xz[13] 8.55049e-05 +5 spare_xmx[0] *244:A0 7.82128e-05 +6 spare_xmx[0] *36:11 0.00015324 +*RES +1 *244:X spare_xmx[0] 29.0646 +*END + +*D_NET *11 0.00591971 +*CONN +*P spare_xmx[1] O +*I *245:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 spare_xmx[1] 0.000166659 +2 *245:X 0.00157429 +3 *11:10 0.00174095 +4 spare_xmx[1] spare_xz[6] 0 +5 *11:10 *238:RESET_B 3.27828e-05 +6 *11:10 *14:7 0.00158784 +7 *11:10 *28:8 7.45478e-05 +8 *11:10 *28:26 0.00063379 +9 *11:10 *33:8 0.000108858 +10 *11:10 *39:6 0 +*RES +1 *245:X *11:10 48.2876 +2 *11:10 spare_xmx[1] 4.23802 +*END + +*D_NET *12 0.00290785 +*CONN +*P spare_xna[0] O +*I *246:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 spare_xna[0] 0.00131754 +2 *246:Y 0.00131754 +3 spare_xna[0] spare_xz[13] 0.000112597 +4 spare_xna[0] *41:25 4.75721e-06 +5 spare_xi[2] spare_xna[0] 0 +6 *4:13 spare_xna[0] 0.000155415 +*RES +1 *246:Y spare_xna[0] 21.0018 +*END + +*D_NET *13 0.00129207 +*CONN +*P spare_xna[1] O +*I *247:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 spare_xna[1] 0.00060201 +2 *247:Y 0.00060201 +3 spare_xna[1] spare_xz[21] 5.08239e-05 +4 spare_xfq[1] spare_xna[1] 3.72267e-05 +5 spare_xi[1] spare_xna[1] 0 +*RES +1 *247:Y spare_xna[1] 21.3516 +*END + +*D_NET *14 0.00648411 +*CONN +*P spare_xno[0] O +*I *248:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 spare_xno[0] 0.000840131 +2 *248:Y 0.000933231 +3 *14:7 0.00177336 +4 spare_xno[0] spare_xz[19] 1.53148e-05 +5 spare_xno[0] spare_xz[22] 0 +6 spare_xno[0] *238:D 0 +7 *14:7 spare_xz[0] 0.000241006 +8 *14:7 spare_xz[18] 6.92597e-05 +9 *14:7 *245:A0 5.31465e-05 +10 *14:7 *245:A1 0.000122661 +11 *14:7 *246:B 0.000244519 +12 *14:7 *22:13 0 +13 *14:7 *40:5 4.89251e-05 +14 *14:7 *42:7 0.000554715 +15 *11:10 *14:7 0.00158784 +*RES +1 *248:Y *14:7 48.858 +2 *14:7 spare_xno[0] 23.8404 +*END + +*D_NET *15 0.000582291 +*CONN +*P spare_xno[1] O +*I *249:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 spare_xno[1] 0.000255385 +2 *249:Y 0.000255385 +3 spare_xno[1] spare_xz[12] 0 +4 spare_xno[1] *249:B 2.02035e-05 +5 spare_xfqn[1] spare_xno[1] 5.13168e-05 +*RES +1 *249:Y spare_xno[1] 18.2481 +*END + +*D_NET *16 0.00553956 +*CONN +*P spare_xz[0] O +*I *240:A I *D sky130_fd_sc_hd__inv_2 +*I *211:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[0] 0.00167052 +2 *240:A 0.000445172 +3 *211:LO 0 +4 *16:5 0.00211569 +5 spare_xz[0] spare_xz[12] 3.24342e-05 +6 spare_xz[0] spare_xz[7] 0.000128216 +7 spare_xz[0] spare_xz[9] 0 +8 spare_xz[0] *246:B 4.20184e-06 +9 spare_xz[0] *22:10 0.000154469 +10 spare_xz[0] *28:26 0.00053002 +11 spare_xz[0] *42:7 0.000157767 +12 *240:A *22:10 5.71992e-05 +13 *4:13 spare_xz[0] 2.86353e-06 +14 *14:7 spare_xz[0] 0.000241006 +*RES +1 *211:LO *16:5 13.7491 +2 *16:5 *240:A 24.7517 +3 *16:5 spare_xz[0] 33.307 +*END + +*D_NET *17 0.00292957 +*CONN +*P spare_xz[10] O +*I *249:A I *D sky130_fd_sc_hd__nor2_2 +*I *212:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[10] 0.00114028 +2 *249:A 0.000151684 +3 *212:LO 0 +4 *17:4 0.00129196 +5 spare_xz[10] spare_xz[20] 0 +6 spare_xz[10] *38:8 0.000118792 +7 spare_xz[10] *41:25 0 +8 spare_xfqn[0] spare_xz[10] 0.000226853 +9 *8:8 spare_xz[10] 0 +*RES +1 *212:LO *17:4 9.24915 +2 *17:4 *249:A 12.7697 +3 *17:4 spare_xz[10] 36.5707 +*END + +*D_NET *18 0.00320194 +*CONN +*P spare_xz[11] O +*I *248:B I *D sky130_fd_sc_hd__nor2_2 +*I *213:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[11] 0.00136943 +2 *248:B 0.000206687 +3 *213:LO 0 +4 *18:4 0.00157612 +5 spare_xz[11] spare_xz[2] 8.95978e-06 +6 spare_xz[11] spare_xz[3] 0 +7 *248:B *22:10 0 +8 *248:B *42:7 3.30997e-05 +9 spare_xi[2] spare_xz[11] 7.64213e-06 +10 spare_xmx[0] spare_xz[11] 0 +*RES +1 *213:LO *18:4 9.24915 +2 *18:4 *248:B 23.4595 +3 *18:4 spare_xz[11] 30.716 +*END + +*D_NET *19 0.00145546 +*CONN +*P spare_xz[12] O +*I *249:B I *D sky130_fd_sc_hd__nor2_2 +*I *214:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[12] 0.000559557 +2 *249:B 0.000141856 +3 *214:LO 0 +4 *19:5 0.000701413 +5 spare_xz[12] spare_xz[14] 0 +6 spare_xz[12] spare_xz[18] 0 +7 *249:B spare_xz[14] 0 +8 *249:B spare_xz[18] 0 +9 spare_xno[1] spare_xz[12] 0 +10 spare_xno[1] *249:B 2.02035e-05 +11 spare_xz[0] spare_xz[12] 3.24342e-05 +*RES +1 *214:LO *19:5 13.7491 +2 *19:5 spare_xz[12] 8.22342 +3 *19:5 *249:B 17.6574 +*END + +*D_NET *20 0.0024305 +*CONN +*P spare_xz[13] O +*I *244:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *215:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[13] 0.000636979 +2 *244:A0 0.000281296 +3 *215:LO 2.36273e-05 +4 *20:7 0.000941902 +5 spare_xz[13] spare_xz[2] 3.59102e-05 +6 spare_xz[13] *35:9 0 +7 *244:A0 *36:11 0.00015324 +8 *20:7 spare_xz[3] 1.61631e-05 +9 *20:7 *243:A 6.50727e-05 +10 spare_xmx[0] spare_xz[13] 8.55049e-05 +11 spare_xmx[0] *244:A0 7.82128e-05 +12 spare_xna[0] spare_xz[13] 0.000112597 +*RES +1 *215:LO *20:7 14.4725 +2 *20:7 *244:A0 21.7028 +3 *20:7 spare_xz[13] 8.56929 +*END + +*D_NET *21 0.00253156 +*CONN +*P spare_xz[14] O +*I *245:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *216:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[14] 0.000871457 +2 *245:A0 0.000212984 +3 *216:LO 8.14274e-05 +4 *21:7 0.00116587 +5 spare_xz[14] spare_xz[4] 0 +6 *245:A0 *245:A1 0.000118166 +7 *245:A0 *25:10 0 +8 *21:7 *25:10 2.85139e-05 +9 spare_xib spare_xz[14] 0 +10 spare_xz[12] spare_xz[14] 0 +11 *249:B spare_xz[14] 0 +12 *14:7 *245:A0 5.31465e-05 +*RES +1 *216:LO *21:7 15.0271 +2 *21:7 *245:A0 19.3507 +3 *21:7 spare_xz[14] 21.0557 +*END + +*D_NET *22 0.00676107 +*CONN +*P spare_xz[15] O +*I *244:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *217:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[15] 0.000613944 +2 *244:A1 0.000194599 +3 *217:LO 5.81894e-05 +4 *22:13 0.00215195 +5 *22:10 0.00185674 +6 *22:7 0.000571521 +7 spare_xz[15] spare_xz[22] 0 +8 *244:A1 *244:S 0.000194976 +9 *22:10 spare_xz[20] 0 +10 *22:10 *244:S 7.26606e-05 +11 *22:13 spare_xz[18] 0 +12 *22:13 spare_xz[7] 0.000130331 +13 *22:13 *23:7 0.000704491 +14 spare_xz[0] *22:10 0.000154469 +15 *240:A *22:10 5.71992e-05 +16 *248:B *22:10 0 +17 *14:7 *22:13 0 +*RES +1 *217:LO *22:7 14.4725 +2 *22:7 *22:10 13.3913 +3 *22:10 *22:13 42.9364 +4 *22:13 spare_xz[15] 17.3185 +5 *22:7 *244:A1 18.5201 +*END + +*D_NET *23 0.00526193 +*CONN +*P spare_xz[16] O +*I *245:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *218:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[16] 0.00100688 +2 *245:A1 0.000443703 +3 *218:LO 0.000226484 +4 *23:7 0.00167706 +5 spare_xz[16] spare_xz[19] 6.85342e-05 +6 spare_xz[16] spare_xz[23] 0.000104356 +7 spare_xz[16] spare_xz[24] 0 +8 spare_xz[16] spare_xz[7] 1.66626e-05 +9 spare_xz[16] *238:SET_B 0.000247459 +10 spare_xz[16] *239:SET_B 0 +11 *245:A1 spare_xz[7] 0.000194131 +12 *245:A1 *246:B 6.08467e-05 +13 *245:A1 *40:5 0.000118166 +14 spare_xfq[0] spare_xz[16] 0.000152331 +15 *245:A0 *245:A1 0.000118166 +16 *14:7 *245:A1 0.000122661 +17 *22:13 *23:7 0.000704491 +*RES +1 *218:LO *23:7 21.6824 +2 *23:7 spare_xz[16] 18.1039 +3 *23:7 *245:A1 26.0059 +*END + +*D_NET *24 0.00178624 +*CONN +*P spare_xz[17] O +*I *244:S I *D sky130_fd_sc_hd__mux2_2 +*I *219:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[17] 0.000530869 +2 *244:S 0.000170836 +3 *219:LO 0 +4 *24:5 0.000701704 +5 spare_xz[17] spare_xz[9] 8.03443e-05 +6 spare_xi[2] spare_xz[17] 3.4849e-05 +7 *244:A1 *244:S 0.000194976 +8 *22:10 *244:S 7.26606e-05 +*RES +1 *219:LO *24:5 13.7491 +2 *24:5 *244:S 18.9032 +3 *24:5 spare_xz[17] 4.96178 +*END + +*D_NET *25 0.00290952 +*CONN +*P spare_xz[18] O +*I *245:S I *D sky130_fd_sc_hd__mux2_2 +*I *220:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[18] 0.00110135 +2 *245:S 0 +3 *220:LO 0.000304519 +4 *25:10 0.00140587 +5 spare_xi[3] spare_xz[18] 0 +6 spare_xib spare_xz[18] 0 +7 spare_xz[12] spare_xz[18] 0 +8 *245:A0 *25:10 0 +9 *249:B spare_xz[18] 0 +10 *14:7 spare_xz[18] 6.92597e-05 +11 *21:7 *25:10 2.85139e-05 +12 *22:13 spare_xz[18] 0 +*RES +1 *220:LO *25:10 26.6265 +2 *25:10 spare_xz[18] 34.4532 +3 *25:10 *245:S 9.24915 +*END + +*D_NET *26 0.00215586 +*CONN +*P spare_xz[19] O +*I *238:D I *D sky130_fd_sc_hd__dfbbp_1 +*I *221:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[19] 0.000688947 +2 *238:D 0.000347059 +3 *221:LO 0 +4 *26:5 0.00103601 +5 *238:D *238:CLK 0 +6 spare_xno[0] spare_xz[19] 1.53148e-05 +7 spare_xno[0] *238:D 0 +8 spare_xz[16] spare_xz[19] 6.85342e-05 +*RES +1 *221:LO *26:5 13.7491 +2 *26:5 spare_xz[19] 14.5415 +3 *26:5 *238:D 22.263 +*END + +*D_NET *27 0.00217484 +*CONN +*P spare_xz[1] O +*I *241:A I *D sky130_fd_sc_hd__inv_2 +*I *222:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[1] 0.000202374 +2 *241:A 0.000660238 +3 *222:LO 0.000176204 +4 *27:7 0.00103882 +5 spare_xi[0] spare_xz[1] 0 +6 spare_xi[0] *241:A 0 +7 *6:7 *241:A 9.72058e-05 +*RES +1 *222:LO *27:7 17.8002 +2 *27:7 spare_xz[1] 4.03039 +3 *27:7 *241:A 27.9372 +*END + +*D_NET *28 0.00795948 +*CONN +*P spare_xz[20] O +*I *239:D I *D sky130_fd_sc_hd__dfbbp_1 +*I *223:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[20] 0.000874268 +2 *239:D 0.00112387 +3 *223:LO 8.24806e-05 +4 *28:26 0.0016239 +5 *28:8 0.00195598 +6 spare_xz[20] *35:9 0 +7 *239:D *239:RESET_B 4.89392e-05 +8 *239:D *247:B 0.000113909 +9 *28:8 *247:B 0.00021218 +10 *28:8 *41:25 0 +11 *28:26 *41:25 0 +12 spare_xfq[1] *28:8 0.000214105 +13 spare_xfqn[0] *28:26 0 +14 spare_xz[0] *28:26 0.00053002 +15 spare_xz[10] spare_xz[20] 0 +16 *4:13 *239:D 9.43222e-06 +17 *8:8 spare_xz[20] 0.000462058 +18 *11:10 *28:8 7.45478e-05 +19 *11:10 *28:26 0.00063379 +20 *22:10 spare_xz[20] 0 +*RES +1 *223:LO *28:8 17.5531 +2 *28:8 *239:D 32.2681 +3 *28:8 *28:26 28.1739 +4 *28:26 spare_xz[20] 24.3777 +*END + +*D_NET *29 0.00445567 +*CONN +*P spare_xz[21] O +*I *238:CLK I *D sky130_fd_sc_hd__dfbbp_1 +*I *224:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[21] 0.00176019 +2 *238:CLK 0.000205011 +3 *224:LO 0 +4 *29:4 0.0019652 +5 spare_xz[21] spare_xz[25] 0.00032588 +6 spare_xz[21] *31:7 6.08467e-05 +7 *238:CLK *238:SET_B 0 +8 spare_xfq[0] spare_xz[21] 4.61962e-05 +9 spare_xna[1] spare_xz[21] 5.08239e-05 +10 *238:D *238:CLK 0 +11 *4:13 spare_xz[21] 4.15201e-05 +*RES +1 *224:LO *29:4 9.24915 +2 *29:4 *238:CLK 23.1595 +3 *29:4 spare_xz[21] 24.7874 +*END + +*D_NET *30 0.00152135 +*CONN +*P spare_xz[22] O +*I *239:CLK I *D sky130_fd_sc_hd__dfbbp_1 +*I *225:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[22] 0.000552761 +2 *239:CLK 0.000207913 +3 *225:LO 0 +4 *30:4 0.000760674 +5 spare_xno[0] spare_xz[22] 0 +6 spare_xz[15] spare_xz[22] 0 +*RES +1 *225:LO *30:4 9.24915 +2 *30:4 spare_xz[22] 16.3215 +3 *30:4 *239:CLK 14.2888 +*END + +*D_NET *31 0.00165982 +*CONN +*P spare_xz[23] O +*I *238:SET_B I *D sky130_fd_sc_hd__dfbbp_1 +*I *226:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[23] 0.000475193 +2 *238:SET_B 0.000122507 +3 *226:LO 2.58786e-05 +4 *31:7 0.000623578 +5 spare_xz[23] spare_xz[24] 0 +6 spare_xz[16] spare_xz[23] 0.000104356 +7 spare_xz[16] *238:SET_B 0.000247459 +8 spare_xz[21] *31:7 6.08467e-05 +9 *238:CLK *238:SET_B 0 +*RES +1 *226:LO *31:7 14.4725 +2 *31:7 spare_xz[23] 11.7248 +3 *31:7 *238:SET_B 8.82351 +*END + +*D_NET *32 0.000791716 +*CONN +*P spare_xz[24] O +*I *239:SET_B I *D sky130_fd_sc_hd__dfbbp_1 +*I *227:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[24] 0.000266224 +2 *239:SET_B 0.000129634 +3 *227:LO 0 +4 *32:5 0.000395858 +5 *239:SET_B *239:RESET_B 0 +6 spare_xz[16] spare_xz[24] 0 +7 spare_xz[16] *239:SET_B 0 +8 spare_xz[23] spare_xz[24] 0 +*RES +1 *227:LO *32:5 13.7491 +2 *32:5 spare_xz[24] 7.79209 +3 *32:5 *239:SET_B 7.57775 +*END + +*D_NET *33 0.00349298 +*CONN +*P spare_xz[25] O +*I *238:RESET_B I *D sky130_fd_sc_hd__dfbbp_1 +*I *228:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[25] 0.000974188 +2 *238:RESET_B 0.000344518 +3 *228:LO 0.000120598 +4 *33:8 0.0014393 +5 spare_xz[25] spare_xz[9] 0 +6 spare_xfq[0] *238:RESET_B 1.43832e-05 +7 spare_xfqn[0] *238:RESET_B 0.000132467 +8 spare_xz[21] spare_xz[25] 0.00032588 +9 *11:10 *238:RESET_B 3.27828e-05 +10 *11:10 *33:8 0.000108858 +*RES +1 *228:LO *33:8 16.5122 +2 *33:8 spare_xz[25] 6.32197 +3 *33:8 *238:RESET_B 22.4763 +*END + +*D_NET *34 0.00082149 +*CONN +*P spare_xz[26] O +*I *239:RESET_B I *D sky130_fd_sc_hd__dfbbp_1 +*I *229:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[26] 0.000161141 +2 *239:RESET_B 0.000189177 +3 *229:LO 3.59575e-05 +4 *34:7 0.000386275 +5 *239:D *239:RESET_B 4.89392e-05 +6 *239:SET_B *239:RESET_B 0 +7 *4:13 *239:RESET_B 0 +*RES +1 *229:LO *34:7 14.4725 +2 *34:7 spare_xz[26] 4.03039 +3 *34:7 *239:RESET_B 18.5201 +*END + +*D_NET *35 0.00166436 +*CONN +*P spare_xz[2] O +*I *242:A I *D sky130_fd_sc_hd__inv_2 +*I *230:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[2] 0.000100952 +2 *242:A 0 +3 *230:LO 0.000246177 +4 *35:18 0.00027452 +5 *35:17 0.000397154 +6 *35:9 0.000469763 +7 spare_xz[11] spare_xz[2] 8.95978e-06 +8 spare_xz[13] spare_xz[2] 3.59102e-05 +9 spare_xz[13] *35:9 0 +10 spare_xz[20] *35:9 0 +11 *7:7 *35:17 0.00013092 +*RES +1 *230:LO *35:9 23.8535 +2 *35:9 *242:A 9.24915 +3 *35:9 *35:17 15.5186 +4 *35:17 *35:18 289.725 +5 *35:18 spare_xz[2] 17.8657 +*END + +*D_NET *36 0.00274261 +*CONN +*P spare_xz[3] O +*I *243:A I *D sky130_fd_sc_hd__inv_2 +*I *231:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[3] 0.000736551 +2 *243:A 0.000286999 +3 *231:LO 0.000153899 +4 *36:11 0.00117745 +5 spare_xmx[0] *36:11 0.00015324 +6 spare_xz[11] spare_xz[3] 0 +7 *244:A0 *36:11 0.00015324 +8 *7:7 spare_xz[3] 0 +9 *20:7 spare_xz[3] 1.61631e-05 +10 *20:7 *243:A 6.50727e-05 +*RES +1 *231:LO *36:11 23.323 +2 *36:11 *243:A 16.0732 +3 *36:11 spare_xz[3] 22.5559 +*END + +*D_NET *37 0.00101959 +*CONN +*P spare_xz[4] O +*I *210:A I *D sky130_fd_sc_hd__inv_8 +*I *232:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[4] 0.000355719 +2 *210:A 0.000101794 +3 *232:LO 5.22831e-05 +4 *37:5 0.000509796 +5 spare_xz[4] spare_xz[5] 0 +6 spare_xz[4] *38:8 0 +7 spare_xz[14] spare_xz[4] 0 +*RES +1 *232:LO *37:5 10.5271 +2 *37:5 *210:A 11.6364 +3 *37:5 spare_xz[4] 13.5134 +*END + +*D_NET *38 0.00180297 +*CONN +*P spare_xz[5] O +*I *246:A I *D sky130_fd_sc_hd__nand2_2 +*I *233:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[5] 0.000383078 +2 *246:A 0.000272832 +3 *233:LO 0.000186181 +4 *38:8 0.000842091 +5 *38:8 *246:B 0 +6 spare_xz[10] *38:8 0.000118792 +7 spare_xz[4] spare_xz[5] 0 +8 spare_xz[4] *38:8 0 +*RES +1 *233:LO *38:8 18.5201 +2 *38:8 *246:A 20.0427 +3 *38:8 spare_xz[5] 10.0638 +*END + +*D_NET *39 0.00160108 +*CONN +*P spare_xz[6] O +*I *247:A I *D sky130_fd_sc_hd__nand2_2 +*I *234:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[6] 0.00033343 +2 *247:A 0.000190423 +3 *234:LO 0.000116286 +4 *39:6 0.000640138 +5 *247:A *247:B 0.000310724 +6 spare_xfq[1] *247:A 1.00766e-05 +7 spare_xmx[1] spare_xz[6] 0 +8 *11:10 *39:6 0 +*RES +1 *234:LO *39:6 16.4116 +2 *39:6 spare_xz[6] 7.76766 +3 *39:6 *247:A 18.9335 +*END + +*D_NET *40 0.00429755 +*CONN +*P spare_xz[7] O +*I *246:B I *D sky130_fd_sc_hd__nand2_2 +*I *235:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[7] 0.000798526 +2 *246:B 0.000859253 +3 *235:LO 1.79978e-05 +4 *40:5 0.00167578 +5 *246:B *41:25 0 +6 spare_xfq[0] spare_xz[7] 0 +7 spare_xz[0] spare_xz[7] 0.000128216 +8 spare_xz[0] *246:B 4.20184e-06 +9 spare_xz[16] spare_xz[7] 1.66626e-05 +10 *245:A1 spare_xz[7] 0.000194131 +11 *245:A1 *246:B 6.08467e-05 +12 *245:A1 *40:5 0.000118166 +13 *4:13 *246:B 0 +14 *14:7 *246:B 0.000244519 +15 *14:7 *40:5 4.89251e-05 +16 *22:13 spare_xz[7] 0.000130331 +17 *38:8 *246:B 0 +*RES +1 *235:LO *40:5 10.5271 +2 *40:5 spare_xz[7] 13.8071 +3 *40:5 *246:B 40.8844 +*END + +*D_NET *41 0.00933692 +*CONN +*P spare_xz[8] O +*I *247:B I *D sky130_fd_sc_hd__nand2_2 +*I *236:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[8] 0.000801575 +2 *247:B 0.000263815 +3 *236:LO 0.000425929 +4 *41:25 0.00189346 +5 *41:8 0.00178163 +6 spare_xfq[1] *247:B 0.000362285 +7 spare_xfqn[0] *41:25 0.00145648 +8 spare_xib spare_xz[8] 0 +9 spare_xna[0] *41:25 4.75721e-06 +10 spare_xz[10] *41:25 0 +11 *239:D *247:B 0.000113909 +12 *246:B *41:25 0 +13 *247:A *247:B 0.000310724 +14 *4:13 *41:8 5.71992e-05 +15 *4:13 *41:25 0.00165298 +16 *28:8 *247:B 0.00021218 +17 *28:8 *41:25 0 +18 *28:26 *41:25 0 +*RES +1 *236:LO *41:8 21.0173 +2 *41:8 *247:B 25.5646 +3 *41:8 *41:25 48.8633 +4 *41:25 spare_xz[8] 2.89332 +*END + +*D_NET *42 0.00200529 +*CONN +*P spare_xz[9] O +*I *248:A I *D sky130_fd_sc_hd__nor2_2 +*I *237:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[9] 0.000387918 +2 *248:A 0 +3 *237:LO 0.000201766 +4 *42:7 0.000589684 +5 spare_xz[0] spare_xz[9] 0 +6 spare_xz[0] *42:7 0.000157767 +7 spare_xz[17] spare_xz[9] 8.03443e-05 +8 spare_xz[25] spare_xz[9] 0 +9 *248:B *42:7 3.30997e-05 +10 *14:7 *42:7 0.000554715 +*RES +1 *237:LO *42:7 17.7611 +2 *42:7 spare_xz[9] 9.30496 +3 *42:7 *248:A 9.24915 +*END